Update with corrected files
diff --git a/calibre/run_calibrePEX b/calibre/run_calibrePEX
index d393e1b..a468406 100755
--- a/calibre/run_calibrePEX
+++ b/calibre/run_calibrePEX
@@ -5,29 +5,31 @@
 export LOG
 export JOB_NAME  
 export JOB_HOME
+export PEX_HANDLE=".pex.spice"
+export PXI_HANDLE=".pxi.spice"
+export FILE_OUT=./output/${VARIANT}
 
 #Sets the LOG variable and creates the log directory if it doesn't exist.
-if [ ! -d ./log ]; then
-	mkdir log
-	LOG=./log
+if [ ! -d "$FILE_OUT/log" ]; then
+    mkdir -p $FILE_OUT/log
+    LOG=$FILE_OUT/log
 else
-	LOG=./log
+    LOG=$FILE_OUT/log
 fi
 
 #Removes the outputs if the script is run again. Otherwise it creates the output directory and all of its subdirectories
-if [ ! -d "./output/" ]; then 	
-	echo "Making output directory...."
-	mkdir -p output/{report,ext,pex,spice,pxi}
-else 
-	echo "Building Directories...."
-	mkdir -p output/{report,ext,pex,spice,pxi}
+if [ ! -d "$FILE_OUT" ]; then
+    echo "Making output directory...."
+    mkdir -p $FILE_OUT/{report,ext,spice}
+else
+    echo "Building Directories...."
+    mkdir -p $FILE_OUT/{report,ext,spice}
 fi
 
-
 #If the gds files weren't generated, this if statement extracts all of the gds files from the cells within the lib folder. 
 if [ ! -d "../lib/gds" ]; then
-	cd ../lib/magic
-	./extract_all
+	cd ../lib
+    make magic
 	cd -
 fi 
 
@@ -65,15 +67,46 @@
 
 done #End of the loop
 
+#The two loops immediately below will go through the produced PEX and PXI files and correct their naming convention before moving them to the specified path
+for file in ./*.pxi; do
+    NAME_1="$(basename "$file")"
+    NAME_2=${NAME_1%.*}
+    NAME_3=${NAME_2%.*} #USED FOR PXI FILES ONLY
+    BASE=${NAME_3%.*}
+    mv $NAME_1 $BASE$PXI_HANDLE
+done
+
+for file in ./*.pex; do
+    NAME_1="$(basename "$file")"
+    NAME_2=${NAME_1%.*}
+    BASE=${NAME_2%.*}
+    mv $NAME_1 $BASE$PEX_HANDLE
+done
+
+sed -i 's/.spice.pex/.pex.spice/g' *.spice
+sed -i 's/.spice..*.pxi/.pxi.spice/g' *.spice
+
+mkdir $FILE_OUT/spice
+mkdir $FILE_OUT/report
+
+mv *.spice  $FILE_OUT/spice
+mv *.report $FILE_OUT/report
+
 echo "Moving output files to ./output"
-mv -v *.report ./output/report/
-mv -v *.pex ./output/pex/
-mv -v *.spice ./output/spice/
-mv -v *.pxi ./output/pxi/
-mv -v *.ext ./output/ext/
-mv -v *erc* ./output/
-mv -v  _xrcControlFile_s8_ ./output/
-mv -v svdb/ ./output/
-mv -v *bat* ./output/
+mv -v *.ext $FILE_OUT/ext/
+mv -v *erc* $FILE_OUT
+mv -v  _xrcControlFile_s8_ $FILE_OUT
+mv -v svdb/ $FILE_OUT
+mv -v *bat* $FILE_OUT
+
+#mv -v *.report ./output/report/
+#mv -v *.pex ./output/pex/
+#mv -v *.spice ./output/spice/
+#mv -v *.pxi ./output/pxi/
+#mv -v *.ext ./output/ext/
+#mv -v *erc* ./output/
+#mv -v  _xrcControlFile_s8_ ./output/
+#mv -v svdb/ ./output/
+#mv -v *bat* ./output/
 
 exit 0
diff --git a/char/Makefile b/char/Makefile
index 658f41a..6c87432 100644
--- a/char/Makefile
+++ b/char/Makefile
@@ -3,9 +3,12 @@
 
 .PHONY: do clean purge
 
+extract:
+	@cd ../lib && make clean magic pex VARIANT=${VARIANT}
+
 do:
-	@cd abstract && make ARGS=-extract VARIANT=${VARIANT}
-	cd techfiles && ./genArea.py
+	@cd abstract && make VARIANT=${VARIANT}
+	cd techfiles && sed -i 's/18T_ms/${VARIANT}/g' genArea.py && ./genArea.py &&sed -i 's/${VARIANT}/18T_ms/g' genArea.py
 	@cd liberate && make purge do VARIANT=${VARIANT}
 #	@cd liberate && make clean do CORNER=FS && make clean do ARGS=-ecsm CORNER=FS
 
diff --git a/char/abstract/.cadence/xstream/IN/.session b/char/abstract/.cadence/xstream/IN/.session
deleted file mode 100644
index f69a413..0000000
--- a/char/abstract/.cadence/xstream/IN/.session
+++ /dev/null
@@ -1,814 +0,0 @@
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
-M:0 DV:1 NT:0 N:1 C:16
-
diff --git a/char/abstract/Makefile b/char/abstract/Makefile
index b4b7f5f..eb7e56b 100644
--- a/char/abstract/Makefile
+++ b/char/abstract/Makefile
@@ -7,17 +7,20 @@
 # -debug (gui + noexit)
 
 VARIANT:=12T_hs
+TRACKS:=$(firstword $(subst _, ,${VARIANT}))
 
 .PHONY: do clean
 
 do:
 	@sed -i 's/18T_ms/${VARIANT}/g' lef_gen
 	@sed -i 's/18T_ms/${VARIANT}/g' abstract_SKILL_script
+	@sed -i 's/18T/${TRACKS}/g' abstract_SKILL_script
 	@./clean_all
 	@setenv VARIANT ${VARIANT}; \
 	./lef_gen $(ARGS)
 	@sed -i 's/${VARIANT}/18T_ms/g' lef_gen
 	@sed -i 's/${VARIANT}/18T_ms/g' abstract_SKILL_script
+	@sed -i 's/${TRACKS}/18T/g' abstract_SKILL_script
 
 clean:
 	@./clean_all
diff --git a/char/abstract/abstract_SKILL_script b/char/abstract/abstract_SKILL_script
index 156059a..4b91e30 100644
--- a/char/abstract/abstract_SKILL_script
+++ b/char/abstract/abstract_SKILL_script
@@ -7,14 +7,14 @@
 absSkillMode()
 absSetOption("NewLibraryName" "library")
 absSetLibrary("library")
-absSetOption("ImportLefFiles" " ../techfiles/sky130_osu_sc.tlef")
+absSetOption("ImportLefFiles" " ../techfiles/sky130_osu_sc_18T.tlef")
 absImportLEF()
 inp = infile("GDSlist")
 absSetOption("ImportGDSIIFiles" gets(_ inp))
 close(inp)
 absSetOption("ImportGDSIILayerMapFile" "../techfiles/s8.layermap")
 absImportGDS()
-absSelectCellFrom("ADDFX1" "XOR2XL")
+absSelectCellFrom("sky130_osu_sc_18T_ms__addf_1" "sky130_osu_sc_18T_ms__xor2_l")
 absSetBinOption("Core" "PinsTextPinMap" "(li1 li1)(met1 met1)")
 absSetBinOption("Core" "PinsPowerNames" "vdd")
 absSetBinOption("Core" "PinsGroundNames" "gnd")
@@ -37,7 +37,7 @@
 absSetBinOption("Core" "ExtractConnectivity" "(poly li1 licon1)(diff li1 licon1)(li1 met1 mcon)(met1 met2 via1)(met2 met3 via2)(met3 met4 via3)(met4 met5 via4)")
 absSetBinOption("Core" "AbstractAdjustPowerRailOp" "gnd abutment 0.3 0 vdd abutment 0.3 -0.18")
 absSetBinOption("Core" "AbstractBlockageCutAroundPin" "li1 met1 met2 met3 met4 met5 mcon via1 via2 via3 via4 ")
-absSetBinOption("Core" "AbstractSiteNameDefine" "12T")
+absSetBinOption("Core" "AbstractSiteNameDefine" "18T")
 absSetOption("ExportLEFFile" "sky130_osu_sc_18T_ms.lef")
 absPins()
 absExtract()
diff --git a/char/liberate/Makefile b/char/liberate/Makefile
index cd8b616..daa41cd 100644
--- a/char/liberate/Makefile
+++ b/char/liberate/Makefile
@@ -30,10 +30,10 @@
 setup:
 	@mkdir -p $(VPATH) $(LOG) NETLIST LIBRARY LDB DATASHEET VERILOG
 	@cp ../../skywater/s8pdk/V1.3.0/MODELS/spice/* MODELS/
-	@cp ../../calibre/output/spice/* NETLIST/ ; cp ../../calibre/output/pex/* NETLIST/ ; cp ../../calibre/output/pxi/* NETLIST/
-	@cd NETLIST && sed -i '/^.subckt/s/VDD\|GND//g' *.spice && sed -i "/ PROBETYPE=1/d" *.spice && sed -i "/NWDIODE/d" *.spice
+	@cp ../../lib/output/$(VARIANT)/spice/* NETLIST/
+	@cd NETLIST && sed -i '/^.subckt/s/VDD\|GND//g' `ls *spice | sed -e '/pex/d' | sed -e '/pxi/d'` && sed -i "/ PROBETYPE=1/d" `ls *spice | sed -e '/pex/d' | sed -e '/pxi/d'` && sed -i "/NWDIODE/d" `ls *spice | sed -e '/pex/d' | sed -e '/pxi/d'`
 	@cd MODELS && sh ../sedSpice
-	@ls NETLIST/*.spice | sed ':a;N;$$!ba;s/\n/ /g' | sed "`../techfiles/special_cells -libchar`" | sed 's/.spice\|NETLIST\///g' > celllist
+	@ls NETLIST/*spice | sed -e '/pex/d' | sed -e '/pxi/d' | sed ':a;N;$$!ba;s/\n/ /g' | sed "`../techfiles/special_cells -libchar`" | sed 's/.spice\|NETLIST\///g' > celllist
 	@touch $(VPATH)/$@
 
 all:
diff --git a/char/sourceme b/char/sourceme
index 8d9c1f8..34f2931 100644
--- a/char/sourceme
+++ b/char/sourceme
@@ -1,4 +1,4 @@
 source ../scripts/cadence.cshrc
 source ../scripts/magic.cshrc
 source ../scripts/synopsys_x64.cshrc
-source ../scripts/cadence.cshrc
+source ../scripts/mentor_x64.cshrc
diff --git a/char/techfiles/genArea.py b/char/techfiles/genArea.py
index 7869d0e..5b45ca9 100755
--- a/char/techfiles/genArea.py
+++ b/char/techfiles/genArea.py
@@ -25,7 +25,7 @@
 
 
 
-lefName = "../../outputs/sky130_osu_sc_12T_hs.lef"
+lefName = "../../outputs/sky130_osu_sc_18T_ms.lef"
 
 f = open(lefName,"r")
 lefFile = f.read()
@@ -91,18 +91,7 @@
 #for cells that start with X
 footprintList = []
 for name in nameList:
-    if("X" in name):
-        nameSplit = name.split("X")
-        tempFoot = ""
-        if(name[0] is "X"):
-            tempFoot = tempFoot + "X"
-
-        for inc in range(len(nameSplit)-1) :
-            tempFoot = tempFoot +  nameSplit[inc]
-
-        footprintList.append(tempFoot)
-    else:
-        footprintList.append(name)
+    footprintList.append("_".join(name.split('_')[:-1]))
 
 #Print to screen which cells are not a multiple of 11
 for name,width,height,area,mult11 in zip(nameList,widthList,heightList,areaList,multEleven):
@@ -141,7 +130,7 @@
 #Write areaData and footprint to file. Uncomment lines in for loop to add other things
 writeF = open("../../outputs/areaData.lib", "w+")
 
-writeF.write("library (s8_osu130) {\n")
+writeF.write("library (sky130_osu_sc_18T_ms) {\n")
 
 for name,width,height,area,mult11,footprint in zip(nameList,widthList,heightList,areaList,multEleven,footprintList):
     writeF.write("\n\ncell(" + name + ") {")
diff --git a/char/techfiles/sky130_osu_sc.tlef b/char/techfiles/sky130_osu_sc_12T.tlef
similarity index 98%
copy from char/techfiles/sky130_osu_sc.tlef
copy to char/techfiles/sky130_osu_sc_12T.tlef
index 88761ac..30d4b65 100644
--- a/char/techfiles/sky130_osu_sc.tlef
+++ b/char/techfiles/sky130_osu_sc_12T.tlef
@@ -28,30 +28,12 @@
 
 MANUFACTURINGGRID 0.005 ;
 
-SITE 18T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 6.66 ;
-END 18T
-
-SITE 15T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 5.55 ;
-END 15T
-
 SITE 12T
   SYMMETRY X Y ;
   CLASS CORE ;
   SIZE 0.11 BY 4.44 ;
 END 12T
 
-SITE 9T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 3.33 ;
-END 9T
-
 LAYER diff
     TYPE MASTERSLICE ;
 END diff
@@ -109,6 +91,7 @@
   PITCH 0.37 ;
   MINENCLOSEDAREA 0.14 ;
   MINWIDTH 0.14 ;
+  OFFSET 0.26 ;
 
   WIDTH 0.14 ;                     # Met1 1
   # SPACING 0.14 ;                 # Met1 2
diff --git a/char/techfiles/sky130_osu_sc.tlef b/char/techfiles/sky130_osu_sc_15T.tlef
similarity index 98%
copy from char/techfiles/sky130_osu_sc.tlef
copy to char/techfiles/sky130_osu_sc_15T.tlef
index 88761ac..c1fcd41 100644
--- a/char/techfiles/sky130_osu_sc.tlef
+++ b/char/techfiles/sky130_osu_sc_15T.tlef
@@ -28,30 +28,12 @@
 
 MANUFACTURINGGRID 0.005 ;
 
-SITE 18T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 6.66 ;
-END 18T
-
 SITE 15T
   SYMMETRY X Y ;
   CLASS CORE ;
   SIZE 0.11 BY 5.55 ;
 END 15T
 
-SITE 12T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 4.44 ;
-END 12T
-
-SITE 9T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 3.33 ;
-END 9T
-
 LAYER diff
     TYPE MASTERSLICE ;
 END diff
@@ -109,6 +91,7 @@
   PITCH 0.37 ;
   MINENCLOSEDAREA 0.14 ;
   MINWIDTH 0.14 ;
+  OFFSET 0.11 ;
 
   WIDTH 0.14 ;                     # Met1 1
   # SPACING 0.14 ;                 # Met1 2
diff --git a/char/techfiles/sky130_osu_sc.tlef b/char/techfiles/sky130_osu_sc_18T.tlef
similarity index 98%
rename from char/techfiles/sky130_osu_sc.tlef
rename to char/techfiles/sky130_osu_sc_18T.tlef
index 88761ac..5590631 100644
--- a/char/techfiles/sky130_osu_sc.tlef
+++ b/char/techfiles/sky130_osu_sc_18T.tlef
@@ -34,24 +34,6 @@
   SIZE 0.11 BY 6.66 ;
 END 18T
 
-SITE 15T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 5.55 ;
-END 15T
-
-SITE 12T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 4.44 ;
-END 12T
-
-SITE 9T
-  SYMMETRY X Y ;
-  CLASS CORE ;
-  SIZE 0.11 BY 3.33 ;
-END 9T
-
 LAYER diff
     TYPE MASTERSLICE ;
 END diff
diff --git a/char/techfiles/special_cells b/char/techfiles/special_cells
index 191a0a0..75c3d0a 100755
--- a/char/techfiles/special_cells
+++ b/char/techfiles/special_cells
@@ -1,12 +1,12 @@
 #!/bin/bash
 
 unfinished_cells=""
-physical_cells="DECAPXL DECAPX1 FILLX1 FILLX2 FILLX4 FILLX8 FILLX16 FILLX32"
+physical_cells="decap fill ant"
 test_cells="test final"
 
 res="s/\("
-for x in ${unfinished_cells}; do res="${res}${x}\\|"; done
-for x in ${test_cells}; do res="${res}${x}\\|"; done
+for x in ${unfinished_cells}; do res="${res}[^ ]*${x}[^ ]*\\|"; done
+for x in ${test_cells}; do res="${res}[^ ]*${x}[^ ]*\\|"; done
 
 if [ $1 == "-lef_gen" ]
 then
@@ -15,7 +15,7 @@
 
 if [ $1 == "-libchar" ]
 then
-for x in ${physical_cells}; do res="${res}${x}\\|"; done
+for x in ${physical_cells}; do res="${res}[^ ]*${x}[^ ]*\\|"; done
 fi
 
 res="${res}nosuchfile\\)[^ ]*//g"
diff --git a/flow/pnr/PLUG/INNOVUS/post_init.tcl b/flow/pnr/PLUG/INNOVUS/post_init.tcl
index 3672248..b20fe98 100755
--- a/flow/pnr/PLUG/INNOVUS/post_init.tcl
+++ b/flow/pnr/PLUG/INNOVUS/post_init.tcl
@@ -61,14 +61,21 @@
 addRing -nets {VDD VSS} -type core_rings -follow core -layer {top met1 bottom met1 left met2 right met2} -width {top 14.4 bottom 14.4 left 14.4 right 14.4} -spacing {top 1.8 bottom 1.8 left 1.8 right 1.8} -offset {top 1.8 bottom 1.8 left 1.8 right 1.8} -center 0 -extend_corner {} -threshold 0 -jog_distance 0 -snap_wire_center_to_grid None
 
 ####Some settings for power grid:
-set vars(layers) "1"
-# M1
+set vars(layers) "0 1"
+# LI1
 set vars(1,width) 0.61
-set vars(1,space) 6.05
-set vars(1,pitch) 13.32
+set vars(1,space) 4.94
+set vars(1,pitch) 11.10
 set vars(1,direction) horizontal
 set vars(1,bottom_via_layer) 0
 set vars(1,offset) -0.1525
+# M1
+set vars(0,width) 0.61
+set vars(0,space) 4.94
+set vars(0,pitch) 11.10
+set vars(0,direction) horizontal
+set vars(0,bottom_via_layer) 0
+set vars(0,offset) -0.1525
 
 
 foreach layer $vars(layers) {
diff --git a/flow/pnr/PLUG/INNOVUS/pre_cts.tcl b/flow/pnr/PLUG/INNOVUS/pre_cts.tcl
index 51c1809..798ab43 100755
--- a/flow/pnr/PLUG/INNOVUS/pre_cts.tcl
+++ b/flow/pnr/PLUG/INNOVUS/pre_cts.tcl
@@ -19,4 +19,4 @@
 
 set_interactive_constraint_modes setup_func_mode
 
-create_clock -name clk -period 2.8
+#create_clock -name clk -period 2.8
diff --git a/flow/pnr/innovus_config.tcl b/flow/pnr/innovus_config.tcl
index a0fbdc2..52aa984 100755
--- a/flow/pnr/innovus_config.tcl
+++ b/flow/pnr/innovus_config.tcl
@@ -46,9 +46,9 @@
 set vars(enable_ss)                      false
 set vars(litho_driven_routing)           false
 #set vars(dont_use_list) "*CLK*"
-set vars(tie_cells)     "TIEHI TIELO"
-set vars(filler_cells)  "FILLX1 FILLX2 FILLX4 FILLX8 FILLX16 FILLX32"
-set vars(cts_inverter_cells) "INVX1 INVX2 INVX4"
+set vars(tie_cells)     "sky130_osu_sc_15T_ms__tiehi sky130_osu_sc_15T_ms__tielo"
+set vars(filler_cells)  "sky130_osu_sc_15T_ms__fill_1 sky130_osu_sc_15T_ms__fill_2 sky130_osu_sc_15T_ms__fill_4 sky130_osu_sc_15T_ms__fill_8 sky130_osu_sc_15T_ms__fill_16"
+set vars(cts_inverter_cells) "sky130_osu_sc_15T_ms__inv_l sky130_osu_sc_15T_ms__inv_1 sky130_osu_sc_15T_ms__inv_2 sky130_osu_sc_15T_ms__inv_3 sky130_osu_sc_15T_ms__inv_4"
 #set vars(cts_buffer_cells) "CLKBUFX1"
 #set vars(delay_cells) "DLY1 DLY2 DLY3 DLY4"
 set vars(place_io_pins)                 true
diff --git a/flow/pnr/setup.tcl b/flow/pnr/setup.tcl
index 5b5d9ff..a712271 100755
--- a/flow/pnr/setup.tcl
+++ b/flow/pnr/setup.tcl
@@ -99,9 +99,9 @@
 # set vars(<set1>,si)     <list of cdb/udn files> (OPTIONAL)
 ###############################################################################
 set vars(library_sets)   "libs_tt"
-set vars(libs_tt,timing) "../../outputs/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.lib"
-set vars(lef_files) "../../char/techfiles/sky130_osu_sc.tlef \
-		     ../../outputs/sky130_osu_sc_18T.lef "
+set vars(libs_tt,timing) "../../outputs/sky130_osu_sc_15T_ms_TT_1P8_25C.ccs.lib"
+set vars(lef_files) "../../char/techfiles/sky130_osu_sc_15T.tlef \
+		     ../../outputs/sky130_osu_sc_15T_ms.lef "
 
 ###############################################################################
 # Define rc corners ...
diff --git a/flow/synth_snpsRISCV/scripts/synth.tcl b/flow/synth_snpsRISCV/scripts/synth.tcl
index bc31cf7..42565a1 100755
--- a/flow/synth_snpsRISCV/scripts/synth.tcl
+++ b/flow/synth_snpsRISCV/scripts/synth.tcl
@@ -41,7 +41,7 @@
 
 # Set Frequency in [MHz] or [ps]
 set my_clock_pin clk
-set my_uncertainty 0.2
+set my_uncertainty 0.1
 set my_clk_freq_MHz 400
 set my_period [expr 1000 / $my_clk_freq_MHz]
 
@@ -70,14 +70,14 @@
 set_propagated_clock [get_clocks $my_clk]
 
 # Setting constraints on input ports 
-set_driving_cell  -lib_cell DFFX1 -pin Q $all_in_ex_clk
+set_driving_cell  -lib_cell sky130_osu_sc_18T_ms__dff_1 -pin Q $all_in_ex_clk
 
 # Set input/output delay
 set_input_delay 0.0 -max -clock $my_clk $all_in_ex_clk
 set_output_delay 0.0 -max -clock $my_clk [all_outputs]
 
 # Setting load constraint on output ports 
-set_load [expr [load_of sky130_osu_sc_18T_ms_TT_1P8_25C.ccs/DFFX1/D] * 1] [all_outputs]
+set_load [expr [load_of sky130_osu_sc_18T_ms_TT_1P8_25C.ccs.lib/sky130_osu_sc_18T_ms__dff_1/D] * 1] [all_outputs]
 
 # Set the wire load model 
 set_wire_load_mode "top"
diff --git a/lib/12T_hs/ADDFX1.mag b/lib/12T_hs/ADDFX1.mag
deleted file mode 100644
index 1d6a9ff..0000000
--- a/lib/12T_hs/ADDFX1.mag
+++ /dev/null
@@ -1,591 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600768287
-<< nwell >>
-rect -9 485 1435 897
-<< nmos >>
-rect 80 115 110 223
-rect 166 115 196 223
-rect 252 115 282 223
-rect 338 115 368 223
-rect 410 115 440 223
-rect 496 115 526 223
-rect 582 115 612 223
-rect 668 115 698 223
-rect 754 115 784 223
-rect 840 115 870 223
-rect 922 115 952 223
-rect 1004 115 1034 223
-rect 1102 115 1132 223
-rect 1292 115 1322 223
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-rect 338 521 368 773
-rect 410 521 440 773
-rect 496 521 526 773
-rect 582 521 612 773
-rect 668 521 698 773
-rect 754 521 784 773
-rect 840 521 870 773
-rect 922 521 952 773
-rect 1004 521 1034 773
-rect 1102 521 1132 773
-rect 1292 521 1322 773
-<< ndiff >>
-rect 27 199 80 223
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 165 166 223
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 199 252 223
-rect 196 131 207 199
-rect 241 131 252 199
-rect 196 115 252 131
-rect 282 199 338 223
-rect 282 131 293 199
-rect 327 131 338 199
-rect 282 115 338 131
-rect 368 115 410 223
-rect 440 199 496 223
-rect 440 131 451 199
-rect 485 131 496 199
-rect 440 115 496 131
-rect 526 199 582 223
-rect 526 131 537 199
-rect 571 131 582 199
-rect 526 115 582 131
-rect 612 165 668 223
-rect 612 131 623 165
-rect 657 131 668 165
-rect 612 115 668 131
-rect 698 199 754 223
-rect 698 131 709 199
-rect 743 131 754 199
-rect 698 115 754 131
-rect 784 165 840 223
-rect 784 131 795 165
-rect 829 131 840 165
-rect 784 115 840 131
-rect 870 115 922 223
-rect 952 115 1004 223
-rect 1034 199 1102 223
-rect 1034 131 1045 199
-rect 1079 131 1102 199
-rect 1034 115 1102 131
-rect 1132 165 1185 223
-rect 1132 131 1143 165
-rect 1177 131 1185 165
-rect 1132 115 1185 131
-rect 1239 165 1292 223
-rect 1239 131 1247 165
-rect 1281 131 1292 165
-rect 1239 115 1292 131
-rect 1322 199 1375 223
-rect 1322 131 1333 199
-rect 1367 131 1375 199
-rect 1322 115 1375 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 655 35 757
-rect 69 655 80 757
-rect 27 521 80 655
-rect 110 757 166 773
-rect 110 723 121 757
-rect 155 723 166 757
-rect 110 521 166 723
-rect 196 757 252 773
-rect 196 655 207 757
-rect 241 655 252 757
-rect 196 521 252 655
-rect 282 757 338 773
-rect 282 655 293 757
-rect 327 655 338 757
-rect 282 521 338 655
-rect 368 521 410 773
-rect 440 757 496 773
-rect 440 655 451 757
-rect 485 655 496 757
-rect 440 521 496 655
-rect 526 757 582 773
-rect 526 655 537 757
-rect 571 655 582 757
-rect 526 521 582 655
-rect 612 757 668 773
-rect 612 723 623 757
-rect 657 723 668 757
-rect 612 521 668 723
-rect 698 757 754 773
-rect 698 655 709 757
-rect 743 655 754 757
-rect 698 521 754 655
-rect 784 757 840 773
-rect 784 655 795 757
-rect 829 655 840 757
-rect 784 521 840 655
-rect 870 521 922 773
-rect 952 521 1004 773
-rect 1034 757 1102 773
-rect 1034 723 1045 757
-rect 1079 723 1102 757
-rect 1034 521 1102 723
-rect 1132 757 1185 773
-rect 1132 655 1143 757
-rect 1177 655 1185 757
-rect 1132 521 1185 655
-rect 1239 757 1292 773
-rect 1239 587 1247 757
-rect 1281 587 1292 757
-rect 1239 521 1292 587
-rect 1322 757 1375 773
-rect 1322 587 1333 757
-rect 1367 587 1375 757
-rect 1322 521 1375 587
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 165
-rect 207 131 241 199
-rect 293 131 327 199
-rect 451 131 485 199
-rect 537 131 571 199
-rect 623 131 657 165
-rect 709 131 743 199
-rect 795 131 829 165
-rect 1045 131 1079 199
-rect 1143 131 1177 165
-rect 1247 131 1281 165
-rect 1333 131 1367 199
-<< pdiffc >>
-rect 35 655 69 757
-rect 121 723 155 757
-rect 207 655 241 757
-rect 293 655 327 757
-rect 451 655 485 757
-rect 537 655 571 757
-rect 623 723 657 757
-rect 709 655 743 757
-rect 795 655 829 757
-rect 1045 723 1079 757
-rect 1143 655 1177 757
-rect 1247 587 1281 757
-rect 1333 587 1367 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-rect 435 827 459 861
-rect 493 827 517 861
-rect 571 827 595 861
-rect 629 827 653 861
-rect 707 827 731 861
-rect 765 827 789 861
-rect 843 827 867 861
-rect 901 827 925 861
-rect 979 827 1003 861
-rect 1037 827 1061 861
-rect 1115 827 1139 861
-rect 1173 827 1197 861
-rect 1251 827 1275 861
-rect 1309 827 1333 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-rect 459 827 493 861
-rect 595 827 629 861
-rect 731 827 765 861
-rect 867 827 901 861
-rect 1003 827 1037 861
-rect 1139 827 1173 861
-rect 1275 827 1309 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 801
-rect 338 773 368 801
-rect 410 773 440 799
-rect 496 773 526 799
-rect 582 773 612 801
-rect 668 773 698 801
-rect 754 773 784 801
-rect 840 773 870 801
-rect 922 773 952 801
-rect 1004 773 1034 801
-rect 1102 773 1132 801
-rect 1292 773 1322 801
-rect 80 335 110 521
-rect 166 489 196 521
-rect 152 473 206 489
-rect 152 439 162 473
-rect 196 439 206 473
-rect 152 423 206 439
-rect 70 319 124 335
-rect 70 285 80 319
-rect 114 285 124 319
-rect 70 269 124 285
-rect 80 223 110 269
-rect 166 223 196 423
-rect 252 394 282 521
-rect 338 423 368 521
-rect 410 496 440 521
-rect 496 496 526 521
-rect 410 466 526 496
-rect 338 407 430 423
-rect 238 378 292 394
-rect 238 344 248 378
-rect 282 344 292 378
-rect 238 328 292 344
-rect 338 373 386 407
-rect 420 373 430 407
-rect 338 357 430 373
-rect 252 223 282 328
-rect 338 223 368 357
-rect 472 333 502 466
-rect 582 362 612 521
-rect 668 455 698 521
-rect 656 439 710 455
-rect 656 405 666 439
-rect 700 405 710 439
-rect 656 389 710 405
-rect 568 346 622 362
-rect 472 317 526 333
-rect 472 283 482 317
-rect 516 283 526 317
-rect 568 312 578 346
-rect 612 312 622 346
-rect 568 296 622 312
-rect 472 271 526 283
-rect 410 241 526 271
-rect 410 223 440 241
-rect 496 223 526 241
-rect 582 223 612 296
-rect 668 223 698 389
-rect 754 333 784 521
-rect 840 469 870 521
-rect 826 453 880 469
-rect 826 419 836 453
-rect 870 419 880 453
-rect 826 403 880 419
-rect 922 417 952 521
-rect 1004 489 1034 521
-rect 1004 459 1048 489
-rect 1102 488 1132 521
-rect 742 317 796 333
-rect 742 283 752 317
-rect 786 283 796 317
-rect 742 267 796 283
-rect 754 223 784 267
-rect 840 223 870 403
-rect 922 401 976 417
-rect 922 367 932 401
-rect 966 367 976 401
-rect 922 351 976 367
-rect 922 223 952 351
-rect 1018 318 1048 459
-rect 1090 472 1144 488
-rect 1292 485 1322 521
-rect 1090 438 1100 472
-rect 1134 438 1144 472
-rect 1090 422 1144 438
-rect 1255 469 1322 485
-rect 1255 435 1265 469
-rect 1299 435 1322 469
-rect 1004 302 1058 318
-rect 1004 268 1014 302
-rect 1048 268 1058 302
-rect 1004 252 1058 268
-rect 1004 223 1034 252
-rect 1102 223 1132 422
-rect 1255 419 1322 435
-rect 1292 223 1322 419
-rect 80 81 110 115
-rect 166 82 196 115
-rect 252 82 282 115
-rect 338 82 368 115
-rect 410 82 440 115
-rect 496 82 526 115
-rect 582 82 612 115
-rect 668 82 698 115
-rect 754 82 784 115
-rect 840 82 870 115
-rect 922 82 952 115
-rect 1004 82 1034 115
-rect 1102 80 1132 115
-rect 1292 80 1322 115
-<< polycont >>
-rect 162 439 196 473
-rect 80 285 114 319
-rect 248 344 282 378
-rect 386 373 420 407
-rect 666 405 700 439
-rect 482 283 516 317
-rect 578 312 612 346
-rect 836 419 870 453
-rect 752 283 786 317
-rect 932 367 966 401
-rect 1100 438 1134 472
-rect 1265 435 1299 469
-rect 1014 268 1048 302
-<< locali >>
-rect 0 861 1408 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 459 861
-rect 493 827 595 861
-rect 629 827 731 861
-rect 765 827 867 861
-rect 901 827 1003 861
-rect 1037 827 1139 861
-rect 1173 827 1275 861
-rect 1309 827 1408 861
-rect 35 757 69 773
-rect 121 757 155 827
-rect 121 707 155 723
-rect 207 757 241 773
-rect 35 639 69 655
-rect 207 639 241 655
-rect 35 605 241 639
-rect 293 757 327 773
-rect 293 546 327 655
-rect 451 757 485 827
-rect 451 639 485 655
-rect 537 757 571 773
-rect 623 757 657 827
-rect 623 707 657 723
-rect 709 757 743 773
-rect 571 655 709 673
-rect 537 639 743 655
-rect 795 757 829 773
-rect 1045 757 1079 827
-rect 1045 707 1079 723
-rect 1143 757 1177 773
-rect 795 614 829 655
-rect 795 603 1100 614
-rect 752 580 1100 603
-rect 752 569 829 580
-rect 293 509 350 546
-rect 80 473 114 501
-rect 80 439 162 473
-rect 196 439 212 473
-rect 80 319 114 353
-rect 248 378 282 427
-rect 248 328 282 344
-rect 64 285 80 319
-rect 114 285 130 319
-rect 316 313 350 509
-rect 386 501 444 535
-rect 386 407 420 501
-rect 386 357 420 373
-rect 482 317 516 353
-rect 578 346 612 501
-rect 650 405 666 439
-rect 700 405 716 439
-rect 752 385 786 569
-rect 836 453 870 501
-rect 1066 488 1100 580
-rect 1143 571 1177 650
-rect 1247 757 1281 827
-rect 1247 571 1281 587
-rect 1333 757 1367 773
-rect 1143 537 1202 571
-rect 1066 472 1134 488
-rect 820 419 836 453
-rect 870 419 886 453
-rect 1066 441 1100 472
-rect 1089 438 1100 441
-rect 932 401 966 427
-rect 1100 422 1134 438
-rect 752 351 879 385
-rect 1168 388 1202 537
-rect 932 351 966 367
-rect 466 283 482 317
-rect 516 283 532 317
-rect 578 296 612 312
-rect 736 283 752 317
-rect 786 313 811 317
-rect 35 215 241 249
-rect 35 199 69 215
-rect 207 199 241 215
-rect 35 115 69 131
-rect 121 165 155 181
-rect 121 61 155 131
-rect 207 114 241 131
-rect 293 245 350 279
-rect 293 199 327 245
-rect 537 215 743 249
-rect 293 114 327 131
-rect 451 199 485 215
-rect 451 61 485 131
-rect 537 199 571 215
-rect 709 199 743 215
-rect 537 114 571 131
-rect 623 165 657 181
-rect 623 61 657 131
-rect 845 181 879 351
-rect 1014 302 1048 353
-rect 1143 354 1202 388
-rect 1265 469 1299 485
-rect 998 268 1014 302
-rect 1048 268 1064 302
-rect 709 114 743 131
-rect 795 165 879 181
-rect 829 147 879 165
-rect 1045 199 1079 215
-rect 795 114 829 131
-rect 1045 61 1079 131
-rect 1143 165 1177 354
-rect 1265 313 1299 435
-rect 1245 279 1299 313
-rect 1333 199 1367 575
-rect 1143 115 1177 131
-rect 1247 165 1281 181
-rect 1247 61 1281 131
-rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
-<< viali >>
-rect 1143 655 1177 684
-rect 1143 650 1177 655
-rect 80 501 114 535
-rect 248 427 282 461
-rect 80 353 114 387
-rect 444 501 478 535
-rect 578 501 612 535
-rect 482 353 516 387
-rect 666 439 700 461
-rect 666 427 700 439
-rect 836 501 870 535
-rect 1333 587 1367 609
-rect 1333 575 1367 587
-rect 932 427 966 461
-rect 1014 353 1048 387
-rect 316 279 350 313
-rect 777 283 786 313
-rect 786 283 811 313
-rect 777 279 811 283
-rect 1211 279 1245 313
-<< metal1 >>
-rect 0 827 1408 888
-rect 1131 684 1189 690
-rect 1109 650 1143 684
-rect 1177 650 1189 684
-rect 1131 644 1189 650
-rect 1321 609 1379 615
-rect 1299 575 1333 609
-rect 1367 575 1379 609
-rect 1321 569 1379 575
-rect 68 535 126 541
-rect 432 535 490 541
-rect 566 535 624 541
-rect 824 535 882 541
-rect 68 501 80 535
-rect 114 501 444 535
-rect 478 501 578 535
-rect 612 502 836 535
-rect 612 501 734 502
-rect 812 501 836 502
-rect 870 501 882 535
-rect 68 495 126 501
-rect 432 495 490 501
-rect 566 495 624 501
-rect 824 495 882 501
-rect 236 461 294 467
-rect 654 461 712 467
-rect 920 461 978 467
-rect 80 427 248 461
-rect 282 427 666 461
-rect 700 427 932 461
-rect 966 427 978 461
-rect 236 421 294 427
-rect 654 421 712 427
-rect 920 421 978 427
-rect 68 387 126 393
-rect 470 387 528 393
-rect 1002 387 1060 393
-rect 68 353 80 387
-rect 114 353 482 387
-rect 516 353 1014 387
-rect 1048 353 1060 387
-rect 68 347 126 353
-rect 470 347 528 353
-rect 1002 347 1060 353
-rect 304 313 362 319
-rect 765 313 823 319
-rect 1199 313 1257 319
-rect 304 279 316 313
-rect 350 279 777 313
-rect 811 279 1211 313
-rect 1245 279 1257 313
-rect 304 273 362 279
-rect 765 273 823 279
-rect 1199 273 1257 279
-rect 0 0 1408 61
-<< labels >>
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 1228 296 1228 296 1 CON
-port 1 n
-rlabel metal1 1350 592 1350 592 1 CO
-port 2 n
-rlabel metal1 265 444 265 444 1 CI
-port 3 n
-rlabel metal1 97 370 97 370 1 A
-port 4 n
-rlabel metal1 1160 667 1160 667 1 S
-port 5 n
-rlabel metal1 129 518 129 518 1 B
-port 6 n
-<< end >>
diff --git a/lib/12T_hs/AND2X1.mag b/lib/12T_hs/AND2X1.mag
deleted file mode 100644
index 7b948eb..0000000
--- a/lib/12T_hs/AND2X1.mag
+++ /dev/null
@@ -1,170 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600776155
-<< nwell >>
-rect -9 485 374 897
-<< nmos >>
-rect 80 115 110 199
-rect 152 115 182 199
-rect 252 115 282 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 115 152 199
-rect 182 165 252 199
-rect 182 131 193 165
-rect 227 131 252 165
-rect 182 115 252 131
-rect 282 165 335 199
-rect 282 131 293 165
-rect 327 131 335 165
-rect 282 115 335 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 655 121 757
-rect 155 655 166 757
-rect 110 521 166 655
-rect 196 757 252 773
-rect 196 655 207 757
-rect 241 655 252 757
-rect 196 521 252 655
-rect 282 757 335 773
-rect 282 655 293 757
-rect 327 655 335 757
-rect 282 521 335 655
-<< ndiffc >>
-rect 35 131 69 165
-rect 193 131 227 165
-rect 293 131 327 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 655 155 757
-rect 207 655 241 757
-rect 293 655 327 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 799
-rect 80 490 110 521
-rect 27 474 110 490
-rect 27 440 37 474
-rect 71 440 110 474
-rect 27 424 110 440
-rect 80 199 110 424
-rect 166 422 196 521
-rect 252 496 282 521
-rect 252 466 289 496
-rect 152 406 217 422
-rect 152 372 173 406
-rect 207 372 217 406
-rect 152 356 217 372
-rect 152 199 182 356
-rect 259 324 289 466
-rect 259 308 313 324
-rect 259 288 269 308
-rect 252 274 269 288
-rect 303 274 313 308
-rect 252 258 313 274
-rect 252 199 282 258
-rect 80 89 110 115
-rect 152 89 182 115
-rect 252 89 282 115
-<< polycont >>
-rect 37 440 71 474
-rect 173 372 207 406
-rect 269 274 303 308
-<< locali >>
-rect 0 861 374 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 374 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 105 655 121 673
-rect 105 639 155 655
-rect 207 757 241 827
-rect 207 639 241 655
-rect 293 757 327 773
-rect 37 474 71 575
-rect 37 424 71 440
-rect 105 308 139 639
-rect 173 406 207 501
-rect 293 461 327 655
-rect 173 356 207 372
-rect 35 274 269 308
-rect 303 274 319 308
-rect 35 165 69 274
-rect 35 115 69 131
-rect 193 165 227 181
-rect 193 61 227 131
-rect 293 165 327 205
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 37 575 71 609
-rect 173 501 207 535
-rect 293 427 327 461
-rect 293 205 327 239
-<< metal1 >>
-rect 0 827 374 888
-rect 25 609 83 615
-rect 25 575 37 609
-rect 71 575 105 609
-rect 25 569 83 575
-rect 161 535 219 541
-rect 140 501 173 535
-rect 207 501 219 535
-rect 161 495 219 501
-rect 281 461 339 467
-rect 281 427 293 461
-rect 327 427 339 461
-rect 281 421 339 427
-rect 293 245 327 421
-rect 281 239 339 245
-rect 281 205 293 239
-rect 327 205 339 239
-rect 281 199 339 205
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 311 370 311 370 1 Y
-port 1 n
-rlabel metal1 55 592 55 592 1 A
-port 2 n
-rlabel metal1 191 518 191 518 1 B
-port 3 n
-<< end >>
diff --git a/lib/12T_hs/AND2X2.mag b/lib/12T_hs/AND2X2.mag
deleted file mode 100644
index ad556fc..0000000
--- a/lib/12T_hs/AND2X2.mag
+++ /dev/null
@@ -1,199 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600776276
-<< nwell >>
-rect -9 485 462 897
-<< nmos >>
-rect 80 115 110 199
-rect 152 115 182 199
-rect 252 115 282 199
-rect 338 115 368 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-rect 338 521 368 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 115 152 199
-rect 182 165 252 199
-rect 182 131 193 165
-rect 227 131 252 165
-rect 182 115 252 131
-rect 282 165 338 199
-rect 282 131 293 165
-rect 327 131 338 165
-rect 282 115 338 131
-rect 368 165 421 199
-rect 368 131 379 165
-rect 413 131 421 165
-rect 368 115 421 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 655 121 757
-rect 155 655 166 757
-rect 110 521 166 655
-rect 196 757 252 773
-rect 196 655 207 757
-rect 241 655 252 757
-rect 196 521 252 655
-rect 282 757 338 773
-rect 282 655 293 757
-rect 327 655 338 757
-rect 282 521 338 655
-rect 368 757 421 773
-rect 368 655 379 757
-rect 413 655 421 757
-rect 368 521 421 655
-<< ndiffc >>
-rect 35 131 69 165
-rect 193 131 227 165
-rect 293 131 327 165
-rect 379 131 413 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 655 155 757
-rect 207 655 241 757
-rect 293 655 327 757
-rect 379 655 413 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 799
-rect 338 773 368 800
-rect 80 490 110 521
-rect 27 474 110 490
-rect 27 440 37 474
-rect 71 440 110 474
-rect 27 424 110 440
-rect 80 199 110 424
-rect 166 422 196 521
-rect 252 496 282 521
-rect 338 496 368 521
-rect 252 466 368 496
-rect 152 406 217 422
-rect 152 372 173 406
-rect 207 372 217 406
-rect 152 356 217 372
-rect 152 199 182 356
-rect 259 324 289 466
-rect 259 308 313 324
-rect 259 288 269 308
-rect 252 274 269 288
-rect 303 288 313 308
-rect 303 274 368 288
-rect 252 258 368 274
-rect 252 199 282 258
-rect 338 199 368 258
-rect 80 89 110 115
-rect 152 89 182 115
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 37 440 71 474
-rect 173 372 207 406
-rect 269 274 303 308
-<< locali >>
-rect 0 861 462 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 462 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 105 655 121 673
-rect 105 639 155 655
-rect 207 757 241 827
-rect 207 639 241 655
-rect 293 757 327 773
-rect 37 474 71 575
-rect 37 424 71 440
-rect 105 308 139 639
-rect 173 406 207 501
-rect 293 461 327 655
-rect 379 757 413 827
-rect 379 639 413 655
-rect 173 356 207 372
-rect 35 274 269 308
-rect 303 274 319 308
-rect 35 165 69 274
-rect 35 115 69 131
-rect 193 165 227 181
-rect 193 61 227 131
-rect 293 165 327 205
-rect 293 115 327 131
-rect 379 165 413 181
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 37 575 71 609
-rect 173 501 207 535
-rect 293 427 327 461
-rect 293 205 327 239
-<< metal1 >>
-rect 0 827 462 888
-rect 25 609 83 615
-rect 25 575 37 609
-rect 71 575 105 609
-rect 25 569 83 575
-rect 161 535 219 541
-rect 140 501 173 535
-rect 207 501 219 535
-rect 161 495 219 501
-rect 281 461 339 467
-rect 281 427 293 461
-rect 327 427 339 461
-rect 281 421 339 427
-rect 293 245 327 421
-rect 281 239 339 245
-rect 281 205 293 239
-rect 327 205 339 239
-rect 281 199 339 205
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 191 518 191 518 1 B
-port 1 n
-rlabel metal1 55 592 55 592 1 A
-port 2 n
-rlabel metal1 311 370 311 370 1 Y
-port 3 n
-<< end >>
diff --git a/lib/12T_hs/ANT.mag b/lib/12T_hs/ANT.mag
deleted file mode 100644
index fad4158..0000000
--- a/lib/12T_hs/ANT.mag
+++ /dev/null
@@ -1,91 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600771899
-<< nwell >>
-rect -9 485 199 897
-<< nmos >>
-rect 80 115 110 199
-<< pmos >>
-rect 80 521 110 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 163 199
-rect 110 131 121 165
-rect 155 131 163 165
-rect 110 115 163 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 163 773
-rect 110 689 121 757
-rect 155 689 163 757
-rect 110 521 163 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-<< poly >>
-rect 80 773 110 799
-rect 80 477 110 521
-rect 35 461 110 477
-rect 35 427 47 461
-rect 81 427 110 461
-rect 35 411 110 427
-rect 80 199 110 411
-rect 80 89 110 115
-<< polycont >>
-rect 47 427 81 461
-<< locali >>
-rect 0 861 198 888
-rect 0 827 51 861
-rect 85 827 198 861
-rect 35 757 69 773
-rect 35 461 69 689
-rect 121 757 155 827
-rect 121 673 155 689
-rect 31 427 47 461
-rect 81 427 97 461
-rect 35 321 69 427
-rect 35 287 155 321
-rect 35 165 69 287
-rect 35 115 69 131
-rect 121 165 155 287
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 427 81 461
-<< metal1 >>
-rect 0 827 198 888
-rect 35 461 108 467
-rect 35 427 47 461
-rect 81 427 108 461
-rect 35 421 108 427
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 64 444 64 444 1 A
-port 1 n
-<< end >>
diff --git a/lib/12T_hs/ANTFILL.mag b/lib/12T_hs/ANTFILL.mag
deleted file mode 100644
index c0fcb67..0000000
--- a/lib/12T_hs/ANTFILL.mag
+++ /dev/null
@@ -1,48 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600771934
-<< nwell >>
-rect -9 485 199 897
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-<< locali >>
-rect 0 861 198 888
-rect 0 827 51 861
-rect 85 827 198 861
-rect 35 463 69 773
-rect 121 545 155 827
-rect 31 429 47 463
-rect 81 429 97 463
-rect 35 321 69 429
-rect 35 287 155 321
-rect 35 115 69 287
-rect 121 115 155 287
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 429 81 463
-<< metal1 >>
-rect 0 827 198 888
-rect 35 463 108 469
-rect 35 429 47 463
-rect 81 429 108 463
-rect 35 423 108 429
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 64 446 64 446 1 A
-port 1 n
-<< end >>
diff --git a/lib/12T_hs/AOI21XL.mag b/lib/12T_hs/AOI21XL.mag
deleted file mode 100644
index 0144905..0000000
--- a/lib/12T_hs/AOI21XL.mag
+++ /dev/null
@@ -1,184 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600770307
-<< error_p >>
-rect 35 639 128 643
-rect 60 605 94 609
-<< nwell >>
-rect -9 485 374 897
-<< nmos >>
-rect 80 115 110 199
-rect 152 115 182 199
-rect 250 115 280 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 115 152 199
-rect 182 165 250 199
-rect 182 131 193 165
-rect 227 131 250 165
-rect 182 115 250 131
-rect 280 165 333 199
-rect 280 131 291 165
-rect 325 131 333 165
-rect 280 115 333 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 723 121 757
-rect 155 723 166 757
-rect 110 521 166 723
-rect 196 757 252 773
-rect 196 689 207 757
-rect 241 689 252 757
-rect 196 521 252 689
-rect 282 757 335 773
-rect 282 621 293 757
-rect 327 621 335 757
-rect 282 521 335 621
-<< ndiffc >>
-rect 35 131 69 165
-rect 193 131 227 165
-rect 291 131 325 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 723 155 757
-rect 207 689 241 757
-rect 293 621 327 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 799
-rect 80 484 110 521
-rect 44 474 110 484
-rect 44 440 60 474
-rect 94 440 110 474
-rect 44 430 110 440
-rect 44 274 74 430
-rect 166 388 196 521
-rect 252 483 282 521
-rect 252 453 309 483
-rect 134 372 196 388
-rect 134 338 146 372
-rect 180 338 196 372
-rect 134 322 196 338
-rect 279 329 309 453
-rect 44 242 110 274
-rect 80 199 110 242
-rect 152 199 182 322
-rect 279 313 333 329
-rect 279 295 289 313
-rect 250 279 289 295
-rect 323 279 333 313
-rect 250 263 333 279
-rect 250 199 280 263
-rect 80 89 110 115
-rect 152 89 182 115
-rect 250 89 280 115
-<< polycont >>
-rect 60 440 94 474
-rect 146 338 180 372
-rect 289 279 323 313
-<< locali >>
-rect 0 861 374 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 374 861
-rect 35 757 69 773
-rect 121 757 155 827
-rect 121 707 155 723
-rect 207 757 241 773
-rect 35 673 69 689
-rect 207 673 241 689
-rect 35 639 241 673
-rect 293 757 327 773
-rect 60 474 94 575
-rect 60 424 94 440
-rect 128 388 162 501
-rect 128 372 180 388
-rect 128 338 146 372
-rect 128 322 180 338
-rect 216 313 250 427
-rect 293 387 327 621
-rect 216 279 289 313
-rect 323 279 339 313
-rect 35 165 69 181
-rect 35 61 69 131
-rect 193 165 227 205
-rect 193 115 227 131
-rect 291 165 325 181
-rect 291 61 325 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 60 575 94 609
-rect 128 501 162 535
-rect 216 427 250 461
-rect 293 353 327 387
-rect 193 205 227 239
-<< metal1 >>
-rect 0 827 374 888
-rect 48 609 106 615
-rect 48 575 60 609
-rect 94 575 128 609
-rect 48 569 106 575
-rect 116 535 174 541
-rect 116 501 128 535
-rect 162 501 196 535
-rect 116 495 174 501
-rect 204 461 262 467
-rect 182 427 216 461
-rect 250 427 262 461
-rect 204 421 262 427
-rect 281 387 339 393
-rect 281 353 293 387
-rect 327 353 339 387
-rect 281 347 339 353
-rect 181 239 239 245
-rect 293 239 327 347
-rect 181 205 193 239
-rect 227 205 327 239
-rect 181 199 239 205
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 310 340 310 340 1 Y
-port 1 n
-rlabel metal1 77 592 77 592 1 A0
-port 2 n
-rlabel metal1 145 518 145 518 1 A1
-port 3 n
-rlabel metal1 233 444 233 444 1 B0
-port 4 n
-<< end >>
diff --git a/lib/12T_hs/BUFX1.mag b/lib/12T_hs/BUFX1.mag
deleted file mode 100644
index 2012162..0000000
--- a/lib/12T_hs/BUFX1.mag
+++ /dev/null
@@ -1,143 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600776375
-<< error_p >>
-rect 176 258 210 273
-rect 207 224 241 239
-<< nwell >>
-rect -9 485 288 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 249 199
-rect 196 131 207 165
-rect 241 131 249 165
-rect 196 115 249 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 655 35 757
-rect 69 655 80 757
-rect 27 521 80 655
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 757 249 773
-rect 196 655 207 757
-rect 241 655 249 757
-rect 196 521 249 655
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-<< pdiffc >>
-rect 35 655 69 757
-rect 121 689 155 757
-rect 207 655 241 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 80 433 110 521
-rect 166 506 196 521
-rect 166 476 251 506
-rect 80 417 154 433
-rect 80 383 110 417
-rect 144 383 154 417
-rect 80 367 154 383
-rect 80 199 110 367
-rect 221 324 251 476
-rect 166 308 251 324
-rect 166 274 176 308
-rect 210 274 251 308
-rect 166 258 251 274
-rect 166 199 196 258
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 110 383 144 417
-rect 176 274 210 308
-<< locali >>
-rect 0 861 286 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 286 861
-rect 35 757 69 773
-rect 121 757 155 827
-rect 121 673 155 689
-rect 207 757 241 773
-rect 35 308 69 655
-rect 110 417 144 575
-rect 207 535 241 655
-rect 110 367 144 383
-rect 176 308 210 324
-rect 35 274 176 308
-rect 35 165 69 274
-rect 176 258 210 274
-rect 35 115 69 131
-rect 121 165 155 181
-rect 121 61 155 131
-rect 207 165 241 205
-rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 110 575 144 609
-rect 207 501 241 535
-rect 207 205 241 239
-<< metal1 >>
-rect 0 827 286 888
-rect 98 609 156 615
-rect 64 575 110 609
-rect 144 575 156 609
-rect 98 569 156 575
-rect 195 535 253 541
-rect 195 501 207 535
-rect 241 501 253 535
-rect 195 495 253 501
-rect 207 245 241 495
-rect 195 239 253 245
-rect 195 205 207 239
-rect 241 205 253 239
-rect 195 199 253 205
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 213 381 213 381 1 Y
-port 1 n
-rlabel metal1 127 592 127 592 1 A
-port 2 n
-<< end >>
diff --git a/lib/12T_hs/BUFX2.mag b/lib/12T_hs/BUFX2.mag
deleted file mode 100644
index 2925c03..0000000
--- a/lib/12T_hs/BUFX2.mag
+++ /dev/null
@@ -1,160 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600776555
-<< nwell >>
-rect -9 485 376 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-rect 252 115 282 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 252 199
-rect 196 131 207 165
-rect 241 131 252 165
-rect 196 115 252 131
-rect 282 165 335 199
-rect 282 131 293 165
-rect 327 131 335 165
-rect 282 115 335 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 757 252 773
-rect 196 689 207 757
-rect 241 689 252 757
-rect 196 521 252 689
-rect 282 757 335 773
-rect 282 689 293 757
-rect 327 689 335 757
-rect 282 521 335 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-rect 293 131 327 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-rect 207 689 241 757
-rect 293 689 327 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 800
-rect 80 433 110 521
-rect 166 506 196 521
-rect 252 506 282 521
-rect 166 476 282 506
-rect 80 417 154 433
-rect 80 383 110 417
-rect 144 383 154 417
-rect 80 367 154 383
-rect 80 199 110 367
-rect 221 324 251 476
-rect 166 308 251 324
-rect 166 274 176 308
-rect 210 288 251 308
-rect 210 274 282 288
-rect 166 258 282 274
-rect 166 199 196 258
-rect 252 199 282 258
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 110 383 144 417
-rect 176 274 210 308
-<< locali >>
-rect 0 861 374 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 374 861
-rect 35 757 69 773
-rect 35 308 69 689
-rect 121 757 155 827
-rect 121 673 155 689
-rect 207 757 241 773
-rect 110 417 144 575
-rect 207 535 241 689
-rect 293 757 327 827
-rect 293 673 327 689
-rect 110 367 144 383
-rect 35 274 176 308
-rect 210 274 226 308
-rect 35 165 69 274
-rect 35 115 69 131
-rect 121 165 155 181
-rect 121 61 155 131
-rect 207 165 241 205
-rect 207 115 241 131
-rect 293 165 327 181
-rect 293 61 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 110 575 144 609
-rect 207 501 241 535
-rect 207 205 241 239
-<< metal1 >>
-rect 0 827 374 888
-rect 98 609 156 615
-rect 64 575 110 609
-rect 144 575 156 609
-rect 98 569 156 575
-rect 195 535 253 541
-rect 195 501 207 535
-rect 241 501 253 535
-rect 195 495 253 501
-rect 207 245 241 495
-rect 195 239 253 245
-rect 195 205 207 239
-rect 241 205 253 239
-rect 195 199 253 205
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 127 592 127 592 1 A
-port 1 n
-rlabel metal1 211 380 211 380 1 Y
-port 2 n
-<< end >>
diff --git a/lib/12T_hs/BUFX4.mag b/lib/12T_hs/BUFX4.mag
deleted file mode 100644
index cef7f1c..0000000
--- a/lib/12T_hs/BUFX4.mag
+++ /dev/null
@@ -1,219 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600776674
-<< nwell >>
-rect -9 485 552 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-rect 252 115 282 199
-rect 338 115 368 199
-rect 424 115 454 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-rect 338 521 368 773
-rect 424 521 454 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 252 199
-rect 196 131 207 165
-rect 241 131 252 165
-rect 196 115 252 131
-rect 282 165 338 199
-rect 282 131 293 165
-rect 327 131 338 165
-rect 282 115 338 131
-rect 368 165 424 199
-rect 368 131 379 165
-rect 413 131 424 165
-rect 368 115 424 131
-rect 454 165 507 199
-rect 454 131 465 165
-rect 499 131 507 165
-rect 454 115 507 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 757 252 773
-rect 196 689 207 757
-rect 241 689 252 757
-rect 196 521 252 689
-rect 282 757 338 773
-rect 282 689 293 757
-rect 327 689 338 757
-rect 282 521 338 689
-rect 368 757 424 773
-rect 368 689 379 757
-rect 413 689 424 757
-rect 368 521 424 689
-rect 454 757 507 773
-rect 454 689 465 757
-rect 499 689 507 757
-rect 454 521 507 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-rect 293 131 327 165
-rect 379 131 413 165
-rect 465 131 499 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-rect 207 689 241 757
-rect 293 689 327 757
-rect 379 689 413 757
-rect 465 689 499 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 800
-rect 338 773 368 799
-rect 424 773 454 799
-rect 80 433 110 521
-rect 166 506 196 521
-rect 252 506 282 521
-rect 338 506 368 521
-rect 424 506 454 521
-rect 166 476 454 506
-rect 80 417 154 433
-rect 80 383 110 417
-rect 144 383 154 417
-rect 80 367 154 383
-rect 80 199 110 367
-rect 221 324 251 476
-rect 166 308 251 324
-rect 166 274 176 308
-rect 210 288 251 308
-rect 210 274 454 288
-rect 166 258 454 274
-rect 166 199 196 258
-rect 252 199 282 258
-rect 338 199 368 258
-rect 424 199 454 258
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-<< polycont >>
-rect 110 383 144 417
-rect 176 274 210 308
-<< locali >>
-rect 0 861 550 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 550 861
-rect 35 757 69 773
-rect 35 308 69 689
-rect 121 757 155 827
-rect 121 673 155 689
-rect 207 757 241 773
-rect 110 417 144 575
-rect 207 535 241 689
-rect 293 757 327 827
-rect 293 673 327 689
-rect 379 757 413 773
-rect 379 535 413 689
-rect 465 757 499 827
-rect 465 673 499 689
-rect 110 367 144 383
-rect 35 274 176 308
-rect 210 274 226 308
-rect 35 165 69 274
-rect 35 115 69 131
-rect 121 165 155 181
-rect 35 61 69 62
-rect 121 61 155 131
-rect 207 165 241 205
-rect 207 115 241 131
-rect 293 165 327 181
-rect 207 61 241 62
-rect 293 61 327 131
-rect 379 165 413 205
-rect 379 115 413 131
-rect 465 165 499 181
-rect 465 61 499 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 550 61
-rect 0 0 550 27
-<< viali >>
-rect 110 575 144 609
-rect 207 501 241 535
-rect 379 501 413 535
-rect 207 205 241 239
-rect 379 205 413 239
-<< metal1 >>
-rect 0 827 550 888
-rect 98 609 156 615
-rect 64 575 110 609
-rect 144 575 156 609
-rect 98 569 156 575
-rect 195 535 253 541
-rect 367 535 425 541
-rect 195 501 207 535
-rect 241 501 379 535
-rect 413 501 425 535
-rect 195 495 253 501
-rect 367 495 425 501
-rect 207 245 241 495
-rect 379 245 413 495
-rect 195 239 253 245
-rect 367 239 425 245
-rect 195 205 207 239
-rect 241 205 379 239
-rect 413 205 425 239
-rect 195 199 253 205
-rect 367 199 425 205
-rect 0 0 550 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 127 592 127 592 1 A
-port 1 n
-rlabel metal1 211 380 211 380 1 Y
-port 2 n
-<< end >>
diff --git a/lib/12T_hs/BUFX8.mag b/lib/12T_hs/BUFX8.mag
deleted file mode 100644
index 07d412f..0000000
--- a/lib/12T_hs/BUFX8.mag
+++ /dev/null
@@ -1,341 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598539224
-<< nwell >>
-rect -9 581 904 1341
-<< nmos >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-rect 768 115 798 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-rect 596 617 626 1217
-rect 682 617 712 1217
-rect 768 617 798 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 768 315
-rect 712 131 723 267
-rect 757 131 768 267
-rect 712 115 768 131
-rect 798 267 851 315
-rect 798 131 809 267
-rect 843 131 851 267
-rect 798 115 851 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 596 1217
-rect 540 657 551 1201
-rect 585 657 596 1201
-rect 540 617 596 657
-rect 626 1201 682 1217
-rect 626 657 637 1201
-rect 671 657 682 1201
-rect 626 617 682 657
-rect 712 1201 768 1217
-rect 712 657 723 1201
-rect 757 657 768 1201
-rect 712 617 768 657
-rect 798 1201 851 1217
-rect 798 657 809 1201
-rect 843 657 851 1201
-rect 798 617 851 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-rect 809 131 843 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 793 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-rect 637 657 671 1201
-rect 723 657 757 1201
-rect 809 657 843 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1244
-rect 338 1217 368 1243
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 596 1217 626 1243
-rect 682 1217 712 1243
-rect 768 1217 798 1243
-rect 80 529 110 617
-rect 166 602 196 617
-rect 252 602 282 617
-rect 338 602 368 617
-rect 424 602 454 617
-rect 510 602 540 617
-rect 596 602 626 617
-rect 682 602 712 617
-rect 768 602 798 617
-rect 166 572 798 602
-rect 80 513 154 529
-rect 80 479 110 513
-rect 144 479 154 513
-rect 80 463 154 479
-rect 80 315 110 463
-rect 221 420 251 572
-rect 166 404 251 420
-rect 166 370 176 404
-rect 210 384 251 404
-rect 510 384 540 572
-rect 210 370 798 384
-rect 166 354 798 370
-rect 166 315 196 354
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 510 315 540 354
-rect 596 315 626 354
-rect 682 315 712 354
-rect 768 315 798 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-rect 768 89 798 115
-<< polycont >>
-rect 110 479 144 513
-rect 176 370 210 404
-<< locali >>
-rect 0 1305 902 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 902 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 207 1201 241 1217
-rect 35 404 69 657
-rect 110 513 144 649
-rect 207 609 241 657
-rect 293 1201 327 1271
-rect 293 641 327 657
-rect 379 1201 413 1217
-rect 379 609 413 657
-rect 465 1201 499 1271
-rect 465 641 499 657
-rect 551 1201 585 1217
-rect 551 609 585 657
-rect 637 1201 671 1271
-rect 637 641 671 657
-rect 723 1201 757 1217
-rect 723 609 757 657
-rect 809 1201 843 1271
-rect 809 641 843 657
-rect 110 463 144 479
-rect 176 404 210 420
-rect 35 370 176 404
-rect 35 267 69 370
-rect 176 354 210 370
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 279
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 279
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 551 267 585 279
-rect 551 115 585 131
-rect 637 267 671 283
-rect 637 61 671 131
-rect 723 267 757 279
-rect 723 115 757 131
-rect 809 267 843 283
-rect 809 61 843 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 902 61
-rect 0 0 902 27
-<< viali >>
-rect 110 649 144 683
-rect 207 575 241 609
-rect 379 575 413 609
-rect 551 575 585 609
-rect 723 575 757 609
-rect 207 279 241 313
-rect 379 279 413 313
-rect 551 279 585 313
-rect 723 279 757 313
-<< metal1 >>
-rect 0 1271 902 1332
-rect 98 683 156 689
-rect 64 649 110 683
-rect 144 649 156 683
-rect 98 643 156 649
-rect 195 609 253 615
-rect 367 609 425 615
-rect 539 609 597 615
-rect 711 609 769 615
-rect 195 575 207 609
-rect 241 575 379 609
-rect 413 575 551 609
-rect 585 575 723 609
-rect 757 575 769 609
-rect 195 569 253 575
-rect 367 569 425 575
-rect 539 569 597 575
-rect 711 569 769 575
-rect 207 319 241 569
-rect 379 319 413 569
-rect 551 319 585 569
-rect 723 319 757 569
-rect 195 313 253 319
-rect 367 313 425 319
-rect 539 313 597 319
-rect 711 313 769 319
-rect 195 279 207 313
-rect 241 279 379 313
-rect 413 279 551 313
-rect 585 279 723 313
-rect 757 279 769 313
-rect 195 273 253 279
-rect 367 273 425 279
-rect 539 273 597 279
-rect 711 273 769 279
-rect 0 0 902 61
-<< labels >>
-rlabel metal1 127 666 127 666 1 A
-port 1 n
-rlabel metal1 211 454 211 454 1 Y
-port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/12T_hs/DFFSRX1.mag b/lib/12T_hs/DFFSRX1.mag
deleted file mode 100644
index 3f3888d..0000000
--- a/lib/12T_hs/DFFSRX1.mag
+++ /dev/null
@@ -1,815 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600773926
-<< error_p >>
-rect 962 139 971 181
-rect 996 173 1005 207
-<< nwell >>
-rect -10 485 2090 897
-<< nmos >>
-rect 80 115 110 199
-rect 272 115 302 199
-rect 370 115 400 199
-rect 442 115 472 199
-rect 632 115 662 199
-rect 704 115 734 199
-rect 824 115 854 199
-rect 896 115 926 199
-rect 982 115 1012 199
-rect 1054 115 1084 199
-rect 1174 115 1204 199
-rect 1246 115 1276 199
-rect 1332 115 1362 199
-rect 1522 115 1552 199
-rect 1594 115 1624 199
-rect 1692 115 1722 199
-rect 1884 115 1914 199
-rect 1970 115 2000 199
-<< pmos >>
-rect 80 521 110 773
-rect 270 521 300 773
-rect 356 521 386 773
-rect 442 521 472 773
-rect 632 521 662 773
-rect 704 521 734 773
-rect 824 521 854 773
-rect 896 521 926 773
-rect 982 521 1012 773
-rect 1054 521 1084 773
-rect 1174 521 1204 773
-rect 1246 521 1276 773
-rect 1332 521 1362 773
-rect 1522 521 1552 773
-rect 1608 521 1638 773
-rect 1694 521 1724 773
-rect 1884 521 1914 773
-rect 1970 521 2000 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 163 199
-rect 110 131 121 165
-rect 155 131 163 165
-rect 110 115 163 131
-rect 219 165 272 199
-rect 219 131 227 165
-rect 261 131 272 165
-rect 219 115 272 131
-rect 302 165 370 199
-rect 302 131 325 165
-rect 359 131 370 165
-rect 302 115 370 131
-rect 400 115 442 199
-rect 472 165 525 199
-rect 472 131 483 165
-rect 517 131 525 165
-rect 472 115 525 131
-rect 579 165 632 199
-rect 579 131 587 165
-rect 621 131 632 165
-rect 579 115 632 131
-rect 662 115 704 199
-rect 734 165 824 199
-rect 734 131 745 165
-rect 813 131 824 165
-rect 734 115 824 131
-rect 854 115 896 199
-rect 926 165 982 199
-rect 926 131 937 165
-rect 971 131 982 165
-rect 926 115 982 131
-rect 1012 115 1054 199
-rect 1084 165 1174 199
-rect 1084 131 1095 165
-rect 1163 131 1174 165
-rect 1084 115 1174 131
-rect 1204 115 1246 199
-rect 1276 165 1332 199
-rect 1276 131 1287 165
-rect 1321 131 1332 165
-rect 1276 115 1332 131
-rect 1362 165 1415 199
-rect 1362 131 1373 165
-rect 1407 131 1415 165
-rect 1362 115 1415 131
-rect 1469 165 1522 199
-rect 1469 131 1477 165
-rect 1511 131 1522 165
-rect 1469 115 1522 131
-rect 1552 115 1594 199
-rect 1624 165 1692 199
-rect 1624 131 1635 165
-rect 1669 131 1692 165
-rect 1624 115 1692 131
-rect 1722 165 1775 199
-rect 1722 131 1733 165
-rect 1767 131 1775 165
-rect 1722 115 1775 131
-rect 1831 165 1884 199
-rect 1831 131 1839 165
-rect 1873 131 1884 165
-rect 1831 115 1884 131
-rect 1914 165 1970 199
-rect 1914 131 1925 165
-rect 1959 131 1970 165
-rect 1914 115 1970 131
-rect 2000 165 2053 199
-rect 2000 131 2011 165
-rect 2045 131 2053 165
-rect 2000 115 2053 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 163 773
-rect 110 689 121 757
-rect 155 689 163 757
-rect 110 521 163 689
-rect 217 757 270 773
-rect 217 655 225 757
-rect 259 655 270 757
-rect 217 521 270 655
-rect 300 757 356 773
-rect 300 655 311 757
-rect 345 655 356 757
-rect 300 521 356 655
-rect 386 757 442 773
-rect 386 723 397 757
-rect 431 723 442 757
-rect 386 521 442 723
-rect 472 757 525 773
-rect 472 655 483 757
-rect 517 655 525 757
-rect 472 521 525 655
-rect 579 757 632 773
-rect 579 655 587 757
-rect 621 655 632 757
-rect 579 521 632 655
-rect 662 521 704 773
-rect 734 757 824 773
-rect 734 655 745 757
-rect 813 655 824 757
-rect 734 521 824 655
-rect 854 521 896 773
-rect 926 757 982 773
-rect 926 689 937 757
-rect 971 689 982 757
-rect 926 521 982 689
-rect 1012 521 1054 773
-rect 1084 757 1174 773
-rect 1084 689 1095 757
-rect 1163 689 1174 757
-rect 1084 521 1174 689
-rect 1204 521 1246 773
-rect 1276 757 1332 773
-rect 1276 587 1287 757
-rect 1321 587 1332 757
-rect 1276 521 1332 587
-rect 1362 757 1415 773
-rect 1362 587 1373 757
-rect 1407 587 1415 757
-rect 1362 521 1415 587
-rect 1469 757 1522 773
-rect 1469 655 1477 757
-rect 1511 655 1522 757
-rect 1469 521 1522 655
-rect 1552 757 1608 773
-rect 1552 723 1563 757
-rect 1597 723 1608 757
-rect 1552 521 1608 723
-rect 1638 757 1694 773
-rect 1638 655 1649 757
-rect 1683 655 1694 757
-rect 1638 521 1694 655
-rect 1724 757 1777 773
-rect 1724 655 1735 757
-rect 1769 655 1777 757
-rect 1724 521 1777 655
-rect 1831 757 1884 773
-rect 1831 655 1839 757
-rect 1873 655 1884 757
-rect 1831 521 1884 655
-rect 1914 757 1970 773
-rect 1914 655 1925 757
-rect 1959 655 1970 757
-rect 1914 521 1970 655
-rect 2000 757 2053 773
-rect 2000 655 2011 757
-rect 2045 655 2053 757
-rect 2000 521 2053 655
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 227 131 261 165
-rect 325 131 359 165
-rect 483 131 517 165
-rect 587 131 621 165
-rect 745 131 813 165
-rect 937 131 971 165
-rect 1095 131 1163 165
-rect 1287 131 1321 165
-rect 1373 131 1407 165
-rect 1477 131 1511 165
-rect 1635 131 1669 165
-rect 1733 131 1767 165
-rect 1839 131 1873 165
-rect 1925 131 1959 165
-rect 2011 131 2045 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-rect 225 655 259 757
-rect 311 655 345 757
-rect 397 723 431 757
-rect 483 655 517 757
-rect 587 655 621 757
-rect 745 655 813 757
-rect 937 689 971 757
-rect 1095 689 1163 757
-rect 1287 587 1321 757
-rect 1373 587 1407 757
-rect 1477 655 1511 757
-rect 1563 723 1597 757
-rect 1649 655 1683 757
-rect 1735 655 1769 757
-rect 1839 655 1873 757
-rect 1925 655 1959 757
-rect 2011 655 2045 757
-<< psubdiff >>
-rect 26 27 50 61
-rect 84 27 108 61
-rect 162 27 186 61
-rect 220 27 244 61
-rect 298 27 322 61
-rect 356 27 380 61
-rect 434 27 458 61
-rect 492 27 516 61
-rect 570 27 594 61
-rect 628 27 652 61
-rect 706 27 730 61
-rect 764 27 788 61
-rect 842 27 866 61
-rect 900 27 924 61
-rect 978 27 1002 61
-rect 1036 27 1060 61
-rect 1114 27 1138 61
-rect 1172 27 1196 61
-rect 1250 27 1274 61
-rect 1308 27 1332 61
-rect 1386 27 1410 61
-rect 1444 27 1468 61
-rect 1522 27 1546 61
-rect 1580 27 1604 61
-rect 1658 27 1682 61
-rect 1716 27 1740 61
-rect 1794 27 1818 61
-rect 1852 27 1876 61
-rect 1930 27 1954 61
-rect 1988 27 2012 61
-<< nsubdiff >>
-rect 26 827 50 861
-rect 84 827 108 861
-rect 162 827 186 861
-rect 220 827 244 861
-rect 298 827 322 861
-rect 356 827 380 861
-rect 434 827 458 861
-rect 492 827 516 861
-rect 570 827 594 861
-rect 628 827 652 861
-rect 706 827 730 861
-rect 764 827 788 861
-rect 842 827 866 861
-rect 900 827 924 861
-rect 978 827 1002 861
-rect 1036 827 1060 861
-rect 1114 827 1138 861
-rect 1172 827 1196 861
-rect 1250 827 1274 861
-rect 1308 827 1332 861
-rect 1386 827 1410 861
-rect 1444 827 1468 861
-rect 1522 827 1546 861
-rect 1580 827 1604 861
-rect 1658 827 1682 861
-rect 1716 827 1740 861
-rect 1794 827 1818 861
-rect 1852 827 1876 861
-rect 1930 827 1954 861
-rect 1988 827 2012 861
-<< psubdiffcont >>
-rect 50 27 84 61
-rect 186 27 220 61
-rect 322 27 356 61
-rect 458 27 492 61
-rect 594 27 628 61
-rect 730 27 764 61
-rect 866 27 900 61
-rect 1002 27 1036 61
-rect 1138 27 1172 61
-rect 1274 27 1308 61
-rect 1410 27 1444 61
-rect 1546 27 1580 61
-rect 1682 27 1716 61
-rect 1818 27 1852 61
-rect 1954 27 1988 61
-<< nsubdiffcont >>
-rect 50 827 84 861
-rect 186 827 220 861
-rect 322 827 356 861
-rect 458 827 492 861
-rect 594 827 628 861
-rect 730 827 764 861
-rect 866 827 900 861
-rect 1002 827 1036 861
-rect 1138 827 1172 861
-rect 1274 827 1308 861
-rect 1410 827 1444 861
-rect 1546 827 1580 861
-rect 1682 827 1716 861
-rect 1818 827 1852 861
-rect 1954 827 1988 861
-<< poly >>
-rect 80 773 110 799
-rect 270 773 300 799
-rect 356 773 386 799
-rect 442 773 472 799
-rect 632 773 662 799
-rect 704 773 734 799
-rect 824 773 854 799
-rect 896 773 926 799
-rect 982 773 1012 799
-rect 1054 773 1084 799
-rect 1174 773 1204 799
-rect 1246 773 1276 799
-rect 1332 773 1362 799
-rect 1522 773 1552 799
-rect 1608 773 1638 799
-rect 1694 773 1724 799
-rect 1884 773 1914 799
-rect 1970 773 2000 799
-rect 80 398 110 521
-rect 270 483 300 521
-rect 243 453 300 483
-rect 79 382 133 398
-rect 79 348 89 382
-rect 123 348 133 382
-rect 79 332 133 348
-rect 79 331 110 332
-rect 80 199 110 331
-rect 243 287 273 453
-rect 356 413 386 521
-rect 442 490 472 521
-rect 432 474 486 490
-rect 432 440 442 474
-rect 476 440 486 474
-rect 432 424 486 440
-rect 315 397 386 413
-rect 315 363 325 397
-rect 359 383 386 397
-rect 359 381 387 383
-rect 359 363 400 381
-rect 315 347 400 363
-rect 219 271 273 287
-rect 219 237 229 271
-rect 263 253 273 271
-rect 356 259 400 347
-rect 263 237 302 253
-rect 219 221 302 237
-rect 272 199 302 221
-rect 370 199 400 259
-rect 442 199 472 424
-rect 632 381 662 521
-rect 704 490 734 521
-rect 704 474 758 490
-rect 704 440 714 474
-rect 748 440 758 474
-rect 704 424 758 440
-rect 632 365 686 381
-rect 824 379 854 521
-rect 896 484 926 521
-rect 982 484 1012 521
-rect 896 474 1012 484
-rect 896 440 928 474
-rect 962 440 1012 474
-rect 896 430 1012 440
-rect 1054 379 1084 521
-rect 1174 490 1204 521
-rect 1150 474 1204 490
-rect 1150 440 1160 474
-rect 1194 440 1204 474
-rect 1150 424 1204 440
-rect 632 331 642 365
-rect 676 331 686 365
-rect 632 315 686 331
-rect 728 349 1180 379
-rect 632 199 662 315
-rect 728 271 758 349
-rect 1150 307 1180 349
-rect 1246 375 1276 521
-rect 1332 490 1362 521
-rect 1332 474 1403 490
-rect 1522 484 1552 521
-rect 1332 460 1359 474
-rect 1343 440 1359 460
-rect 1393 440 1403 474
-rect 1343 424 1403 440
-rect 1486 474 1552 484
-rect 1486 440 1502 474
-rect 1536 440 1552 474
-rect 1486 430 1552 440
-rect 1246 359 1300 375
-rect 1246 325 1256 359
-rect 1290 325 1300 359
-rect 1246 309 1300 325
-rect 704 241 758 271
-rect 800 291 854 307
-rect 800 257 810 291
-rect 844 257 854 291
-rect 800 241 854 257
-rect 704 199 734 241
-rect 824 199 854 241
-rect 896 291 1012 301
-rect 896 257 928 291
-rect 962 257 1012 291
-rect 896 247 1012 257
-rect 896 199 926 247
-rect 982 199 1012 247
-rect 1054 291 1108 307
-rect 1054 257 1064 291
-rect 1098 257 1108 291
-rect 1054 241 1108 257
-rect 1150 291 1204 307
-rect 1150 257 1160 291
-rect 1194 257 1204 291
-rect 1150 241 1204 257
-rect 1054 199 1084 241
-rect 1174 199 1204 241
-rect 1246 199 1276 309
-rect 1343 271 1373 424
-rect 1332 241 1373 271
-rect 1486 274 1516 430
-rect 1608 388 1638 521
-rect 1694 483 1724 521
-rect 1884 505 1914 521
-rect 1694 453 1775 483
-rect 1576 372 1638 388
-rect 1576 338 1588 372
-rect 1622 338 1638 372
-rect 1576 322 1638 338
-rect 1486 242 1552 274
-rect 1332 199 1362 241
-rect 1522 199 1552 242
-rect 1594 199 1624 322
-rect 1745 291 1775 453
-rect 1874 475 1914 505
-rect 1874 375 1904 475
-rect 1970 416 2000 521
-rect 1849 359 1904 375
-rect 1849 325 1859 359
-rect 1893 325 1904 359
-rect 1946 400 2000 416
-rect 1946 366 1956 400
-rect 1990 366 2000 400
-rect 1946 350 2000 366
-rect 1849 309 1904 325
-rect 1745 275 1799 291
-rect 1745 255 1755 275
-rect 1692 241 1755 255
-rect 1789 241 1799 275
-rect 1692 225 1799 241
-rect 1874 264 1904 309
-rect 1874 234 1914 264
-rect 1692 199 1722 225
-rect 1884 199 1914 234
-rect 1970 199 2000 350
-rect 80 89 110 115
-rect 272 89 302 115
-rect 370 89 400 115
-rect 442 89 472 115
-rect 632 89 662 115
-rect 704 89 734 115
-rect 824 89 854 115
-rect 896 89 926 115
-rect 982 89 1012 115
-rect 1054 89 1084 115
-rect 1174 89 1204 115
-rect 1246 89 1276 115
-rect 1332 89 1362 115
-rect 1522 89 1552 115
-rect 1594 89 1624 115
-rect 1692 89 1722 115
-rect 1884 89 1914 115
-rect 1970 89 2000 115
-<< polycont >>
-rect 89 348 123 382
-rect 442 440 476 474
-rect 325 363 359 397
-rect 229 237 263 271
-rect 714 440 748 474
-rect 928 440 962 474
-rect 1160 440 1194 474
-rect 642 331 676 365
-rect 1359 440 1393 474
-rect 1502 440 1536 474
-rect 1256 325 1290 359
-rect 810 257 844 291
-rect 928 257 962 291
-rect 1064 257 1098 291
-rect 1160 257 1194 291
-rect 1588 338 1622 372
-rect 1859 325 1893 359
-rect 1956 366 1990 400
-rect 1755 241 1789 275
-<< locali >>
-rect 0 861 2090 888
-rect 0 827 50 861
-rect 84 827 186 861
-rect 220 827 322 861
-rect 356 827 458 861
-rect 492 827 594 861
-rect 628 827 730 861
-rect 764 827 866 861
-rect 900 827 1002 861
-rect 1036 827 1138 861
-rect 1172 827 1274 861
-rect 1308 827 1410 861
-rect 1444 827 1546 861
-rect 1580 827 1682 861
-rect 1716 827 1818 861
-rect 1852 827 1954 861
-rect 1988 827 2090 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 47 398 81 575
-rect 121 469 155 689
-rect 225 757 259 773
-rect 121 435 191 469
-rect 47 382 123 398
-rect 47 348 89 382
-rect 89 332 123 348
-rect 157 271 191 435
-rect 225 329 259 655
-rect 311 757 345 773
-rect 397 757 431 827
-rect 397 707 431 723
-rect 483 757 517 773
-rect 345 655 483 673
-rect 311 639 517 655
-rect 587 757 621 827
-rect 587 639 621 655
-rect 745 757 813 773
-rect 937 757 971 827
-rect 937 673 971 689
-rect 1095 757 1163 773
-rect 813 655 816 673
-rect 745 558 816 655
-rect 1095 558 1163 689
-rect 1287 757 1321 827
-rect 1287 571 1321 587
-rect 1373 757 1407 773
-rect 1477 757 1511 773
-rect 1563 757 1597 827
-rect 1563 707 1597 723
-rect 1649 757 1683 773
-rect 1511 655 1649 673
-rect 1477 639 1683 655
-rect 1735 757 1769 773
-rect 1407 587 1461 593
-rect 1373 559 1461 587
-rect 325 397 359 501
-rect 442 524 816 558
-rect 996 524 1163 558
-rect 442 474 476 524
-rect 309 363 325 397
-rect 359 363 375 397
-rect 225 313 359 329
-rect 225 295 325 313
-rect 121 237 229 271
-rect 263 237 279 271
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 237
-rect 325 165 359 279
-rect 442 274 476 440
-rect 714 474 748 490
-rect 928 474 962 490
-rect 748 427 844 461
-rect 714 424 748 427
-rect 642 315 676 331
-rect 810 291 844 427
-rect 928 313 962 440
-rect 442 240 776 274
-rect 944 291 962 313
-rect 810 241 844 257
-rect 928 241 962 257
-rect 996 313 1030 524
-rect 1160 474 1194 490
-rect 742 181 776 240
-rect 996 207 1030 279
-rect 1064 427 1160 461
-rect 1064 291 1098 427
-rect 1160 424 1194 427
-rect 1359 474 1393 490
-rect 1359 424 1393 427
-rect 1427 359 1461 559
-rect 1240 325 1256 359
-rect 1290 325 1306 359
-rect 1373 325 1461 359
-rect 1502 474 1536 490
-rect 1373 291 1407 325
-rect 1144 257 1160 291
-rect 1194 257 1407 291
-rect 1502 313 1536 440
-rect 1570 388 1604 501
-rect 1570 372 1638 388
-rect 1570 338 1588 372
-rect 1622 338 1638 372
-rect 1735 359 1769 655
-rect 1839 757 1873 773
-rect 1839 535 1873 655
-rect 1925 757 1959 827
-rect 1925 639 1959 655
-rect 2011 757 2045 773
-rect 2011 609 2045 655
-rect 2011 539 2045 575
-rect 2011 505 2068 539
-rect 1839 474 1873 501
-rect 1839 440 1990 474
-rect 1956 400 1990 440
-rect 1672 325 1859 359
-rect 1893 325 1909 359
-rect 1672 303 1706 325
-rect 1064 241 1098 257
-rect 211 131 227 165
-rect 261 131 277 165
-rect 121 115 155 131
-rect 227 61 261 131
-rect 325 115 359 131
-rect 483 165 517 181
-rect 483 61 517 131
-rect 587 165 621 181
-rect 742 165 813 181
-rect 742 148 745 165
-rect 587 61 621 131
-rect 745 115 813 131
-rect 937 165 971 181
-rect 996 173 1163 207
-rect 937 61 971 131
-rect 1095 165 1163 173
-rect 1095 115 1163 131
-rect 1287 165 1321 181
-rect 1287 61 1321 131
-rect 1373 165 1407 257
-rect 1635 269 1706 303
-rect 1956 291 1990 366
-rect 1755 275 1789 291
-rect 1373 115 1407 131
-rect 1477 165 1511 181
-rect 1477 61 1511 131
-rect 1635 165 1669 269
-rect 1755 239 1789 241
-rect 1839 257 1990 291
-rect 1839 165 1873 257
-rect 2034 181 2068 505
-rect 1717 131 1733 165
-rect 1767 131 1783 165
-rect 1635 115 1669 131
-rect 1733 61 1767 131
-rect 1839 115 1873 131
-rect 1925 165 1959 181
-rect 1925 61 1959 131
-rect 2011 165 2068 181
-rect 2045 147 2068 165
-rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
-<< viali >>
-rect 47 575 81 609
-rect 325 501 359 535
-rect 325 279 359 313
-rect 229 237 263 239
-rect 229 205 263 237
-rect 714 440 748 461
-rect 714 427 748 440
-rect 642 365 676 387
-rect 642 353 676 365
-rect 910 291 944 313
-rect 910 279 928 291
-rect 928 279 944 291
-rect 996 279 1030 313
-rect 1160 440 1194 461
-rect 1160 427 1194 440
-rect 1359 440 1393 461
-rect 1359 427 1393 440
-rect 1256 359 1290 381
-rect 1570 501 1604 535
-rect 1256 347 1290 359
-rect 1839 501 1873 535
-rect 2011 575 2045 609
-rect 1859 359 1893 381
-rect 1502 279 1536 313
-rect 1859 347 1893 359
-rect 1755 205 1789 239
-<< metal1 >>
-rect 0 827 2090 888
-rect 35 609 93 615
-rect 1999 609 2057 615
-rect 35 575 47 609
-rect 81 575 127 609
-rect 1977 575 2011 609
-rect 2045 575 2057 609
-rect 35 569 93 575
-rect 1999 569 2057 575
-rect 313 535 371 541
-rect 1558 535 1616 541
-rect 1827 535 1885 541
-rect 313 501 325 535
-rect 359 501 1570 535
-rect 1604 501 1616 535
-rect 1804 501 1839 535
-rect 1873 501 1885 535
-rect 313 495 371 501
-rect 1558 495 1616 501
-rect 1827 495 1885 501
-rect 702 461 760 467
-rect 1148 461 1206 467
-rect 1347 461 1405 467
-rect 702 427 714 461
-rect 748 427 1160 461
-rect 1194 427 1359 461
-rect 1393 427 1405 461
-rect 702 421 760 427
-rect 1148 421 1206 427
-rect 1347 421 1405 427
-rect 630 387 688 393
-rect 630 353 642 387
-rect 676 353 710 387
-rect 1244 381 1302 387
-rect 1847 381 1905 387
-rect 630 347 688 353
-rect 1244 347 1256 381
-rect 1290 347 1859 381
-rect 1893 347 1905 381
-rect 1244 341 1302 347
-rect 1847 341 1905 347
-rect 313 313 371 319
-rect 898 313 956 319
-rect 313 279 325 313
-rect 359 279 910 313
-rect 944 279 956 313
-rect 313 273 371 279
-rect 898 273 956 279
-rect 984 313 1042 319
-rect 1490 313 1548 319
-rect 984 279 996 313
-rect 1030 279 1502 313
-rect 1536 279 1548 313
-rect 984 273 1042 279
-rect 1490 273 1548 279
-rect 217 239 275 245
-rect 1743 239 1801 245
-rect 217 205 229 239
-rect 263 205 1755 239
-rect 1789 205 1801 239
-rect 217 199 275 205
-rect 1743 199 1801 205
-rect 0 0 2090 61
-<< labels >>
-rlabel locali 67 844 67 844 1 vdd
-rlabel metal1 67 844 67 844 1 vdd
-rlabel metal1 2028 592 2028 592 1 Q
-port 1 n
-rlabel metal1 659 370 659 370 1 D
-port 2 n
-rlabel metal1 1376 444 1376 444 1 CK
-port 3 n
-rlabel metal1 1857 518 1857 518 1 QN
-port 4 n
-rlabel metal1 1587 518 1587 518 1 SN
-port 5 n
-rlabel metal1 65 592 65 592 1 RN
-port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-<< end >>
diff --git a/lib/12T_hs/DFFX1.mag b/lib/12T_hs/DFFX1.mag
deleted file mode 100644
index 604035d..0000000
--- a/lib/12T_hs/DFFX1.mag
+++ /dev/null
@@ -1,583 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600772592
-<< error_p >>
-rect 535 173 560 199
-rect 501 139 526 165
-<< nwell >>
-rect -9 485 1456 897
-<< nmos >>
-rect 85 115 115 199
-rect 171 115 201 199
-rect 243 115 273 199
-rect 363 115 393 199
-rect 435 115 465 199
-rect 521 115 551 199
-rect 593 115 623 199
-rect 713 115 743 199
-rect 785 115 815 199
-rect 871 115 901 199
-rect 1061 115 1091 199
-rect 1251 115 1281 199
-rect 1337 115 1367 199
-<< pmos >>
-rect 85 521 115 773
-rect 171 521 201 773
-rect 243 521 273 773
-rect 363 521 393 773
-rect 435 521 465 773
-rect 521 521 551 773
-rect 593 521 623 773
-rect 713 521 743 773
-rect 785 521 815 773
-rect 871 521 901 773
-rect 1061 521 1091 773
-rect 1251 521 1281 773
-rect 1337 521 1367 773
-<< ndiff >>
-rect 32 165 85 199
-rect 32 131 40 165
-rect 74 131 85 165
-rect 32 115 85 131
-rect 115 165 171 199
-rect 115 131 126 165
-rect 160 131 171 165
-rect 115 115 171 131
-rect 201 115 243 199
-rect 273 165 363 199
-rect 273 131 284 165
-rect 352 131 363 165
-rect 273 115 363 131
-rect 393 115 435 199
-rect 465 165 521 199
-rect 465 131 476 165
-rect 510 131 521 165
-rect 465 115 521 131
-rect 551 115 593 199
-rect 623 165 713 199
-rect 623 131 634 165
-rect 702 131 713 165
-rect 623 115 713 131
-rect 743 115 785 199
-rect 815 165 871 199
-rect 815 131 826 165
-rect 860 131 871 165
-rect 815 115 871 131
-rect 901 165 954 199
-rect 901 131 912 165
-rect 946 131 954 165
-rect 901 115 954 131
-rect 1008 165 1061 199
-rect 1008 131 1016 165
-rect 1050 131 1061 165
-rect 1008 115 1061 131
-rect 1091 165 1144 199
-rect 1091 131 1102 165
-rect 1136 131 1144 165
-rect 1091 115 1144 131
-rect 1198 165 1251 199
-rect 1198 131 1206 165
-rect 1240 131 1251 165
-rect 1198 115 1251 131
-rect 1281 165 1337 199
-rect 1281 131 1292 165
-rect 1326 131 1337 165
-rect 1281 115 1337 131
-rect 1367 165 1420 199
-rect 1367 131 1378 165
-rect 1412 131 1420 165
-rect 1367 115 1420 131
-<< pdiff >>
-rect 32 757 85 773
-rect 32 723 40 757
-rect 74 723 85 757
-rect 32 521 85 723
-rect 115 757 171 773
-rect 115 723 126 757
-rect 160 723 171 757
-rect 115 521 171 723
-rect 201 521 243 773
-rect 273 757 363 773
-rect 273 689 284 757
-rect 352 689 363 757
-rect 273 521 363 689
-rect 393 521 435 773
-rect 465 757 521 773
-rect 465 723 476 757
-rect 510 723 521 757
-rect 465 521 521 723
-rect 551 521 593 773
-rect 623 757 713 773
-rect 623 689 634 757
-rect 702 689 713 757
-rect 623 521 713 689
-rect 743 521 785 773
-rect 815 757 871 773
-rect 815 723 826 757
-rect 860 723 871 757
-rect 815 521 871 723
-rect 901 757 954 773
-rect 901 723 912 757
-rect 946 723 954 757
-rect 901 521 954 723
-rect 1008 757 1061 773
-rect 1008 723 1016 757
-rect 1050 723 1061 757
-rect 1008 521 1061 723
-rect 1091 757 1144 773
-rect 1091 723 1102 757
-rect 1136 723 1144 757
-rect 1091 521 1144 723
-rect 1198 757 1251 773
-rect 1198 723 1206 757
-rect 1240 723 1251 757
-rect 1198 521 1251 723
-rect 1281 757 1337 773
-rect 1281 723 1292 757
-rect 1326 723 1337 757
-rect 1281 521 1337 723
-rect 1367 757 1420 773
-rect 1367 723 1378 757
-rect 1412 723 1420 757
-rect 1367 521 1420 723
-<< ndiffc >>
-rect 40 131 74 165
-rect 126 131 160 165
-rect 284 131 352 165
-rect 476 131 510 165
-rect 634 131 702 165
-rect 826 131 860 165
-rect 912 131 946 165
-rect 1016 131 1050 165
-rect 1102 131 1136 165
-rect 1206 131 1240 165
-rect 1292 131 1326 165
-rect 1378 131 1412 165
-<< pdiffc >>
-rect 40 723 74 757
-rect 126 723 160 757
-rect 284 689 352 757
-rect 476 723 510 757
-rect 634 689 702 757
-rect 826 723 860 757
-rect 912 723 946 757
-rect 1016 723 1050 757
-rect 1102 723 1136 757
-rect 1206 723 1240 757
-rect 1292 723 1326 757
-rect 1378 723 1412 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-rect 435 827 459 861
-rect 493 827 517 861
-rect 571 827 595 861
-rect 629 827 653 861
-rect 707 827 731 861
-rect 765 827 789 861
-rect 843 827 867 861
-rect 901 827 925 861
-rect 979 827 1003 861
-rect 1037 827 1061 861
-rect 1115 827 1139 861
-rect 1173 827 1197 861
-rect 1251 827 1275 861
-rect 1309 827 1333 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-rect 459 827 493 861
-rect 595 827 629 861
-rect 731 827 765 861
-rect 867 827 901 861
-rect 1003 827 1037 861
-rect 1139 827 1173 861
-rect 1275 827 1309 861
-<< poly >>
-rect 85 773 115 799
-rect 171 773 201 799
-rect 243 773 273 799
-rect 363 773 393 799
-rect 435 773 465 799
-rect 521 773 551 799
-rect 593 773 623 799
-rect 713 773 743 799
-rect 785 773 815 799
-rect 871 773 901 799
-rect 1061 773 1091 799
-rect 1251 773 1281 799
-rect 1337 773 1367 799
-rect 85 490 115 521
-rect 75 474 129 490
-rect 75 440 85 474
-rect 119 440 129 474
-rect 75 424 129 440
-rect 75 278 105 424
-rect 171 381 201 521
-rect 243 490 273 521
-rect 243 474 297 490
-rect 243 440 253 474
-rect 287 440 297 474
-rect 243 424 297 440
-rect 171 365 225 381
-rect 363 379 393 521
-rect 435 484 465 521
-rect 521 484 551 521
-rect 435 474 551 484
-rect 435 440 467 474
-rect 501 440 551 474
-rect 435 430 551 440
-rect 593 379 623 521
-rect 713 490 743 521
-rect 689 474 743 490
-rect 689 440 699 474
-rect 733 440 743 474
-rect 689 424 743 440
-rect 171 331 181 365
-rect 215 331 225 365
-rect 171 315 225 331
-rect 267 349 719 379
-rect 75 248 115 278
-rect 85 199 115 248
-rect 171 199 201 315
-rect 267 271 297 349
-rect 689 307 719 349
-rect 785 375 815 521
-rect 871 490 901 521
-rect 871 474 942 490
-rect 871 460 898 474
-rect 882 440 898 460
-rect 932 440 942 474
-rect 882 424 942 440
-rect 785 359 839 375
-rect 785 325 795 359
-rect 829 325 839 359
-rect 785 309 839 325
-rect 243 241 297 271
-rect 339 291 393 307
-rect 339 257 349 291
-rect 383 257 393 291
-rect 339 241 393 257
-rect 243 199 273 241
-rect 363 199 393 241
-rect 435 291 551 301
-rect 435 257 467 291
-rect 501 257 551 291
-rect 435 247 551 257
-rect 435 199 465 247
-rect 521 199 551 247
-rect 593 291 647 307
-rect 593 257 603 291
-rect 637 257 647 291
-rect 593 241 647 257
-rect 689 291 743 307
-rect 689 257 699 291
-rect 733 257 743 291
-rect 689 241 743 257
-rect 593 199 623 241
-rect 713 199 743 241
-rect 785 199 815 309
-rect 882 271 912 424
-rect 1061 307 1091 521
-rect 1251 505 1281 521
-rect 1241 475 1281 505
-rect 1241 375 1271 475
-rect 1337 416 1367 521
-rect 1216 359 1271 375
-rect 1216 325 1226 359
-rect 1260 325 1271 359
-rect 1313 400 1367 416
-rect 1313 366 1323 400
-rect 1357 366 1367 400
-rect 1313 350 1367 366
-rect 1216 309 1271 325
-rect 871 241 912 271
-rect 1008 291 1091 307
-rect 1008 257 1018 291
-rect 1052 257 1091 291
-rect 1008 241 1091 257
-rect 871 199 901 241
-rect 1061 199 1091 241
-rect 1241 264 1271 309
-rect 1241 234 1281 264
-rect 1251 199 1281 234
-rect 1337 199 1367 350
-rect 85 89 115 115
-rect 171 89 201 115
-rect 243 89 273 115
-rect 363 89 393 115
-rect 435 89 465 115
-rect 521 89 551 115
-rect 593 89 623 115
-rect 713 89 743 115
-rect 785 89 815 115
-rect 871 89 901 115
-rect 1061 89 1091 115
-rect 1251 89 1281 115
-rect 1337 89 1367 115
-<< polycont >>
-rect 85 440 119 474
-rect 253 440 287 474
-rect 467 440 501 474
-rect 699 440 733 474
-rect 181 331 215 365
-rect 898 440 932 474
-rect 795 325 829 359
-rect 349 257 383 291
-rect 467 257 501 291
-rect 603 257 637 291
-rect 699 257 733 291
-rect 1226 325 1260 359
-rect 1323 366 1357 400
-rect 1018 257 1052 291
-<< locali >>
-rect 0 861 1452 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 459 861
-rect 493 827 595 861
-rect 629 827 731 861
-rect 765 827 867 861
-rect 901 827 1003 861
-rect 1037 827 1139 861
-rect 1173 827 1275 861
-rect 1309 827 1452 861
-rect 40 757 74 773
-rect 126 757 160 827
-rect 284 757 352 773
-rect 476 757 510 827
-rect 634 757 702 773
-rect 17 723 40 735
-rect 110 723 126 757
-rect 160 723 176 757
-rect 17 694 74 723
-rect 17 313 51 694
-rect 460 723 476 757
-rect 510 723 526 757
-rect 352 689 355 707
-rect 284 686 355 689
-rect 826 757 860 827
-rect 826 707 860 723
-rect 912 757 946 773
-rect 634 686 702 689
-rect 108 652 355 686
-rect 535 652 702 686
-rect 108 490 142 652
-rect 85 474 142 490
-rect 119 440 142 474
-rect 85 424 142 440
-rect 253 474 287 490
-rect 467 474 501 490
-rect 287 427 383 461
-rect 253 424 287 427
-rect 17 279 40 313
-rect 40 165 74 279
-rect 108 274 142 424
-rect 181 315 215 331
-rect 349 291 383 427
-rect 467 313 501 440
-rect 108 240 315 274
-rect 483 291 501 313
-rect 349 241 383 257
-rect 467 241 501 257
-rect 535 313 569 652
-rect 912 646 946 723
-rect 1016 757 1050 827
-rect 1016 707 1050 723
-rect 1102 757 1136 773
-rect 912 612 1000 646
-rect 699 474 733 490
-rect 281 181 315 240
-rect 535 207 569 279
-rect 603 427 699 461
-rect 603 291 637 427
-rect 699 424 733 427
-rect 898 474 932 490
-rect 898 424 932 427
-rect 966 381 1000 612
-rect 779 325 795 359
-rect 829 325 845 359
-rect 912 347 1000 381
-rect 1102 359 1136 723
-rect 1206 757 1240 773
-rect 1206 535 1240 723
-rect 1292 757 1326 827
-rect 1292 707 1326 723
-rect 1378 757 1412 773
-rect 1378 609 1412 723
-rect 1378 570 1412 575
-rect 1378 536 1435 570
-rect 1206 474 1240 501
-rect 1206 440 1357 474
-rect 1323 400 1357 440
-rect 912 291 946 347
-rect 1102 325 1226 359
-rect 1260 325 1276 359
-rect 683 257 699 291
-rect 733 257 946 291
-rect 1002 257 1018 291
-rect 1052 257 1068 291
-rect 603 241 637 257
-rect 40 115 74 131
-rect 126 165 160 181
-rect 281 165 352 181
-rect 535 173 702 207
-rect 634 165 702 173
-rect 281 147 284 165
-rect 126 61 160 131
-rect 460 131 476 165
-rect 510 131 526 165
-rect 284 115 352 131
-rect 476 61 510 131
-rect 634 115 702 131
-rect 826 165 860 181
-rect 826 61 860 131
-rect 912 165 946 257
-rect 912 115 946 131
-rect 1016 165 1050 181
-rect 1016 61 1050 131
-rect 1102 165 1136 325
-rect 1323 291 1357 366
-rect 1102 115 1136 131
-rect 1206 257 1357 291
-rect 1206 165 1240 257
-rect 1401 181 1435 536
-rect 1206 115 1240 131
-rect 1292 165 1326 181
-rect 1292 61 1326 131
-rect 1378 165 1435 181
-rect 1412 135 1435 165
-rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
-<< viali >>
-rect 253 440 287 461
-rect 253 427 287 440
-rect 40 279 74 313
-rect 181 365 215 387
-rect 181 353 215 365
-rect 449 291 483 313
-rect 449 279 467 291
-rect 467 279 483 291
-rect 535 279 569 313
-rect 699 440 733 461
-rect 699 427 733 440
-rect 898 440 932 461
-rect 898 427 932 440
-rect 795 359 829 381
-rect 795 347 829 359
-rect 1378 575 1412 609
-rect 1206 501 1240 535
-rect 1226 359 1260 381
-rect 1226 347 1260 359
-rect 1018 291 1052 313
-rect 1018 279 1052 291
-<< metal1 >>
-rect 0 827 1452 888
-rect 1366 609 1424 615
-rect 1343 575 1378 609
-rect 1412 575 1424 609
-rect 1366 569 1424 575
-rect 1194 535 1252 541
-rect 1172 501 1206 535
-rect 1240 501 1252 535
-rect 1194 495 1252 501
-rect 241 461 299 467
-rect 687 461 745 467
-rect 886 461 944 467
-rect 241 427 253 461
-rect 287 427 699 461
-rect 733 427 898 461
-rect 932 427 944 461
-rect 241 421 299 427
-rect 687 421 745 427
-rect 886 421 944 427
-rect 169 387 227 393
-rect 169 353 181 387
-rect 215 353 249 387
-rect 783 381 841 387
-rect 1214 381 1272 387
-rect 169 347 227 353
-rect 783 347 795 381
-rect 829 347 1226 381
-rect 1260 347 1272 381
-rect 783 341 841 347
-rect 1214 341 1272 347
-rect 28 313 86 319
-rect 437 313 495 319
-rect 28 279 40 313
-rect 74 279 449 313
-rect 483 279 495 313
-rect 28 273 86 279
-rect 437 273 495 279
-rect 523 313 581 319
-rect 1006 313 1064 319
-rect 523 279 535 313
-rect 569 279 1018 313
-rect 1052 279 1064 313
-rect 523 273 581 279
-rect 1006 273 1064 279
-rect 0 0 1452 61
-<< labels >>
-rlabel locali 68 845 68 845 1 vdd
-rlabel metal1 68 845 68 845 1 vdd
-rlabel metal1 198 370 198 370 1 D
-port 1 n
-rlabel metal1 1224 518 1224 518 1 QN
-port 2 n
-rlabel metal1 915 444 915 444 1 CK
-port 3 n
-rlabel metal1 1395 592 1395 592 1 Q
-port 4 n
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-<< end >>
diff --git a/lib/12T_hs/FILLX1.mag b/lib/12T_hs/FILLX1.mag
deleted file mode 100644
index 32c0f8e..0000000
--- a/lib/12T_hs/FILLX1.mag
+++ /dev/null
@@ -1,22 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600773994
-<< error_p >>
-rect 0 827 34 888
-rect 41 485 154 897
-rect 0 0 34 61
-<< nwell >>
-rect -14 485 41 897
-<< locali >>
-rect 0 827 22 888
-rect 0 0 22 61
-<< metal1 >>
-rect 0 827 22 888
-rect 0 0 22 61
-<< labels >>
-rlabel locali 11 28 11 28 1 gnd
-rlabel metal1 11 28 11 28 1 gnd
-rlabel locali 11 855 11 855 1 vdd
-rlabel metal1 11 855 11 855 1 vdd
-<< end >>
diff --git a/lib/12T_hs/FILLX16.mag b/lib/12T_hs/FILLX16.mag
deleted file mode 100644
index 356b1f5..0000000
--- a/lib/12T_hs/FILLX16.mag
+++ /dev/null
@@ -1,18 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600774370
-<< nwell >>
-rect -9 485 355 897
-<< locali >>
-rect 0 827 352 888
-rect 0 0 352 61
-<< metal1 >>
-rect 0 827 352 888
-rect 0 0 352 61
-<< labels >>
-rlabel locali 196 30 196 30 1 gnd
-rlabel metal1 196 30 196 30 1 gnd
-rlabel locali 199 856 199 856 1 vdd
-rlabel metal1 199 856 199 856 1 vdd
-<< end >>
diff --git a/lib/12T_hs/FILLX2.mag b/lib/12T_hs/FILLX2.mag
deleted file mode 100644
index 0c7d1e8..0000000
--- a/lib/12T_hs/FILLX2.mag
+++ /dev/null
@@ -1,22 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600773985
-<< error_p >>
-rect 0 827 44 888
-rect 50 485 161 897
-rect 0 0 44 61
-<< nwell >>
-rect -7 485 50 897
-<< locali >>
-rect 0 827 44 888
-rect 0 0 44 61
-<< metal1 >>
-rect 0 827 44 888
-rect 0 0 44 61
-<< labels >>
-rlabel locali 23 28 23 28 1 gnd
-rlabel metal1 23 28 23 28 1 gnd
-rlabel locali 22 856 22 856 1 vdd
-rlabel metal1 22 856 22 856 1 vdd
-<< end >>
diff --git a/lib/12T_hs/FILLX32.mag b/lib/12T_hs/FILLX32.mag
deleted file mode 100644
index 1b30f7d..0000000
--- a/lib/12T_hs/FILLX32.mag
+++ /dev/null
@@ -1,18 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600774393
-<< nwell >>
-rect -9 601 707 1013
-<< locali >>
-rect 0 943 704 1004
-rect 0 116 704 177
-<< metal1 >>
-rect 0 943 704 1004
-rect 0 116 704 177
-<< labels >>
-rlabel locali 363 142 363 142 1 gnd
-rlabel metal1 363 142 363 142 1 gnd
-rlabel locali 374 970 374 970 1 vdd
-rlabel metal1 374 970 374 970 1 vdd
-<< end >>
diff --git a/lib/12T_hs/FILLX4.mag b/lib/12T_hs/FILLX4.mag
deleted file mode 100644
index 50b76c3..0000000
--- a/lib/12T_hs/FILLX4.mag
+++ /dev/null
@@ -1,20 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600774044
-<< error_p >>
-rect 96 481 159 897
-<< nwell >>
-rect -9 481 96 897
-<< locali >>
-rect 0 827 88 888
-rect 0 0 88 61
-<< metal1 >>
-rect 0 827 88 888
-rect 0 0 88 61
-<< labels >>
-rlabel locali 71 28 71 28 1 gnd
-rlabel metal1 71 28 71 28 1 gnd
-rlabel locali 72 857 72 857 1 vdd
-rlabel metal1 72 857 72 857 1 vdd
-<< end >>
diff --git a/lib/12T_hs/FILLX8.mag b/lib/12T_hs/FILLX8.mag
deleted file mode 100644
index 08e18ba..0000000
--- a/lib/12T_hs/FILLX8.mag
+++ /dev/null
@@ -1,18 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600774078
-<< nwell >>
-rect -9 485 179 897
-<< locali >>
-rect 0 827 176 888
-rect 0 0 176 61
-<< metal1 >>
-rect 0 827 176 888
-rect 0 0 176 61
-<< labels >>
-rlabel locali 112 28 112 28 1 gnd
-rlabel metal1 112 28 112 28 1 gnd
-rlabel locali 111 859 111 859 1 vdd
-rlabel metal1 111 859 111 859 1 vdd
-<< end >>
diff --git a/lib/12T_hs/INVX1.mag b/lib/12T_hs/INVX1.mag
deleted file mode 100644
index 85d4a12..0000000
--- a/lib/12T_hs/INVX1.mag
+++ /dev/null
@@ -1,103 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600776798
-<< nwell >>
-rect -9 485 199 897
-<< nmos >>
-rect 80 115 110 199
-<< pmos >>
-rect 80 521 110 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 163 199
-rect 110 131 121 165
-rect 155 131 163 165
-rect 110 115 163 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 163 773
-rect 110 689 121 757
-rect 155 689 163 757
-rect 110 521 163 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-<< poly >>
-rect 80 773 110 799
-rect 80 398 110 521
-rect 80 382 134 398
-rect 80 348 90 382
-rect 124 348 134 382
-rect 80 332 134 348
-rect 80 199 110 332
-rect 80 89 110 115
-<< polycont >>
-rect 90 348 124 382
-<< locali >>
-rect 0 861 198 888
-rect 0 827 51 861
-rect 85 827 198 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 47 382 81 575
-rect 121 535 155 689
-rect 47 348 90 382
-rect 124 348 140 382
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 205
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 575 81 609
-rect 121 501 155 535
-rect 121 205 155 239
-<< metal1 >>
-rect 0 827 198 888
-rect 35 609 93 615
-rect 35 575 47 609
-rect 81 575 127 609
-rect 35 569 93 575
-rect 109 535 167 541
-rect 109 501 121 535
-rect 155 501 167 535
-rect 109 495 167 501
-rect 121 245 155 495
-rect 109 239 167 245
-rect 109 205 121 239
-rect 155 205 167 239
-rect 109 199 167 205
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 64 592 64 592 1 A
-port 1 n
-rlabel metal1 151 367 151 367 1 Y
-port 2 n
-<< end >>
diff --git a/lib/12T_hs/INVX2.mag b/lib/12T_hs/INVX2.mag
deleted file mode 100644
index 3657844..0000000
--- a/lib/12T_hs/INVX2.mag
+++ /dev/null
@@ -1,135 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600776925
-<< nwell >>
-rect -9 485 287 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 249 199
-rect 196 131 207 165
-rect 241 131 249 165
-rect 196 115 249 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 757 249 773
-rect 196 689 207 757
-rect 241 689 249 757
-rect 196 521 249 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-rect 207 689 241 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 800
-rect 80 496 110 521
-rect 166 496 196 521
-rect 80 466 196 496
-rect 80 398 110 466
-rect 80 382 134 398
-rect 80 348 90 382
-rect 124 348 134 382
-rect 80 332 134 348
-rect 80 274 110 332
-rect 80 244 196 274
-rect 80 199 110 244
-rect 166 199 196 244
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 90 348 124 382
-<< locali >>
-rect 0 861 286 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 286 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 47 382 81 575
-rect 121 535 155 689
-rect 207 757 241 827
-rect 207 673 241 689
-rect 47 348 90 382
-rect 124 348 140 382
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 205
-rect 121 115 155 131
-rect 207 165 241 181
-rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 47 575 81 609
-rect 121 501 155 535
-rect 121 205 155 239
-<< metal1 >>
-rect 0 827 286 888
-rect 35 609 93 615
-rect 35 575 47 609
-rect 81 575 127 609
-rect 35 569 93 575
-rect 109 535 167 541
-rect 109 501 121 535
-rect 155 501 167 535
-rect 109 495 167 501
-rect 121 245 155 495
-rect 109 239 167 245
-rect 109 205 121 239
-rect 155 205 167 239
-rect 109 199 167 205
-rect 0 0 286 61
-<< labels >>
-rlabel metal1 64 591 64 591 1 A
-port 1 n
-rlabel metal1 152 366 152 366 1 Y
-port 2 n
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-<< end >>
diff --git a/lib/12T_hs/INVX4.mag b/lib/12T_hs/INVX4.mag
deleted file mode 100644
index 5aba4da..0000000
--- a/lib/12T_hs/INVX4.mag
+++ /dev/null
@@ -1,192 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600777016
-<< nwell >>
-rect -9 485 463 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-rect 252 115 282 199
-rect 338 115 368 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-rect 338 521 368 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 252 199
-rect 196 131 207 165
-rect 241 131 252 165
-rect 196 115 252 131
-rect 282 165 338 199
-rect 282 131 293 165
-rect 327 131 338 165
-rect 282 115 338 131
-rect 368 165 421 199
-rect 368 131 379 165
-rect 413 131 421 165
-rect 368 115 421 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 757 252 773
-rect 196 689 207 757
-rect 241 689 252 757
-rect 196 521 252 689
-rect 282 757 338 773
-rect 282 689 293 757
-rect 327 689 338 757
-rect 282 521 338 689
-rect 368 757 421 773
-rect 368 689 379 757
-rect 413 689 421 757
-rect 368 521 421 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-rect 293 131 327 165
-rect 379 131 413 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-rect 207 689 241 757
-rect 293 689 327 757
-rect 379 689 413 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 799
-rect 338 773 368 799
-rect 80 496 110 521
-rect 166 496 196 521
-rect 252 496 282 521
-rect 338 496 368 521
-rect 80 466 368 496
-rect 80 398 110 466
-rect 80 382 134 398
-rect 80 348 90 382
-rect 124 348 134 382
-rect 80 332 134 348
-rect 80 274 110 332
-rect 80 244 368 274
-rect 80 199 110 244
-rect 166 199 196 244
-rect 252 199 282 244
-rect 338 199 368 244
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 90 348 124 382
-<< locali >>
-rect 0 861 462 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 462 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 47 382 81 575
-rect 121 535 155 689
-rect 207 757 241 827
-rect 207 673 241 689
-rect 293 757 327 773
-rect 293 535 327 689
-rect 379 757 413 827
-rect 379 673 413 689
-rect 47 348 90 382
-rect 124 348 140 382
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 205
-rect 121 115 155 131
-rect 207 165 241 181
-rect 207 61 241 131
-rect 293 165 327 205
-rect 293 115 327 131
-rect 379 165 413 181
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 47 575 81 609
-rect 121 501 155 535
-rect 293 501 327 535
-rect 121 205 155 239
-rect 293 205 327 239
-<< metal1 >>
-rect 0 827 462 888
-rect 35 609 93 615
-rect 35 575 47 609
-rect 81 575 127 609
-rect 35 569 93 575
-rect 109 535 167 541
-rect 281 535 339 541
-rect 109 501 121 535
-rect 155 501 293 535
-rect 327 501 339 535
-rect 109 495 167 501
-rect 281 495 339 501
-rect 121 245 155 495
-rect 293 245 327 495
-rect 109 239 167 245
-rect 281 239 339 245
-rect 109 205 121 239
-rect 155 205 293 239
-rect 327 205 339 239
-rect 109 199 167 205
-rect 281 199 339 205
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 152 366 152 366 1 Y
-port 1 n
-rlabel metal1 64 591 64 591 1 A
-port 2 n
-<< end >>
diff --git a/lib/12T_hs/INVX8.mag b/lib/12T_hs/INVX8.mag
deleted file mode 100644
index e8d5400..0000000
--- a/lib/12T_hs/INVX8.mag
+++ /dev/null
@@ -1,307 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600777167
-<< nwell >>
-rect -9 485 814 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-rect 252 115 282 199
-rect 338 115 368 199
-rect 424 115 454 199
-rect 510 115 540 199
-rect 596 115 626 199
-rect 682 115 712 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-rect 338 521 368 773
-rect 424 521 454 773
-rect 510 521 540 773
-rect 596 521 626 773
-rect 682 521 712 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 252 199
-rect 196 131 207 165
-rect 241 131 252 165
-rect 196 115 252 131
-rect 282 165 338 199
-rect 282 131 293 165
-rect 327 131 338 165
-rect 282 115 338 131
-rect 368 165 424 199
-rect 368 131 379 165
-rect 413 131 424 165
-rect 368 115 424 131
-rect 454 165 510 199
-rect 454 131 465 165
-rect 499 131 510 165
-rect 454 115 510 131
-rect 540 165 596 199
-rect 540 131 551 165
-rect 585 131 596 165
-rect 540 115 596 131
-rect 626 165 682 199
-rect 626 131 637 165
-rect 671 131 682 165
-rect 626 115 682 131
-rect 712 165 765 199
-rect 712 131 723 165
-rect 757 131 765 165
-rect 712 115 765 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 757 252 773
-rect 196 689 207 757
-rect 241 689 252 757
-rect 196 521 252 689
-rect 282 757 338 773
-rect 282 689 293 757
-rect 327 689 338 757
-rect 282 521 338 689
-rect 368 757 424 773
-rect 368 689 379 757
-rect 413 689 424 757
-rect 368 521 424 689
-rect 454 757 510 773
-rect 454 689 465 757
-rect 499 689 510 757
-rect 454 521 510 689
-rect 540 757 596 773
-rect 540 689 551 757
-rect 585 689 596 757
-rect 540 521 596 689
-rect 626 757 682 773
-rect 626 689 637 757
-rect 671 689 682 757
-rect 626 521 682 689
-rect 712 757 765 773
-rect 712 689 723 757
-rect 757 689 765 757
-rect 712 521 765 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-rect 293 131 327 165
-rect 379 131 413 165
-rect 465 131 499 165
-rect 551 131 585 165
-rect 637 131 671 165
-rect 723 131 757 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-rect 207 689 241 757
-rect 293 689 327 757
-rect 379 689 413 757
-rect 465 689 499 757
-rect 551 689 585 757
-rect 637 689 671 757
-rect 723 689 757 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-rect 435 827 459 861
-rect 493 827 517 861
-rect 571 827 595 861
-rect 629 827 653 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-rect 459 827 493 861
-rect 595 827 629 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 799
-rect 338 773 368 799
-rect 424 773 454 799
-rect 510 773 540 799
-rect 596 773 626 799
-rect 682 773 712 799
-rect 80 496 110 521
-rect 166 496 196 521
-rect 252 496 282 521
-rect 338 496 368 521
-rect 424 496 454 521
-rect 510 496 540 521
-rect 596 496 626 521
-rect 682 496 712 521
-rect 80 466 712 496
-rect 80 398 110 466
-rect 80 382 134 398
-rect 80 348 90 382
-rect 124 348 134 382
-rect 80 332 134 348
-rect 80 274 110 332
-rect 424 274 454 466
-rect 80 244 712 274
-rect 80 199 110 244
-rect 166 199 196 244
-rect 252 199 282 244
-rect 338 199 368 244
-rect 424 199 454 244
-rect 510 199 540 244
-rect 596 199 626 244
-rect 682 199 712 244
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-<< polycont >>
-rect 90 348 124 382
-<< locali >>
-rect 0 861 814 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 459 861
-rect 493 827 595 861
-rect 629 827 814 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 47 382 81 575
-rect 121 535 155 689
-rect 207 757 241 827
-rect 207 673 241 689
-rect 293 757 327 773
-rect 293 535 327 689
-rect 379 757 413 827
-rect 379 673 413 689
-rect 465 757 499 773
-rect 465 535 499 689
-rect 551 757 585 827
-rect 551 673 585 689
-rect 637 757 671 773
-rect 637 535 671 689
-rect 723 757 757 827
-rect 723 673 757 689
-rect 47 348 90 382
-rect 124 348 140 382
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 205
-rect 121 115 155 131
-rect 207 165 241 181
-rect 207 61 241 131
-rect 293 165 327 205
-rect 293 115 327 131
-rect 379 165 413 181
-rect 379 61 413 131
-rect 465 165 499 205
-rect 465 115 499 131
-rect 551 165 585 181
-rect 551 61 585 131
-rect 637 165 671 205
-rect 637 115 671 131
-rect 723 165 757 181
-rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
-<< viali >>
-rect 47 575 81 609
-rect 121 501 155 535
-rect 293 501 327 535
-rect 465 501 499 535
-rect 637 501 671 535
-rect 121 205 155 239
-rect 293 205 327 239
-rect 465 205 499 239
-rect 637 205 671 239
-<< metal1 >>
-rect 0 827 814 888
-rect 35 609 93 615
-rect 35 575 47 609
-rect 81 575 127 609
-rect 35 569 93 575
-rect 109 535 167 541
-rect 281 535 339 541
-rect 453 535 511 541
-rect 625 535 683 541
-rect 109 501 121 535
-rect 155 501 293 535
-rect 327 501 465 535
-rect 499 501 637 535
-rect 671 501 683 535
-rect 109 495 167 501
-rect 281 495 339 501
-rect 453 495 511 501
-rect 625 495 683 501
-rect 121 245 155 495
-rect 293 245 327 495
-rect 465 245 499 495
-rect 637 245 671 495
-rect 109 239 167 245
-rect 281 239 339 245
-rect 453 239 511 245
-rect 625 239 683 245
-rect 109 205 121 239
-rect 155 205 293 239
-rect 327 205 465 239
-rect 499 205 637 239
-rect 671 205 683 239
-rect 109 199 167 205
-rect 281 199 339 205
-rect 453 199 511 205
-rect 625 199 683 205
-rect 0 0 814 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 152 366 152 366 1 Y
-port 1 n
-rlabel metal1 64 591 64 591 1 A
-port 2 n
-<< end >>
diff --git a/lib/12T_hs/NAND2X1.mag b/lib/12T_hs/NAND2X1.mag
deleted file mode 100644
index 1d10b75..0000000
--- a/lib/12T_hs/NAND2X1.mag
+++ /dev/null
@@ -1,139 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600774688
-<< nwell >>
-rect -9 485 286 897
-<< nmos >>
-rect 80 115 110 199
-rect 152 115 182 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 115 152 199
-rect 182 165 235 199
-rect 182 131 193 165
-rect 227 131 235 165
-rect 182 115 235 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 757 249 773
-rect 196 689 207 757
-rect 241 689 249 757
-rect 196 521 249 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 193 131 227 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-rect 207 689 241 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 80 474 110 521
-rect 37 458 110 474
-rect 37 424 47 458
-rect 81 424 110 458
-rect 37 408 110 424
-rect 80 199 110 408
-rect 166 381 196 521
-rect 152 365 210 381
-rect 152 331 166 365
-rect 200 331 210 365
-rect 152 315 210 331
-rect 152 199 182 315
-rect 80 89 110 115
-rect 152 89 182 115
-<< polycont >>
-rect 47 424 81 458
-rect 166 331 200 365
-<< locali >>
-rect 0 861 286 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 286 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 47 458 81 575
-rect 121 461 155 689
-rect 207 757 241 827
-rect 207 673 241 689
-rect 47 408 81 424
-rect 195 365 229 501
-rect 150 331 166 365
-rect 200 331 229 365
-rect 35 165 69 205
-rect 35 115 69 131
-rect 193 165 227 181
-rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 47 575 81 609
-rect 121 427 155 461
-rect 195 501 229 535
-rect 35 205 69 239
-<< metal1 >>
-rect 0 827 286 888
-rect 35 609 93 615
-rect 35 575 47 609
-rect 81 575 115 609
-rect 35 569 93 575
-rect 183 535 241 541
-rect 161 501 195 535
-rect 229 501 241 535
-rect 183 495 241 501
-rect 109 461 167 467
-rect 109 427 121 461
-rect 155 427 167 461
-rect 109 421 167 427
-rect 23 239 81 245
-rect 121 239 155 421
-rect 23 205 35 239
-rect 69 205 155 239
-rect 23 199 81 205
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 136 396 136 396 1 Y
-port 1 n
-rlabel metal1 64 592 64 592 1 A
-port 2 n
-rlabel metal1 212 518 212 518 1 B
-port 3 n
-<< end >>
diff --git a/lib/12T_hs/NOR2X1.mag b/lib/12T_hs/NOR2X1.mag
deleted file mode 100644
index 095b02e..0000000
--- a/lib/12T_hs/NOR2X1.mag
+++ /dev/null
@@ -1,139 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600774658
-<< nwell >>
-rect -9 485 286 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-<< pmos >>
-rect 80 521 110 773
-rect 152 521 182 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 249 199
-rect 196 131 207 165
-rect 241 131 249 165
-rect 196 115 249 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 521 152 773
-rect 182 757 235 773
-rect 182 689 193 757
-rect 227 689 235 757
-rect 182 521 235 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 193 689 227 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 152 773 182 799
-rect 80 355 110 521
-rect 152 488 182 521
-rect 152 472 225 488
-rect 152 438 181 472
-rect 215 438 225 472
-rect 152 422 225 438
-rect 56 339 110 355
-rect 56 305 66 339
-rect 100 305 110 339
-rect 56 289 110 305
-rect 80 199 110 289
-rect 166 199 196 422
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 181 438 215 472
-rect 66 305 100 339
-<< locali >>
-rect 0 861 286 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 286 861
-rect 35 757 69 773
-rect 35 461 69 689
-rect 193 757 227 827
-rect 193 673 227 689
-rect 113 339 147 501
-rect 181 472 215 575
-rect 181 422 215 438
-rect 50 305 66 339
-rect 100 305 147 339
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 205
-rect 121 115 155 131
-rect 207 165 241 181
-rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 181 575 215 609
-rect 35 427 69 461
-rect 113 501 147 535
-rect 121 205 155 239
-<< metal1 >>
-rect 0 827 286 888
-rect 169 609 227 615
-rect 148 575 181 609
-rect 215 575 227 609
-rect 169 569 227 575
-rect 101 535 159 541
-rect 79 501 113 535
-rect 147 501 159 535
-rect 101 495 159 501
-rect 23 461 81 467
-rect 23 427 35 461
-rect 69 427 155 461
-rect 23 421 81 427
-rect 121 245 155 427
-rect 109 239 167 245
-rect 109 205 121 239
-rect 155 205 167 239
-rect 109 199 167 205
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 137 319 137 319 1 Y
-port 1 n
-rlabel metal1 130 518 130 518 1 B
-port 2 n
-rlabel metal1 198 592 198 592 1 A
-port 3 n
-<< end >>
diff --git a/lib/12T_hs/OAI21XL.mag b/lib/12T_hs/OAI21XL.mag
deleted file mode 100644
index 68feb79..0000000
--- a/lib/12T_hs/OAI21XL.mag
+++ /dev/null
@@ -1,182 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600770620
-<< nwell >>
-rect -9 485 374 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-rect 252 115 282 199
-<< pmos >>
-rect 80 521 110 773
-rect 152 521 182 773
-rect 250 573 280 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 252 199
-rect 196 131 207 165
-rect 241 131 252 165
-rect 196 115 252 131
-rect 282 165 335 199
-rect 282 131 293 165
-rect 327 131 335 165
-rect 282 115 335 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 723 35 757
-rect 69 723 80 757
-rect 27 521 80 723
-rect 110 521 152 773
-rect 182 757 250 773
-rect 182 723 193 757
-rect 227 723 250 757
-rect 182 573 250 723
-rect 280 757 333 773
-rect 280 723 291 757
-rect 325 723 333 757
-rect 280 573 333 723
-rect 182 521 235 573
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-rect 293 131 327 165
-<< pdiffc >>
-rect 35 723 69 757
-rect 193 723 227 757
-rect 291 723 325 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 152 773 182 799
-rect 250 773 280 799
-rect 80 489 110 521
-rect 56 473 110 489
-rect 56 439 66 473
-rect 100 439 110 473
-rect 56 423 110 439
-rect 56 274 86 423
-rect 152 375 182 521
-rect 136 365 202 375
-rect 136 331 152 365
-rect 186 331 202 365
-rect 136 321 202 331
-rect 250 329 280 573
-rect 56 244 110 274
-rect 80 199 110 244
-rect 166 199 196 321
-rect 250 313 306 329
-rect 252 279 262 313
-rect 296 279 306 313
-rect 252 263 306 279
-rect 252 199 282 263
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 66 439 100 473
-rect 152 331 186 365
-rect 262 279 296 313
-<< locali >>
-rect 0 861 374 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 374 861
-rect 35 757 69 773
-rect 193 757 227 827
-rect 291 757 325 773
-rect 177 723 193 757
-rect 227 723 243 757
-rect 35 677 69 723
-rect 291 677 325 723
-rect 35 643 325 677
-rect 66 473 100 575
-rect 66 423 100 439
-rect 152 501 162 535
-rect 152 365 186 501
-rect 152 315 186 331
-rect 223 313 257 427
-rect 291 387 325 643
-rect 223 279 262 313
-rect 296 279 312 313
-rect 35 204 241 238
-rect 35 165 69 204
-rect 207 165 241 204
-rect 105 131 121 165
-rect 155 131 171 165
-rect 35 115 69 131
-rect 121 61 155 131
-rect 207 115 241 131
-rect 293 165 327 205
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 66 575 100 609
-rect 162 501 196 535
-rect 223 427 257 461
-rect 291 353 325 387
-rect 293 205 327 239
-<< metal1 >>
-rect 0 827 374 888
-rect 54 609 112 615
-rect 54 575 66 609
-rect 100 575 134 609
-rect 54 569 112 575
-rect 150 535 208 541
-rect 150 501 162 535
-rect 196 501 230 535
-rect 150 495 208 501
-rect 211 461 269 467
-rect 189 427 223 461
-rect 257 427 269 461
-rect 211 421 269 427
-rect 279 387 337 393
-rect 279 353 291 387
-rect 325 353 337 387
-rect 279 347 337 353
-rect 293 245 327 347
-rect 281 239 339 245
-rect 281 205 293 239
-rect 327 205 339 239
-rect 281 199 339 205
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 83 592 83 592 1 A0
-port 1 n
-rlabel metal1 179 518 179 518 1 A1
-port 2 n
-rlabel metal1 308 370 308 370 1 Y
-port 3 n
-rlabel metal1 240 444 240 444 1 B0
-port 4 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-<< end >>
diff --git a/lib/12T_hs/OR2X1.mag b/lib/12T_hs/OR2X1.mag
deleted file mode 100644
index c67bbd1..0000000
--- a/lib/12T_hs/OR2X1.mag
+++ /dev/null
@@ -1,170 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600774772
-<< nwell >>
-rect -9 485 374 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-rect 252 115 282 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 252 199
-rect 196 131 207 165
-rect 241 131 252 165
-rect 196 115 252 131
-rect 282 165 335 199
-rect 282 131 293 165
-rect 327 131 335 165
-rect 282 115 335 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 521 166 773
-rect 196 757 252 773
-rect 196 689 207 757
-rect 241 689 252 757
-rect 196 521 252 689
-rect 282 757 335 773
-rect 282 689 293 757
-rect 327 689 335 757
-rect 282 521 335 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-rect 293 131 327 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 207 689 241 757
-rect 293 689 327 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 799
-rect 80 472 110 521
-rect 27 456 110 472
-rect 27 422 37 456
-rect 71 422 110 456
-rect 27 406 110 422
-rect 166 414 196 521
-rect 252 496 282 521
-rect 252 466 289 496
-rect 80 199 110 406
-rect 163 398 217 414
-rect 163 364 173 398
-rect 207 364 217 398
-rect 163 348 217 364
-rect 166 199 196 348
-rect 259 324 289 466
-rect 259 308 313 324
-rect 259 288 269 308
-rect 252 274 269 288
-rect 303 274 313 308
-rect 252 258 313 274
-rect 252 199 282 258
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 37 422 71 456
-rect 173 364 207 398
-rect 269 274 303 308
-<< locali >>
-rect 0 861 374 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 374 861
-rect 35 757 69 773
-rect 207 757 241 827
-rect 69 689 139 707
-rect 35 673 139 689
-rect 207 673 241 689
-rect 293 757 327 773
-rect 37 456 71 501
-rect 37 406 71 422
-rect 105 308 139 673
-rect 173 398 207 575
-rect 293 461 327 689
-rect 173 348 207 364
-rect 105 274 269 308
-rect 303 274 319 308
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 274
-rect 121 115 155 131
-rect 207 165 241 181
-rect 207 61 241 131
-rect 293 165 327 205
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 37 501 71 535
-rect 173 575 207 609
-rect 293 427 327 461
-rect 293 205 327 239
-<< metal1 >>
-rect 0 827 374 888
-rect 161 609 219 615
-rect 140 575 173 609
-rect 207 575 219 609
-rect 161 569 219 575
-rect 25 535 83 541
-rect 25 501 37 535
-rect 71 501 105 535
-rect 25 495 83 501
-rect 281 461 339 467
-rect 281 427 293 461
-rect 327 427 339 461
-rect 281 421 339 427
-rect 293 245 327 421
-rect 281 239 339 245
-rect 281 205 293 239
-rect 327 205 339 239
-rect 281 199 339 205
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 311 370 311 370 1 Y
-port 1 n
-rlabel metal1 190 592 190 592 1 A
-port 2 n
-rlabel metal1 54 518 54 518 1 B
-port 3 n
-<< end >>
diff --git a/lib/12T_hs/OR2X2.mag b/lib/12T_hs/OR2X2.mag
deleted file mode 100644
index ab7cd9e..0000000
--- a/lib/12T_hs/OR2X2.mag
+++ /dev/null
@@ -1,199 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600775184
-<< nwell >>
-rect -9 485 462 897
-<< nmos >>
-rect 80 115 110 210
-rect 166 115 196 210
-rect 252 115 282 210
-rect 338 115 368 210
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 252 521 282 773
-rect 338 521 368 773
-<< ndiff >>
-rect 27 165 80 210
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 210
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 165 252 210
-rect 196 131 207 165
-rect 241 131 252 165
-rect 196 115 252 131
-rect 282 165 338 210
-rect 282 131 293 165
-rect 327 131 338 165
-rect 282 115 338 131
-rect 368 165 421 210
-rect 368 131 379 165
-rect 413 131 421 165
-rect 368 115 421 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 655 35 757
-rect 69 655 80 757
-rect 27 521 80 655
-rect 110 521 166 773
-rect 196 757 252 773
-rect 196 689 207 757
-rect 241 689 252 757
-rect 196 521 252 689
-rect 282 757 338 773
-rect 282 655 293 757
-rect 327 655 338 757
-rect 282 521 338 655
-rect 368 757 421 773
-rect 368 655 379 757
-rect 413 655 421 757
-rect 368 521 421 655
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 207 131 241 165
-rect 293 131 327 165
-rect 379 131 413 165
-<< pdiffc >>
-rect 35 655 69 757
-rect 207 689 241 757
-rect 293 655 327 757
-rect 379 655 413 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 252 773 282 799
-rect 338 773 368 800
-rect 80 472 110 521
-rect 27 456 110 472
-rect 27 422 37 456
-rect 71 422 110 456
-rect 27 406 110 422
-rect 166 414 196 521
-rect 252 496 282 521
-rect 338 496 368 521
-rect 252 466 368 496
-rect 80 210 110 406
-rect 163 398 217 414
-rect 163 364 173 398
-rect 207 364 217 398
-rect 163 348 217 364
-rect 166 210 196 348
-rect 259 324 289 466
-rect 259 308 313 324
-rect 259 288 269 308
-rect 252 274 269 288
-rect 303 288 313 308
-rect 303 274 368 288
-rect 252 258 368 274
-rect 252 210 282 258
-rect 338 210 368 258
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 37 422 71 456
-rect 173 364 207 398
-rect 269 274 303 308
-<< locali >>
-rect 0 861 462 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 462 861
-rect 35 757 69 773
-rect 207 757 241 827
-rect 207 673 241 689
-rect 293 757 327 773
-rect 69 655 139 673
-rect 35 639 139 655
-rect 37 456 71 501
-rect 37 406 71 422
-rect 105 308 139 639
-rect 173 398 207 575
-rect 293 461 327 655
-rect 379 757 413 827
-rect 379 639 413 655
-rect 173 348 207 364
-rect 105 274 269 308
-rect 303 274 319 308
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 274
-rect 121 115 155 131
-rect 207 165 241 181
-rect 207 61 241 131
-rect 293 165 327 205
-rect 293 115 327 131
-rect 379 165 413 181
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 37 501 71 535
-rect 173 575 207 609
-rect 293 427 327 461
-rect 293 205 327 239
-<< metal1 >>
-rect 0 827 462 888
-rect 161 609 219 615
-rect 140 575 173 609
-rect 207 575 219 609
-rect 161 569 219 575
-rect 25 535 83 541
-rect 25 501 37 535
-rect 71 501 105 535
-rect 25 495 83 501
-rect 281 461 339 467
-rect 281 427 293 461
-rect 327 427 339 461
-rect 281 421 339 427
-rect 293 245 327 421
-rect 281 239 339 245
-rect 281 205 293 239
-rect 327 205 339 239
-rect 281 199 339 205
-rect 0 0 462 61
-<< labels >>
-rlabel metal1 311 370 311 370 1 Y
-port 1 n
-rlabel metal1 190 592 190 592 1 A
-port 2 n
-rlabel metal1 54 518 54 518 1 B
-port 3 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-<< end >>
diff --git a/lib/12T_hs/TIEHI.mag b/lib/12T_hs/TIEHI.mag
deleted file mode 100644
index ec27ed2..0000000
--- a/lib/12T_hs/TIEHI.mag
+++ /dev/null
@@ -1,89 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600771828
-<< nwell >>
-rect -9 485 199 897
-<< nmos >>
-rect 80 115 110 199
-<< pmos >>
-rect 80 521 110 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 163 199
-rect 110 131 121 165
-rect 155 131 163 165
-rect 110 115 163 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 163 773
-rect 110 689 121 757
-rect 155 689 163 757
-rect 110 521 163 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-<< poly >>
-rect 80 773 110 799
-rect 80 337 110 521
-rect 80 321 134 337
-rect 80 287 90 321
-rect 124 287 134 321
-rect 80 271 134 287
-rect 80 199 110 271
-rect 80 89 110 115
-<< polycont >>
-rect 90 287 124 321
-<< locali >>
-rect 0 861 198 888
-rect 0 827 51 861
-rect 85 827 198 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 121 535 155 689
-rect 74 287 90 321
-rect 124 287 155 321
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 287
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 501 155 535
-<< metal1 >>
-rect 0 827 198 888
-rect 94 535 167 541
-rect 94 501 121 535
-rect 155 501 167 535
-rect 94 495 167 501
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 138 518 138 518 1 Y
-port 1 n
-<< end >>
diff --git a/lib/12T_hs/TIELO.mag b/lib/12T_hs/TIELO.mag
deleted file mode 100644
index cfad1b8..0000000
--- a/lib/12T_hs/TIELO.mag
+++ /dev/null
@@ -1,89 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600771748
-<< nwell >>
-rect -9 485 199 897
-<< nmos >>
-rect 80 115 110 199
-<< pmos >>
-rect 80 521 110 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 163 199
-rect 110 131 121 165
-rect 155 131 163 165
-rect 110 115 163 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 163 773
-rect 110 689 121 757
-rect 155 689 163 757
-rect 110 521 163 689
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-<< poly >>
-rect 80 773 110 799
-rect 80 469 110 521
-rect 80 453 134 469
-rect 80 419 90 453
-rect 124 419 134 453
-rect 80 403 134 419
-rect 80 199 110 403
-rect 80 89 110 115
-<< polycont >>
-rect 90 419 124 453
-<< locali >>
-rect 0 861 198 888
-rect 0 827 51 861
-rect 85 827 198 861
-rect 35 757 69 827
-rect 35 673 69 689
-rect 121 757 155 773
-rect 121 453 155 689
-rect 74 419 90 453
-rect 124 419 155 453
-rect 35 165 69 181
-rect 35 61 69 131
-rect 121 165 155 279
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 279 155 313
-<< metal1 >>
-rect 0 827 198 888
-rect 94 313 167 319
-rect 94 279 121 313
-rect 155 279 167 313
-rect 94 273 167 279
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 138 296 138 296 1 Y
-port 1 n
-<< end >>
diff --git a/lib/12T_hs/XNOR2XL.mag b/lib/12T_hs/XNOR2XL.mag
deleted file mode 100644
index faf0f0c..0000000
--- a/lib/12T_hs/XNOR2XL.mag
+++ /dev/null
@@ -1,262 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600771671
-<< nwell >>
-rect -9 485 638 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-rect 238 115 268 199
-rect 358 115 388 199
-rect 430 115 460 199
-rect 516 115 546 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 238 521 268 773
-rect 358 521 388 773
-rect 430 521 460 773
-rect 516 521 546 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 115 238 199
-rect 268 165 358 199
-rect 268 131 279 165
-rect 347 131 358 165
-rect 268 115 358 131
-rect 388 115 430 199
-rect 460 165 516 199
-rect 460 131 471 165
-rect 505 131 516 165
-rect 460 115 516 131
-rect 546 165 599 199
-rect 546 131 557 165
-rect 591 131 599 165
-rect 546 115 599 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 689 35 757
-rect 69 689 80 757
-rect 27 521 80 689
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 521 238 773
-rect 268 757 358 773
-rect 268 689 279 757
-rect 347 689 358 757
-rect 268 521 358 689
-rect 388 521 430 773
-rect 460 757 516 773
-rect 460 689 471 757
-rect 505 689 516 757
-rect 460 521 516 689
-rect 546 757 599 773
-rect 546 690 557 757
-rect 591 690 599 757
-rect 546 521 599 690
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 279 131 347 165
-rect 471 131 505 165
-rect 557 131 591 165
-<< pdiffc >>
-rect 35 689 69 757
-rect 121 689 155 757
-rect 279 689 347 757
-rect 471 689 505 757
-rect 557 690 591 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-rect 435 827 459 861
-rect 493 827 517 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-rect 459 827 493 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 238 773 268 799
-rect 358 773 388 799
-rect 430 773 460 799
-rect 516 773 546 799
-rect 80 506 110 521
-rect 70 476 110 506
-rect 70 264 100 476
-rect 166 422 196 521
-rect 142 406 196 422
-rect 142 372 152 406
-rect 186 372 196 406
-rect 142 356 196 372
-rect 238 490 268 521
-rect 238 474 292 490
-rect 238 440 248 474
-rect 282 440 292 474
-rect 238 424 292 440
-rect 142 291 196 307
-rect 142 264 152 291
-rect 70 257 152 264
-rect 186 257 196 291
-rect 70 234 196 257
-rect 80 199 110 234
-rect 166 199 196 234
-rect 238 199 268 424
-rect 358 415 388 521
-rect 430 506 460 521
-rect 516 506 546 521
-rect 430 476 546 506
-rect 358 399 472 415
-rect 358 385 428 399
-rect 418 365 428 385
-rect 462 365 472 399
-rect 418 349 472 365
-rect 516 307 546 476
-rect 326 291 380 307
-rect 326 257 336 291
-rect 370 264 380 291
-rect 479 291 546 307
-rect 479 264 489 291
-rect 370 257 388 264
-rect 326 241 388 257
-rect 358 199 388 241
-rect 430 257 489 264
-rect 523 257 546 291
-rect 430 234 546 257
-rect 430 199 460 234
-rect 516 199 546 234
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-<< polycont >>
-rect 152 372 186 406
-rect 248 440 282 474
-rect 152 257 186 291
-rect 428 365 462 399
-rect 336 257 370 291
-rect 489 257 523 291
-<< locali >>
-rect 0 861 638 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 459 861
-rect 493 827 638 861
-rect 35 757 69 773
-rect 35 406 69 689
-rect 121 757 155 827
-rect 279 757 347 773
-rect 121 545 155 689
-rect 268 689 279 707
-rect 268 673 347 689
-rect 471 757 505 827
-rect 268 609 302 673
-rect 471 545 505 689
-rect 557 757 591 773
-rect 557 474 591 690
-rect 232 440 248 474
-rect 282 440 591 474
-rect 35 372 152 406
-rect 186 372 370 406
-rect 35 165 69 372
-rect 152 291 186 307
-rect 152 239 186 257
-rect 268 181 302 279
-rect 336 291 370 372
-rect 336 241 370 257
-rect 412 365 428 399
-rect 462 365 478 399
-rect 412 239 446 365
-rect 489 241 523 257
-rect 268 165 347 181
-rect 105 131 121 165
-rect 155 131 171 165
-rect 268 151 279 165
-rect 35 115 69 131
-rect 121 61 155 131
-rect 279 115 347 131
-rect 471 165 505 181
-rect 471 61 505 131
-rect 557 165 591 440
-rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 268 575 302 609
-rect 152 205 186 239
-rect 268 279 302 313
-rect 489 291 523 313
-rect 489 279 523 291
-rect 412 205 446 239
-<< metal1 >>
-rect 0 827 638 888
-rect 256 609 314 615
-rect 256 575 268 609
-rect 302 575 314 609
-rect 256 569 314 575
-rect 268 319 302 569
-rect 256 313 314 319
-rect 477 313 535 319
-rect 256 279 268 313
-rect 302 279 314 313
-rect 455 279 489 313
-rect 523 279 535 313
-rect 256 273 314 279
-rect 477 273 535 279
-rect 140 239 198 245
-rect 400 239 458 245
-rect 140 205 152 239
-rect 186 205 412 239
-rect 446 205 458 239
-rect 140 199 198 205
-rect 400 199 458 205
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 284 353 284 353 1 Y
-port 1 n
-rlabel metal1 506 296 506 296 1 B
-port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 170 222 170 222 1 A
-port 3 n
-<< end >>
diff --git a/lib/12T_hs/XOR2XL.mag b/lib/12T_hs/XOR2XL.mag
deleted file mode 100644
index f394ae2..0000000
--- a/lib/12T_hs/XOR2XL.mag
+++ /dev/null
@@ -1,261 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600771274
-<< nwell >>
-rect -9 485 638 897
-<< nmos >>
-rect 80 115 110 199
-rect 166 115 196 199
-rect 238 115 268 199
-rect 358 115 388 199
-rect 430 115 460 199
-rect 516 115 546 199
-<< pmos >>
-rect 80 521 110 773
-rect 166 521 196 773
-rect 238 521 268 773
-rect 358 521 388 773
-rect 430 521 460 773
-rect 516 521 546 773
-<< ndiff >>
-rect 27 165 80 199
-rect 27 131 35 165
-rect 69 131 80 165
-rect 27 115 80 131
-rect 110 165 166 199
-rect 110 131 121 165
-rect 155 131 166 165
-rect 110 115 166 131
-rect 196 115 238 199
-rect 268 165 358 199
-rect 268 131 279 165
-rect 347 131 358 165
-rect 268 115 358 131
-rect 388 115 430 199
-rect 460 165 516 199
-rect 460 131 471 165
-rect 505 131 516 165
-rect 460 115 516 131
-rect 546 165 599 199
-rect 546 131 557 165
-rect 591 131 599 165
-rect 546 115 599 131
-<< pdiff >>
-rect 27 757 80 773
-rect 27 655 35 757
-rect 69 655 80 757
-rect 27 521 80 655
-rect 110 757 166 773
-rect 110 689 121 757
-rect 155 689 166 757
-rect 110 521 166 689
-rect 196 521 238 773
-rect 268 757 358 773
-rect 268 655 279 757
-rect 347 655 358 757
-rect 268 521 358 655
-rect 388 521 430 773
-rect 460 757 516 773
-rect 460 655 471 757
-rect 505 655 516 757
-rect 460 521 516 655
-rect 546 757 599 773
-rect 546 656 557 757
-rect 591 656 599 757
-rect 546 521 599 656
-<< ndiffc >>
-rect 35 131 69 165
-rect 121 131 155 165
-rect 279 131 347 165
-rect 471 131 505 165
-rect 557 131 591 165
-<< pdiffc >>
-rect 35 655 69 757
-rect 121 689 155 757
-rect 279 655 347 757
-rect 471 655 505 757
-rect 557 656 591 757
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 827 51 861
-rect 85 827 109 861
-rect 163 827 187 861
-rect 221 827 245 861
-rect 299 827 323 861
-rect 357 827 381 861
-rect 435 827 459 861
-rect 493 827 517 861
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 827 85 861
-rect 187 827 221 861
-rect 323 827 357 861
-rect 459 827 493 861
-<< poly >>
-rect 80 773 110 799
-rect 166 773 196 799
-rect 238 773 268 799
-rect 358 773 388 799
-rect 430 773 460 799
-rect 516 773 546 799
-rect 80 506 110 521
-rect 166 506 196 521
-rect 70 476 196 506
-rect 70 259 100 476
-rect 142 474 196 476
-rect 142 440 152 474
-rect 186 440 196 474
-rect 142 424 196 440
-rect 142 366 196 382
-rect 142 332 152 366
-rect 186 332 196 366
-rect 142 316 196 332
-rect 70 234 110 259
-rect 80 199 110 234
-rect 166 199 196 316
-rect 238 307 268 521
-rect 358 490 388 521
-rect 334 474 388 490
-rect 430 506 460 521
-rect 516 506 546 521
-rect 430 476 546 506
-rect 334 440 344 474
-rect 378 440 388 474
-rect 334 424 388 440
-rect 479 474 546 476
-rect 479 440 489 474
-rect 523 440 546 474
-rect 479 424 546 440
-rect 420 365 474 381
-rect 420 336 430 365
-rect 358 331 430 336
-rect 464 331 474 365
-rect 238 291 292 307
-rect 238 257 248 291
-rect 282 257 292 291
-rect 238 241 292 257
-rect 358 306 474 331
-rect 238 199 268 241
-rect 358 199 388 306
-rect 516 264 546 424
-rect 430 234 546 264
-rect 430 199 460 234
-rect 516 199 546 234
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-<< polycont >>
-rect 152 440 186 474
-rect 152 332 186 366
-rect 344 440 378 474
-rect 489 440 523 474
-rect 430 331 464 365
-rect 248 257 282 291
-<< locali >>
-rect 0 861 638 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 459 861
-rect 493 827 638 861
-rect 35 757 69 773
-rect 121 757 155 827
-rect 121 673 155 689
-rect 279 757 347 773
-rect 35 366 69 655
-rect 268 655 279 673
-rect 268 639 347 655
-rect 471 757 505 827
-rect 471 639 505 655
-rect 557 757 591 773
-rect 152 575 200 609
-rect 152 474 186 575
-rect 136 440 152 474
-rect 186 440 202 474
-rect 268 461 302 639
-rect 344 474 378 490
-rect 344 366 378 440
-rect 35 332 152 366
-rect 186 332 378 366
-rect 412 365 446 575
-rect 489 474 523 501
-rect 489 424 523 440
-rect 35 165 69 332
-rect 412 331 430 365
-rect 464 331 480 365
-rect 557 291 591 656
-rect 232 257 248 291
-rect 282 257 591 291
-rect 296 181 330 185
-rect 35 115 69 131
-rect 121 165 155 181
-rect 121 61 155 131
-rect 279 165 347 181
-rect 279 115 347 131
-rect 471 165 505 181
-rect 471 61 505 131
-rect 557 165 591 257
-rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 200 575 234 609
-rect 412 575 446 609
-rect 268 427 302 461
-rect 489 501 523 535
-rect 296 185 330 219
-<< metal1 >>
-rect 0 827 638 888
-rect 188 609 246 615
-rect 400 609 458 615
-rect 188 575 200 609
-rect 234 575 412 609
-rect 446 575 458 609
-rect 188 569 246 575
-rect 400 569 458 575
-rect 477 535 535 541
-rect 455 501 489 535
-rect 523 501 535 535
-rect 477 495 535 501
-rect 256 461 314 467
-rect 256 427 268 461
-rect 302 427 314 461
-rect 256 421 314 427
-rect 268 225 302 421
-rect 268 219 342 225
-rect 268 185 296 219
-rect 330 185 342 219
-rect 284 179 342 185
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 844 68 844 1 vdd
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 285 412 285 412 1 Y
-port 1 n
-rlabel metal1 218 592 218 592 1 A
-port 2 n
-rlabel metal1 506 518 506 518 1 B
-port 3 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__addf_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__addf_1.mag
new file mode 100644
index 0000000..38e0bec
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__addf_1.mag
@@ -0,0 +1,650 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007747
+<< checkpaint >>
+rect -1269 -242 2695 2379
+<< nwell >>
+rect -9 529 1435 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 410 115 440 263
+rect 496 115 526 263
+rect 582 115 612 263
+rect 668 115 698 263
+rect 754 115 784 263
+rect 840 115 870 263
+rect 922 115 952 263
+rect 1004 115 1034 263
+rect 1102 115 1132 263
+rect 1292 115 1322 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 410 565 440 965
+rect 496 565 526 965
+rect 582 565 612 965
+rect 668 565 698 965
+rect 754 565 784 965
+rect 840 565 870 965
+rect 922 565 952 965
+rect 1004 565 1034 965
+rect 1102 565 1132 965
+rect 1292 565 1322 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 233 252 263
+rect 196 131 207 233
+rect 241 131 252 233
+rect 196 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 115 410 263
+rect 440 233 496 263
+rect 440 131 451 233
+rect 485 131 496 233
+rect 440 115 496 131
+rect 526 233 582 263
+rect 526 131 537 233
+rect 571 131 582 233
+rect 526 115 582 131
+rect 612 165 668 263
+rect 612 131 623 165
+rect 657 131 668 165
+rect 612 115 668 131
+rect 698 233 754 263
+rect 698 131 709 233
+rect 743 131 754 233
+rect 698 115 754 131
+rect 784 165 840 263
+rect 784 131 795 165
+rect 829 131 840 165
+rect 784 115 840 131
+rect 870 115 922 263
+rect 952 115 1004 263
+rect 1034 233 1102 263
+rect 1034 131 1045 233
+rect 1079 131 1102 233
+rect 1034 115 1102 131
+rect 1132 165 1185 263
+rect 1132 131 1143 165
+rect 1177 131 1185 165
+rect 1132 115 1185 131
+rect 1239 165 1292 263
+rect 1239 131 1247 165
+rect 1281 131 1292 165
+rect 1239 115 1292 131
+rect 1322 233 1375 263
+rect 1322 131 1333 233
+rect 1367 131 1375 233
+rect 1322 115 1375 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 677 35 949
+rect 69 677 80 949
+rect 27 565 80 677
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 677 293 949
+rect 327 677 338 949
+rect 282 565 338 677
+rect 368 565 410 965
+rect 440 949 496 965
+rect 440 677 451 949
+rect 485 677 496 949
+rect 440 565 496 677
+rect 526 949 582 965
+rect 526 677 537 949
+rect 571 677 582 949
+rect 526 565 582 677
+rect 612 949 668 965
+rect 612 745 623 949
+rect 657 745 668 949
+rect 612 565 668 745
+rect 698 949 754 965
+rect 698 677 709 949
+rect 743 677 754 949
+rect 698 565 754 677
+rect 784 949 840 965
+rect 784 677 795 949
+rect 829 677 840 949
+rect 784 565 840 677
+rect 870 565 922 965
+rect 952 565 1004 965
+rect 1034 949 1102 965
+rect 1034 745 1045 949
+rect 1079 745 1102 949
+rect 1034 565 1102 745
+rect 1132 949 1185 965
+rect 1132 677 1143 949
+rect 1177 677 1185 949
+rect 1132 565 1185 677
+rect 1239 949 1292 965
+rect 1239 609 1247 949
+rect 1281 609 1292 949
+rect 1239 565 1292 609
+rect 1322 949 1375 965
+rect 1322 609 1333 949
+rect 1367 609 1375 949
+rect 1322 565 1375 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 165
+rect 207 131 241 233
+rect 293 131 327 233
+rect 451 131 485 233
+rect 537 131 571 233
+rect 623 131 657 165
+rect 709 131 743 233
+rect 795 131 829 165
+rect 1045 131 1079 233
+rect 1143 131 1177 165
+rect 1247 131 1281 165
+rect 1333 131 1367 233
+<< pdiffc >>
+rect 35 677 69 949
+rect 121 745 155 949
+rect 207 677 241 949
+rect 293 677 327 949
+rect 451 677 485 949
+rect 537 677 571 949
+rect 623 745 657 949
+rect 709 677 743 949
+rect 795 677 829 949
+rect 1045 745 1079 949
+rect 1143 677 1177 949
+rect 1247 609 1281 949
+rect 1333 609 1367 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 993
+rect 338 965 368 993
+rect 410 965 440 991
+rect 496 965 526 991
+rect 582 965 612 993
+rect 668 965 698 993
+rect 754 965 784 993
+rect 840 965 870 993
+rect 922 965 952 993
+rect 1004 965 1034 993
+rect 1102 965 1132 993
+rect 1292 965 1322 993
+rect 80 351 110 565
+rect 166 533 196 565
+rect 152 517 206 533
+rect 152 483 162 517
+rect 196 483 206 517
+rect 152 467 206 483
+rect 70 335 124 351
+rect 70 301 80 335
+rect 114 301 124 335
+rect 70 285 124 301
+rect 80 263 110 285
+rect 166 263 196 467
+rect 252 425 282 565
+rect 338 467 368 565
+rect 410 540 440 565
+rect 496 540 526 565
+rect 410 510 526 540
+rect 338 451 430 467
+rect 238 409 292 425
+rect 238 375 248 409
+rect 282 375 292 409
+rect 238 359 292 375
+rect 338 417 386 451
+rect 420 417 430 451
+rect 338 401 430 417
+rect 252 263 282 359
+rect 338 263 368 401
+rect 472 351 502 510
+rect 582 362 612 565
+rect 668 499 698 565
+rect 656 483 710 499
+rect 656 449 666 483
+rect 700 449 710 483
+rect 656 433 710 449
+rect 472 335 526 351
+rect 472 315 482 335
+rect 410 301 482 315
+rect 516 301 526 335
+rect 410 285 526 301
+rect 568 346 622 362
+rect 568 312 578 346
+rect 612 312 622 346
+rect 568 296 622 312
+rect 410 263 440 285
+rect 496 263 526 285
+rect 582 263 612 296
+rect 668 263 698 433
+rect 754 351 784 565
+rect 840 499 870 565
+rect 826 483 880 499
+rect 826 449 836 483
+rect 870 449 880 483
+rect 826 433 880 449
+rect 922 461 952 565
+rect 1004 533 1034 565
+rect 1004 503 1048 533
+rect 1102 532 1132 565
+rect 922 445 976 461
+rect 742 335 796 351
+rect 742 301 752 335
+rect 786 301 796 335
+rect 742 285 796 301
+rect 754 263 784 285
+rect 840 263 870 433
+rect 922 411 932 445
+rect 966 411 976 445
+rect 922 395 976 411
+rect 922 263 952 395
+rect 1018 351 1048 503
+rect 1090 516 1144 532
+rect 1292 529 1322 565
+rect 1090 482 1100 516
+rect 1134 482 1144 516
+rect 1090 466 1144 482
+rect 1255 513 1322 529
+rect 1255 479 1265 513
+rect 1299 479 1322 513
+rect 1004 335 1058 351
+rect 1004 301 1014 335
+rect 1048 301 1058 335
+rect 1004 285 1058 301
+rect 1004 263 1034 285
+rect 1102 263 1132 466
+rect 1255 463 1322 479
+rect 1292 263 1322 463
+rect 80 81 110 115
+rect 166 82 196 115
+rect 252 82 282 115
+rect 338 82 368 115
+rect 410 82 440 115
+rect 496 82 526 115
+rect 582 82 612 115
+rect 668 82 698 115
+rect 754 82 784 115
+rect 840 82 870 115
+rect 922 82 952 115
+rect 1004 82 1034 115
+rect 1102 80 1132 115
+rect 1292 80 1322 115
+<< polycont >>
+rect 162 483 196 517
+rect 80 301 114 335
+rect 248 375 282 409
+rect 386 417 420 451
+rect 666 449 700 483
+rect 482 301 516 335
+rect 578 312 612 346
+rect 836 449 870 483
+rect 752 301 786 335
+rect 932 411 966 445
+rect 1100 482 1134 516
+rect 1265 479 1299 513
+rect 1014 301 1048 335
+<< locali >>
+rect 0 1093 1408 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1408 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 657 69 677
+rect 207 657 241 677
+rect 35 623 241 657
+rect 293 949 327 965
+rect 293 590 327 677
+rect 451 949 485 1049
+rect 451 661 485 677
+rect 537 949 571 965
+rect 623 949 657 1049
+rect 623 729 657 745
+rect 709 949 743 965
+rect 537 656 571 677
+rect 709 656 743 677
+rect 537 622 743 656
+rect 795 949 829 965
+rect 1045 949 1079 1049
+rect 1045 729 1079 745
+rect 1143 949 1177 965
+rect 795 632 829 677
+rect 1143 632 1177 677
+rect 795 598 1100 632
+rect 293 553 350 590
+rect 795 589 829 598
+rect 80 483 162 517
+rect 196 483 212 517
+rect 248 409 282 425
+rect 248 359 282 375
+rect 64 301 80 335
+rect 114 301 130 335
+rect 316 318 350 553
+rect 752 554 829 589
+rect 578 483 612 489
+rect 386 451 444 483
+rect 420 449 444 451
+rect 650 449 666 483
+rect 700 449 716 483
+rect 386 401 420 417
+rect 578 346 612 449
+rect 666 409 700 449
+rect 752 409 786 554
+rect 1066 532 1100 598
+rect 1247 949 1281 1049
+rect 1177 598 1202 615
+rect 1143 581 1202 598
+rect 1247 593 1281 609
+rect 1333 949 1367 965
+rect 1066 516 1134 532
+rect 1066 485 1100 516
+rect 820 449 836 483
+rect 870 449 886 483
+rect 1089 482 1100 485
+rect 1100 466 1134 482
+rect 932 445 966 461
+rect 932 409 966 411
+rect 1168 409 1202 581
+rect 1333 557 1367 609
+rect 752 375 879 409
+rect 1143 375 1202 409
+rect 1265 513 1299 529
+rect 293 284 350 318
+rect 466 301 482 335
+rect 516 301 532 335
+rect 578 296 612 312
+rect 736 301 752 335
+rect 786 301 811 335
+rect 293 261 327 284
+rect 35 233 241 252
+rect 69 218 207 233
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 114 241 131
+rect 777 261 811 301
+rect 293 114 327 131
+rect 451 233 485 249
+rect 451 61 485 131
+rect 537 233 743 252
+rect 571 218 709 233
+rect 537 114 571 131
+rect 623 165 657 181
+rect 623 61 657 131
+rect 845 181 879 375
+rect 998 301 1014 335
+rect 1048 301 1064 335
+rect 709 114 743 131
+rect 795 165 879 181
+rect 829 131 879 165
+rect 1045 233 1079 249
+rect 795 114 829 131
+rect 1045 61 1079 131
+rect 1143 165 1177 375
+rect 1265 261 1299 479
+rect 1245 227 1299 261
+rect 1333 233 1367 523
+rect 1143 115 1177 131
+rect 1247 165 1281 181
+rect 1247 61 1281 131
+rect 1333 115 1367 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 80 449 114 483
+rect 248 375 282 409
+rect 80 301 114 335
+rect 444 449 478 483
+rect 578 449 612 483
+rect 666 375 700 409
+rect 1143 598 1177 632
+rect 836 449 870 483
+rect 932 375 966 409
+rect 482 301 516 335
+rect 293 233 327 261
+rect 293 227 327 233
+rect 777 227 811 261
+rect 1014 301 1048 335
+rect 1211 227 1245 261
+rect 1333 523 1367 557
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1408 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1408 1093
+rect 0 1049 1408 1059
+rect 1131 632 1189 638
+rect 1109 598 1143 632
+rect 1177 598 1189 632
+rect 1131 592 1189 598
+rect 1321 557 1379 563
+rect 1299 523 1333 557
+rect 1367 523 1379 557
+rect 1321 517 1379 523
+rect 68 483 126 489
+rect 432 483 490 489
+rect 566 483 624 489
+rect 824 483 882 489
+rect 68 449 80 483
+rect 114 449 444 483
+rect 478 449 578 483
+rect 612 450 836 483
+rect 612 449 734 450
+rect 812 449 836 450
+rect 870 449 882 483
+rect 68 443 126 449
+rect 432 443 490 449
+rect 566 443 624 449
+rect 824 443 882 449
+rect 236 409 294 415
+rect 654 409 712 415
+rect 920 409 978 415
+rect 80 375 248 409
+rect 282 375 666 409
+rect 700 375 932 409
+rect 966 375 978 409
+rect 236 369 294 375
+rect 654 369 712 375
+rect 920 369 978 375
+rect 68 335 126 341
+rect 470 335 528 341
+rect 1002 335 1060 341
+rect 68 301 80 335
+rect 114 301 482 335
+rect 516 301 1014 335
+rect 1048 301 1060 335
+rect 68 295 126 301
+rect 470 295 528 301
+rect 1002 295 1060 301
+rect 281 261 339 267
+rect 765 261 823 267
+rect 1199 261 1257 267
+rect 281 227 293 261
+rect 327 227 777 261
+rect 811 227 1211 261
+rect 1245 227 1257 261
+rect 281 221 339 227
+rect 765 221 823 227
+rect 1199 221 1257 227
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
+<< labels >>
+rlabel viali 97 318 97 318 1 A
+port 1 n
+rlabel viali 265 392 265 392 1 CI
+port 2 n
+rlabel metal1 129 466 129 466 1 B
+port 3 n
+rlabel viali 1228 244 1228 244 1 CON
+port 4 n
+rlabel viali 1160 615 1160 615 1 S
+port 5 n
+rlabel viali 1350 540 1350 540 1 CO
+port 6 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__addf_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__addf_l.mag
new file mode 100644
index 0000000..d92f310
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__addf_l.mag
@@ -0,0 +1,652 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2695 2379
+<< nwell >>
+rect -9 529 1435 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 410 115 440 263
+rect 496 115 526 263
+rect 582 115 612 263
+rect 668 115 698 263
+rect 754 115 784 263
+rect 840 115 870 263
+rect 922 115 952 263
+rect 1004 115 1034 263
+rect 1102 115 1132 219
+rect 1292 115 1322 219
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 410 565 440 965
+rect 496 565 526 965
+rect 582 565 612 965
+rect 668 565 698 965
+rect 754 565 784 965
+rect 840 565 870 965
+rect 922 565 952 965
+rect 1004 565 1034 965
+rect 1102 713 1132 965
+rect 1292 713 1322 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 233 252 263
+rect 196 131 207 233
+rect 241 131 252 233
+rect 196 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 115 410 263
+rect 440 233 496 263
+rect 440 131 451 233
+rect 485 131 496 233
+rect 440 115 496 131
+rect 526 233 582 263
+rect 526 131 537 233
+rect 571 131 582 233
+rect 526 115 582 131
+rect 612 165 668 263
+rect 612 131 623 165
+rect 657 131 668 165
+rect 612 115 668 131
+rect 698 233 754 263
+rect 698 131 709 233
+rect 743 131 754 233
+rect 698 115 754 131
+rect 784 165 840 263
+rect 784 131 795 165
+rect 829 131 840 165
+rect 784 115 840 131
+rect 870 115 922 263
+rect 952 115 1004 263
+rect 1034 233 1087 263
+rect 1034 131 1045 233
+rect 1079 219 1087 233
+rect 1079 131 1102 219
+rect 1034 115 1102 131
+rect 1132 165 1185 219
+rect 1132 131 1143 165
+rect 1177 131 1185 165
+rect 1132 115 1185 131
+rect 1239 165 1292 219
+rect 1239 131 1247 165
+rect 1281 131 1292 165
+rect 1239 115 1292 131
+rect 1322 165 1375 219
+rect 1322 131 1333 165
+rect 1367 131 1375 165
+rect 1322 115 1375 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 677 35 949
+rect 69 677 80 949
+rect 27 565 80 677
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 677 293 949
+rect 327 677 338 949
+rect 282 565 338 677
+rect 368 565 410 965
+rect 440 949 496 965
+rect 440 677 451 949
+rect 485 677 496 949
+rect 440 565 496 677
+rect 526 949 582 965
+rect 526 677 537 949
+rect 571 677 582 949
+rect 526 565 582 677
+rect 612 949 668 965
+rect 612 745 623 949
+rect 657 745 668 949
+rect 612 565 668 745
+rect 698 949 754 965
+rect 698 677 709 949
+rect 743 677 754 949
+rect 698 565 754 677
+rect 784 949 840 965
+rect 784 677 795 949
+rect 829 677 840 949
+rect 784 565 840 677
+rect 870 565 922 965
+rect 952 565 1004 965
+rect 1034 949 1102 965
+rect 1034 745 1045 949
+rect 1079 745 1102 949
+rect 1034 713 1102 745
+rect 1132 949 1185 965
+rect 1132 813 1143 949
+rect 1177 813 1185 949
+rect 1132 713 1185 813
+rect 1239 949 1292 965
+rect 1239 813 1247 949
+rect 1281 813 1292 949
+rect 1239 713 1292 813
+rect 1322 949 1375 965
+rect 1322 813 1333 949
+rect 1367 813 1375 949
+rect 1322 713 1375 813
+rect 1034 565 1087 713
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 165
+rect 207 131 241 233
+rect 293 131 327 233
+rect 451 131 485 233
+rect 537 131 571 233
+rect 623 131 657 165
+rect 709 131 743 233
+rect 795 131 829 165
+rect 1045 131 1079 233
+rect 1143 131 1177 165
+rect 1247 131 1281 165
+rect 1333 131 1367 165
+<< pdiffc >>
+rect 35 677 69 949
+rect 121 745 155 949
+rect 207 677 241 949
+rect 293 677 327 949
+rect 451 677 485 949
+rect 537 677 571 949
+rect 623 745 657 949
+rect 709 677 743 949
+rect 795 677 829 949
+rect 1045 745 1079 949
+rect 1143 813 1177 949
+rect 1247 813 1281 949
+rect 1333 813 1367 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 993
+rect 338 965 368 993
+rect 410 965 440 991
+rect 496 965 526 991
+rect 582 965 612 993
+rect 668 965 698 993
+rect 754 965 784 993
+rect 840 965 870 993
+rect 922 965 952 993
+rect 1004 965 1034 993
+rect 1102 965 1132 993
+rect 1292 965 1322 993
+rect 80 351 110 565
+rect 166 533 196 565
+rect 152 517 206 533
+rect 152 483 162 517
+rect 196 483 206 517
+rect 152 467 206 483
+rect 70 335 124 351
+rect 70 301 80 335
+rect 114 301 124 335
+rect 70 285 124 301
+rect 80 263 110 285
+rect 166 263 196 467
+rect 252 425 282 565
+rect 338 467 368 565
+rect 410 540 440 565
+rect 496 540 526 565
+rect 410 510 526 540
+rect 338 451 430 467
+rect 238 409 292 425
+rect 238 375 248 409
+rect 282 375 292 409
+rect 238 359 292 375
+rect 338 417 386 451
+rect 420 417 430 451
+rect 338 401 430 417
+rect 252 263 282 359
+rect 338 263 368 401
+rect 472 351 502 510
+rect 582 362 612 565
+rect 668 499 698 565
+rect 656 483 710 499
+rect 656 449 666 483
+rect 700 449 710 483
+rect 656 433 710 449
+rect 472 335 526 351
+rect 472 315 482 335
+rect 410 301 482 315
+rect 516 301 526 335
+rect 410 285 526 301
+rect 568 346 622 362
+rect 568 312 578 346
+rect 612 312 622 346
+rect 568 296 622 312
+rect 410 263 440 285
+rect 496 263 526 285
+rect 582 263 612 296
+rect 668 263 698 433
+rect 754 351 784 565
+rect 840 499 870 565
+rect 826 483 880 499
+rect 826 449 836 483
+rect 870 449 880 483
+rect 826 433 880 449
+rect 922 461 952 565
+rect 1004 533 1034 565
+rect 1004 503 1048 533
+rect 1102 532 1132 713
+rect 922 445 976 461
+rect 742 335 796 351
+rect 742 301 752 335
+rect 786 301 796 335
+rect 742 285 796 301
+rect 754 263 784 285
+rect 840 263 870 433
+rect 922 411 932 445
+rect 966 411 976 445
+rect 922 395 976 411
+rect 922 263 952 395
+rect 1018 351 1048 503
+rect 1090 516 1144 532
+rect 1292 529 1322 713
+rect 1090 482 1100 516
+rect 1134 482 1144 516
+rect 1090 466 1144 482
+rect 1255 513 1322 529
+rect 1255 479 1265 513
+rect 1299 479 1322 513
+rect 1004 335 1058 351
+rect 1004 301 1014 335
+rect 1048 301 1058 335
+rect 1004 285 1058 301
+rect 1004 263 1034 285
+rect 1102 219 1132 466
+rect 1255 463 1322 479
+rect 1292 219 1322 463
+rect 80 81 110 115
+rect 166 82 196 115
+rect 252 82 282 115
+rect 338 82 368 115
+rect 410 82 440 115
+rect 496 82 526 115
+rect 582 82 612 115
+rect 668 82 698 115
+rect 754 82 784 115
+rect 840 82 870 115
+rect 922 82 952 115
+rect 1004 82 1034 115
+rect 1102 80 1132 115
+rect 1292 80 1322 115
+<< polycont >>
+rect 162 483 196 517
+rect 80 301 114 335
+rect 248 375 282 409
+rect 386 417 420 451
+rect 666 449 700 483
+rect 482 301 516 335
+rect 578 312 612 346
+rect 836 449 870 483
+rect 752 301 786 335
+rect 932 411 966 445
+rect 1100 482 1134 516
+rect 1265 479 1299 513
+rect 1014 301 1048 335
+<< locali >>
+rect 0 1093 1408 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1408 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 657 69 677
+rect 207 657 241 677
+rect 35 623 241 657
+rect 293 949 327 965
+rect 293 590 327 677
+rect 451 949 485 1049
+rect 451 661 485 677
+rect 537 949 571 965
+rect 623 949 657 1049
+rect 623 729 657 745
+rect 709 949 743 965
+rect 537 656 571 677
+rect 709 656 743 677
+rect 537 622 743 656
+rect 795 949 829 965
+rect 1045 949 1079 1049
+rect 1045 729 1079 745
+rect 1143 949 1177 965
+rect 795 632 829 677
+rect 1143 638 1177 813
+rect 1247 949 1281 1049
+rect 1247 797 1281 813
+rect 1333 949 1367 965
+rect 795 598 1100 632
+rect 293 553 350 590
+rect 795 589 829 598
+rect 80 483 162 517
+rect 196 483 212 517
+rect 248 409 282 425
+rect 248 359 282 375
+rect 64 301 80 335
+rect 114 301 130 335
+rect 316 318 350 553
+rect 752 554 829 589
+rect 578 483 612 489
+rect 386 451 444 483
+rect 420 449 444 451
+rect 650 449 666 483
+rect 700 449 716 483
+rect 386 401 420 417
+rect 578 346 612 449
+rect 666 409 700 449
+rect 752 409 786 554
+rect 1066 532 1100 598
+rect 1177 604 1202 615
+rect 1143 581 1202 604
+rect 1066 516 1134 532
+rect 1066 485 1100 516
+rect 820 449 836 483
+rect 870 449 886 483
+rect 1089 482 1100 485
+rect 1100 466 1134 482
+rect 932 445 966 461
+rect 932 409 966 411
+rect 1168 409 1202 581
+rect 1333 557 1367 813
+rect 752 375 879 409
+rect 1143 375 1202 409
+rect 1265 513 1299 529
+rect 293 284 350 318
+rect 466 301 482 335
+rect 516 301 532 335
+rect 578 296 612 312
+rect 736 301 752 335
+rect 786 301 811 335
+rect 293 261 327 284
+rect 35 233 241 252
+rect 69 218 207 233
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 114 241 131
+rect 777 261 811 301
+rect 293 114 327 131
+rect 451 233 485 249
+rect 451 61 485 131
+rect 537 233 743 252
+rect 571 218 709 233
+rect 537 114 571 131
+rect 623 165 657 181
+rect 623 61 657 131
+rect 845 181 879 375
+rect 998 301 1014 335
+rect 1048 301 1064 335
+rect 709 114 743 131
+rect 795 165 879 181
+rect 829 131 879 165
+rect 1045 233 1079 249
+rect 795 114 829 131
+rect 1045 61 1079 131
+rect 1143 165 1177 375
+rect 1265 261 1299 479
+rect 1245 227 1299 261
+rect 1143 115 1177 131
+rect 1247 165 1281 181
+rect 1247 61 1281 131
+rect 1333 165 1367 523
+rect 1333 115 1367 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 80 449 114 483
+rect 248 375 282 409
+rect 80 301 114 335
+rect 444 449 478 483
+rect 578 449 612 483
+rect 666 375 700 409
+rect 1143 604 1177 638
+rect 836 449 870 483
+rect 932 375 966 409
+rect 482 301 516 335
+rect 293 233 327 261
+rect 293 227 327 233
+rect 777 227 811 261
+rect 1014 301 1048 335
+rect 1211 227 1245 261
+rect 1333 523 1367 557
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1408 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1408 1093
+rect 0 1049 1408 1059
+rect 1131 638 1189 644
+rect 1109 604 1143 638
+rect 1177 604 1189 638
+rect 1131 598 1189 604
+rect 1321 557 1379 563
+rect 1299 523 1333 557
+rect 1367 523 1379 557
+rect 1321 517 1379 523
+rect 68 483 126 489
+rect 432 483 490 489
+rect 566 483 624 489
+rect 824 483 882 489
+rect 68 449 80 483
+rect 114 449 444 483
+rect 478 449 578 483
+rect 612 450 836 483
+rect 612 449 734 450
+rect 812 449 836 450
+rect 870 449 882 483
+rect 68 443 126 449
+rect 432 443 490 449
+rect 566 443 624 449
+rect 824 443 882 449
+rect 236 409 294 415
+rect 654 409 712 415
+rect 920 409 978 415
+rect 80 375 248 409
+rect 282 375 666 409
+rect 700 375 932 409
+rect 966 375 978 409
+rect 236 369 294 375
+rect 654 369 712 375
+rect 920 369 978 375
+rect 68 335 126 341
+rect 470 335 528 341
+rect 1002 335 1060 341
+rect 68 301 80 335
+rect 114 301 482 335
+rect 516 301 1014 335
+rect 1048 301 1060 335
+rect 68 295 126 301
+rect 470 295 528 301
+rect 1002 295 1060 301
+rect 281 261 339 267
+rect 765 261 823 267
+rect 1199 261 1257 267
+rect 281 227 293 261
+rect 327 227 777 261
+rect 811 227 1211 261
+rect 1245 227 1257 261
+rect 281 221 339 227
+rect 765 221 823 227
+rect 1199 221 1257 227
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
+<< labels >>
+rlabel viali 97 318 97 318 1 A
+port 1 n
+rlabel viali 265 392 265 392 1 CI
+port 2 n
+rlabel metal1 129 466 129 466 1 B
+port 3 n
+rlabel viali 1350 540 1350 540 1 CO
+port 5 n
+rlabel viali 1160 621 1160 621 1 S
+port 6 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 1228 244 1228 244 1 CON
+port 4 n
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__addh_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__addh_1.mag
new file mode 100644
index 0000000..99d1cdf
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__addh_1.mag
@@ -0,0 +1,393 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2097 2379
+<< nwell >>
+rect -9 529 837 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 178 115 208 263
+rect 250 115 280 263
+rect 442 115 472 263
+rect 540 115 570 263
+rect 626 115 656 263
+rect 712 115 742 263
+<< pmos >>
+rect 80 565 110 965
+rect 178 565 208 965
+rect 264 565 294 965
+rect 362 565 392 965
+rect 552 565 582 965
+rect 638 565 668 965
+rect 710 565 740 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 233 178 263
+rect 110 131 133 233
+rect 167 131 178 233
+rect 110 115 178 131
+rect 208 115 250 263
+rect 280 233 333 263
+rect 280 131 291 233
+rect 325 131 333 233
+rect 280 115 333 131
+rect 389 233 442 263
+rect 389 131 397 233
+rect 431 131 442 233
+rect 389 115 442 131
+rect 472 233 540 263
+rect 472 131 495 233
+rect 529 131 540 233
+rect 472 115 540 131
+rect 570 233 626 263
+rect 570 131 581 233
+rect 615 131 626 233
+rect 570 115 626 131
+rect 656 247 712 263
+rect 656 179 667 247
+rect 701 179 712 247
+rect 656 115 712 179
+rect 742 233 795 263
+rect 742 131 753 233
+rect 787 131 795 233
+rect 742 115 795 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 609 35 949
+rect 69 609 80 949
+rect 27 565 80 609
+rect 110 949 178 965
+rect 110 609 133 949
+rect 167 609 178 949
+rect 110 565 178 609
+rect 208 949 264 965
+rect 208 677 219 949
+rect 253 677 264 949
+rect 208 565 264 677
+rect 294 949 362 965
+rect 294 677 305 949
+rect 339 677 362 949
+rect 294 565 362 677
+rect 392 949 445 965
+rect 392 609 403 949
+rect 437 609 445 949
+rect 392 565 445 609
+rect 499 949 552 965
+rect 499 609 507 949
+rect 541 609 552 949
+rect 499 565 552 609
+rect 582 949 638 965
+rect 582 609 593 949
+rect 627 609 638 949
+rect 582 565 638 609
+rect 668 565 710 965
+rect 740 949 796 965
+rect 740 609 751 949
+rect 785 609 796 949
+rect 740 565 796 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 133 131 167 233
+rect 291 131 325 233
+rect 397 131 431 233
+rect 495 131 529 233
+rect 581 131 615 233
+rect 667 179 701 247
+rect 753 131 787 233
+<< pdiffc >>
+rect 35 609 69 949
+rect 133 609 167 949
+rect 219 677 253 949
+rect 305 677 339 949
+rect 403 609 437 949
+rect 507 609 541 949
+rect 593 609 627 949
+rect 751 609 785 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 178 965 208 991
+rect 264 965 294 991
+rect 362 965 392 991
+rect 552 965 582 991
+rect 638 965 668 991
+rect 710 965 740 991
+rect 80 351 110 565
+rect 178 425 208 565
+rect 264 499 294 565
+rect 154 409 208 425
+rect 154 375 164 409
+rect 198 375 208 409
+rect 154 359 208 375
+rect 43 335 110 351
+rect 43 301 53 335
+rect 87 301 110 335
+rect 43 285 110 301
+rect 80 263 110 285
+rect 178 263 208 359
+rect 250 483 304 499
+rect 250 449 260 483
+rect 294 449 304 483
+rect 250 433 304 449
+rect 362 497 392 565
+rect 552 497 582 565
+rect 362 467 582 497
+rect 250 263 280 433
+rect 362 372 392 467
+rect 638 425 668 565
+rect 710 499 740 565
+rect 710 483 764 499
+rect 710 449 720 483
+rect 754 449 764 483
+rect 710 433 764 449
+rect 338 356 392 372
+rect 614 409 668 425
+rect 614 375 624 409
+rect 658 375 668 409
+rect 614 359 668 375
+rect 338 322 348 356
+rect 382 323 392 356
+rect 382 322 570 323
+rect 338 293 570 322
+rect 442 263 472 293
+rect 540 263 570 293
+rect 626 263 656 359
+rect 712 263 742 433
+rect 80 89 110 115
+rect 178 89 208 115
+rect 250 89 280 115
+rect 442 89 472 115
+rect 540 89 570 115
+rect 626 89 656 115
+rect 712 89 742 115
+<< polycont >>
+rect 164 375 198 409
+rect 53 301 87 335
+rect 260 449 294 483
+rect 720 449 754 483
+rect 624 375 658 409
+rect 348 322 382 356
+<< locali >>
+rect 0 1093 836 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 836 1093
+rect 35 949 69 965
+rect 35 575 69 597
+rect 133 949 167 1049
+rect 133 593 167 609
+rect 219 949 253 965
+rect 219 567 253 677
+rect 305 949 339 1049
+rect 305 661 339 677
+rect 403 949 437 965
+rect 219 533 362 567
+rect 260 483 294 499
+rect 260 433 294 449
+rect 148 375 164 409
+rect 198 375 214 409
+rect 328 372 362 533
+rect 403 557 437 609
+rect 507 949 541 965
+rect 403 523 418 557
+rect 328 356 382 372
+rect 328 340 348 356
+rect 37 301 53 335
+rect 87 301 110 335
+rect 291 322 348 340
+rect 291 306 382 322
+rect 35 115 69 131
+rect 133 233 167 249
+rect 133 61 167 131
+rect 291 233 325 306
+rect 418 267 452 523
+rect 507 555 541 609
+rect 593 949 627 1049
+rect 593 593 627 609
+rect 751 949 785 965
+rect 751 555 785 609
+rect 507 521 785 555
+rect 507 335 541 521
+rect 704 449 720 483
+rect 754 449 770 483
+rect 608 375 624 409
+rect 658 375 674 409
+rect 541 301 667 335
+rect 291 115 325 131
+rect 397 233 452 267
+rect 495 233 529 249
+rect 397 115 431 131
+rect 495 61 529 131
+rect 581 233 615 249
+rect 667 247 701 301
+rect 667 163 701 179
+rect 753 233 787 249
+rect 581 129 615 131
+rect 753 129 787 131
+rect 581 95 787 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 35 609 69 631
+rect 35 597 69 609
+rect 260 449 294 483
+rect 164 375 198 409
+rect 418 523 452 557
+rect 110 301 144 335
+rect 35 233 69 260
+rect 35 226 69 233
+rect 720 449 754 483
+rect 624 375 658 409
+rect 507 301 541 335
+rect 667 301 701 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 836 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 836 1093
+rect 0 1049 836 1059
+rect 23 631 81 637
+rect 23 597 35 631
+rect 69 597 81 631
+rect 23 591 81 597
+rect 35 266 69 591
+rect 406 557 464 563
+rect 406 523 418 557
+rect 452 523 486 557
+rect 406 517 464 523
+rect 248 483 306 489
+rect 708 483 766 489
+rect 248 449 260 483
+rect 294 449 720 483
+rect 754 449 766 483
+rect 248 448 766 449
+rect 248 443 306 448
+rect 708 443 766 448
+rect 152 410 210 415
+rect 612 410 670 415
+rect 152 409 670 410
+rect 152 375 164 409
+rect 198 375 624 409
+rect 658 375 670 409
+rect 152 369 210 375
+rect 612 369 670 375
+rect 98 335 156 341
+rect 495 335 553 341
+rect 655 335 713 341
+rect 98 301 110 335
+rect 144 301 507 335
+rect 541 301 553 335
+rect 633 301 667 335
+rect 701 301 713 335
+rect 98 295 156 301
+rect 495 295 553 301
+rect 655 295 713 301
+rect 23 260 81 266
+rect 23 226 35 260
+rect 69 226 81 260
+rect 23 220 81 226
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
+<< labels >>
+rlabel metal1 50 425 50 425 1 S
+port 1 n
+rlabel viali 737 466 737 466 1 A
+port 2 n
+rlabel viali 642 392 642 392 1 B
+port 3 n
+rlabel viali 435 540 435 540 1 CO
+port 4 n
+rlabel viali 684 318 684 318 1 CON
+port 5 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__addh_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__addh_l.mag
new file mode 100644
index 0000000..099e933
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__addh_l.mag
@@ -0,0 +1,397 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2097 2379
+<< nwell >>
+rect -9 529 837 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 178 115 208 263
+rect 250 115 280 263
+rect 442 115 472 237
+rect 540 115 570 263
+rect 626 115 656 263
+rect 712 115 742 263
+<< pmos >>
+rect 80 713 110 965
+rect 178 565 208 965
+rect 264 565 294 965
+rect 362 713 392 965
+rect 552 565 582 965
+rect 638 565 668 965
+rect 710 565 740 965
+<< ndiff >>
+rect 125 233 178 263
+rect 125 219 133 233
+rect 27 199 80 219
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 131 133 219
+rect 167 131 178 233
+rect 110 115 178 131
+rect 208 115 250 263
+rect 280 233 333 263
+rect 487 237 540 263
+rect 280 131 291 233
+rect 325 131 333 233
+rect 280 115 333 131
+rect 389 199 442 237
+rect 389 131 397 199
+rect 431 131 442 199
+rect 389 115 442 131
+rect 472 233 540 237
+rect 472 131 495 233
+rect 529 131 540 233
+rect 472 115 540 131
+rect 570 233 626 263
+rect 570 131 581 233
+rect 615 131 626 233
+rect 570 115 626 131
+rect 656 247 712 263
+rect 656 179 667 247
+rect 701 179 712 247
+rect 656 115 712 179
+rect 742 233 795 263
+rect 742 131 753 233
+rect 787 131 795 233
+rect 742 115 795 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 713 80 745
+rect 110 949 178 965
+rect 110 713 133 949
+rect 125 609 133 713
+rect 167 609 178 949
+rect 125 565 178 609
+rect 208 949 264 965
+rect 208 677 219 949
+rect 253 677 264 949
+rect 208 565 264 677
+rect 294 949 362 965
+rect 294 677 305 949
+rect 339 713 362 949
+rect 392 949 445 965
+rect 392 745 403 949
+rect 437 745 445 949
+rect 392 713 445 745
+rect 499 949 552 965
+rect 339 677 347 713
+rect 294 565 347 677
+rect 499 609 507 949
+rect 541 609 552 949
+rect 499 565 552 609
+rect 582 949 638 965
+rect 582 609 593 949
+rect 627 609 638 949
+rect 582 565 638 609
+rect 668 565 710 965
+rect 740 949 796 965
+rect 740 609 751 949
+rect 785 609 796 949
+rect 740 565 796 609
+<< ndiffc >>
+rect 35 131 69 199
+rect 133 131 167 233
+rect 291 131 325 233
+rect 397 131 431 199
+rect 495 131 529 233
+rect 581 131 615 233
+rect 667 179 701 247
+rect 753 131 787 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 133 609 167 949
+rect 219 677 253 949
+rect 305 677 339 949
+rect 403 745 437 949
+rect 507 609 541 949
+rect 593 609 627 949
+rect 751 609 785 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 178 965 208 991
+rect 264 965 294 991
+rect 362 965 392 991
+rect 552 965 582 991
+rect 638 965 668 991
+rect 710 965 740 991
+rect 80 351 110 713
+rect 178 425 208 565
+rect 264 499 294 565
+rect 154 409 208 425
+rect 154 375 164 409
+rect 198 375 208 409
+rect 154 359 208 375
+rect 43 335 110 351
+rect 43 301 53 335
+rect 87 301 110 335
+rect 43 285 110 301
+rect 80 219 110 285
+rect 178 263 208 359
+rect 250 483 304 499
+rect 250 449 260 483
+rect 294 449 304 483
+rect 250 433 304 449
+rect 362 497 392 713
+rect 552 497 582 565
+rect 362 467 582 497
+rect 250 263 280 433
+rect 362 372 392 467
+rect 638 425 668 565
+rect 710 499 740 565
+rect 710 483 764 499
+rect 710 449 720 483
+rect 754 449 764 483
+rect 710 433 764 449
+rect 338 356 392 372
+rect 614 409 668 425
+rect 614 375 624 409
+rect 658 375 668 409
+rect 614 359 668 375
+rect 338 322 348 356
+rect 382 323 392 356
+rect 382 322 570 323
+rect 338 293 570 322
+rect 442 237 472 293
+rect 540 263 570 293
+rect 626 263 656 359
+rect 712 263 742 433
+rect 80 89 110 115
+rect 178 89 208 115
+rect 250 89 280 115
+rect 442 89 472 115
+rect 540 89 570 115
+rect 626 89 656 115
+rect 712 89 742 115
+<< polycont >>
+rect 164 375 198 409
+rect 53 301 87 335
+rect 260 449 294 483
+rect 720 449 754 483
+rect 624 375 658 409
+rect 348 322 382 356
+<< locali >>
+rect 0 1093 836 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 836 1093
+rect 35 949 69 965
+rect 35 631 69 745
+rect 133 949 167 1049
+rect 133 593 167 609
+rect 219 949 253 965
+rect 219 567 253 677
+rect 305 949 339 1049
+rect 305 661 339 677
+rect 403 949 437 965
+rect 219 533 362 567
+rect 260 483 294 499
+rect 260 433 294 449
+rect 148 375 164 409
+rect 198 375 214 409
+rect 328 372 362 533
+rect 403 557 437 745
+rect 507 949 541 965
+rect 403 523 418 557
+rect 328 356 382 372
+rect 328 340 348 356
+rect 37 301 53 335
+rect 87 301 110 335
+rect 291 322 348 340
+rect 291 306 382 322
+rect 35 199 69 226
+rect 35 115 69 131
+rect 133 233 167 249
+rect 133 61 167 131
+rect 291 233 325 306
+rect 418 260 452 523
+rect 507 555 541 609
+rect 593 949 627 1049
+rect 593 593 627 609
+rect 751 949 785 965
+rect 751 555 785 609
+rect 507 521 785 555
+rect 507 335 541 521
+rect 704 449 720 483
+rect 754 449 770 483
+rect 608 375 624 409
+rect 658 375 674 409
+rect 541 301 667 335
+rect 291 115 325 131
+rect 397 226 452 260
+rect 495 233 529 249
+rect 397 199 431 226
+rect 397 115 431 131
+rect 495 61 529 131
+rect 581 233 615 249
+rect 667 247 701 301
+rect 667 163 701 179
+rect 753 233 787 249
+rect 581 129 615 131
+rect 753 129 787 131
+rect 581 95 787 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 35 597 69 631
+rect 260 449 294 483
+rect 164 375 198 409
+rect 418 523 452 557
+rect 110 301 144 335
+rect 35 226 69 260
+rect 720 449 754 483
+rect 624 375 658 409
+rect 507 301 541 335
+rect 667 301 701 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 836 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 836 1093
+rect 0 1049 836 1059
+rect 23 631 81 637
+rect 23 597 35 631
+rect 69 597 81 631
+rect 23 591 81 597
+rect 35 266 69 591
+rect 406 557 464 563
+rect 406 523 418 557
+rect 452 523 486 557
+rect 406 517 464 523
+rect 248 483 306 489
+rect 708 483 766 489
+rect 248 449 260 483
+rect 294 449 720 483
+rect 754 449 766 483
+rect 248 448 766 449
+rect 248 443 306 448
+rect 708 443 766 448
+rect 152 410 210 415
+rect 612 410 670 415
+rect 152 409 670 410
+rect 152 375 164 409
+rect 198 375 624 409
+rect 658 375 670 409
+rect 152 369 210 375
+rect 612 369 670 375
+rect 98 335 156 341
+rect 495 335 553 341
+rect 655 335 713 341
+rect 98 301 110 335
+rect 144 301 507 335
+rect 541 301 553 335
+rect 633 301 667 335
+rect 701 301 713 335
+rect 98 295 156 301
+rect 495 295 553 301
+rect 655 295 713 301
+rect 23 260 81 266
+rect 23 226 35 260
+rect 69 226 81 260
+rect 23 220 81 226
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
+<< labels >>
+rlabel metal1 50 425 50 425 1 S
+port 1 n
+rlabel viali 737 466 737 466 1 A
+port 2 n
+rlabel viali 642 392 642 392 1 B
+port 3 n
+rlabel viali 435 540 435 540 1 CO
+port 4 n
+rlabel viali 684 318 684 318 1 CON
+port 5 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__and2_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_1.mag
new file mode 100644
index 0000000..fcd0f27
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_1.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 335 263
+rect 282 131 293 233
+rect 327 131 335 233
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 335 965
+rect 282 609 293 949
+rect 327 609 335 949
+rect 282 565 335 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 252 510 289 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 263 282 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__and2_2.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_2.mag
new file mode 100644
index 0000000..cc937e8
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_2.mag
@@ -0,0 +1,221 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 421 263
+rect 368 131 379 233
+rect 413 131 421 233
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 421 965
+rect 368 609 379 949
+rect 413 609 421 949
+rect 368 565 421 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 252 510 368 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 368 332
+rect 252 302 368 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 191 540 191 540 1 B
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__and2_4.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_4.mag
new file mode 100644
index 0000000..f2ac623
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_4.mag
@@ -0,0 +1,284 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 593 263
+rect 540 131 551 233
+rect 585 131 593 233
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 593 965
+rect 540 609 551 949
+rect 585 609 593 949
+rect 540 565 593 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 252 510 540 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 540 332
+rect 252 302 540 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 511 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__and2_6.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_6.mag
new file mode 100644
index 0000000..4dd735a
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_6.mag
@@ -0,0 +1,313 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 2074 2379
+<< nwell >>
+rect -9 529 814 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 596 263
+rect 540 131 551 233
+rect 585 131 596 233
+rect 540 115 596 131
+rect 626 233 682 263
+rect 626 131 637 233
+rect 671 131 682 233
+rect 626 115 682 131
+rect 712 233 765 263
+rect 712 131 723 233
+rect 757 131 765 233
+rect 712 115 765 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 596 965
+rect 540 609 551 949
+rect 585 609 596 949
+rect 540 565 596 609
+rect 626 949 682 965
+rect 626 609 637 949
+rect 671 609 682 949
+rect 626 565 682 609
+rect 712 949 765 965
+rect 712 609 723 949
+rect 757 609 765 949
+rect 712 565 765 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+rect 637 131 671 233
+rect 723 131 757 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+rect 637 609 671 949
+rect 723 609 757 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 80 534 110 565
+rect 20 518 110 534
+rect 20 484 30 518
+rect 64 484 110 518
+rect 20 468 110 484
+rect 80 263 110 468
+rect 166 467 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 252 510 712 540
+rect 152 450 210 467
+rect 152 416 166 450
+rect 200 416 210 450
+rect 152 400 210 416
+rect 152 263 182 400
+rect 252 368 282 510
+rect 252 352 306 368
+rect 252 318 262 352
+rect 296 332 306 352
+rect 596 332 626 510
+rect 296 318 712 332
+rect 252 302 712 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+<< polycont >>
+rect 30 484 64 518
+rect 166 416 200 450
+rect 262 318 296 352
+<< locali >>
+rect 0 1083 814 1110
+rect 0 1049 51 1083
+rect 85 1049 187 1083
+rect 221 1049 323 1083
+rect 357 1049 459 1083
+rect 493 1049 595 1083
+rect 629 1049 814 1083
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 98 677 121 695
+rect 98 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 30 518 64 597
+rect 30 468 64 484
+rect 98 352 132 661
+rect 166 450 200 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 637 949 671 965
+rect 637 483 671 609
+rect 723 949 757 1049
+rect 723 593 757 609
+rect 166 400 200 416
+rect 35 318 262 352
+rect 296 318 312 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 637 115 671 131
+rect 723 233 757 249
+rect 723 61 757 131
+rect 0 27 51 61
+rect 85 27 187 61
+rect 221 27 323 61
+rect 357 27 459 61
+rect 493 27 595 61
+rect 629 27 814 61
+rect 0 0 814 27
+<< viali >>
+rect 30 597 64 631
+rect 166 523 200 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 637 233 671 261
+rect 637 227 671 233
+<< metal1 >>
+rect 0 1049 814 1110
+rect 18 631 76 637
+rect 18 597 30 631
+rect 64 597 98 631
+rect 18 591 76 597
+rect 154 557 212 563
+rect 132 523 166 557
+rect 200 523 212 557
+rect 154 517 212 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 683 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 0 0 814 61
+<< labels >>
+rlabel viali 184 540 184 540 1 B
+port 1 n
+rlabel viali 48 614 48 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel metal1 68 44 68 44 1 gnd
+rlabel metal1 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__and2_8.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_8.mag
new file mode 100644
index 0000000..f4b752e
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_8.mag
@@ -0,0 +1,426 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 2250 2379
+<< nwell >>
+rect -9 529 990 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 596 263
+rect 540 131 551 233
+rect 585 131 596 233
+rect 540 115 596 131
+rect 626 233 682 263
+rect 626 131 637 233
+rect 671 131 682 233
+rect 626 115 682 131
+rect 712 233 768 263
+rect 712 131 723 233
+rect 757 131 768 233
+rect 712 115 768 131
+rect 798 233 854 263
+rect 798 131 809 233
+rect 843 131 854 233
+rect 798 115 854 131
+rect 884 233 937 263
+rect 884 131 895 233
+rect 929 131 937 233
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 596 965
+rect 540 609 551 949
+rect 585 609 596 949
+rect 540 565 596 609
+rect 626 949 682 965
+rect 626 609 637 949
+rect 671 609 682 949
+rect 626 565 682 609
+rect 712 949 768 965
+rect 712 609 723 949
+rect 757 609 768 949
+rect 712 565 768 609
+rect 798 949 854 965
+rect 798 609 809 949
+rect 843 609 854 949
+rect 798 565 854 609
+rect 884 949 937 965
+rect 884 609 895 949
+rect 929 609 937 949
+rect 884 565 937 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+rect 637 131 671 233
+rect 723 131 757 233
+rect 809 131 843 233
+rect 895 131 929 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+rect 637 609 671 949
+rect 723 609 757 949
+rect 809 609 843 949
+rect 895 609 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 252 510 884 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 596 332 626 510
+rect 303 318 884 332
+rect 252 302 884 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 854 263 884 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 637 949 671 965
+rect 637 483 671 609
+rect 723 949 757 1049
+rect 723 593 757 609
+rect 809 949 843 965
+rect 809 483 843 609
+rect 895 949 929 1049
+rect 895 593 929 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 637 115 671 131
+rect 723 233 757 249
+rect 723 61 757 131
+rect 809 115 843 131
+rect 895 233 929 249
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 809 449 843 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 637 233 671 261
+rect 637 227 671 233
+rect 809 233 843 261
+rect 809 227 843 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 797 483 855 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 809 483
+rect 843 449 855 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 797 443 855 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 809 267 843 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 712 227 809 261
+rect 843 227 855 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel viali 191 540 191 540 1 B
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__and2_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_l.mag
new file mode 100644
index 0000000..589ad0a
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__and2_l.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 252 115 282 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 252 713 282 965
+<< ndiff >>
+rect 27 199 80 219
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 199 252 219
+rect 182 131 193 199
+rect 227 131 252 199
+rect 182 115 252 131
+rect 282 199 335 219
+rect 282 131 293 199
+rect 327 131 335 199
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 813 35 949
+rect 69 813 80 949
+rect 27 713 80 813
+rect 110 949 166 965
+rect 110 813 121 949
+rect 155 813 166 949
+rect 110 713 166 813
+rect 196 949 252 965
+rect 196 813 207 949
+rect 241 813 252 949
+rect 196 713 252 813
+rect 282 949 335 965
+rect 282 813 293 949
+rect 327 813 335 949
+rect 282 713 335 813
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 293 131 327 199
+<< pdiffc >>
+rect 35 813 69 949
+rect 121 813 155 949
+rect 207 813 241 949
+rect 293 813 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 534 110 713
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 219 110 468
+rect 166 466 196 713
+rect 252 540 282 713
+rect 252 510 289 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 219 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 219 282 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 121 949 155 965
+rect 35 797 69 813
+rect 105 813 121 831
+rect 105 797 155 813
+rect 207 949 241 1049
+rect 207 797 241 813
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 797
+rect 173 450 207 523
+rect 293 483 327 813
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 199 69 318
+rect 35 115 69 131
+rect 193 199 227 215
+rect 193 61 227 131
+rect 293 199 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__ant.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__ant.mag
new file mode 100644
index 0000000..bb494cb
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__ant.mag
@@ -0,0 +1,101 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmoslvt >>
+rect 80 115 110 263
+<< pmos >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 499 110 565
+rect 35 483 110 499
+rect 35 449 47 483
+rect 81 449 110 483
+rect 35 433 110 449
+rect 80 263 110 433
+rect 80 89 110 115
+<< polycont >>
+rect 47 449 81 483
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 965
+rect 35 483 69 605
+rect 121 949 155 1049
+rect 121 589 155 605
+rect 31 449 47 483
+rect 81 449 97 483
+rect 35 365 69 449
+rect 35 331 155 365
+rect 35 215 69 331
+rect 35 115 69 131
+rect 121 215 155 331
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 449 81 483
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 483 108 489
+rect 35 449 47 483
+rect 81 449 108 483
+rect 35 443 108 449
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 466 64 466 1 A
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__antfill.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__antfill.mag
new file mode 100644
index 0000000..ffd9206
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__antfill.mag
@@ -0,0 +1,58 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 483 69 965
+rect 121 589 155 1049
+rect 31 449 47 483
+rect 81 449 97 483
+rect 35 365 69 449
+rect 35 331 155 365
+rect 35 115 69 331
+rect 121 115 155 331
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 449 81 483
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 483 108 489
+rect 35 449 47 483
+rect 81 449 108 483
+rect 35 443 108 449
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 466 64 466 1 A
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__aoi21_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__aoi21_l.mag
new file mode 100644
index 0000000..703c600
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__aoi21_l.mag
@@ -0,0 +1,197 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 250 115 280 219
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 235 263
+rect 182 131 193 233
+rect 227 219 235 233
+rect 227 131 250 219
+rect 182 115 250 131
+rect 280 165 333 219
+rect 280 131 291 165
+rect 325 131 333 165
+rect 280 115 333 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 813 121 949
+rect 155 813 166 949
+rect 110 565 166 813
+rect 196 949 252 965
+rect 196 745 207 949
+rect 241 745 252 949
+rect 196 565 252 745
+rect 282 949 335 965
+rect 282 677 293 949
+rect 327 677 335 949
+rect 282 565 335 677
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 291 131 325 165
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 813 155 949
+rect 207 745 241 949
+rect 293 677 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 528 110 565
+rect 44 518 110 528
+rect 44 484 60 518
+rect 94 484 110 518
+rect 44 474 110 484
+rect 44 318 74 474
+rect 166 432 196 565
+rect 252 527 282 565
+rect 252 497 309 527
+rect 134 416 196 432
+rect 134 382 146 416
+rect 180 382 196 416
+rect 134 366 196 382
+rect 44 286 110 318
+rect 80 263 110 286
+rect 152 263 182 366
+rect 279 353 309 497
+rect 279 337 333 353
+rect 279 319 289 337
+rect 250 303 289 319
+rect 323 303 333 337
+rect 250 287 333 303
+rect 250 219 280 287
+rect 80 89 110 115
+rect 152 89 182 115
+rect 250 89 280 115
+<< polycont >>
+rect 60 484 94 518
+rect 146 382 180 416
+rect 289 303 323 337
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 797 155 813
+rect 207 949 241 965
+rect 69 745 207 763
+rect 35 729 241 745
+rect 293 949 327 965
+rect 60 518 94 597
+rect 60 468 94 484
+rect 128 432 162 523
+rect 128 416 180 432
+rect 128 382 146 416
+rect 128 366 180 382
+rect 216 337 250 449
+rect 293 409 327 677
+rect 216 303 289 337
+rect 323 303 339 337
+rect 35 233 69 249
+rect 35 61 69 131
+rect 193 115 227 131
+rect 291 165 325 181
+rect 291 61 325 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 60 597 94 631
+rect 128 523 162 557
+rect 216 449 250 483
+rect 293 375 327 409
+rect 193 233 227 261
+rect 193 227 227 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 48 631 106 637
+rect 48 597 60 631
+rect 94 597 128 631
+rect 48 591 106 597
+rect 116 557 174 563
+rect 116 523 128 557
+rect 162 523 196 557
+rect 116 517 174 523
+rect 204 483 262 489
+rect 182 449 216 483
+rect 250 449 262 483
+rect 204 443 262 449
+rect 281 409 339 415
+rect 281 375 293 409
+rect 327 375 339 409
+rect 281 369 339 375
+rect 181 261 239 267
+rect 293 261 327 369
+rect 181 227 193 261
+rect 227 227 327 261
+rect 181 221 239 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 77 614 77 614 1 A0
+port 1 n
+rlabel viali 233 466 233 466 1 B0
+port 2 n
+rlabel metal1 310 362 310 362 1 Y
+port 3 n
+rlabel viali 145 540 145 540 1 A1
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__aoi22_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__aoi22_l.mag
new file mode 100644
index 0000000..0377ffb
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__aoi22_l.mag
@@ -0,0 +1,239 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 324 115 354 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 200 233
+rect 234 131 252 233
+rect 182 115 252 131
+rect 282 115 324 263
+rect 354 233 407 263
+rect 354 131 365 233
+rect 399 131 407 233
+rect 354 115 407 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 711 35 949
+rect 69 711 80 949
+rect 27 565 80 711
+rect 110 949 166 965
+rect 110 779 121 949
+rect 155 779 166 949
+rect 110 565 166 779
+rect 196 949 252 965
+rect 196 711 207 949
+rect 241 711 252 949
+rect 196 565 252 711
+rect 282 881 338 965
+rect 282 711 293 881
+rect 327 711 338 881
+rect 282 565 338 711
+rect 368 949 421 965
+rect 368 711 379 949
+rect 413 711 421 949
+rect 368 565 421 711
+<< ndiffc >>
+rect 35 131 69 233
+rect 200 131 234 233
+rect 365 131 399 233
+<< pdiffc >>
+rect 35 711 69 949
+rect 121 779 155 949
+rect 207 711 241 949
+rect 293 711 327 881
+rect 379 711 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 80 528 110 565
+rect 44 518 110 528
+rect 44 484 60 518
+rect 94 484 110 518
+rect 44 474 110 484
+rect 44 318 74 474
+rect 166 462 196 565
+rect 152 432 196 462
+rect 116 416 182 432
+rect 116 382 128 416
+rect 162 382 182 416
+rect 116 366 182 382
+rect 44 286 110 318
+rect 80 263 110 286
+rect 152 263 182 366
+rect 252 361 282 565
+rect 338 426 368 565
+rect 338 410 416 426
+rect 338 382 370 410
+rect 224 345 282 361
+rect 224 311 234 345
+rect 268 311 282 345
+rect 224 295 282 311
+rect 252 263 282 295
+rect 324 376 370 382
+rect 404 376 416 410
+rect 324 360 416 376
+rect 324 352 368 360
+rect 324 263 354 352
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 324 89 354 115
+<< polycont >>
+rect 60 484 94 518
+rect 128 382 162 416
+rect 234 311 268 345
+rect 370 376 404 410
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 763 155 779
+rect 207 950 413 985
+rect 207 949 241 950
+rect 69 711 207 729
+rect 379 949 413 950
+rect 35 695 241 711
+rect 293 881 327 897
+rect 60 518 94 597
+rect 293 614 327 711
+rect 379 695 413 711
+rect 293 580 336 614
+rect 60 468 94 484
+rect 128 416 162 523
+rect 128 366 162 382
+rect 216 361 250 449
+rect 216 345 268 361
+rect 216 311 234 345
+rect 234 295 268 311
+rect 302 335 336 580
+rect 370 410 404 426
+rect 370 360 404 376
+rect 35 233 69 249
+rect 35 61 69 131
+rect 200 115 234 131
+rect 365 233 399 249
+rect 365 61 399 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 60 597 94 631
+rect 128 523 162 557
+rect 216 449 250 483
+rect 370 376 404 410
+rect 302 301 336 335
+rect 200 233 234 261
+rect 200 227 234 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 48 631 106 637
+rect 48 597 60 631
+rect 94 597 128 631
+rect 48 591 106 597
+rect 116 557 174 563
+rect 116 523 128 557
+rect 162 523 196 557
+rect 116 517 174 523
+rect 204 483 262 489
+rect 182 449 216 483
+rect 250 449 262 483
+rect 204 443 262 449
+rect 358 410 416 416
+rect 336 376 370 410
+rect 404 376 416 410
+rect 358 370 416 376
+rect 290 335 348 341
+rect 290 301 302 335
+rect 336 301 348 335
+rect 290 295 348 301
+rect 188 261 246 267
+rect 304 261 338 295
+rect 188 227 200 261
+rect 234 227 338 261
+rect 188 221 246 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 77 614 77 614 1 A0
+port 1 n
+rlabel viali 233 466 233 466 1 B0
+port 2 n
+rlabel viali 145 540 145 540 1 A1
+port 4 n
+rlabel viali 387 393 387 393 1 B1
+rlabel metal1 321 288 321 288 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__buf_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_1.mag
new file mode 100644
index 0000000..fd83fda
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_1.mag
@@ -0,0 +1,156 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1548 2379
+<< nwell >>
+rect -9 529 288 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 233 166 263
+rect 110 131 121 233
+rect 155 131 166 233
+rect 110 115 166 131
+rect 196 233 249 263
+rect 196 131 207 233
+rect 241 131 249 233
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 609 35 949
+rect 69 609 80 949
+rect 27 565 80 609
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 249 965
+rect 196 609 207 949
+rect 241 609 249 949
+rect 196 565 249 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 233
+rect 207 131 241 233
+<< pdiffc >>
+rect 35 609 69 949
+rect 121 745 155 949
+rect 207 609 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 166 520 251 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 318 251 352
+rect 166 302 251 318
+rect 166 263 196 302
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 352 69 609
+rect 110 461 144 597
+rect 207 557 241 609
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 233 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 233 155 249
+rect 121 61 155 131
+rect 207 115 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 233 241 261
+rect 207 227 241 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 213 403 213 403 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__buf_2.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_2.mag
new file mode 100644
index 0000000..b72a53f
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_2.mag
@@ -0,0 +1,179 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 2461 1636 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1636 -1129
+<< nwell >>
+rect -9 529 376 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 166 520 282 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 210 318 282 332
+rect 166 302 282 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 227 241 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__buf_4.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_4.mag
new file mode 100644
index 0000000..d085e37
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_4.mag
@@ -0,0 +1,244 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 1812 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1812 -1129
+<< nwell >>
+rect -9 529 552 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 507 263
+rect 454 131 465 215
+rect 499 131 507 215
+rect 454 115 507 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 507 965
+rect 454 605 465 949
+rect 499 605 507 949
+rect 454 565 507 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 166 520 454 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 210 318 454 332
+rect 166 302 454 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 550 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 550 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 35 61 69 62
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 207 61 241 62
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 550 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 550 1093
+rect 0 1049 550 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 425 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 425 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__buf_6.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_6.mag
new file mode 100644
index 0000000..7108f30
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_6.mag
@@ -0,0 +1,286 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 1988 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1988 -1129
+<< nwell >>
+rect -9 529 728 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 679 263
+rect 626 131 637 215
+rect 671 131 679 215
+rect 626 115 679 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 679 965
+rect 626 605 637 949
+rect 671 605 679 949
+rect 626 565 679 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 510 550 540 565
+rect 596 550 626 565
+rect 166 520 626 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 510 332 540 520
+rect 210 318 626 332
+rect 166 302 626 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1083 726 1110
+rect 0 1049 51 1083
+rect 85 1049 187 1083
+rect 221 1049 323 1083
+rect 357 1049 459 1083
+rect 493 1049 595 1083
+rect 629 1049 726 1083
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 551 949 585 965
+rect 551 557 585 605
+rect 637 949 671 1049
+rect 637 589 671 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 551 215 585 227
+rect 551 115 585 131
+rect 637 215 671 231
+rect 637 61 671 131
+rect 0 27 51 61
+rect 85 27 187 61
+rect 221 27 323 61
+rect 357 27 459 61
+rect 493 27 595 61
+rect 629 27 726 61
+rect 0 0 726 27
+<< viali >>
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 551 523 585 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 551 227 585 261
+<< metal1 >>
+rect 0 1049 726 1110
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 539 557 597 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 551 557
+rect 585 523 597 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 539 517 597 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 551 267 585 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 539 261 597 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 551 261
+rect 585 227 597 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 539 221 597 227
+rect 0 0 726 61
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel metal1 68 44 68 44 1 gnd
+rlabel metal1 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__buf_8.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_8.mag
new file mode 100644
index 0000000..7987901
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_8.mag
@@ -0,0 +1,383 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 2164 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2164 -1129
+<< nwell >>
+rect -9 529 904 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 851 263
+rect 798 131 809 215
+rect 843 131 851 215
+rect 798 115 851 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 851 965
+rect 798 605 809 949
+rect 843 605 851 949
+rect 798 565 851 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 510 550 540 565
+rect 596 550 626 565
+rect 682 550 712 565
+rect 768 550 798 565
+rect 166 520 798 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 510 332 540 520
+rect 210 318 798 332
+rect 166 302 798 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 902 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 902 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 551 949 585 965
+rect 551 557 585 605
+rect 637 949 671 1049
+rect 637 589 671 605
+rect 723 949 757 965
+rect 723 557 757 605
+rect 809 949 843 1049
+rect 809 589 843 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 551 215 585 227
+rect 551 115 585 131
+rect 637 215 671 231
+rect 637 61 671 131
+rect 723 215 757 227
+rect 723 115 757 131
+rect 809 215 843 231
+rect 809 61 843 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 902 61
+rect 0 0 902 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 551 523 585 557
+rect 723 523 757 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 551 227 585 261
+rect 723 227 757 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 902 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 902 1093
+rect 0 1049 902 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 539 557 597 563
+rect 711 557 769 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 551 557
+rect 585 523 723 557
+rect 757 523 769 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 539 517 597 523
+rect 711 517 769 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 551 267 585 517
+rect 723 267 757 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 539 261 597 267
+rect 711 261 769 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 551 261
+rect 585 227 723 261
+rect 757 227 769 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 539 221 597 227
+rect 711 221 769 227
+rect 0 51 902 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 902 51
+rect 0 0 902 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__buf_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_l.mag
new file mode 100644
index 0000000..5471d0b
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__buf_l.mag
@@ -0,0 +1,156 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1548 2379
+<< nwell >>
+rect -9 529 288 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 166 115 196 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 249 219
+rect 196 131 207 165
+rect 241 131 249 165
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 831 35 949
+rect 69 831 80 949
+rect 27 713 80 831
+rect 110 949 166 965
+rect 110 831 121 949
+rect 155 831 166 949
+rect 110 713 166 831
+rect 196 949 249 965
+rect 196 831 207 949
+rect 241 831 249 949
+rect 196 713 249 831
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+<< pdiffc >>
+rect 35 831 69 949
+rect 121 831 155 949
+rect 207 831 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 477 110 713
+rect 166 550 196 713
+rect 166 520 251 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 219 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 318 251 352
+rect 166 302 251 318
+rect 166 219 196 302
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 35 352 69 831
+rect 121 949 155 1049
+rect 121 815 155 831
+rect 207 949 241 965
+rect 110 461 144 597
+rect 207 557 241 831
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 165 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 165 241 227
+rect 207 115 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 227 241 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 214 400 214 400 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__decap_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__decap_1.mag
new file mode 100644
index 0000000..ec0c702
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__decap_1.mag
@@ -0,0 +1,83 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmoslvt >>
+rect 80 115 110 367
+<< pmos >>
+rect 80 713 110 965
+<< ndiff >>
+rect 27 335 80 367
+rect 27 131 35 335
+rect 69 131 80 335
+rect 27 115 80 131
+rect 110 335 163 367
+rect 110 131 121 335
+rect 155 131 163 335
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 713 80 745
+rect 110 949 163 965
+rect 110 745 121 949
+rect 155 745 163 949
+rect 110 713 163 745
+<< ndiffc >>
+rect 35 131 69 335
+rect 121 131 155 335
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 745 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 367 110 713
+rect 80 80 110 115
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 35 335 69 351
+rect 35 61 69 131
+rect 121 335 155 351
+rect 121 61 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__decap_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__decap_l.mag
new file mode 100644
index 0000000..1878c42
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__decap_l.mag
@@ -0,0 +1,83 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmoslvt >>
+rect 80 115 110 243
+<< pmos >>
+rect 80 837 110 965
+<< ndiff >>
+rect 27 215 80 243
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 243
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 865 35 949
+rect 69 865 80 949
+rect 27 837 80 865
+rect 110 949 163 965
+rect 110 865 121 949
+rect 155 865 163 949
+rect 110 837 163 865
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 865 69 949
+rect 121 865 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 243 110 837
+rect 80 80 110 115
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 849 69 865
+rect 121 949 155 1049
+rect 121 849 155 865
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__dff_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__dff_1.mag
new file mode 100644
index 0000000..8108b64
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__dff_1.mag
@@ -0,0 +1,634 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1269 -242 2716 2379
+<< nwell >>
+rect -9 529 1456 1119
+<< nmoslvt >>
+rect 85 115 115 263
+rect 171 115 201 263
+rect 243 115 273 263
+rect 363 115 393 263
+rect 435 115 465 263
+rect 521 115 551 263
+rect 593 115 623 263
+rect 713 115 743 263
+rect 785 115 815 263
+rect 871 115 901 263
+rect 1061 115 1091 263
+rect 1251 115 1281 263
+rect 1337 115 1367 263
+<< pmos >>
+rect 85 565 115 965
+rect 171 565 201 965
+rect 243 565 273 965
+rect 363 565 393 965
+rect 435 565 465 965
+rect 521 565 551 965
+rect 593 565 623 965
+rect 713 565 743 965
+rect 785 565 815 965
+rect 871 565 901 965
+rect 1061 565 1091 965
+rect 1251 565 1281 965
+rect 1337 565 1367 965
+<< ndiff >>
+rect 32 215 85 263
+rect 32 131 40 215
+rect 74 131 85 215
+rect 32 115 85 131
+rect 115 215 171 263
+rect 115 131 126 215
+rect 160 131 171 215
+rect 115 115 171 131
+rect 201 115 243 263
+rect 273 215 363 263
+rect 273 131 284 215
+rect 352 131 363 215
+rect 273 115 363 131
+rect 393 115 435 263
+rect 465 165 521 263
+rect 465 131 476 165
+rect 510 131 521 165
+rect 465 115 521 131
+rect 551 115 593 263
+rect 623 215 713 263
+rect 623 131 634 215
+rect 702 131 713 215
+rect 623 115 713 131
+rect 743 115 785 263
+rect 815 215 871 263
+rect 815 131 826 215
+rect 860 131 871 215
+rect 815 115 871 131
+rect 901 215 954 263
+rect 901 131 912 215
+rect 946 131 954 215
+rect 901 115 954 131
+rect 1008 215 1061 263
+rect 1008 131 1016 215
+rect 1050 131 1061 215
+rect 1008 115 1061 131
+rect 1091 215 1144 263
+rect 1091 131 1102 215
+rect 1136 131 1144 215
+rect 1091 115 1144 131
+rect 1198 215 1251 263
+rect 1198 131 1206 215
+rect 1240 131 1251 215
+rect 1198 115 1251 131
+rect 1281 215 1337 263
+rect 1281 131 1292 215
+rect 1326 131 1337 215
+rect 1281 115 1337 131
+rect 1367 215 1420 263
+rect 1367 131 1378 215
+rect 1412 131 1420 215
+rect 1367 115 1420 131
+<< pdiff >>
+rect 32 949 85 965
+rect 32 605 40 949
+rect 74 605 85 949
+rect 32 565 85 605
+rect 115 949 171 965
+rect 115 673 126 949
+rect 160 673 171 949
+rect 115 565 171 673
+rect 201 565 243 965
+rect 273 949 363 965
+rect 273 605 284 949
+rect 352 605 363 949
+rect 273 565 363 605
+rect 393 565 435 965
+rect 465 949 521 965
+rect 465 673 476 949
+rect 510 673 521 949
+rect 465 565 521 673
+rect 551 565 593 965
+rect 623 949 713 965
+rect 623 605 634 949
+rect 702 605 713 949
+rect 623 565 713 605
+rect 743 565 785 965
+rect 815 949 871 965
+rect 815 605 826 949
+rect 860 605 871 949
+rect 815 565 871 605
+rect 901 949 954 965
+rect 901 605 912 949
+rect 946 605 954 949
+rect 901 565 954 605
+rect 1008 949 1061 965
+rect 1008 673 1016 949
+rect 1050 673 1061 949
+rect 1008 565 1061 673
+rect 1091 949 1144 965
+rect 1091 605 1102 949
+rect 1136 605 1144 949
+rect 1091 565 1144 605
+rect 1198 949 1251 965
+rect 1198 605 1206 949
+rect 1240 605 1251 949
+rect 1198 565 1251 605
+rect 1281 949 1337 965
+rect 1281 605 1292 949
+rect 1326 605 1337 949
+rect 1281 565 1337 605
+rect 1367 949 1420 965
+rect 1367 605 1378 949
+rect 1412 605 1420 949
+rect 1367 565 1420 605
+<< ndiffc >>
+rect 40 131 74 215
+rect 126 131 160 215
+rect 284 131 352 215
+rect 476 131 510 165
+rect 634 131 702 215
+rect 826 131 860 215
+rect 912 131 946 215
+rect 1016 131 1050 215
+rect 1102 131 1136 215
+rect 1206 131 1240 215
+rect 1292 131 1326 215
+rect 1378 131 1412 215
+<< pdiffc >>
+rect 40 605 74 949
+rect 126 673 160 949
+rect 284 605 352 949
+rect 476 673 510 949
+rect 634 605 702 949
+rect 826 605 860 949
+rect 912 605 946 949
+rect 1016 673 1050 949
+rect 1102 605 1136 949
+rect 1206 605 1240 949
+rect 1292 605 1326 949
+rect 1378 605 1412 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 85 965 115 991
+rect 171 965 201 991
+rect 243 965 273 991
+rect 363 965 393 991
+rect 435 965 465 991
+rect 521 965 551 991
+rect 593 965 623 991
+rect 713 965 743 991
+rect 785 965 815 991
+rect 871 965 901 991
+rect 1061 965 1091 991
+rect 1251 965 1281 991
+rect 1337 965 1367 991
+rect 85 534 115 565
+rect 75 518 129 534
+rect 75 484 85 518
+rect 119 484 129 518
+rect 75 468 129 484
+rect 75 322 105 468
+rect 171 425 201 565
+rect 243 534 273 565
+rect 243 518 297 534
+rect 243 484 253 518
+rect 287 484 297 518
+rect 243 468 297 484
+rect 171 409 225 425
+rect 363 423 393 565
+rect 435 528 465 565
+rect 521 528 551 565
+rect 435 518 551 528
+rect 435 484 467 518
+rect 501 484 551 518
+rect 435 474 551 484
+rect 593 423 623 565
+rect 713 534 743 565
+rect 689 518 743 534
+rect 689 484 699 518
+rect 733 484 743 518
+rect 689 468 743 484
+rect 171 375 181 409
+rect 215 375 225 409
+rect 171 359 225 375
+rect 267 393 719 423
+rect 75 292 115 322
+rect 85 263 115 292
+rect 171 263 201 359
+rect 267 315 297 393
+rect 689 351 719 393
+rect 785 419 815 565
+rect 871 534 901 565
+rect 871 518 942 534
+rect 871 504 898 518
+rect 882 484 898 504
+rect 932 484 942 518
+rect 882 468 942 484
+rect 785 403 839 419
+rect 785 369 795 403
+rect 829 369 839 403
+rect 785 353 839 369
+rect 243 285 297 315
+rect 339 335 393 351
+rect 339 301 349 335
+rect 383 301 393 335
+rect 339 285 393 301
+rect 243 263 273 285
+rect 363 263 393 285
+rect 435 335 551 345
+rect 435 301 467 335
+rect 501 301 551 335
+rect 435 291 551 301
+rect 435 263 465 291
+rect 521 263 551 291
+rect 593 335 647 351
+rect 593 301 603 335
+rect 637 301 647 335
+rect 593 285 647 301
+rect 689 335 743 351
+rect 689 301 699 335
+rect 733 301 743 335
+rect 689 285 743 301
+rect 593 263 623 285
+rect 713 263 743 285
+rect 785 263 815 353
+rect 882 315 912 468
+rect 1061 351 1091 565
+rect 1251 549 1281 565
+rect 1241 519 1281 549
+rect 1241 419 1271 519
+rect 1337 460 1367 565
+rect 1216 403 1271 419
+rect 1216 369 1226 403
+rect 1260 369 1271 403
+rect 1313 444 1367 460
+rect 1313 410 1323 444
+rect 1357 410 1367 444
+rect 1313 394 1367 410
+rect 1216 353 1271 369
+rect 871 285 912 315
+rect 1008 335 1091 351
+rect 1008 301 1018 335
+rect 1052 301 1091 335
+rect 1008 285 1091 301
+rect 871 263 901 285
+rect 1061 263 1091 285
+rect 1241 308 1271 353
+rect 1241 278 1281 308
+rect 1251 263 1281 278
+rect 1337 263 1367 394
+rect 85 89 115 115
+rect 171 89 201 115
+rect 243 89 273 115
+rect 363 89 393 115
+rect 435 89 465 115
+rect 521 89 551 115
+rect 593 89 623 115
+rect 713 89 743 115
+rect 785 89 815 115
+rect 871 89 901 115
+rect 1061 89 1091 115
+rect 1251 89 1281 115
+rect 1337 89 1367 115
+<< polycont >>
+rect 85 484 119 518
+rect 253 484 287 518
+rect 467 484 501 518
+rect 699 484 733 518
+rect 181 375 215 409
+rect 898 484 932 518
+rect 795 369 829 403
+rect 349 301 383 335
+rect 467 301 501 335
+rect 603 301 637 335
+rect 699 301 733 335
+rect 1226 369 1260 403
+rect 1323 410 1357 444
+rect 1018 301 1052 335
+<< locali >>
+rect 0 1093 1452 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1452 1093
+rect 40 949 74 965
+rect 17 605 40 617
+rect 126 949 160 1049
+rect 126 657 160 673
+rect 284 949 352 965
+rect 17 576 74 605
+rect 476 949 510 1049
+rect 476 657 510 673
+rect 634 949 702 965
+rect 352 605 355 623
+rect 284 602 355 605
+rect 634 602 702 605
+rect 17 335 51 576
+rect 108 568 355 602
+rect 535 568 702 602
+rect 826 949 860 1049
+rect 826 589 860 605
+rect 912 949 946 965
+rect 1016 949 1050 1049
+rect 1016 657 1050 673
+rect 1102 949 1136 965
+rect 912 602 946 605
+rect 912 568 1000 602
+rect 108 534 142 568
+rect 85 518 142 534
+rect 119 484 142 518
+rect 85 468 142 484
+rect 17 301 40 335
+rect 17 280 74 301
+rect 108 318 142 468
+rect 253 518 287 534
+rect 253 483 287 484
+rect 467 518 501 534
+rect 287 449 383 483
+rect 181 409 215 425
+rect 181 359 215 375
+rect 349 335 383 449
+rect 467 335 501 484
+rect 108 284 315 318
+rect 349 285 383 301
+rect 467 285 501 301
+rect 535 335 569 568
+rect 699 518 733 534
+rect 699 483 733 484
+rect 40 215 74 280
+rect 281 231 315 284
+rect 535 251 569 301
+rect 603 449 699 483
+rect 898 518 932 534
+rect 898 483 932 484
+rect 603 335 637 449
+rect 966 403 1000 568
+rect 779 369 795 403
+rect 829 369 845 403
+rect 912 369 1000 403
+rect 1102 403 1136 605
+rect 1206 949 1240 965
+rect 1206 557 1240 605
+rect 1292 949 1326 1049
+rect 1292 589 1326 605
+rect 1378 949 1412 965
+rect 1412 597 1435 614
+rect 1378 580 1435 597
+rect 1206 518 1240 523
+rect 1206 484 1357 518
+rect 1323 444 1357 484
+rect 1102 369 1226 403
+rect 1260 369 1276 403
+rect 912 335 946 369
+rect 683 301 699 335
+rect 733 301 946 335
+rect 1002 301 1018 335
+rect 1052 301 1068 335
+rect 603 285 637 301
+rect 40 115 74 131
+rect 126 215 160 231
+rect 281 215 352 231
+rect 535 217 702 251
+rect 281 197 284 215
+rect 126 61 160 131
+rect 634 215 702 217
+rect 284 115 352 131
+rect 476 165 510 181
+rect 476 61 510 131
+rect 634 115 702 131
+rect 826 215 860 231
+rect 826 61 860 131
+rect 912 215 946 301
+rect 912 115 946 131
+rect 1016 215 1050 231
+rect 1016 61 1050 131
+rect 1102 215 1136 369
+rect 1323 335 1357 410
+rect 1102 115 1136 131
+rect 1206 301 1357 335
+rect 1206 215 1240 301
+rect 1401 270 1435 580
+rect 1378 234 1435 270
+rect 1206 115 1240 131
+rect 1292 215 1326 231
+rect 1292 61 1326 131
+rect 1378 215 1412 234
+rect 1378 115 1412 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 40 301 74 335
+rect 253 449 287 483
+rect 181 375 215 409
+rect 449 301 467 335
+rect 467 301 483 335
+rect 535 301 569 335
+rect 699 449 733 483
+rect 898 449 932 483
+rect 795 369 829 403
+rect 1378 605 1412 631
+rect 1378 597 1412 605
+rect 1206 523 1240 557
+rect 1226 369 1260 403
+rect 1018 301 1052 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1452 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1452 1093
+rect 0 1049 1452 1059
+rect 1366 631 1424 637
+rect 1343 597 1378 631
+rect 1412 597 1424 631
+rect 1366 591 1424 597
+rect 1194 557 1252 563
+rect 1172 523 1206 557
+rect 1240 523 1252 557
+rect 1194 517 1252 523
+rect 241 483 299 489
+rect 687 483 745 489
+rect 886 483 944 489
+rect 241 449 253 483
+rect 287 449 699 483
+rect 733 449 898 483
+rect 932 449 944 483
+rect 241 443 299 449
+rect 687 443 745 449
+rect 886 443 944 449
+rect 169 409 227 415
+rect 169 375 181 409
+rect 215 375 249 409
+rect 783 403 841 409
+rect 1214 403 1272 409
+rect 169 369 227 375
+rect 783 369 795 403
+rect 829 369 1226 403
+rect 1260 369 1272 403
+rect 783 363 841 369
+rect 1214 363 1272 369
+rect 28 335 86 341
+rect 437 335 495 341
+rect 28 301 40 335
+rect 74 301 449 335
+rect 483 301 495 335
+rect 28 295 86 301
+rect 437 295 495 301
+rect 523 335 581 341
+rect 1006 335 1064 341
+rect 523 301 535 335
+rect 569 301 1018 335
+rect 1052 301 1064 335
+rect 523 295 581 301
+rect 1006 295 1064 301
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
+<< labels >>
+rlabel viali 198 392 198 392 1 D
+port 1 n
+rlabel viali 915 466 915 466 1 CK
+port 3 n
+rlabel viali 1395 614 1395 614 1 Q
+port 4 n
+rlabel viali 1224 540 1224 540 1 QN
+port 2 n
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1067 68 1067 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__dff_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__dff_l.mag
new file mode 100644
index 0000000..23f7484
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__dff_l.mag
@@ -0,0 +1,635 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1269 -242 2716 2379
+<< nwell >>
+rect -9 529 1456 1119
+<< nmoslvt >>
+rect 85 115 115 263
+rect 171 115 201 263
+rect 243 115 273 263
+rect 363 115 393 263
+rect 435 115 465 263
+rect 521 115 551 263
+rect 593 115 623 263
+rect 713 115 743 263
+rect 785 115 815 263
+rect 871 115 901 263
+rect 1061 115 1091 263
+rect 1251 115 1281 219
+rect 1337 115 1367 219
+<< pmos >>
+rect 85 565 115 965
+rect 171 565 201 965
+rect 243 565 273 965
+rect 363 565 393 965
+rect 435 565 465 965
+rect 521 565 551 965
+rect 593 565 623 965
+rect 713 565 743 965
+rect 785 565 815 965
+rect 871 565 901 965
+rect 1061 565 1091 965
+rect 1251 713 1281 965
+rect 1337 713 1367 965
+<< ndiff >>
+rect 32 215 85 263
+rect 32 131 40 215
+rect 74 131 85 215
+rect 32 115 85 131
+rect 115 215 171 263
+rect 115 131 126 215
+rect 160 131 171 215
+rect 115 115 171 131
+rect 201 115 243 263
+rect 273 215 363 263
+rect 273 131 284 215
+rect 352 131 363 215
+rect 273 115 363 131
+rect 393 115 435 263
+rect 465 165 521 263
+rect 465 131 476 165
+rect 510 131 521 165
+rect 465 115 521 131
+rect 551 115 593 263
+rect 623 215 713 263
+rect 623 131 634 215
+rect 702 131 713 215
+rect 623 115 713 131
+rect 743 115 785 263
+rect 815 215 871 263
+rect 815 131 826 215
+rect 860 131 871 215
+rect 815 115 871 131
+rect 901 215 954 263
+rect 901 131 912 215
+rect 946 131 954 215
+rect 901 115 954 131
+rect 1008 215 1061 263
+rect 1008 131 1016 215
+rect 1050 131 1061 215
+rect 1008 115 1061 131
+rect 1091 215 1144 263
+rect 1091 131 1102 215
+rect 1136 131 1144 215
+rect 1091 115 1144 131
+rect 1198 165 1251 219
+rect 1198 131 1206 165
+rect 1240 131 1251 165
+rect 1198 115 1251 131
+rect 1281 165 1337 219
+rect 1281 131 1292 165
+rect 1326 131 1337 165
+rect 1281 115 1337 131
+rect 1367 165 1420 219
+rect 1367 131 1378 165
+rect 1412 131 1420 165
+rect 1367 115 1420 131
+<< pdiff >>
+rect 32 949 85 965
+rect 32 605 40 949
+rect 74 605 85 949
+rect 32 565 85 605
+rect 115 949 171 965
+rect 115 673 126 949
+rect 160 673 171 949
+rect 115 565 171 673
+rect 201 565 243 965
+rect 273 949 363 965
+rect 273 605 284 949
+rect 352 605 363 949
+rect 273 565 363 605
+rect 393 565 435 965
+rect 465 949 521 965
+rect 465 673 476 949
+rect 510 673 521 949
+rect 465 565 521 673
+rect 551 565 593 965
+rect 623 949 713 965
+rect 623 673 634 949
+rect 702 673 713 949
+rect 623 565 713 673
+rect 743 565 785 965
+rect 815 949 871 965
+rect 815 605 826 949
+rect 860 605 871 949
+rect 815 565 871 605
+rect 901 949 954 965
+rect 901 605 912 949
+rect 946 605 954 949
+rect 901 565 954 605
+rect 1008 949 1061 965
+rect 1008 673 1016 949
+rect 1050 673 1061 949
+rect 1008 565 1061 673
+rect 1091 949 1144 965
+rect 1091 605 1102 949
+rect 1136 605 1144 949
+rect 1198 949 1251 965
+rect 1198 877 1206 949
+rect 1240 877 1251 949
+rect 1198 713 1251 877
+rect 1281 949 1337 965
+rect 1281 877 1292 949
+rect 1326 877 1337 949
+rect 1281 713 1337 877
+rect 1367 949 1420 965
+rect 1367 877 1378 949
+rect 1412 877 1420 949
+rect 1367 713 1420 877
+rect 1091 565 1144 605
+<< ndiffc >>
+rect 40 131 74 215
+rect 126 131 160 215
+rect 284 131 352 215
+rect 476 131 510 165
+rect 634 131 702 215
+rect 826 131 860 215
+rect 912 131 946 215
+rect 1016 131 1050 215
+rect 1102 131 1136 215
+rect 1206 131 1240 165
+rect 1292 131 1326 165
+rect 1378 131 1412 165
+<< pdiffc >>
+rect 40 605 74 949
+rect 126 673 160 949
+rect 284 605 352 949
+rect 476 673 510 949
+rect 634 673 702 949
+rect 826 605 860 949
+rect 912 605 946 949
+rect 1016 673 1050 949
+rect 1102 605 1136 949
+rect 1206 877 1240 949
+rect 1292 877 1326 949
+rect 1378 877 1412 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 85 965 115 991
+rect 171 965 201 991
+rect 243 965 273 991
+rect 363 965 393 991
+rect 435 965 465 991
+rect 521 965 551 991
+rect 593 965 623 991
+rect 713 965 743 991
+rect 785 965 815 991
+rect 871 965 901 991
+rect 1061 965 1091 991
+rect 1251 965 1281 991
+rect 1337 965 1367 991
+rect 85 534 115 565
+rect 75 518 129 534
+rect 75 484 85 518
+rect 119 484 129 518
+rect 75 468 129 484
+rect 75 322 105 468
+rect 171 425 201 565
+rect 243 534 273 565
+rect 243 518 297 534
+rect 243 484 253 518
+rect 287 484 297 518
+rect 243 468 297 484
+rect 171 409 225 425
+rect 363 423 393 565
+rect 435 528 465 565
+rect 521 528 551 565
+rect 435 518 551 528
+rect 435 484 467 518
+rect 501 484 551 518
+rect 435 474 551 484
+rect 593 423 623 565
+rect 713 534 743 565
+rect 689 518 743 534
+rect 689 484 699 518
+rect 733 484 743 518
+rect 689 468 743 484
+rect 171 375 181 409
+rect 215 375 225 409
+rect 171 359 225 375
+rect 267 393 719 423
+rect 75 292 115 322
+rect 85 263 115 292
+rect 171 263 201 359
+rect 267 315 297 393
+rect 689 351 719 393
+rect 785 419 815 565
+rect 871 534 901 565
+rect 871 518 942 534
+rect 871 504 898 518
+rect 882 484 898 504
+rect 932 484 942 518
+rect 882 468 942 484
+rect 785 403 839 419
+rect 785 369 795 403
+rect 829 369 839 403
+rect 785 353 839 369
+rect 243 285 297 315
+rect 339 335 393 351
+rect 339 301 349 335
+rect 383 301 393 335
+rect 339 285 393 301
+rect 243 263 273 285
+rect 363 263 393 285
+rect 435 335 551 345
+rect 435 301 467 335
+rect 501 301 551 335
+rect 435 291 551 301
+rect 435 263 465 291
+rect 521 263 551 291
+rect 593 335 647 351
+rect 593 301 603 335
+rect 637 301 647 335
+rect 593 285 647 301
+rect 689 335 743 351
+rect 689 301 699 335
+rect 733 301 743 335
+rect 689 285 743 301
+rect 593 263 623 285
+rect 713 263 743 285
+rect 785 263 815 353
+rect 882 315 912 468
+rect 1061 351 1091 565
+rect 1251 549 1281 713
+rect 1241 519 1281 549
+rect 1241 419 1271 519
+rect 1337 460 1367 713
+rect 1216 403 1271 419
+rect 1216 369 1226 403
+rect 1260 369 1271 403
+rect 1313 444 1367 460
+rect 1313 410 1323 444
+rect 1357 410 1367 444
+rect 1313 394 1367 410
+rect 1216 353 1271 369
+rect 871 285 912 315
+rect 1008 335 1091 351
+rect 1008 301 1018 335
+rect 1052 301 1091 335
+rect 1008 285 1091 301
+rect 871 263 901 285
+rect 1061 263 1091 285
+rect 1241 308 1271 353
+rect 1241 278 1281 308
+rect 1251 219 1281 278
+rect 1337 219 1367 394
+rect 85 89 115 115
+rect 171 89 201 115
+rect 243 89 273 115
+rect 363 89 393 115
+rect 435 89 465 115
+rect 521 89 551 115
+rect 593 89 623 115
+rect 713 89 743 115
+rect 785 89 815 115
+rect 871 89 901 115
+rect 1061 89 1091 115
+rect 1251 89 1281 115
+rect 1337 89 1367 115
+<< polycont >>
+rect 85 484 119 518
+rect 253 484 287 518
+rect 467 484 501 518
+rect 699 484 733 518
+rect 181 375 215 409
+rect 898 484 932 518
+rect 795 369 829 403
+rect 349 301 383 335
+rect 467 301 501 335
+rect 603 301 637 335
+rect 699 301 733 335
+rect 1226 369 1260 403
+rect 1323 410 1357 444
+rect 1018 301 1052 335
+<< locali >>
+rect 0 1093 1452 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1452 1093
+rect 40 949 74 965
+rect 17 605 40 617
+rect 126 949 160 1049
+rect 126 657 160 673
+rect 284 949 352 965
+rect 17 583 74 605
+rect 476 949 510 1049
+rect 476 657 510 673
+rect 634 949 702 965
+rect 352 605 355 623
+rect 284 602 355 605
+rect 634 602 702 673
+rect 17 335 51 583
+rect 108 568 355 602
+rect 535 568 702 602
+rect 826 949 860 1049
+rect 826 589 860 605
+rect 912 949 946 965
+rect 1016 949 1050 1049
+rect 1016 657 1050 673
+rect 1102 949 1136 965
+rect 912 602 946 605
+rect 912 568 1000 602
+rect 108 534 142 568
+rect 85 518 142 534
+rect 119 484 142 518
+rect 85 468 142 484
+rect 17 301 40 335
+rect 17 280 74 301
+rect 108 318 142 468
+rect 253 518 287 534
+rect 253 483 287 484
+rect 467 518 501 534
+rect 287 449 383 483
+rect 181 409 215 425
+rect 181 359 215 375
+rect 349 335 383 449
+rect 467 335 501 484
+rect 108 284 315 318
+rect 349 285 383 301
+rect 467 285 501 301
+rect 535 335 569 568
+rect 699 518 733 534
+rect 699 483 733 484
+rect 40 215 74 280
+rect 281 231 315 284
+rect 535 251 569 301
+rect 603 449 699 483
+rect 898 518 932 534
+rect 898 483 932 484
+rect 603 335 637 449
+rect 966 403 1000 568
+rect 779 369 795 403
+rect 829 369 845 403
+rect 912 369 1000 403
+rect 1102 403 1136 605
+rect 1206 949 1240 965
+rect 1206 557 1240 877
+rect 1292 949 1326 1049
+rect 1292 861 1326 877
+rect 1378 949 1412 965
+rect 1378 631 1412 877
+rect 1411 614 1412 631
+rect 1411 597 1435 614
+rect 1378 580 1435 597
+rect 1206 518 1240 523
+rect 1206 484 1357 518
+rect 1323 444 1357 484
+rect 1102 369 1226 403
+rect 1260 369 1276 403
+rect 912 335 946 369
+rect 683 301 699 335
+rect 733 301 946 335
+rect 1002 301 1018 335
+rect 1052 301 1068 335
+rect 603 285 637 301
+rect 40 115 74 131
+rect 126 215 160 231
+rect 281 215 352 231
+rect 535 217 702 251
+rect 281 197 284 215
+rect 126 61 160 131
+rect 634 215 702 217
+rect 284 115 352 131
+rect 476 165 510 181
+rect 476 61 510 131
+rect 634 115 702 131
+rect 826 215 860 231
+rect 826 61 860 131
+rect 912 215 946 301
+rect 912 115 946 131
+rect 1016 215 1050 231
+rect 1016 61 1050 131
+rect 1102 215 1136 369
+rect 1323 335 1357 410
+rect 1102 115 1136 131
+rect 1206 301 1357 335
+rect 1206 165 1240 301
+rect 1401 268 1435 580
+rect 1378 234 1435 268
+rect 1206 115 1240 131
+rect 1292 165 1326 181
+rect 1292 61 1326 131
+rect 1378 165 1412 234
+rect 1378 115 1412 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 40 301 74 335
+rect 253 449 287 483
+rect 181 375 215 409
+rect 449 301 467 335
+rect 467 301 483 335
+rect 535 301 569 335
+rect 699 449 733 483
+rect 898 449 932 483
+rect 795 369 829 403
+rect 1377 597 1411 631
+rect 1206 523 1240 557
+rect 1226 369 1260 403
+rect 1018 301 1052 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1452 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1452 1093
+rect 0 1049 1452 1059
+rect 1365 631 1423 637
+rect 1343 597 1377 631
+rect 1411 597 1423 631
+rect 1365 591 1423 597
+rect 1194 557 1252 563
+rect 1172 523 1206 557
+rect 1240 523 1252 557
+rect 1194 517 1252 523
+rect 241 483 299 489
+rect 687 483 745 489
+rect 886 483 944 489
+rect 241 449 253 483
+rect 287 449 699 483
+rect 733 449 898 483
+rect 932 449 944 483
+rect 241 443 299 449
+rect 687 443 745 449
+rect 886 443 944 449
+rect 169 409 227 415
+rect 169 375 181 409
+rect 215 375 249 409
+rect 783 403 841 409
+rect 1214 403 1272 409
+rect 169 369 227 375
+rect 783 369 795 403
+rect 829 369 1226 403
+rect 1260 369 1272 403
+rect 783 363 841 369
+rect 1214 363 1272 369
+rect 28 335 86 341
+rect 437 335 495 341
+rect 28 301 40 335
+rect 74 301 449 335
+rect 483 301 495 335
+rect 28 295 86 301
+rect 437 295 495 301
+rect 523 335 581 341
+rect 1006 335 1064 341
+rect 523 301 535 335
+rect 569 301 1018 335
+rect 1052 301 1064 335
+rect 523 295 581 301
+rect 1006 295 1064 301
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
+<< labels >>
+rlabel viali 198 392 198 392 1 D
+port 1 n
+rlabel viali 1394 614 1394 614 1 Q
+port 2 n
+rlabel viali 1224 540 1224 540 1 QN
+port 3 n
+rlabel viali 915 466 915 466 1 CK
+port 4 n
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1067 68 1067 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__dffr_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__dffr_1.mag
new file mode 100644
index 0000000..8f4b908
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__dffr_1.mag
@@ -0,0 +1,808 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3177 2379
+<< nwell >>
+rect -10 529 1917 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 270 115 300 219
+rect 356 115 386 219
+rect 546 115 576 263
+rect 618 115 648 263
+rect 738 115 768 263
+rect 810 115 840 263
+rect 896 115 926 263
+rect 968 115 998 263
+rect 1088 115 1118 263
+rect 1160 115 1190 263
+rect 1246 115 1276 263
+rect 1436 115 1466 219
+rect 1522 115 1552 219
+rect 1712 115 1742 263
+rect 1798 115 1828 263
+<< pmos >>
+rect 80 565 110 965
+rect 270 713 300 965
+rect 342 713 372 965
+rect 546 565 576 965
+rect 618 565 648 965
+rect 738 565 768 965
+rect 810 565 840 965
+rect 896 565 926 965
+rect 968 565 998 965
+rect 1088 565 1118 965
+rect 1160 565 1190 965
+rect 1246 565 1276 965
+rect 1436 713 1466 965
+rect 1508 713 1538 965
+rect 1712 565 1742 965
+rect 1798 565 1828 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 165 270 219
+rect 217 131 225 165
+rect 259 131 270 165
+rect 217 115 270 131
+rect 300 165 356 219
+rect 300 131 311 165
+rect 345 131 356 165
+rect 300 115 356 131
+rect 386 165 439 219
+rect 386 131 397 165
+rect 431 131 439 165
+rect 386 115 439 131
+rect 493 215 546 263
+rect 493 131 501 215
+rect 535 131 546 215
+rect 493 115 546 131
+rect 576 115 618 263
+rect 648 215 738 263
+rect 648 131 659 215
+rect 727 131 738 215
+rect 648 115 738 131
+rect 768 115 810 263
+rect 840 165 896 263
+rect 840 131 851 165
+rect 885 131 896 165
+rect 840 115 896 131
+rect 926 115 968 263
+rect 998 215 1088 263
+rect 998 131 1009 215
+rect 1077 131 1088 215
+rect 998 115 1088 131
+rect 1118 115 1160 263
+rect 1190 215 1246 263
+rect 1190 131 1201 215
+rect 1235 131 1246 215
+rect 1190 115 1246 131
+rect 1276 215 1329 263
+rect 1276 131 1287 215
+rect 1321 131 1329 215
+rect 1276 115 1329 131
+rect 1383 165 1436 219
+rect 1383 131 1391 165
+rect 1425 131 1436 165
+rect 1383 115 1436 131
+rect 1466 165 1522 219
+rect 1466 131 1477 165
+rect 1511 131 1522 165
+rect 1466 115 1522 131
+rect 1552 165 1605 219
+rect 1552 131 1563 165
+rect 1597 131 1605 165
+rect 1552 115 1605 131
+rect 1659 165 1712 263
+rect 1659 131 1667 165
+rect 1701 131 1712 165
+rect 1659 115 1712 131
+rect 1742 165 1798 263
+rect 1742 131 1753 165
+rect 1787 131 1798 165
+rect 1742 115 1798 131
+rect 1828 165 1881 263
+rect 1828 131 1839 165
+rect 1873 131 1881 165
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 217 949 270 965
+rect 217 809 225 949
+rect 259 809 270 949
+rect 217 713 270 809
+rect 300 713 342 965
+rect 372 949 425 965
+rect 372 809 383 949
+rect 417 809 425 949
+rect 372 713 425 809
+rect 493 949 546 965
+rect 110 565 163 605
+rect 493 673 501 949
+rect 535 673 546 949
+rect 493 565 546 673
+rect 576 565 618 965
+rect 648 949 738 965
+rect 648 605 659 949
+rect 727 605 738 949
+rect 648 565 738 605
+rect 768 565 810 965
+rect 840 949 896 965
+rect 840 673 851 949
+rect 885 673 896 949
+rect 840 565 896 673
+rect 926 565 968 965
+rect 998 949 1088 965
+rect 998 673 1009 949
+rect 1077 673 1088 949
+rect 998 565 1088 673
+rect 1118 565 1160 965
+rect 1190 949 1246 965
+rect 1190 605 1201 949
+rect 1235 605 1246 949
+rect 1190 565 1246 605
+rect 1276 949 1329 965
+rect 1276 605 1287 949
+rect 1321 605 1329 949
+rect 1383 949 1436 965
+rect 1383 809 1391 949
+rect 1425 809 1436 949
+rect 1383 713 1436 809
+rect 1466 713 1508 965
+rect 1538 949 1591 965
+rect 1538 809 1549 949
+rect 1583 809 1591 949
+rect 1538 713 1591 809
+rect 1659 949 1712 965
+rect 1659 809 1667 949
+rect 1701 809 1712 949
+rect 1276 565 1329 605
+rect 1659 565 1712 809
+rect 1742 949 1798 965
+rect 1742 809 1753 949
+rect 1787 809 1798 949
+rect 1742 565 1798 809
+rect 1828 949 1881 965
+rect 1828 809 1839 949
+rect 1873 809 1881 949
+rect 1828 565 1881 809
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 165
+rect 311 131 345 165
+rect 397 131 431 165
+rect 501 131 535 215
+rect 659 131 727 215
+rect 851 131 885 165
+rect 1009 131 1077 215
+rect 1201 131 1235 215
+rect 1287 131 1321 215
+rect 1391 131 1425 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1667 131 1701 165
+rect 1753 131 1787 165
+rect 1839 131 1873 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 809 259 949
+rect 383 809 417 949
+rect 501 673 535 949
+rect 659 605 727 949
+rect 851 673 885 949
+rect 1009 673 1077 949
+rect 1201 605 1235 949
+rect 1287 605 1321 949
+rect 1391 809 1425 949
+rect 1549 809 1583 949
+rect 1667 809 1701 949
+rect 1753 809 1787 949
+rect 1839 809 1873 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 342 965 372 991
+rect 546 965 576 991
+rect 618 965 648 991
+rect 738 965 768 991
+rect 810 965 840 991
+rect 896 965 926 991
+rect 968 965 998 991
+rect 1088 965 1118 991
+rect 1160 965 1190 991
+rect 1246 965 1276 991
+rect 1436 965 1466 991
+rect 1508 965 1538 991
+rect 1712 965 1742 991
+rect 1798 965 1828 991
+rect 80 442 110 565
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 270 307 300 713
+rect 342 532 372 713
+rect 342 516 415 532
+rect 342 482 371 516
+rect 405 482 415 516
+rect 342 466 415 482
+rect 219 291 300 307
+rect 219 257 229 291
+rect 263 257 300 291
+rect 219 241 300 257
+rect 270 219 300 241
+rect 356 219 386 466
+rect 546 425 576 565
+rect 618 534 648 565
+rect 618 518 672 534
+rect 618 484 628 518
+rect 662 484 672 518
+rect 618 468 672 484
+rect 546 409 600 425
+rect 738 423 768 565
+rect 810 528 840 565
+rect 896 528 926 565
+rect 810 518 926 528
+rect 810 484 842 518
+rect 876 484 926 518
+rect 810 474 926 484
+rect 968 423 998 565
+rect 1088 534 1118 565
+rect 1064 518 1118 534
+rect 1064 484 1074 518
+rect 1108 484 1118 518
+rect 1064 468 1118 484
+rect 546 375 556 409
+rect 590 375 600 409
+rect 546 359 600 375
+rect 642 393 1094 423
+rect 546 263 576 359
+rect 642 315 672 393
+rect 1064 351 1094 393
+rect 1160 419 1190 565
+rect 1246 534 1276 565
+rect 1246 518 1317 534
+rect 1246 504 1273 518
+rect 1257 484 1273 504
+rect 1307 484 1317 518
+rect 1257 468 1317 484
+rect 1160 403 1214 419
+rect 1160 369 1170 403
+rect 1204 369 1214 403
+rect 1160 353 1214 369
+rect 618 285 672 315
+rect 714 335 768 351
+rect 714 301 724 335
+rect 758 301 768 335
+rect 714 285 768 301
+rect 618 263 648 285
+rect 738 263 768 285
+rect 810 335 926 345
+rect 810 301 842 335
+rect 876 301 926 335
+rect 810 291 926 301
+rect 810 263 840 291
+rect 896 263 926 291
+rect 968 335 1022 351
+rect 968 301 978 335
+rect 1012 301 1022 335
+rect 968 285 1022 301
+rect 1064 335 1118 351
+rect 1064 301 1074 335
+rect 1108 301 1118 335
+rect 1064 285 1118 301
+rect 968 263 998 285
+rect 1088 263 1118 285
+rect 1160 263 1190 353
+rect 1257 315 1287 468
+rect 1436 351 1466 713
+rect 1246 285 1287 315
+rect 1399 335 1466 351
+rect 1399 301 1409 335
+rect 1443 301 1466 335
+rect 1399 285 1466 301
+rect 1246 263 1276 285
+rect 1423 284 1466 285
+rect 1436 219 1466 284
+rect 1508 307 1538 713
+rect 1712 549 1742 565
+rect 1702 519 1742 549
+rect 1702 419 1732 519
+rect 1798 460 1828 565
+rect 1677 403 1732 419
+rect 1677 369 1687 403
+rect 1721 369 1732 403
+rect 1774 444 1828 460
+rect 1774 410 1784 444
+rect 1818 410 1828 444
+rect 1774 394 1828 410
+rect 1677 353 1732 369
+rect 1702 308 1732 353
+rect 1508 291 1589 307
+rect 1508 257 1545 291
+rect 1579 257 1589 291
+rect 1702 278 1742 308
+rect 1712 263 1742 278
+rect 1798 263 1828 394
+rect 1508 241 1589 257
+rect 1522 219 1552 241
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 392 123 426
+rect 371 482 405 516
+rect 229 257 263 291
+rect 628 484 662 518
+rect 842 484 876 518
+rect 1074 484 1108 518
+rect 556 375 590 409
+rect 1273 484 1307 518
+rect 1170 369 1204 403
+rect 724 301 758 335
+rect 842 301 876 335
+rect 978 301 1012 335
+rect 1074 301 1108 335
+rect 1409 301 1443 335
+rect 1687 369 1721 403
+rect 1784 410 1818 444
+rect 1545 257 1579 291
+<< locali >>
+rect 0 1093 1914 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1914 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 375 259 809
+rect 383 949 417 1049
+rect 383 793 417 809
+rect 501 949 535 1049
+rect 501 657 535 673
+rect 659 949 727 965
+rect 851 949 885 1049
+rect 851 657 885 673
+rect 1009 949 1077 965
+rect 659 602 727 605
+rect 1009 602 1077 673
+rect 405 568 727 602
+rect 910 568 1077 602
+rect 1201 949 1235 1049
+rect 1201 589 1235 605
+rect 1287 949 1321 965
+rect 1391 949 1425 965
+rect 1391 721 1425 809
+rect 1549 949 1583 1049
+rect 1549 793 1583 809
+rect 1667 949 1701 965
+rect 1391 687 1511 721
+rect 1287 602 1321 605
+rect 1287 568 1377 602
+rect 405 532 439 568
+rect 371 516 439 532
+rect 405 482 439 516
+rect 371 466 439 482
+rect 225 341 345 375
+rect 311 335 345 341
+rect 229 291 263 307
+rect 121 257 229 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 121 115 155 131
+rect 225 165 259 181
+rect 225 61 259 131
+rect 311 165 345 301
+rect 405 318 439 466
+rect 628 518 662 534
+rect 628 483 662 484
+rect 842 518 876 534
+rect 662 449 758 483
+rect 556 409 590 425
+rect 556 359 590 375
+rect 724 335 758 449
+rect 842 335 876 484
+rect 405 284 690 318
+rect 724 285 758 301
+rect 842 285 876 301
+rect 910 335 944 568
+rect 1074 518 1108 534
+rect 1074 483 1108 484
+rect 656 231 690 284
+rect 910 251 944 301
+rect 978 449 1074 483
+rect 1273 518 1307 534
+rect 1273 483 1307 484
+rect 978 335 1012 449
+rect 1341 403 1377 568
+rect 1154 369 1170 403
+rect 1204 369 1220 403
+rect 1287 369 1377 403
+rect 1477 403 1511 687
+rect 1667 557 1701 809
+rect 1753 949 1787 1049
+rect 1753 793 1787 809
+rect 1839 949 1873 965
+rect 1839 631 1873 809
+rect 1872 614 1873 631
+rect 1872 597 1896 614
+rect 1839 580 1896 597
+rect 1667 518 1701 523
+rect 1667 484 1818 518
+rect 1784 444 1818 484
+rect 1477 369 1687 403
+rect 1721 369 1737 403
+rect 1287 335 1321 369
+rect 1058 301 1074 335
+rect 1108 301 1321 335
+rect 978 285 1012 301
+rect 501 215 535 231
+rect 311 115 345 131
+rect 397 165 431 181
+rect 397 61 431 131
+rect 656 215 727 231
+rect 910 217 1077 251
+rect 656 197 659 215
+rect 501 61 535 131
+rect 1009 215 1077 217
+rect 659 115 727 131
+rect 851 165 885 181
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 215 1235 231
+rect 1201 61 1235 131
+rect 1287 215 1321 301
+rect 1409 335 1443 351
+rect 1409 285 1443 301
+rect 1287 115 1321 131
+rect 1391 165 1425 181
+rect 1391 61 1425 131
+rect 1477 165 1511 369
+rect 1784 335 1818 410
+rect 1545 291 1579 307
+rect 1667 301 1818 335
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1667 165 1701 301
+rect 1862 268 1896 580
+rect 1839 234 1896 268
+rect 1667 115 1701 131
+rect 1753 165 1787 181
+rect 1753 61 1787 131
+rect 1839 165 1873 234
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 47 597 81 631
+rect 229 257 263 261
+rect 229 227 263 257
+rect 311 301 345 335
+rect 628 449 662 483
+rect 556 375 590 409
+rect 824 301 842 335
+rect 842 301 858 335
+rect 910 301 944 335
+rect 1074 449 1108 483
+rect 1273 449 1307 483
+rect 1170 369 1204 403
+rect 1838 597 1872 631
+rect 1667 523 1701 557
+rect 1687 369 1721 403
+rect 1409 301 1443 335
+rect 1545 257 1579 261
+rect 1545 227 1579 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1093 1914 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1914 1093
+rect 0 1049 1914 1059
+rect 35 631 93 637
+rect 1826 631 1884 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1804 597 1838 631
+rect 1872 597 1884 631
+rect 35 591 93 597
+rect 1826 591 1884 597
+rect 1655 557 1713 563
+rect 1632 523 1667 557
+rect 1701 523 1713 557
+rect 1655 517 1713 523
+rect 616 483 674 489
+rect 1062 483 1120 489
+rect 1261 483 1319 489
+rect 616 449 628 483
+rect 662 449 1074 483
+rect 1108 449 1273 483
+rect 1307 449 1319 483
+rect 616 443 674 449
+rect 1062 443 1120 449
+rect 1261 443 1319 449
+rect 544 409 602 415
+rect 544 375 556 409
+rect 590 375 624 409
+rect 1158 403 1216 409
+rect 1675 403 1733 409
+rect 544 369 602 375
+rect 1158 369 1170 403
+rect 1204 369 1687 403
+rect 1721 369 1733 403
+rect 1158 363 1216 369
+rect 1675 363 1733 369
+rect 299 335 357 341
+rect 812 335 870 341
+rect 299 301 311 335
+rect 345 301 824 335
+rect 858 301 870 335
+rect 299 295 357 301
+rect 812 295 870 301
+rect 898 335 956 341
+rect 1397 335 1455 341
+rect 898 301 910 335
+rect 944 301 1409 335
+rect 1443 301 1455 335
+rect 898 295 956 301
+rect 1397 295 1455 301
+rect 217 261 275 267
+rect 1533 261 1591 267
+rect 217 227 229 261
+rect 263 227 1545 261
+rect 1579 227 1591 261
+rect 217 221 275 227
+rect 1533 221 1591 227
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel viali 65 614 65 614 1 RN
+port 1 n
+rlabel viali 573 392 573 392 1 D
+port 2 n
+rlabel viali 1290 466 1290 466 1 CK
+port 3 n
+rlabel viali 1855 614 1855 614 1 Q
+port 4 n
+rlabel viali 1685 540 1685 540 1 QN
+port 5 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__dffr_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__dffr_l.mag
new file mode 100644
index 0000000..d88c5d6
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__dffr_l.mag
@@ -0,0 +1,808 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3177 2379
+<< nwell >>
+rect -10 529 1917 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 270 115 300 219
+rect 356 115 386 219
+rect 546 115 576 263
+rect 618 115 648 263
+rect 738 115 768 263
+rect 810 115 840 263
+rect 896 115 926 263
+rect 968 115 998 263
+rect 1088 115 1118 263
+rect 1160 115 1190 263
+rect 1246 115 1276 263
+rect 1436 115 1466 219
+rect 1522 115 1552 219
+rect 1712 115 1742 219
+rect 1798 115 1828 219
+<< pmos >>
+rect 80 565 110 965
+rect 270 713 300 965
+rect 342 713 372 965
+rect 546 565 576 965
+rect 618 565 648 965
+rect 738 565 768 965
+rect 810 565 840 965
+rect 896 565 926 965
+rect 968 565 998 965
+rect 1088 565 1118 965
+rect 1160 565 1190 965
+rect 1246 565 1276 965
+rect 1436 713 1466 965
+rect 1508 713 1538 965
+rect 1712 713 1742 965
+rect 1798 713 1828 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 165 270 219
+rect 217 131 225 165
+rect 259 131 270 165
+rect 217 115 270 131
+rect 300 165 356 219
+rect 300 131 311 165
+rect 345 131 356 165
+rect 300 115 356 131
+rect 386 165 439 219
+rect 386 131 397 165
+rect 431 131 439 165
+rect 386 115 439 131
+rect 493 215 546 263
+rect 493 131 501 215
+rect 535 131 546 215
+rect 493 115 546 131
+rect 576 115 618 263
+rect 648 215 738 263
+rect 648 131 659 215
+rect 727 131 738 215
+rect 648 115 738 131
+rect 768 115 810 263
+rect 840 165 896 263
+rect 840 131 851 165
+rect 885 131 896 165
+rect 840 115 896 131
+rect 926 115 968 263
+rect 998 215 1088 263
+rect 998 131 1009 215
+rect 1077 131 1088 215
+rect 998 115 1088 131
+rect 1118 115 1160 263
+rect 1190 215 1246 263
+rect 1190 131 1201 215
+rect 1235 131 1246 215
+rect 1190 115 1246 131
+rect 1276 215 1329 263
+rect 1276 131 1287 215
+rect 1321 131 1329 215
+rect 1276 115 1329 131
+rect 1383 165 1436 219
+rect 1383 131 1391 165
+rect 1425 131 1436 165
+rect 1383 115 1436 131
+rect 1466 165 1522 219
+rect 1466 131 1477 165
+rect 1511 131 1522 165
+rect 1466 115 1522 131
+rect 1552 165 1605 219
+rect 1552 131 1563 165
+rect 1597 131 1605 165
+rect 1552 115 1605 131
+rect 1659 165 1712 219
+rect 1659 131 1667 165
+rect 1701 131 1712 165
+rect 1659 115 1712 131
+rect 1742 165 1798 219
+rect 1742 131 1753 165
+rect 1787 131 1798 165
+rect 1742 115 1798 131
+rect 1828 165 1881 219
+rect 1828 131 1839 165
+rect 1873 131 1881 165
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 217 949 270 965
+rect 217 809 225 949
+rect 259 809 270 949
+rect 217 713 270 809
+rect 300 713 342 965
+rect 372 949 425 965
+rect 372 809 383 949
+rect 417 809 425 949
+rect 372 713 425 809
+rect 493 949 546 965
+rect 110 565 163 605
+rect 493 673 501 949
+rect 535 673 546 949
+rect 493 565 546 673
+rect 576 565 618 965
+rect 648 949 738 965
+rect 648 605 659 949
+rect 727 605 738 949
+rect 648 565 738 605
+rect 768 565 810 965
+rect 840 949 896 965
+rect 840 673 851 949
+rect 885 673 896 949
+rect 840 565 896 673
+rect 926 565 968 965
+rect 998 949 1088 965
+rect 998 673 1009 949
+rect 1077 673 1088 949
+rect 998 565 1088 673
+rect 1118 565 1160 965
+rect 1190 949 1246 965
+rect 1190 605 1201 949
+rect 1235 605 1246 949
+rect 1190 565 1246 605
+rect 1276 949 1329 965
+rect 1276 605 1287 949
+rect 1321 605 1329 949
+rect 1383 949 1436 965
+rect 1383 809 1391 949
+rect 1425 809 1436 949
+rect 1383 713 1436 809
+rect 1466 713 1508 965
+rect 1538 949 1591 965
+rect 1538 809 1549 949
+rect 1583 809 1591 949
+rect 1538 713 1591 809
+rect 1659 949 1712 965
+rect 1659 809 1667 949
+rect 1701 809 1712 949
+rect 1659 713 1712 809
+rect 1742 949 1798 965
+rect 1742 809 1753 949
+rect 1787 809 1798 949
+rect 1742 713 1798 809
+rect 1828 949 1881 965
+rect 1828 809 1839 949
+rect 1873 809 1881 949
+rect 1828 713 1881 809
+rect 1276 565 1329 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 165
+rect 311 131 345 165
+rect 397 131 431 165
+rect 501 131 535 215
+rect 659 131 727 215
+rect 851 131 885 165
+rect 1009 131 1077 215
+rect 1201 131 1235 215
+rect 1287 131 1321 215
+rect 1391 131 1425 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1667 131 1701 165
+rect 1753 131 1787 165
+rect 1839 131 1873 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 809 259 949
+rect 383 809 417 949
+rect 501 673 535 949
+rect 659 605 727 949
+rect 851 673 885 949
+rect 1009 673 1077 949
+rect 1201 605 1235 949
+rect 1287 605 1321 949
+rect 1391 809 1425 949
+rect 1549 809 1583 949
+rect 1667 809 1701 949
+rect 1753 809 1787 949
+rect 1839 809 1873 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 342 965 372 991
+rect 546 965 576 991
+rect 618 965 648 991
+rect 738 965 768 991
+rect 810 965 840 991
+rect 896 965 926 991
+rect 968 965 998 991
+rect 1088 965 1118 991
+rect 1160 965 1190 991
+rect 1246 965 1276 991
+rect 1436 965 1466 991
+rect 1508 965 1538 991
+rect 1712 965 1742 991
+rect 1798 965 1828 991
+rect 80 442 110 565
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 270 307 300 713
+rect 342 532 372 713
+rect 342 516 415 532
+rect 342 482 371 516
+rect 405 482 415 516
+rect 342 466 415 482
+rect 219 291 300 307
+rect 219 257 229 291
+rect 263 257 300 291
+rect 219 241 300 257
+rect 270 219 300 241
+rect 356 219 386 466
+rect 546 425 576 565
+rect 618 534 648 565
+rect 618 518 672 534
+rect 618 484 628 518
+rect 662 484 672 518
+rect 618 468 672 484
+rect 546 409 600 425
+rect 738 423 768 565
+rect 810 528 840 565
+rect 896 528 926 565
+rect 810 518 926 528
+rect 810 484 842 518
+rect 876 484 926 518
+rect 810 474 926 484
+rect 968 423 998 565
+rect 1088 534 1118 565
+rect 1064 518 1118 534
+rect 1064 484 1074 518
+rect 1108 484 1118 518
+rect 1064 468 1118 484
+rect 546 375 556 409
+rect 590 375 600 409
+rect 546 359 600 375
+rect 642 393 1094 423
+rect 546 263 576 359
+rect 642 315 672 393
+rect 1064 351 1094 393
+rect 1160 419 1190 565
+rect 1246 534 1276 565
+rect 1246 518 1317 534
+rect 1246 504 1273 518
+rect 1257 484 1273 504
+rect 1307 484 1317 518
+rect 1257 468 1317 484
+rect 1160 403 1214 419
+rect 1160 369 1170 403
+rect 1204 369 1214 403
+rect 1160 353 1214 369
+rect 618 285 672 315
+rect 714 335 768 351
+rect 714 301 724 335
+rect 758 301 768 335
+rect 714 285 768 301
+rect 618 263 648 285
+rect 738 263 768 285
+rect 810 335 926 345
+rect 810 301 842 335
+rect 876 301 926 335
+rect 810 291 926 301
+rect 810 263 840 291
+rect 896 263 926 291
+rect 968 335 1022 351
+rect 968 301 978 335
+rect 1012 301 1022 335
+rect 968 285 1022 301
+rect 1064 335 1118 351
+rect 1064 301 1074 335
+rect 1108 301 1118 335
+rect 1064 285 1118 301
+rect 968 263 998 285
+rect 1088 263 1118 285
+rect 1160 263 1190 353
+rect 1257 315 1287 468
+rect 1436 351 1466 713
+rect 1246 285 1287 315
+rect 1399 335 1466 351
+rect 1399 301 1409 335
+rect 1443 301 1466 335
+rect 1399 285 1466 301
+rect 1246 263 1276 285
+rect 1423 284 1466 285
+rect 1436 219 1466 284
+rect 1508 307 1538 713
+rect 1712 549 1742 713
+rect 1702 519 1742 549
+rect 1702 419 1732 519
+rect 1798 460 1828 713
+rect 1677 403 1732 419
+rect 1677 369 1687 403
+rect 1721 369 1732 403
+rect 1774 444 1828 460
+rect 1774 410 1784 444
+rect 1818 410 1828 444
+rect 1774 394 1828 410
+rect 1677 353 1732 369
+rect 1702 308 1732 353
+rect 1508 291 1589 307
+rect 1508 257 1545 291
+rect 1579 257 1589 291
+rect 1702 278 1742 308
+rect 1508 241 1589 257
+rect 1522 219 1552 241
+rect 1712 219 1742 278
+rect 1798 219 1828 394
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 392 123 426
+rect 371 482 405 516
+rect 229 257 263 291
+rect 628 484 662 518
+rect 842 484 876 518
+rect 1074 484 1108 518
+rect 556 375 590 409
+rect 1273 484 1307 518
+rect 1170 369 1204 403
+rect 724 301 758 335
+rect 842 301 876 335
+rect 978 301 1012 335
+rect 1074 301 1108 335
+rect 1409 301 1443 335
+rect 1687 369 1721 403
+rect 1784 410 1818 444
+rect 1545 257 1579 291
+<< locali >>
+rect 0 1093 1914 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1914 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 377 259 809
+rect 383 949 417 1049
+rect 383 793 417 809
+rect 501 949 535 1049
+rect 501 657 535 673
+rect 659 949 727 965
+rect 851 949 885 1049
+rect 851 657 885 673
+rect 1009 949 1077 965
+rect 659 602 727 605
+rect 1009 602 1077 673
+rect 405 568 727 602
+rect 910 568 1077 602
+rect 1201 949 1235 1049
+rect 1201 589 1235 605
+rect 1287 949 1321 965
+rect 1391 949 1425 965
+rect 1391 721 1425 809
+rect 1549 949 1583 1049
+rect 1549 793 1583 809
+rect 1667 949 1701 965
+rect 1391 687 1511 721
+rect 1287 602 1321 605
+rect 1287 568 1377 602
+rect 405 532 439 568
+rect 371 516 439 532
+rect 405 482 439 516
+rect 371 466 439 482
+rect 225 343 345 377
+rect 311 335 345 343
+rect 229 291 263 307
+rect 121 257 229 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 121 115 155 131
+rect 225 165 259 181
+rect 225 61 259 131
+rect 311 165 345 301
+rect 405 318 439 466
+rect 628 518 662 534
+rect 628 483 662 484
+rect 842 518 876 534
+rect 662 449 758 483
+rect 556 409 590 425
+rect 556 359 590 375
+rect 724 335 758 449
+rect 842 335 876 484
+rect 405 284 690 318
+rect 724 285 758 301
+rect 842 285 876 301
+rect 910 335 944 568
+rect 1074 518 1108 534
+rect 1074 483 1108 484
+rect 656 231 690 284
+rect 910 251 944 301
+rect 978 449 1074 483
+rect 1273 518 1307 534
+rect 1273 483 1307 484
+rect 978 335 1012 449
+rect 1341 403 1377 568
+rect 1154 369 1170 403
+rect 1204 369 1220 403
+rect 1287 369 1377 403
+rect 1477 403 1511 687
+rect 1667 557 1701 809
+rect 1753 949 1787 1049
+rect 1753 793 1787 809
+rect 1839 949 1873 965
+rect 1839 631 1873 809
+rect 1872 614 1873 631
+rect 1872 597 1896 614
+rect 1839 580 1896 597
+rect 1667 518 1701 523
+rect 1667 484 1818 518
+rect 1784 444 1818 484
+rect 1477 369 1687 403
+rect 1721 369 1737 403
+rect 1287 335 1321 369
+rect 1058 301 1074 335
+rect 1108 301 1321 335
+rect 978 285 1012 301
+rect 501 215 535 231
+rect 311 115 345 131
+rect 397 165 431 181
+rect 397 61 431 131
+rect 656 215 727 231
+rect 910 217 1077 251
+rect 656 197 659 215
+rect 501 61 535 131
+rect 1009 215 1077 217
+rect 659 115 727 131
+rect 851 165 885 181
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 215 1235 231
+rect 1201 61 1235 131
+rect 1287 215 1321 301
+rect 1409 335 1443 351
+rect 1409 285 1443 301
+rect 1287 115 1321 131
+rect 1391 165 1425 181
+rect 1391 61 1425 131
+rect 1477 165 1511 369
+rect 1784 335 1818 410
+rect 1545 291 1579 307
+rect 1667 301 1818 335
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1667 165 1701 301
+rect 1862 268 1896 580
+rect 1839 234 1896 268
+rect 1667 115 1701 131
+rect 1753 165 1787 181
+rect 1753 61 1787 131
+rect 1839 165 1873 234
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 47 597 81 631
+rect 229 257 263 261
+rect 229 227 263 257
+rect 311 301 345 335
+rect 628 449 662 483
+rect 556 375 590 409
+rect 824 301 842 335
+rect 842 301 858 335
+rect 910 301 944 335
+rect 1074 449 1108 483
+rect 1273 449 1307 483
+rect 1170 369 1204 403
+rect 1838 597 1872 631
+rect 1667 523 1701 557
+rect 1687 369 1721 403
+rect 1409 301 1443 335
+rect 1545 257 1579 261
+rect 1545 227 1579 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1093 1914 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1914 1093
+rect 0 1049 1914 1059
+rect 35 631 93 637
+rect 1826 631 1884 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1804 597 1838 631
+rect 1872 597 1884 631
+rect 35 591 93 597
+rect 1826 591 1884 597
+rect 1655 557 1713 563
+rect 1632 523 1667 557
+rect 1701 523 1713 557
+rect 1655 517 1713 523
+rect 616 483 674 489
+rect 1062 483 1120 489
+rect 1261 483 1319 489
+rect 616 449 628 483
+rect 662 449 1074 483
+rect 1108 449 1273 483
+rect 1307 449 1319 483
+rect 616 443 674 449
+rect 1062 443 1120 449
+rect 1261 443 1319 449
+rect 544 409 602 415
+rect 544 375 556 409
+rect 590 375 624 409
+rect 1158 403 1216 409
+rect 1675 403 1733 409
+rect 544 369 602 375
+rect 1158 369 1170 403
+rect 1204 369 1687 403
+rect 1721 369 1733 403
+rect 1158 363 1216 369
+rect 1675 363 1733 369
+rect 299 335 357 341
+rect 812 335 870 341
+rect 299 301 311 335
+rect 345 301 824 335
+rect 858 301 870 335
+rect 299 295 357 301
+rect 812 295 870 301
+rect 898 335 956 341
+rect 1397 335 1455 341
+rect 898 301 910 335
+rect 944 301 1409 335
+rect 1443 301 1455 335
+rect 898 295 956 301
+rect 1397 295 1455 301
+rect 217 261 275 267
+rect 1533 261 1591 267
+rect 217 227 229 261
+rect 263 227 1545 261
+rect 1579 227 1591 261
+rect 217 221 275 227
+rect 1533 221 1591 227
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel viali 65 614 65 614 1 RN
+port 1 n
+rlabel viali 573 392 573 392 1 D
+port 2 n
+rlabel viali 1290 466 1290 466 1 CK
+port 3 n
+rlabel viali 1855 614 1855 614 1 Q
+port 4 n
+rlabel viali 1685 540 1685 540 1 QN
+port 5 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__dffs_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__dffs_1.mag
new file mode 100644
index 0000000..a224e18
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__dffs_1.mag
@@ -0,0 +1,723 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3001 2379
+<< nwell >>
+rect -10 529 1741 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 356 115 386 263
+rect 428 115 458 263
+rect 548 115 578 263
+rect 620 115 650 263
+rect 706 115 736 263
+rect 778 115 808 263
+rect 898 115 928 263
+rect 970 115 1000 263
+rect 1056 115 1086 263
+rect 1246 115 1276 219
+rect 1318 115 1348 219
+rect 1522 115 1552 263
+rect 1608 115 1638 263
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 356 565 386 965
+rect 428 565 458 965
+rect 548 565 578 965
+rect 620 565 650 965
+rect 706 565 736 965
+rect 778 565 808 965
+rect 898 565 928 965
+rect 970 565 1000 965
+rect 1056 565 1086 965
+rect 1246 713 1276 965
+rect 1332 713 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+rect 303 215 356 263
+rect 303 131 311 215
+rect 345 131 356 215
+rect 303 115 356 131
+rect 386 115 428 263
+rect 458 215 548 263
+rect 458 131 469 215
+rect 537 131 548 215
+rect 458 115 548 131
+rect 578 115 620 263
+rect 650 165 706 263
+rect 650 131 661 165
+rect 695 131 706 165
+rect 650 115 706 131
+rect 736 115 778 263
+rect 808 215 898 263
+rect 808 131 819 215
+rect 887 131 898 215
+rect 808 115 898 131
+rect 928 115 970 263
+rect 1000 215 1056 263
+rect 1000 131 1011 215
+rect 1045 131 1056 215
+rect 1000 115 1056 131
+rect 1086 215 1139 263
+rect 1086 131 1097 215
+rect 1131 131 1139 215
+rect 1086 115 1139 131
+rect 1193 165 1246 219
+rect 1193 131 1201 165
+rect 1235 131 1246 165
+rect 1193 115 1246 131
+rect 1276 115 1318 219
+rect 1348 165 1401 219
+rect 1348 131 1359 165
+rect 1393 131 1401 165
+rect 1348 115 1401 131
+rect 1469 165 1522 263
+rect 1469 131 1477 165
+rect 1511 131 1522 165
+rect 1469 115 1522 131
+rect 1552 165 1608 263
+rect 1552 131 1563 165
+rect 1597 131 1608 165
+rect 1552 115 1608 131
+rect 1638 165 1691 263
+rect 1638 131 1649 165
+rect 1683 131 1691 165
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+rect 303 949 356 965
+rect 303 673 311 949
+rect 345 673 356 949
+rect 303 565 356 673
+rect 386 565 428 965
+rect 458 949 548 965
+rect 458 605 469 949
+rect 537 605 548 949
+rect 458 565 548 605
+rect 578 565 620 965
+rect 650 949 706 965
+rect 650 673 661 949
+rect 695 673 706 949
+rect 650 565 706 673
+rect 736 565 778 965
+rect 808 949 898 965
+rect 808 673 819 949
+rect 887 673 898 949
+rect 808 565 898 673
+rect 928 565 970 965
+rect 1000 949 1056 965
+rect 1000 605 1011 949
+rect 1045 605 1056 949
+rect 1000 565 1056 605
+rect 1086 949 1139 965
+rect 1086 605 1097 949
+rect 1131 605 1139 949
+rect 1193 949 1246 965
+rect 1193 877 1201 949
+rect 1235 877 1246 949
+rect 1193 713 1246 877
+rect 1276 949 1332 965
+rect 1276 877 1287 949
+rect 1321 877 1332 949
+rect 1276 713 1332 877
+rect 1362 949 1415 965
+rect 1362 877 1373 949
+rect 1407 877 1415 949
+rect 1362 713 1415 877
+rect 1469 949 1522 965
+rect 1469 809 1477 949
+rect 1511 809 1522 949
+rect 1086 565 1139 605
+rect 1469 565 1522 809
+rect 1552 949 1608 965
+rect 1552 809 1563 949
+rect 1597 809 1608 949
+rect 1552 565 1608 809
+rect 1638 949 1691 965
+rect 1638 809 1649 949
+rect 1683 809 1691 949
+rect 1638 565 1691 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+rect 311 131 345 215
+rect 469 131 537 215
+rect 661 131 695 165
+rect 819 131 887 215
+rect 1011 131 1045 215
+rect 1097 131 1131 215
+rect 1201 131 1235 165
+rect 1359 131 1393 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1649 131 1683 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+rect 311 673 345 949
+rect 469 605 537 949
+rect 661 673 695 949
+rect 819 673 887 949
+rect 1011 605 1045 949
+rect 1097 605 1131 949
+rect 1201 877 1235 949
+rect 1287 877 1321 949
+rect 1373 877 1407 949
+rect 1477 809 1511 949
+rect 1563 809 1597 949
+rect 1649 809 1683 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 356 965 386 991
+rect 428 965 458 991
+rect 548 965 578 991
+rect 620 965 650 991
+rect 706 965 736 991
+rect 778 965 808 991
+rect 898 965 928 991
+rect 970 965 1000 991
+rect 1056 965 1086 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 80 351 110 713
+rect 166 442 196 713
+rect 37 335 110 351
+rect 37 301 47 335
+rect 81 301 110 335
+rect 37 285 110 301
+rect 80 219 110 285
+rect 152 426 233 442
+rect 152 392 189 426
+rect 223 392 233 426
+rect 152 376 233 392
+rect 356 425 386 565
+rect 428 534 458 565
+rect 428 518 482 534
+rect 428 484 438 518
+rect 472 484 482 518
+rect 428 468 482 484
+rect 356 409 410 425
+rect 548 423 578 565
+rect 620 528 650 565
+rect 706 528 736 565
+rect 620 518 736 528
+rect 620 484 652 518
+rect 686 484 736 518
+rect 620 474 736 484
+rect 778 423 808 565
+rect 898 534 928 565
+rect 874 518 928 534
+rect 874 484 884 518
+rect 918 484 928 518
+rect 874 468 928 484
+rect 152 219 182 376
+rect 356 375 366 409
+rect 400 375 410 409
+rect 356 359 410 375
+rect 452 393 904 423
+rect 356 263 386 359
+rect 452 315 482 393
+rect 874 351 904 393
+rect 970 419 1000 565
+rect 1056 534 1086 565
+rect 1056 518 1127 534
+rect 1056 504 1083 518
+rect 1067 484 1083 504
+rect 1117 484 1127 518
+rect 1067 468 1127 484
+rect 970 403 1024 419
+rect 970 369 980 403
+rect 1014 369 1024 403
+rect 970 353 1024 369
+rect 428 285 482 315
+rect 524 335 578 351
+rect 524 301 534 335
+rect 568 301 578 335
+rect 524 285 578 301
+rect 428 263 458 285
+rect 548 263 578 285
+rect 620 335 736 345
+rect 620 301 652 335
+rect 686 301 736 335
+rect 620 291 736 301
+rect 620 263 650 291
+rect 706 263 736 291
+rect 778 335 832 351
+rect 778 301 788 335
+rect 822 301 832 335
+rect 778 285 832 301
+rect 874 335 928 351
+rect 874 301 884 335
+rect 918 301 928 335
+rect 874 285 928 301
+rect 778 263 808 285
+rect 898 263 928 285
+rect 970 263 1000 353
+rect 1067 315 1097 468
+rect 1246 351 1276 713
+rect 1056 285 1097 315
+rect 1193 335 1276 351
+rect 1193 301 1203 335
+rect 1237 301 1276 335
+rect 1193 285 1276 301
+rect 1056 263 1086 285
+rect 1246 219 1276 285
+rect 1332 307 1362 713
+rect 1522 549 1552 565
+rect 1512 519 1552 549
+rect 1512 419 1542 519
+rect 1608 460 1638 565
+rect 1487 403 1542 419
+rect 1487 369 1497 403
+rect 1531 369 1542 403
+rect 1584 444 1638 460
+rect 1584 410 1594 444
+rect 1628 410 1638 444
+rect 1584 394 1638 410
+rect 1487 353 1542 369
+rect 1512 308 1542 353
+rect 1332 291 1399 307
+rect 1332 283 1355 291
+rect 1318 257 1355 283
+rect 1389 257 1399 291
+rect 1512 278 1552 308
+rect 1522 263 1552 278
+rect 1608 263 1638 394
+rect 1318 241 1399 257
+rect 1318 219 1348 241
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 301 81 335
+rect 189 392 223 426
+rect 438 484 472 518
+rect 652 484 686 518
+rect 884 484 918 518
+rect 366 375 400 409
+rect 1083 484 1117 518
+rect 980 369 1014 403
+rect 534 301 568 335
+rect 652 301 686 335
+rect 788 301 822 335
+rect 884 301 918 335
+rect 1203 301 1237 335
+rect 1497 369 1531 403
+rect 1594 410 1628 444
+rect 1355 257 1389 291
+<< locali >>
+rect 0 1093 1738 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1738 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 335 81 351
+rect 47 261 81 301
+rect 121 335 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 311 949 345 1049
+rect 311 657 345 673
+rect 469 949 537 965
+rect 661 949 695 1049
+rect 661 657 695 673
+rect 819 949 887 965
+rect 469 602 537 605
+rect 819 602 887 673
+rect 121 193 155 301
+rect 189 568 537 602
+rect 720 568 887 602
+rect 1011 949 1045 1049
+rect 1011 589 1045 605
+rect 1097 949 1131 965
+rect 1201 949 1235 1049
+rect 1201 861 1235 877
+rect 1287 949 1321 965
+rect 1097 602 1131 605
+rect 1097 568 1187 602
+rect 189 426 223 568
+rect 438 518 472 534
+rect 438 483 472 484
+rect 652 518 686 534
+rect 472 449 568 483
+rect 189 318 223 392
+rect 366 409 400 425
+rect 366 359 400 375
+rect 534 335 568 449
+rect 652 335 686 484
+rect 189 284 500 318
+rect 534 285 568 301
+rect 652 285 686 301
+rect 720 335 754 568
+rect 884 518 918 534
+rect 884 483 918 484
+rect 466 231 500 284
+rect 720 251 754 301
+rect 788 449 884 483
+rect 1083 518 1117 534
+rect 1083 483 1117 484
+rect 788 335 822 449
+rect 1151 415 1187 568
+rect 964 369 980 403
+rect 1014 369 1030 403
+rect 1097 381 1187 415
+rect 1287 403 1321 877
+rect 1373 949 1407 1049
+rect 1373 861 1407 877
+rect 1477 949 1511 965
+rect 1477 557 1511 809
+rect 1563 949 1597 1049
+rect 1563 793 1597 809
+rect 1649 949 1683 965
+rect 1649 631 1683 809
+rect 1682 614 1683 631
+rect 1682 597 1706 614
+rect 1649 580 1706 597
+rect 1477 518 1511 523
+rect 1477 484 1628 518
+rect 1594 444 1628 484
+rect 1097 335 1131 381
+rect 1287 369 1497 403
+rect 1531 369 1547 403
+rect 868 301 884 335
+rect 918 301 1131 335
+rect 1187 301 1203 335
+rect 1237 301 1253 335
+rect 788 285 822 301
+rect 35 165 155 193
+rect 311 215 345 231
+rect 69 159 155 165
+rect 193 165 227 181
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 215 537 231
+rect 720 217 887 251
+rect 466 197 469 215
+rect 311 61 345 131
+rect 819 215 887 217
+rect 469 115 537 131
+rect 661 165 695 181
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 215 1045 231
+rect 1011 61 1045 131
+rect 1097 215 1131 301
+rect 1287 199 1321 369
+rect 1594 335 1628 410
+rect 1355 291 1389 307
+rect 1477 301 1628 335
+rect 1097 115 1131 131
+rect 1201 165 1321 199
+rect 1359 165 1393 181
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 165 1511 301
+rect 1672 268 1706 580
+rect 1649 234 1706 268
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1649 165 1683 234
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 47 227 81 261
+rect 121 301 155 335
+rect 438 449 472 483
+rect 366 375 400 409
+rect 634 301 652 335
+rect 652 301 668 335
+rect 720 301 754 335
+rect 884 449 918 483
+rect 1083 449 1117 483
+rect 980 369 1014 403
+rect 1648 597 1682 631
+rect 1477 523 1511 557
+rect 1497 369 1531 403
+rect 1203 301 1237 335
+rect 1355 257 1389 261
+rect 1355 227 1389 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1093 1738 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1738 1093
+rect 0 1049 1738 1059
+rect 1636 631 1694 637
+rect 1614 597 1648 631
+rect 1682 597 1694 631
+rect 1636 591 1694 597
+rect 1465 557 1523 563
+rect 1442 523 1477 557
+rect 1511 523 1523 557
+rect 1465 517 1523 523
+rect 426 483 484 489
+rect 872 483 930 489
+rect 1071 483 1129 489
+rect 426 449 438 483
+rect 472 449 884 483
+rect 918 449 1083 483
+rect 1117 449 1129 483
+rect 426 443 484 449
+rect 872 443 930 449
+rect 1071 443 1129 449
+rect 354 409 412 415
+rect 354 375 366 409
+rect 400 375 434 409
+rect 968 403 1026 409
+rect 1485 403 1543 409
+rect 354 369 412 375
+rect 968 369 980 403
+rect 1014 369 1497 403
+rect 1531 369 1543 403
+rect 968 363 1026 369
+rect 1485 363 1543 369
+rect 109 335 167 341
+rect 622 335 680 341
+rect 109 301 121 335
+rect 155 301 634 335
+rect 668 301 680 335
+rect 109 295 167 301
+rect 622 295 680 301
+rect 708 335 766 341
+rect 1191 335 1249 341
+rect 708 301 720 335
+rect 754 301 1203 335
+rect 1237 301 1249 335
+rect 708 295 766 301
+rect 1191 295 1249 301
+rect 35 261 93 267
+rect 1343 261 1401 267
+rect 35 227 47 261
+rect 81 227 1355 261
+rect 1389 227 1401 261
+rect 35 221 93 227
+rect 1343 221 1401 227
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 383 392 383 392 1 D
+port 1 n
+rlabel viali 1100 466 1100 466 1 CK
+port 2 n
+rlabel viali 1665 614 1665 614 1 Q
+port 4 n
+rlabel viali 1495 540 1495 540 1 QN
+port 3 n
+rlabel viali 64 244 64 244 1 SN
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__dffs_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__dffs_l.mag
new file mode 100644
index 0000000..36f7992
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__dffs_l.mag
@@ -0,0 +1,723 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3001 2379
+<< nwell >>
+rect -10 529 1741 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 356 115 386 263
+rect 428 115 458 263
+rect 548 115 578 263
+rect 620 115 650 263
+rect 706 115 736 263
+rect 778 115 808 263
+rect 898 115 928 263
+rect 970 115 1000 263
+rect 1056 115 1086 263
+rect 1246 115 1276 219
+rect 1318 115 1348 219
+rect 1522 115 1552 219
+rect 1608 115 1638 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 356 565 386 965
+rect 428 565 458 965
+rect 548 565 578 965
+rect 620 565 650 965
+rect 706 565 736 965
+rect 778 565 808 965
+rect 898 565 928 965
+rect 970 565 1000 965
+rect 1056 565 1086 965
+rect 1246 713 1276 965
+rect 1332 713 1362 965
+rect 1522 713 1552 965
+rect 1608 713 1638 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+rect 303 215 356 263
+rect 303 131 311 215
+rect 345 131 356 215
+rect 303 115 356 131
+rect 386 115 428 263
+rect 458 215 548 263
+rect 458 131 469 215
+rect 537 131 548 215
+rect 458 115 548 131
+rect 578 115 620 263
+rect 650 165 706 263
+rect 650 131 661 165
+rect 695 131 706 165
+rect 650 115 706 131
+rect 736 115 778 263
+rect 808 215 898 263
+rect 808 131 819 215
+rect 887 131 898 215
+rect 808 115 898 131
+rect 928 115 970 263
+rect 1000 215 1056 263
+rect 1000 131 1011 215
+rect 1045 131 1056 215
+rect 1000 115 1056 131
+rect 1086 215 1139 263
+rect 1086 131 1097 215
+rect 1131 131 1139 215
+rect 1086 115 1139 131
+rect 1193 165 1246 219
+rect 1193 131 1201 165
+rect 1235 131 1246 165
+rect 1193 115 1246 131
+rect 1276 115 1318 219
+rect 1348 165 1401 219
+rect 1348 131 1359 165
+rect 1393 131 1401 165
+rect 1348 115 1401 131
+rect 1469 165 1522 219
+rect 1469 131 1477 165
+rect 1511 131 1522 165
+rect 1469 115 1522 131
+rect 1552 165 1608 219
+rect 1552 131 1563 165
+rect 1597 131 1608 165
+rect 1552 115 1608 131
+rect 1638 165 1691 219
+rect 1638 131 1649 165
+rect 1683 131 1691 165
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+rect 303 949 356 965
+rect 303 673 311 949
+rect 345 673 356 949
+rect 303 565 356 673
+rect 386 565 428 965
+rect 458 949 548 965
+rect 458 605 469 949
+rect 537 605 548 949
+rect 458 565 548 605
+rect 578 565 620 965
+rect 650 949 706 965
+rect 650 673 661 949
+rect 695 673 706 949
+rect 650 565 706 673
+rect 736 565 778 965
+rect 808 949 898 965
+rect 808 673 819 949
+rect 887 673 898 949
+rect 808 565 898 673
+rect 928 565 970 965
+rect 1000 949 1056 965
+rect 1000 605 1011 949
+rect 1045 605 1056 949
+rect 1000 565 1056 605
+rect 1086 949 1139 965
+rect 1086 605 1097 949
+rect 1131 605 1139 949
+rect 1193 949 1246 965
+rect 1193 877 1201 949
+rect 1235 877 1246 949
+rect 1193 713 1246 877
+rect 1276 949 1332 965
+rect 1276 877 1287 949
+rect 1321 877 1332 949
+rect 1276 713 1332 877
+rect 1362 949 1415 965
+rect 1362 877 1373 949
+rect 1407 877 1415 949
+rect 1362 713 1415 877
+rect 1469 949 1522 965
+rect 1469 809 1477 949
+rect 1511 809 1522 949
+rect 1469 713 1522 809
+rect 1552 949 1608 965
+rect 1552 809 1563 949
+rect 1597 809 1608 949
+rect 1552 713 1608 809
+rect 1638 949 1691 965
+rect 1638 809 1649 949
+rect 1683 809 1691 949
+rect 1638 713 1691 809
+rect 1086 565 1139 605
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+rect 311 131 345 215
+rect 469 131 537 215
+rect 661 131 695 165
+rect 819 131 887 215
+rect 1011 131 1045 215
+rect 1097 131 1131 215
+rect 1201 131 1235 165
+rect 1359 131 1393 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1649 131 1683 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+rect 311 673 345 949
+rect 469 605 537 949
+rect 661 673 695 949
+rect 819 673 887 949
+rect 1011 605 1045 949
+rect 1097 605 1131 949
+rect 1201 877 1235 949
+rect 1287 877 1321 949
+rect 1373 877 1407 949
+rect 1477 809 1511 949
+rect 1563 809 1597 949
+rect 1649 809 1683 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 356 965 386 991
+rect 428 965 458 991
+rect 548 965 578 991
+rect 620 965 650 991
+rect 706 965 736 991
+rect 778 965 808 991
+rect 898 965 928 991
+rect 970 965 1000 991
+rect 1056 965 1086 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 80 351 110 713
+rect 166 442 196 713
+rect 37 335 110 351
+rect 37 301 47 335
+rect 81 301 110 335
+rect 37 285 110 301
+rect 80 219 110 285
+rect 152 426 233 442
+rect 152 392 189 426
+rect 223 392 233 426
+rect 152 376 233 392
+rect 356 425 386 565
+rect 428 534 458 565
+rect 428 518 482 534
+rect 428 484 438 518
+rect 472 484 482 518
+rect 428 468 482 484
+rect 356 409 410 425
+rect 548 423 578 565
+rect 620 528 650 565
+rect 706 528 736 565
+rect 620 518 736 528
+rect 620 484 652 518
+rect 686 484 736 518
+rect 620 474 736 484
+rect 778 423 808 565
+rect 898 534 928 565
+rect 874 518 928 534
+rect 874 484 884 518
+rect 918 484 928 518
+rect 874 468 928 484
+rect 152 219 182 376
+rect 356 375 366 409
+rect 400 375 410 409
+rect 356 359 410 375
+rect 452 393 904 423
+rect 356 263 386 359
+rect 452 315 482 393
+rect 874 351 904 393
+rect 970 419 1000 565
+rect 1056 534 1086 565
+rect 1056 518 1127 534
+rect 1056 504 1083 518
+rect 1067 484 1083 504
+rect 1117 484 1127 518
+rect 1067 468 1127 484
+rect 970 403 1024 419
+rect 970 369 980 403
+rect 1014 369 1024 403
+rect 970 353 1024 369
+rect 428 285 482 315
+rect 524 335 578 351
+rect 524 301 534 335
+rect 568 301 578 335
+rect 524 285 578 301
+rect 428 263 458 285
+rect 548 263 578 285
+rect 620 335 736 345
+rect 620 301 652 335
+rect 686 301 736 335
+rect 620 291 736 301
+rect 620 263 650 291
+rect 706 263 736 291
+rect 778 335 832 351
+rect 778 301 788 335
+rect 822 301 832 335
+rect 778 285 832 301
+rect 874 335 928 351
+rect 874 301 884 335
+rect 918 301 928 335
+rect 874 285 928 301
+rect 778 263 808 285
+rect 898 263 928 285
+rect 970 263 1000 353
+rect 1067 315 1097 468
+rect 1246 351 1276 713
+rect 1056 285 1097 315
+rect 1193 335 1276 351
+rect 1193 301 1203 335
+rect 1237 301 1276 335
+rect 1193 285 1276 301
+rect 1056 263 1086 285
+rect 1246 219 1276 285
+rect 1332 307 1362 713
+rect 1522 549 1552 713
+rect 1512 519 1552 549
+rect 1512 419 1542 519
+rect 1608 460 1638 713
+rect 1487 403 1542 419
+rect 1487 369 1497 403
+rect 1531 369 1542 403
+rect 1584 444 1638 460
+rect 1584 410 1594 444
+rect 1628 410 1638 444
+rect 1584 394 1638 410
+rect 1487 353 1542 369
+rect 1512 308 1542 353
+rect 1332 291 1399 307
+rect 1332 283 1355 291
+rect 1318 257 1355 283
+rect 1389 257 1399 291
+rect 1512 278 1552 308
+rect 1318 241 1399 257
+rect 1318 219 1348 241
+rect 1522 219 1552 278
+rect 1608 219 1638 394
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 301 81 335
+rect 189 392 223 426
+rect 438 484 472 518
+rect 652 484 686 518
+rect 884 484 918 518
+rect 366 375 400 409
+rect 1083 484 1117 518
+rect 980 369 1014 403
+rect 534 301 568 335
+rect 652 301 686 335
+rect 788 301 822 335
+rect 884 301 918 335
+rect 1203 301 1237 335
+rect 1497 369 1531 403
+rect 1594 410 1628 444
+rect 1355 257 1389 291
+<< locali >>
+rect 0 1093 1738 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1738 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 335 81 351
+rect 47 261 81 301
+rect 121 335 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 311 949 345 1049
+rect 311 657 345 673
+rect 469 949 537 965
+rect 661 949 695 1049
+rect 661 657 695 673
+rect 819 949 887 965
+rect 469 602 537 605
+rect 819 602 887 673
+rect 121 193 155 301
+rect 189 568 537 602
+rect 720 568 887 602
+rect 1011 949 1045 1049
+rect 1011 589 1045 605
+rect 1097 949 1131 965
+rect 1201 949 1235 1049
+rect 1201 861 1235 877
+rect 1287 949 1321 965
+rect 1097 602 1131 605
+rect 1097 568 1187 602
+rect 189 426 223 568
+rect 438 518 472 534
+rect 438 483 472 484
+rect 652 518 686 534
+rect 472 449 568 483
+rect 189 318 223 392
+rect 366 409 400 425
+rect 366 359 400 375
+rect 534 335 568 449
+rect 652 335 686 484
+rect 189 284 500 318
+rect 534 285 568 301
+rect 652 285 686 301
+rect 720 335 754 568
+rect 884 518 918 534
+rect 884 483 918 484
+rect 466 231 500 284
+rect 720 251 754 301
+rect 788 449 884 483
+rect 1083 518 1117 534
+rect 1083 483 1117 484
+rect 788 335 822 449
+rect 1151 415 1187 568
+rect 964 369 980 403
+rect 1014 369 1030 403
+rect 1097 381 1187 415
+rect 1287 403 1321 877
+rect 1373 949 1407 1049
+rect 1373 861 1407 877
+rect 1477 949 1511 965
+rect 1477 557 1511 809
+rect 1563 949 1597 1049
+rect 1563 793 1597 809
+rect 1649 949 1683 965
+rect 1649 631 1683 809
+rect 1682 614 1683 631
+rect 1682 597 1706 614
+rect 1649 580 1706 597
+rect 1477 518 1511 523
+rect 1477 484 1628 518
+rect 1594 444 1628 484
+rect 1097 335 1131 381
+rect 1287 369 1497 403
+rect 1531 369 1547 403
+rect 868 301 884 335
+rect 918 301 1131 335
+rect 1187 301 1203 335
+rect 1237 301 1253 335
+rect 788 285 822 301
+rect 35 165 155 193
+rect 311 215 345 231
+rect 69 159 155 165
+rect 193 165 227 181
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 215 537 231
+rect 720 217 887 251
+rect 466 197 469 215
+rect 311 61 345 131
+rect 819 215 887 217
+rect 469 115 537 131
+rect 661 165 695 181
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 215 1045 231
+rect 1011 61 1045 131
+rect 1097 215 1131 301
+rect 1287 199 1321 369
+rect 1594 335 1628 410
+rect 1355 291 1389 307
+rect 1477 301 1628 335
+rect 1097 115 1131 131
+rect 1201 165 1321 199
+rect 1359 165 1393 181
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 165 1511 301
+rect 1672 268 1706 580
+rect 1649 234 1706 268
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1649 165 1683 234
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 47 227 81 261
+rect 121 301 155 335
+rect 438 449 472 483
+rect 366 375 400 409
+rect 634 301 652 335
+rect 652 301 668 335
+rect 720 301 754 335
+rect 884 449 918 483
+rect 1083 449 1117 483
+rect 980 369 1014 403
+rect 1648 597 1682 631
+rect 1477 523 1511 557
+rect 1497 369 1531 403
+rect 1203 301 1237 335
+rect 1355 257 1389 261
+rect 1355 227 1389 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1093 1738 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1738 1093
+rect 0 1049 1738 1059
+rect 1636 631 1694 637
+rect 1614 597 1648 631
+rect 1682 597 1694 631
+rect 1636 591 1694 597
+rect 1465 557 1523 563
+rect 1442 523 1477 557
+rect 1511 523 1523 557
+rect 1465 517 1523 523
+rect 426 483 484 489
+rect 872 483 930 489
+rect 1071 483 1129 489
+rect 426 449 438 483
+rect 472 449 884 483
+rect 918 449 1083 483
+rect 1117 449 1129 483
+rect 426 443 484 449
+rect 872 443 930 449
+rect 1071 443 1129 449
+rect 354 409 412 415
+rect 354 375 366 409
+rect 400 375 434 409
+rect 968 403 1026 409
+rect 1485 403 1543 409
+rect 354 369 412 375
+rect 968 369 980 403
+rect 1014 369 1497 403
+rect 1531 369 1543 403
+rect 968 363 1026 369
+rect 1485 363 1543 369
+rect 109 335 167 341
+rect 622 335 680 341
+rect 109 301 121 335
+rect 155 301 634 335
+rect 668 301 680 335
+rect 109 295 167 301
+rect 622 295 680 301
+rect 708 335 766 341
+rect 1191 335 1249 341
+rect 708 301 720 335
+rect 754 301 1203 335
+rect 1237 301 1249 335
+rect 708 295 766 301
+rect 1191 295 1249 301
+rect 35 261 93 267
+rect 1343 261 1401 267
+rect 35 227 47 261
+rect 81 227 1355 261
+rect 1389 227 1401 261
+rect 35 221 93 227
+rect 1343 221 1401 227
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 383 392 383 392 1 D
+port 1 n
+rlabel viali 1100 466 1100 466 1 CK
+port 2 n
+rlabel viali 1665 614 1665 614 1 Q
+port 4 n
+rlabel viali 1495 540 1495 540 1 QN
+port 3 n
+rlabel viali 64 244 64 244 1 SN
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__dffsr_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__dffsr_1.mag
new file mode 100644
index 0000000..3847568
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__dffsr_1.mag
@@ -0,0 +1,900 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3350 2379
+<< nwell >>
+rect -10 529 2090 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 272 115 302 219
+rect 370 115 400 263
+rect 442 115 472 263
+rect 632 115 662 263
+rect 704 115 734 263
+rect 824 115 854 263
+rect 896 115 926 263
+rect 982 115 1012 263
+rect 1054 115 1084 263
+rect 1174 115 1204 263
+rect 1246 115 1276 263
+rect 1332 115 1362 263
+rect 1522 115 1552 263
+rect 1594 115 1624 263
+rect 1692 115 1722 219
+rect 1884 115 1914 263
+rect 1970 115 2000 263
+<< pmos >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+rect 442 565 472 965
+rect 632 565 662 965
+rect 704 565 734 965
+rect 824 565 854 965
+rect 896 565 926 965
+rect 982 565 1012 965
+rect 1054 565 1084 965
+rect 1174 565 1204 965
+rect 1246 565 1276 965
+rect 1332 565 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+rect 1694 565 1724 965
+rect 1884 565 1914 965
+rect 1970 565 2000 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 317 219 370 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 219 165 272 219
+rect 219 131 227 165
+rect 261 131 272 165
+rect 219 115 272 131
+rect 302 215 370 219
+rect 302 131 325 215
+rect 359 131 370 215
+rect 302 115 370 131
+rect 400 115 442 263
+rect 472 215 525 263
+rect 472 131 483 215
+rect 517 131 525 215
+rect 472 115 525 131
+rect 579 215 632 263
+rect 579 131 587 215
+rect 621 131 632 215
+rect 579 115 632 131
+rect 662 115 704 263
+rect 734 215 824 263
+rect 734 131 745 215
+rect 813 131 824 215
+rect 734 115 824 131
+rect 854 115 896 263
+rect 926 165 982 263
+rect 926 131 937 165
+rect 971 131 982 165
+rect 926 115 982 131
+rect 1012 115 1054 263
+rect 1084 215 1174 263
+rect 1084 131 1095 215
+rect 1163 131 1174 215
+rect 1084 115 1174 131
+rect 1204 115 1246 263
+rect 1276 215 1332 263
+rect 1276 131 1287 215
+rect 1321 131 1332 215
+rect 1276 115 1332 131
+rect 1362 215 1415 263
+rect 1362 131 1373 215
+rect 1407 131 1415 215
+rect 1362 115 1415 131
+rect 1469 215 1522 263
+rect 1469 131 1477 215
+rect 1511 131 1522 215
+rect 1469 115 1522 131
+rect 1552 115 1594 263
+rect 1624 219 1677 263
+rect 1624 215 1692 219
+rect 1624 131 1635 215
+rect 1669 131 1692 215
+rect 1624 115 1692 131
+rect 1722 165 1775 219
+rect 1722 131 1733 165
+rect 1767 131 1775 165
+rect 1722 115 1775 131
+rect 1831 215 1884 263
+rect 1831 131 1839 215
+rect 1873 131 1884 215
+rect 1831 115 1884 131
+rect 1914 215 1970 263
+rect 1914 131 1925 215
+rect 1959 131 1970 215
+rect 1914 115 1970 131
+rect 2000 215 2053 263
+rect 2000 131 2011 215
+rect 2045 131 2053 215
+rect 2000 115 2053 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 673 225 949
+rect 259 673 270 949
+rect 217 565 270 673
+rect 300 949 356 965
+rect 300 673 311 949
+rect 345 673 356 949
+rect 300 565 356 673
+rect 386 949 442 965
+rect 386 741 397 949
+rect 431 741 442 949
+rect 386 565 442 741
+rect 472 949 525 965
+rect 472 673 483 949
+rect 517 673 525 949
+rect 472 565 525 673
+rect 579 949 632 965
+rect 579 673 587 949
+rect 621 673 632 949
+rect 579 565 632 673
+rect 662 565 704 965
+rect 734 949 824 965
+rect 734 605 745 949
+rect 813 605 824 949
+rect 734 565 824 605
+rect 854 565 896 965
+rect 926 949 982 965
+rect 926 673 937 949
+rect 971 673 982 949
+rect 926 565 982 673
+rect 1012 565 1054 965
+rect 1084 949 1174 965
+rect 1084 673 1095 949
+rect 1163 673 1174 949
+rect 1084 565 1174 673
+rect 1204 565 1246 965
+rect 1276 949 1332 965
+rect 1276 605 1287 949
+rect 1321 605 1332 949
+rect 1276 565 1332 605
+rect 1362 949 1415 965
+rect 1362 605 1373 949
+rect 1407 605 1415 949
+rect 1362 565 1415 605
+rect 1469 949 1522 965
+rect 1469 673 1477 949
+rect 1511 673 1522 949
+rect 1469 565 1522 673
+rect 1552 949 1608 965
+rect 1552 741 1563 949
+rect 1597 741 1608 949
+rect 1552 565 1608 741
+rect 1638 949 1694 965
+rect 1638 673 1649 949
+rect 1683 673 1694 949
+rect 1638 565 1694 673
+rect 1724 949 1777 965
+rect 1724 673 1735 949
+rect 1769 673 1777 949
+rect 1724 565 1777 673
+rect 1831 949 1884 965
+rect 1831 605 1839 949
+rect 1873 605 1884 949
+rect 1831 565 1884 605
+rect 1914 949 1970 965
+rect 1914 605 1925 949
+rect 1959 605 1970 949
+rect 1914 565 1970 605
+rect 2000 949 2053 965
+rect 2000 605 2011 949
+rect 2045 605 2053 949
+rect 2000 565 2053 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 227 131 261 165
+rect 325 131 359 215
+rect 483 131 517 215
+rect 587 131 621 215
+rect 745 131 813 215
+rect 937 131 971 165
+rect 1095 131 1163 215
+rect 1287 131 1321 215
+rect 1373 131 1407 215
+rect 1477 131 1511 215
+rect 1635 131 1669 215
+rect 1733 131 1767 165
+rect 1839 131 1873 215
+rect 1925 131 1959 215
+rect 2011 131 2045 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 673 259 949
+rect 311 673 345 949
+rect 397 741 431 949
+rect 483 673 517 949
+rect 587 673 621 949
+rect 745 605 813 949
+rect 937 673 971 949
+rect 1095 673 1163 949
+rect 1287 605 1321 949
+rect 1373 605 1407 949
+rect 1477 673 1511 949
+rect 1563 741 1597 949
+rect 1649 673 1683 949
+rect 1735 673 1769 949
+rect 1839 605 1873 949
+rect 1925 605 1959 949
+rect 2011 605 2045 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+rect 1930 27 1954 61
+rect 1988 27 2012 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+rect 1930 1049 1954 1083
+rect 1988 1049 2012 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+rect 1954 27 1988 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+rect 1954 1049 1988 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 356 965 386 991
+rect 442 965 472 991
+rect 632 965 662 991
+rect 704 965 734 991
+rect 824 965 854 991
+rect 896 965 926 991
+rect 982 965 1012 991
+rect 1054 965 1084 991
+rect 1174 965 1204 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 1694 965 1724 991
+rect 1884 965 1914 991
+rect 1970 965 2000 991
+rect 80 442 110 565
+rect 270 527 300 565
+rect 243 497 300 527
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 243 307 273 497
+rect 356 455 386 565
+rect 442 534 472 565
+rect 432 518 486 534
+rect 432 484 442 518
+rect 476 484 486 518
+rect 432 468 486 484
+rect 315 439 386 455
+rect 315 405 325 439
+rect 359 425 386 439
+rect 359 405 400 425
+rect 315 389 400 405
+rect 219 291 273 307
+rect 356 303 400 389
+rect 219 257 229 291
+rect 263 271 273 291
+rect 263 257 302 271
+rect 370 263 400 303
+rect 442 263 472 468
+rect 632 425 662 565
+rect 704 534 734 565
+rect 704 518 758 534
+rect 704 484 714 518
+rect 748 484 758 518
+rect 704 468 758 484
+rect 632 409 686 425
+rect 824 423 854 565
+rect 896 528 926 565
+rect 982 528 1012 565
+rect 896 518 1012 528
+rect 896 484 928 518
+rect 962 484 1012 518
+rect 896 474 1012 484
+rect 1054 423 1084 565
+rect 1174 534 1204 565
+rect 1150 518 1204 534
+rect 1150 484 1160 518
+rect 1194 484 1204 518
+rect 1150 468 1204 484
+rect 632 375 642 409
+rect 676 375 686 409
+rect 632 359 686 375
+rect 728 393 1180 423
+rect 632 263 662 359
+rect 728 315 758 393
+rect 1150 351 1180 393
+rect 1246 419 1276 565
+rect 1332 534 1362 565
+rect 1332 518 1403 534
+rect 1522 528 1552 565
+rect 1332 504 1359 518
+rect 1343 484 1359 504
+rect 1393 484 1403 518
+rect 1343 468 1403 484
+rect 1486 518 1552 528
+rect 1486 484 1502 518
+rect 1536 484 1552 518
+rect 1486 474 1552 484
+rect 1246 403 1300 419
+rect 1246 369 1256 403
+rect 1290 369 1300 403
+rect 1246 353 1300 369
+rect 704 285 758 315
+rect 800 335 854 351
+rect 800 301 810 335
+rect 844 301 854 335
+rect 800 285 854 301
+rect 704 263 734 285
+rect 824 263 854 285
+rect 896 335 1012 345
+rect 896 301 928 335
+rect 962 301 1012 335
+rect 896 291 1012 301
+rect 896 263 926 291
+rect 982 263 1012 291
+rect 1054 335 1108 351
+rect 1054 301 1064 335
+rect 1098 301 1108 335
+rect 1054 285 1108 301
+rect 1150 335 1204 351
+rect 1150 301 1160 335
+rect 1194 301 1204 335
+rect 1150 285 1204 301
+rect 1054 263 1084 285
+rect 1174 263 1204 285
+rect 1246 263 1276 353
+rect 1343 315 1373 468
+rect 1332 285 1373 315
+rect 1486 318 1516 474
+rect 1608 432 1638 565
+rect 1694 527 1724 565
+rect 1884 549 1914 565
+rect 1694 501 1751 527
+rect 1874 519 1914 549
+rect 1694 497 1775 501
+rect 1721 469 1775 497
+rect 1576 416 1638 432
+rect 1576 382 1588 416
+rect 1622 382 1638 416
+rect 1576 366 1638 382
+rect 1486 286 1552 318
+rect 1332 263 1362 285
+rect 1522 263 1552 286
+rect 1594 263 1624 366
+rect 1745 307 1775 469
+rect 1874 419 1904 519
+rect 1970 460 2000 565
+rect 1849 403 1904 419
+rect 1849 369 1859 403
+rect 1893 369 1904 403
+rect 1946 444 2000 460
+rect 1946 410 1956 444
+rect 1990 410 2000 444
+rect 1946 394 2000 410
+rect 1849 353 1904 369
+rect 1874 308 1904 353
+rect 1745 291 1799 307
+rect 1745 271 1755 291
+rect 219 241 302 257
+rect 272 219 302 241
+rect 1692 257 1755 271
+rect 1789 257 1799 291
+rect 1874 278 1914 308
+rect 1884 263 1914 278
+rect 1970 263 2000 394
+rect 1692 241 1799 257
+rect 1692 219 1722 241
+rect 80 89 110 115
+rect 272 89 302 115
+rect 370 89 400 115
+rect 442 89 472 115
+rect 632 89 662 115
+rect 704 89 734 115
+rect 824 89 854 115
+rect 896 89 926 115
+rect 982 89 1012 115
+rect 1054 89 1084 115
+rect 1174 89 1204 115
+rect 1246 89 1276 115
+rect 1332 89 1362 115
+rect 1522 89 1552 115
+rect 1594 89 1624 115
+rect 1692 89 1722 115
+rect 1884 89 1914 115
+rect 1970 89 2000 115
+<< polycont >>
+rect 89 392 123 426
+rect 442 484 476 518
+rect 325 405 359 439
+rect 229 257 263 291
+rect 714 484 748 518
+rect 928 484 962 518
+rect 1160 484 1194 518
+rect 642 375 676 409
+rect 1359 484 1393 518
+rect 1502 484 1536 518
+rect 1256 369 1290 403
+rect 810 301 844 335
+rect 928 301 962 335
+rect 1064 301 1098 335
+rect 1160 301 1194 335
+rect 1588 382 1622 416
+rect 1859 369 1893 403
+rect 1956 410 1990 444
+rect 1755 257 1789 291
+<< locali >>
+rect 0 1093 2090 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1954 1093
+rect 1988 1049 2090 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 369 259 673
+rect 311 949 345 965
+rect 397 949 431 1049
+rect 397 725 431 741
+rect 483 949 517 965
+rect 345 673 483 691
+rect 311 657 517 673
+rect 587 949 621 1049
+rect 587 657 621 673
+rect 745 949 813 965
+rect 937 949 971 1049
+rect 937 657 971 673
+rect 1095 949 1163 965
+rect 813 605 816 623
+rect 745 602 816 605
+rect 1095 602 1163 673
+rect 442 568 816 602
+rect 996 568 1163 602
+rect 1287 949 1321 1049
+rect 1287 589 1321 605
+rect 1373 949 1407 965
+rect 1477 949 1511 965
+rect 1563 949 1597 1049
+rect 1563 725 1597 741
+rect 1649 949 1683 965
+rect 1511 673 1649 691
+rect 1477 657 1683 673
+rect 1735 949 1769 965
+rect 1373 602 1407 605
+rect 1373 568 1461 602
+rect 325 439 359 523
+rect 442 518 476 568
+rect 309 405 325 439
+rect 359 405 375 439
+rect 225 335 359 369
+rect 121 257 229 291
+rect 263 257 279 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 325 215 359 301
+rect 442 318 476 484
+rect 714 518 748 534
+rect 714 483 748 484
+rect 928 518 962 534
+rect 748 449 844 483
+rect 642 409 676 425
+rect 642 359 676 375
+rect 810 335 844 449
+rect 928 335 962 484
+rect 442 284 776 318
+rect 810 285 844 301
+rect 928 285 962 301
+rect 996 335 1030 568
+rect 1160 518 1194 534
+rect 1160 483 1194 484
+rect 742 231 776 284
+rect 996 251 1030 301
+rect 1064 449 1160 483
+rect 1359 518 1393 534
+rect 1359 483 1393 484
+rect 1064 335 1098 449
+rect 1427 403 1461 568
+rect 1240 369 1256 403
+rect 1290 369 1306 403
+rect 1373 369 1461 403
+rect 1502 518 1536 534
+rect 1373 335 1407 369
+rect 1144 301 1160 335
+rect 1194 301 1407 335
+rect 1502 335 1536 484
+rect 1570 432 1604 523
+rect 1570 416 1638 432
+rect 1570 382 1588 416
+rect 1622 382 1638 416
+rect 1735 403 1769 673
+rect 1839 949 1873 965
+rect 1839 557 1873 605
+rect 1925 949 1959 1049
+rect 1925 589 1959 605
+rect 2011 949 2045 965
+rect 2011 583 2045 597
+rect 2011 549 2068 583
+rect 1839 518 1873 523
+rect 1839 484 1990 518
+rect 1956 444 1990 484
+rect 1672 369 1859 403
+rect 1893 369 1909 403
+rect 1672 347 1706 369
+rect 1635 313 1706 347
+rect 1956 335 1990 410
+rect 1064 285 1098 301
+rect 121 115 155 131
+rect 227 165 261 181
+rect 227 61 261 131
+rect 325 115 359 131
+rect 483 215 517 231
+rect 483 61 517 131
+rect 587 215 621 231
+rect 742 215 813 231
+rect 996 217 1163 251
+rect 742 197 745 215
+rect 587 61 621 131
+rect 1095 215 1163 217
+rect 745 115 813 131
+rect 937 165 971 181
+rect 937 61 971 131
+rect 1095 115 1163 131
+rect 1287 215 1321 231
+rect 1287 61 1321 131
+rect 1373 215 1407 301
+rect 1373 115 1407 131
+rect 1477 215 1511 231
+rect 1477 61 1511 131
+rect 1635 215 1669 313
+rect 1839 301 1990 335
+rect 1739 257 1755 291
+rect 1789 257 1805 291
+rect 1839 215 1873 301
+rect 2034 268 2068 549
+rect 2011 234 2068 268
+rect 1635 115 1669 131
+rect 1733 165 1767 181
+rect 1733 61 1767 131
+rect 1839 115 1873 131
+rect 1925 215 1959 231
+rect 1925 61 1959 131
+rect 2011 215 2045 234
+rect 2011 115 2045 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 1954 1083 1988 1093
+rect 1954 1059 1988 1083
+rect 47 597 81 631
+rect 325 523 359 557
+rect 325 301 359 335
+rect 229 257 263 261
+rect 229 227 263 257
+rect 714 449 748 483
+rect 642 375 676 409
+rect 910 301 928 335
+rect 928 301 944 335
+rect 996 301 1030 335
+rect 1160 449 1194 483
+rect 1359 449 1393 483
+rect 1256 369 1290 403
+rect 1570 523 1604 557
+rect 2011 605 2045 631
+rect 2011 597 2045 605
+rect 1839 523 1873 557
+rect 1859 369 1893 403
+rect 1502 301 1536 335
+rect 1755 257 1789 261
+rect 1755 227 1789 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
+<< metal1 >>
+rect 0 1093 2090 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1954 1093
+rect 1988 1059 2090 1093
+rect 0 1049 2090 1059
+rect 35 631 93 637
+rect 1999 631 2057 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1977 597 2011 631
+rect 2045 597 2057 631
+rect 35 591 93 597
+rect 1999 591 2057 597
+rect 313 557 371 563
+rect 1558 557 1616 563
+rect 1827 557 1885 563
+rect 313 523 325 557
+rect 359 523 1570 557
+rect 1604 523 1616 557
+rect 1804 523 1839 557
+rect 1873 523 1885 557
+rect 313 517 371 523
+rect 1558 517 1616 523
+rect 1827 517 1885 523
+rect 702 483 760 489
+rect 1148 483 1206 489
+rect 1347 483 1405 489
+rect 702 449 714 483
+rect 748 449 1160 483
+rect 1194 449 1359 483
+rect 1393 449 1405 483
+rect 702 443 760 449
+rect 1148 443 1206 449
+rect 1347 443 1405 449
+rect 630 409 688 415
+rect 630 375 642 409
+rect 676 375 710 409
+rect 1244 403 1302 409
+rect 1847 403 1905 409
+rect 630 369 688 375
+rect 1244 369 1256 403
+rect 1290 369 1859 403
+rect 1893 369 1905 403
+rect 1244 363 1302 369
+rect 1847 363 1905 369
+rect 313 335 371 341
+rect 898 335 956 341
+rect 313 301 325 335
+rect 359 301 910 335
+rect 944 301 956 335
+rect 313 295 371 301
+rect 898 295 956 301
+rect 984 335 1042 341
+rect 1490 335 1548 341
+rect 984 301 996 335
+rect 1030 301 1502 335
+rect 1536 301 1548 335
+rect 984 295 1042 301
+rect 1490 295 1548 301
+rect 217 261 275 267
+rect 1743 261 1801 267
+rect 217 227 229 261
+rect 263 227 1755 261
+rect 1789 227 1801 261
+rect 217 221 275 227
+rect 1743 221 1801 227
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
+<< labels >>
+rlabel viali 659 392 659 392 1 D
+port 1 n
+rlabel viali 1376 466 1376 466 1 CK
+port 2 n
+rlabel viali 1857 540 1857 540 1 QN
+port 3 n
+rlabel viali 1587 540 1587 540 1 SN
+port 4 n
+rlabel viali 65 614 65 614 1 RN
+port 5 n
+rlabel viali 2028 614 2028 614 1 Q
+port 6 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__dffsr_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__dffsr_l.mag
new file mode 100644
index 0000000..8fcb91e
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__dffsr_l.mag
@@ -0,0 +1,899 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3350 2379
+<< nwell >>
+rect -10 529 2090 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 272 115 302 219
+rect 370 115 400 263
+rect 442 115 472 263
+rect 632 115 662 263
+rect 704 115 734 263
+rect 824 115 854 263
+rect 896 115 926 263
+rect 982 115 1012 263
+rect 1054 115 1084 263
+rect 1174 115 1204 263
+rect 1246 115 1276 263
+rect 1332 115 1362 263
+rect 1522 115 1552 263
+rect 1594 115 1624 263
+rect 1692 115 1722 219
+rect 1884 115 1914 219
+rect 1970 115 2000 219
+<< pmos >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+rect 442 565 472 965
+rect 632 565 662 965
+rect 704 565 734 965
+rect 824 565 854 965
+rect 896 565 926 965
+rect 982 565 1012 965
+rect 1054 565 1084 965
+rect 1174 565 1204 965
+rect 1246 565 1276 965
+rect 1332 565 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+rect 1694 565 1724 965
+rect 1884 713 1914 965
+rect 1970 713 2000 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 317 219 370 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 219 165 272 219
+rect 219 131 227 165
+rect 261 131 272 165
+rect 219 115 272 131
+rect 302 215 370 219
+rect 302 131 325 215
+rect 359 131 370 215
+rect 302 115 370 131
+rect 400 115 442 263
+rect 472 215 525 263
+rect 472 131 483 215
+rect 517 131 525 215
+rect 472 115 525 131
+rect 579 215 632 263
+rect 579 131 587 215
+rect 621 131 632 215
+rect 579 115 632 131
+rect 662 115 704 263
+rect 734 215 824 263
+rect 734 131 745 215
+rect 813 131 824 215
+rect 734 115 824 131
+rect 854 115 896 263
+rect 926 165 982 263
+rect 926 131 937 165
+rect 971 131 982 165
+rect 926 115 982 131
+rect 1012 115 1054 263
+rect 1084 215 1174 263
+rect 1084 131 1095 215
+rect 1163 131 1174 215
+rect 1084 115 1174 131
+rect 1204 115 1246 263
+rect 1276 215 1332 263
+rect 1276 131 1287 215
+rect 1321 131 1332 215
+rect 1276 115 1332 131
+rect 1362 215 1415 263
+rect 1362 131 1373 215
+rect 1407 131 1415 215
+rect 1362 115 1415 131
+rect 1469 215 1522 263
+rect 1469 131 1477 215
+rect 1511 131 1522 215
+rect 1469 115 1522 131
+rect 1552 115 1594 263
+rect 1624 219 1677 263
+rect 1624 215 1692 219
+rect 1624 131 1635 215
+rect 1669 131 1692 215
+rect 1624 115 1692 131
+rect 1722 165 1775 219
+rect 1722 131 1733 165
+rect 1767 131 1775 165
+rect 1722 115 1775 131
+rect 1831 165 1884 219
+rect 1831 131 1839 165
+rect 1873 131 1884 165
+rect 1831 115 1884 131
+rect 1914 165 1970 219
+rect 1914 131 1925 165
+rect 1959 131 1970 165
+rect 1914 115 1970 131
+rect 2000 165 2053 219
+rect 2000 131 2011 165
+rect 2045 131 2053 165
+rect 2000 115 2053 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 673 225 949
+rect 259 673 270 949
+rect 217 565 270 673
+rect 300 949 356 965
+rect 300 673 311 949
+rect 345 673 356 949
+rect 300 565 356 673
+rect 386 949 442 965
+rect 386 741 397 949
+rect 431 741 442 949
+rect 386 565 442 741
+rect 472 949 525 965
+rect 472 673 483 949
+rect 517 673 525 949
+rect 472 565 525 673
+rect 579 949 632 965
+rect 579 673 587 949
+rect 621 673 632 949
+rect 579 565 632 673
+rect 662 565 704 965
+rect 734 949 824 965
+rect 734 605 745 949
+rect 813 605 824 949
+rect 734 565 824 605
+rect 854 565 896 965
+rect 926 949 982 965
+rect 926 673 937 949
+rect 971 673 982 949
+rect 926 565 982 673
+rect 1012 565 1054 965
+rect 1084 949 1174 965
+rect 1084 673 1095 949
+rect 1163 673 1174 949
+rect 1084 565 1174 673
+rect 1204 565 1246 965
+rect 1276 949 1332 965
+rect 1276 605 1287 949
+rect 1321 605 1332 949
+rect 1276 565 1332 605
+rect 1362 949 1415 965
+rect 1362 605 1373 949
+rect 1407 605 1415 949
+rect 1362 565 1415 605
+rect 1469 949 1522 965
+rect 1469 673 1477 949
+rect 1511 673 1522 949
+rect 1469 565 1522 673
+rect 1552 949 1608 965
+rect 1552 741 1563 949
+rect 1597 741 1608 949
+rect 1552 565 1608 741
+rect 1638 949 1694 965
+rect 1638 673 1649 949
+rect 1683 673 1694 949
+rect 1638 565 1694 673
+rect 1724 949 1777 965
+rect 1724 673 1735 949
+rect 1769 673 1777 949
+rect 1831 949 1884 965
+rect 1831 809 1839 949
+rect 1873 809 1884 949
+rect 1831 713 1884 809
+rect 1914 949 1970 965
+rect 1914 809 1925 949
+rect 1959 809 1970 949
+rect 1914 713 1970 809
+rect 2000 949 2053 965
+rect 2000 809 2011 949
+rect 2045 809 2053 949
+rect 2000 713 2053 809
+rect 1724 565 1777 673
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 227 131 261 165
+rect 325 131 359 215
+rect 483 131 517 215
+rect 587 131 621 215
+rect 745 131 813 215
+rect 937 131 971 165
+rect 1095 131 1163 215
+rect 1287 131 1321 215
+rect 1373 131 1407 215
+rect 1477 131 1511 215
+rect 1635 131 1669 215
+rect 1733 131 1767 165
+rect 1839 131 1873 165
+rect 1925 131 1959 165
+rect 2011 131 2045 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 673 259 949
+rect 311 673 345 949
+rect 397 741 431 949
+rect 483 673 517 949
+rect 587 673 621 949
+rect 745 605 813 949
+rect 937 673 971 949
+rect 1095 673 1163 949
+rect 1287 605 1321 949
+rect 1373 605 1407 949
+rect 1477 673 1511 949
+rect 1563 741 1597 949
+rect 1649 673 1683 949
+rect 1735 673 1769 949
+rect 1839 809 1873 949
+rect 1925 809 1959 949
+rect 2011 809 2045 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+rect 1930 27 1954 61
+rect 1988 27 2012 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+rect 1930 1049 1954 1083
+rect 1988 1049 2012 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+rect 1954 27 1988 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+rect 1954 1049 1988 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 356 965 386 991
+rect 442 965 472 991
+rect 632 965 662 991
+rect 704 965 734 991
+rect 824 965 854 991
+rect 896 965 926 991
+rect 982 965 1012 991
+rect 1054 965 1084 991
+rect 1174 965 1204 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 1694 965 1724 991
+rect 1884 965 1914 991
+rect 1970 965 2000 991
+rect 80 442 110 565
+rect 270 527 300 565
+rect 243 497 300 527
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 243 307 273 497
+rect 356 455 386 565
+rect 442 534 472 565
+rect 432 518 486 534
+rect 432 484 442 518
+rect 476 484 486 518
+rect 432 468 486 484
+rect 315 439 386 455
+rect 315 405 325 439
+rect 359 405 386 439
+rect 315 389 386 405
+rect 219 291 273 307
+rect 356 333 386 389
+rect 356 303 400 333
+rect 219 257 229 291
+rect 263 271 273 291
+rect 263 257 302 271
+rect 370 263 400 303
+rect 442 263 472 468
+rect 632 425 662 565
+rect 704 534 734 565
+rect 704 518 758 534
+rect 704 484 714 518
+rect 748 484 758 518
+rect 704 468 758 484
+rect 632 409 686 425
+rect 824 423 854 565
+rect 896 528 926 565
+rect 982 528 1012 565
+rect 896 518 1012 528
+rect 896 484 928 518
+rect 962 484 1012 518
+rect 896 474 1012 484
+rect 1054 423 1084 565
+rect 1174 534 1204 565
+rect 1150 518 1204 534
+rect 1150 484 1160 518
+rect 1194 484 1204 518
+rect 1150 468 1204 484
+rect 632 375 642 409
+rect 676 375 686 409
+rect 632 359 686 375
+rect 728 393 1180 423
+rect 632 263 662 359
+rect 728 315 758 393
+rect 1150 351 1180 393
+rect 1246 419 1276 565
+rect 1332 534 1362 565
+rect 1332 518 1403 534
+rect 1522 528 1552 565
+rect 1332 504 1359 518
+rect 1343 484 1359 504
+rect 1393 484 1403 518
+rect 1343 468 1403 484
+rect 1486 518 1552 528
+rect 1486 484 1502 518
+rect 1536 484 1552 518
+rect 1486 474 1552 484
+rect 1246 403 1300 419
+rect 1246 369 1256 403
+rect 1290 369 1300 403
+rect 1246 353 1300 369
+rect 704 285 758 315
+rect 800 335 854 351
+rect 800 301 810 335
+rect 844 301 854 335
+rect 800 285 854 301
+rect 704 263 734 285
+rect 824 263 854 285
+rect 896 335 1012 345
+rect 896 301 928 335
+rect 962 301 1012 335
+rect 896 291 1012 301
+rect 896 263 926 291
+rect 982 263 1012 291
+rect 1054 335 1108 351
+rect 1054 301 1064 335
+rect 1098 301 1108 335
+rect 1054 285 1108 301
+rect 1150 335 1204 351
+rect 1150 301 1160 335
+rect 1194 301 1204 335
+rect 1150 285 1204 301
+rect 1054 263 1084 285
+rect 1174 263 1204 285
+rect 1246 263 1276 353
+rect 1343 315 1373 468
+rect 1332 285 1373 315
+rect 1486 318 1516 474
+rect 1608 432 1638 565
+rect 1694 527 1724 565
+rect 1884 549 1914 713
+rect 1694 497 1775 527
+rect 1576 416 1638 432
+rect 1576 382 1588 416
+rect 1622 382 1638 416
+rect 1576 366 1638 382
+rect 1486 286 1552 318
+rect 1332 263 1362 285
+rect 1522 263 1552 286
+rect 1594 263 1624 366
+rect 1745 307 1775 497
+rect 1874 519 1914 549
+rect 1874 419 1904 519
+rect 1970 460 2000 713
+rect 1849 403 1904 419
+rect 1849 369 1859 403
+rect 1893 369 1904 403
+rect 1946 444 2000 460
+rect 1946 410 1956 444
+rect 1990 410 2000 444
+rect 1946 394 2000 410
+rect 1849 353 1904 369
+rect 1874 308 1904 353
+rect 1745 291 1799 307
+rect 1745 271 1755 291
+rect 219 241 302 257
+rect 272 219 302 241
+rect 1692 257 1755 271
+rect 1789 257 1799 291
+rect 1874 278 1914 308
+rect 1692 241 1799 257
+rect 1692 219 1722 241
+rect 1884 219 1914 278
+rect 1970 219 2000 394
+rect 80 89 110 115
+rect 272 89 302 115
+rect 370 89 400 115
+rect 442 89 472 115
+rect 632 89 662 115
+rect 704 89 734 115
+rect 824 89 854 115
+rect 896 89 926 115
+rect 982 89 1012 115
+rect 1054 89 1084 115
+rect 1174 89 1204 115
+rect 1246 89 1276 115
+rect 1332 89 1362 115
+rect 1522 89 1552 115
+rect 1594 89 1624 115
+rect 1692 89 1722 115
+rect 1884 89 1914 115
+rect 1970 89 2000 115
+<< polycont >>
+rect 89 392 123 426
+rect 442 484 476 518
+rect 325 405 359 439
+rect 229 257 263 291
+rect 714 484 748 518
+rect 928 484 962 518
+rect 1160 484 1194 518
+rect 642 375 676 409
+rect 1359 484 1393 518
+rect 1502 484 1536 518
+rect 1256 369 1290 403
+rect 810 301 844 335
+rect 928 301 962 335
+rect 1064 301 1098 335
+rect 1160 301 1194 335
+rect 1588 382 1622 416
+rect 1859 369 1893 403
+rect 1956 410 1990 444
+rect 1755 257 1789 291
+<< locali >>
+rect 0 1093 2090 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1954 1093
+rect 1988 1049 2090 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 369 259 673
+rect 311 949 345 965
+rect 397 949 431 1049
+rect 397 725 431 741
+rect 483 949 517 965
+rect 345 673 483 691
+rect 311 657 517 673
+rect 587 949 621 1049
+rect 587 657 621 673
+rect 745 949 813 965
+rect 937 949 971 1049
+rect 937 657 971 673
+rect 1095 949 1163 965
+rect 813 605 816 623
+rect 745 602 816 605
+rect 1095 602 1163 673
+rect 442 568 816 602
+rect 996 568 1163 602
+rect 1287 949 1321 1049
+rect 1287 589 1321 605
+rect 1373 949 1407 965
+rect 1477 949 1511 965
+rect 1563 949 1597 1049
+rect 1563 725 1597 741
+rect 1649 949 1683 965
+rect 1511 673 1649 691
+rect 1477 657 1683 673
+rect 1735 949 1769 965
+rect 1373 602 1407 605
+rect 1373 568 1461 602
+rect 325 439 359 523
+rect 442 518 476 568
+rect 309 405 325 439
+rect 359 405 375 439
+rect 225 335 359 369
+rect 121 257 229 291
+rect 263 257 279 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 325 215 359 301
+rect 442 318 476 484
+rect 714 518 748 534
+rect 714 483 748 484
+rect 928 518 962 534
+rect 748 449 844 483
+rect 642 409 676 425
+rect 642 359 676 375
+rect 810 335 844 449
+rect 928 335 962 484
+rect 442 284 776 318
+rect 810 285 844 301
+rect 928 285 962 301
+rect 996 335 1030 568
+rect 1160 518 1194 534
+rect 1160 483 1194 484
+rect 742 231 776 284
+rect 996 251 1030 301
+rect 1064 449 1160 483
+rect 1359 518 1393 534
+rect 1359 483 1393 484
+rect 1064 335 1098 449
+rect 1427 403 1461 568
+rect 1240 369 1256 403
+rect 1290 369 1306 403
+rect 1373 369 1461 403
+rect 1502 518 1536 534
+rect 1373 335 1407 369
+rect 1144 301 1160 335
+rect 1194 301 1407 335
+rect 1502 335 1536 484
+rect 1570 432 1604 523
+rect 1570 416 1638 432
+rect 1570 382 1588 416
+rect 1622 382 1638 416
+rect 1735 403 1769 673
+rect 1839 949 1873 965
+rect 1839 557 1873 809
+rect 1925 949 1959 1049
+rect 1925 793 1959 809
+rect 2011 949 2045 965
+rect 2011 631 2045 809
+rect 2044 614 2045 631
+rect 2044 597 2068 614
+rect 2011 580 2068 597
+rect 1839 518 1873 523
+rect 1839 484 1990 518
+rect 1956 444 1990 484
+rect 1672 369 1859 403
+rect 1893 369 1909 403
+rect 1672 347 1706 369
+rect 1635 313 1706 347
+rect 1956 335 1990 410
+rect 1064 285 1098 301
+rect 121 115 155 131
+rect 227 165 261 181
+rect 227 61 261 131
+rect 325 115 359 131
+rect 483 215 517 231
+rect 483 61 517 131
+rect 587 215 621 231
+rect 742 215 813 231
+rect 996 217 1163 251
+rect 742 197 745 215
+rect 587 61 621 131
+rect 1095 215 1163 217
+rect 745 115 813 131
+rect 937 165 971 181
+rect 937 61 971 131
+rect 1095 115 1163 131
+rect 1287 215 1321 231
+rect 1287 61 1321 131
+rect 1373 215 1407 301
+rect 1373 115 1407 131
+rect 1477 215 1511 231
+rect 1477 61 1511 131
+rect 1635 215 1669 313
+rect 1839 301 1990 335
+rect 1739 257 1755 291
+rect 1789 257 1805 291
+rect 1635 115 1669 131
+rect 1733 165 1767 181
+rect 1733 61 1767 131
+rect 1839 165 1873 301
+rect 2034 268 2068 580
+rect 2011 234 2068 268
+rect 1839 115 1873 131
+rect 1925 165 1959 181
+rect 1925 61 1959 131
+rect 2011 165 2045 234
+rect 2011 115 2045 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 1954 1083 1988 1093
+rect 1954 1059 1988 1083
+rect 47 597 81 631
+rect 325 523 359 557
+rect 325 301 359 335
+rect 229 257 263 261
+rect 229 227 263 257
+rect 714 449 748 483
+rect 642 375 676 409
+rect 910 301 928 335
+rect 928 301 944 335
+rect 996 301 1030 335
+rect 1160 449 1194 483
+rect 1359 449 1393 483
+rect 1256 369 1290 403
+rect 1570 523 1604 557
+rect 2010 597 2044 631
+rect 1839 523 1873 557
+rect 1859 369 1893 403
+rect 1502 301 1536 335
+rect 1755 257 1789 261
+rect 1755 227 1789 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
+<< metal1 >>
+rect 0 1093 2090 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1954 1093
+rect 1988 1059 2090 1093
+rect 0 1049 2090 1059
+rect 35 631 93 637
+rect 1998 631 2056 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1976 597 2010 631
+rect 2044 597 2056 631
+rect 35 591 93 597
+rect 1998 591 2056 597
+rect 313 557 371 563
+rect 1558 557 1616 563
+rect 1827 557 1885 563
+rect 313 523 325 557
+rect 359 523 1570 557
+rect 1604 523 1616 557
+rect 1804 523 1839 557
+rect 1873 523 1885 557
+rect 313 517 371 523
+rect 1558 517 1616 523
+rect 1827 517 1885 523
+rect 702 483 760 489
+rect 1148 483 1206 489
+rect 1347 483 1405 489
+rect 702 449 714 483
+rect 748 449 1160 483
+rect 1194 449 1359 483
+rect 1393 449 1405 483
+rect 702 443 760 449
+rect 1148 443 1206 449
+rect 1347 443 1405 449
+rect 630 409 688 415
+rect 630 375 642 409
+rect 676 375 710 409
+rect 1244 403 1302 409
+rect 1847 403 1905 409
+rect 630 369 688 375
+rect 1244 369 1256 403
+rect 1290 369 1859 403
+rect 1893 369 1905 403
+rect 1244 363 1302 369
+rect 1847 363 1905 369
+rect 313 335 371 341
+rect 898 335 956 341
+rect 313 301 325 335
+rect 359 301 910 335
+rect 944 301 956 335
+rect 313 295 371 301
+rect 898 295 956 301
+rect 984 335 1042 341
+rect 1490 335 1548 341
+rect 984 301 996 335
+rect 1030 301 1502 335
+rect 1536 301 1548 335
+rect 984 295 1042 301
+rect 1490 295 1548 301
+rect 217 261 275 267
+rect 1743 261 1801 267
+rect 217 227 229 261
+rect 263 227 1755 261
+rect 1789 227 1801 261
+rect 217 221 275 227
+rect 1743 221 1801 227
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
+<< labels >>
+rlabel viali 659 392 659 392 1 D
+port 1 n
+rlabel viali 1376 466 1376 466 1 CK
+port 2 n
+rlabel viali 1857 540 1857 540 1 QN
+port 3 n
+rlabel viali 2027 614 2027 614 1 Q
+port 4 n
+rlabel viali 1587 540 1587 540 1 SN
+port 5 n
+rlabel viali 65 614 65 614 1 RN
+port 6 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__fill_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_1.mag
new file mode 100644
index 0000000..8d445b8
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_1.mag
@@ -0,0 +1,24 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1274 2461 1301 2601
+rect -1760 -1129 6260 2461
+rect -1274 -1260 1301 -1129
+<< error_p >>
+rect 0 1271 34 1332
+rect 41 581 154 1341
+rect 0 0 34 61
+<< nwell >>
+rect -14 529 41 1119
+<< locali >>
+rect 0 1049 22 1110
+rect 0 0 22 61
+<< metal1 >>
+rect 0 1049 22 1110
+rect 0 0 22 61
+<< labels >>
+rlabel metal1 11 28 11 28 1 gnd
+rlabel metal1 11 1077 11 1077 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__fill_16.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_16.mag
new file mode 100644
index 0000000..83c32da
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_16.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1269 2461 1615 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1615 -1129
+<< nwell >>
+rect -9 529 355 1119
+<< locali >>
+rect 0 1049 352 1110
+rect 0 0 352 61
+<< metal1 >>
+rect 0 1049 352 1110
+rect 0 0 352 61
+<< labels >>
+rlabel metal1 196 30 196 30 1 gnd
+rlabel metal1 199 1078 199 1078 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__fill_2.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_2.mag
new file mode 100644
index 0000000..bde58ab
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_2.mag
@@ -0,0 +1,24 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1267 2461 1310 2601
+rect -1760 -1129 6260 2461
+rect -1267 -1260 1310 -1129
+<< error_p >>
+rect 0 1271 44 1332
+rect 50 581 161 1341
+rect 0 0 44 61
+<< nwell >>
+rect -7 529 50 1119
+<< locali >>
+rect 0 1049 44 1110
+rect 0 0 44 61
+<< metal1 >>
+rect 0 1049 44 1110
+rect 0 0 44 61
+<< labels >>
+rlabel metal1 23 28 23 28 1 gnd
+rlabel metal1 22 1078 22 1078 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__fill_32.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_32.mag
new file mode 100644
index 0000000..4cf67de
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_32.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1967 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1967 -1129
+<< nwell >>
+rect -9 529 707 1119
+<< locali >>
+rect 0 1049 704 1110
+rect 0 0 704 61
+<< metal1 >>
+rect 0 1049 704 1110
+rect 0 0 704 61
+<< labels >>
+rlabel metal1 363 26 363 26 1 gnd
+rlabel metal1 374 1076 374 1076 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__fill_4.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_4.mag
new file mode 100644
index 0000000..8b2fb75
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_4.mag
@@ -0,0 +1,22 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1356 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1356 -1129
+<< error_p >>
+rect 96 581 159 1341
+<< nwell >>
+rect -9 529 96 1119
+<< locali >>
+rect 0 1049 88 1110
+rect 0 0 88 61
+<< metal1 >>
+rect 0 1049 88 1110
+rect 0 0 88 61
+<< labels >>
+rlabel metal1 71 28 71 28 1 gnd
+rlabel metal1 72 1079 72 1079 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__fill_8.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_8.mag
new file mode 100644
index 0000000..2d49aaa
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__fill_8.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1439 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1439 -1129
+<< nwell >>
+rect -9 529 179 1119
+<< locali >>
+rect 0 1049 176 1110
+rect 0 0 176 61
+<< metal1 >>
+rect 0 1049 176 1110
+rect 0 0 176 61
+<< labels >>
+rlabel metal1 112 28 112 28 1 gnd
+rlabel metal1 111 1081 111 1081 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__inv_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_1.mag
new file mode 100644
index 0000000..a6305de
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_1.mag
@@ -0,0 +1,115 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1459 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1459 -1129
+<< nwell >>
+rect -9 529 199 1119
+<< nmoslvt >>
+rect 80 115 110 263
+<< pmos >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 442 110 565
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 263 110 376
+rect 80 89 110 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel metal1 151 389 151 389 1 Y
+port 1 n
+rlabel viali 64 614 64 614 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__inv_10.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_10.mag
new file mode 100644
index 0000000..c678cba
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_10.mag
@@ -0,0 +1,420 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 2250 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2250 -1129
+<< nwell >>
+rect -9 529 990 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 854 263
+rect 798 131 809 215
+rect 843 131 854 215
+rect 798 115 854 131
+rect 884 215 937 263
+rect 884 131 895 215
+rect 929 131 937 215
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 854 965
+rect 798 605 809 949
+rect 843 605 854 949
+rect 798 565 854 605
+rect 884 949 937 965
+rect 884 605 895 949
+rect 929 605 937 949
+rect 884 565 937 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+rect 895 131 929 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+rect 895 605 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 80 510 884 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 884 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 596 263 626 288
+rect 682 263 712 288
+rect 768 263 798 288
+rect 854 263 884 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 557 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 809 949 843 965
+rect 809 557 843 605
+rect 895 949 929 1049
+rect 895 589 929 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 809 215 843 227
+rect 809 115 843 131
+rect 895 215 929 231
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 637 523 671 557
+rect 809 523 843 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 809 227 843 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 625 557 683 563
+rect 797 557 855 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 637 557
+rect 671 523 809 557
+rect 843 523 855 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 625 517 683 523
+rect 797 517 855 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 637 267 671 517
+rect 809 267 843 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 809 261
+rect 843 227 855 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__inv_2.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_2.mag
new file mode 100644
index 0000000..8fcbcb3
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_2.mag
@@ -0,0 +1,153 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1547 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1547 -1129
+<< nwell >>
+rect -9 529 287 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 249 263
+rect 196 131 207 215
+rect 241 131 249 215
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 249 965
+rect 196 605 207 949
+rect 241 605 249 949
+rect 196 565 249 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 992
+rect 80 540 110 565
+rect 166 540 196 565
+rect 80 510 196 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 196 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__inv_3.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_3.mag
new file mode 100644
index 0000000..98ea9e3
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_3.mag
@@ -0,0 +1,182 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 80 510 282 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 282 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 339 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 339 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__inv_4.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_4.mag
new file mode 100644
index 0000000..3445774
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_4.mag
@@ -0,0 +1,216 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1723 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1723 -1129
+<< nwell >>
+rect -9 529 463 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 421 263
+rect 368 131 379 215
+rect 413 131 421 215
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 421 965
+rect 368 605 379 949
+rect 413 605 421 949
+rect 368 565 421 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 80 510 368 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 368 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 339 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 339 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 64 613 64 613 1 A
+port 1 n
+rlabel metal1 152 388 152 388 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__inv_6.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_6.mag
new file mode 100644
index 0000000..b7d0ba7
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_6.mag
@@ -0,0 +1,280 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1899 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1899 -1129
+<< nwell >>
+rect -9 529 639 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 593 263
+rect 540 131 551 215
+rect 585 131 593 215
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 593 965
+rect 540 605 551 949
+rect 585 605 593 949
+rect 540 565 593 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 80 510 540 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 540 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 511 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 64 613 64 613 1 A
+port 1 n
+rlabel metal1 152 388 152 388 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__inv_8.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_8.mag
new file mode 100644
index 0000000..2f7dc6f
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_8.mag
@@ -0,0 +1,343 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 2074 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2074 -1129
+<< nwell >>
+rect -9 529 814 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 765 263
+rect 712 131 723 215
+rect 757 131 765 215
+rect 712 115 765 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 765 965
+rect 712 605 723 949
+rect 757 605 765 949
+rect 712 565 765 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 80 510 712 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 712 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 596 263 626 288
+rect 682 263 712 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 814 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 814 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 557 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 814 61
+rect 0 0 814 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 637 523 671 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+<< metal1 >>
+rect 0 1093 814 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 814 1093
+rect 0 1049 814 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 625 557 683 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 637 557
+rect 671 523 683 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 625 517 683 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 637 267 671 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 0 51 814 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 814 51
+rect 0 0 814 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__inv_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_l.mag
new file mode 100644
index 0000000..b06fc97
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__inv_l.mag
@@ -0,0 +1,113 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmoslvt >>
+rect 80 115 110 219
+<< pmos >>
+rect 80 713 110 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 163 219
+rect 110 131 121 165
+rect 155 131 163 165
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 163 965
+rect 110 877 121 949
+rect 155 877 163 949
+rect 110 713 163 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 442 110 713
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 219 110 376
+rect 80 89 110 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 877
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 227
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 614 64 614 1 A
+port 1 n
+rlabel metal1 151 389 151 389 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__mux2_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__mux2_1.mag
new file mode 100644
index 0000000..a1aed2c
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__mux2_1.mag
@@ -0,0 +1,227 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 1813 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1813 -1129
+<< nwell >>
+rect -9 529 553 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 270 115 300 263
+rect 356 115 386 263
+<< pmos >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 215 270 263
+rect 217 131 225 215
+rect 259 131 270 215
+rect 217 115 270 131
+rect 300 215 356 263
+rect 300 131 311 215
+rect 345 131 356 215
+rect 300 115 356 131
+rect 386 215 439 263
+rect 386 131 397 215
+rect 431 131 439 215
+rect 386 115 439 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 605 225 949
+rect 259 605 270 949
+rect 217 565 270 605
+rect 300 949 356 965
+rect 300 605 311 949
+rect 345 605 356 949
+rect 300 565 356 605
+rect 386 949 439 965
+rect 386 605 397 949
+rect 431 605 439 949
+rect 386 565 439 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 215
+rect 311 131 345 215
+rect 397 131 431 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 605 259 949
+rect 311 605 345 949
+rect 397 605 431 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 459 1049 493 1083
+<< poly >>
+rect 80 980 300 1010
+rect 80 965 110 980
+rect 270 965 300 980
+rect 356 965 386 991
+rect 80 442 110 565
+rect 270 539 300 565
+rect 152 503 218 513
+rect 152 469 168 503
+rect 202 489 218 503
+rect 356 489 386 565
+rect 202 469 386 489
+rect 152 459 386 469
+rect 27 426 110 442
+rect 27 392 37 426
+rect 71 417 110 426
+rect 71 392 386 417
+rect 27 387 386 392
+rect 27 376 110 387
+rect 80 263 110 376
+rect 152 335 218 345
+rect 152 301 168 335
+rect 202 321 218 335
+rect 202 301 300 321
+rect 152 291 300 301
+rect 270 263 300 291
+rect 356 263 386 387
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+<< polycont >>
+rect 168 469 202 503
+rect 37 392 71 426
+rect 168 301 202 335
+<< locali >>
+rect 0 1093 550 1110
+rect 0 1049 459 1093
+rect 493 1049 550 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 37 426 71 597
+rect 37 376 71 392
+rect 121 519 155 605
+rect 225 949 259 965
+rect 311 949 345 965
+rect 225 571 270 605
+rect 236 557 270 571
+rect 121 503 202 519
+rect 121 469 168 503
+rect 121 453 202 469
+rect 121 351 155 453
+rect 121 335 202 351
+rect 121 301 168 335
+rect 121 285 202 301
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 285
+rect 236 249 270 523
+rect 311 409 345 605
+rect 397 949 431 965
+rect 397 483 431 605
+rect 121 115 155 131
+rect 225 215 270 249
+rect 311 215 345 227
+rect 225 115 259 131
+rect 311 115 345 131
+rect 397 215 431 449
+rect 397 115 431 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 597 71 631
+rect 236 523 270 557
+rect 311 375 345 409
+rect 397 449 431 483
+rect 311 227 345 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 550 1110
+rect 0 1059 459 1093
+rect 493 1059 550 1093
+rect 0 1049 550 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 117 631
+rect 25 591 83 597
+rect 224 557 282 563
+rect 190 523 236 557
+rect 270 523 282 557
+rect 224 517 282 523
+rect 385 483 443 489
+rect 351 449 397 483
+rect 431 449 443 483
+rect 385 443 443 449
+rect 299 409 357 415
+rect 299 375 311 409
+rect 345 375 357 409
+rect 299 369 357 375
+rect 311 267 345 369
+rect 299 261 357 267
+rect 299 227 311 261
+rect 345 227 357 261
+rect 299 221 357 227
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel viali 54 614 54 614 1 S0
+port 1 n
+rlabel viali 328 392 328 392 1 Y
+port 2 n
+rlabel viali 253 540 253 540 1 A0
+port 3 n
+rlabel viali 414 466 414 466 1 A1
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 476 1066 476 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__nand2_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__nand2_1.mag
new file mode 100644
index 0000000..00743d1
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__nand2_1.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 1546 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1546 -1129
+<< nwell >>
+rect -9 529 286 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 215 235 263
+rect 182 131 193 215
+rect 227 131 235 215
+rect 182 115 235 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 249 965
+rect 196 673 207 949
+rect 241 673 249 949
+rect 196 565 249 673
+<< ndiffc >>
+rect 35 131 69 215
+rect 193 131 227 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 673 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 518 110 565
+rect 37 502 110 518
+rect 37 468 47 502
+rect 81 468 110 502
+rect 37 452 110 468
+rect 80 263 110 452
+rect 166 425 196 565
+rect 152 409 210 425
+rect 152 375 166 409
+rect 200 375 210 409
+rect 152 359 210 375
+rect 152 263 182 359
+rect 80 89 110 115
+rect 152 89 182 115
+<< polycont >>
+rect 47 468 81 502
+rect 166 375 200 409
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 502 81 597
+rect 47 452 81 468
+rect 207 949 241 1049
+rect 207 657 241 673
+rect 121 483 155 605
+rect 195 409 229 523
+rect 150 375 166 409
+rect 200 375 229 409
+rect 35 215 69 227
+rect 35 115 69 131
+rect 193 215 227 231
+rect 193 61 227 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 449 155 483
+rect 195 523 229 557
+rect 35 227 69 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 115 631
+rect 35 591 93 597
+rect 183 557 241 563
+rect 161 523 195 557
+rect 229 523 241 557
+rect 183 517 241 523
+rect 109 483 167 489
+rect 109 449 121 483
+rect 155 449 167 483
+rect 109 443 167 449
+rect 23 261 81 267
+rect 121 261 155 443
+rect 23 227 35 261
+rect 69 227 155 261
+rect 23 221 81 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 136 418 136 418 1 Y
+port 1 n
+rlabel viali 64 614 64 614 1 A
+port 2 n
+rlabel viali 212 540 212 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__nand2_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__nand2_l.mag
new file mode 100644
index 0000000..a6655e7
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__nand2_l.mag
@@ -0,0 +1,155 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 -242 1546 2379
+<< nwell >>
+rect -9 529 286 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 152 115 182 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 518 110 713
+rect 37 502 110 518
+rect 37 468 47 502
+rect 81 468 110 502
+rect 37 452 110 468
+rect 80 219 110 452
+rect 166 368 196 713
+rect 152 352 210 368
+rect 152 318 166 352
+rect 200 318 210 352
+rect 152 302 210 318
+rect 152 219 182 302
+rect 80 89 110 115
+rect 152 89 182 115
+<< polycont >>
+rect 47 468 81 502
+rect 166 318 200 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 502 81 597
+rect 47 452 81 468
+rect 121 483 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 195 352 229 523
+rect 150 318 166 352
+rect 200 318 229 352
+rect 35 165 69 227
+rect 35 115 69 131
+rect 193 165 227 181
+rect 193 61 227 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 449 155 483
+rect 195 523 229 557
+rect 35 227 69 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 115 631
+rect 35 591 93 597
+rect 183 557 241 563
+rect 161 523 195 557
+rect 229 523 241 557
+rect 183 517 241 523
+rect 109 483 167 489
+rect 109 449 121 483
+rect 155 449 167 483
+rect 109 443 167 449
+rect 23 261 81 267
+rect 121 261 155 443
+rect 23 227 35 261
+rect 69 227 155 261
+rect 23 221 81 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 136 418 136 418 1 Y
+port 1 n
+rlabel viali 212 540 212 540 1 B
+port 2 n
+rlabel viali 64 614 64 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__nor2_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__nor2_1.mag
new file mode 100644
index 0000000..bc56f7a
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__nor2_1.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 2461 1546 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1546 -1129
+<< nwell >>
+rect -9 529 286 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmos >>
+rect 80 565 110 965
+rect 152 565 182 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 249 263
+rect 196 131 207 215
+rect 241 131 249 215
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 565 152 965
+rect 182 949 235 965
+rect 182 741 193 949
+rect 227 741 235 949
+rect 182 565 235 741
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 193 741 227 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 80 399 110 565
+rect 152 532 182 565
+rect 152 516 225 532
+rect 152 482 181 516
+rect 215 482 225 516
+rect 152 466 225 482
+rect 56 383 110 399
+rect 56 349 66 383
+rect 100 349 110 383
+rect 56 333 110 349
+rect 80 263 110 333
+rect 166 263 196 466
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 181 482 215 516
+rect 66 349 100 383
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 193 949 227 1049
+rect 193 725 227 741
+rect 35 483 69 605
+rect 113 383 147 523
+rect 181 516 215 597
+rect 181 466 215 482
+rect 50 349 66 383
+rect 100 349 147 383
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 181 597 215 631
+rect 35 449 69 483
+rect 113 523 147 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 169 631 227 637
+rect 148 597 181 631
+rect 215 597 227 631
+rect 169 591 227 597
+rect 101 557 159 563
+rect 79 523 113 557
+rect 147 523 159 557
+rect 101 517 159 523
+rect 23 483 81 489
+rect 23 449 35 483
+rect 69 449 155 483
+rect 23 443 81 449
+rect 121 267 155 449
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 137 341 137 341 1 Y
+port 1 n
+rlabel viali 130 540 130 540 1 B
+port 2 n
+rlabel viali 198 614 198 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__nor2_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__nor2_l.mag
new file mode 100644
index 0000000..3355adf
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__nor2_l.mag
@@ -0,0 +1,155 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1546 2379
+<< nwell >>
+rect -9 529 286 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 166 115 196 219
+<< pmos >>
+rect 80 713 110 965
+rect 152 713 182 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 249 219
+rect 196 131 207 165
+rect 241 131 249 165
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 713 152 965
+rect 182 949 235 965
+rect 182 809 193 949
+rect 227 809 235 949
+rect 182 713 235 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 193 809 227 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 80 399 110 713
+rect 152 532 182 713
+rect 152 516 225 532
+rect 152 482 181 516
+rect 215 482 225 516
+rect 152 466 225 482
+rect 56 383 110 399
+rect 56 349 66 383
+rect 100 349 110 383
+rect 56 333 110 349
+rect 80 219 110 333
+rect 166 219 196 466
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 181 482 215 516
+rect 66 349 100 383
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 35 483 69 809
+rect 193 949 227 1049
+rect 193 793 227 809
+rect 113 383 147 523
+rect 181 516 215 597
+rect 181 466 215 482
+rect 50 349 66 383
+rect 100 349 147 383
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 227
+rect 121 115 155 131
+rect 207 165 241 181
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 181 597 215 631
+rect 35 449 69 483
+rect 113 523 147 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 169 631 227 637
+rect 148 597 181 631
+rect 215 597 227 631
+rect 169 591 227 597
+rect 101 557 159 563
+rect 79 523 113 557
+rect 147 523 159 557
+rect 101 517 159 523
+rect 23 483 81 489
+rect 23 449 35 483
+rect 69 449 155 483
+rect 23 443 81 449
+rect 121 267 155 449
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 137 341 137 341 1 Y
+port 1 n
+rlabel viali 198 614 198 614 1 A
+port 2 n
+rlabel viali 130 540 130 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__oai21_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__oai21_l.mag
new file mode 100644
index 0000000..c4d2acc
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__oai21_l.mag
@@ -0,0 +1,194 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 152 565 182 965
+rect 250 713 280 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 214 335 263
+rect 282 131 293 214
+rect 327 131 335 214
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 565 152 965
+rect 182 949 250 965
+rect 182 809 193 949
+rect 227 809 250 949
+rect 182 713 250 809
+rect 280 949 333 965
+rect 280 809 291 949
+rect 325 809 333 949
+rect 280 713 333 809
+rect 182 565 235 713
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 207 131 241 215
+rect 293 131 327 214
+<< pdiffc >>
+rect 35 741 69 949
+rect 193 809 227 949
+rect 291 809 325 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 250 965 280 991
+rect 80 533 110 565
+rect 56 517 110 533
+rect 56 483 66 517
+rect 100 483 110 517
+rect 56 467 110 483
+rect 56 318 86 467
+rect 152 419 182 565
+rect 136 409 202 419
+rect 136 375 152 409
+rect 186 375 202 409
+rect 136 365 202 375
+rect 56 288 110 318
+rect 80 263 110 288
+rect 166 263 196 365
+rect 250 357 280 713
+rect 250 341 306 357
+rect 252 307 262 341
+rect 296 307 306 341
+rect 252 291 306 307
+rect 252 263 282 291
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 66 483 100 517
+rect 152 375 186 409
+rect 262 307 296 341
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 193 949 227 1049
+rect 193 793 227 809
+rect 291 949 325 965
+rect 35 699 69 741
+rect 291 699 325 809
+rect 35 665 325 699
+rect 66 517 100 597
+rect 66 467 100 483
+rect 152 523 162 557
+rect 152 409 186 523
+rect 152 359 186 375
+rect 223 341 257 449
+rect 291 409 325 665
+rect 223 307 262 341
+rect 296 307 312 341
+rect 35 215 241 249
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 115 241 131
+rect 293 214 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 66 597 100 631
+rect 162 523 196 557
+rect 223 449 257 483
+rect 291 375 325 409
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 54 631 112 637
+rect 54 597 66 631
+rect 100 597 134 631
+rect 54 591 112 597
+rect 150 557 208 563
+rect 150 523 162 557
+rect 196 523 230 557
+rect 150 517 208 523
+rect 211 483 269 489
+rect 189 449 223 483
+rect 257 449 269 483
+rect 211 443 269 449
+rect 279 409 337 415
+rect 279 375 291 409
+rect 325 375 337 409
+rect 279 369 337 375
+rect 293 267 327 369
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 83 614 83 614 1 A0
+port 1 n
+rlabel viali 179 540 179 540 1 A1
+port 2 n
+rlabel viali 308 392 308 392 1 Y
+port 3 n
+rlabel viali 240 466 240 466 1 B0
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__oai22_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__oai22_l.mag
new file mode 100644
index 0000000..bcf7e26
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__oai22_l.mag
@@ -0,0 +1,239 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmos >>
+rect 80 565 110 965
+rect 152 565 182 965
+rect 252 565 282 965
+rect 324 565 354 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 181 293 215
+rect 327 181 338 215
+rect 282 115 338 181
+rect 368 165 421 263
+rect 368 131 379 165
+rect 413 131 421 165
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 565 152 965
+rect 182 949 252 965
+rect 182 673 200 949
+rect 234 673 252 949
+rect 182 565 252 673
+rect 282 565 324 965
+rect 354 949 407 965
+rect 354 741 365 949
+rect 399 741 407 949
+rect 354 565 407 741
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 207 131 241 215
+rect 293 181 327 215
+rect 379 131 413 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 200 673 234 949
+rect 365 741 399 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 252 965 282 991
+rect 324 965 354 991
+rect 80 533 110 565
+rect 56 517 110 533
+rect 56 483 66 517
+rect 100 483 110 517
+rect 56 467 110 483
+rect 56 318 86 467
+rect 152 419 182 565
+rect 130 409 196 419
+rect 130 375 146 409
+rect 180 375 196 409
+rect 130 365 196 375
+rect 56 288 110 318
+rect 80 263 110 288
+rect 166 263 196 365
+rect 252 361 282 565
+rect 324 540 354 565
+rect 324 510 368 540
+rect 338 426 368 510
+rect 338 410 430 426
+rect 338 376 384 410
+rect 418 376 430 410
+rect 238 345 292 361
+rect 238 311 248 345
+rect 282 311 292 345
+rect 238 295 292 311
+rect 338 360 430 376
+rect 252 263 282 295
+rect 338 263 368 360
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 66 483 100 517
+rect 146 375 180 409
+rect 384 376 418 410
+rect 248 311 282 345
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 200 949 234 965
+rect 365 949 399 1049
+rect 365 725 399 741
+rect 200 648 234 673
+rect 200 614 350 648
+rect 66 517 100 597
+rect 66 467 100 483
+rect 146 523 162 557
+rect 146 409 180 523
+rect 146 359 180 375
+rect 223 361 257 449
+rect 223 345 282 361
+rect 223 311 248 345
+rect 223 295 282 311
+rect 316 335 350 614
+rect 384 410 418 426
+rect 384 360 418 376
+rect 35 215 241 249
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 293 227 316 261
+rect 293 215 327 227
+rect 293 165 327 181
+rect 379 165 413 181
+rect 207 129 241 131
+rect 379 129 413 131
+rect 207 95 413 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 66 597 100 631
+rect 162 523 196 557
+rect 223 449 257 483
+rect 384 376 418 410
+rect 316 301 350 335
+rect 316 227 350 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 54 631 112 637
+rect 54 597 66 631
+rect 100 597 134 631
+rect 54 591 112 597
+rect 150 557 208 563
+rect 150 523 162 557
+rect 196 523 230 557
+rect 150 517 208 523
+rect 211 483 269 489
+rect 189 449 223 483
+rect 257 449 269 483
+rect 211 443 269 449
+rect 372 410 430 416
+rect 350 376 384 410
+rect 418 376 430 410
+rect 372 370 430 376
+rect 304 335 362 341
+rect 304 301 316 335
+rect 350 301 362 335
+rect 304 295 362 301
+rect 316 267 350 295
+rect 304 261 362 267
+rect 304 227 316 261
+rect 350 227 362 261
+rect 304 221 362 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 240 466 240 466 1 B0
+port 4 n
+rlabel viali 179 540 179 540 1 A1
+port 2 n
+rlabel viali 83 614 83 614 1 A0
+port 1 n
+rlabel viali 333 318 333 318 1 Y
+port 3 n
+rlabel viali 401 393 401 393 1 B1
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__or2_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_1.mag
new file mode 100644
index 0000000..e9f2134
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_1.mag
@@ -0,0 +1,188 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 2461 1634 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1634 -1129
+<< nwell >>
+rect -9 529 374 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 252 510 289 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 263 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__or2_2.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_2.mag
new file mode 100644
index 0000000..e1e52cc
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_2.mag
@@ -0,0 +1,223 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1722 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1722 -1129
+<< nwell >>
+rect -9 529 462 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 421 263
+rect 368 131 379 215
+rect 413 131 421 215
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 421 965
+rect 368 605 379 949
+rect 413 605 421 949
+rect 368 565 421 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 252 510 368 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 368 332
+rect 252 302 368 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__or2_4.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_4.mag
new file mode 100644
index 0000000..0ef160d
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_4.mag
@@ -0,0 +1,286 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1898 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1898 -1129
+<< nwell >>
+rect -9 529 638 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 593 263
+rect 540 131 551 215
+rect 585 131 593 215
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 593 965
+rect 540 605 551 949
+rect 585 605 593 949
+rect 540 565 593 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 252 510 540 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 540 332
+rect 252 302 540 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 483 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 465 449 499 483
+rect 293 227 327 261
+rect 465 227 499 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 511 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__or2_8.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_8.mag
new file mode 100644
index 0000000..28604cc
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_8.mag
@@ -0,0 +1,428 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 2250 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2250 -1129
+<< nwell >>
+rect -9 529 990 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 854 263
+rect 798 131 809 215
+rect 843 131 854 215
+rect 798 115 854 131
+rect 884 215 937 263
+rect 884 131 895 215
+rect 929 131 937 215
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 854 965
+rect 798 605 809 949
+rect 843 605 854 949
+rect 798 565 854 605
+rect 884 949 937 965
+rect 884 605 895 949
+rect 929 605 937 949
+rect 884 565 937 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+rect 895 131 929 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+rect 895 605 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 252 510 884 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 596 332 626 510
+rect 303 318 884 332
+rect 252 302 884 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 854 263 884 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 483 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 483 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 809 949 843 965
+rect 809 483 843 605
+rect 895 949 929 1049
+rect 895 589 929 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 809 215 843 227
+rect 809 115 843 131
+rect 895 215 929 231
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 809 449 843 483
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 809 227 843 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 797 483 855 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 809 483
+rect 843 449 855 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 797 443 855 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 809 267 843 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 712 227 809 261
+rect 843 227 855 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__or2_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_l.mag
new file mode 100644
index 0000000..90e21ba
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__or2_l.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 252 115 282 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 252 713 282 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 252 219
+rect 196 131 207 165
+rect 241 131 252 165
+rect 196 115 252 131
+rect 282 165 335 219
+rect 282 131 293 165
+rect 327 131 335 165
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 713 166 965
+rect 196 949 252 965
+rect 196 877 207 949
+rect 241 877 252 949
+rect 196 713 252 877
+rect 282 949 335 965
+rect 282 877 293 949
+rect 327 877 335 949
+rect 282 713 335 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+rect 293 131 327 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 207 877 241 949
+rect 293 877 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 516 110 713
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 713
+rect 252 540 282 713
+rect 252 510 289 540
+rect 80 219 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 219 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 219 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 691 69 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 293 949 327 965
+rect 35 657 139 691
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 877
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 318
+rect 121 115 155 131
+rect 207 165 241 181
+rect 207 61 241 131
+rect 293 165 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 54 540 54 540 1 B
+port 2 n
+rlabel viali 190 614 190 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__tbufi_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__tbufi_1.mag
new file mode 100644
index 0000000..520638c
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__tbufi_1.mag
@@ -0,0 +1,187 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 321 263
+rect 268 131 279 215
+rect 313 131 321 215
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 673 121 949
+rect 155 673 166 949
+rect 110 565 166 673
+rect 196 565 238 965
+rect 268 949 321 965
+rect 268 605 279 949
+rect 313 605 321 949
+rect 268 565 321 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 313 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 673 155 949
+rect 279 605 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 565
+rect 39 520 110 550
+rect 39 308 69 520
+rect 166 477 196 565
+rect 133 461 196 477
+rect 133 427 143 461
+rect 177 427 196 461
+rect 133 411 196 427
+rect 238 399 268 565
+rect 238 383 292 399
+rect 111 335 165 351
+rect 111 308 121 335
+rect 39 301 121 308
+rect 155 308 165 335
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 155 301 196 308
+rect 39 278 196 301
+rect 80 263 110 278
+rect 166 263 196 278
+rect 238 263 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 143 427 177 461
+rect 121 301 155 335
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 657 155 673
+rect 279 949 313 965
+rect 35 461 69 605
+rect 143 461 177 477
+rect 35 427 143 461
+rect 35 215 69 427
+rect 143 411 177 427
+rect 211 383 245 597
+rect 279 483 313 605
+rect 211 349 248 383
+rect 282 349 298 383
+rect 103 301 121 335
+rect 155 301 171 335
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 215 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 279 449 313 483
+rect 121 301 155 335
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 189 557
+rect 109 517 167 523
+rect 121 341 155 517
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 109 335 167 341
+rect 109 301 121 335
+rect 155 301 167 335
+rect 109 295 167 301
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel metal1 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__tbufi_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__tbufi_l.mag
new file mode 100644
index 0000000..2e77b1f
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__tbufi_l.mag
@@ -0,0 +1,185 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1635 2379
+<< nwell >>
+rect -9 529 375 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 238 115 268 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 238 713 268 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 219
+rect 268 165 321 219
+rect 268 131 279 165
+rect 313 131 321 165
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 949 166 965
+rect 110 809 121 949
+rect 155 809 166 949
+rect 110 713 166 809
+rect 196 713 238 965
+rect 268 949 321 965
+rect 268 809 279 949
+rect 313 809 321 949
+rect 268 713 321 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 279 131 313 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 121 809 155 949
+rect 279 809 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 713
+rect 39 520 110 550
+rect 39 308 69 520
+rect 166 477 196 713
+rect 133 461 196 477
+rect 133 427 143 461
+rect 177 427 196 461
+rect 133 411 196 427
+rect 238 399 268 713
+rect 238 383 292 399
+rect 111 335 165 351
+rect 111 308 121 335
+rect 39 301 121 308
+rect 155 308 165 335
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 155 301 196 308
+rect 39 278 196 301
+rect 80 219 110 278
+rect 166 219 196 278
+rect 238 219 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 143 427 177 461
+rect 121 301 155 335
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 461 69 809
+rect 121 949 155 1049
+rect 121 793 155 809
+rect 279 949 313 965
+rect 143 461 177 477
+rect 35 427 143 461
+rect 35 165 69 427
+rect 143 411 177 427
+rect 211 383 245 597
+rect 279 483 313 809
+rect 211 349 248 383
+rect 282 349 298 383
+rect 103 301 121 335
+rect 155 301 171 335
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 279 165 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 279 449 313 483
+rect 121 301 155 335
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 189 557
+rect 109 517 167 523
+rect 121 341 155 517
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 109 335 167 341
+rect 109 301 121 335
+rect 155 301 167 335
+rect 109 295 167 301
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel metal1 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__tiehi.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__tiehi.mag
new file mode 100644
index 0000000..8c7f803
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__tiehi.mag
@@ -0,0 +1,99 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmoslvt >>
+rect 80 115 110 263
+<< pmos >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 381 110 565
+rect 80 365 134 381
+rect 80 331 90 365
+rect 124 331 134 365
+rect 80 315 134 331
+rect 80 263 110 315
+rect 80 89 110 115
+<< polycont >>
+rect 90 331 124 365
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 589 69 605
+rect 121 949 155 965
+rect 121 557 155 605
+rect 74 331 90 365
+rect 124 331 155 365
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 331
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 121 523 155 557
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 94 557 167 563
+rect 94 523 121 557
+rect 155 523 167 557
+rect 94 517 167 523
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 138 540 138 540 1 Y
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__tielo.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__tielo.mag
new file mode 100644
index 0000000..8efa078
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__tielo.mag
@@ -0,0 +1,101 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 2461 1459 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1459 -1129
+<< nwell >>
+rect -9 529 199 1119
+<< nmoslvt >>
+rect 80 115 110 263
+<< pmos >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 513 110 565
+rect 80 497 134 513
+rect 80 463 90 497
+rect 124 463 134 497
+rect 80 447 134 463
+rect 80 263 110 447
+rect 80 89 110 115
+<< polycont >>
+rect 90 463 124 497
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 589 69 605
+rect 121 949 155 965
+rect 121 497 155 605
+rect 74 463 90 497
+rect 124 463 155 497
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 301
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 121 301 155 335
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 94 335 167 341
+rect 94 301 121 335
+rect 155 301 167 335
+rect 94 295 167 301
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 138 318 138 318 1 Y
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__tnbufi_1.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__tnbufi_1.mag
new file mode 100644
index 0000000..1facdb3
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__tnbufi_1.mag
@@ -0,0 +1,182 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 321 263
+rect 268 131 279 215
+rect 313 131 321 215
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 673 121 949
+rect 155 673 166 949
+rect 110 565 166 673
+rect 196 565 238 965
+rect 268 949 321 965
+rect 268 605 279 949
+rect 313 605 321 949
+rect 268 565 321 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 313 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 673 155 949
+rect 279 605 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 565
+rect 166 550 196 565
+rect 39 520 196 550
+rect 39 308 69 520
+rect 111 518 165 520
+rect 111 484 121 518
+rect 155 484 165 518
+rect 111 468 165 484
+rect 111 400 196 416
+rect 111 366 121 400
+rect 155 366 196 400
+rect 111 350 196 366
+rect 39 278 110 308
+rect 80 263 110 278
+rect 166 263 196 350
+rect 238 399 268 565
+rect 238 383 292 399
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 238 263 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 121 484 155 518
+rect 121 366 155 400
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 657 155 673
+rect 279 949 313 965
+rect 35 400 69 605
+rect 121 518 155 523
+rect 121 468 155 484
+rect 121 400 155 416
+rect 35 366 121 400
+rect 35 215 69 366
+rect 121 350 155 366
+rect 211 383 245 597
+rect 279 483 313 605
+rect 211 349 248 383
+rect 282 349 298 383
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 215 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 121 523 155 557
+rect 279 449 313 483
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 189 557
+rect 109 517 167 523
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel viali 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__tnbufi_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__tnbufi_l.mag
new file mode 100644
index 0000000..670de55
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__tnbufi_l.mag
@@ -0,0 +1,180 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1635 2379
+<< nwell >>
+rect -9 529 375 1119
+<< nmoslvt >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 238 115 268 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 238 713 268 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 219
+rect 268 165 321 219
+rect 268 131 279 165
+rect 313 131 321 165
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 949 166 965
+rect 110 809 121 949
+rect 155 809 166 949
+rect 110 713 166 809
+rect 196 713 238 965
+rect 268 949 321 965
+rect 268 809 279 949
+rect 313 809 321 949
+rect 268 713 321 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 279 131 313 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 121 809 155 949
+rect 279 809 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 713
+rect 166 550 196 713
+rect 39 520 196 550
+rect 39 308 69 520
+rect 111 518 165 520
+rect 111 484 121 518
+rect 155 484 165 518
+rect 111 468 165 484
+rect 111 400 196 416
+rect 111 366 121 400
+rect 155 366 196 400
+rect 111 350 196 366
+rect 39 278 110 308
+rect 80 219 110 278
+rect 166 219 196 350
+rect 238 399 268 713
+rect 238 383 292 399
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 238 219 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 121 484 155 518
+rect 121 366 155 400
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 400 69 809
+rect 121 949 155 1049
+rect 121 793 155 809
+rect 279 949 313 965
+rect 121 518 155 523
+rect 121 468 155 484
+rect 121 400 155 416
+rect 35 366 121 400
+rect 35 165 69 366
+rect 121 350 155 366
+rect 211 383 245 597
+rect 279 483 313 809
+rect 211 349 248 383
+rect 282 349 298 383
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 279 165 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 121 523 155 557
+rect 279 449 313 483
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 189 557
+rect 109 517 167 523
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel viali 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__xnor2_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__xnor2_l.mag
new file mode 100644
index 0000000..a2cab30
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__xnor2_l.mag
@@ -0,0 +1,291 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+rect 358 115 388 263
+rect 430 115 460 263
+rect 516 115 546 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+rect 358 565 388 965
+rect 430 565 460 965
+rect 516 565 546 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 358 263
+rect 268 131 279 215
+rect 347 131 358 215
+rect 268 115 358 131
+rect 388 115 430 263
+rect 460 165 516 263
+rect 460 131 471 165
+rect 505 131 516 165
+rect 460 115 516 131
+rect 546 215 599 263
+rect 546 131 557 215
+rect 591 131 599 215
+rect 546 115 599 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 565 238 965
+rect 268 949 358 965
+rect 268 605 279 949
+rect 347 605 358 949
+rect 268 565 358 605
+rect 388 565 430 965
+rect 460 949 516 965
+rect 460 605 471 949
+rect 505 605 516 949
+rect 460 565 516 605
+rect 546 949 599 965
+rect 546 606 557 949
+rect 591 606 599 949
+rect 546 565 599 606
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 279 131 347 215
+rect 471 131 505 165
+rect 557 131 591 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+rect 279 605 347 949
+rect 471 605 505 949
+rect 557 606 591 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 358 965 388 991
+rect 430 965 460 991
+rect 516 965 546 991
+rect 80 550 110 565
+rect 70 520 110 550
+rect 70 308 100 520
+rect 166 459 196 565
+rect 142 443 196 459
+rect 142 409 152 443
+rect 186 409 196 443
+rect 142 393 196 409
+rect 238 534 268 565
+rect 238 518 292 534
+rect 238 484 248 518
+rect 282 484 292 518
+rect 238 468 292 484
+rect 142 335 196 351
+rect 142 308 152 335
+rect 70 301 152 308
+rect 186 301 196 335
+rect 70 278 196 301
+rect 80 263 110 278
+rect 166 263 196 278
+rect 238 263 268 468
+rect 358 459 388 565
+rect 430 550 460 565
+rect 516 550 546 565
+rect 430 520 546 550
+rect 358 443 472 459
+rect 358 429 428 443
+rect 418 409 428 429
+rect 462 409 472 443
+rect 418 393 472 409
+rect 516 351 546 520
+rect 326 335 380 351
+rect 326 301 336 335
+rect 370 308 380 335
+rect 479 335 546 351
+rect 479 308 489 335
+rect 370 301 388 308
+rect 326 285 388 301
+rect 358 263 388 285
+rect 430 301 489 308
+rect 523 301 546 335
+rect 430 278 546 301
+rect 430 263 460 278
+rect 516 263 546 278
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+rect 358 89 388 115
+rect 430 89 460 115
+rect 516 89 546 115
+<< polycont >>
+rect 152 409 186 443
+rect 248 484 282 518
+rect 152 301 186 335
+rect 428 409 462 443
+rect 336 301 370 335
+rect 489 301 523 335
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 35 443 69 605
+rect 121 949 155 1049
+rect 279 949 347 965
+rect 121 589 155 605
+rect 268 631 279 637
+rect 302 597 347 605
+rect 279 589 347 597
+rect 471 949 505 1049
+rect 471 589 505 605
+rect 557 949 591 965
+rect 557 518 591 606
+rect 232 484 248 518
+rect 282 484 591 518
+rect 35 409 152 443
+rect 186 409 370 443
+rect 35 215 69 409
+rect 152 335 186 351
+rect 336 335 370 409
+rect 152 261 186 301
+rect 268 231 302 301
+rect 336 285 370 301
+rect 412 409 428 443
+rect 462 409 478 443
+rect 412 261 446 409
+rect 489 335 523 351
+rect 489 285 523 301
+rect 268 215 347 231
+rect 268 197 279 215
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 557 215 591 484
+rect 279 115 347 131
+rect 471 165 505 181
+rect 471 61 505 131
+rect 557 115 591 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 268 605 279 631
+rect 279 605 302 631
+rect 268 597 302 605
+rect 152 227 186 261
+rect 268 301 302 335
+rect 489 301 523 335
+rect 412 227 446 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 256 631 314 637
+rect 256 597 268 631
+rect 302 597 314 631
+rect 256 591 314 597
+rect 268 341 302 591
+rect 256 335 314 341
+rect 477 335 535 341
+rect 256 301 268 335
+rect 302 301 314 335
+rect 455 301 489 335
+rect 523 301 535 335
+rect 256 295 314 301
+rect 477 295 535 301
+rect 140 261 198 267
+rect 400 261 458 267
+rect 140 227 152 261
+rect 186 227 412 261
+rect 446 227 458 261
+rect 140 221 198 227
+rect 400 221 458 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 170 244 170 244 1 A
+port 1 n
+rlabel viali 506 318 506 318 1 B
+port 2 n
+rlabel metal1 284 375 284 375 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_hs/sky130_osu_sc_15T_hs__xor2_l.mag b/lib/15T_hs/sky130_osu_sc_15T_hs__xor2_l.mag
new file mode 100644
index 0000000..ab6684b
--- /dev/null
+++ b/lib/15T_hs/sky130_osu_sc_15T_hs__xor2_l.mag
@@ -0,0 +1,290 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007760
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmoslvt >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+rect 358 115 388 263
+rect 430 115 460 263
+rect 516 115 546 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+rect 358 565 388 965
+rect 430 565 460 965
+rect 516 565 546 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 358 263
+rect 268 131 279 215
+rect 347 131 358 215
+rect 268 115 358 131
+rect 388 115 430 263
+rect 460 215 516 263
+rect 460 131 471 215
+rect 505 131 516 215
+rect 460 115 516 131
+rect 546 215 599 263
+rect 546 131 557 215
+rect 591 131 599 215
+rect 546 115 599 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 565 238 965
+rect 268 949 358 965
+rect 268 605 279 949
+rect 347 605 358 949
+rect 268 565 358 605
+rect 388 565 430 965
+rect 460 949 516 965
+rect 460 741 471 949
+rect 505 741 516 949
+rect 460 565 516 741
+rect 546 949 599 965
+rect 546 606 557 949
+rect 591 606 599 949
+rect 546 565 599 606
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 347 215
+rect 471 131 505 215
+rect 557 131 591 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 279 605 347 949
+rect 471 741 505 949
+rect 557 606 591 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 358 965 388 991
+rect 430 965 460 991
+rect 516 965 546 991
+rect 80 550 110 565
+rect 166 550 196 565
+rect 70 520 196 550
+rect 70 303 100 520
+rect 142 518 196 520
+rect 142 484 152 518
+rect 186 484 196 518
+rect 142 468 196 484
+rect 142 410 196 426
+rect 142 376 152 410
+rect 186 376 196 410
+rect 142 360 196 376
+rect 70 278 110 303
+rect 80 263 110 278
+rect 166 263 196 360
+rect 238 351 268 565
+rect 358 534 388 565
+rect 334 518 388 534
+rect 430 550 460 565
+rect 516 550 546 565
+rect 430 520 546 550
+rect 334 484 344 518
+rect 378 484 388 518
+rect 334 468 388 484
+rect 479 518 546 520
+rect 479 484 489 518
+rect 523 484 546 518
+rect 479 468 546 484
+rect 420 409 474 425
+rect 420 380 430 409
+rect 358 375 430 380
+rect 464 375 474 409
+rect 238 335 292 351
+rect 238 301 248 335
+rect 282 301 292 335
+rect 238 285 292 301
+rect 358 350 474 375
+rect 238 263 268 285
+rect 358 263 388 350
+rect 516 308 546 468
+rect 430 278 546 308
+rect 430 263 460 278
+rect 516 263 546 278
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+rect 358 89 388 115
+rect 430 89 460 115
+rect 516 89 546 115
+<< polycont >>
+rect 152 484 186 518
+rect 152 376 186 410
+rect 344 484 378 518
+rect 489 484 523 518
+rect 430 375 464 409
+rect 248 301 282 335
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 279 949 347 965
+rect 35 410 69 605
+rect 152 597 200 631
+rect 268 605 279 623
+rect 471 949 505 1049
+rect 471 725 505 741
+rect 557 949 591 965
+rect 152 518 186 597
+rect 268 589 347 605
+rect 136 484 152 518
+rect 186 484 202 518
+rect 268 483 302 589
+rect 344 518 378 534
+rect 344 410 378 484
+rect 35 376 152 410
+rect 186 376 378 410
+rect 412 409 446 597
+rect 489 518 523 523
+rect 489 468 523 484
+rect 35 215 69 376
+rect 412 375 430 409
+rect 464 375 480 409
+rect 557 335 591 606
+rect 232 301 248 335
+rect 282 301 591 335
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 227 296 231
+rect 330 227 347 231
+rect 279 215 347 227
+rect 279 115 347 131
+rect 471 215 505 231
+rect 471 61 505 131
+rect 557 215 591 301
+rect 557 115 591 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 200 597 234 631
+rect 412 597 446 631
+rect 268 449 302 483
+rect 489 523 523 557
+rect 296 227 330 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 188 631 246 637
+rect 400 631 458 637
+rect 188 597 200 631
+rect 234 597 412 631
+rect 446 597 458 631
+rect 188 591 246 597
+rect 400 591 458 597
+rect 477 557 535 563
+rect 455 523 489 557
+rect 523 523 535 557
+rect 477 517 535 523
+rect 256 483 314 489
+rect 256 449 268 483
+rect 302 449 314 483
+rect 256 443 314 449
+rect 268 267 302 443
+rect 268 261 342 267
+rect 268 227 296 261
+rect 330 227 342 261
+rect 284 221 342 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 218 614 218 614 1 A
+port 1 n
+rlabel metal1 285 434 285 434 1 Y
+port 2 n
+rlabel viali 506 540 506 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__addf_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__addf_1.mag
new file mode 100644
index 0000000..c36305a
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__addf_1.mag
@@ -0,0 +1,650 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007747
+<< checkpaint >>
+rect -1269 -242 2695 2379
+<< nwell >>
+rect -9 529 1435 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 410 115 440 263
+rect 496 115 526 263
+rect 582 115 612 263
+rect 668 115 698 263
+rect 754 115 784 263
+rect 840 115 870 263
+rect 922 115 952 263
+rect 1004 115 1034 263
+rect 1102 115 1132 263
+rect 1292 115 1322 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 410 565 440 965
+rect 496 565 526 965
+rect 582 565 612 965
+rect 668 565 698 965
+rect 754 565 784 965
+rect 840 565 870 965
+rect 922 565 952 965
+rect 1004 565 1034 965
+rect 1102 565 1132 965
+rect 1292 565 1322 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 233 252 263
+rect 196 131 207 233
+rect 241 131 252 233
+rect 196 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 115 410 263
+rect 440 233 496 263
+rect 440 131 451 233
+rect 485 131 496 233
+rect 440 115 496 131
+rect 526 233 582 263
+rect 526 131 537 233
+rect 571 131 582 233
+rect 526 115 582 131
+rect 612 165 668 263
+rect 612 131 623 165
+rect 657 131 668 165
+rect 612 115 668 131
+rect 698 233 754 263
+rect 698 131 709 233
+rect 743 131 754 233
+rect 698 115 754 131
+rect 784 165 840 263
+rect 784 131 795 165
+rect 829 131 840 165
+rect 784 115 840 131
+rect 870 115 922 263
+rect 952 115 1004 263
+rect 1034 233 1102 263
+rect 1034 131 1045 233
+rect 1079 131 1102 233
+rect 1034 115 1102 131
+rect 1132 165 1185 263
+rect 1132 131 1143 165
+rect 1177 131 1185 165
+rect 1132 115 1185 131
+rect 1239 165 1292 263
+rect 1239 131 1247 165
+rect 1281 131 1292 165
+rect 1239 115 1292 131
+rect 1322 233 1375 263
+rect 1322 131 1333 233
+rect 1367 131 1375 233
+rect 1322 115 1375 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 677 35 949
+rect 69 677 80 949
+rect 27 565 80 677
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 677 293 949
+rect 327 677 338 949
+rect 282 565 338 677
+rect 368 565 410 965
+rect 440 949 496 965
+rect 440 677 451 949
+rect 485 677 496 949
+rect 440 565 496 677
+rect 526 949 582 965
+rect 526 677 537 949
+rect 571 677 582 949
+rect 526 565 582 677
+rect 612 949 668 965
+rect 612 745 623 949
+rect 657 745 668 949
+rect 612 565 668 745
+rect 698 949 754 965
+rect 698 677 709 949
+rect 743 677 754 949
+rect 698 565 754 677
+rect 784 949 840 965
+rect 784 677 795 949
+rect 829 677 840 949
+rect 784 565 840 677
+rect 870 565 922 965
+rect 952 565 1004 965
+rect 1034 949 1102 965
+rect 1034 745 1045 949
+rect 1079 745 1102 949
+rect 1034 565 1102 745
+rect 1132 949 1185 965
+rect 1132 677 1143 949
+rect 1177 677 1185 949
+rect 1132 565 1185 677
+rect 1239 949 1292 965
+rect 1239 609 1247 949
+rect 1281 609 1292 949
+rect 1239 565 1292 609
+rect 1322 949 1375 965
+rect 1322 609 1333 949
+rect 1367 609 1375 949
+rect 1322 565 1375 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 165
+rect 207 131 241 233
+rect 293 131 327 233
+rect 451 131 485 233
+rect 537 131 571 233
+rect 623 131 657 165
+rect 709 131 743 233
+rect 795 131 829 165
+rect 1045 131 1079 233
+rect 1143 131 1177 165
+rect 1247 131 1281 165
+rect 1333 131 1367 233
+<< pdiffc >>
+rect 35 677 69 949
+rect 121 745 155 949
+rect 207 677 241 949
+rect 293 677 327 949
+rect 451 677 485 949
+rect 537 677 571 949
+rect 623 745 657 949
+rect 709 677 743 949
+rect 795 677 829 949
+rect 1045 745 1079 949
+rect 1143 677 1177 949
+rect 1247 609 1281 949
+rect 1333 609 1367 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 993
+rect 338 965 368 993
+rect 410 965 440 991
+rect 496 965 526 991
+rect 582 965 612 993
+rect 668 965 698 993
+rect 754 965 784 993
+rect 840 965 870 993
+rect 922 965 952 993
+rect 1004 965 1034 993
+rect 1102 965 1132 993
+rect 1292 965 1322 993
+rect 80 351 110 565
+rect 166 533 196 565
+rect 152 517 206 533
+rect 152 483 162 517
+rect 196 483 206 517
+rect 152 467 206 483
+rect 70 335 124 351
+rect 70 301 80 335
+rect 114 301 124 335
+rect 70 285 124 301
+rect 80 263 110 285
+rect 166 263 196 467
+rect 252 425 282 565
+rect 338 467 368 565
+rect 410 540 440 565
+rect 496 540 526 565
+rect 410 510 526 540
+rect 338 451 430 467
+rect 238 409 292 425
+rect 238 375 248 409
+rect 282 375 292 409
+rect 238 359 292 375
+rect 338 417 386 451
+rect 420 417 430 451
+rect 338 401 430 417
+rect 252 263 282 359
+rect 338 263 368 401
+rect 472 351 502 510
+rect 582 362 612 565
+rect 668 499 698 565
+rect 656 483 710 499
+rect 656 449 666 483
+rect 700 449 710 483
+rect 656 433 710 449
+rect 472 335 526 351
+rect 472 315 482 335
+rect 410 301 482 315
+rect 516 301 526 335
+rect 410 285 526 301
+rect 568 346 622 362
+rect 568 312 578 346
+rect 612 312 622 346
+rect 568 296 622 312
+rect 410 263 440 285
+rect 496 263 526 285
+rect 582 263 612 296
+rect 668 263 698 433
+rect 754 351 784 565
+rect 840 499 870 565
+rect 826 483 880 499
+rect 826 449 836 483
+rect 870 449 880 483
+rect 826 433 880 449
+rect 922 461 952 565
+rect 1004 533 1034 565
+rect 1004 503 1048 533
+rect 1102 532 1132 565
+rect 922 445 976 461
+rect 742 335 796 351
+rect 742 301 752 335
+rect 786 301 796 335
+rect 742 285 796 301
+rect 754 263 784 285
+rect 840 263 870 433
+rect 922 411 932 445
+rect 966 411 976 445
+rect 922 395 976 411
+rect 922 263 952 395
+rect 1018 351 1048 503
+rect 1090 516 1144 532
+rect 1292 529 1322 565
+rect 1090 482 1100 516
+rect 1134 482 1144 516
+rect 1090 466 1144 482
+rect 1255 513 1322 529
+rect 1255 479 1265 513
+rect 1299 479 1322 513
+rect 1004 335 1058 351
+rect 1004 301 1014 335
+rect 1048 301 1058 335
+rect 1004 285 1058 301
+rect 1004 263 1034 285
+rect 1102 263 1132 466
+rect 1255 463 1322 479
+rect 1292 263 1322 463
+rect 80 81 110 115
+rect 166 82 196 115
+rect 252 82 282 115
+rect 338 82 368 115
+rect 410 82 440 115
+rect 496 82 526 115
+rect 582 82 612 115
+rect 668 82 698 115
+rect 754 82 784 115
+rect 840 82 870 115
+rect 922 82 952 115
+rect 1004 82 1034 115
+rect 1102 80 1132 115
+rect 1292 80 1322 115
+<< polycont >>
+rect 162 483 196 517
+rect 80 301 114 335
+rect 248 375 282 409
+rect 386 417 420 451
+rect 666 449 700 483
+rect 482 301 516 335
+rect 578 312 612 346
+rect 836 449 870 483
+rect 752 301 786 335
+rect 932 411 966 445
+rect 1100 482 1134 516
+rect 1265 479 1299 513
+rect 1014 301 1048 335
+<< locali >>
+rect 0 1093 1408 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1408 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 657 69 677
+rect 207 657 241 677
+rect 35 623 241 657
+rect 293 949 327 965
+rect 293 590 327 677
+rect 451 949 485 1049
+rect 451 661 485 677
+rect 537 949 571 965
+rect 623 949 657 1049
+rect 623 729 657 745
+rect 709 949 743 965
+rect 537 656 571 677
+rect 709 656 743 677
+rect 537 622 743 656
+rect 795 949 829 965
+rect 1045 949 1079 1049
+rect 1045 729 1079 745
+rect 1143 949 1177 965
+rect 795 632 829 677
+rect 1143 632 1177 677
+rect 795 598 1100 632
+rect 293 553 350 590
+rect 795 589 829 598
+rect 80 483 162 517
+rect 196 483 212 517
+rect 248 409 282 425
+rect 248 359 282 375
+rect 64 301 80 335
+rect 114 301 130 335
+rect 316 318 350 553
+rect 752 554 829 589
+rect 578 483 612 489
+rect 386 451 444 483
+rect 420 449 444 451
+rect 650 449 666 483
+rect 700 449 716 483
+rect 386 401 420 417
+rect 578 346 612 449
+rect 666 409 700 449
+rect 752 409 786 554
+rect 1066 532 1100 598
+rect 1247 949 1281 1049
+rect 1177 598 1202 615
+rect 1143 581 1202 598
+rect 1247 593 1281 609
+rect 1333 949 1367 965
+rect 1066 516 1134 532
+rect 1066 485 1100 516
+rect 820 449 836 483
+rect 870 449 886 483
+rect 1089 482 1100 485
+rect 1100 466 1134 482
+rect 932 445 966 461
+rect 932 409 966 411
+rect 1168 409 1202 581
+rect 1333 557 1367 609
+rect 752 375 879 409
+rect 1143 375 1202 409
+rect 1265 513 1299 529
+rect 293 284 350 318
+rect 466 301 482 335
+rect 516 301 532 335
+rect 578 296 612 312
+rect 736 301 752 335
+rect 786 301 811 335
+rect 293 261 327 284
+rect 35 233 241 252
+rect 69 218 207 233
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 114 241 131
+rect 777 261 811 301
+rect 293 114 327 131
+rect 451 233 485 249
+rect 451 61 485 131
+rect 537 233 743 252
+rect 571 218 709 233
+rect 537 114 571 131
+rect 623 165 657 181
+rect 623 61 657 131
+rect 845 181 879 375
+rect 998 301 1014 335
+rect 1048 301 1064 335
+rect 709 114 743 131
+rect 795 165 879 181
+rect 829 131 879 165
+rect 1045 233 1079 249
+rect 795 114 829 131
+rect 1045 61 1079 131
+rect 1143 165 1177 375
+rect 1265 261 1299 479
+rect 1245 227 1299 261
+rect 1333 233 1367 523
+rect 1143 115 1177 131
+rect 1247 165 1281 181
+rect 1247 61 1281 131
+rect 1333 115 1367 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 80 449 114 483
+rect 248 375 282 409
+rect 80 301 114 335
+rect 444 449 478 483
+rect 578 449 612 483
+rect 666 375 700 409
+rect 1143 598 1177 632
+rect 836 449 870 483
+rect 932 375 966 409
+rect 482 301 516 335
+rect 293 233 327 261
+rect 293 227 327 233
+rect 777 227 811 261
+rect 1014 301 1048 335
+rect 1211 227 1245 261
+rect 1333 523 1367 557
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1408 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1408 1093
+rect 0 1049 1408 1059
+rect 1131 632 1189 638
+rect 1109 598 1143 632
+rect 1177 598 1189 632
+rect 1131 592 1189 598
+rect 1321 557 1379 563
+rect 1299 523 1333 557
+rect 1367 523 1379 557
+rect 1321 517 1379 523
+rect 68 483 126 489
+rect 432 483 490 489
+rect 566 483 624 489
+rect 824 483 882 489
+rect 68 449 80 483
+rect 114 449 444 483
+rect 478 449 578 483
+rect 612 450 836 483
+rect 612 449 734 450
+rect 812 449 836 450
+rect 870 449 882 483
+rect 68 443 126 449
+rect 432 443 490 449
+rect 566 443 624 449
+rect 824 443 882 449
+rect 236 409 294 415
+rect 654 409 712 415
+rect 920 409 978 415
+rect 80 375 248 409
+rect 282 375 666 409
+rect 700 375 932 409
+rect 966 375 978 409
+rect 236 369 294 375
+rect 654 369 712 375
+rect 920 369 978 375
+rect 68 335 126 341
+rect 470 335 528 341
+rect 1002 335 1060 341
+rect 68 301 80 335
+rect 114 301 482 335
+rect 516 301 1014 335
+rect 1048 301 1060 335
+rect 68 295 126 301
+rect 470 295 528 301
+rect 1002 295 1060 301
+rect 281 261 339 267
+rect 765 261 823 267
+rect 1199 261 1257 267
+rect 281 227 293 261
+rect 327 227 777 261
+rect 811 227 1211 261
+rect 1245 227 1257 261
+rect 281 221 339 227
+rect 765 221 823 227
+rect 1199 221 1257 227
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
+<< labels >>
+rlabel viali 97 318 97 318 1 A
+port 1 n
+rlabel viali 265 392 265 392 1 CI
+port 2 n
+rlabel metal1 129 466 129 466 1 B
+port 3 n
+rlabel viali 1228 244 1228 244 1 CON
+port 4 n
+rlabel viali 1160 615 1160 615 1 S
+port 5 n
+rlabel viali 1350 540 1350 540 1 CO
+port 6 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__addf_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__addf_l.mag
new file mode 100644
index 0000000..4773b2a
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__addf_l.mag
@@ -0,0 +1,652 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2695 2379
+<< nwell >>
+rect -9 529 1435 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 410 115 440 263
+rect 496 115 526 263
+rect 582 115 612 263
+rect 668 115 698 263
+rect 754 115 784 263
+rect 840 115 870 263
+rect 922 115 952 263
+rect 1004 115 1034 263
+rect 1102 115 1132 219
+rect 1292 115 1322 219
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 410 565 440 965
+rect 496 565 526 965
+rect 582 565 612 965
+rect 668 565 698 965
+rect 754 565 784 965
+rect 840 565 870 965
+rect 922 565 952 965
+rect 1004 565 1034 965
+rect 1102 713 1132 965
+rect 1292 713 1322 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 233 252 263
+rect 196 131 207 233
+rect 241 131 252 233
+rect 196 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 115 410 263
+rect 440 233 496 263
+rect 440 131 451 233
+rect 485 131 496 233
+rect 440 115 496 131
+rect 526 233 582 263
+rect 526 131 537 233
+rect 571 131 582 233
+rect 526 115 582 131
+rect 612 165 668 263
+rect 612 131 623 165
+rect 657 131 668 165
+rect 612 115 668 131
+rect 698 233 754 263
+rect 698 131 709 233
+rect 743 131 754 233
+rect 698 115 754 131
+rect 784 165 840 263
+rect 784 131 795 165
+rect 829 131 840 165
+rect 784 115 840 131
+rect 870 115 922 263
+rect 952 115 1004 263
+rect 1034 233 1087 263
+rect 1034 131 1045 233
+rect 1079 219 1087 233
+rect 1079 131 1102 219
+rect 1034 115 1102 131
+rect 1132 165 1185 219
+rect 1132 131 1143 165
+rect 1177 131 1185 165
+rect 1132 115 1185 131
+rect 1239 165 1292 219
+rect 1239 131 1247 165
+rect 1281 131 1292 165
+rect 1239 115 1292 131
+rect 1322 165 1375 219
+rect 1322 131 1333 165
+rect 1367 131 1375 165
+rect 1322 115 1375 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 677 35 949
+rect 69 677 80 949
+rect 27 565 80 677
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 677 293 949
+rect 327 677 338 949
+rect 282 565 338 677
+rect 368 565 410 965
+rect 440 949 496 965
+rect 440 677 451 949
+rect 485 677 496 949
+rect 440 565 496 677
+rect 526 949 582 965
+rect 526 677 537 949
+rect 571 677 582 949
+rect 526 565 582 677
+rect 612 949 668 965
+rect 612 745 623 949
+rect 657 745 668 949
+rect 612 565 668 745
+rect 698 949 754 965
+rect 698 677 709 949
+rect 743 677 754 949
+rect 698 565 754 677
+rect 784 949 840 965
+rect 784 677 795 949
+rect 829 677 840 949
+rect 784 565 840 677
+rect 870 565 922 965
+rect 952 565 1004 965
+rect 1034 949 1102 965
+rect 1034 745 1045 949
+rect 1079 745 1102 949
+rect 1034 713 1102 745
+rect 1132 949 1185 965
+rect 1132 813 1143 949
+rect 1177 813 1185 949
+rect 1132 713 1185 813
+rect 1239 949 1292 965
+rect 1239 813 1247 949
+rect 1281 813 1292 949
+rect 1239 713 1292 813
+rect 1322 949 1375 965
+rect 1322 813 1333 949
+rect 1367 813 1375 949
+rect 1322 713 1375 813
+rect 1034 565 1087 713
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 165
+rect 207 131 241 233
+rect 293 131 327 233
+rect 451 131 485 233
+rect 537 131 571 233
+rect 623 131 657 165
+rect 709 131 743 233
+rect 795 131 829 165
+rect 1045 131 1079 233
+rect 1143 131 1177 165
+rect 1247 131 1281 165
+rect 1333 131 1367 165
+<< pdiffc >>
+rect 35 677 69 949
+rect 121 745 155 949
+rect 207 677 241 949
+rect 293 677 327 949
+rect 451 677 485 949
+rect 537 677 571 949
+rect 623 745 657 949
+rect 709 677 743 949
+rect 795 677 829 949
+rect 1045 745 1079 949
+rect 1143 813 1177 949
+rect 1247 813 1281 949
+rect 1333 813 1367 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 993
+rect 338 965 368 993
+rect 410 965 440 991
+rect 496 965 526 991
+rect 582 965 612 993
+rect 668 965 698 993
+rect 754 965 784 993
+rect 840 965 870 993
+rect 922 965 952 993
+rect 1004 965 1034 993
+rect 1102 965 1132 993
+rect 1292 965 1322 993
+rect 80 351 110 565
+rect 166 533 196 565
+rect 152 517 206 533
+rect 152 483 162 517
+rect 196 483 206 517
+rect 152 467 206 483
+rect 70 335 124 351
+rect 70 301 80 335
+rect 114 301 124 335
+rect 70 285 124 301
+rect 80 263 110 285
+rect 166 263 196 467
+rect 252 425 282 565
+rect 338 467 368 565
+rect 410 540 440 565
+rect 496 540 526 565
+rect 410 510 526 540
+rect 338 451 430 467
+rect 238 409 292 425
+rect 238 375 248 409
+rect 282 375 292 409
+rect 238 359 292 375
+rect 338 417 386 451
+rect 420 417 430 451
+rect 338 401 430 417
+rect 252 263 282 359
+rect 338 263 368 401
+rect 472 351 502 510
+rect 582 362 612 565
+rect 668 499 698 565
+rect 656 483 710 499
+rect 656 449 666 483
+rect 700 449 710 483
+rect 656 433 710 449
+rect 472 335 526 351
+rect 472 315 482 335
+rect 410 301 482 315
+rect 516 301 526 335
+rect 410 285 526 301
+rect 568 346 622 362
+rect 568 312 578 346
+rect 612 312 622 346
+rect 568 296 622 312
+rect 410 263 440 285
+rect 496 263 526 285
+rect 582 263 612 296
+rect 668 263 698 433
+rect 754 351 784 565
+rect 840 499 870 565
+rect 826 483 880 499
+rect 826 449 836 483
+rect 870 449 880 483
+rect 826 433 880 449
+rect 922 461 952 565
+rect 1004 533 1034 565
+rect 1004 503 1048 533
+rect 1102 532 1132 713
+rect 922 445 976 461
+rect 742 335 796 351
+rect 742 301 752 335
+rect 786 301 796 335
+rect 742 285 796 301
+rect 754 263 784 285
+rect 840 263 870 433
+rect 922 411 932 445
+rect 966 411 976 445
+rect 922 395 976 411
+rect 922 263 952 395
+rect 1018 351 1048 503
+rect 1090 516 1144 532
+rect 1292 529 1322 713
+rect 1090 482 1100 516
+rect 1134 482 1144 516
+rect 1090 466 1144 482
+rect 1255 513 1322 529
+rect 1255 479 1265 513
+rect 1299 479 1322 513
+rect 1004 335 1058 351
+rect 1004 301 1014 335
+rect 1048 301 1058 335
+rect 1004 285 1058 301
+rect 1004 263 1034 285
+rect 1102 219 1132 466
+rect 1255 463 1322 479
+rect 1292 219 1322 463
+rect 80 81 110 115
+rect 166 82 196 115
+rect 252 82 282 115
+rect 338 82 368 115
+rect 410 82 440 115
+rect 496 82 526 115
+rect 582 82 612 115
+rect 668 82 698 115
+rect 754 82 784 115
+rect 840 82 870 115
+rect 922 82 952 115
+rect 1004 82 1034 115
+rect 1102 80 1132 115
+rect 1292 80 1322 115
+<< polycont >>
+rect 162 483 196 517
+rect 80 301 114 335
+rect 248 375 282 409
+rect 386 417 420 451
+rect 666 449 700 483
+rect 482 301 516 335
+rect 578 312 612 346
+rect 836 449 870 483
+rect 752 301 786 335
+rect 932 411 966 445
+rect 1100 482 1134 516
+rect 1265 479 1299 513
+rect 1014 301 1048 335
+<< locali >>
+rect 0 1093 1408 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1408 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 657 69 677
+rect 207 657 241 677
+rect 35 623 241 657
+rect 293 949 327 965
+rect 293 590 327 677
+rect 451 949 485 1049
+rect 451 661 485 677
+rect 537 949 571 965
+rect 623 949 657 1049
+rect 623 729 657 745
+rect 709 949 743 965
+rect 537 656 571 677
+rect 709 656 743 677
+rect 537 622 743 656
+rect 795 949 829 965
+rect 1045 949 1079 1049
+rect 1045 729 1079 745
+rect 1143 949 1177 965
+rect 795 632 829 677
+rect 1143 638 1177 813
+rect 1247 949 1281 1049
+rect 1247 797 1281 813
+rect 1333 949 1367 965
+rect 795 598 1100 632
+rect 293 553 350 590
+rect 795 589 829 598
+rect 80 483 162 517
+rect 196 483 212 517
+rect 248 409 282 425
+rect 248 359 282 375
+rect 64 301 80 335
+rect 114 301 130 335
+rect 316 318 350 553
+rect 752 554 829 589
+rect 578 483 612 489
+rect 386 451 444 483
+rect 420 449 444 451
+rect 650 449 666 483
+rect 700 449 716 483
+rect 386 401 420 417
+rect 578 346 612 449
+rect 666 409 700 449
+rect 752 409 786 554
+rect 1066 532 1100 598
+rect 1177 604 1202 615
+rect 1143 581 1202 604
+rect 1066 516 1134 532
+rect 1066 485 1100 516
+rect 820 449 836 483
+rect 870 449 886 483
+rect 1089 482 1100 485
+rect 1100 466 1134 482
+rect 932 445 966 461
+rect 932 409 966 411
+rect 1168 409 1202 581
+rect 1333 557 1367 813
+rect 752 375 879 409
+rect 1143 375 1202 409
+rect 1265 513 1299 529
+rect 293 284 350 318
+rect 466 301 482 335
+rect 516 301 532 335
+rect 578 296 612 312
+rect 736 301 752 335
+rect 786 301 811 335
+rect 293 261 327 284
+rect 35 233 241 252
+rect 69 218 207 233
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 114 241 131
+rect 777 261 811 301
+rect 293 114 327 131
+rect 451 233 485 249
+rect 451 61 485 131
+rect 537 233 743 252
+rect 571 218 709 233
+rect 537 114 571 131
+rect 623 165 657 181
+rect 623 61 657 131
+rect 845 181 879 375
+rect 998 301 1014 335
+rect 1048 301 1064 335
+rect 709 114 743 131
+rect 795 165 879 181
+rect 829 131 879 165
+rect 1045 233 1079 249
+rect 795 114 829 131
+rect 1045 61 1079 131
+rect 1143 165 1177 375
+rect 1265 261 1299 479
+rect 1245 227 1299 261
+rect 1143 115 1177 131
+rect 1247 165 1281 181
+rect 1247 61 1281 131
+rect 1333 165 1367 523
+rect 1333 115 1367 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 80 449 114 483
+rect 248 375 282 409
+rect 80 301 114 335
+rect 444 449 478 483
+rect 578 449 612 483
+rect 666 375 700 409
+rect 1143 604 1177 638
+rect 836 449 870 483
+rect 932 375 966 409
+rect 482 301 516 335
+rect 293 233 327 261
+rect 293 227 327 233
+rect 777 227 811 261
+rect 1014 301 1048 335
+rect 1211 227 1245 261
+rect 1333 523 1367 557
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1408 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1408 1093
+rect 0 1049 1408 1059
+rect 1131 638 1189 644
+rect 1109 604 1143 638
+rect 1177 604 1189 638
+rect 1131 598 1189 604
+rect 1321 557 1379 563
+rect 1299 523 1333 557
+rect 1367 523 1379 557
+rect 1321 517 1379 523
+rect 68 483 126 489
+rect 432 483 490 489
+rect 566 483 624 489
+rect 824 483 882 489
+rect 68 449 80 483
+rect 114 449 444 483
+rect 478 449 578 483
+rect 612 450 836 483
+rect 612 449 734 450
+rect 812 449 836 450
+rect 870 449 882 483
+rect 68 443 126 449
+rect 432 443 490 449
+rect 566 443 624 449
+rect 824 443 882 449
+rect 236 409 294 415
+rect 654 409 712 415
+rect 920 409 978 415
+rect 80 375 248 409
+rect 282 375 666 409
+rect 700 375 932 409
+rect 966 375 978 409
+rect 236 369 294 375
+rect 654 369 712 375
+rect 920 369 978 375
+rect 68 335 126 341
+rect 470 335 528 341
+rect 1002 335 1060 341
+rect 68 301 80 335
+rect 114 301 482 335
+rect 516 301 1014 335
+rect 1048 301 1060 335
+rect 68 295 126 301
+rect 470 295 528 301
+rect 1002 295 1060 301
+rect 281 261 339 267
+rect 765 261 823 267
+rect 1199 261 1257 267
+rect 281 227 293 261
+rect 327 227 777 261
+rect 811 227 1211 261
+rect 1245 227 1257 261
+rect 281 221 339 227
+rect 765 221 823 227
+rect 1199 221 1257 227
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
+<< labels >>
+rlabel viali 97 318 97 318 1 A
+port 1 n
+rlabel viali 265 392 265 392 1 CI
+port 2 n
+rlabel metal1 129 466 129 466 1 B
+port 3 n
+rlabel viali 1350 540 1350 540 1 CO
+port 5 n
+rlabel viali 1160 621 1160 621 1 S
+port 6 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 1228 244 1228 244 1 CON
+port 4 n
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__addh_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__addh_1.mag
new file mode 100644
index 0000000..81f2aeb
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__addh_1.mag
@@ -0,0 +1,393 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2097 2379
+<< nwell >>
+rect -9 529 837 1119
+<< nmos >>
+rect 80 115 110 263
+rect 178 115 208 263
+rect 250 115 280 263
+rect 442 115 472 263
+rect 540 115 570 263
+rect 626 115 656 263
+rect 712 115 742 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 178 565 208 965
+rect 264 565 294 965
+rect 362 565 392 965
+rect 552 565 582 965
+rect 638 565 668 965
+rect 710 565 740 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 233 178 263
+rect 110 131 133 233
+rect 167 131 178 233
+rect 110 115 178 131
+rect 208 115 250 263
+rect 280 233 333 263
+rect 280 131 291 233
+rect 325 131 333 233
+rect 280 115 333 131
+rect 389 233 442 263
+rect 389 131 397 233
+rect 431 131 442 233
+rect 389 115 442 131
+rect 472 233 540 263
+rect 472 131 495 233
+rect 529 131 540 233
+rect 472 115 540 131
+rect 570 233 626 263
+rect 570 131 581 233
+rect 615 131 626 233
+rect 570 115 626 131
+rect 656 247 712 263
+rect 656 179 667 247
+rect 701 179 712 247
+rect 656 115 712 179
+rect 742 233 795 263
+rect 742 131 753 233
+rect 787 131 795 233
+rect 742 115 795 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 609 35 949
+rect 69 609 80 949
+rect 27 565 80 609
+rect 110 949 178 965
+rect 110 609 133 949
+rect 167 609 178 949
+rect 110 565 178 609
+rect 208 949 264 965
+rect 208 677 219 949
+rect 253 677 264 949
+rect 208 565 264 677
+rect 294 949 362 965
+rect 294 677 305 949
+rect 339 677 362 949
+rect 294 565 362 677
+rect 392 949 445 965
+rect 392 609 403 949
+rect 437 609 445 949
+rect 392 565 445 609
+rect 499 949 552 965
+rect 499 609 507 949
+rect 541 609 552 949
+rect 499 565 552 609
+rect 582 949 638 965
+rect 582 609 593 949
+rect 627 609 638 949
+rect 582 565 638 609
+rect 668 565 710 965
+rect 740 949 796 965
+rect 740 609 751 949
+rect 785 609 796 949
+rect 740 565 796 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 133 131 167 233
+rect 291 131 325 233
+rect 397 131 431 233
+rect 495 131 529 233
+rect 581 131 615 233
+rect 667 179 701 247
+rect 753 131 787 233
+<< pdiffc >>
+rect 35 609 69 949
+rect 133 609 167 949
+rect 219 677 253 949
+rect 305 677 339 949
+rect 403 609 437 949
+rect 507 609 541 949
+rect 593 609 627 949
+rect 751 609 785 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 178 965 208 991
+rect 264 965 294 991
+rect 362 965 392 991
+rect 552 965 582 991
+rect 638 965 668 991
+rect 710 965 740 991
+rect 80 351 110 565
+rect 178 425 208 565
+rect 264 499 294 565
+rect 154 409 208 425
+rect 154 375 164 409
+rect 198 375 208 409
+rect 154 359 208 375
+rect 43 335 110 351
+rect 43 301 53 335
+rect 87 301 110 335
+rect 43 285 110 301
+rect 80 263 110 285
+rect 178 263 208 359
+rect 250 483 304 499
+rect 250 449 260 483
+rect 294 449 304 483
+rect 250 433 304 449
+rect 362 497 392 565
+rect 552 497 582 565
+rect 362 467 582 497
+rect 250 263 280 433
+rect 362 372 392 467
+rect 638 425 668 565
+rect 710 499 740 565
+rect 710 483 764 499
+rect 710 449 720 483
+rect 754 449 764 483
+rect 710 433 764 449
+rect 338 356 392 372
+rect 614 409 668 425
+rect 614 375 624 409
+rect 658 375 668 409
+rect 614 359 668 375
+rect 338 322 348 356
+rect 382 323 392 356
+rect 382 322 570 323
+rect 338 293 570 322
+rect 442 263 472 293
+rect 540 263 570 293
+rect 626 263 656 359
+rect 712 263 742 433
+rect 80 89 110 115
+rect 178 89 208 115
+rect 250 89 280 115
+rect 442 89 472 115
+rect 540 89 570 115
+rect 626 89 656 115
+rect 712 89 742 115
+<< polycont >>
+rect 164 375 198 409
+rect 53 301 87 335
+rect 260 449 294 483
+rect 720 449 754 483
+rect 624 375 658 409
+rect 348 322 382 356
+<< locali >>
+rect 0 1093 836 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 836 1093
+rect 35 949 69 965
+rect 35 575 69 597
+rect 133 949 167 1049
+rect 133 593 167 609
+rect 219 949 253 965
+rect 219 567 253 677
+rect 305 949 339 1049
+rect 305 661 339 677
+rect 403 949 437 965
+rect 219 533 362 567
+rect 260 483 294 499
+rect 260 433 294 449
+rect 148 375 164 409
+rect 198 375 214 409
+rect 328 372 362 533
+rect 403 557 437 609
+rect 507 949 541 965
+rect 403 523 418 557
+rect 328 356 382 372
+rect 328 340 348 356
+rect 37 301 53 335
+rect 87 301 110 335
+rect 291 322 348 340
+rect 291 306 382 322
+rect 35 115 69 131
+rect 133 233 167 249
+rect 133 61 167 131
+rect 291 233 325 306
+rect 418 267 452 523
+rect 507 555 541 609
+rect 593 949 627 1049
+rect 593 593 627 609
+rect 751 949 785 965
+rect 751 555 785 609
+rect 507 521 785 555
+rect 507 335 541 521
+rect 704 449 720 483
+rect 754 449 770 483
+rect 608 375 624 409
+rect 658 375 674 409
+rect 541 301 667 335
+rect 291 115 325 131
+rect 397 233 452 267
+rect 495 233 529 249
+rect 397 115 431 131
+rect 495 61 529 131
+rect 581 233 615 249
+rect 667 247 701 301
+rect 667 163 701 179
+rect 753 233 787 249
+rect 581 129 615 131
+rect 753 129 787 131
+rect 581 95 787 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 35 609 69 631
+rect 35 597 69 609
+rect 260 449 294 483
+rect 164 375 198 409
+rect 418 523 452 557
+rect 110 301 144 335
+rect 35 233 69 260
+rect 35 226 69 233
+rect 720 449 754 483
+rect 624 375 658 409
+rect 507 301 541 335
+rect 667 301 701 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 836 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 836 1093
+rect 0 1049 836 1059
+rect 23 631 81 637
+rect 23 597 35 631
+rect 69 597 81 631
+rect 23 591 81 597
+rect 35 266 69 591
+rect 406 557 464 563
+rect 406 523 418 557
+rect 452 523 486 557
+rect 406 517 464 523
+rect 248 483 306 489
+rect 708 483 766 489
+rect 248 449 260 483
+rect 294 449 720 483
+rect 754 449 766 483
+rect 248 448 766 449
+rect 248 443 306 448
+rect 708 443 766 448
+rect 152 410 210 415
+rect 612 410 670 415
+rect 152 409 670 410
+rect 152 375 164 409
+rect 198 375 624 409
+rect 658 375 670 409
+rect 152 369 210 375
+rect 612 369 670 375
+rect 98 335 156 341
+rect 495 335 553 341
+rect 655 335 713 341
+rect 98 301 110 335
+rect 144 301 507 335
+rect 541 301 553 335
+rect 633 301 667 335
+rect 701 301 713 335
+rect 98 295 156 301
+rect 495 295 553 301
+rect 655 295 713 301
+rect 23 260 81 266
+rect 23 226 35 260
+rect 69 226 81 260
+rect 23 220 81 226
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
+<< labels >>
+rlabel metal1 50 425 50 425 1 S
+port 1 n
+rlabel viali 737 466 737 466 1 A
+port 2 n
+rlabel viali 642 392 642 392 1 B
+port 3 n
+rlabel viali 435 540 435 540 1 CO
+port 4 n
+rlabel viali 684 318 684 318 1 CON
+port 5 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__addh_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__addh_l.mag
new file mode 100644
index 0000000..b74aa57
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__addh_l.mag
@@ -0,0 +1,397 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2097 2379
+<< nwell >>
+rect -9 529 837 1119
+<< nmos >>
+rect 80 115 110 219
+rect 178 115 208 263
+rect 250 115 280 263
+rect 442 115 472 237
+rect 540 115 570 263
+rect 626 115 656 263
+rect 712 115 742 263
+<< pmoshvt >>
+rect 80 713 110 965
+rect 178 565 208 965
+rect 264 565 294 965
+rect 362 713 392 965
+rect 552 565 582 965
+rect 638 565 668 965
+rect 710 565 740 965
+<< ndiff >>
+rect 125 233 178 263
+rect 125 219 133 233
+rect 27 199 80 219
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 131 133 219
+rect 167 131 178 233
+rect 110 115 178 131
+rect 208 115 250 263
+rect 280 233 333 263
+rect 487 237 540 263
+rect 280 131 291 233
+rect 325 131 333 233
+rect 280 115 333 131
+rect 389 199 442 237
+rect 389 131 397 199
+rect 431 131 442 199
+rect 389 115 442 131
+rect 472 233 540 237
+rect 472 131 495 233
+rect 529 131 540 233
+rect 472 115 540 131
+rect 570 233 626 263
+rect 570 131 581 233
+rect 615 131 626 233
+rect 570 115 626 131
+rect 656 247 712 263
+rect 656 179 667 247
+rect 701 179 712 247
+rect 656 115 712 179
+rect 742 233 795 263
+rect 742 131 753 233
+rect 787 131 795 233
+rect 742 115 795 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 713 80 745
+rect 110 949 178 965
+rect 110 713 133 949
+rect 125 609 133 713
+rect 167 609 178 949
+rect 125 565 178 609
+rect 208 949 264 965
+rect 208 677 219 949
+rect 253 677 264 949
+rect 208 565 264 677
+rect 294 949 362 965
+rect 294 677 305 949
+rect 339 713 362 949
+rect 392 949 445 965
+rect 392 745 403 949
+rect 437 745 445 949
+rect 392 713 445 745
+rect 499 949 552 965
+rect 339 677 347 713
+rect 294 565 347 677
+rect 499 609 507 949
+rect 541 609 552 949
+rect 499 565 552 609
+rect 582 949 638 965
+rect 582 609 593 949
+rect 627 609 638 949
+rect 582 565 638 609
+rect 668 565 710 965
+rect 740 949 796 965
+rect 740 609 751 949
+rect 785 609 796 949
+rect 740 565 796 609
+<< ndiffc >>
+rect 35 131 69 199
+rect 133 131 167 233
+rect 291 131 325 233
+rect 397 131 431 199
+rect 495 131 529 233
+rect 581 131 615 233
+rect 667 179 701 247
+rect 753 131 787 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 133 609 167 949
+rect 219 677 253 949
+rect 305 677 339 949
+rect 403 745 437 949
+rect 507 609 541 949
+rect 593 609 627 949
+rect 751 609 785 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 178 965 208 991
+rect 264 965 294 991
+rect 362 965 392 991
+rect 552 965 582 991
+rect 638 965 668 991
+rect 710 965 740 991
+rect 80 351 110 713
+rect 178 425 208 565
+rect 264 499 294 565
+rect 154 409 208 425
+rect 154 375 164 409
+rect 198 375 208 409
+rect 154 359 208 375
+rect 43 335 110 351
+rect 43 301 53 335
+rect 87 301 110 335
+rect 43 285 110 301
+rect 80 219 110 285
+rect 178 263 208 359
+rect 250 483 304 499
+rect 250 449 260 483
+rect 294 449 304 483
+rect 250 433 304 449
+rect 362 497 392 713
+rect 552 497 582 565
+rect 362 467 582 497
+rect 250 263 280 433
+rect 362 372 392 467
+rect 638 425 668 565
+rect 710 499 740 565
+rect 710 483 764 499
+rect 710 449 720 483
+rect 754 449 764 483
+rect 710 433 764 449
+rect 338 356 392 372
+rect 614 409 668 425
+rect 614 375 624 409
+rect 658 375 668 409
+rect 614 359 668 375
+rect 338 322 348 356
+rect 382 323 392 356
+rect 382 322 570 323
+rect 338 293 570 322
+rect 442 237 472 293
+rect 540 263 570 293
+rect 626 263 656 359
+rect 712 263 742 433
+rect 80 89 110 115
+rect 178 89 208 115
+rect 250 89 280 115
+rect 442 89 472 115
+rect 540 89 570 115
+rect 626 89 656 115
+rect 712 89 742 115
+<< polycont >>
+rect 164 375 198 409
+rect 53 301 87 335
+rect 260 449 294 483
+rect 720 449 754 483
+rect 624 375 658 409
+rect 348 322 382 356
+<< locali >>
+rect 0 1093 836 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 836 1093
+rect 35 949 69 965
+rect 35 631 69 745
+rect 133 949 167 1049
+rect 133 593 167 609
+rect 219 949 253 965
+rect 219 567 253 677
+rect 305 949 339 1049
+rect 305 661 339 677
+rect 403 949 437 965
+rect 219 533 362 567
+rect 260 483 294 499
+rect 260 433 294 449
+rect 148 375 164 409
+rect 198 375 214 409
+rect 328 372 362 533
+rect 403 557 437 745
+rect 507 949 541 965
+rect 403 523 418 557
+rect 328 356 382 372
+rect 328 340 348 356
+rect 37 301 53 335
+rect 87 301 110 335
+rect 291 322 348 340
+rect 291 306 382 322
+rect 35 199 69 226
+rect 35 115 69 131
+rect 133 233 167 249
+rect 133 61 167 131
+rect 291 233 325 306
+rect 418 260 452 523
+rect 507 555 541 609
+rect 593 949 627 1049
+rect 593 593 627 609
+rect 751 949 785 965
+rect 751 555 785 609
+rect 507 521 785 555
+rect 507 335 541 521
+rect 704 449 720 483
+rect 754 449 770 483
+rect 608 375 624 409
+rect 658 375 674 409
+rect 541 301 667 335
+rect 291 115 325 131
+rect 397 226 452 260
+rect 495 233 529 249
+rect 397 199 431 226
+rect 397 115 431 131
+rect 495 61 529 131
+rect 581 233 615 249
+rect 667 247 701 301
+rect 667 163 701 179
+rect 753 233 787 249
+rect 581 129 615 131
+rect 753 129 787 131
+rect 581 95 787 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 35 597 69 631
+rect 260 449 294 483
+rect 164 375 198 409
+rect 418 523 452 557
+rect 110 301 144 335
+rect 35 226 69 260
+rect 720 449 754 483
+rect 624 375 658 409
+rect 507 301 541 335
+rect 667 301 701 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 836 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 836 1093
+rect 0 1049 836 1059
+rect 23 631 81 637
+rect 23 597 35 631
+rect 69 597 81 631
+rect 23 591 81 597
+rect 35 266 69 591
+rect 406 557 464 563
+rect 406 523 418 557
+rect 452 523 486 557
+rect 406 517 464 523
+rect 248 483 306 489
+rect 708 483 766 489
+rect 248 449 260 483
+rect 294 449 720 483
+rect 754 449 766 483
+rect 248 448 766 449
+rect 248 443 306 448
+rect 708 443 766 448
+rect 152 410 210 415
+rect 612 410 670 415
+rect 152 409 670 410
+rect 152 375 164 409
+rect 198 375 624 409
+rect 658 375 670 409
+rect 152 369 210 375
+rect 612 369 670 375
+rect 98 335 156 341
+rect 495 335 553 341
+rect 655 335 713 341
+rect 98 301 110 335
+rect 144 301 507 335
+rect 541 301 553 335
+rect 633 301 667 335
+rect 701 301 713 335
+rect 98 295 156 301
+rect 495 295 553 301
+rect 655 295 713 301
+rect 23 260 81 266
+rect 23 226 35 260
+rect 69 226 81 260
+rect 23 220 81 226
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
+<< labels >>
+rlabel metal1 50 425 50 425 1 S
+port 1 n
+rlabel viali 737 466 737 466 1 A
+port 2 n
+rlabel viali 642 392 642 392 1 B
+port 3 n
+rlabel viali 435 540 435 540 1 CO
+port 4 n
+rlabel viali 684 318 684 318 1 CON
+port 5 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__and2_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_1.mag
new file mode 100644
index 0000000..6b2b7bf
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_1.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 335 263
+rect 282 131 293 233
+rect 327 131 335 233
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 335 965
+rect 282 609 293 949
+rect 327 609 335 949
+rect 282 565 335 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 252 510 289 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 263 282 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__and2_2.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_2.mag
new file mode 100644
index 0000000..1cd4214
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_2.mag
@@ -0,0 +1,221 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 421 263
+rect 368 131 379 233
+rect 413 131 421 233
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 421 965
+rect 368 609 379 949
+rect 413 609 421 949
+rect 368 565 421 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 252 510 368 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 368 332
+rect 252 302 368 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 191 540 191 540 1 B
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__and2_4.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_4.mag
new file mode 100644
index 0000000..c5a1cd9
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_4.mag
@@ -0,0 +1,284 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 593 263
+rect 540 131 551 233
+rect 585 131 593 233
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 593 965
+rect 540 609 551 949
+rect 585 609 593 949
+rect 540 565 593 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 252 510 540 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 540 332
+rect 252 302 540 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 511 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__and2_6.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_6.mag
new file mode 100644
index 0000000..eb3adb5
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_6.mag
@@ -0,0 +1,313 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 2074 2379
+<< nwell >>
+rect -9 529 814 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 596 263
+rect 540 131 551 233
+rect 585 131 596 233
+rect 540 115 596 131
+rect 626 233 682 263
+rect 626 131 637 233
+rect 671 131 682 233
+rect 626 115 682 131
+rect 712 233 765 263
+rect 712 131 723 233
+rect 757 131 765 233
+rect 712 115 765 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 596 965
+rect 540 609 551 949
+rect 585 609 596 949
+rect 540 565 596 609
+rect 626 949 682 965
+rect 626 609 637 949
+rect 671 609 682 949
+rect 626 565 682 609
+rect 712 949 765 965
+rect 712 609 723 949
+rect 757 609 765 949
+rect 712 565 765 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+rect 637 131 671 233
+rect 723 131 757 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+rect 637 609 671 949
+rect 723 609 757 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 80 534 110 565
+rect 20 518 110 534
+rect 20 484 30 518
+rect 64 484 110 518
+rect 20 468 110 484
+rect 80 263 110 468
+rect 166 467 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 252 510 712 540
+rect 152 450 210 467
+rect 152 416 166 450
+rect 200 416 210 450
+rect 152 400 210 416
+rect 152 263 182 400
+rect 252 368 282 510
+rect 252 352 306 368
+rect 252 318 262 352
+rect 296 332 306 352
+rect 596 332 626 510
+rect 296 318 712 332
+rect 252 302 712 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+<< polycont >>
+rect 30 484 64 518
+rect 166 416 200 450
+rect 262 318 296 352
+<< locali >>
+rect 0 1083 814 1110
+rect 0 1049 51 1083
+rect 85 1049 187 1083
+rect 221 1049 323 1083
+rect 357 1049 459 1083
+rect 493 1049 595 1083
+rect 629 1049 814 1083
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 98 677 121 695
+rect 98 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 30 518 64 597
+rect 30 468 64 484
+rect 98 352 132 661
+rect 166 450 200 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 637 949 671 965
+rect 637 483 671 609
+rect 723 949 757 1049
+rect 723 593 757 609
+rect 166 400 200 416
+rect 35 318 262 352
+rect 296 318 312 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 637 115 671 131
+rect 723 233 757 249
+rect 723 61 757 131
+rect 0 27 51 61
+rect 85 27 187 61
+rect 221 27 323 61
+rect 357 27 459 61
+rect 493 27 595 61
+rect 629 27 814 61
+rect 0 0 814 27
+<< viali >>
+rect 30 597 64 631
+rect 166 523 200 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 637 233 671 261
+rect 637 227 671 233
+<< metal1 >>
+rect 0 1049 814 1110
+rect 18 631 76 637
+rect 18 597 30 631
+rect 64 597 98 631
+rect 18 591 76 597
+rect 154 557 212 563
+rect 132 523 166 557
+rect 200 523 212 557
+rect 154 517 212 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 683 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 0 0 814 61
+<< labels >>
+rlabel viali 184 540 184 540 1 B
+port 1 n
+rlabel viali 48 614 48 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel metal1 68 44 68 44 1 gnd
+rlabel metal1 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__and2_8.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_8.mag
new file mode 100644
index 0000000..b9d8cbe
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_8.mag
@@ -0,0 +1,426 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 2250 2379
+<< nwell >>
+rect -9 529 990 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 596 263
+rect 540 131 551 233
+rect 585 131 596 233
+rect 540 115 596 131
+rect 626 233 682 263
+rect 626 131 637 233
+rect 671 131 682 233
+rect 626 115 682 131
+rect 712 233 768 263
+rect 712 131 723 233
+rect 757 131 768 233
+rect 712 115 768 131
+rect 798 233 854 263
+rect 798 131 809 233
+rect 843 131 854 233
+rect 798 115 854 131
+rect 884 233 937 263
+rect 884 131 895 233
+rect 929 131 937 233
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 596 965
+rect 540 609 551 949
+rect 585 609 596 949
+rect 540 565 596 609
+rect 626 949 682 965
+rect 626 609 637 949
+rect 671 609 682 949
+rect 626 565 682 609
+rect 712 949 768 965
+rect 712 609 723 949
+rect 757 609 768 949
+rect 712 565 768 609
+rect 798 949 854 965
+rect 798 609 809 949
+rect 843 609 854 949
+rect 798 565 854 609
+rect 884 949 937 965
+rect 884 609 895 949
+rect 929 609 937 949
+rect 884 565 937 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+rect 637 131 671 233
+rect 723 131 757 233
+rect 809 131 843 233
+rect 895 131 929 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+rect 637 609 671 949
+rect 723 609 757 949
+rect 809 609 843 949
+rect 895 609 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 252 510 884 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 596 332 626 510
+rect 303 318 884 332
+rect 252 302 884 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 854 263 884 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 637 949 671 965
+rect 637 483 671 609
+rect 723 949 757 1049
+rect 723 593 757 609
+rect 809 949 843 965
+rect 809 483 843 609
+rect 895 949 929 1049
+rect 895 593 929 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 637 115 671 131
+rect 723 233 757 249
+rect 723 61 757 131
+rect 809 115 843 131
+rect 895 233 929 249
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 809 449 843 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 637 233 671 261
+rect 637 227 671 233
+rect 809 233 843 261
+rect 809 227 843 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 797 483 855 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 809 483
+rect 843 449 855 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 797 443 855 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 809 267 843 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 712 227 809 261
+rect 843 227 855 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel viali 191 540 191 540 1 B
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__and2_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_l.mag
new file mode 100644
index 0000000..86eaae2
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__and2_l.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 252 115 282 219
+<< pmoshvt >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 252 713 282 965
+<< ndiff >>
+rect 27 199 80 219
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 199 252 219
+rect 182 131 193 199
+rect 227 131 252 199
+rect 182 115 252 131
+rect 282 199 335 219
+rect 282 131 293 199
+rect 327 131 335 199
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 813 35 949
+rect 69 813 80 949
+rect 27 713 80 813
+rect 110 949 166 965
+rect 110 813 121 949
+rect 155 813 166 949
+rect 110 713 166 813
+rect 196 949 252 965
+rect 196 813 207 949
+rect 241 813 252 949
+rect 196 713 252 813
+rect 282 949 335 965
+rect 282 813 293 949
+rect 327 813 335 949
+rect 282 713 335 813
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 293 131 327 199
+<< pdiffc >>
+rect 35 813 69 949
+rect 121 813 155 949
+rect 207 813 241 949
+rect 293 813 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 534 110 713
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 219 110 468
+rect 166 466 196 713
+rect 252 540 282 713
+rect 252 510 289 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 219 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 219 282 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 121 949 155 965
+rect 35 797 69 813
+rect 105 813 121 831
+rect 105 797 155 813
+rect 207 949 241 1049
+rect 207 797 241 813
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 797
+rect 173 450 207 523
+rect 293 483 327 813
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 199 69 318
+rect 35 115 69 131
+rect 193 199 227 215
+rect 193 61 227 131
+rect 293 199 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__ant.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__ant.mag
new file mode 100644
index 0000000..db5a9d3
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__ant.mag
@@ -0,0 +1,101 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 263
+<< pmoshvt >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 499 110 565
+rect 35 483 110 499
+rect 35 449 47 483
+rect 81 449 110 483
+rect 35 433 110 449
+rect 80 263 110 433
+rect 80 89 110 115
+<< polycont >>
+rect 47 449 81 483
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 965
+rect 35 483 69 605
+rect 121 949 155 1049
+rect 121 589 155 605
+rect 31 449 47 483
+rect 81 449 97 483
+rect 35 365 69 449
+rect 35 331 155 365
+rect 35 215 69 331
+rect 35 115 69 131
+rect 121 215 155 331
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 449 81 483
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 483 108 489
+rect 35 449 47 483
+rect 81 449 108 483
+rect 35 443 108 449
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 466 64 466 1 A
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__antfill.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__antfill.mag
new file mode 100644
index 0000000..ffd9206
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__antfill.mag
@@ -0,0 +1,58 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 483 69 965
+rect 121 589 155 1049
+rect 31 449 47 483
+rect 81 449 97 483
+rect 35 365 69 449
+rect 35 331 155 365
+rect 35 115 69 331
+rect 121 115 155 331
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 449 81 483
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 483 108 489
+rect 35 449 47 483
+rect 81 449 108 483
+rect 35 443 108 449
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 466 64 466 1 A
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__aoi21_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__aoi21_l.mag
new file mode 100644
index 0000000..ecb1d7e
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__aoi21_l.mag
@@ -0,0 +1,197 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 250 115 280 219
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 235 263
+rect 182 131 193 233
+rect 227 219 235 233
+rect 227 131 250 219
+rect 182 115 250 131
+rect 280 165 333 219
+rect 280 131 291 165
+rect 325 131 333 165
+rect 280 115 333 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 813 121 949
+rect 155 813 166 949
+rect 110 565 166 813
+rect 196 949 252 965
+rect 196 745 207 949
+rect 241 745 252 949
+rect 196 565 252 745
+rect 282 949 335 965
+rect 282 677 293 949
+rect 327 677 335 949
+rect 282 565 335 677
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 291 131 325 165
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 813 155 949
+rect 207 745 241 949
+rect 293 677 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 528 110 565
+rect 44 518 110 528
+rect 44 484 60 518
+rect 94 484 110 518
+rect 44 474 110 484
+rect 44 318 74 474
+rect 166 432 196 565
+rect 252 527 282 565
+rect 252 497 309 527
+rect 134 416 196 432
+rect 134 382 146 416
+rect 180 382 196 416
+rect 134 366 196 382
+rect 44 286 110 318
+rect 80 263 110 286
+rect 152 263 182 366
+rect 279 353 309 497
+rect 279 337 333 353
+rect 279 319 289 337
+rect 250 303 289 319
+rect 323 303 333 337
+rect 250 287 333 303
+rect 250 219 280 287
+rect 80 89 110 115
+rect 152 89 182 115
+rect 250 89 280 115
+<< polycont >>
+rect 60 484 94 518
+rect 146 382 180 416
+rect 289 303 323 337
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 797 155 813
+rect 207 949 241 965
+rect 69 745 207 763
+rect 35 729 241 745
+rect 293 949 327 965
+rect 60 518 94 597
+rect 60 468 94 484
+rect 128 432 162 523
+rect 128 416 180 432
+rect 128 382 146 416
+rect 128 366 180 382
+rect 216 337 250 449
+rect 293 409 327 677
+rect 216 303 289 337
+rect 323 303 339 337
+rect 35 233 69 249
+rect 35 61 69 131
+rect 193 115 227 131
+rect 291 165 325 181
+rect 291 61 325 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 60 597 94 631
+rect 128 523 162 557
+rect 216 449 250 483
+rect 293 375 327 409
+rect 193 233 227 261
+rect 193 227 227 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 48 631 106 637
+rect 48 597 60 631
+rect 94 597 128 631
+rect 48 591 106 597
+rect 116 557 174 563
+rect 116 523 128 557
+rect 162 523 196 557
+rect 116 517 174 523
+rect 204 483 262 489
+rect 182 449 216 483
+rect 250 449 262 483
+rect 204 443 262 449
+rect 281 409 339 415
+rect 281 375 293 409
+rect 327 375 339 409
+rect 281 369 339 375
+rect 181 261 239 267
+rect 293 261 327 369
+rect 181 227 193 261
+rect 227 227 327 261
+rect 181 221 239 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 77 614 77 614 1 A0
+port 1 n
+rlabel viali 233 466 233 466 1 B0
+port 2 n
+rlabel metal1 310 362 310 362 1 Y
+port 3 n
+rlabel viali 145 540 145 540 1 A1
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__aoi22_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__aoi22_l.mag
new file mode 100644
index 0000000..cb4cf03
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__aoi22_l.mag
@@ -0,0 +1,239 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 324 115 354 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 200 233
+rect 234 131 252 233
+rect 182 115 252 131
+rect 282 115 324 263
+rect 354 233 407 263
+rect 354 131 365 233
+rect 399 131 407 233
+rect 354 115 407 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 711 35 949
+rect 69 711 80 949
+rect 27 565 80 711
+rect 110 949 166 965
+rect 110 779 121 949
+rect 155 779 166 949
+rect 110 565 166 779
+rect 196 949 252 965
+rect 196 711 207 949
+rect 241 711 252 949
+rect 196 565 252 711
+rect 282 881 338 965
+rect 282 711 293 881
+rect 327 711 338 881
+rect 282 565 338 711
+rect 368 949 421 965
+rect 368 711 379 949
+rect 413 711 421 949
+rect 368 565 421 711
+<< ndiffc >>
+rect 35 131 69 233
+rect 200 131 234 233
+rect 365 131 399 233
+<< pdiffc >>
+rect 35 711 69 949
+rect 121 779 155 949
+rect 207 711 241 949
+rect 293 711 327 881
+rect 379 711 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 80 528 110 565
+rect 44 518 110 528
+rect 44 484 60 518
+rect 94 484 110 518
+rect 44 474 110 484
+rect 44 318 74 474
+rect 166 462 196 565
+rect 152 432 196 462
+rect 116 416 182 432
+rect 116 382 128 416
+rect 162 382 182 416
+rect 116 366 182 382
+rect 44 286 110 318
+rect 80 263 110 286
+rect 152 263 182 366
+rect 252 361 282 565
+rect 338 426 368 565
+rect 338 410 416 426
+rect 338 382 370 410
+rect 224 345 282 361
+rect 224 311 234 345
+rect 268 311 282 345
+rect 224 295 282 311
+rect 252 263 282 295
+rect 324 376 370 382
+rect 404 376 416 410
+rect 324 360 416 376
+rect 324 352 368 360
+rect 324 263 354 352
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 324 89 354 115
+<< polycont >>
+rect 60 484 94 518
+rect 128 382 162 416
+rect 234 311 268 345
+rect 370 376 404 410
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 763 155 779
+rect 207 950 413 985
+rect 207 949 241 950
+rect 69 711 207 729
+rect 379 949 413 950
+rect 35 695 241 711
+rect 293 881 327 897
+rect 60 518 94 597
+rect 293 614 327 711
+rect 379 695 413 711
+rect 293 580 336 614
+rect 60 468 94 484
+rect 128 416 162 523
+rect 128 366 162 382
+rect 216 361 250 449
+rect 216 345 268 361
+rect 216 311 234 345
+rect 234 295 268 311
+rect 302 335 336 580
+rect 370 410 404 426
+rect 370 360 404 376
+rect 35 233 69 249
+rect 35 61 69 131
+rect 200 115 234 131
+rect 365 233 399 249
+rect 365 61 399 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 60 597 94 631
+rect 128 523 162 557
+rect 216 449 250 483
+rect 370 376 404 410
+rect 302 301 336 335
+rect 200 233 234 261
+rect 200 227 234 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 48 631 106 637
+rect 48 597 60 631
+rect 94 597 128 631
+rect 48 591 106 597
+rect 116 557 174 563
+rect 116 523 128 557
+rect 162 523 196 557
+rect 116 517 174 523
+rect 204 483 262 489
+rect 182 449 216 483
+rect 250 449 262 483
+rect 204 443 262 449
+rect 358 410 416 416
+rect 336 376 370 410
+rect 404 376 416 410
+rect 358 370 416 376
+rect 290 335 348 341
+rect 290 301 302 335
+rect 336 301 348 335
+rect 290 295 348 301
+rect 188 261 246 267
+rect 304 261 338 295
+rect 188 227 200 261
+rect 234 227 338 261
+rect 188 221 246 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 77 614 77 614 1 A0
+port 1 n
+rlabel viali 233 466 233 466 1 B0
+port 2 n
+rlabel viali 145 540 145 540 1 A1
+port 4 n
+rlabel viali 387 393 387 393 1 B1
+rlabel metal1 321 288 321 288 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__buf_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_1.mag
new file mode 100644
index 0000000..907a41a
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_1.mag
@@ -0,0 +1,156 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1548 2379
+<< nwell >>
+rect -9 529 288 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 233 166 263
+rect 110 131 121 233
+rect 155 131 166 233
+rect 110 115 166 131
+rect 196 233 249 263
+rect 196 131 207 233
+rect 241 131 249 233
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 609 35 949
+rect 69 609 80 949
+rect 27 565 80 609
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 249 965
+rect 196 609 207 949
+rect 241 609 249 949
+rect 196 565 249 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 233
+rect 207 131 241 233
+<< pdiffc >>
+rect 35 609 69 949
+rect 121 745 155 949
+rect 207 609 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 166 520 251 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 318 251 352
+rect 166 302 251 318
+rect 166 263 196 302
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 352 69 609
+rect 110 461 144 597
+rect 207 557 241 609
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 233 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 233 155 249
+rect 121 61 155 131
+rect 207 115 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 233 241 261
+rect 207 227 241 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 213 403 213 403 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__buf_2.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_2.mag
new file mode 100644
index 0000000..347f21d
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_2.mag
@@ -0,0 +1,179 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 2461 1636 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1636 -1129
+<< nwell >>
+rect -9 529 376 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 166 520 282 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 210 318 282 332
+rect 166 302 282 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 227 241 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__buf_4.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_4.mag
new file mode 100644
index 0000000..292c2d9
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_4.mag
@@ -0,0 +1,244 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 1812 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1812 -1129
+<< nwell >>
+rect -9 529 552 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 507 263
+rect 454 131 465 215
+rect 499 131 507 215
+rect 454 115 507 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 507 965
+rect 454 605 465 949
+rect 499 605 507 949
+rect 454 565 507 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 166 520 454 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 210 318 454 332
+rect 166 302 454 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 550 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 550 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 35 61 69 62
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 207 61 241 62
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 550 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 550 1093
+rect 0 1049 550 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 425 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 425 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__buf_6.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_6.mag
new file mode 100644
index 0000000..58e6525
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_6.mag
@@ -0,0 +1,286 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 1988 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1988 -1129
+<< nwell >>
+rect -9 529 728 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 679 263
+rect 626 131 637 215
+rect 671 131 679 215
+rect 626 115 679 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 679 965
+rect 626 605 637 949
+rect 671 605 679 949
+rect 626 565 679 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 510 550 540 565
+rect 596 550 626 565
+rect 166 520 626 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 510 332 540 520
+rect 210 318 626 332
+rect 166 302 626 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1083 726 1110
+rect 0 1049 51 1083
+rect 85 1049 187 1083
+rect 221 1049 323 1083
+rect 357 1049 459 1083
+rect 493 1049 595 1083
+rect 629 1049 726 1083
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 551 949 585 965
+rect 551 557 585 605
+rect 637 949 671 1049
+rect 637 589 671 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 551 215 585 227
+rect 551 115 585 131
+rect 637 215 671 231
+rect 637 61 671 131
+rect 0 27 51 61
+rect 85 27 187 61
+rect 221 27 323 61
+rect 357 27 459 61
+rect 493 27 595 61
+rect 629 27 726 61
+rect 0 0 726 27
+<< viali >>
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 551 523 585 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 551 227 585 261
+<< metal1 >>
+rect 0 1049 726 1110
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 539 557 597 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 551 557
+rect 585 523 597 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 539 517 597 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 551 267 585 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 539 261 597 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 551 261
+rect 585 227 597 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 539 221 597 227
+rect 0 0 726 61
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel metal1 68 44 68 44 1 gnd
+rlabel metal1 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__buf_8.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_8.mag
new file mode 100644
index 0000000..f0c2e9c
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_8.mag
@@ -0,0 +1,383 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 2164 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2164 -1129
+<< nwell >>
+rect -9 529 904 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 851 263
+rect 798 131 809 215
+rect 843 131 851 215
+rect 798 115 851 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 851 965
+rect 798 605 809 949
+rect 843 605 851 949
+rect 798 565 851 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 510 550 540 565
+rect 596 550 626 565
+rect 682 550 712 565
+rect 768 550 798 565
+rect 166 520 798 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 510 332 540 520
+rect 210 318 798 332
+rect 166 302 798 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 902 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 902 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 551 949 585 965
+rect 551 557 585 605
+rect 637 949 671 1049
+rect 637 589 671 605
+rect 723 949 757 965
+rect 723 557 757 605
+rect 809 949 843 1049
+rect 809 589 843 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 551 215 585 227
+rect 551 115 585 131
+rect 637 215 671 231
+rect 637 61 671 131
+rect 723 215 757 227
+rect 723 115 757 131
+rect 809 215 843 231
+rect 809 61 843 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 902 61
+rect 0 0 902 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 551 523 585 557
+rect 723 523 757 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 551 227 585 261
+rect 723 227 757 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 902 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 902 1093
+rect 0 1049 902 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 539 557 597 563
+rect 711 557 769 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 551 557
+rect 585 523 723 557
+rect 757 523 769 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 539 517 597 523
+rect 711 517 769 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 551 267 585 517
+rect 723 267 757 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 539 261 597 267
+rect 711 261 769 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 551 261
+rect 585 227 723 261
+rect 757 227 769 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 539 221 597 227
+rect 711 221 769 227
+rect 0 51 902 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 902 51
+rect 0 0 902 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__buf_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_l.mag
new file mode 100644
index 0000000..f862249
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__buf_l.mag
@@ -0,0 +1,156 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1548 2379
+<< nwell >>
+rect -9 529 288 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+<< pmoshvt >>
+rect 80 713 110 965
+rect 166 713 196 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 249 219
+rect 196 131 207 165
+rect 241 131 249 165
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 831 35 949
+rect 69 831 80 949
+rect 27 713 80 831
+rect 110 949 166 965
+rect 110 831 121 949
+rect 155 831 166 949
+rect 110 713 166 831
+rect 196 949 249 965
+rect 196 831 207 949
+rect 241 831 249 949
+rect 196 713 249 831
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+<< pdiffc >>
+rect 35 831 69 949
+rect 121 831 155 949
+rect 207 831 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 477 110 713
+rect 166 550 196 713
+rect 166 520 251 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 219 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 318 251 352
+rect 166 302 251 318
+rect 166 219 196 302
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 35 352 69 831
+rect 121 949 155 1049
+rect 121 815 155 831
+rect 207 949 241 965
+rect 110 461 144 597
+rect 207 557 241 831
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 165 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 165 241 227
+rect 207 115 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 227 241 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 214 400 214 400 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__decap_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__decap_1.mag
new file mode 100644
index 0000000..216145f
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__decap_1.mag
@@ -0,0 +1,83 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 367
+<< pmoshvt >>
+rect 80 713 110 965
+<< ndiff >>
+rect 27 335 80 367
+rect 27 131 35 335
+rect 69 131 80 335
+rect 27 115 80 131
+rect 110 335 163 367
+rect 110 131 121 335
+rect 155 131 163 335
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 713 80 745
+rect 110 949 163 965
+rect 110 745 121 949
+rect 155 745 163 949
+rect 110 713 163 745
+<< ndiffc >>
+rect 35 131 69 335
+rect 121 131 155 335
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 745 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 367 110 713
+rect 80 80 110 115
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 35 335 69 351
+rect 35 61 69 131
+rect 121 335 155 351
+rect 121 61 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__decap_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__decap_l.mag
new file mode 100644
index 0000000..5a303b4
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__decap_l.mag
@@ -0,0 +1,83 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 243
+<< pmoshvt >>
+rect 80 837 110 965
+<< ndiff >>
+rect 27 215 80 243
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 243
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 865 35 949
+rect 69 865 80 949
+rect 27 837 80 865
+rect 110 949 163 965
+rect 110 865 121 949
+rect 155 865 163 949
+rect 110 837 163 865
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 865 69 949
+rect 121 865 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 243 110 837
+rect 80 80 110 115
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 849 69 865
+rect 121 949 155 1049
+rect 121 849 155 865
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__dff_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__dff_1.mag
new file mode 100644
index 0000000..d22d92f
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__dff_1.mag
@@ -0,0 +1,634 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1269 -242 2716 2379
+<< nwell >>
+rect -9 529 1456 1119
+<< nmos >>
+rect 85 115 115 263
+rect 171 115 201 263
+rect 243 115 273 263
+rect 363 115 393 263
+rect 435 115 465 263
+rect 521 115 551 263
+rect 593 115 623 263
+rect 713 115 743 263
+rect 785 115 815 263
+rect 871 115 901 263
+rect 1061 115 1091 263
+rect 1251 115 1281 263
+rect 1337 115 1367 263
+<< pmoshvt >>
+rect 85 565 115 965
+rect 171 565 201 965
+rect 243 565 273 965
+rect 363 565 393 965
+rect 435 565 465 965
+rect 521 565 551 965
+rect 593 565 623 965
+rect 713 565 743 965
+rect 785 565 815 965
+rect 871 565 901 965
+rect 1061 565 1091 965
+rect 1251 565 1281 965
+rect 1337 565 1367 965
+<< ndiff >>
+rect 32 215 85 263
+rect 32 131 40 215
+rect 74 131 85 215
+rect 32 115 85 131
+rect 115 215 171 263
+rect 115 131 126 215
+rect 160 131 171 215
+rect 115 115 171 131
+rect 201 115 243 263
+rect 273 215 363 263
+rect 273 131 284 215
+rect 352 131 363 215
+rect 273 115 363 131
+rect 393 115 435 263
+rect 465 165 521 263
+rect 465 131 476 165
+rect 510 131 521 165
+rect 465 115 521 131
+rect 551 115 593 263
+rect 623 215 713 263
+rect 623 131 634 215
+rect 702 131 713 215
+rect 623 115 713 131
+rect 743 115 785 263
+rect 815 215 871 263
+rect 815 131 826 215
+rect 860 131 871 215
+rect 815 115 871 131
+rect 901 215 954 263
+rect 901 131 912 215
+rect 946 131 954 215
+rect 901 115 954 131
+rect 1008 215 1061 263
+rect 1008 131 1016 215
+rect 1050 131 1061 215
+rect 1008 115 1061 131
+rect 1091 215 1144 263
+rect 1091 131 1102 215
+rect 1136 131 1144 215
+rect 1091 115 1144 131
+rect 1198 215 1251 263
+rect 1198 131 1206 215
+rect 1240 131 1251 215
+rect 1198 115 1251 131
+rect 1281 215 1337 263
+rect 1281 131 1292 215
+rect 1326 131 1337 215
+rect 1281 115 1337 131
+rect 1367 215 1420 263
+rect 1367 131 1378 215
+rect 1412 131 1420 215
+rect 1367 115 1420 131
+<< pdiff >>
+rect 32 949 85 965
+rect 32 605 40 949
+rect 74 605 85 949
+rect 32 565 85 605
+rect 115 949 171 965
+rect 115 673 126 949
+rect 160 673 171 949
+rect 115 565 171 673
+rect 201 565 243 965
+rect 273 949 363 965
+rect 273 605 284 949
+rect 352 605 363 949
+rect 273 565 363 605
+rect 393 565 435 965
+rect 465 949 521 965
+rect 465 673 476 949
+rect 510 673 521 949
+rect 465 565 521 673
+rect 551 565 593 965
+rect 623 949 713 965
+rect 623 605 634 949
+rect 702 605 713 949
+rect 623 565 713 605
+rect 743 565 785 965
+rect 815 949 871 965
+rect 815 605 826 949
+rect 860 605 871 949
+rect 815 565 871 605
+rect 901 949 954 965
+rect 901 605 912 949
+rect 946 605 954 949
+rect 901 565 954 605
+rect 1008 949 1061 965
+rect 1008 673 1016 949
+rect 1050 673 1061 949
+rect 1008 565 1061 673
+rect 1091 949 1144 965
+rect 1091 605 1102 949
+rect 1136 605 1144 949
+rect 1091 565 1144 605
+rect 1198 949 1251 965
+rect 1198 605 1206 949
+rect 1240 605 1251 949
+rect 1198 565 1251 605
+rect 1281 949 1337 965
+rect 1281 605 1292 949
+rect 1326 605 1337 949
+rect 1281 565 1337 605
+rect 1367 949 1420 965
+rect 1367 605 1378 949
+rect 1412 605 1420 949
+rect 1367 565 1420 605
+<< ndiffc >>
+rect 40 131 74 215
+rect 126 131 160 215
+rect 284 131 352 215
+rect 476 131 510 165
+rect 634 131 702 215
+rect 826 131 860 215
+rect 912 131 946 215
+rect 1016 131 1050 215
+rect 1102 131 1136 215
+rect 1206 131 1240 215
+rect 1292 131 1326 215
+rect 1378 131 1412 215
+<< pdiffc >>
+rect 40 605 74 949
+rect 126 673 160 949
+rect 284 605 352 949
+rect 476 673 510 949
+rect 634 605 702 949
+rect 826 605 860 949
+rect 912 605 946 949
+rect 1016 673 1050 949
+rect 1102 605 1136 949
+rect 1206 605 1240 949
+rect 1292 605 1326 949
+rect 1378 605 1412 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 85 965 115 991
+rect 171 965 201 991
+rect 243 965 273 991
+rect 363 965 393 991
+rect 435 965 465 991
+rect 521 965 551 991
+rect 593 965 623 991
+rect 713 965 743 991
+rect 785 965 815 991
+rect 871 965 901 991
+rect 1061 965 1091 991
+rect 1251 965 1281 991
+rect 1337 965 1367 991
+rect 85 534 115 565
+rect 75 518 129 534
+rect 75 484 85 518
+rect 119 484 129 518
+rect 75 468 129 484
+rect 75 322 105 468
+rect 171 425 201 565
+rect 243 534 273 565
+rect 243 518 297 534
+rect 243 484 253 518
+rect 287 484 297 518
+rect 243 468 297 484
+rect 171 409 225 425
+rect 363 423 393 565
+rect 435 528 465 565
+rect 521 528 551 565
+rect 435 518 551 528
+rect 435 484 467 518
+rect 501 484 551 518
+rect 435 474 551 484
+rect 593 423 623 565
+rect 713 534 743 565
+rect 689 518 743 534
+rect 689 484 699 518
+rect 733 484 743 518
+rect 689 468 743 484
+rect 171 375 181 409
+rect 215 375 225 409
+rect 171 359 225 375
+rect 267 393 719 423
+rect 75 292 115 322
+rect 85 263 115 292
+rect 171 263 201 359
+rect 267 315 297 393
+rect 689 351 719 393
+rect 785 419 815 565
+rect 871 534 901 565
+rect 871 518 942 534
+rect 871 504 898 518
+rect 882 484 898 504
+rect 932 484 942 518
+rect 882 468 942 484
+rect 785 403 839 419
+rect 785 369 795 403
+rect 829 369 839 403
+rect 785 353 839 369
+rect 243 285 297 315
+rect 339 335 393 351
+rect 339 301 349 335
+rect 383 301 393 335
+rect 339 285 393 301
+rect 243 263 273 285
+rect 363 263 393 285
+rect 435 335 551 345
+rect 435 301 467 335
+rect 501 301 551 335
+rect 435 291 551 301
+rect 435 263 465 291
+rect 521 263 551 291
+rect 593 335 647 351
+rect 593 301 603 335
+rect 637 301 647 335
+rect 593 285 647 301
+rect 689 335 743 351
+rect 689 301 699 335
+rect 733 301 743 335
+rect 689 285 743 301
+rect 593 263 623 285
+rect 713 263 743 285
+rect 785 263 815 353
+rect 882 315 912 468
+rect 1061 351 1091 565
+rect 1251 549 1281 565
+rect 1241 519 1281 549
+rect 1241 419 1271 519
+rect 1337 460 1367 565
+rect 1216 403 1271 419
+rect 1216 369 1226 403
+rect 1260 369 1271 403
+rect 1313 444 1367 460
+rect 1313 410 1323 444
+rect 1357 410 1367 444
+rect 1313 394 1367 410
+rect 1216 353 1271 369
+rect 871 285 912 315
+rect 1008 335 1091 351
+rect 1008 301 1018 335
+rect 1052 301 1091 335
+rect 1008 285 1091 301
+rect 871 263 901 285
+rect 1061 263 1091 285
+rect 1241 308 1271 353
+rect 1241 278 1281 308
+rect 1251 263 1281 278
+rect 1337 263 1367 394
+rect 85 89 115 115
+rect 171 89 201 115
+rect 243 89 273 115
+rect 363 89 393 115
+rect 435 89 465 115
+rect 521 89 551 115
+rect 593 89 623 115
+rect 713 89 743 115
+rect 785 89 815 115
+rect 871 89 901 115
+rect 1061 89 1091 115
+rect 1251 89 1281 115
+rect 1337 89 1367 115
+<< polycont >>
+rect 85 484 119 518
+rect 253 484 287 518
+rect 467 484 501 518
+rect 699 484 733 518
+rect 181 375 215 409
+rect 898 484 932 518
+rect 795 369 829 403
+rect 349 301 383 335
+rect 467 301 501 335
+rect 603 301 637 335
+rect 699 301 733 335
+rect 1226 369 1260 403
+rect 1323 410 1357 444
+rect 1018 301 1052 335
+<< locali >>
+rect 0 1093 1452 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1452 1093
+rect 40 949 74 965
+rect 17 605 40 617
+rect 126 949 160 1049
+rect 126 657 160 673
+rect 284 949 352 965
+rect 17 576 74 605
+rect 476 949 510 1049
+rect 476 657 510 673
+rect 634 949 702 965
+rect 352 605 355 623
+rect 284 602 355 605
+rect 634 602 702 605
+rect 17 335 51 576
+rect 108 568 355 602
+rect 535 568 702 602
+rect 826 949 860 1049
+rect 826 589 860 605
+rect 912 949 946 965
+rect 1016 949 1050 1049
+rect 1016 657 1050 673
+rect 1102 949 1136 965
+rect 912 602 946 605
+rect 912 568 1000 602
+rect 108 534 142 568
+rect 85 518 142 534
+rect 119 484 142 518
+rect 85 468 142 484
+rect 17 301 40 335
+rect 17 280 74 301
+rect 108 318 142 468
+rect 253 518 287 534
+rect 253 483 287 484
+rect 467 518 501 534
+rect 287 449 383 483
+rect 181 409 215 425
+rect 181 359 215 375
+rect 349 335 383 449
+rect 467 335 501 484
+rect 108 284 315 318
+rect 349 285 383 301
+rect 467 285 501 301
+rect 535 335 569 568
+rect 699 518 733 534
+rect 699 483 733 484
+rect 40 215 74 280
+rect 281 231 315 284
+rect 535 251 569 301
+rect 603 449 699 483
+rect 898 518 932 534
+rect 898 483 932 484
+rect 603 335 637 449
+rect 966 403 1000 568
+rect 779 369 795 403
+rect 829 369 845 403
+rect 912 369 1000 403
+rect 1102 403 1136 605
+rect 1206 949 1240 965
+rect 1206 557 1240 605
+rect 1292 949 1326 1049
+rect 1292 589 1326 605
+rect 1378 949 1412 965
+rect 1412 597 1435 614
+rect 1378 580 1435 597
+rect 1206 518 1240 523
+rect 1206 484 1357 518
+rect 1323 444 1357 484
+rect 1102 369 1226 403
+rect 1260 369 1276 403
+rect 912 335 946 369
+rect 683 301 699 335
+rect 733 301 946 335
+rect 1002 301 1018 335
+rect 1052 301 1068 335
+rect 603 285 637 301
+rect 40 115 74 131
+rect 126 215 160 231
+rect 281 215 352 231
+rect 535 217 702 251
+rect 281 197 284 215
+rect 126 61 160 131
+rect 634 215 702 217
+rect 284 115 352 131
+rect 476 165 510 181
+rect 476 61 510 131
+rect 634 115 702 131
+rect 826 215 860 231
+rect 826 61 860 131
+rect 912 215 946 301
+rect 912 115 946 131
+rect 1016 215 1050 231
+rect 1016 61 1050 131
+rect 1102 215 1136 369
+rect 1323 335 1357 410
+rect 1102 115 1136 131
+rect 1206 301 1357 335
+rect 1206 215 1240 301
+rect 1401 270 1435 580
+rect 1378 234 1435 270
+rect 1206 115 1240 131
+rect 1292 215 1326 231
+rect 1292 61 1326 131
+rect 1378 215 1412 234
+rect 1378 115 1412 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 40 301 74 335
+rect 253 449 287 483
+rect 181 375 215 409
+rect 449 301 467 335
+rect 467 301 483 335
+rect 535 301 569 335
+rect 699 449 733 483
+rect 898 449 932 483
+rect 795 369 829 403
+rect 1378 605 1412 631
+rect 1378 597 1412 605
+rect 1206 523 1240 557
+rect 1226 369 1260 403
+rect 1018 301 1052 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1452 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1452 1093
+rect 0 1049 1452 1059
+rect 1366 631 1424 637
+rect 1343 597 1378 631
+rect 1412 597 1424 631
+rect 1366 591 1424 597
+rect 1194 557 1252 563
+rect 1172 523 1206 557
+rect 1240 523 1252 557
+rect 1194 517 1252 523
+rect 241 483 299 489
+rect 687 483 745 489
+rect 886 483 944 489
+rect 241 449 253 483
+rect 287 449 699 483
+rect 733 449 898 483
+rect 932 449 944 483
+rect 241 443 299 449
+rect 687 443 745 449
+rect 886 443 944 449
+rect 169 409 227 415
+rect 169 375 181 409
+rect 215 375 249 409
+rect 783 403 841 409
+rect 1214 403 1272 409
+rect 169 369 227 375
+rect 783 369 795 403
+rect 829 369 1226 403
+rect 1260 369 1272 403
+rect 783 363 841 369
+rect 1214 363 1272 369
+rect 28 335 86 341
+rect 437 335 495 341
+rect 28 301 40 335
+rect 74 301 449 335
+rect 483 301 495 335
+rect 28 295 86 301
+rect 437 295 495 301
+rect 523 335 581 341
+rect 1006 335 1064 341
+rect 523 301 535 335
+rect 569 301 1018 335
+rect 1052 301 1064 335
+rect 523 295 581 301
+rect 1006 295 1064 301
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
+<< labels >>
+rlabel viali 198 392 198 392 1 D
+port 1 n
+rlabel viali 915 466 915 466 1 CK
+port 3 n
+rlabel viali 1395 614 1395 614 1 Q
+port 4 n
+rlabel viali 1224 540 1224 540 1 QN
+port 2 n
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1067 68 1067 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__dff_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__dff_l.mag
new file mode 100644
index 0000000..ded6aef
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__dff_l.mag
@@ -0,0 +1,635 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1269 -242 2716 2379
+<< nwell >>
+rect -9 529 1456 1119
+<< nmos >>
+rect 85 115 115 263
+rect 171 115 201 263
+rect 243 115 273 263
+rect 363 115 393 263
+rect 435 115 465 263
+rect 521 115 551 263
+rect 593 115 623 263
+rect 713 115 743 263
+rect 785 115 815 263
+rect 871 115 901 263
+rect 1061 115 1091 263
+rect 1251 115 1281 219
+rect 1337 115 1367 219
+<< pmoshvt >>
+rect 85 565 115 965
+rect 171 565 201 965
+rect 243 565 273 965
+rect 363 565 393 965
+rect 435 565 465 965
+rect 521 565 551 965
+rect 593 565 623 965
+rect 713 565 743 965
+rect 785 565 815 965
+rect 871 565 901 965
+rect 1061 565 1091 965
+rect 1251 713 1281 965
+rect 1337 713 1367 965
+<< ndiff >>
+rect 32 215 85 263
+rect 32 131 40 215
+rect 74 131 85 215
+rect 32 115 85 131
+rect 115 215 171 263
+rect 115 131 126 215
+rect 160 131 171 215
+rect 115 115 171 131
+rect 201 115 243 263
+rect 273 215 363 263
+rect 273 131 284 215
+rect 352 131 363 215
+rect 273 115 363 131
+rect 393 115 435 263
+rect 465 165 521 263
+rect 465 131 476 165
+rect 510 131 521 165
+rect 465 115 521 131
+rect 551 115 593 263
+rect 623 215 713 263
+rect 623 131 634 215
+rect 702 131 713 215
+rect 623 115 713 131
+rect 743 115 785 263
+rect 815 215 871 263
+rect 815 131 826 215
+rect 860 131 871 215
+rect 815 115 871 131
+rect 901 215 954 263
+rect 901 131 912 215
+rect 946 131 954 215
+rect 901 115 954 131
+rect 1008 215 1061 263
+rect 1008 131 1016 215
+rect 1050 131 1061 215
+rect 1008 115 1061 131
+rect 1091 215 1144 263
+rect 1091 131 1102 215
+rect 1136 131 1144 215
+rect 1091 115 1144 131
+rect 1198 165 1251 219
+rect 1198 131 1206 165
+rect 1240 131 1251 165
+rect 1198 115 1251 131
+rect 1281 165 1337 219
+rect 1281 131 1292 165
+rect 1326 131 1337 165
+rect 1281 115 1337 131
+rect 1367 165 1420 219
+rect 1367 131 1378 165
+rect 1412 131 1420 165
+rect 1367 115 1420 131
+<< pdiff >>
+rect 32 949 85 965
+rect 32 605 40 949
+rect 74 605 85 949
+rect 32 565 85 605
+rect 115 949 171 965
+rect 115 673 126 949
+rect 160 673 171 949
+rect 115 565 171 673
+rect 201 565 243 965
+rect 273 949 363 965
+rect 273 605 284 949
+rect 352 605 363 949
+rect 273 565 363 605
+rect 393 565 435 965
+rect 465 949 521 965
+rect 465 673 476 949
+rect 510 673 521 949
+rect 465 565 521 673
+rect 551 565 593 965
+rect 623 949 713 965
+rect 623 673 634 949
+rect 702 673 713 949
+rect 623 565 713 673
+rect 743 565 785 965
+rect 815 949 871 965
+rect 815 605 826 949
+rect 860 605 871 949
+rect 815 565 871 605
+rect 901 949 954 965
+rect 901 605 912 949
+rect 946 605 954 949
+rect 901 565 954 605
+rect 1008 949 1061 965
+rect 1008 673 1016 949
+rect 1050 673 1061 949
+rect 1008 565 1061 673
+rect 1091 949 1144 965
+rect 1091 605 1102 949
+rect 1136 605 1144 949
+rect 1198 949 1251 965
+rect 1198 877 1206 949
+rect 1240 877 1251 949
+rect 1198 713 1251 877
+rect 1281 949 1337 965
+rect 1281 877 1292 949
+rect 1326 877 1337 949
+rect 1281 713 1337 877
+rect 1367 949 1420 965
+rect 1367 877 1378 949
+rect 1412 877 1420 949
+rect 1367 713 1420 877
+rect 1091 565 1144 605
+<< ndiffc >>
+rect 40 131 74 215
+rect 126 131 160 215
+rect 284 131 352 215
+rect 476 131 510 165
+rect 634 131 702 215
+rect 826 131 860 215
+rect 912 131 946 215
+rect 1016 131 1050 215
+rect 1102 131 1136 215
+rect 1206 131 1240 165
+rect 1292 131 1326 165
+rect 1378 131 1412 165
+<< pdiffc >>
+rect 40 605 74 949
+rect 126 673 160 949
+rect 284 605 352 949
+rect 476 673 510 949
+rect 634 673 702 949
+rect 826 605 860 949
+rect 912 605 946 949
+rect 1016 673 1050 949
+rect 1102 605 1136 949
+rect 1206 877 1240 949
+rect 1292 877 1326 949
+rect 1378 877 1412 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 85 965 115 991
+rect 171 965 201 991
+rect 243 965 273 991
+rect 363 965 393 991
+rect 435 965 465 991
+rect 521 965 551 991
+rect 593 965 623 991
+rect 713 965 743 991
+rect 785 965 815 991
+rect 871 965 901 991
+rect 1061 965 1091 991
+rect 1251 965 1281 991
+rect 1337 965 1367 991
+rect 85 534 115 565
+rect 75 518 129 534
+rect 75 484 85 518
+rect 119 484 129 518
+rect 75 468 129 484
+rect 75 322 105 468
+rect 171 425 201 565
+rect 243 534 273 565
+rect 243 518 297 534
+rect 243 484 253 518
+rect 287 484 297 518
+rect 243 468 297 484
+rect 171 409 225 425
+rect 363 423 393 565
+rect 435 528 465 565
+rect 521 528 551 565
+rect 435 518 551 528
+rect 435 484 467 518
+rect 501 484 551 518
+rect 435 474 551 484
+rect 593 423 623 565
+rect 713 534 743 565
+rect 689 518 743 534
+rect 689 484 699 518
+rect 733 484 743 518
+rect 689 468 743 484
+rect 171 375 181 409
+rect 215 375 225 409
+rect 171 359 225 375
+rect 267 393 719 423
+rect 75 292 115 322
+rect 85 263 115 292
+rect 171 263 201 359
+rect 267 315 297 393
+rect 689 351 719 393
+rect 785 419 815 565
+rect 871 534 901 565
+rect 871 518 942 534
+rect 871 504 898 518
+rect 882 484 898 504
+rect 932 484 942 518
+rect 882 468 942 484
+rect 785 403 839 419
+rect 785 369 795 403
+rect 829 369 839 403
+rect 785 353 839 369
+rect 243 285 297 315
+rect 339 335 393 351
+rect 339 301 349 335
+rect 383 301 393 335
+rect 339 285 393 301
+rect 243 263 273 285
+rect 363 263 393 285
+rect 435 335 551 345
+rect 435 301 467 335
+rect 501 301 551 335
+rect 435 291 551 301
+rect 435 263 465 291
+rect 521 263 551 291
+rect 593 335 647 351
+rect 593 301 603 335
+rect 637 301 647 335
+rect 593 285 647 301
+rect 689 335 743 351
+rect 689 301 699 335
+rect 733 301 743 335
+rect 689 285 743 301
+rect 593 263 623 285
+rect 713 263 743 285
+rect 785 263 815 353
+rect 882 315 912 468
+rect 1061 351 1091 565
+rect 1251 549 1281 713
+rect 1241 519 1281 549
+rect 1241 419 1271 519
+rect 1337 460 1367 713
+rect 1216 403 1271 419
+rect 1216 369 1226 403
+rect 1260 369 1271 403
+rect 1313 444 1367 460
+rect 1313 410 1323 444
+rect 1357 410 1367 444
+rect 1313 394 1367 410
+rect 1216 353 1271 369
+rect 871 285 912 315
+rect 1008 335 1091 351
+rect 1008 301 1018 335
+rect 1052 301 1091 335
+rect 1008 285 1091 301
+rect 871 263 901 285
+rect 1061 263 1091 285
+rect 1241 308 1271 353
+rect 1241 278 1281 308
+rect 1251 219 1281 278
+rect 1337 219 1367 394
+rect 85 89 115 115
+rect 171 89 201 115
+rect 243 89 273 115
+rect 363 89 393 115
+rect 435 89 465 115
+rect 521 89 551 115
+rect 593 89 623 115
+rect 713 89 743 115
+rect 785 89 815 115
+rect 871 89 901 115
+rect 1061 89 1091 115
+rect 1251 89 1281 115
+rect 1337 89 1367 115
+<< polycont >>
+rect 85 484 119 518
+rect 253 484 287 518
+rect 467 484 501 518
+rect 699 484 733 518
+rect 181 375 215 409
+rect 898 484 932 518
+rect 795 369 829 403
+rect 349 301 383 335
+rect 467 301 501 335
+rect 603 301 637 335
+rect 699 301 733 335
+rect 1226 369 1260 403
+rect 1323 410 1357 444
+rect 1018 301 1052 335
+<< locali >>
+rect 0 1093 1452 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1452 1093
+rect 40 949 74 965
+rect 17 605 40 617
+rect 126 949 160 1049
+rect 126 657 160 673
+rect 284 949 352 965
+rect 17 583 74 605
+rect 476 949 510 1049
+rect 476 657 510 673
+rect 634 949 702 965
+rect 352 605 355 623
+rect 284 602 355 605
+rect 634 602 702 673
+rect 17 335 51 583
+rect 108 568 355 602
+rect 535 568 702 602
+rect 826 949 860 1049
+rect 826 589 860 605
+rect 912 949 946 965
+rect 1016 949 1050 1049
+rect 1016 657 1050 673
+rect 1102 949 1136 965
+rect 912 602 946 605
+rect 912 568 1000 602
+rect 108 534 142 568
+rect 85 518 142 534
+rect 119 484 142 518
+rect 85 468 142 484
+rect 17 301 40 335
+rect 17 280 74 301
+rect 108 318 142 468
+rect 253 518 287 534
+rect 253 483 287 484
+rect 467 518 501 534
+rect 287 449 383 483
+rect 181 409 215 425
+rect 181 359 215 375
+rect 349 335 383 449
+rect 467 335 501 484
+rect 108 284 315 318
+rect 349 285 383 301
+rect 467 285 501 301
+rect 535 335 569 568
+rect 699 518 733 534
+rect 699 483 733 484
+rect 40 215 74 280
+rect 281 231 315 284
+rect 535 251 569 301
+rect 603 449 699 483
+rect 898 518 932 534
+rect 898 483 932 484
+rect 603 335 637 449
+rect 966 403 1000 568
+rect 779 369 795 403
+rect 829 369 845 403
+rect 912 369 1000 403
+rect 1102 403 1136 605
+rect 1206 949 1240 965
+rect 1206 557 1240 877
+rect 1292 949 1326 1049
+rect 1292 861 1326 877
+rect 1378 949 1412 965
+rect 1378 631 1412 877
+rect 1411 614 1412 631
+rect 1411 597 1435 614
+rect 1378 580 1435 597
+rect 1206 518 1240 523
+rect 1206 484 1357 518
+rect 1323 444 1357 484
+rect 1102 369 1226 403
+rect 1260 369 1276 403
+rect 912 335 946 369
+rect 683 301 699 335
+rect 733 301 946 335
+rect 1002 301 1018 335
+rect 1052 301 1068 335
+rect 603 285 637 301
+rect 40 115 74 131
+rect 126 215 160 231
+rect 281 215 352 231
+rect 535 217 702 251
+rect 281 197 284 215
+rect 126 61 160 131
+rect 634 215 702 217
+rect 284 115 352 131
+rect 476 165 510 181
+rect 476 61 510 131
+rect 634 115 702 131
+rect 826 215 860 231
+rect 826 61 860 131
+rect 912 215 946 301
+rect 912 115 946 131
+rect 1016 215 1050 231
+rect 1016 61 1050 131
+rect 1102 215 1136 369
+rect 1323 335 1357 410
+rect 1102 115 1136 131
+rect 1206 301 1357 335
+rect 1206 165 1240 301
+rect 1401 268 1435 580
+rect 1378 234 1435 268
+rect 1206 115 1240 131
+rect 1292 165 1326 181
+rect 1292 61 1326 131
+rect 1378 165 1412 234
+rect 1378 115 1412 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 40 301 74 335
+rect 253 449 287 483
+rect 181 375 215 409
+rect 449 301 467 335
+rect 467 301 483 335
+rect 535 301 569 335
+rect 699 449 733 483
+rect 898 449 932 483
+rect 795 369 829 403
+rect 1377 597 1411 631
+rect 1206 523 1240 557
+rect 1226 369 1260 403
+rect 1018 301 1052 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1452 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1452 1093
+rect 0 1049 1452 1059
+rect 1365 631 1423 637
+rect 1343 597 1377 631
+rect 1411 597 1423 631
+rect 1365 591 1423 597
+rect 1194 557 1252 563
+rect 1172 523 1206 557
+rect 1240 523 1252 557
+rect 1194 517 1252 523
+rect 241 483 299 489
+rect 687 483 745 489
+rect 886 483 944 489
+rect 241 449 253 483
+rect 287 449 699 483
+rect 733 449 898 483
+rect 932 449 944 483
+rect 241 443 299 449
+rect 687 443 745 449
+rect 886 443 944 449
+rect 169 409 227 415
+rect 169 375 181 409
+rect 215 375 249 409
+rect 783 403 841 409
+rect 1214 403 1272 409
+rect 169 369 227 375
+rect 783 369 795 403
+rect 829 369 1226 403
+rect 1260 369 1272 403
+rect 783 363 841 369
+rect 1214 363 1272 369
+rect 28 335 86 341
+rect 437 335 495 341
+rect 28 301 40 335
+rect 74 301 449 335
+rect 483 301 495 335
+rect 28 295 86 301
+rect 437 295 495 301
+rect 523 335 581 341
+rect 1006 335 1064 341
+rect 523 301 535 335
+rect 569 301 1018 335
+rect 1052 301 1064 335
+rect 523 295 581 301
+rect 1006 295 1064 301
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
+<< labels >>
+rlabel viali 198 392 198 392 1 D
+port 1 n
+rlabel viali 1394 614 1394 614 1 Q
+port 2 n
+rlabel viali 1224 540 1224 540 1 QN
+port 3 n
+rlabel viali 915 466 915 466 1 CK
+port 4 n
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1067 68 1067 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__dffr_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__dffr_1.mag
new file mode 100644
index 0000000..a02515c
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__dffr_1.mag
@@ -0,0 +1,808 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3177 2379
+<< nwell >>
+rect -10 529 1917 1119
+<< nmos >>
+rect 80 115 110 263
+rect 270 115 300 219
+rect 356 115 386 219
+rect 546 115 576 263
+rect 618 115 648 263
+rect 738 115 768 263
+rect 810 115 840 263
+rect 896 115 926 263
+rect 968 115 998 263
+rect 1088 115 1118 263
+rect 1160 115 1190 263
+rect 1246 115 1276 263
+rect 1436 115 1466 219
+rect 1522 115 1552 219
+rect 1712 115 1742 263
+rect 1798 115 1828 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 270 713 300 965
+rect 342 713 372 965
+rect 546 565 576 965
+rect 618 565 648 965
+rect 738 565 768 965
+rect 810 565 840 965
+rect 896 565 926 965
+rect 968 565 998 965
+rect 1088 565 1118 965
+rect 1160 565 1190 965
+rect 1246 565 1276 965
+rect 1436 713 1466 965
+rect 1508 713 1538 965
+rect 1712 565 1742 965
+rect 1798 565 1828 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 165 270 219
+rect 217 131 225 165
+rect 259 131 270 165
+rect 217 115 270 131
+rect 300 165 356 219
+rect 300 131 311 165
+rect 345 131 356 165
+rect 300 115 356 131
+rect 386 165 439 219
+rect 386 131 397 165
+rect 431 131 439 165
+rect 386 115 439 131
+rect 493 215 546 263
+rect 493 131 501 215
+rect 535 131 546 215
+rect 493 115 546 131
+rect 576 115 618 263
+rect 648 215 738 263
+rect 648 131 659 215
+rect 727 131 738 215
+rect 648 115 738 131
+rect 768 115 810 263
+rect 840 165 896 263
+rect 840 131 851 165
+rect 885 131 896 165
+rect 840 115 896 131
+rect 926 115 968 263
+rect 998 215 1088 263
+rect 998 131 1009 215
+rect 1077 131 1088 215
+rect 998 115 1088 131
+rect 1118 115 1160 263
+rect 1190 215 1246 263
+rect 1190 131 1201 215
+rect 1235 131 1246 215
+rect 1190 115 1246 131
+rect 1276 215 1329 263
+rect 1276 131 1287 215
+rect 1321 131 1329 215
+rect 1276 115 1329 131
+rect 1383 165 1436 219
+rect 1383 131 1391 165
+rect 1425 131 1436 165
+rect 1383 115 1436 131
+rect 1466 165 1522 219
+rect 1466 131 1477 165
+rect 1511 131 1522 165
+rect 1466 115 1522 131
+rect 1552 165 1605 219
+rect 1552 131 1563 165
+rect 1597 131 1605 165
+rect 1552 115 1605 131
+rect 1659 165 1712 263
+rect 1659 131 1667 165
+rect 1701 131 1712 165
+rect 1659 115 1712 131
+rect 1742 165 1798 263
+rect 1742 131 1753 165
+rect 1787 131 1798 165
+rect 1742 115 1798 131
+rect 1828 165 1881 263
+rect 1828 131 1839 165
+rect 1873 131 1881 165
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 217 949 270 965
+rect 217 809 225 949
+rect 259 809 270 949
+rect 217 713 270 809
+rect 300 713 342 965
+rect 372 949 425 965
+rect 372 809 383 949
+rect 417 809 425 949
+rect 372 713 425 809
+rect 493 949 546 965
+rect 110 565 163 605
+rect 493 673 501 949
+rect 535 673 546 949
+rect 493 565 546 673
+rect 576 565 618 965
+rect 648 949 738 965
+rect 648 605 659 949
+rect 727 605 738 949
+rect 648 565 738 605
+rect 768 565 810 965
+rect 840 949 896 965
+rect 840 673 851 949
+rect 885 673 896 949
+rect 840 565 896 673
+rect 926 565 968 965
+rect 998 949 1088 965
+rect 998 673 1009 949
+rect 1077 673 1088 949
+rect 998 565 1088 673
+rect 1118 565 1160 965
+rect 1190 949 1246 965
+rect 1190 605 1201 949
+rect 1235 605 1246 949
+rect 1190 565 1246 605
+rect 1276 949 1329 965
+rect 1276 605 1287 949
+rect 1321 605 1329 949
+rect 1383 949 1436 965
+rect 1383 809 1391 949
+rect 1425 809 1436 949
+rect 1383 713 1436 809
+rect 1466 713 1508 965
+rect 1538 949 1591 965
+rect 1538 809 1549 949
+rect 1583 809 1591 949
+rect 1538 713 1591 809
+rect 1659 949 1712 965
+rect 1659 809 1667 949
+rect 1701 809 1712 949
+rect 1276 565 1329 605
+rect 1659 565 1712 809
+rect 1742 949 1798 965
+rect 1742 809 1753 949
+rect 1787 809 1798 949
+rect 1742 565 1798 809
+rect 1828 949 1881 965
+rect 1828 809 1839 949
+rect 1873 809 1881 949
+rect 1828 565 1881 809
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 165
+rect 311 131 345 165
+rect 397 131 431 165
+rect 501 131 535 215
+rect 659 131 727 215
+rect 851 131 885 165
+rect 1009 131 1077 215
+rect 1201 131 1235 215
+rect 1287 131 1321 215
+rect 1391 131 1425 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1667 131 1701 165
+rect 1753 131 1787 165
+rect 1839 131 1873 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 809 259 949
+rect 383 809 417 949
+rect 501 673 535 949
+rect 659 605 727 949
+rect 851 673 885 949
+rect 1009 673 1077 949
+rect 1201 605 1235 949
+rect 1287 605 1321 949
+rect 1391 809 1425 949
+rect 1549 809 1583 949
+rect 1667 809 1701 949
+rect 1753 809 1787 949
+rect 1839 809 1873 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 342 965 372 991
+rect 546 965 576 991
+rect 618 965 648 991
+rect 738 965 768 991
+rect 810 965 840 991
+rect 896 965 926 991
+rect 968 965 998 991
+rect 1088 965 1118 991
+rect 1160 965 1190 991
+rect 1246 965 1276 991
+rect 1436 965 1466 991
+rect 1508 965 1538 991
+rect 1712 965 1742 991
+rect 1798 965 1828 991
+rect 80 442 110 565
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 270 307 300 713
+rect 342 532 372 713
+rect 342 516 415 532
+rect 342 482 371 516
+rect 405 482 415 516
+rect 342 466 415 482
+rect 219 291 300 307
+rect 219 257 229 291
+rect 263 257 300 291
+rect 219 241 300 257
+rect 270 219 300 241
+rect 356 219 386 466
+rect 546 425 576 565
+rect 618 534 648 565
+rect 618 518 672 534
+rect 618 484 628 518
+rect 662 484 672 518
+rect 618 468 672 484
+rect 546 409 600 425
+rect 738 423 768 565
+rect 810 528 840 565
+rect 896 528 926 565
+rect 810 518 926 528
+rect 810 484 842 518
+rect 876 484 926 518
+rect 810 474 926 484
+rect 968 423 998 565
+rect 1088 534 1118 565
+rect 1064 518 1118 534
+rect 1064 484 1074 518
+rect 1108 484 1118 518
+rect 1064 468 1118 484
+rect 546 375 556 409
+rect 590 375 600 409
+rect 546 359 600 375
+rect 642 393 1094 423
+rect 546 263 576 359
+rect 642 315 672 393
+rect 1064 351 1094 393
+rect 1160 419 1190 565
+rect 1246 534 1276 565
+rect 1246 518 1317 534
+rect 1246 504 1273 518
+rect 1257 484 1273 504
+rect 1307 484 1317 518
+rect 1257 468 1317 484
+rect 1160 403 1214 419
+rect 1160 369 1170 403
+rect 1204 369 1214 403
+rect 1160 353 1214 369
+rect 618 285 672 315
+rect 714 335 768 351
+rect 714 301 724 335
+rect 758 301 768 335
+rect 714 285 768 301
+rect 618 263 648 285
+rect 738 263 768 285
+rect 810 335 926 345
+rect 810 301 842 335
+rect 876 301 926 335
+rect 810 291 926 301
+rect 810 263 840 291
+rect 896 263 926 291
+rect 968 335 1022 351
+rect 968 301 978 335
+rect 1012 301 1022 335
+rect 968 285 1022 301
+rect 1064 335 1118 351
+rect 1064 301 1074 335
+rect 1108 301 1118 335
+rect 1064 285 1118 301
+rect 968 263 998 285
+rect 1088 263 1118 285
+rect 1160 263 1190 353
+rect 1257 315 1287 468
+rect 1436 351 1466 713
+rect 1246 285 1287 315
+rect 1399 335 1466 351
+rect 1399 301 1409 335
+rect 1443 301 1466 335
+rect 1399 285 1466 301
+rect 1246 263 1276 285
+rect 1423 284 1466 285
+rect 1436 219 1466 284
+rect 1508 307 1538 713
+rect 1712 549 1742 565
+rect 1702 519 1742 549
+rect 1702 419 1732 519
+rect 1798 460 1828 565
+rect 1677 403 1732 419
+rect 1677 369 1687 403
+rect 1721 369 1732 403
+rect 1774 444 1828 460
+rect 1774 410 1784 444
+rect 1818 410 1828 444
+rect 1774 394 1828 410
+rect 1677 353 1732 369
+rect 1702 308 1732 353
+rect 1508 291 1589 307
+rect 1508 257 1545 291
+rect 1579 257 1589 291
+rect 1702 278 1742 308
+rect 1712 263 1742 278
+rect 1798 263 1828 394
+rect 1508 241 1589 257
+rect 1522 219 1552 241
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 392 123 426
+rect 371 482 405 516
+rect 229 257 263 291
+rect 628 484 662 518
+rect 842 484 876 518
+rect 1074 484 1108 518
+rect 556 375 590 409
+rect 1273 484 1307 518
+rect 1170 369 1204 403
+rect 724 301 758 335
+rect 842 301 876 335
+rect 978 301 1012 335
+rect 1074 301 1108 335
+rect 1409 301 1443 335
+rect 1687 369 1721 403
+rect 1784 410 1818 444
+rect 1545 257 1579 291
+<< locali >>
+rect 0 1093 1914 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1914 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 375 259 809
+rect 383 949 417 1049
+rect 383 793 417 809
+rect 501 949 535 1049
+rect 501 657 535 673
+rect 659 949 727 965
+rect 851 949 885 1049
+rect 851 657 885 673
+rect 1009 949 1077 965
+rect 659 602 727 605
+rect 1009 602 1077 673
+rect 405 568 727 602
+rect 910 568 1077 602
+rect 1201 949 1235 1049
+rect 1201 589 1235 605
+rect 1287 949 1321 965
+rect 1391 949 1425 965
+rect 1391 721 1425 809
+rect 1549 949 1583 1049
+rect 1549 793 1583 809
+rect 1667 949 1701 965
+rect 1391 687 1511 721
+rect 1287 602 1321 605
+rect 1287 568 1377 602
+rect 405 532 439 568
+rect 371 516 439 532
+rect 405 482 439 516
+rect 371 466 439 482
+rect 225 341 345 375
+rect 311 335 345 341
+rect 229 291 263 307
+rect 121 257 229 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 121 115 155 131
+rect 225 165 259 181
+rect 225 61 259 131
+rect 311 165 345 301
+rect 405 318 439 466
+rect 628 518 662 534
+rect 628 483 662 484
+rect 842 518 876 534
+rect 662 449 758 483
+rect 556 409 590 425
+rect 556 359 590 375
+rect 724 335 758 449
+rect 842 335 876 484
+rect 405 284 690 318
+rect 724 285 758 301
+rect 842 285 876 301
+rect 910 335 944 568
+rect 1074 518 1108 534
+rect 1074 483 1108 484
+rect 656 231 690 284
+rect 910 251 944 301
+rect 978 449 1074 483
+rect 1273 518 1307 534
+rect 1273 483 1307 484
+rect 978 335 1012 449
+rect 1341 403 1377 568
+rect 1154 369 1170 403
+rect 1204 369 1220 403
+rect 1287 369 1377 403
+rect 1477 403 1511 687
+rect 1667 557 1701 809
+rect 1753 949 1787 1049
+rect 1753 793 1787 809
+rect 1839 949 1873 965
+rect 1839 631 1873 809
+rect 1872 614 1873 631
+rect 1872 597 1896 614
+rect 1839 580 1896 597
+rect 1667 518 1701 523
+rect 1667 484 1818 518
+rect 1784 444 1818 484
+rect 1477 369 1687 403
+rect 1721 369 1737 403
+rect 1287 335 1321 369
+rect 1058 301 1074 335
+rect 1108 301 1321 335
+rect 978 285 1012 301
+rect 501 215 535 231
+rect 311 115 345 131
+rect 397 165 431 181
+rect 397 61 431 131
+rect 656 215 727 231
+rect 910 217 1077 251
+rect 656 197 659 215
+rect 501 61 535 131
+rect 1009 215 1077 217
+rect 659 115 727 131
+rect 851 165 885 181
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 215 1235 231
+rect 1201 61 1235 131
+rect 1287 215 1321 301
+rect 1409 335 1443 351
+rect 1409 285 1443 301
+rect 1287 115 1321 131
+rect 1391 165 1425 181
+rect 1391 61 1425 131
+rect 1477 165 1511 369
+rect 1784 335 1818 410
+rect 1545 291 1579 307
+rect 1667 301 1818 335
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1667 165 1701 301
+rect 1862 268 1896 580
+rect 1839 234 1896 268
+rect 1667 115 1701 131
+rect 1753 165 1787 181
+rect 1753 61 1787 131
+rect 1839 165 1873 234
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 47 597 81 631
+rect 229 257 263 261
+rect 229 227 263 257
+rect 311 301 345 335
+rect 628 449 662 483
+rect 556 375 590 409
+rect 824 301 842 335
+rect 842 301 858 335
+rect 910 301 944 335
+rect 1074 449 1108 483
+rect 1273 449 1307 483
+rect 1170 369 1204 403
+rect 1838 597 1872 631
+rect 1667 523 1701 557
+rect 1687 369 1721 403
+rect 1409 301 1443 335
+rect 1545 257 1579 261
+rect 1545 227 1579 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1093 1914 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1914 1093
+rect 0 1049 1914 1059
+rect 35 631 93 637
+rect 1826 631 1884 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1804 597 1838 631
+rect 1872 597 1884 631
+rect 35 591 93 597
+rect 1826 591 1884 597
+rect 1655 557 1713 563
+rect 1632 523 1667 557
+rect 1701 523 1713 557
+rect 1655 517 1713 523
+rect 616 483 674 489
+rect 1062 483 1120 489
+rect 1261 483 1319 489
+rect 616 449 628 483
+rect 662 449 1074 483
+rect 1108 449 1273 483
+rect 1307 449 1319 483
+rect 616 443 674 449
+rect 1062 443 1120 449
+rect 1261 443 1319 449
+rect 544 409 602 415
+rect 544 375 556 409
+rect 590 375 624 409
+rect 1158 403 1216 409
+rect 1675 403 1733 409
+rect 544 369 602 375
+rect 1158 369 1170 403
+rect 1204 369 1687 403
+rect 1721 369 1733 403
+rect 1158 363 1216 369
+rect 1675 363 1733 369
+rect 299 335 357 341
+rect 812 335 870 341
+rect 299 301 311 335
+rect 345 301 824 335
+rect 858 301 870 335
+rect 299 295 357 301
+rect 812 295 870 301
+rect 898 335 956 341
+rect 1397 335 1455 341
+rect 898 301 910 335
+rect 944 301 1409 335
+rect 1443 301 1455 335
+rect 898 295 956 301
+rect 1397 295 1455 301
+rect 217 261 275 267
+rect 1533 261 1591 267
+rect 217 227 229 261
+rect 263 227 1545 261
+rect 1579 227 1591 261
+rect 217 221 275 227
+rect 1533 221 1591 227
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel viali 65 614 65 614 1 RN
+port 1 n
+rlabel viali 573 392 573 392 1 D
+port 2 n
+rlabel viali 1290 466 1290 466 1 CK
+port 3 n
+rlabel viali 1855 614 1855 614 1 Q
+port 4 n
+rlabel viali 1685 540 1685 540 1 QN
+port 5 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__dffr_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__dffr_l.mag
new file mode 100644
index 0000000..eb3e9ad
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__dffr_l.mag
@@ -0,0 +1,808 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3177 2379
+<< nwell >>
+rect -10 529 1917 1119
+<< nmos >>
+rect 80 115 110 263
+rect 270 115 300 219
+rect 356 115 386 219
+rect 546 115 576 263
+rect 618 115 648 263
+rect 738 115 768 263
+rect 810 115 840 263
+rect 896 115 926 263
+rect 968 115 998 263
+rect 1088 115 1118 263
+rect 1160 115 1190 263
+rect 1246 115 1276 263
+rect 1436 115 1466 219
+rect 1522 115 1552 219
+rect 1712 115 1742 219
+rect 1798 115 1828 219
+<< pmoshvt >>
+rect 80 565 110 965
+rect 270 713 300 965
+rect 342 713 372 965
+rect 546 565 576 965
+rect 618 565 648 965
+rect 738 565 768 965
+rect 810 565 840 965
+rect 896 565 926 965
+rect 968 565 998 965
+rect 1088 565 1118 965
+rect 1160 565 1190 965
+rect 1246 565 1276 965
+rect 1436 713 1466 965
+rect 1508 713 1538 965
+rect 1712 713 1742 965
+rect 1798 713 1828 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 165 270 219
+rect 217 131 225 165
+rect 259 131 270 165
+rect 217 115 270 131
+rect 300 165 356 219
+rect 300 131 311 165
+rect 345 131 356 165
+rect 300 115 356 131
+rect 386 165 439 219
+rect 386 131 397 165
+rect 431 131 439 165
+rect 386 115 439 131
+rect 493 215 546 263
+rect 493 131 501 215
+rect 535 131 546 215
+rect 493 115 546 131
+rect 576 115 618 263
+rect 648 215 738 263
+rect 648 131 659 215
+rect 727 131 738 215
+rect 648 115 738 131
+rect 768 115 810 263
+rect 840 165 896 263
+rect 840 131 851 165
+rect 885 131 896 165
+rect 840 115 896 131
+rect 926 115 968 263
+rect 998 215 1088 263
+rect 998 131 1009 215
+rect 1077 131 1088 215
+rect 998 115 1088 131
+rect 1118 115 1160 263
+rect 1190 215 1246 263
+rect 1190 131 1201 215
+rect 1235 131 1246 215
+rect 1190 115 1246 131
+rect 1276 215 1329 263
+rect 1276 131 1287 215
+rect 1321 131 1329 215
+rect 1276 115 1329 131
+rect 1383 165 1436 219
+rect 1383 131 1391 165
+rect 1425 131 1436 165
+rect 1383 115 1436 131
+rect 1466 165 1522 219
+rect 1466 131 1477 165
+rect 1511 131 1522 165
+rect 1466 115 1522 131
+rect 1552 165 1605 219
+rect 1552 131 1563 165
+rect 1597 131 1605 165
+rect 1552 115 1605 131
+rect 1659 165 1712 219
+rect 1659 131 1667 165
+rect 1701 131 1712 165
+rect 1659 115 1712 131
+rect 1742 165 1798 219
+rect 1742 131 1753 165
+rect 1787 131 1798 165
+rect 1742 115 1798 131
+rect 1828 165 1881 219
+rect 1828 131 1839 165
+rect 1873 131 1881 165
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 217 949 270 965
+rect 217 809 225 949
+rect 259 809 270 949
+rect 217 713 270 809
+rect 300 713 342 965
+rect 372 949 425 965
+rect 372 809 383 949
+rect 417 809 425 949
+rect 372 713 425 809
+rect 493 949 546 965
+rect 110 565 163 605
+rect 493 673 501 949
+rect 535 673 546 949
+rect 493 565 546 673
+rect 576 565 618 965
+rect 648 949 738 965
+rect 648 605 659 949
+rect 727 605 738 949
+rect 648 565 738 605
+rect 768 565 810 965
+rect 840 949 896 965
+rect 840 673 851 949
+rect 885 673 896 949
+rect 840 565 896 673
+rect 926 565 968 965
+rect 998 949 1088 965
+rect 998 673 1009 949
+rect 1077 673 1088 949
+rect 998 565 1088 673
+rect 1118 565 1160 965
+rect 1190 949 1246 965
+rect 1190 605 1201 949
+rect 1235 605 1246 949
+rect 1190 565 1246 605
+rect 1276 949 1329 965
+rect 1276 605 1287 949
+rect 1321 605 1329 949
+rect 1383 949 1436 965
+rect 1383 809 1391 949
+rect 1425 809 1436 949
+rect 1383 713 1436 809
+rect 1466 713 1508 965
+rect 1538 949 1591 965
+rect 1538 809 1549 949
+rect 1583 809 1591 949
+rect 1538 713 1591 809
+rect 1659 949 1712 965
+rect 1659 809 1667 949
+rect 1701 809 1712 949
+rect 1659 713 1712 809
+rect 1742 949 1798 965
+rect 1742 809 1753 949
+rect 1787 809 1798 949
+rect 1742 713 1798 809
+rect 1828 949 1881 965
+rect 1828 809 1839 949
+rect 1873 809 1881 949
+rect 1828 713 1881 809
+rect 1276 565 1329 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 165
+rect 311 131 345 165
+rect 397 131 431 165
+rect 501 131 535 215
+rect 659 131 727 215
+rect 851 131 885 165
+rect 1009 131 1077 215
+rect 1201 131 1235 215
+rect 1287 131 1321 215
+rect 1391 131 1425 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1667 131 1701 165
+rect 1753 131 1787 165
+rect 1839 131 1873 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 809 259 949
+rect 383 809 417 949
+rect 501 673 535 949
+rect 659 605 727 949
+rect 851 673 885 949
+rect 1009 673 1077 949
+rect 1201 605 1235 949
+rect 1287 605 1321 949
+rect 1391 809 1425 949
+rect 1549 809 1583 949
+rect 1667 809 1701 949
+rect 1753 809 1787 949
+rect 1839 809 1873 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 342 965 372 991
+rect 546 965 576 991
+rect 618 965 648 991
+rect 738 965 768 991
+rect 810 965 840 991
+rect 896 965 926 991
+rect 968 965 998 991
+rect 1088 965 1118 991
+rect 1160 965 1190 991
+rect 1246 965 1276 991
+rect 1436 965 1466 991
+rect 1508 965 1538 991
+rect 1712 965 1742 991
+rect 1798 965 1828 991
+rect 80 442 110 565
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 270 307 300 713
+rect 342 532 372 713
+rect 342 516 415 532
+rect 342 482 371 516
+rect 405 482 415 516
+rect 342 466 415 482
+rect 219 291 300 307
+rect 219 257 229 291
+rect 263 257 300 291
+rect 219 241 300 257
+rect 270 219 300 241
+rect 356 219 386 466
+rect 546 425 576 565
+rect 618 534 648 565
+rect 618 518 672 534
+rect 618 484 628 518
+rect 662 484 672 518
+rect 618 468 672 484
+rect 546 409 600 425
+rect 738 423 768 565
+rect 810 528 840 565
+rect 896 528 926 565
+rect 810 518 926 528
+rect 810 484 842 518
+rect 876 484 926 518
+rect 810 474 926 484
+rect 968 423 998 565
+rect 1088 534 1118 565
+rect 1064 518 1118 534
+rect 1064 484 1074 518
+rect 1108 484 1118 518
+rect 1064 468 1118 484
+rect 546 375 556 409
+rect 590 375 600 409
+rect 546 359 600 375
+rect 642 393 1094 423
+rect 546 263 576 359
+rect 642 315 672 393
+rect 1064 351 1094 393
+rect 1160 419 1190 565
+rect 1246 534 1276 565
+rect 1246 518 1317 534
+rect 1246 504 1273 518
+rect 1257 484 1273 504
+rect 1307 484 1317 518
+rect 1257 468 1317 484
+rect 1160 403 1214 419
+rect 1160 369 1170 403
+rect 1204 369 1214 403
+rect 1160 353 1214 369
+rect 618 285 672 315
+rect 714 335 768 351
+rect 714 301 724 335
+rect 758 301 768 335
+rect 714 285 768 301
+rect 618 263 648 285
+rect 738 263 768 285
+rect 810 335 926 345
+rect 810 301 842 335
+rect 876 301 926 335
+rect 810 291 926 301
+rect 810 263 840 291
+rect 896 263 926 291
+rect 968 335 1022 351
+rect 968 301 978 335
+rect 1012 301 1022 335
+rect 968 285 1022 301
+rect 1064 335 1118 351
+rect 1064 301 1074 335
+rect 1108 301 1118 335
+rect 1064 285 1118 301
+rect 968 263 998 285
+rect 1088 263 1118 285
+rect 1160 263 1190 353
+rect 1257 315 1287 468
+rect 1436 351 1466 713
+rect 1246 285 1287 315
+rect 1399 335 1466 351
+rect 1399 301 1409 335
+rect 1443 301 1466 335
+rect 1399 285 1466 301
+rect 1246 263 1276 285
+rect 1423 284 1466 285
+rect 1436 219 1466 284
+rect 1508 307 1538 713
+rect 1712 549 1742 713
+rect 1702 519 1742 549
+rect 1702 419 1732 519
+rect 1798 460 1828 713
+rect 1677 403 1732 419
+rect 1677 369 1687 403
+rect 1721 369 1732 403
+rect 1774 444 1828 460
+rect 1774 410 1784 444
+rect 1818 410 1828 444
+rect 1774 394 1828 410
+rect 1677 353 1732 369
+rect 1702 308 1732 353
+rect 1508 291 1589 307
+rect 1508 257 1545 291
+rect 1579 257 1589 291
+rect 1702 278 1742 308
+rect 1508 241 1589 257
+rect 1522 219 1552 241
+rect 1712 219 1742 278
+rect 1798 219 1828 394
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 392 123 426
+rect 371 482 405 516
+rect 229 257 263 291
+rect 628 484 662 518
+rect 842 484 876 518
+rect 1074 484 1108 518
+rect 556 375 590 409
+rect 1273 484 1307 518
+rect 1170 369 1204 403
+rect 724 301 758 335
+rect 842 301 876 335
+rect 978 301 1012 335
+rect 1074 301 1108 335
+rect 1409 301 1443 335
+rect 1687 369 1721 403
+rect 1784 410 1818 444
+rect 1545 257 1579 291
+<< locali >>
+rect 0 1093 1914 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1914 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 377 259 809
+rect 383 949 417 1049
+rect 383 793 417 809
+rect 501 949 535 1049
+rect 501 657 535 673
+rect 659 949 727 965
+rect 851 949 885 1049
+rect 851 657 885 673
+rect 1009 949 1077 965
+rect 659 602 727 605
+rect 1009 602 1077 673
+rect 405 568 727 602
+rect 910 568 1077 602
+rect 1201 949 1235 1049
+rect 1201 589 1235 605
+rect 1287 949 1321 965
+rect 1391 949 1425 965
+rect 1391 721 1425 809
+rect 1549 949 1583 1049
+rect 1549 793 1583 809
+rect 1667 949 1701 965
+rect 1391 687 1511 721
+rect 1287 602 1321 605
+rect 1287 568 1377 602
+rect 405 532 439 568
+rect 371 516 439 532
+rect 405 482 439 516
+rect 371 466 439 482
+rect 225 343 345 377
+rect 311 335 345 343
+rect 229 291 263 307
+rect 121 257 229 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 121 115 155 131
+rect 225 165 259 181
+rect 225 61 259 131
+rect 311 165 345 301
+rect 405 318 439 466
+rect 628 518 662 534
+rect 628 483 662 484
+rect 842 518 876 534
+rect 662 449 758 483
+rect 556 409 590 425
+rect 556 359 590 375
+rect 724 335 758 449
+rect 842 335 876 484
+rect 405 284 690 318
+rect 724 285 758 301
+rect 842 285 876 301
+rect 910 335 944 568
+rect 1074 518 1108 534
+rect 1074 483 1108 484
+rect 656 231 690 284
+rect 910 251 944 301
+rect 978 449 1074 483
+rect 1273 518 1307 534
+rect 1273 483 1307 484
+rect 978 335 1012 449
+rect 1341 403 1377 568
+rect 1154 369 1170 403
+rect 1204 369 1220 403
+rect 1287 369 1377 403
+rect 1477 403 1511 687
+rect 1667 557 1701 809
+rect 1753 949 1787 1049
+rect 1753 793 1787 809
+rect 1839 949 1873 965
+rect 1839 631 1873 809
+rect 1872 614 1873 631
+rect 1872 597 1896 614
+rect 1839 580 1896 597
+rect 1667 518 1701 523
+rect 1667 484 1818 518
+rect 1784 444 1818 484
+rect 1477 369 1687 403
+rect 1721 369 1737 403
+rect 1287 335 1321 369
+rect 1058 301 1074 335
+rect 1108 301 1321 335
+rect 978 285 1012 301
+rect 501 215 535 231
+rect 311 115 345 131
+rect 397 165 431 181
+rect 397 61 431 131
+rect 656 215 727 231
+rect 910 217 1077 251
+rect 656 197 659 215
+rect 501 61 535 131
+rect 1009 215 1077 217
+rect 659 115 727 131
+rect 851 165 885 181
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 215 1235 231
+rect 1201 61 1235 131
+rect 1287 215 1321 301
+rect 1409 335 1443 351
+rect 1409 285 1443 301
+rect 1287 115 1321 131
+rect 1391 165 1425 181
+rect 1391 61 1425 131
+rect 1477 165 1511 369
+rect 1784 335 1818 410
+rect 1545 291 1579 307
+rect 1667 301 1818 335
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1667 165 1701 301
+rect 1862 268 1896 580
+rect 1839 234 1896 268
+rect 1667 115 1701 131
+rect 1753 165 1787 181
+rect 1753 61 1787 131
+rect 1839 165 1873 234
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 47 597 81 631
+rect 229 257 263 261
+rect 229 227 263 257
+rect 311 301 345 335
+rect 628 449 662 483
+rect 556 375 590 409
+rect 824 301 842 335
+rect 842 301 858 335
+rect 910 301 944 335
+rect 1074 449 1108 483
+rect 1273 449 1307 483
+rect 1170 369 1204 403
+rect 1838 597 1872 631
+rect 1667 523 1701 557
+rect 1687 369 1721 403
+rect 1409 301 1443 335
+rect 1545 257 1579 261
+rect 1545 227 1579 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1093 1914 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1914 1093
+rect 0 1049 1914 1059
+rect 35 631 93 637
+rect 1826 631 1884 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1804 597 1838 631
+rect 1872 597 1884 631
+rect 35 591 93 597
+rect 1826 591 1884 597
+rect 1655 557 1713 563
+rect 1632 523 1667 557
+rect 1701 523 1713 557
+rect 1655 517 1713 523
+rect 616 483 674 489
+rect 1062 483 1120 489
+rect 1261 483 1319 489
+rect 616 449 628 483
+rect 662 449 1074 483
+rect 1108 449 1273 483
+rect 1307 449 1319 483
+rect 616 443 674 449
+rect 1062 443 1120 449
+rect 1261 443 1319 449
+rect 544 409 602 415
+rect 544 375 556 409
+rect 590 375 624 409
+rect 1158 403 1216 409
+rect 1675 403 1733 409
+rect 544 369 602 375
+rect 1158 369 1170 403
+rect 1204 369 1687 403
+rect 1721 369 1733 403
+rect 1158 363 1216 369
+rect 1675 363 1733 369
+rect 299 335 357 341
+rect 812 335 870 341
+rect 299 301 311 335
+rect 345 301 824 335
+rect 858 301 870 335
+rect 299 295 357 301
+rect 812 295 870 301
+rect 898 335 956 341
+rect 1397 335 1455 341
+rect 898 301 910 335
+rect 944 301 1409 335
+rect 1443 301 1455 335
+rect 898 295 956 301
+rect 1397 295 1455 301
+rect 217 261 275 267
+rect 1533 261 1591 267
+rect 217 227 229 261
+rect 263 227 1545 261
+rect 1579 227 1591 261
+rect 217 221 275 227
+rect 1533 221 1591 227
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel viali 65 614 65 614 1 RN
+port 1 n
+rlabel viali 573 392 573 392 1 D
+port 2 n
+rlabel viali 1290 466 1290 466 1 CK
+port 3 n
+rlabel viali 1855 614 1855 614 1 Q
+port 4 n
+rlabel viali 1685 540 1685 540 1 QN
+port 5 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__dffs_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__dffs_1.mag
new file mode 100644
index 0000000..adfaadb
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__dffs_1.mag
@@ -0,0 +1,723 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3001 2379
+<< nwell >>
+rect -10 529 1741 1119
+<< nmos >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 356 115 386 263
+rect 428 115 458 263
+rect 548 115 578 263
+rect 620 115 650 263
+rect 706 115 736 263
+rect 778 115 808 263
+rect 898 115 928 263
+rect 970 115 1000 263
+rect 1056 115 1086 263
+rect 1246 115 1276 219
+rect 1318 115 1348 219
+rect 1522 115 1552 263
+rect 1608 115 1638 263
+<< pmoshvt >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 356 565 386 965
+rect 428 565 458 965
+rect 548 565 578 965
+rect 620 565 650 965
+rect 706 565 736 965
+rect 778 565 808 965
+rect 898 565 928 965
+rect 970 565 1000 965
+rect 1056 565 1086 965
+rect 1246 713 1276 965
+rect 1332 713 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+rect 303 215 356 263
+rect 303 131 311 215
+rect 345 131 356 215
+rect 303 115 356 131
+rect 386 115 428 263
+rect 458 215 548 263
+rect 458 131 469 215
+rect 537 131 548 215
+rect 458 115 548 131
+rect 578 115 620 263
+rect 650 165 706 263
+rect 650 131 661 165
+rect 695 131 706 165
+rect 650 115 706 131
+rect 736 115 778 263
+rect 808 215 898 263
+rect 808 131 819 215
+rect 887 131 898 215
+rect 808 115 898 131
+rect 928 115 970 263
+rect 1000 215 1056 263
+rect 1000 131 1011 215
+rect 1045 131 1056 215
+rect 1000 115 1056 131
+rect 1086 215 1139 263
+rect 1086 131 1097 215
+rect 1131 131 1139 215
+rect 1086 115 1139 131
+rect 1193 165 1246 219
+rect 1193 131 1201 165
+rect 1235 131 1246 165
+rect 1193 115 1246 131
+rect 1276 115 1318 219
+rect 1348 165 1401 219
+rect 1348 131 1359 165
+rect 1393 131 1401 165
+rect 1348 115 1401 131
+rect 1469 165 1522 263
+rect 1469 131 1477 165
+rect 1511 131 1522 165
+rect 1469 115 1522 131
+rect 1552 165 1608 263
+rect 1552 131 1563 165
+rect 1597 131 1608 165
+rect 1552 115 1608 131
+rect 1638 165 1691 263
+rect 1638 131 1649 165
+rect 1683 131 1691 165
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+rect 303 949 356 965
+rect 303 673 311 949
+rect 345 673 356 949
+rect 303 565 356 673
+rect 386 565 428 965
+rect 458 949 548 965
+rect 458 605 469 949
+rect 537 605 548 949
+rect 458 565 548 605
+rect 578 565 620 965
+rect 650 949 706 965
+rect 650 673 661 949
+rect 695 673 706 949
+rect 650 565 706 673
+rect 736 565 778 965
+rect 808 949 898 965
+rect 808 673 819 949
+rect 887 673 898 949
+rect 808 565 898 673
+rect 928 565 970 965
+rect 1000 949 1056 965
+rect 1000 605 1011 949
+rect 1045 605 1056 949
+rect 1000 565 1056 605
+rect 1086 949 1139 965
+rect 1086 605 1097 949
+rect 1131 605 1139 949
+rect 1193 949 1246 965
+rect 1193 877 1201 949
+rect 1235 877 1246 949
+rect 1193 713 1246 877
+rect 1276 949 1332 965
+rect 1276 877 1287 949
+rect 1321 877 1332 949
+rect 1276 713 1332 877
+rect 1362 949 1415 965
+rect 1362 877 1373 949
+rect 1407 877 1415 949
+rect 1362 713 1415 877
+rect 1469 949 1522 965
+rect 1469 809 1477 949
+rect 1511 809 1522 949
+rect 1086 565 1139 605
+rect 1469 565 1522 809
+rect 1552 949 1608 965
+rect 1552 809 1563 949
+rect 1597 809 1608 949
+rect 1552 565 1608 809
+rect 1638 949 1691 965
+rect 1638 809 1649 949
+rect 1683 809 1691 949
+rect 1638 565 1691 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+rect 311 131 345 215
+rect 469 131 537 215
+rect 661 131 695 165
+rect 819 131 887 215
+rect 1011 131 1045 215
+rect 1097 131 1131 215
+rect 1201 131 1235 165
+rect 1359 131 1393 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1649 131 1683 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+rect 311 673 345 949
+rect 469 605 537 949
+rect 661 673 695 949
+rect 819 673 887 949
+rect 1011 605 1045 949
+rect 1097 605 1131 949
+rect 1201 877 1235 949
+rect 1287 877 1321 949
+rect 1373 877 1407 949
+rect 1477 809 1511 949
+rect 1563 809 1597 949
+rect 1649 809 1683 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 356 965 386 991
+rect 428 965 458 991
+rect 548 965 578 991
+rect 620 965 650 991
+rect 706 965 736 991
+rect 778 965 808 991
+rect 898 965 928 991
+rect 970 965 1000 991
+rect 1056 965 1086 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 80 351 110 713
+rect 166 442 196 713
+rect 37 335 110 351
+rect 37 301 47 335
+rect 81 301 110 335
+rect 37 285 110 301
+rect 80 219 110 285
+rect 152 426 233 442
+rect 152 392 189 426
+rect 223 392 233 426
+rect 152 376 233 392
+rect 356 425 386 565
+rect 428 534 458 565
+rect 428 518 482 534
+rect 428 484 438 518
+rect 472 484 482 518
+rect 428 468 482 484
+rect 356 409 410 425
+rect 548 423 578 565
+rect 620 528 650 565
+rect 706 528 736 565
+rect 620 518 736 528
+rect 620 484 652 518
+rect 686 484 736 518
+rect 620 474 736 484
+rect 778 423 808 565
+rect 898 534 928 565
+rect 874 518 928 534
+rect 874 484 884 518
+rect 918 484 928 518
+rect 874 468 928 484
+rect 152 219 182 376
+rect 356 375 366 409
+rect 400 375 410 409
+rect 356 359 410 375
+rect 452 393 904 423
+rect 356 263 386 359
+rect 452 315 482 393
+rect 874 351 904 393
+rect 970 419 1000 565
+rect 1056 534 1086 565
+rect 1056 518 1127 534
+rect 1056 504 1083 518
+rect 1067 484 1083 504
+rect 1117 484 1127 518
+rect 1067 468 1127 484
+rect 970 403 1024 419
+rect 970 369 980 403
+rect 1014 369 1024 403
+rect 970 353 1024 369
+rect 428 285 482 315
+rect 524 335 578 351
+rect 524 301 534 335
+rect 568 301 578 335
+rect 524 285 578 301
+rect 428 263 458 285
+rect 548 263 578 285
+rect 620 335 736 345
+rect 620 301 652 335
+rect 686 301 736 335
+rect 620 291 736 301
+rect 620 263 650 291
+rect 706 263 736 291
+rect 778 335 832 351
+rect 778 301 788 335
+rect 822 301 832 335
+rect 778 285 832 301
+rect 874 335 928 351
+rect 874 301 884 335
+rect 918 301 928 335
+rect 874 285 928 301
+rect 778 263 808 285
+rect 898 263 928 285
+rect 970 263 1000 353
+rect 1067 315 1097 468
+rect 1246 351 1276 713
+rect 1056 285 1097 315
+rect 1193 335 1276 351
+rect 1193 301 1203 335
+rect 1237 301 1276 335
+rect 1193 285 1276 301
+rect 1056 263 1086 285
+rect 1246 219 1276 285
+rect 1332 307 1362 713
+rect 1522 549 1552 565
+rect 1512 519 1552 549
+rect 1512 419 1542 519
+rect 1608 460 1638 565
+rect 1487 403 1542 419
+rect 1487 369 1497 403
+rect 1531 369 1542 403
+rect 1584 444 1638 460
+rect 1584 410 1594 444
+rect 1628 410 1638 444
+rect 1584 394 1638 410
+rect 1487 353 1542 369
+rect 1512 308 1542 353
+rect 1332 291 1399 307
+rect 1332 283 1355 291
+rect 1318 257 1355 283
+rect 1389 257 1399 291
+rect 1512 278 1552 308
+rect 1522 263 1552 278
+rect 1608 263 1638 394
+rect 1318 241 1399 257
+rect 1318 219 1348 241
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 301 81 335
+rect 189 392 223 426
+rect 438 484 472 518
+rect 652 484 686 518
+rect 884 484 918 518
+rect 366 375 400 409
+rect 1083 484 1117 518
+rect 980 369 1014 403
+rect 534 301 568 335
+rect 652 301 686 335
+rect 788 301 822 335
+rect 884 301 918 335
+rect 1203 301 1237 335
+rect 1497 369 1531 403
+rect 1594 410 1628 444
+rect 1355 257 1389 291
+<< locali >>
+rect 0 1093 1738 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1738 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 335 81 351
+rect 47 261 81 301
+rect 121 335 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 311 949 345 1049
+rect 311 657 345 673
+rect 469 949 537 965
+rect 661 949 695 1049
+rect 661 657 695 673
+rect 819 949 887 965
+rect 469 602 537 605
+rect 819 602 887 673
+rect 121 193 155 301
+rect 189 568 537 602
+rect 720 568 887 602
+rect 1011 949 1045 1049
+rect 1011 589 1045 605
+rect 1097 949 1131 965
+rect 1201 949 1235 1049
+rect 1201 861 1235 877
+rect 1287 949 1321 965
+rect 1097 602 1131 605
+rect 1097 568 1187 602
+rect 189 426 223 568
+rect 438 518 472 534
+rect 438 483 472 484
+rect 652 518 686 534
+rect 472 449 568 483
+rect 189 318 223 392
+rect 366 409 400 425
+rect 366 359 400 375
+rect 534 335 568 449
+rect 652 335 686 484
+rect 189 284 500 318
+rect 534 285 568 301
+rect 652 285 686 301
+rect 720 335 754 568
+rect 884 518 918 534
+rect 884 483 918 484
+rect 466 231 500 284
+rect 720 251 754 301
+rect 788 449 884 483
+rect 1083 518 1117 534
+rect 1083 483 1117 484
+rect 788 335 822 449
+rect 1151 415 1187 568
+rect 964 369 980 403
+rect 1014 369 1030 403
+rect 1097 381 1187 415
+rect 1287 403 1321 877
+rect 1373 949 1407 1049
+rect 1373 861 1407 877
+rect 1477 949 1511 965
+rect 1477 557 1511 809
+rect 1563 949 1597 1049
+rect 1563 793 1597 809
+rect 1649 949 1683 965
+rect 1649 631 1683 809
+rect 1682 614 1683 631
+rect 1682 597 1706 614
+rect 1649 580 1706 597
+rect 1477 518 1511 523
+rect 1477 484 1628 518
+rect 1594 444 1628 484
+rect 1097 335 1131 381
+rect 1287 369 1497 403
+rect 1531 369 1547 403
+rect 868 301 884 335
+rect 918 301 1131 335
+rect 1187 301 1203 335
+rect 1237 301 1253 335
+rect 788 285 822 301
+rect 35 165 155 193
+rect 311 215 345 231
+rect 69 159 155 165
+rect 193 165 227 181
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 215 537 231
+rect 720 217 887 251
+rect 466 197 469 215
+rect 311 61 345 131
+rect 819 215 887 217
+rect 469 115 537 131
+rect 661 165 695 181
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 215 1045 231
+rect 1011 61 1045 131
+rect 1097 215 1131 301
+rect 1287 199 1321 369
+rect 1594 335 1628 410
+rect 1355 291 1389 307
+rect 1477 301 1628 335
+rect 1097 115 1131 131
+rect 1201 165 1321 199
+rect 1359 165 1393 181
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 165 1511 301
+rect 1672 268 1706 580
+rect 1649 234 1706 268
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1649 165 1683 234
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 47 227 81 261
+rect 121 301 155 335
+rect 438 449 472 483
+rect 366 375 400 409
+rect 634 301 652 335
+rect 652 301 668 335
+rect 720 301 754 335
+rect 884 449 918 483
+rect 1083 449 1117 483
+rect 980 369 1014 403
+rect 1648 597 1682 631
+rect 1477 523 1511 557
+rect 1497 369 1531 403
+rect 1203 301 1237 335
+rect 1355 257 1389 261
+rect 1355 227 1389 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1093 1738 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1738 1093
+rect 0 1049 1738 1059
+rect 1636 631 1694 637
+rect 1614 597 1648 631
+rect 1682 597 1694 631
+rect 1636 591 1694 597
+rect 1465 557 1523 563
+rect 1442 523 1477 557
+rect 1511 523 1523 557
+rect 1465 517 1523 523
+rect 426 483 484 489
+rect 872 483 930 489
+rect 1071 483 1129 489
+rect 426 449 438 483
+rect 472 449 884 483
+rect 918 449 1083 483
+rect 1117 449 1129 483
+rect 426 443 484 449
+rect 872 443 930 449
+rect 1071 443 1129 449
+rect 354 409 412 415
+rect 354 375 366 409
+rect 400 375 434 409
+rect 968 403 1026 409
+rect 1485 403 1543 409
+rect 354 369 412 375
+rect 968 369 980 403
+rect 1014 369 1497 403
+rect 1531 369 1543 403
+rect 968 363 1026 369
+rect 1485 363 1543 369
+rect 109 335 167 341
+rect 622 335 680 341
+rect 109 301 121 335
+rect 155 301 634 335
+rect 668 301 680 335
+rect 109 295 167 301
+rect 622 295 680 301
+rect 708 335 766 341
+rect 1191 335 1249 341
+rect 708 301 720 335
+rect 754 301 1203 335
+rect 1237 301 1249 335
+rect 708 295 766 301
+rect 1191 295 1249 301
+rect 35 261 93 267
+rect 1343 261 1401 267
+rect 35 227 47 261
+rect 81 227 1355 261
+rect 1389 227 1401 261
+rect 35 221 93 227
+rect 1343 221 1401 227
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 383 392 383 392 1 D
+port 1 n
+rlabel viali 1100 466 1100 466 1 CK
+port 2 n
+rlabel viali 1665 614 1665 614 1 Q
+port 4 n
+rlabel viali 1495 540 1495 540 1 QN
+port 3 n
+rlabel viali 64 244 64 244 1 SN
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__dffs_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__dffs_l.mag
new file mode 100644
index 0000000..9e03921
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__dffs_l.mag
@@ -0,0 +1,723 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3001 2379
+<< nwell >>
+rect -10 529 1741 1119
+<< nmos >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 356 115 386 263
+rect 428 115 458 263
+rect 548 115 578 263
+rect 620 115 650 263
+rect 706 115 736 263
+rect 778 115 808 263
+rect 898 115 928 263
+rect 970 115 1000 263
+rect 1056 115 1086 263
+rect 1246 115 1276 219
+rect 1318 115 1348 219
+rect 1522 115 1552 219
+rect 1608 115 1638 219
+<< pmoshvt >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 356 565 386 965
+rect 428 565 458 965
+rect 548 565 578 965
+rect 620 565 650 965
+rect 706 565 736 965
+rect 778 565 808 965
+rect 898 565 928 965
+rect 970 565 1000 965
+rect 1056 565 1086 965
+rect 1246 713 1276 965
+rect 1332 713 1362 965
+rect 1522 713 1552 965
+rect 1608 713 1638 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+rect 303 215 356 263
+rect 303 131 311 215
+rect 345 131 356 215
+rect 303 115 356 131
+rect 386 115 428 263
+rect 458 215 548 263
+rect 458 131 469 215
+rect 537 131 548 215
+rect 458 115 548 131
+rect 578 115 620 263
+rect 650 165 706 263
+rect 650 131 661 165
+rect 695 131 706 165
+rect 650 115 706 131
+rect 736 115 778 263
+rect 808 215 898 263
+rect 808 131 819 215
+rect 887 131 898 215
+rect 808 115 898 131
+rect 928 115 970 263
+rect 1000 215 1056 263
+rect 1000 131 1011 215
+rect 1045 131 1056 215
+rect 1000 115 1056 131
+rect 1086 215 1139 263
+rect 1086 131 1097 215
+rect 1131 131 1139 215
+rect 1086 115 1139 131
+rect 1193 165 1246 219
+rect 1193 131 1201 165
+rect 1235 131 1246 165
+rect 1193 115 1246 131
+rect 1276 115 1318 219
+rect 1348 165 1401 219
+rect 1348 131 1359 165
+rect 1393 131 1401 165
+rect 1348 115 1401 131
+rect 1469 165 1522 219
+rect 1469 131 1477 165
+rect 1511 131 1522 165
+rect 1469 115 1522 131
+rect 1552 165 1608 219
+rect 1552 131 1563 165
+rect 1597 131 1608 165
+rect 1552 115 1608 131
+rect 1638 165 1691 219
+rect 1638 131 1649 165
+rect 1683 131 1691 165
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+rect 303 949 356 965
+rect 303 673 311 949
+rect 345 673 356 949
+rect 303 565 356 673
+rect 386 565 428 965
+rect 458 949 548 965
+rect 458 605 469 949
+rect 537 605 548 949
+rect 458 565 548 605
+rect 578 565 620 965
+rect 650 949 706 965
+rect 650 673 661 949
+rect 695 673 706 949
+rect 650 565 706 673
+rect 736 565 778 965
+rect 808 949 898 965
+rect 808 673 819 949
+rect 887 673 898 949
+rect 808 565 898 673
+rect 928 565 970 965
+rect 1000 949 1056 965
+rect 1000 605 1011 949
+rect 1045 605 1056 949
+rect 1000 565 1056 605
+rect 1086 949 1139 965
+rect 1086 605 1097 949
+rect 1131 605 1139 949
+rect 1193 949 1246 965
+rect 1193 877 1201 949
+rect 1235 877 1246 949
+rect 1193 713 1246 877
+rect 1276 949 1332 965
+rect 1276 877 1287 949
+rect 1321 877 1332 949
+rect 1276 713 1332 877
+rect 1362 949 1415 965
+rect 1362 877 1373 949
+rect 1407 877 1415 949
+rect 1362 713 1415 877
+rect 1469 949 1522 965
+rect 1469 809 1477 949
+rect 1511 809 1522 949
+rect 1469 713 1522 809
+rect 1552 949 1608 965
+rect 1552 809 1563 949
+rect 1597 809 1608 949
+rect 1552 713 1608 809
+rect 1638 949 1691 965
+rect 1638 809 1649 949
+rect 1683 809 1691 949
+rect 1638 713 1691 809
+rect 1086 565 1139 605
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+rect 311 131 345 215
+rect 469 131 537 215
+rect 661 131 695 165
+rect 819 131 887 215
+rect 1011 131 1045 215
+rect 1097 131 1131 215
+rect 1201 131 1235 165
+rect 1359 131 1393 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1649 131 1683 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+rect 311 673 345 949
+rect 469 605 537 949
+rect 661 673 695 949
+rect 819 673 887 949
+rect 1011 605 1045 949
+rect 1097 605 1131 949
+rect 1201 877 1235 949
+rect 1287 877 1321 949
+rect 1373 877 1407 949
+rect 1477 809 1511 949
+rect 1563 809 1597 949
+rect 1649 809 1683 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 356 965 386 991
+rect 428 965 458 991
+rect 548 965 578 991
+rect 620 965 650 991
+rect 706 965 736 991
+rect 778 965 808 991
+rect 898 965 928 991
+rect 970 965 1000 991
+rect 1056 965 1086 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 80 351 110 713
+rect 166 442 196 713
+rect 37 335 110 351
+rect 37 301 47 335
+rect 81 301 110 335
+rect 37 285 110 301
+rect 80 219 110 285
+rect 152 426 233 442
+rect 152 392 189 426
+rect 223 392 233 426
+rect 152 376 233 392
+rect 356 425 386 565
+rect 428 534 458 565
+rect 428 518 482 534
+rect 428 484 438 518
+rect 472 484 482 518
+rect 428 468 482 484
+rect 356 409 410 425
+rect 548 423 578 565
+rect 620 528 650 565
+rect 706 528 736 565
+rect 620 518 736 528
+rect 620 484 652 518
+rect 686 484 736 518
+rect 620 474 736 484
+rect 778 423 808 565
+rect 898 534 928 565
+rect 874 518 928 534
+rect 874 484 884 518
+rect 918 484 928 518
+rect 874 468 928 484
+rect 152 219 182 376
+rect 356 375 366 409
+rect 400 375 410 409
+rect 356 359 410 375
+rect 452 393 904 423
+rect 356 263 386 359
+rect 452 315 482 393
+rect 874 351 904 393
+rect 970 419 1000 565
+rect 1056 534 1086 565
+rect 1056 518 1127 534
+rect 1056 504 1083 518
+rect 1067 484 1083 504
+rect 1117 484 1127 518
+rect 1067 468 1127 484
+rect 970 403 1024 419
+rect 970 369 980 403
+rect 1014 369 1024 403
+rect 970 353 1024 369
+rect 428 285 482 315
+rect 524 335 578 351
+rect 524 301 534 335
+rect 568 301 578 335
+rect 524 285 578 301
+rect 428 263 458 285
+rect 548 263 578 285
+rect 620 335 736 345
+rect 620 301 652 335
+rect 686 301 736 335
+rect 620 291 736 301
+rect 620 263 650 291
+rect 706 263 736 291
+rect 778 335 832 351
+rect 778 301 788 335
+rect 822 301 832 335
+rect 778 285 832 301
+rect 874 335 928 351
+rect 874 301 884 335
+rect 918 301 928 335
+rect 874 285 928 301
+rect 778 263 808 285
+rect 898 263 928 285
+rect 970 263 1000 353
+rect 1067 315 1097 468
+rect 1246 351 1276 713
+rect 1056 285 1097 315
+rect 1193 335 1276 351
+rect 1193 301 1203 335
+rect 1237 301 1276 335
+rect 1193 285 1276 301
+rect 1056 263 1086 285
+rect 1246 219 1276 285
+rect 1332 307 1362 713
+rect 1522 549 1552 713
+rect 1512 519 1552 549
+rect 1512 419 1542 519
+rect 1608 460 1638 713
+rect 1487 403 1542 419
+rect 1487 369 1497 403
+rect 1531 369 1542 403
+rect 1584 444 1638 460
+rect 1584 410 1594 444
+rect 1628 410 1638 444
+rect 1584 394 1638 410
+rect 1487 353 1542 369
+rect 1512 308 1542 353
+rect 1332 291 1399 307
+rect 1332 283 1355 291
+rect 1318 257 1355 283
+rect 1389 257 1399 291
+rect 1512 278 1552 308
+rect 1318 241 1399 257
+rect 1318 219 1348 241
+rect 1522 219 1552 278
+rect 1608 219 1638 394
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 301 81 335
+rect 189 392 223 426
+rect 438 484 472 518
+rect 652 484 686 518
+rect 884 484 918 518
+rect 366 375 400 409
+rect 1083 484 1117 518
+rect 980 369 1014 403
+rect 534 301 568 335
+rect 652 301 686 335
+rect 788 301 822 335
+rect 884 301 918 335
+rect 1203 301 1237 335
+rect 1497 369 1531 403
+rect 1594 410 1628 444
+rect 1355 257 1389 291
+<< locali >>
+rect 0 1093 1738 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1738 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 335 81 351
+rect 47 261 81 301
+rect 121 335 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 311 949 345 1049
+rect 311 657 345 673
+rect 469 949 537 965
+rect 661 949 695 1049
+rect 661 657 695 673
+rect 819 949 887 965
+rect 469 602 537 605
+rect 819 602 887 673
+rect 121 193 155 301
+rect 189 568 537 602
+rect 720 568 887 602
+rect 1011 949 1045 1049
+rect 1011 589 1045 605
+rect 1097 949 1131 965
+rect 1201 949 1235 1049
+rect 1201 861 1235 877
+rect 1287 949 1321 965
+rect 1097 602 1131 605
+rect 1097 568 1187 602
+rect 189 426 223 568
+rect 438 518 472 534
+rect 438 483 472 484
+rect 652 518 686 534
+rect 472 449 568 483
+rect 189 318 223 392
+rect 366 409 400 425
+rect 366 359 400 375
+rect 534 335 568 449
+rect 652 335 686 484
+rect 189 284 500 318
+rect 534 285 568 301
+rect 652 285 686 301
+rect 720 335 754 568
+rect 884 518 918 534
+rect 884 483 918 484
+rect 466 231 500 284
+rect 720 251 754 301
+rect 788 449 884 483
+rect 1083 518 1117 534
+rect 1083 483 1117 484
+rect 788 335 822 449
+rect 1151 415 1187 568
+rect 964 369 980 403
+rect 1014 369 1030 403
+rect 1097 381 1187 415
+rect 1287 403 1321 877
+rect 1373 949 1407 1049
+rect 1373 861 1407 877
+rect 1477 949 1511 965
+rect 1477 557 1511 809
+rect 1563 949 1597 1049
+rect 1563 793 1597 809
+rect 1649 949 1683 965
+rect 1649 631 1683 809
+rect 1682 614 1683 631
+rect 1682 597 1706 614
+rect 1649 580 1706 597
+rect 1477 518 1511 523
+rect 1477 484 1628 518
+rect 1594 444 1628 484
+rect 1097 335 1131 381
+rect 1287 369 1497 403
+rect 1531 369 1547 403
+rect 868 301 884 335
+rect 918 301 1131 335
+rect 1187 301 1203 335
+rect 1237 301 1253 335
+rect 788 285 822 301
+rect 35 165 155 193
+rect 311 215 345 231
+rect 69 159 155 165
+rect 193 165 227 181
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 215 537 231
+rect 720 217 887 251
+rect 466 197 469 215
+rect 311 61 345 131
+rect 819 215 887 217
+rect 469 115 537 131
+rect 661 165 695 181
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 215 1045 231
+rect 1011 61 1045 131
+rect 1097 215 1131 301
+rect 1287 199 1321 369
+rect 1594 335 1628 410
+rect 1355 291 1389 307
+rect 1477 301 1628 335
+rect 1097 115 1131 131
+rect 1201 165 1321 199
+rect 1359 165 1393 181
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 165 1511 301
+rect 1672 268 1706 580
+rect 1649 234 1706 268
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1649 165 1683 234
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 47 227 81 261
+rect 121 301 155 335
+rect 438 449 472 483
+rect 366 375 400 409
+rect 634 301 652 335
+rect 652 301 668 335
+rect 720 301 754 335
+rect 884 449 918 483
+rect 1083 449 1117 483
+rect 980 369 1014 403
+rect 1648 597 1682 631
+rect 1477 523 1511 557
+rect 1497 369 1531 403
+rect 1203 301 1237 335
+rect 1355 257 1389 261
+rect 1355 227 1389 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1093 1738 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1738 1093
+rect 0 1049 1738 1059
+rect 1636 631 1694 637
+rect 1614 597 1648 631
+rect 1682 597 1694 631
+rect 1636 591 1694 597
+rect 1465 557 1523 563
+rect 1442 523 1477 557
+rect 1511 523 1523 557
+rect 1465 517 1523 523
+rect 426 483 484 489
+rect 872 483 930 489
+rect 1071 483 1129 489
+rect 426 449 438 483
+rect 472 449 884 483
+rect 918 449 1083 483
+rect 1117 449 1129 483
+rect 426 443 484 449
+rect 872 443 930 449
+rect 1071 443 1129 449
+rect 354 409 412 415
+rect 354 375 366 409
+rect 400 375 434 409
+rect 968 403 1026 409
+rect 1485 403 1543 409
+rect 354 369 412 375
+rect 968 369 980 403
+rect 1014 369 1497 403
+rect 1531 369 1543 403
+rect 968 363 1026 369
+rect 1485 363 1543 369
+rect 109 335 167 341
+rect 622 335 680 341
+rect 109 301 121 335
+rect 155 301 634 335
+rect 668 301 680 335
+rect 109 295 167 301
+rect 622 295 680 301
+rect 708 335 766 341
+rect 1191 335 1249 341
+rect 708 301 720 335
+rect 754 301 1203 335
+rect 1237 301 1249 335
+rect 708 295 766 301
+rect 1191 295 1249 301
+rect 35 261 93 267
+rect 1343 261 1401 267
+rect 35 227 47 261
+rect 81 227 1355 261
+rect 1389 227 1401 261
+rect 35 221 93 227
+rect 1343 221 1401 227
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 383 392 383 392 1 D
+port 1 n
+rlabel viali 1100 466 1100 466 1 CK
+port 2 n
+rlabel viali 1665 614 1665 614 1 Q
+port 4 n
+rlabel viali 1495 540 1495 540 1 QN
+port 3 n
+rlabel viali 64 244 64 244 1 SN
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__dffsr_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__dffsr_1.mag
new file mode 100644
index 0000000..7ad6a70
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__dffsr_1.mag
@@ -0,0 +1,900 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3350 2379
+<< nwell >>
+rect -10 529 2090 1119
+<< nmos >>
+rect 80 115 110 263
+rect 272 115 302 219
+rect 370 115 400 263
+rect 442 115 472 263
+rect 632 115 662 263
+rect 704 115 734 263
+rect 824 115 854 263
+rect 896 115 926 263
+rect 982 115 1012 263
+rect 1054 115 1084 263
+rect 1174 115 1204 263
+rect 1246 115 1276 263
+rect 1332 115 1362 263
+rect 1522 115 1552 263
+rect 1594 115 1624 263
+rect 1692 115 1722 219
+rect 1884 115 1914 263
+rect 1970 115 2000 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+rect 442 565 472 965
+rect 632 565 662 965
+rect 704 565 734 965
+rect 824 565 854 965
+rect 896 565 926 965
+rect 982 565 1012 965
+rect 1054 565 1084 965
+rect 1174 565 1204 965
+rect 1246 565 1276 965
+rect 1332 565 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+rect 1694 565 1724 965
+rect 1884 565 1914 965
+rect 1970 565 2000 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 317 219 370 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 219 165 272 219
+rect 219 131 227 165
+rect 261 131 272 165
+rect 219 115 272 131
+rect 302 215 370 219
+rect 302 131 325 215
+rect 359 131 370 215
+rect 302 115 370 131
+rect 400 115 442 263
+rect 472 215 525 263
+rect 472 131 483 215
+rect 517 131 525 215
+rect 472 115 525 131
+rect 579 215 632 263
+rect 579 131 587 215
+rect 621 131 632 215
+rect 579 115 632 131
+rect 662 115 704 263
+rect 734 215 824 263
+rect 734 131 745 215
+rect 813 131 824 215
+rect 734 115 824 131
+rect 854 115 896 263
+rect 926 165 982 263
+rect 926 131 937 165
+rect 971 131 982 165
+rect 926 115 982 131
+rect 1012 115 1054 263
+rect 1084 215 1174 263
+rect 1084 131 1095 215
+rect 1163 131 1174 215
+rect 1084 115 1174 131
+rect 1204 115 1246 263
+rect 1276 215 1332 263
+rect 1276 131 1287 215
+rect 1321 131 1332 215
+rect 1276 115 1332 131
+rect 1362 215 1415 263
+rect 1362 131 1373 215
+rect 1407 131 1415 215
+rect 1362 115 1415 131
+rect 1469 215 1522 263
+rect 1469 131 1477 215
+rect 1511 131 1522 215
+rect 1469 115 1522 131
+rect 1552 115 1594 263
+rect 1624 219 1677 263
+rect 1624 215 1692 219
+rect 1624 131 1635 215
+rect 1669 131 1692 215
+rect 1624 115 1692 131
+rect 1722 165 1775 219
+rect 1722 131 1733 165
+rect 1767 131 1775 165
+rect 1722 115 1775 131
+rect 1831 215 1884 263
+rect 1831 131 1839 215
+rect 1873 131 1884 215
+rect 1831 115 1884 131
+rect 1914 215 1970 263
+rect 1914 131 1925 215
+rect 1959 131 1970 215
+rect 1914 115 1970 131
+rect 2000 215 2053 263
+rect 2000 131 2011 215
+rect 2045 131 2053 215
+rect 2000 115 2053 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 673 225 949
+rect 259 673 270 949
+rect 217 565 270 673
+rect 300 949 356 965
+rect 300 673 311 949
+rect 345 673 356 949
+rect 300 565 356 673
+rect 386 949 442 965
+rect 386 741 397 949
+rect 431 741 442 949
+rect 386 565 442 741
+rect 472 949 525 965
+rect 472 673 483 949
+rect 517 673 525 949
+rect 472 565 525 673
+rect 579 949 632 965
+rect 579 673 587 949
+rect 621 673 632 949
+rect 579 565 632 673
+rect 662 565 704 965
+rect 734 949 824 965
+rect 734 605 745 949
+rect 813 605 824 949
+rect 734 565 824 605
+rect 854 565 896 965
+rect 926 949 982 965
+rect 926 673 937 949
+rect 971 673 982 949
+rect 926 565 982 673
+rect 1012 565 1054 965
+rect 1084 949 1174 965
+rect 1084 673 1095 949
+rect 1163 673 1174 949
+rect 1084 565 1174 673
+rect 1204 565 1246 965
+rect 1276 949 1332 965
+rect 1276 605 1287 949
+rect 1321 605 1332 949
+rect 1276 565 1332 605
+rect 1362 949 1415 965
+rect 1362 605 1373 949
+rect 1407 605 1415 949
+rect 1362 565 1415 605
+rect 1469 949 1522 965
+rect 1469 673 1477 949
+rect 1511 673 1522 949
+rect 1469 565 1522 673
+rect 1552 949 1608 965
+rect 1552 741 1563 949
+rect 1597 741 1608 949
+rect 1552 565 1608 741
+rect 1638 949 1694 965
+rect 1638 673 1649 949
+rect 1683 673 1694 949
+rect 1638 565 1694 673
+rect 1724 949 1777 965
+rect 1724 673 1735 949
+rect 1769 673 1777 949
+rect 1724 565 1777 673
+rect 1831 949 1884 965
+rect 1831 605 1839 949
+rect 1873 605 1884 949
+rect 1831 565 1884 605
+rect 1914 949 1970 965
+rect 1914 605 1925 949
+rect 1959 605 1970 949
+rect 1914 565 1970 605
+rect 2000 949 2053 965
+rect 2000 605 2011 949
+rect 2045 605 2053 949
+rect 2000 565 2053 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 227 131 261 165
+rect 325 131 359 215
+rect 483 131 517 215
+rect 587 131 621 215
+rect 745 131 813 215
+rect 937 131 971 165
+rect 1095 131 1163 215
+rect 1287 131 1321 215
+rect 1373 131 1407 215
+rect 1477 131 1511 215
+rect 1635 131 1669 215
+rect 1733 131 1767 165
+rect 1839 131 1873 215
+rect 1925 131 1959 215
+rect 2011 131 2045 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 673 259 949
+rect 311 673 345 949
+rect 397 741 431 949
+rect 483 673 517 949
+rect 587 673 621 949
+rect 745 605 813 949
+rect 937 673 971 949
+rect 1095 673 1163 949
+rect 1287 605 1321 949
+rect 1373 605 1407 949
+rect 1477 673 1511 949
+rect 1563 741 1597 949
+rect 1649 673 1683 949
+rect 1735 673 1769 949
+rect 1839 605 1873 949
+rect 1925 605 1959 949
+rect 2011 605 2045 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+rect 1930 27 1954 61
+rect 1988 27 2012 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+rect 1930 1049 1954 1083
+rect 1988 1049 2012 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+rect 1954 27 1988 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+rect 1954 1049 1988 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 356 965 386 991
+rect 442 965 472 991
+rect 632 965 662 991
+rect 704 965 734 991
+rect 824 965 854 991
+rect 896 965 926 991
+rect 982 965 1012 991
+rect 1054 965 1084 991
+rect 1174 965 1204 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 1694 965 1724 991
+rect 1884 965 1914 991
+rect 1970 965 2000 991
+rect 80 442 110 565
+rect 270 527 300 565
+rect 243 497 300 527
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 243 307 273 497
+rect 356 455 386 565
+rect 442 534 472 565
+rect 432 518 486 534
+rect 432 484 442 518
+rect 476 484 486 518
+rect 432 468 486 484
+rect 315 439 386 455
+rect 315 405 325 439
+rect 359 425 386 439
+rect 359 405 400 425
+rect 315 389 400 405
+rect 219 291 273 307
+rect 356 303 400 389
+rect 219 257 229 291
+rect 263 271 273 291
+rect 263 257 302 271
+rect 370 263 400 303
+rect 442 263 472 468
+rect 632 425 662 565
+rect 704 534 734 565
+rect 704 518 758 534
+rect 704 484 714 518
+rect 748 484 758 518
+rect 704 468 758 484
+rect 632 409 686 425
+rect 824 423 854 565
+rect 896 528 926 565
+rect 982 528 1012 565
+rect 896 518 1012 528
+rect 896 484 928 518
+rect 962 484 1012 518
+rect 896 474 1012 484
+rect 1054 423 1084 565
+rect 1174 534 1204 565
+rect 1150 518 1204 534
+rect 1150 484 1160 518
+rect 1194 484 1204 518
+rect 1150 468 1204 484
+rect 632 375 642 409
+rect 676 375 686 409
+rect 632 359 686 375
+rect 728 393 1180 423
+rect 632 263 662 359
+rect 728 315 758 393
+rect 1150 351 1180 393
+rect 1246 419 1276 565
+rect 1332 534 1362 565
+rect 1332 518 1403 534
+rect 1522 528 1552 565
+rect 1332 504 1359 518
+rect 1343 484 1359 504
+rect 1393 484 1403 518
+rect 1343 468 1403 484
+rect 1486 518 1552 528
+rect 1486 484 1502 518
+rect 1536 484 1552 518
+rect 1486 474 1552 484
+rect 1246 403 1300 419
+rect 1246 369 1256 403
+rect 1290 369 1300 403
+rect 1246 353 1300 369
+rect 704 285 758 315
+rect 800 335 854 351
+rect 800 301 810 335
+rect 844 301 854 335
+rect 800 285 854 301
+rect 704 263 734 285
+rect 824 263 854 285
+rect 896 335 1012 345
+rect 896 301 928 335
+rect 962 301 1012 335
+rect 896 291 1012 301
+rect 896 263 926 291
+rect 982 263 1012 291
+rect 1054 335 1108 351
+rect 1054 301 1064 335
+rect 1098 301 1108 335
+rect 1054 285 1108 301
+rect 1150 335 1204 351
+rect 1150 301 1160 335
+rect 1194 301 1204 335
+rect 1150 285 1204 301
+rect 1054 263 1084 285
+rect 1174 263 1204 285
+rect 1246 263 1276 353
+rect 1343 315 1373 468
+rect 1332 285 1373 315
+rect 1486 318 1516 474
+rect 1608 432 1638 565
+rect 1694 527 1724 565
+rect 1884 549 1914 565
+rect 1694 501 1751 527
+rect 1874 519 1914 549
+rect 1694 497 1775 501
+rect 1721 469 1775 497
+rect 1576 416 1638 432
+rect 1576 382 1588 416
+rect 1622 382 1638 416
+rect 1576 366 1638 382
+rect 1486 286 1552 318
+rect 1332 263 1362 285
+rect 1522 263 1552 286
+rect 1594 263 1624 366
+rect 1745 307 1775 469
+rect 1874 419 1904 519
+rect 1970 460 2000 565
+rect 1849 403 1904 419
+rect 1849 369 1859 403
+rect 1893 369 1904 403
+rect 1946 444 2000 460
+rect 1946 410 1956 444
+rect 1990 410 2000 444
+rect 1946 394 2000 410
+rect 1849 353 1904 369
+rect 1874 308 1904 353
+rect 1745 291 1799 307
+rect 1745 271 1755 291
+rect 219 241 302 257
+rect 272 219 302 241
+rect 1692 257 1755 271
+rect 1789 257 1799 291
+rect 1874 278 1914 308
+rect 1884 263 1914 278
+rect 1970 263 2000 394
+rect 1692 241 1799 257
+rect 1692 219 1722 241
+rect 80 89 110 115
+rect 272 89 302 115
+rect 370 89 400 115
+rect 442 89 472 115
+rect 632 89 662 115
+rect 704 89 734 115
+rect 824 89 854 115
+rect 896 89 926 115
+rect 982 89 1012 115
+rect 1054 89 1084 115
+rect 1174 89 1204 115
+rect 1246 89 1276 115
+rect 1332 89 1362 115
+rect 1522 89 1552 115
+rect 1594 89 1624 115
+rect 1692 89 1722 115
+rect 1884 89 1914 115
+rect 1970 89 2000 115
+<< polycont >>
+rect 89 392 123 426
+rect 442 484 476 518
+rect 325 405 359 439
+rect 229 257 263 291
+rect 714 484 748 518
+rect 928 484 962 518
+rect 1160 484 1194 518
+rect 642 375 676 409
+rect 1359 484 1393 518
+rect 1502 484 1536 518
+rect 1256 369 1290 403
+rect 810 301 844 335
+rect 928 301 962 335
+rect 1064 301 1098 335
+rect 1160 301 1194 335
+rect 1588 382 1622 416
+rect 1859 369 1893 403
+rect 1956 410 1990 444
+rect 1755 257 1789 291
+<< locali >>
+rect 0 1093 2090 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1954 1093
+rect 1988 1049 2090 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 369 259 673
+rect 311 949 345 965
+rect 397 949 431 1049
+rect 397 725 431 741
+rect 483 949 517 965
+rect 345 673 483 691
+rect 311 657 517 673
+rect 587 949 621 1049
+rect 587 657 621 673
+rect 745 949 813 965
+rect 937 949 971 1049
+rect 937 657 971 673
+rect 1095 949 1163 965
+rect 813 605 816 623
+rect 745 602 816 605
+rect 1095 602 1163 673
+rect 442 568 816 602
+rect 996 568 1163 602
+rect 1287 949 1321 1049
+rect 1287 589 1321 605
+rect 1373 949 1407 965
+rect 1477 949 1511 965
+rect 1563 949 1597 1049
+rect 1563 725 1597 741
+rect 1649 949 1683 965
+rect 1511 673 1649 691
+rect 1477 657 1683 673
+rect 1735 949 1769 965
+rect 1373 602 1407 605
+rect 1373 568 1461 602
+rect 325 439 359 523
+rect 442 518 476 568
+rect 309 405 325 439
+rect 359 405 375 439
+rect 225 335 359 369
+rect 121 257 229 291
+rect 263 257 279 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 325 215 359 301
+rect 442 318 476 484
+rect 714 518 748 534
+rect 714 483 748 484
+rect 928 518 962 534
+rect 748 449 844 483
+rect 642 409 676 425
+rect 642 359 676 375
+rect 810 335 844 449
+rect 928 335 962 484
+rect 442 284 776 318
+rect 810 285 844 301
+rect 928 285 962 301
+rect 996 335 1030 568
+rect 1160 518 1194 534
+rect 1160 483 1194 484
+rect 742 231 776 284
+rect 996 251 1030 301
+rect 1064 449 1160 483
+rect 1359 518 1393 534
+rect 1359 483 1393 484
+rect 1064 335 1098 449
+rect 1427 403 1461 568
+rect 1240 369 1256 403
+rect 1290 369 1306 403
+rect 1373 369 1461 403
+rect 1502 518 1536 534
+rect 1373 335 1407 369
+rect 1144 301 1160 335
+rect 1194 301 1407 335
+rect 1502 335 1536 484
+rect 1570 432 1604 523
+rect 1570 416 1638 432
+rect 1570 382 1588 416
+rect 1622 382 1638 416
+rect 1735 403 1769 673
+rect 1839 949 1873 965
+rect 1839 557 1873 605
+rect 1925 949 1959 1049
+rect 1925 589 1959 605
+rect 2011 949 2045 965
+rect 2011 583 2045 597
+rect 2011 549 2068 583
+rect 1839 518 1873 523
+rect 1839 484 1990 518
+rect 1956 444 1990 484
+rect 1672 369 1859 403
+rect 1893 369 1909 403
+rect 1672 347 1706 369
+rect 1635 313 1706 347
+rect 1956 335 1990 410
+rect 1064 285 1098 301
+rect 121 115 155 131
+rect 227 165 261 181
+rect 227 61 261 131
+rect 325 115 359 131
+rect 483 215 517 231
+rect 483 61 517 131
+rect 587 215 621 231
+rect 742 215 813 231
+rect 996 217 1163 251
+rect 742 197 745 215
+rect 587 61 621 131
+rect 1095 215 1163 217
+rect 745 115 813 131
+rect 937 165 971 181
+rect 937 61 971 131
+rect 1095 115 1163 131
+rect 1287 215 1321 231
+rect 1287 61 1321 131
+rect 1373 215 1407 301
+rect 1373 115 1407 131
+rect 1477 215 1511 231
+rect 1477 61 1511 131
+rect 1635 215 1669 313
+rect 1839 301 1990 335
+rect 1739 257 1755 291
+rect 1789 257 1805 291
+rect 1839 215 1873 301
+rect 2034 268 2068 549
+rect 2011 234 2068 268
+rect 1635 115 1669 131
+rect 1733 165 1767 181
+rect 1733 61 1767 131
+rect 1839 115 1873 131
+rect 1925 215 1959 231
+rect 1925 61 1959 131
+rect 2011 215 2045 234
+rect 2011 115 2045 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 1954 1083 1988 1093
+rect 1954 1059 1988 1083
+rect 47 597 81 631
+rect 325 523 359 557
+rect 325 301 359 335
+rect 229 257 263 261
+rect 229 227 263 257
+rect 714 449 748 483
+rect 642 375 676 409
+rect 910 301 928 335
+rect 928 301 944 335
+rect 996 301 1030 335
+rect 1160 449 1194 483
+rect 1359 449 1393 483
+rect 1256 369 1290 403
+rect 1570 523 1604 557
+rect 2011 605 2045 631
+rect 2011 597 2045 605
+rect 1839 523 1873 557
+rect 1859 369 1893 403
+rect 1502 301 1536 335
+rect 1755 257 1789 261
+rect 1755 227 1789 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
+<< metal1 >>
+rect 0 1093 2090 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1954 1093
+rect 1988 1059 2090 1093
+rect 0 1049 2090 1059
+rect 35 631 93 637
+rect 1999 631 2057 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1977 597 2011 631
+rect 2045 597 2057 631
+rect 35 591 93 597
+rect 1999 591 2057 597
+rect 313 557 371 563
+rect 1558 557 1616 563
+rect 1827 557 1885 563
+rect 313 523 325 557
+rect 359 523 1570 557
+rect 1604 523 1616 557
+rect 1804 523 1839 557
+rect 1873 523 1885 557
+rect 313 517 371 523
+rect 1558 517 1616 523
+rect 1827 517 1885 523
+rect 702 483 760 489
+rect 1148 483 1206 489
+rect 1347 483 1405 489
+rect 702 449 714 483
+rect 748 449 1160 483
+rect 1194 449 1359 483
+rect 1393 449 1405 483
+rect 702 443 760 449
+rect 1148 443 1206 449
+rect 1347 443 1405 449
+rect 630 409 688 415
+rect 630 375 642 409
+rect 676 375 710 409
+rect 1244 403 1302 409
+rect 1847 403 1905 409
+rect 630 369 688 375
+rect 1244 369 1256 403
+rect 1290 369 1859 403
+rect 1893 369 1905 403
+rect 1244 363 1302 369
+rect 1847 363 1905 369
+rect 313 335 371 341
+rect 898 335 956 341
+rect 313 301 325 335
+rect 359 301 910 335
+rect 944 301 956 335
+rect 313 295 371 301
+rect 898 295 956 301
+rect 984 335 1042 341
+rect 1490 335 1548 341
+rect 984 301 996 335
+rect 1030 301 1502 335
+rect 1536 301 1548 335
+rect 984 295 1042 301
+rect 1490 295 1548 301
+rect 217 261 275 267
+rect 1743 261 1801 267
+rect 217 227 229 261
+rect 263 227 1755 261
+rect 1789 227 1801 261
+rect 217 221 275 227
+rect 1743 221 1801 227
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
+<< labels >>
+rlabel viali 659 392 659 392 1 D
+port 1 n
+rlabel viali 1376 466 1376 466 1 CK
+port 2 n
+rlabel viali 1857 540 1857 540 1 QN
+port 3 n
+rlabel viali 1587 540 1587 540 1 SN
+port 4 n
+rlabel viali 65 614 65 614 1 RN
+port 5 n
+rlabel viali 2028 614 2028 614 1 Q
+port 6 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__dffsr_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__dffsr_l.mag
new file mode 100644
index 0000000..8d418cf
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__dffsr_l.mag
@@ -0,0 +1,899 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3350 2379
+<< nwell >>
+rect -10 529 2090 1119
+<< nmos >>
+rect 80 115 110 263
+rect 272 115 302 219
+rect 370 115 400 263
+rect 442 115 472 263
+rect 632 115 662 263
+rect 704 115 734 263
+rect 824 115 854 263
+rect 896 115 926 263
+rect 982 115 1012 263
+rect 1054 115 1084 263
+rect 1174 115 1204 263
+rect 1246 115 1276 263
+rect 1332 115 1362 263
+rect 1522 115 1552 263
+rect 1594 115 1624 263
+rect 1692 115 1722 219
+rect 1884 115 1914 219
+rect 1970 115 2000 219
+<< pmoshvt >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+rect 442 565 472 965
+rect 632 565 662 965
+rect 704 565 734 965
+rect 824 565 854 965
+rect 896 565 926 965
+rect 982 565 1012 965
+rect 1054 565 1084 965
+rect 1174 565 1204 965
+rect 1246 565 1276 965
+rect 1332 565 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+rect 1694 565 1724 965
+rect 1884 713 1914 965
+rect 1970 713 2000 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 317 219 370 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 219 165 272 219
+rect 219 131 227 165
+rect 261 131 272 165
+rect 219 115 272 131
+rect 302 215 370 219
+rect 302 131 325 215
+rect 359 131 370 215
+rect 302 115 370 131
+rect 400 115 442 263
+rect 472 215 525 263
+rect 472 131 483 215
+rect 517 131 525 215
+rect 472 115 525 131
+rect 579 215 632 263
+rect 579 131 587 215
+rect 621 131 632 215
+rect 579 115 632 131
+rect 662 115 704 263
+rect 734 215 824 263
+rect 734 131 745 215
+rect 813 131 824 215
+rect 734 115 824 131
+rect 854 115 896 263
+rect 926 165 982 263
+rect 926 131 937 165
+rect 971 131 982 165
+rect 926 115 982 131
+rect 1012 115 1054 263
+rect 1084 215 1174 263
+rect 1084 131 1095 215
+rect 1163 131 1174 215
+rect 1084 115 1174 131
+rect 1204 115 1246 263
+rect 1276 215 1332 263
+rect 1276 131 1287 215
+rect 1321 131 1332 215
+rect 1276 115 1332 131
+rect 1362 215 1415 263
+rect 1362 131 1373 215
+rect 1407 131 1415 215
+rect 1362 115 1415 131
+rect 1469 215 1522 263
+rect 1469 131 1477 215
+rect 1511 131 1522 215
+rect 1469 115 1522 131
+rect 1552 115 1594 263
+rect 1624 219 1677 263
+rect 1624 215 1692 219
+rect 1624 131 1635 215
+rect 1669 131 1692 215
+rect 1624 115 1692 131
+rect 1722 165 1775 219
+rect 1722 131 1733 165
+rect 1767 131 1775 165
+rect 1722 115 1775 131
+rect 1831 165 1884 219
+rect 1831 131 1839 165
+rect 1873 131 1884 165
+rect 1831 115 1884 131
+rect 1914 165 1970 219
+rect 1914 131 1925 165
+rect 1959 131 1970 165
+rect 1914 115 1970 131
+rect 2000 165 2053 219
+rect 2000 131 2011 165
+rect 2045 131 2053 165
+rect 2000 115 2053 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 673 225 949
+rect 259 673 270 949
+rect 217 565 270 673
+rect 300 949 356 965
+rect 300 673 311 949
+rect 345 673 356 949
+rect 300 565 356 673
+rect 386 949 442 965
+rect 386 741 397 949
+rect 431 741 442 949
+rect 386 565 442 741
+rect 472 949 525 965
+rect 472 673 483 949
+rect 517 673 525 949
+rect 472 565 525 673
+rect 579 949 632 965
+rect 579 673 587 949
+rect 621 673 632 949
+rect 579 565 632 673
+rect 662 565 704 965
+rect 734 949 824 965
+rect 734 605 745 949
+rect 813 605 824 949
+rect 734 565 824 605
+rect 854 565 896 965
+rect 926 949 982 965
+rect 926 673 937 949
+rect 971 673 982 949
+rect 926 565 982 673
+rect 1012 565 1054 965
+rect 1084 949 1174 965
+rect 1084 673 1095 949
+rect 1163 673 1174 949
+rect 1084 565 1174 673
+rect 1204 565 1246 965
+rect 1276 949 1332 965
+rect 1276 605 1287 949
+rect 1321 605 1332 949
+rect 1276 565 1332 605
+rect 1362 949 1415 965
+rect 1362 605 1373 949
+rect 1407 605 1415 949
+rect 1362 565 1415 605
+rect 1469 949 1522 965
+rect 1469 673 1477 949
+rect 1511 673 1522 949
+rect 1469 565 1522 673
+rect 1552 949 1608 965
+rect 1552 741 1563 949
+rect 1597 741 1608 949
+rect 1552 565 1608 741
+rect 1638 949 1694 965
+rect 1638 673 1649 949
+rect 1683 673 1694 949
+rect 1638 565 1694 673
+rect 1724 949 1777 965
+rect 1724 673 1735 949
+rect 1769 673 1777 949
+rect 1831 949 1884 965
+rect 1831 809 1839 949
+rect 1873 809 1884 949
+rect 1831 713 1884 809
+rect 1914 949 1970 965
+rect 1914 809 1925 949
+rect 1959 809 1970 949
+rect 1914 713 1970 809
+rect 2000 949 2053 965
+rect 2000 809 2011 949
+rect 2045 809 2053 949
+rect 2000 713 2053 809
+rect 1724 565 1777 673
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 227 131 261 165
+rect 325 131 359 215
+rect 483 131 517 215
+rect 587 131 621 215
+rect 745 131 813 215
+rect 937 131 971 165
+rect 1095 131 1163 215
+rect 1287 131 1321 215
+rect 1373 131 1407 215
+rect 1477 131 1511 215
+rect 1635 131 1669 215
+rect 1733 131 1767 165
+rect 1839 131 1873 165
+rect 1925 131 1959 165
+rect 2011 131 2045 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 673 259 949
+rect 311 673 345 949
+rect 397 741 431 949
+rect 483 673 517 949
+rect 587 673 621 949
+rect 745 605 813 949
+rect 937 673 971 949
+rect 1095 673 1163 949
+rect 1287 605 1321 949
+rect 1373 605 1407 949
+rect 1477 673 1511 949
+rect 1563 741 1597 949
+rect 1649 673 1683 949
+rect 1735 673 1769 949
+rect 1839 809 1873 949
+rect 1925 809 1959 949
+rect 2011 809 2045 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+rect 1930 27 1954 61
+rect 1988 27 2012 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+rect 1930 1049 1954 1083
+rect 1988 1049 2012 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+rect 1954 27 1988 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+rect 1954 1049 1988 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 356 965 386 991
+rect 442 965 472 991
+rect 632 965 662 991
+rect 704 965 734 991
+rect 824 965 854 991
+rect 896 965 926 991
+rect 982 965 1012 991
+rect 1054 965 1084 991
+rect 1174 965 1204 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 1694 965 1724 991
+rect 1884 965 1914 991
+rect 1970 965 2000 991
+rect 80 442 110 565
+rect 270 527 300 565
+rect 243 497 300 527
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 243 307 273 497
+rect 356 455 386 565
+rect 442 534 472 565
+rect 432 518 486 534
+rect 432 484 442 518
+rect 476 484 486 518
+rect 432 468 486 484
+rect 315 439 386 455
+rect 315 405 325 439
+rect 359 405 386 439
+rect 315 389 386 405
+rect 219 291 273 307
+rect 356 333 386 389
+rect 356 303 400 333
+rect 219 257 229 291
+rect 263 271 273 291
+rect 263 257 302 271
+rect 370 263 400 303
+rect 442 263 472 468
+rect 632 425 662 565
+rect 704 534 734 565
+rect 704 518 758 534
+rect 704 484 714 518
+rect 748 484 758 518
+rect 704 468 758 484
+rect 632 409 686 425
+rect 824 423 854 565
+rect 896 528 926 565
+rect 982 528 1012 565
+rect 896 518 1012 528
+rect 896 484 928 518
+rect 962 484 1012 518
+rect 896 474 1012 484
+rect 1054 423 1084 565
+rect 1174 534 1204 565
+rect 1150 518 1204 534
+rect 1150 484 1160 518
+rect 1194 484 1204 518
+rect 1150 468 1204 484
+rect 632 375 642 409
+rect 676 375 686 409
+rect 632 359 686 375
+rect 728 393 1180 423
+rect 632 263 662 359
+rect 728 315 758 393
+rect 1150 351 1180 393
+rect 1246 419 1276 565
+rect 1332 534 1362 565
+rect 1332 518 1403 534
+rect 1522 528 1552 565
+rect 1332 504 1359 518
+rect 1343 484 1359 504
+rect 1393 484 1403 518
+rect 1343 468 1403 484
+rect 1486 518 1552 528
+rect 1486 484 1502 518
+rect 1536 484 1552 518
+rect 1486 474 1552 484
+rect 1246 403 1300 419
+rect 1246 369 1256 403
+rect 1290 369 1300 403
+rect 1246 353 1300 369
+rect 704 285 758 315
+rect 800 335 854 351
+rect 800 301 810 335
+rect 844 301 854 335
+rect 800 285 854 301
+rect 704 263 734 285
+rect 824 263 854 285
+rect 896 335 1012 345
+rect 896 301 928 335
+rect 962 301 1012 335
+rect 896 291 1012 301
+rect 896 263 926 291
+rect 982 263 1012 291
+rect 1054 335 1108 351
+rect 1054 301 1064 335
+rect 1098 301 1108 335
+rect 1054 285 1108 301
+rect 1150 335 1204 351
+rect 1150 301 1160 335
+rect 1194 301 1204 335
+rect 1150 285 1204 301
+rect 1054 263 1084 285
+rect 1174 263 1204 285
+rect 1246 263 1276 353
+rect 1343 315 1373 468
+rect 1332 285 1373 315
+rect 1486 318 1516 474
+rect 1608 432 1638 565
+rect 1694 527 1724 565
+rect 1884 549 1914 713
+rect 1694 497 1775 527
+rect 1576 416 1638 432
+rect 1576 382 1588 416
+rect 1622 382 1638 416
+rect 1576 366 1638 382
+rect 1486 286 1552 318
+rect 1332 263 1362 285
+rect 1522 263 1552 286
+rect 1594 263 1624 366
+rect 1745 307 1775 497
+rect 1874 519 1914 549
+rect 1874 419 1904 519
+rect 1970 460 2000 713
+rect 1849 403 1904 419
+rect 1849 369 1859 403
+rect 1893 369 1904 403
+rect 1946 444 2000 460
+rect 1946 410 1956 444
+rect 1990 410 2000 444
+rect 1946 394 2000 410
+rect 1849 353 1904 369
+rect 1874 308 1904 353
+rect 1745 291 1799 307
+rect 1745 271 1755 291
+rect 219 241 302 257
+rect 272 219 302 241
+rect 1692 257 1755 271
+rect 1789 257 1799 291
+rect 1874 278 1914 308
+rect 1692 241 1799 257
+rect 1692 219 1722 241
+rect 1884 219 1914 278
+rect 1970 219 2000 394
+rect 80 89 110 115
+rect 272 89 302 115
+rect 370 89 400 115
+rect 442 89 472 115
+rect 632 89 662 115
+rect 704 89 734 115
+rect 824 89 854 115
+rect 896 89 926 115
+rect 982 89 1012 115
+rect 1054 89 1084 115
+rect 1174 89 1204 115
+rect 1246 89 1276 115
+rect 1332 89 1362 115
+rect 1522 89 1552 115
+rect 1594 89 1624 115
+rect 1692 89 1722 115
+rect 1884 89 1914 115
+rect 1970 89 2000 115
+<< polycont >>
+rect 89 392 123 426
+rect 442 484 476 518
+rect 325 405 359 439
+rect 229 257 263 291
+rect 714 484 748 518
+rect 928 484 962 518
+rect 1160 484 1194 518
+rect 642 375 676 409
+rect 1359 484 1393 518
+rect 1502 484 1536 518
+rect 1256 369 1290 403
+rect 810 301 844 335
+rect 928 301 962 335
+rect 1064 301 1098 335
+rect 1160 301 1194 335
+rect 1588 382 1622 416
+rect 1859 369 1893 403
+rect 1956 410 1990 444
+rect 1755 257 1789 291
+<< locali >>
+rect 0 1093 2090 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1954 1093
+rect 1988 1049 2090 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 369 259 673
+rect 311 949 345 965
+rect 397 949 431 1049
+rect 397 725 431 741
+rect 483 949 517 965
+rect 345 673 483 691
+rect 311 657 517 673
+rect 587 949 621 1049
+rect 587 657 621 673
+rect 745 949 813 965
+rect 937 949 971 1049
+rect 937 657 971 673
+rect 1095 949 1163 965
+rect 813 605 816 623
+rect 745 602 816 605
+rect 1095 602 1163 673
+rect 442 568 816 602
+rect 996 568 1163 602
+rect 1287 949 1321 1049
+rect 1287 589 1321 605
+rect 1373 949 1407 965
+rect 1477 949 1511 965
+rect 1563 949 1597 1049
+rect 1563 725 1597 741
+rect 1649 949 1683 965
+rect 1511 673 1649 691
+rect 1477 657 1683 673
+rect 1735 949 1769 965
+rect 1373 602 1407 605
+rect 1373 568 1461 602
+rect 325 439 359 523
+rect 442 518 476 568
+rect 309 405 325 439
+rect 359 405 375 439
+rect 225 335 359 369
+rect 121 257 229 291
+rect 263 257 279 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 325 215 359 301
+rect 442 318 476 484
+rect 714 518 748 534
+rect 714 483 748 484
+rect 928 518 962 534
+rect 748 449 844 483
+rect 642 409 676 425
+rect 642 359 676 375
+rect 810 335 844 449
+rect 928 335 962 484
+rect 442 284 776 318
+rect 810 285 844 301
+rect 928 285 962 301
+rect 996 335 1030 568
+rect 1160 518 1194 534
+rect 1160 483 1194 484
+rect 742 231 776 284
+rect 996 251 1030 301
+rect 1064 449 1160 483
+rect 1359 518 1393 534
+rect 1359 483 1393 484
+rect 1064 335 1098 449
+rect 1427 403 1461 568
+rect 1240 369 1256 403
+rect 1290 369 1306 403
+rect 1373 369 1461 403
+rect 1502 518 1536 534
+rect 1373 335 1407 369
+rect 1144 301 1160 335
+rect 1194 301 1407 335
+rect 1502 335 1536 484
+rect 1570 432 1604 523
+rect 1570 416 1638 432
+rect 1570 382 1588 416
+rect 1622 382 1638 416
+rect 1735 403 1769 673
+rect 1839 949 1873 965
+rect 1839 557 1873 809
+rect 1925 949 1959 1049
+rect 1925 793 1959 809
+rect 2011 949 2045 965
+rect 2011 631 2045 809
+rect 2044 614 2045 631
+rect 2044 597 2068 614
+rect 2011 580 2068 597
+rect 1839 518 1873 523
+rect 1839 484 1990 518
+rect 1956 444 1990 484
+rect 1672 369 1859 403
+rect 1893 369 1909 403
+rect 1672 347 1706 369
+rect 1635 313 1706 347
+rect 1956 335 1990 410
+rect 1064 285 1098 301
+rect 121 115 155 131
+rect 227 165 261 181
+rect 227 61 261 131
+rect 325 115 359 131
+rect 483 215 517 231
+rect 483 61 517 131
+rect 587 215 621 231
+rect 742 215 813 231
+rect 996 217 1163 251
+rect 742 197 745 215
+rect 587 61 621 131
+rect 1095 215 1163 217
+rect 745 115 813 131
+rect 937 165 971 181
+rect 937 61 971 131
+rect 1095 115 1163 131
+rect 1287 215 1321 231
+rect 1287 61 1321 131
+rect 1373 215 1407 301
+rect 1373 115 1407 131
+rect 1477 215 1511 231
+rect 1477 61 1511 131
+rect 1635 215 1669 313
+rect 1839 301 1990 335
+rect 1739 257 1755 291
+rect 1789 257 1805 291
+rect 1635 115 1669 131
+rect 1733 165 1767 181
+rect 1733 61 1767 131
+rect 1839 165 1873 301
+rect 2034 268 2068 580
+rect 2011 234 2068 268
+rect 1839 115 1873 131
+rect 1925 165 1959 181
+rect 1925 61 1959 131
+rect 2011 165 2045 234
+rect 2011 115 2045 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 1954 1083 1988 1093
+rect 1954 1059 1988 1083
+rect 47 597 81 631
+rect 325 523 359 557
+rect 325 301 359 335
+rect 229 257 263 261
+rect 229 227 263 257
+rect 714 449 748 483
+rect 642 375 676 409
+rect 910 301 928 335
+rect 928 301 944 335
+rect 996 301 1030 335
+rect 1160 449 1194 483
+rect 1359 449 1393 483
+rect 1256 369 1290 403
+rect 1570 523 1604 557
+rect 2010 597 2044 631
+rect 1839 523 1873 557
+rect 1859 369 1893 403
+rect 1502 301 1536 335
+rect 1755 257 1789 261
+rect 1755 227 1789 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
+<< metal1 >>
+rect 0 1093 2090 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1954 1093
+rect 1988 1059 2090 1093
+rect 0 1049 2090 1059
+rect 35 631 93 637
+rect 1998 631 2056 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1976 597 2010 631
+rect 2044 597 2056 631
+rect 35 591 93 597
+rect 1998 591 2056 597
+rect 313 557 371 563
+rect 1558 557 1616 563
+rect 1827 557 1885 563
+rect 313 523 325 557
+rect 359 523 1570 557
+rect 1604 523 1616 557
+rect 1804 523 1839 557
+rect 1873 523 1885 557
+rect 313 517 371 523
+rect 1558 517 1616 523
+rect 1827 517 1885 523
+rect 702 483 760 489
+rect 1148 483 1206 489
+rect 1347 483 1405 489
+rect 702 449 714 483
+rect 748 449 1160 483
+rect 1194 449 1359 483
+rect 1393 449 1405 483
+rect 702 443 760 449
+rect 1148 443 1206 449
+rect 1347 443 1405 449
+rect 630 409 688 415
+rect 630 375 642 409
+rect 676 375 710 409
+rect 1244 403 1302 409
+rect 1847 403 1905 409
+rect 630 369 688 375
+rect 1244 369 1256 403
+rect 1290 369 1859 403
+rect 1893 369 1905 403
+rect 1244 363 1302 369
+rect 1847 363 1905 369
+rect 313 335 371 341
+rect 898 335 956 341
+rect 313 301 325 335
+rect 359 301 910 335
+rect 944 301 956 335
+rect 313 295 371 301
+rect 898 295 956 301
+rect 984 335 1042 341
+rect 1490 335 1548 341
+rect 984 301 996 335
+rect 1030 301 1502 335
+rect 1536 301 1548 335
+rect 984 295 1042 301
+rect 1490 295 1548 301
+rect 217 261 275 267
+rect 1743 261 1801 267
+rect 217 227 229 261
+rect 263 227 1755 261
+rect 1789 227 1801 261
+rect 217 221 275 227
+rect 1743 221 1801 227
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
+<< labels >>
+rlabel viali 659 392 659 392 1 D
+port 1 n
+rlabel viali 1376 466 1376 466 1 CK
+port 2 n
+rlabel viali 1857 540 1857 540 1 QN
+port 3 n
+rlabel viali 2027 614 2027 614 1 Q
+port 4 n
+rlabel viali 1587 540 1587 540 1 SN
+port 5 n
+rlabel viali 65 614 65 614 1 RN
+port 6 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__fill_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_1.mag
new file mode 100644
index 0000000..8d445b8
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_1.mag
@@ -0,0 +1,24 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1274 2461 1301 2601
+rect -1760 -1129 6260 2461
+rect -1274 -1260 1301 -1129
+<< error_p >>
+rect 0 1271 34 1332
+rect 41 581 154 1341
+rect 0 0 34 61
+<< nwell >>
+rect -14 529 41 1119
+<< locali >>
+rect 0 1049 22 1110
+rect 0 0 22 61
+<< metal1 >>
+rect 0 1049 22 1110
+rect 0 0 22 61
+<< labels >>
+rlabel metal1 11 28 11 28 1 gnd
+rlabel metal1 11 1077 11 1077 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__fill_16.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_16.mag
new file mode 100644
index 0000000..83c32da
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_16.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1269 2461 1615 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1615 -1129
+<< nwell >>
+rect -9 529 355 1119
+<< locali >>
+rect 0 1049 352 1110
+rect 0 0 352 61
+<< metal1 >>
+rect 0 1049 352 1110
+rect 0 0 352 61
+<< labels >>
+rlabel metal1 196 30 196 30 1 gnd
+rlabel metal1 199 1078 199 1078 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__fill_2.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_2.mag
new file mode 100644
index 0000000..bde58ab
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_2.mag
@@ -0,0 +1,24 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1267 2461 1310 2601
+rect -1760 -1129 6260 2461
+rect -1267 -1260 1310 -1129
+<< error_p >>
+rect 0 1271 44 1332
+rect 50 581 161 1341
+rect 0 0 44 61
+<< nwell >>
+rect -7 529 50 1119
+<< locali >>
+rect 0 1049 44 1110
+rect 0 0 44 61
+<< metal1 >>
+rect 0 1049 44 1110
+rect 0 0 44 61
+<< labels >>
+rlabel metal1 23 28 23 28 1 gnd
+rlabel metal1 22 1078 22 1078 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__fill_32.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_32.mag
new file mode 100644
index 0000000..4cf67de
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_32.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1967 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1967 -1129
+<< nwell >>
+rect -9 529 707 1119
+<< locali >>
+rect 0 1049 704 1110
+rect 0 0 704 61
+<< metal1 >>
+rect 0 1049 704 1110
+rect 0 0 704 61
+<< labels >>
+rlabel metal1 363 26 363 26 1 gnd
+rlabel metal1 374 1076 374 1076 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__fill_4.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_4.mag
new file mode 100644
index 0000000..8b2fb75
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_4.mag
@@ -0,0 +1,22 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1356 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1356 -1129
+<< error_p >>
+rect 96 581 159 1341
+<< nwell >>
+rect -9 529 96 1119
+<< locali >>
+rect 0 1049 88 1110
+rect 0 0 88 61
+<< metal1 >>
+rect 0 1049 88 1110
+rect 0 0 88 61
+<< labels >>
+rlabel metal1 71 28 71 28 1 gnd
+rlabel metal1 72 1079 72 1079 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__fill_8.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_8.mag
new file mode 100644
index 0000000..2d49aaa
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__fill_8.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1439 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1439 -1129
+<< nwell >>
+rect -9 529 179 1119
+<< locali >>
+rect 0 1049 176 1110
+rect 0 0 176 61
+<< metal1 >>
+rect 0 1049 176 1110
+rect 0 0 176 61
+<< labels >>
+rlabel metal1 112 28 112 28 1 gnd
+rlabel metal1 111 1081 111 1081 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__inv_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_1.mag
new file mode 100644
index 0000000..866213b
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_1.mag
@@ -0,0 +1,115 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1459 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1459 -1129
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 263
+<< pmoshvt >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 442 110 565
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 263 110 376
+rect 80 89 110 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel metal1 151 389 151 389 1 Y
+port 1 n
+rlabel viali 64 614 64 614 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__inv_10.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_10.mag
new file mode 100644
index 0000000..5ccfa2b
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_10.mag
@@ -0,0 +1,420 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 2250 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2250 -1129
+<< nwell >>
+rect -9 529 990 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 854 263
+rect 798 131 809 215
+rect 843 131 854 215
+rect 798 115 854 131
+rect 884 215 937 263
+rect 884 131 895 215
+rect 929 131 937 215
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 854 965
+rect 798 605 809 949
+rect 843 605 854 949
+rect 798 565 854 605
+rect 884 949 937 965
+rect 884 605 895 949
+rect 929 605 937 949
+rect 884 565 937 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+rect 895 131 929 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+rect 895 605 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 80 510 884 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 884 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 596 263 626 288
+rect 682 263 712 288
+rect 768 263 798 288
+rect 854 263 884 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 557 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 809 949 843 965
+rect 809 557 843 605
+rect 895 949 929 1049
+rect 895 589 929 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 809 215 843 227
+rect 809 115 843 131
+rect 895 215 929 231
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 637 523 671 557
+rect 809 523 843 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 809 227 843 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 625 557 683 563
+rect 797 557 855 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 637 557
+rect 671 523 809 557
+rect 843 523 855 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 625 517 683 523
+rect 797 517 855 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 637 267 671 517
+rect 809 267 843 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 809 261
+rect 843 227 855 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__inv_2.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_2.mag
new file mode 100644
index 0000000..22f0e55
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_2.mag
@@ -0,0 +1,153 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1547 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1547 -1129
+<< nwell >>
+rect -9 529 287 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 249 263
+rect 196 131 207 215
+rect 241 131 249 215
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 249 965
+rect 196 605 207 949
+rect 241 605 249 949
+rect 196 565 249 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 992
+rect 80 540 110 565
+rect 166 540 196 565
+rect 80 510 196 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 196 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__inv_3.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_3.mag
new file mode 100644
index 0000000..c9b0c28
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_3.mag
@@ -0,0 +1,182 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 80 510 282 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 282 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 339 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 339 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__inv_4.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_4.mag
new file mode 100644
index 0000000..bb43399
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_4.mag
@@ -0,0 +1,216 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1723 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1723 -1129
+<< nwell >>
+rect -9 529 463 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 421 263
+rect 368 131 379 215
+rect 413 131 421 215
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 421 965
+rect 368 605 379 949
+rect 413 605 421 949
+rect 368 565 421 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 80 510 368 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 368 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 339 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 339 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 64 613 64 613 1 A
+port 1 n
+rlabel metal1 152 388 152 388 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__inv_6.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_6.mag
new file mode 100644
index 0000000..da9034c
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_6.mag
@@ -0,0 +1,280 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1899 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1899 -1129
+<< nwell >>
+rect -9 529 639 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 593 263
+rect 540 131 551 215
+rect 585 131 593 215
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 593 965
+rect 540 605 551 949
+rect 585 605 593 949
+rect 540 565 593 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 80 510 540 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 540 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 511 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 64 613 64 613 1 A
+port 1 n
+rlabel metal1 152 388 152 388 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__inv_8.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_8.mag
new file mode 100644
index 0000000..b754f85
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_8.mag
@@ -0,0 +1,343 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 2074 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2074 -1129
+<< nwell >>
+rect -9 529 814 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 765 263
+rect 712 131 723 215
+rect 757 131 765 215
+rect 712 115 765 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 765 965
+rect 712 605 723 949
+rect 757 605 765 949
+rect 712 565 765 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 80 510 712 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 712 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 596 263 626 288
+rect 682 263 712 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 814 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 814 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 557 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 814 61
+rect 0 0 814 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 637 523 671 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+<< metal1 >>
+rect 0 1093 814 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 814 1093
+rect 0 1049 814 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 625 557 683 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 637 557
+rect 671 523 683 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 625 517 683 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 637 267 671 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 0 51 814 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 814 51
+rect 0 0 814 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__inv_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_l.mag
new file mode 100644
index 0000000..86e549d
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__inv_l.mag
@@ -0,0 +1,113 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 219
+<< pmoshvt >>
+rect 80 713 110 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 163 219
+rect 110 131 121 165
+rect 155 131 163 165
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 163 965
+rect 110 877 121 949
+rect 155 877 163 949
+rect 110 713 163 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 442 110 713
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 219 110 376
+rect 80 89 110 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 877
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 227
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 614 64 614 1 A
+port 1 n
+rlabel metal1 151 389 151 389 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__mux2_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__mux2_1.mag
new file mode 100644
index 0000000..c1e15e7
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__mux2_1.mag
@@ -0,0 +1,227 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 1813 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1813 -1129
+<< nwell >>
+rect -9 529 553 1119
+<< nmos >>
+rect 80 115 110 263
+rect 270 115 300 263
+rect 356 115 386 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 215 270 263
+rect 217 131 225 215
+rect 259 131 270 215
+rect 217 115 270 131
+rect 300 215 356 263
+rect 300 131 311 215
+rect 345 131 356 215
+rect 300 115 356 131
+rect 386 215 439 263
+rect 386 131 397 215
+rect 431 131 439 215
+rect 386 115 439 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 605 225 949
+rect 259 605 270 949
+rect 217 565 270 605
+rect 300 949 356 965
+rect 300 605 311 949
+rect 345 605 356 949
+rect 300 565 356 605
+rect 386 949 439 965
+rect 386 605 397 949
+rect 431 605 439 949
+rect 386 565 439 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 215
+rect 311 131 345 215
+rect 397 131 431 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 605 259 949
+rect 311 605 345 949
+rect 397 605 431 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 459 1049 493 1083
+<< poly >>
+rect 80 980 300 1010
+rect 80 965 110 980
+rect 270 965 300 980
+rect 356 965 386 991
+rect 80 442 110 565
+rect 270 539 300 565
+rect 152 503 218 513
+rect 152 469 168 503
+rect 202 489 218 503
+rect 356 489 386 565
+rect 202 469 386 489
+rect 152 459 386 469
+rect 27 426 110 442
+rect 27 392 37 426
+rect 71 417 110 426
+rect 71 392 386 417
+rect 27 387 386 392
+rect 27 376 110 387
+rect 80 263 110 376
+rect 152 335 218 345
+rect 152 301 168 335
+rect 202 321 218 335
+rect 202 301 300 321
+rect 152 291 300 301
+rect 270 263 300 291
+rect 356 263 386 387
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+<< polycont >>
+rect 168 469 202 503
+rect 37 392 71 426
+rect 168 301 202 335
+<< locali >>
+rect 0 1093 550 1110
+rect 0 1049 459 1093
+rect 493 1049 550 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 37 426 71 597
+rect 37 376 71 392
+rect 121 519 155 605
+rect 225 949 259 965
+rect 311 949 345 965
+rect 225 571 270 605
+rect 236 557 270 571
+rect 121 503 202 519
+rect 121 469 168 503
+rect 121 453 202 469
+rect 121 351 155 453
+rect 121 335 202 351
+rect 121 301 168 335
+rect 121 285 202 301
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 285
+rect 236 249 270 523
+rect 311 409 345 605
+rect 397 949 431 965
+rect 397 483 431 605
+rect 121 115 155 131
+rect 225 215 270 249
+rect 311 215 345 227
+rect 225 115 259 131
+rect 311 115 345 131
+rect 397 215 431 449
+rect 397 115 431 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 597 71 631
+rect 236 523 270 557
+rect 311 375 345 409
+rect 397 449 431 483
+rect 311 227 345 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 550 1110
+rect 0 1059 459 1093
+rect 493 1059 550 1093
+rect 0 1049 550 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 117 631
+rect 25 591 83 597
+rect 224 557 282 563
+rect 190 523 236 557
+rect 270 523 282 557
+rect 224 517 282 523
+rect 385 483 443 489
+rect 351 449 397 483
+rect 431 449 443 483
+rect 385 443 443 449
+rect 299 409 357 415
+rect 299 375 311 409
+rect 345 375 357 409
+rect 299 369 357 375
+rect 311 267 345 369
+rect 299 261 357 267
+rect 299 227 311 261
+rect 345 227 357 261
+rect 299 221 357 227
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel viali 54 614 54 614 1 S0
+port 1 n
+rlabel viali 328 392 328 392 1 Y
+port 2 n
+rlabel viali 253 540 253 540 1 A0
+port 3 n
+rlabel viali 414 466 414 466 1 A1
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 476 1066 476 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__nand2_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__nand2_1.mag
new file mode 100644
index 0000000..e285c10
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__nand2_1.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 1546 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1546 -1129
+<< nwell >>
+rect -9 529 286 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 215 235 263
+rect 182 131 193 215
+rect 227 131 235 215
+rect 182 115 235 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 249 965
+rect 196 673 207 949
+rect 241 673 249 949
+rect 196 565 249 673
+<< ndiffc >>
+rect 35 131 69 215
+rect 193 131 227 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 673 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 518 110 565
+rect 37 502 110 518
+rect 37 468 47 502
+rect 81 468 110 502
+rect 37 452 110 468
+rect 80 263 110 452
+rect 166 425 196 565
+rect 152 409 210 425
+rect 152 375 166 409
+rect 200 375 210 409
+rect 152 359 210 375
+rect 152 263 182 359
+rect 80 89 110 115
+rect 152 89 182 115
+<< polycont >>
+rect 47 468 81 502
+rect 166 375 200 409
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 502 81 597
+rect 47 452 81 468
+rect 207 949 241 1049
+rect 207 657 241 673
+rect 121 483 155 605
+rect 195 409 229 523
+rect 150 375 166 409
+rect 200 375 229 409
+rect 35 215 69 227
+rect 35 115 69 131
+rect 193 215 227 231
+rect 193 61 227 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 449 155 483
+rect 195 523 229 557
+rect 35 227 69 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 115 631
+rect 35 591 93 597
+rect 183 557 241 563
+rect 161 523 195 557
+rect 229 523 241 557
+rect 183 517 241 523
+rect 109 483 167 489
+rect 109 449 121 483
+rect 155 449 167 483
+rect 109 443 167 449
+rect 23 261 81 267
+rect 121 261 155 443
+rect 23 227 35 261
+rect 69 227 155 261
+rect 23 221 81 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 136 418 136 418 1 Y
+port 1 n
+rlabel viali 64 614 64 614 1 A
+port 2 n
+rlabel viali 212 540 212 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__nand2_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__nand2_l.mag
new file mode 100644
index 0000000..b883fd5
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__nand2_l.mag
@@ -0,0 +1,155 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 -242 1546 2379
+<< nwell >>
+rect -9 529 286 1119
+<< nmos >>
+rect 80 115 110 219
+rect 152 115 182 219
+<< pmoshvt >>
+rect 80 713 110 965
+rect 166 713 196 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 518 110 713
+rect 37 502 110 518
+rect 37 468 47 502
+rect 81 468 110 502
+rect 37 452 110 468
+rect 80 219 110 452
+rect 166 368 196 713
+rect 152 352 210 368
+rect 152 318 166 352
+rect 200 318 210 352
+rect 152 302 210 318
+rect 152 219 182 302
+rect 80 89 110 115
+rect 152 89 182 115
+<< polycont >>
+rect 47 468 81 502
+rect 166 318 200 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 502 81 597
+rect 47 452 81 468
+rect 121 483 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 195 352 229 523
+rect 150 318 166 352
+rect 200 318 229 352
+rect 35 165 69 227
+rect 35 115 69 131
+rect 193 165 227 181
+rect 193 61 227 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 449 155 483
+rect 195 523 229 557
+rect 35 227 69 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 115 631
+rect 35 591 93 597
+rect 183 557 241 563
+rect 161 523 195 557
+rect 229 523 241 557
+rect 183 517 241 523
+rect 109 483 167 489
+rect 109 449 121 483
+rect 155 449 167 483
+rect 109 443 167 449
+rect 23 261 81 267
+rect 121 261 155 443
+rect 23 227 35 261
+rect 69 227 155 261
+rect 23 221 81 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 136 418 136 418 1 Y
+port 1 n
+rlabel viali 212 540 212 540 1 B
+port 2 n
+rlabel viali 64 614 64 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__nor2_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__nor2_1.mag
new file mode 100644
index 0000000..f703b88
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__nor2_1.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 2461 1546 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1546 -1129
+<< nwell >>
+rect -9 529 286 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 152 565 182 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 249 263
+rect 196 131 207 215
+rect 241 131 249 215
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 565 152 965
+rect 182 949 235 965
+rect 182 741 193 949
+rect 227 741 235 949
+rect 182 565 235 741
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 193 741 227 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 80 399 110 565
+rect 152 532 182 565
+rect 152 516 225 532
+rect 152 482 181 516
+rect 215 482 225 516
+rect 152 466 225 482
+rect 56 383 110 399
+rect 56 349 66 383
+rect 100 349 110 383
+rect 56 333 110 349
+rect 80 263 110 333
+rect 166 263 196 466
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 181 482 215 516
+rect 66 349 100 383
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 193 949 227 1049
+rect 193 725 227 741
+rect 35 483 69 605
+rect 113 383 147 523
+rect 181 516 215 597
+rect 181 466 215 482
+rect 50 349 66 383
+rect 100 349 147 383
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 181 597 215 631
+rect 35 449 69 483
+rect 113 523 147 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 169 631 227 637
+rect 148 597 181 631
+rect 215 597 227 631
+rect 169 591 227 597
+rect 101 557 159 563
+rect 79 523 113 557
+rect 147 523 159 557
+rect 101 517 159 523
+rect 23 483 81 489
+rect 23 449 35 483
+rect 69 449 155 483
+rect 23 443 81 449
+rect 121 267 155 449
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 137 341 137 341 1 Y
+port 1 n
+rlabel viali 130 540 130 540 1 B
+port 2 n
+rlabel viali 198 614 198 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__nor2_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__nor2_l.mag
new file mode 100644
index 0000000..503e0c7
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__nor2_l.mag
@@ -0,0 +1,155 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1546 2379
+<< nwell >>
+rect -9 529 286 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+<< pmoshvt >>
+rect 80 713 110 965
+rect 152 713 182 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 249 219
+rect 196 131 207 165
+rect 241 131 249 165
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 713 152 965
+rect 182 949 235 965
+rect 182 809 193 949
+rect 227 809 235 949
+rect 182 713 235 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 193 809 227 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 80 399 110 713
+rect 152 532 182 713
+rect 152 516 225 532
+rect 152 482 181 516
+rect 215 482 225 516
+rect 152 466 225 482
+rect 56 383 110 399
+rect 56 349 66 383
+rect 100 349 110 383
+rect 56 333 110 349
+rect 80 219 110 333
+rect 166 219 196 466
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 181 482 215 516
+rect 66 349 100 383
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 35 483 69 809
+rect 193 949 227 1049
+rect 193 793 227 809
+rect 113 383 147 523
+rect 181 516 215 597
+rect 181 466 215 482
+rect 50 349 66 383
+rect 100 349 147 383
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 227
+rect 121 115 155 131
+rect 207 165 241 181
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 181 597 215 631
+rect 35 449 69 483
+rect 113 523 147 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 169 631 227 637
+rect 148 597 181 631
+rect 215 597 227 631
+rect 169 591 227 597
+rect 101 557 159 563
+rect 79 523 113 557
+rect 147 523 159 557
+rect 101 517 159 523
+rect 23 483 81 489
+rect 23 449 35 483
+rect 69 449 155 483
+rect 23 443 81 449
+rect 121 267 155 449
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 137 341 137 341 1 Y
+port 1 n
+rlabel viali 198 614 198 614 1 A
+port 2 n
+rlabel viali 130 540 130 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__oai21_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__oai21_l.mag
new file mode 100644
index 0000000..a9c034e
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__oai21_l.mag
@@ -0,0 +1,194 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 152 565 182 965
+rect 250 713 280 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 214 335 263
+rect 282 131 293 214
+rect 327 131 335 214
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 565 152 965
+rect 182 949 250 965
+rect 182 809 193 949
+rect 227 809 250 949
+rect 182 713 250 809
+rect 280 949 333 965
+rect 280 809 291 949
+rect 325 809 333 949
+rect 280 713 333 809
+rect 182 565 235 713
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 207 131 241 215
+rect 293 131 327 214
+<< pdiffc >>
+rect 35 741 69 949
+rect 193 809 227 949
+rect 291 809 325 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 250 965 280 991
+rect 80 533 110 565
+rect 56 517 110 533
+rect 56 483 66 517
+rect 100 483 110 517
+rect 56 467 110 483
+rect 56 318 86 467
+rect 152 419 182 565
+rect 136 409 202 419
+rect 136 375 152 409
+rect 186 375 202 409
+rect 136 365 202 375
+rect 56 288 110 318
+rect 80 263 110 288
+rect 166 263 196 365
+rect 250 357 280 713
+rect 250 341 306 357
+rect 252 307 262 341
+rect 296 307 306 341
+rect 252 291 306 307
+rect 252 263 282 291
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 66 483 100 517
+rect 152 375 186 409
+rect 262 307 296 341
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 193 949 227 1049
+rect 193 793 227 809
+rect 291 949 325 965
+rect 35 699 69 741
+rect 291 699 325 809
+rect 35 665 325 699
+rect 66 517 100 597
+rect 66 467 100 483
+rect 152 523 162 557
+rect 152 409 186 523
+rect 152 359 186 375
+rect 223 341 257 449
+rect 291 409 325 665
+rect 223 307 262 341
+rect 296 307 312 341
+rect 35 215 241 249
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 115 241 131
+rect 293 214 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 66 597 100 631
+rect 162 523 196 557
+rect 223 449 257 483
+rect 291 375 325 409
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 54 631 112 637
+rect 54 597 66 631
+rect 100 597 134 631
+rect 54 591 112 597
+rect 150 557 208 563
+rect 150 523 162 557
+rect 196 523 230 557
+rect 150 517 208 523
+rect 211 483 269 489
+rect 189 449 223 483
+rect 257 449 269 483
+rect 211 443 269 449
+rect 279 409 337 415
+rect 279 375 291 409
+rect 325 375 337 409
+rect 279 369 337 375
+rect 293 267 327 369
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 83 614 83 614 1 A0
+port 1 n
+rlabel viali 179 540 179 540 1 A1
+port 2 n
+rlabel viali 308 392 308 392 1 Y
+port 3 n
+rlabel viali 240 466 240 466 1 B0
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__oai22_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__oai22_l.mag
new file mode 100644
index 0000000..6b69ebd
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__oai22_l.mag
@@ -0,0 +1,239 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 152 565 182 965
+rect 252 565 282 965
+rect 324 565 354 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 181 293 215
+rect 327 181 338 215
+rect 282 115 338 181
+rect 368 165 421 263
+rect 368 131 379 165
+rect 413 131 421 165
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 565 152 965
+rect 182 949 252 965
+rect 182 673 200 949
+rect 234 673 252 949
+rect 182 565 252 673
+rect 282 565 324 965
+rect 354 949 407 965
+rect 354 741 365 949
+rect 399 741 407 949
+rect 354 565 407 741
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 207 131 241 215
+rect 293 181 327 215
+rect 379 131 413 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 200 673 234 949
+rect 365 741 399 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 252 965 282 991
+rect 324 965 354 991
+rect 80 533 110 565
+rect 56 517 110 533
+rect 56 483 66 517
+rect 100 483 110 517
+rect 56 467 110 483
+rect 56 318 86 467
+rect 152 419 182 565
+rect 130 409 196 419
+rect 130 375 146 409
+rect 180 375 196 409
+rect 130 365 196 375
+rect 56 288 110 318
+rect 80 263 110 288
+rect 166 263 196 365
+rect 252 361 282 565
+rect 324 540 354 565
+rect 324 510 368 540
+rect 338 426 368 510
+rect 338 410 430 426
+rect 338 376 384 410
+rect 418 376 430 410
+rect 238 345 292 361
+rect 238 311 248 345
+rect 282 311 292 345
+rect 238 295 292 311
+rect 338 360 430 376
+rect 252 263 282 295
+rect 338 263 368 360
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 66 483 100 517
+rect 146 375 180 409
+rect 384 376 418 410
+rect 248 311 282 345
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 200 949 234 965
+rect 365 949 399 1049
+rect 365 725 399 741
+rect 200 648 234 673
+rect 200 614 350 648
+rect 66 517 100 597
+rect 66 467 100 483
+rect 146 523 162 557
+rect 146 409 180 523
+rect 146 359 180 375
+rect 223 361 257 449
+rect 223 345 282 361
+rect 223 311 248 345
+rect 223 295 282 311
+rect 316 335 350 614
+rect 384 410 418 426
+rect 384 360 418 376
+rect 35 215 241 249
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 293 227 316 261
+rect 293 215 327 227
+rect 293 165 327 181
+rect 379 165 413 181
+rect 207 129 241 131
+rect 379 129 413 131
+rect 207 95 413 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 66 597 100 631
+rect 162 523 196 557
+rect 223 449 257 483
+rect 384 376 418 410
+rect 316 301 350 335
+rect 316 227 350 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 54 631 112 637
+rect 54 597 66 631
+rect 100 597 134 631
+rect 54 591 112 597
+rect 150 557 208 563
+rect 150 523 162 557
+rect 196 523 230 557
+rect 150 517 208 523
+rect 211 483 269 489
+rect 189 449 223 483
+rect 257 449 269 483
+rect 211 443 269 449
+rect 372 410 430 416
+rect 350 376 384 410
+rect 418 376 430 410
+rect 372 370 430 376
+rect 304 335 362 341
+rect 304 301 316 335
+rect 350 301 362 335
+rect 304 295 362 301
+rect 316 267 350 295
+rect 304 261 362 267
+rect 304 227 316 261
+rect 350 227 362 261
+rect 304 221 362 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 240 466 240 466 1 B0
+port 4 n
+rlabel viali 179 540 179 540 1 A1
+port 2 n
+rlabel viali 83 614 83 614 1 A0
+port 1 n
+rlabel viali 333 318 333 318 1 Y
+port 3 n
+rlabel viali 401 393 401 393 1 B1
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__or2_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_1.mag
new file mode 100644
index 0000000..8e627e6
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_1.mag
@@ -0,0 +1,188 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 2461 1634 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1634 -1129
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 252 510 289 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 263 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__or2_2.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_2.mag
new file mode 100644
index 0000000..a35a0aa
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_2.mag
@@ -0,0 +1,223 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1722 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1722 -1129
+<< nwell >>
+rect -9 529 462 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 421 263
+rect 368 131 379 215
+rect 413 131 421 215
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 421 965
+rect 368 605 379 949
+rect 413 605 421 949
+rect 368 565 421 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 252 510 368 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 368 332
+rect 252 302 368 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__or2_4.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_4.mag
new file mode 100644
index 0000000..f2fd3dc
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_4.mag
@@ -0,0 +1,286 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1898 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1898 -1129
+<< nwell >>
+rect -9 529 638 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 593 263
+rect 540 131 551 215
+rect 585 131 593 215
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 593 965
+rect 540 605 551 949
+rect 585 605 593 949
+rect 540 565 593 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 252 510 540 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 540 332
+rect 252 302 540 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 483 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 465 449 499 483
+rect 293 227 327 261
+rect 465 227 499 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 511 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__or2_8.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_8.mag
new file mode 100644
index 0000000..21b6a6f
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_8.mag
@@ -0,0 +1,428 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 2250 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2250 -1129
+<< nwell >>
+rect -9 529 990 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 854 263
+rect 798 131 809 215
+rect 843 131 854 215
+rect 798 115 854 131
+rect 884 215 937 263
+rect 884 131 895 215
+rect 929 131 937 215
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 854 965
+rect 798 605 809 949
+rect 843 605 854 949
+rect 798 565 854 605
+rect 884 949 937 965
+rect 884 605 895 949
+rect 929 605 937 949
+rect 884 565 937 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+rect 895 131 929 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+rect 895 605 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 252 510 884 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 596 332 626 510
+rect 303 318 884 332
+rect 252 302 884 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 854 263 884 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 483 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 483 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 809 949 843 965
+rect 809 483 843 605
+rect 895 949 929 1049
+rect 895 589 929 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 809 215 843 227
+rect 809 115 843 131
+rect 895 215 929 231
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 809 449 843 483
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 809 227 843 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 797 483 855 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 809 483
+rect 843 449 855 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 797 443 855 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 809 267 843 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 712 227 809 261
+rect 843 227 855 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__or2_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_l.mag
new file mode 100644
index 0000000..fa40c23
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__or2_l.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 252 115 282 219
+<< pmoshvt >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 252 713 282 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 252 219
+rect 196 131 207 165
+rect 241 131 252 165
+rect 196 115 252 131
+rect 282 165 335 219
+rect 282 131 293 165
+rect 327 131 335 165
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 713 166 965
+rect 196 949 252 965
+rect 196 877 207 949
+rect 241 877 252 949
+rect 196 713 252 877
+rect 282 949 335 965
+rect 282 877 293 949
+rect 327 877 335 949
+rect 282 713 335 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+rect 293 131 327 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 207 877 241 949
+rect 293 877 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 516 110 713
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 713
+rect 252 540 282 713
+rect 252 510 289 540
+rect 80 219 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 219 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 219 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 691 69 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 293 949 327 965
+rect 35 657 139 691
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 877
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 318
+rect 121 115 155 131
+rect 207 165 241 181
+rect 207 61 241 131
+rect 293 165 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 54 540 54 540 1 B
+port 2 n
+rlabel viali 190 614 190 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__tbufi_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__tbufi_1.mag
new file mode 100644
index 0000000..5e338db
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__tbufi_1.mag
@@ -0,0 +1,187 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 321 263
+rect 268 131 279 215
+rect 313 131 321 215
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 673 121 949
+rect 155 673 166 949
+rect 110 565 166 673
+rect 196 565 238 965
+rect 268 949 321 965
+rect 268 605 279 949
+rect 313 605 321 949
+rect 268 565 321 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 313 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 673 155 949
+rect 279 605 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 565
+rect 39 520 110 550
+rect 39 308 69 520
+rect 166 477 196 565
+rect 133 461 196 477
+rect 133 427 143 461
+rect 177 427 196 461
+rect 133 411 196 427
+rect 238 399 268 565
+rect 238 383 292 399
+rect 111 335 165 351
+rect 111 308 121 335
+rect 39 301 121 308
+rect 155 308 165 335
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 155 301 196 308
+rect 39 278 196 301
+rect 80 263 110 278
+rect 166 263 196 278
+rect 238 263 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 143 427 177 461
+rect 121 301 155 335
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 657 155 673
+rect 279 949 313 965
+rect 35 461 69 605
+rect 143 461 177 477
+rect 35 427 143 461
+rect 35 215 69 427
+rect 143 411 177 427
+rect 211 383 245 597
+rect 279 483 313 605
+rect 211 349 248 383
+rect 282 349 298 383
+rect 103 301 121 335
+rect 155 301 171 335
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 215 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 279 449 313 483
+rect 121 301 155 335
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 189 557
+rect 109 517 167 523
+rect 121 341 155 517
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 109 335 167 341
+rect 109 301 121 335
+rect 155 301 167 335
+rect 109 295 167 301
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel metal1 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__tbufi_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__tbufi_l.mag
new file mode 100644
index 0000000..926bda8
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__tbufi_l.mag
@@ -0,0 +1,185 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1635 2379
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 238 115 268 219
+<< pmoshvt >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 238 713 268 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 219
+rect 268 165 321 219
+rect 268 131 279 165
+rect 313 131 321 165
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 949 166 965
+rect 110 809 121 949
+rect 155 809 166 949
+rect 110 713 166 809
+rect 196 713 238 965
+rect 268 949 321 965
+rect 268 809 279 949
+rect 313 809 321 949
+rect 268 713 321 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 279 131 313 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 121 809 155 949
+rect 279 809 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 713
+rect 39 520 110 550
+rect 39 308 69 520
+rect 166 477 196 713
+rect 133 461 196 477
+rect 133 427 143 461
+rect 177 427 196 461
+rect 133 411 196 427
+rect 238 399 268 713
+rect 238 383 292 399
+rect 111 335 165 351
+rect 111 308 121 335
+rect 39 301 121 308
+rect 155 308 165 335
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 155 301 196 308
+rect 39 278 196 301
+rect 80 219 110 278
+rect 166 219 196 278
+rect 238 219 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 143 427 177 461
+rect 121 301 155 335
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 461 69 809
+rect 121 949 155 1049
+rect 121 793 155 809
+rect 279 949 313 965
+rect 143 461 177 477
+rect 35 427 143 461
+rect 35 165 69 427
+rect 143 411 177 427
+rect 211 383 245 597
+rect 279 483 313 809
+rect 211 349 248 383
+rect 282 349 298 383
+rect 103 301 121 335
+rect 155 301 171 335
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 279 165 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 279 449 313 483
+rect 121 301 155 335
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 189 557
+rect 109 517 167 523
+rect 121 341 155 517
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 109 335 167 341
+rect 109 301 121 335
+rect 155 301 167 335
+rect 109 295 167 301
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel metal1 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__tiehi.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__tiehi.mag
new file mode 100644
index 0000000..31343ad
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__tiehi.mag
@@ -0,0 +1,99 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 263
+<< pmoshvt >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 381 110 565
+rect 80 365 134 381
+rect 80 331 90 365
+rect 124 331 134 365
+rect 80 315 134 331
+rect 80 263 110 315
+rect 80 89 110 115
+<< polycont >>
+rect 90 331 124 365
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 589 69 605
+rect 121 949 155 965
+rect 121 557 155 605
+rect 74 331 90 365
+rect 124 331 155 365
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 331
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 121 523 155 557
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 94 557 167 563
+rect 94 523 121 557
+rect 155 523 167 557
+rect 94 517 167 523
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 138 540 138 540 1 Y
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__tielo.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__tielo.mag
new file mode 100644
index 0000000..cb358b8
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__tielo.mag
@@ -0,0 +1,101 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 2461 1459 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1459 -1129
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 263
+<< pmoshvt >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 513 110 565
+rect 80 497 134 513
+rect 80 463 90 497
+rect 124 463 134 497
+rect 80 447 134 463
+rect 80 263 110 447
+rect 80 89 110 115
+<< polycont >>
+rect 90 463 124 497
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 589 69 605
+rect 121 949 155 965
+rect 121 497 155 605
+rect 74 463 90 497
+rect 124 463 155 497
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 301
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 121 301 155 335
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 94 335 167 341
+rect 94 301 121 335
+rect 155 301 167 335
+rect 94 295 167 301
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 138 318 138 318 1 Y
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__tnbufi_1.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__tnbufi_1.mag
new file mode 100644
index 0000000..8e2a1a9
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__tnbufi_1.mag
@@ -0,0 +1,182 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 321 263
+rect 268 131 279 215
+rect 313 131 321 215
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 673 121 949
+rect 155 673 166 949
+rect 110 565 166 673
+rect 196 565 238 965
+rect 268 949 321 965
+rect 268 605 279 949
+rect 313 605 321 949
+rect 268 565 321 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 313 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 673 155 949
+rect 279 605 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 565
+rect 166 550 196 565
+rect 39 520 196 550
+rect 39 308 69 520
+rect 111 518 165 520
+rect 111 484 121 518
+rect 155 484 165 518
+rect 111 468 165 484
+rect 111 400 196 416
+rect 111 366 121 400
+rect 155 366 196 400
+rect 111 350 196 366
+rect 39 278 110 308
+rect 80 263 110 278
+rect 166 263 196 350
+rect 238 399 268 565
+rect 238 383 292 399
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 238 263 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 121 484 155 518
+rect 121 366 155 400
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 657 155 673
+rect 279 949 313 965
+rect 35 400 69 605
+rect 121 518 155 523
+rect 121 468 155 484
+rect 121 400 155 416
+rect 35 366 121 400
+rect 35 215 69 366
+rect 121 350 155 366
+rect 211 383 245 597
+rect 279 483 313 605
+rect 211 349 248 383
+rect 282 349 298 383
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 215 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 121 523 155 557
+rect 279 449 313 483
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 189 557
+rect 109 517 167 523
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel viali 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__tnbufi_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__tnbufi_l.mag
new file mode 100644
index 0000000..500c6ce
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__tnbufi_l.mag
@@ -0,0 +1,180 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1635 2379
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 238 115 268 219
+<< pmoshvt >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 238 713 268 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 219
+rect 268 165 321 219
+rect 268 131 279 165
+rect 313 131 321 165
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 949 166 965
+rect 110 809 121 949
+rect 155 809 166 949
+rect 110 713 166 809
+rect 196 713 238 965
+rect 268 949 321 965
+rect 268 809 279 949
+rect 313 809 321 949
+rect 268 713 321 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 279 131 313 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 121 809 155 949
+rect 279 809 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 713
+rect 166 550 196 713
+rect 39 520 196 550
+rect 39 308 69 520
+rect 111 518 165 520
+rect 111 484 121 518
+rect 155 484 165 518
+rect 111 468 165 484
+rect 111 400 196 416
+rect 111 366 121 400
+rect 155 366 196 400
+rect 111 350 196 366
+rect 39 278 110 308
+rect 80 219 110 278
+rect 166 219 196 350
+rect 238 399 268 713
+rect 238 383 292 399
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 238 219 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 121 484 155 518
+rect 121 366 155 400
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 400 69 809
+rect 121 949 155 1049
+rect 121 793 155 809
+rect 279 949 313 965
+rect 121 518 155 523
+rect 121 468 155 484
+rect 121 400 155 416
+rect 35 366 121 400
+rect 35 165 69 366
+rect 121 350 155 366
+rect 211 383 245 597
+rect 279 483 313 809
+rect 211 349 248 383
+rect 282 349 298 383
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 279 165 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 121 523 155 557
+rect 279 449 313 483
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 189 557
+rect 109 517 167 523
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel viali 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__xnor2_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__xnor2_l.mag
new file mode 100644
index 0000000..08829fb
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__xnor2_l.mag
@@ -0,0 +1,291 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+rect 358 115 388 263
+rect 430 115 460 263
+rect 516 115 546 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+rect 358 565 388 965
+rect 430 565 460 965
+rect 516 565 546 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 358 263
+rect 268 131 279 215
+rect 347 131 358 215
+rect 268 115 358 131
+rect 388 115 430 263
+rect 460 165 516 263
+rect 460 131 471 165
+rect 505 131 516 165
+rect 460 115 516 131
+rect 546 215 599 263
+rect 546 131 557 215
+rect 591 131 599 215
+rect 546 115 599 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 565 238 965
+rect 268 949 358 965
+rect 268 605 279 949
+rect 347 605 358 949
+rect 268 565 358 605
+rect 388 565 430 965
+rect 460 949 516 965
+rect 460 605 471 949
+rect 505 605 516 949
+rect 460 565 516 605
+rect 546 949 599 965
+rect 546 606 557 949
+rect 591 606 599 949
+rect 546 565 599 606
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 279 131 347 215
+rect 471 131 505 165
+rect 557 131 591 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+rect 279 605 347 949
+rect 471 605 505 949
+rect 557 606 591 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 358 965 388 991
+rect 430 965 460 991
+rect 516 965 546 991
+rect 80 550 110 565
+rect 70 520 110 550
+rect 70 308 100 520
+rect 166 459 196 565
+rect 142 443 196 459
+rect 142 409 152 443
+rect 186 409 196 443
+rect 142 393 196 409
+rect 238 534 268 565
+rect 238 518 292 534
+rect 238 484 248 518
+rect 282 484 292 518
+rect 238 468 292 484
+rect 142 335 196 351
+rect 142 308 152 335
+rect 70 301 152 308
+rect 186 301 196 335
+rect 70 278 196 301
+rect 80 263 110 278
+rect 166 263 196 278
+rect 238 263 268 468
+rect 358 459 388 565
+rect 430 550 460 565
+rect 516 550 546 565
+rect 430 520 546 550
+rect 358 443 472 459
+rect 358 429 428 443
+rect 418 409 428 429
+rect 462 409 472 443
+rect 418 393 472 409
+rect 516 351 546 520
+rect 326 335 380 351
+rect 326 301 336 335
+rect 370 308 380 335
+rect 479 335 546 351
+rect 479 308 489 335
+rect 370 301 388 308
+rect 326 285 388 301
+rect 358 263 388 285
+rect 430 301 489 308
+rect 523 301 546 335
+rect 430 278 546 301
+rect 430 263 460 278
+rect 516 263 546 278
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+rect 358 89 388 115
+rect 430 89 460 115
+rect 516 89 546 115
+<< polycont >>
+rect 152 409 186 443
+rect 248 484 282 518
+rect 152 301 186 335
+rect 428 409 462 443
+rect 336 301 370 335
+rect 489 301 523 335
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 35 443 69 605
+rect 121 949 155 1049
+rect 279 949 347 965
+rect 121 589 155 605
+rect 268 631 279 637
+rect 302 597 347 605
+rect 279 589 347 597
+rect 471 949 505 1049
+rect 471 589 505 605
+rect 557 949 591 965
+rect 557 518 591 606
+rect 232 484 248 518
+rect 282 484 591 518
+rect 35 409 152 443
+rect 186 409 370 443
+rect 35 215 69 409
+rect 152 335 186 351
+rect 336 335 370 409
+rect 152 261 186 301
+rect 268 231 302 301
+rect 336 285 370 301
+rect 412 409 428 443
+rect 462 409 478 443
+rect 412 261 446 409
+rect 489 335 523 351
+rect 489 285 523 301
+rect 268 215 347 231
+rect 268 197 279 215
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 557 215 591 484
+rect 279 115 347 131
+rect 471 165 505 181
+rect 471 61 505 131
+rect 557 115 591 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 268 605 279 631
+rect 279 605 302 631
+rect 268 597 302 605
+rect 152 227 186 261
+rect 268 301 302 335
+rect 489 301 523 335
+rect 412 227 446 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 256 631 314 637
+rect 256 597 268 631
+rect 302 597 314 631
+rect 256 591 314 597
+rect 268 341 302 591
+rect 256 335 314 341
+rect 477 335 535 341
+rect 256 301 268 335
+rect 302 301 314 335
+rect 455 301 489 335
+rect 523 301 535 335
+rect 256 295 314 301
+rect 477 295 535 301
+rect 140 261 198 267
+rect 400 261 458 267
+rect 140 227 152 261
+rect 186 227 412 261
+rect 446 227 458 261
+rect 140 221 198 227
+rect 400 221 458 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 170 244 170 244 1 A
+port 1 n
+rlabel viali 506 318 506 318 1 B
+port 2 n
+rlabel metal1 284 375 284 375 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ls/sky130_osu_sc_15T_ls__xor2_l.mag b/lib/15T_ls/sky130_osu_sc_15T_ls__xor2_l.mag
new file mode 100644
index 0000000..b6812c5
--- /dev/null
+++ b/lib/15T_ls/sky130_osu_sc_15T_ls__xor2_l.mag
@@ -0,0 +1,290 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007760
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+rect 358 115 388 263
+rect 430 115 460 263
+rect 516 115 546 263
+<< pmoshvt >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+rect 358 565 388 965
+rect 430 565 460 965
+rect 516 565 546 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 358 263
+rect 268 131 279 215
+rect 347 131 358 215
+rect 268 115 358 131
+rect 388 115 430 263
+rect 460 215 516 263
+rect 460 131 471 215
+rect 505 131 516 215
+rect 460 115 516 131
+rect 546 215 599 263
+rect 546 131 557 215
+rect 591 131 599 215
+rect 546 115 599 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 565 238 965
+rect 268 949 358 965
+rect 268 605 279 949
+rect 347 605 358 949
+rect 268 565 358 605
+rect 388 565 430 965
+rect 460 949 516 965
+rect 460 741 471 949
+rect 505 741 516 949
+rect 460 565 516 741
+rect 546 949 599 965
+rect 546 606 557 949
+rect 591 606 599 949
+rect 546 565 599 606
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 347 215
+rect 471 131 505 215
+rect 557 131 591 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 279 605 347 949
+rect 471 741 505 949
+rect 557 606 591 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 358 965 388 991
+rect 430 965 460 991
+rect 516 965 546 991
+rect 80 550 110 565
+rect 166 550 196 565
+rect 70 520 196 550
+rect 70 303 100 520
+rect 142 518 196 520
+rect 142 484 152 518
+rect 186 484 196 518
+rect 142 468 196 484
+rect 142 410 196 426
+rect 142 376 152 410
+rect 186 376 196 410
+rect 142 360 196 376
+rect 70 278 110 303
+rect 80 263 110 278
+rect 166 263 196 360
+rect 238 351 268 565
+rect 358 534 388 565
+rect 334 518 388 534
+rect 430 550 460 565
+rect 516 550 546 565
+rect 430 520 546 550
+rect 334 484 344 518
+rect 378 484 388 518
+rect 334 468 388 484
+rect 479 518 546 520
+rect 479 484 489 518
+rect 523 484 546 518
+rect 479 468 546 484
+rect 420 409 474 425
+rect 420 380 430 409
+rect 358 375 430 380
+rect 464 375 474 409
+rect 238 335 292 351
+rect 238 301 248 335
+rect 282 301 292 335
+rect 238 285 292 301
+rect 358 350 474 375
+rect 238 263 268 285
+rect 358 263 388 350
+rect 516 308 546 468
+rect 430 278 546 308
+rect 430 263 460 278
+rect 516 263 546 278
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+rect 358 89 388 115
+rect 430 89 460 115
+rect 516 89 546 115
+<< polycont >>
+rect 152 484 186 518
+rect 152 376 186 410
+rect 344 484 378 518
+rect 489 484 523 518
+rect 430 375 464 409
+rect 248 301 282 335
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 279 949 347 965
+rect 35 410 69 605
+rect 152 597 200 631
+rect 268 605 279 623
+rect 471 949 505 1049
+rect 471 725 505 741
+rect 557 949 591 965
+rect 152 518 186 597
+rect 268 589 347 605
+rect 136 484 152 518
+rect 186 484 202 518
+rect 268 483 302 589
+rect 344 518 378 534
+rect 344 410 378 484
+rect 35 376 152 410
+rect 186 376 378 410
+rect 412 409 446 597
+rect 489 518 523 523
+rect 489 468 523 484
+rect 35 215 69 376
+rect 412 375 430 409
+rect 464 375 480 409
+rect 557 335 591 606
+rect 232 301 248 335
+rect 282 301 591 335
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 227 296 231
+rect 330 227 347 231
+rect 279 215 347 227
+rect 279 115 347 131
+rect 471 215 505 231
+rect 471 61 505 131
+rect 557 215 591 301
+rect 557 115 591 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 200 597 234 631
+rect 412 597 446 631
+rect 268 449 302 483
+rect 489 523 523 557
+rect 296 227 330 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 188 631 246 637
+rect 400 631 458 637
+rect 188 597 200 631
+rect 234 597 412 631
+rect 446 597 458 631
+rect 188 591 246 597
+rect 400 591 458 597
+rect 477 557 535 563
+rect 455 523 489 557
+rect 523 523 535 557
+rect 477 517 535 523
+rect 256 483 314 489
+rect 256 449 268 483
+rect 302 449 314 483
+rect 256 443 314 449
+rect 268 267 302 443
+rect 268 261 342 267
+rect 268 227 296 261
+rect 330 227 342 261
+rect 284 221 342 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 218 614 218 614 1 A
+port 1 n
+rlabel metal1 285 434 285 434 1 Y
+port 2 n
+rlabel viali 506 540 506 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__addf_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__addf_1.mag
new file mode 100644
index 0000000..aeb6740
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__addf_1.mag
@@ -0,0 +1,650 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007747
+<< checkpaint >>
+rect -1269 -242 2695 2379
+<< nwell >>
+rect -9 529 1435 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 410 115 440 263
+rect 496 115 526 263
+rect 582 115 612 263
+rect 668 115 698 263
+rect 754 115 784 263
+rect 840 115 870 263
+rect 922 115 952 263
+rect 1004 115 1034 263
+rect 1102 115 1132 263
+rect 1292 115 1322 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 410 565 440 965
+rect 496 565 526 965
+rect 582 565 612 965
+rect 668 565 698 965
+rect 754 565 784 965
+rect 840 565 870 965
+rect 922 565 952 965
+rect 1004 565 1034 965
+rect 1102 565 1132 965
+rect 1292 565 1322 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 233 252 263
+rect 196 131 207 233
+rect 241 131 252 233
+rect 196 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 115 410 263
+rect 440 233 496 263
+rect 440 131 451 233
+rect 485 131 496 233
+rect 440 115 496 131
+rect 526 233 582 263
+rect 526 131 537 233
+rect 571 131 582 233
+rect 526 115 582 131
+rect 612 165 668 263
+rect 612 131 623 165
+rect 657 131 668 165
+rect 612 115 668 131
+rect 698 233 754 263
+rect 698 131 709 233
+rect 743 131 754 233
+rect 698 115 754 131
+rect 784 165 840 263
+rect 784 131 795 165
+rect 829 131 840 165
+rect 784 115 840 131
+rect 870 115 922 263
+rect 952 115 1004 263
+rect 1034 233 1102 263
+rect 1034 131 1045 233
+rect 1079 131 1102 233
+rect 1034 115 1102 131
+rect 1132 165 1185 263
+rect 1132 131 1143 165
+rect 1177 131 1185 165
+rect 1132 115 1185 131
+rect 1239 165 1292 263
+rect 1239 131 1247 165
+rect 1281 131 1292 165
+rect 1239 115 1292 131
+rect 1322 233 1375 263
+rect 1322 131 1333 233
+rect 1367 131 1375 233
+rect 1322 115 1375 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 677 35 949
+rect 69 677 80 949
+rect 27 565 80 677
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 677 293 949
+rect 327 677 338 949
+rect 282 565 338 677
+rect 368 565 410 965
+rect 440 949 496 965
+rect 440 677 451 949
+rect 485 677 496 949
+rect 440 565 496 677
+rect 526 949 582 965
+rect 526 677 537 949
+rect 571 677 582 949
+rect 526 565 582 677
+rect 612 949 668 965
+rect 612 745 623 949
+rect 657 745 668 949
+rect 612 565 668 745
+rect 698 949 754 965
+rect 698 677 709 949
+rect 743 677 754 949
+rect 698 565 754 677
+rect 784 949 840 965
+rect 784 677 795 949
+rect 829 677 840 949
+rect 784 565 840 677
+rect 870 565 922 965
+rect 952 565 1004 965
+rect 1034 949 1102 965
+rect 1034 745 1045 949
+rect 1079 745 1102 949
+rect 1034 565 1102 745
+rect 1132 949 1185 965
+rect 1132 677 1143 949
+rect 1177 677 1185 949
+rect 1132 565 1185 677
+rect 1239 949 1292 965
+rect 1239 609 1247 949
+rect 1281 609 1292 949
+rect 1239 565 1292 609
+rect 1322 949 1375 965
+rect 1322 609 1333 949
+rect 1367 609 1375 949
+rect 1322 565 1375 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 165
+rect 207 131 241 233
+rect 293 131 327 233
+rect 451 131 485 233
+rect 537 131 571 233
+rect 623 131 657 165
+rect 709 131 743 233
+rect 795 131 829 165
+rect 1045 131 1079 233
+rect 1143 131 1177 165
+rect 1247 131 1281 165
+rect 1333 131 1367 233
+<< pdiffc >>
+rect 35 677 69 949
+rect 121 745 155 949
+rect 207 677 241 949
+rect 293 677 327 949
+rect 451 677 485 949
+rect 537 677 571 949
+rect 623 745 657 949
+rect 709 677 743 949
+rect 795 677 829 949
+rect 1045 745 1079 949
+rect 1143 677 1177 949
+rect 1247 609 1281 949
+rect 1333 609 1367 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 993
+rect 338 965 368 993
+rect 410 965 440 991
+rect 496 965 526 991
+rect 582 965 612 993
+rect 668 965 698 993
+rect 754 965 784 993
+rect 840 965 870 993
+rect 922 965 952 993
+rect 1004 965 1034 993
+rect 1102 965 1132 993
+rect 1292 965 1322 993
+rect 80 351 110 565
+rect 166 533 196 565
+rect 152 517 206 533
+rect 152 483 162 517
+rect 196 483 206 517
+rect 152 467 206 483
+rect 70 335 124 351
+rect 70 301 80 335
+rect 114 301 124 335
+rect 70 285 124 301
+rect 80 263 110 285
+rect 166 263 196 467
+rect 252 425 282 565
+rect 338 467 368 565
+rect 410 540 440 565
+rect 496 540 526 565
+rect 410 510 526 540
+rect 338 451 430 467
+rect 238 409 292 425
+rect 238 375 248 409
+rect 282 375 292 409
+rect 238 359 292 375
+rect 338 417 386 451
+rect 420 417 430 451
+rect 338 401 430 417
+rect 252 263 282 359
+rect 338 263 368 401
+rect 472 351 502 510
+rect 582 362 612 565
+rect 668 499 698 565
+rect 656 483 710 499
+rect 656 449 666 483
+rect 700 449 710 483
+rect 656 433 710 449
+rect 472 335 526 351
+rect 472 315 482 335
+rect 410 301 482 315
+rect 516 301 526 335
+rect 410 285 526 301
+rect 568 346 622 362
+rect 568 312 578 346
+rect 612 312 622 346
+rect 568 296 622 312
+rect 410 263 440 285
+rect 496 263 526 285
+rect 582 263 612 296
+rect 668 263 698 433
+rect 754 351 784 565
+rect 840 499 870 565
+rect 826 483 880 499
+rect 826 449 836 483
+rect 870 449 880 483
+rect 826 433 880 449
+rect 922 461 952 565
+rect 1004 533 1034 565
+rect 1004 503 1048 533
+rect 1102 532 1132 565
+rect 922 445 976 461
+rect 742 335 796 351
+rect 742 301 752 335
+rect 786 301 796 335
+rect 742 285 796 301
+rect 754 263 784 285
+rect 840 263 870 433
+rect 922 411 932 445
+rect 966 411 976 445
+rect 922 395 976 411
+rect 922 263 952 395
+rect 1018 351 1048 503
+rect 1090 516 1144 532
+rect 1292 529 1322 565
+rect 1090 482 1100 516
+rect 1134 482 1144 516
+rect 1090 466 1144 482
+rect 1255 513 1322 529
+rect 1255 479 1265 513
+rect 1299 479 1322 513
+rect 1004 335 1058 351
+rect 1004 301 1014 335
+rect 1048 301 1058 335
+rect 1004 285 1058 301
+rect 1004 263 1034 285
+rect 1102 263 1132 466
+rect 1255 463 1322 479
+rect 1292 263 1322 463
+rect 80 81 110 115
+rect 166 82 196 115
+rect 252 82 282 115
+rect 338 82 368 115
+rect 410 82 440 115
+rect 496 82 526 115
+rect 582 82 612 115
+rect 668 82 698 115
+rect 754 82 784 115
+rect 840 82 870 115
+rect 922 82 952 115
+rect 1004 82 1034 115
+rect 1102 80 1132 115
+rect 1292 80 1322 115
+<< polycont >>
+rect 162 483 196 517
+rect 80 301 114 335
+rect 248 375 282 409
+rect 386 417 420 451
+rect 666 449 700 483
+rect 482 301 516 335
+rect 578 312 612 346
+rect 836 449 870 483
+rect 752 301 786 335
+rect 932 411 966 445
+rect 1100 482 1134 516
+rect 1265 479 1299 513
+rect 1014 301 1048 335
+<< locali >>
+rect 0 1093 1408 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1408 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 657 69 677
+rect 207 657 241 677
+rect 35 623 241 657
+rect 293 949 327 965
+rect 293 590 327 677
+rect 451 949 485 1049
+rect 451 661 485 677
+rect 537 949 571 965
+rect 623 949 657 1049
+rect 623 729 657 745
+rect 709 949 743 965
+rect 537 656 571 677
+rect 709 656 743 677
+rect 537 622 743 656
+rect 795 949 829 965
+rect 1045 949 1079 1049
+rect 1045 729 1079 745
+rect 1143 949 1177 965
+rect 795 632 829 677
+rect 1143 632 1177 677
+rect 795 598 1100 632
+rect 293 553 350 590
+rect 795 589 829 598
+rect 80 483 162 517
+rect 196 483 212 517
+rect 248 409 282 425
+rect 248 359 282 375
+rect 64 301 80 335
+rect 114 301 130 335
+rect 316 318 350 553
+rect 752 554 829 589
+rect 578 483 612 489
+rect 386 451 444 483
+rect 420 449 444 451
+rect 650 449 666 483
+rect 700 449 716 483
+rect 386 401 420 417
+rect 578 346 612 449
+rect 666 409 700 449
+rect 752 409 786 554
+rect 1066 532 1100 598
+rect 1247 949 1281 1049
+rect 1177 598 1202 615
+rect 1143 581 1202 598
+rect 1247 593 1281 609
+rect 1333 949 1367 965
+rect 1066 516 1134 532
+rect 1066 485 1100 516
+rect 820 449 836 483
+rect 870 449 886 483
+rect 1089 482 1100 485
+rect 1100 466 1134 482
+rect 932 445 966 461
+rect 932 409 966 411
+rect 1168 409 1202 581
+rect 1333 557 1367 609
+rect 752 375 879 409
+rect 1143 375 1202 409
+rect 1265 513 1299 529
+rect 293 284 350 318
+rect 466 301 482 335
+rect 516 301 532 335
+rect 578 296 612 312
+rect 736 301 752 335
+rect 786 301 811 335
+rect 293 261 327 284
+rect 35 233 241 252
+rect 69 218 207 233
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 114 241 131
+rect 777 261 811 301
+rect 293 114 327 131
+rect 451 233 485 249
+rect 451 61 485 131
+rect 537 233 743 252
+rect 571 218 709 233
+rect 537 114 571 131
+rect 623 165 657 181
+rect 623 61 657 131
+rect 845 181 879 375
+rect 998 301 1014 335
+rect 1048 301 1064 335
+rect 709 114 743 131
+rect 795 165 879 181
+rect 829 131 879 165
+rect 1045 233 1079 249
+rect 795 114 829 131
+rect 1045 61 1079 131
+rect 1143 165 1177 375
+rect 1265 261 1299 479
+rect 1245 227 1299 261
+rect 1333 233 1367 523
+rect 1143 115 1177 131
+rect 1247 165 1281 181
+rect 1247 61 1281 131
+rect 1333 115 1367 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 80 449 114 483
+rect 248 375 282 409
+rect 80 301 114 335
+rect 444 449 478 483
+rect 578 449 612 483
+rect 666 375 700 409
+rect 1143 598 1177 632
+rect 836 449 870 483
+rect 932 375 966 409
+rect 482 301 516 335
+rect 293 233 327 261
+rect 293 227 327 233
+rect 777 227 811 261
+rect 1014 301 1048 335
+rect 1211 227 1245 261
+rect 1333 523 1367 557
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1408 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1408 1093
+rect 0 1049 1408 1059
+rect 1131 632 1189 638
+rect 1109 598 1143 632
+rect 1177 598 1189 632
+rect 1131 592 1189 598
+rect 1321 557 1379 563
+rect 1299 523 1333 557
+rect 1367 523 1379 557
+rect 1321 517 1379 523
+rect 68 483 126 489
+rect 432 483 490 489
+rect 566 483 624 489
+rect 824 483 882 489
+rect 68 449 80 483
+rect 114 449 444 483
+rect 478 449 578 483
+rect 612 450 836 483
+rect 612 449 734 450
+rect 812 449 836 450
+rect 870 449 882 483
+rect 68 443 126 449
+rect 432 443 490 449
+rect 566 443 624 449
+rect 824 443 882 449
+rect 236 409 294 415
+rect 654 409 712 415
+rect 920 409 978 415
+rect 80 375 248 409
+rect 282 375 666 409
+rect 700 375 932 409
+rect 966 375 978 409
+rect 236 369 294 375
+rect 654 369 712 375
+rect 920 369 978 375
+rect 68 335 126 341
+rect 470 335 528 341
+rect 1002 335 1060 341
+rect 68 301 80 335
+rect 114 301 482 335
+rect 516 301 1014 335
+rect 1048 301 1060 335
+rect 68 295 126 301
+rect 470 295 528 301
+rect 1002 295 1060 301
+rect 281 261 339 267
+rect 765 261 823 267
+rect 1199 261 1257 267
+rect 281 227 293 261
+rect 327 227 777 261
+rect 811 227 1211 261
+rect 1245 227 1257 261
+rect 281 221 339 227
+rect 765 221 823 227
+rect 1199 221 1257 227
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
+<< labels >>
+rlabel viali 97 318 97 318 1 A
+port 1 n
+rlabel viali 265 392 265 392 1 CI
+port 2 n
+rlabel metal1 129 466 129 466 1 B
+port 3 n
+rlabel viali 1228 244 1228 244 1 CON
+port 4 n
+rlabel viali 1160 615 1160 615 1 S
+port 5 n
+rlabel viali 1350 540 1350 540 1 CO
+port 6 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__addf_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__addf_l.mag
new file mode 100644
index 0000000..fa83f41
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__addf_l.mag
@@ -0,0 +1,652 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2695 2379
+<< nwell >>
+rect -9 529 1435 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 410 115 440 263
+rect 496 115 526 263
+rect 582 115 612 263
+rect 668 115 698 263
+rect 754 115 784 263
+rect 840 115 870 263
+rect 922 115 952 263
+rect 1004 115 1034 263
+rect 1102 115 1132 219
+rect 1292 115 1322 219
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 410 565 440 965
+rect 496 565 526 965
+rect 582 565 612 965
+rect 668 565 698 965
+rect 754 565 784 965
+rect 840 565 870 965
+rect 922 565 952 965
+rect 1004 565 1034 965
+rect 1102 713 1132 965
+rect 1292 713 1322 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 233 252 263
+rect 196 131 207 233
+rect 241 131 252 233
+rect 196 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 115 410 263
+rect 440 233 496 263
+rect 440 131 451 233
+rect 485 131 496 233
+rect 440 115 496 131
+rect 526 233 582 263
+rect 526 131 537 233
+rect 571 131 582 233
+rect 526 115 582 131
+rect 612 165 668 263
+rect 612 131 623 165
+rect 657 131 668 165
+rect 612 115 668 131
+rect 698 233 754 263
+rect 698 131 709 233
+rect 743 131 754 233
+rect 698 115 754 131
+rect 784 165 840 263
+rect 784 131 795 165
+rect 829 131 840 165
+rect 784 115 840 131
+rect 870 115 922 263
+rect 952 115 1004 263
+rect 1034 233 1087 263
+rect 1034 131 1045 233
+rect 1079 219 1087 233
+rect 1079 131 1102 219
+rect 1034 115 1102 131
+rect 1132 165 1185 219
+rect 1132 131 1143 165
+rect 1177 131 1185 165
+rect 1132 115 1185 131
+rect 1239 165 1292 219
+rect 1239 131 1247 165
+rect 1281 131 1292 165
+rect 1239 115 1292 131
+rect 1322 165 1375 219
+rect 1322 131 1333 165
+rect 1367 131 1375 165
+rect 1322 115 1375 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 677 35 949
+rect 69 677 80 949
+rect 27 565 80 677
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 677 293 949
+rect 327 677 338 949
+rect 282 565 338 677
+rect 368 565 410 965
+rect 440 949 496 965
+rect 440 677 451 949
+rect 485 677 496 949
+rect 440 565 496 677
+rect 526 949 582 965
+rect 526 677 537 949
+rect 571 677 582 949
+rect 526 565 582 677
+rect 612 949 668 965
+rect 612 745 623 949
+rect 657 745 668 949
+rect 612 565 668 745
+rect 698 949 754 965
+rect 698 677 709 949
+rect 743 677 754 949
+rect 698 565 754 677
+rect 784 949 840 965
+rect 784 677 795 949
+rect 829 677 840 949
+rect 784 565 840 677
+rect 870 565 922 965
+rect 952 565 1004 965
+rect 1034 949 1102 965
+rect 1034 745 1045 949
+rect 1079 745 1102 949
+rect 1034 713 1102 745
+rect 1132 949 1185 965
+rect 1132 813 1143 949
+rect 1177 813 1185 949
+rect 1132 713 1185 813
+rect 1239 949 1292 965
+rect 1239 813 1247 949
+rect 1281 813 1292 949
+rect 1239 713 1292 813
+rect 1322 949 1375 965
+rect 1322 813 1333 949
+rect 1367 813 1375 949
+rect 1322 713 1375 813
+rect 1034 565 1087 713
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 165
+rect 207 131 241 233
+rect 293 131 327 233
+rect 451 131 485 233
+rect 537 131 571 233
+rect 623 131 657 165
+rect 709 131 743 233
+rect 795 131 829 165
+rect 1045 131 1079 233
+rect 1143 131 1177 165
+rect 1247 131 1281 165
+rect 1333 131 1367 165
+<< pdiffc >>
+rect 35 677 69 949
+rect 121 745 155 949
+rect 207 677 241 949
+rect 293 677 327 949
+rect 451 677 485 949
+rect 537 677 571 949
+rect 623 745 657 949
+rect 709 677 743 949
+rect 795 677 829 949
+rect 1045 745 1079 949
+rect 1143 813 1177 949
+rect 1247 813 1281 949
+rect 1333 813 1367 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 993
+rect 338 965 368 993
+rect 410 965 440 991
+rect 496 965 526 991
+rect 582 965 612 993
+rect 668 965 698 993
+rect 754 965 784 993
+rect 840 965 870 993
+rect 922 965 952 993
+rect 1004 965 1034 993
+rect 1102 965 1132 993
+rect 1292 965 1322 993
+rect 80 351 110 565
+rect 166 533 196 565
+rect 152 517 206 533
+rect 152 483 162 517
+rect 196 483 206 517
+rect 152 467 206 483
+rect 70 335 124 351
+rect 70 301 80 335
+rect 114 301 124 335
+rect 70 285 124 301
+rect 80 263 110 285
+rect 166 263 196 467
+rect 252 425 282 565
+rect 338 467 368 565
+rect 410 540 440 565
+rect 496 540 526 565
+rect 410 510 526 540
+rect 338 451 430 467
+rect 238 409 292 425
+rect 238 375 248 409
+rect 282 375 292 409
+rect 238 359 292 375
+rect 338 417 386 451
+rect 420 417 430 451
+rect 338 401 430 417
+rect 252 263 282 359
+rect 338 263 368 401
+rect 472 351 502 510
+rect 582 362 612 565
+rect 668 499 698 565
+rect 656 483 710 499
+rect 656 449 666 483
+rect 700 449 710 483
+rect 656 433 710 449
+rect 472 335 526 351
+rect 472 315 482 335
+rect 410 301 482 315
+rect 516 301 526 335
+rect 410 285 526 301
+rect 568 346 622 362
+rect 568 312 578 346
+rect 612 312 622 346
+rect 568 296 622 312
+rect 410 263 440 285
+rect 496 263 526 285
+rect 582 263 612 296
+rect 668 263 698 433
+rect 754 351 784 565
+rect 840 499 870 565
+rect 826 483 880 499
+rect 826 449 836 483
+rect 870 449 880 483
+rect 826 433 880 449
+rect 922 461 952 565
+rect 1004 533 1034 565
+rect 1004 503 1048 533
+rect 1102 532 1132 713
+rect 922 445 976 461
+rect 742 335 796 351
+rect 742 301 752 335
+rect 786 301 796 335
+rect 742 285 796 301
+rect 754 263 784 285
+rect 840 263 870 433
+rect 922 411 932 445
+rect 966 411 976 445
+rect 922 395 976 411
+rect 922 263 952 395
+rect 1018 351 1048 503
+rect 1090 516 1144 532
+rect 1292 529 1322 713
+rect 1090 482 1100 516
+rect 1134 482 1144 516
+rect 1090 466 1144 482
+rect 1255 513 1322 529
+rect 1255 479 1265 513
+rect 1299 479 1322 513
+rect 1004 335 1058 351
+rect 1004 301 1014 335
+rect 1048 301 1058 335
+rect 1004 285 1058 301
+rect 1004 263 1034 285
+rect 1102 219 1132 466
+rect 1255 463 1322 479
+rect 1292 219 1322 463
+rect 80 81 110 115
+rect 166 82 196 115
+rect 252 82 282 115
+rect 338 82 368 115
+rect 410 82 440 115
+rect 496 82 526 115
+rect 582 82 612 115
+rect 668 82 698 115
+rect 754 82 784 115
+rect 840 82 870 115
+rect 922 82 952 115
+rect 1004 82 1034 115
+rect 1102 80 1132 115
+rect 1292 80 1322 115
+<< polycont >>
+rect 162 483 196 517
+rect 80 301 114 335
+rect 248 375 282 409
+rect 386 417 420 451
+rect 666 449 700 483
+rect 482 301 516 335
+rect 578 312 612 346
+rect 836 449 870 483
+rect 752 301 786 335
+rect 932 411 966 445
+rect 1100 482 1134 516
+rect 1265 479 1299 513
+rect 1014 301 1048 335
+<< locali >>
+rect 0 1093 1408 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1408 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 657 69 677
+rect 207 657 241 677
+rect 35 623 241 657
+rect 293 949 327 965
+rect 293 590 327 677
+rect 451 949 485 1049
+rect 451 661 485 677
+rect 537 949 571 965
+rect 623 949 657 1049
+rect 623 729 657 745
+rect 709 949 743 965
+rect 537 656 571 677
+rect 709 656 743 677
+rect 537 622 743 656
+rect 795 949 829 965
+rect 1045 949 1079 1049
+rect 1045 729 1079 745
+rect 1143 949 1177 965
+rect 795 632 829 677
+rect 1143 638 1177 813
+rect 1247 949 1281 1049
+rect 1247 797 1281 813
+rect 1333 949 1367 965
+rect 795 598 1100 632
+rect 293 553 350 590
+rect 795 589 829 598
+rect 80 483 162 517
+rect 196 483 212 517
+rect 248 409 282 425
+rect 248 359 282 375
+rect 64 301 80 335
+rect 114 301 130 335
+rect 316 318 350 553
+rect 752 554 829 589
+rect 578 483 612 489
+rect 386 451 444 483
+rect 420 449 444 451
+rect 650 449 666 483
+rect 700 449 716 483
+rect 386 401 420 417
+rect 578 346 612 449
+rect 666 409 700 449
+rect 752 409 786 554
+rect 1066 532 1100 598
+rect 1177 604 1202 615
+rect 1143 581 1202 604
+rect 1066 516 1134 532
+rect 1066 485 1100 516
+rect 820 449 836 483
+rect 870 449 886 483
+rect 1089 482 1100 485
+rect 1100 466 1134 482
+rect 932 445 966 461
+rect 932 409 966 411
+rect 1168 409 1202 581
+rect 1333 557 1367 813
+rect 752 375 879 409
+rect 1143 375 1202 409
+rect 1265 513 1299 529
+rect 293 284 350 318
+rect 466 301 482 335
+rect 516 301 532 335
+rect 578 296 612 312
+rect 736 301 752 335
+rect 786 301 811 335
+rect 293 261 327 284
+rect 35 233 241 252
+rect 69 218 207 233
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 114 241 131
+rect 777 261 811 301
+rect 293 114 327 131
+rect 451 233 485 249
+rect 451 61 485 131
+rect 537 233 743 252
+rect 571 218 709 233
+rect 537 114 571 131
+rect 623 165 657 181
+rect 623 61 657 131
+rect 845 181 879 375
+rect 998 301 1014 335
+rect 1048 301 1064 335
+rect 709 114 743 131
+rect 795 165 879 181
+rect 829 131 879 165
+rect 1045 233 1079 249
+rect 795 114 829 131
+rect 1045 61 1079 131
+rect 1143 165 1177 375
+rect 1265 261 1299 479
+rect 1245 227 1299 261
+rect 1143 115 1177 131
+rect 1247 165 1281 181
+rect 1247 61 1281 131
+rect 1333 165 1367 523
+rect 1333 115 1367 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 80 449 114 483
+rect 248 375 282 409
+rect 80 301 114 335
+rect 444 449 478 483
+rect 578 449 612 483
+rect 666 375 700 409
+rect 1143 604 1177 638
+rect 836 449 870 483
+rect 932 375 966 409
+rect 482 301 516 335
+rect 293 233 327 261
+rect 293 227 327 233
+rect 777 227 811 261
+rect 1014 301 1048 335
+rect 1211 227 1245 261
+rect 1333 523 1367 557
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1408 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1408 1093
+rect 0 1049 1408 1059
+rect 1131 638 1189 644
+rect 1109 604 1143 638
+rect 1177 604 1189 638
+rect 1131 598 1189 604
+rect 1321 557 1379 563
+rect 1299 523 1333 557
+rect 1367 523 1379 557
+rect 1321 517 1379 523
+rect 68 483 126 489
+rect 432 483 490 489
+rect 566 483 624 489
+rect 824 483 882 489
+rect 68 449 80 483
+rect 114 449 444 483
+rect 478 449 578 483
+rect 612 450 836 483
+rect 612 449 734 450
+rect 812 449 836 450
+rect 870 449 882 483
+rect 68 443 126 449
+rect 432 443 490 449
+rect 566 443 624 449
+rect 824 443 882 449
+rect 236 409 294 415
+rect 654 409 712 415
+rect 920 409 978 415
+rect 80 375 248 409
+rect 282 375 666 409
+rect 700 375 932 409
+rect 966 375 978 409
+rect 236 369 294 375
+rect 654 369 712 375
+rect 920 369 978 375
+rect 68 335 126 341
+rect 470 335 528 341
+rect 1002 335 1060 341
+rect 68 301 80 335
+rect 114 301 482 335
+rect 516 301 1014 335
+rect 1048 301 1060 335
+rect 68 295 126 301
+rect 470 295 528 301
+rect 1002 295 1060 301
+rect 281 261 339 267
+rect 765 261 823 267
+rect 1199 261 1257 267
+rect 281 227 293 261
+rect 327 227 777 261
+rect 811 227 1211 261
+rect 1245 227 1257 261
+rect 281 221 339 227
+rect 765 221 823 227
+rect 1199 221 1257 227
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
+<< labels >>
+rlabel viali 97 318 97 318 1 A
+port 1 n
+rlabel viali 265 392 265 392 1 CI
+port 2 n
+rlabel metal1 129 466 129 466 1 B
+port 3 n
+rlabel viali 1350 540 1350 540 1 CO
+port 5 n
+rlabel viali 1160 621 1160 621 1 S
+port 6 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 1228 244 1228 244 1 CON
+port 4 n
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__addh_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__addh_1.mag
new file mode 100644
index 0000000..ce596c7
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__addh_1.mag
@@ -0,0 +1,393 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2097 2379
+<< nwell >>
+rect -9 529 837 1119
+<< nmos >>
+rect 80 115 110 263
+rect 178 115 208 263
+rect 250 115 280 263
+rect 442 115 472 263
+rect 540 115 570 263
+rect 626 115 656 263
+rect 712 115 742 263
+<< pmos >>
+rect 80 565 110 965
+rect 178 565 208 965
+rect 264 565 294 965
+rect 362 565 392 965
+rect 552 565 582 965
+rect 638 565 668 965
+rect 710 565 740 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 233 178 263
+rect 110 131 133 233
+rect 167 131 178 233
+rect 110 115 178 131
+rect 208 115 250 263
+rect 280 233 333 263
+rect 280 131 291 233
+rect 325 131 333 233
+rect 280 115 333 131
+rect 389 233 442 263
+rect 389 131 397 233
+rect 431 131 442 233
+rect 389 115 442 131
+rect 472 233 540 263
+rect 472 131 495 233
+rect 529 131 540 233
+rect 472 115 540 131
+rect 570 233 626 263
+rect 570 131 581 233
+rect 615 131 626 233
+rect 570 115 626 131
+rect 656 247 712 263
+rect 656 179 667 247
+rect 701 179 712 247
+rect 656 115 712 179
+rect 742 233 795 263
+rect 742 131 753 233
+rect 787 131 795 233
+rect 742 115 795 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 609 35 949
+rect 69 609 80 949
+rect 27 565 80 609
+rect 110 949 178 965
+rect 110 609 133 949
+rect 167 609 178 949
+rect 110 565 178 609
+rect 208 949 264 965
+rect 208 677 219 949
+rect 253 677 264 949
+rect 208 565 264 677
+rect 294 949 362 965
+rect 294 677 305 949
+rect 339 677 362 949
+rect 294 565 362 677
+rect 392 949 445 965
+rect 392 609 403 949
+rect 437 609 445 949
+rect 392 565 445 609
+rect 499 949 552 965
+rect 499 609 507 949
+rect 541 609 552 949
+rect 499 565 552 609
+rect 582 949 638 965
+rect 582 609 593 949
+rect 627 609 638 949
+rect 582 565 638 609
+rect 668 565 710 965
+rect 740 949 796 965
+rect 740 609 751 949
+rect 785 609 796 949
+rect 740 565 796 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 133 131 167 233
+rect 291 131 325 233
+rect 397 131 431 233
+rect 495 131 529 233
+rect 581 131 615 233
+rect 667 179 701 247
+rect 753 131 787 233
+<< pdiffc >>
+rect 35 609 69 949
+rect 133 609 167 949
+rect 219 677 253 949
+rect 305 677 339 949
+rect 403 609 437 949
+rect 507 609 541 949
+rect 593 609 627 949
+rect 751 609 785 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 178 965 208 991
+rect 264 965 294 991
+rect 362 965 392 991
+rect 552 965 582 991
+rect 638 965 668 991
+rect 710 965 740 991
+rect 80 351 110 565
+rect 178 425 208 565
+rect 264 499 294 565
+rect 154 409 208 425
+rect 154 375 164 409
+rect 198 375 208 409
+rect 154 359 208 375
+rect 43 335 110 351
+rect 43 301 53 335
+rect 87 301 110 335
+rect 43 285 110 301
+rect 80 263 110 285
+rect 178 263 208 359
+rect 250 483 304 499
+rect 250 449 260 483
+rect 294 449 304 483
+rect 250 433 304 449
+rect 362 497 392 565
+rect 552 497 582 565
+rect 362 467 582 497
+rect 250 263 280 433
+rect 362 372 392 467
+rect 638 425 668 565
+rect 710 499 740 565
+rect 710 483 764 499
+rect 710 449 720 483
+rect 754 449 764 483
+rect 710 433 764 449
+rect 338 356 392 372
+rect 614 409 668 425
+rect 614 375 624 409
+rect 658 375 668 409
+rect 614 359 668 375
+rect 338 322 348 356
+rect 382 323 392 356
+rect 382 322 570 323
+rect 338 293 570 322
+rect 442 263 472 293
+rect 540 263 570 293
+rect 626 263 656 359
+rect 712 263 742 433
+rect 80 89 110 115
+rect 178 89 208 115
+rect 250 89 280 115
+rect 442 89 472 115
+rect 540 89 570 115
+rect 626 89 656 115
+rect 712 89 742 115
+<< polycont >>
+rect 164 375 198 409
+rect 53 301 87 335
+rect 260 449 294 483
+rect 720 449 754 483
+rect 624 375 658 409
+rect 348 322 382 356
+<< locali >>
+rect 0 1093 836 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 836 1093
+rect 35 949 69 965
+rect 35 575 69 597
+rect 133 949 167 1049
+rect 133 593 167 609
+rect 219 949 253 965
+rect 219 567 253 677
+rect 305 949 339 1049
+rect 305 661 339 677
+rect 403 949 437 965
+rect 219 533 362 567
+rect 260 483 294 499
+rect 260 433 294 449
+rect 148 375 164 409
+rect 198 375 214 409
+rect 328 372 362 533
+rect 403 557 437 609
+rect 507 949 541 965
+rect 403 523 418 557
+rect 328 356 382 372
+rect 328 340 348 356
+rect 37 301 53 335
+rect 87 301 110 335
+rect 291 322 348 340
+rect 291 306 382 322
+rect 35 115 69 131
+rect 133 233 167 249
+rect 133 61 167 131
+rect 291 233 325 306
+rect 418 267 452 523
+rect 507 555 541 609
+rect 593 949 627 1049
+rect 593 593 627 609
+rect 751 949 785 965
+rect 751 555 785 609
+rect 507 521 785 555
+rect 507 335 541 521
+rect 704 449 720 483
+rect 754 449 770 483
+rect 608 375 624 409
+rect 658 375 674 409
+rect 541 301 667 335
+rect 291 115 325 131
+rect 397 233 452 267
+rect 495 233 529 249
+rect 397 115 431 131
+rect 495 61 529 131
+rect 581 233 615 249
+rect 667 247 701 301
+rect 667 163 701 179
+rect 753 233 787 249
+rect 581 129 615 131
+rect 753 129 787 131
+rect 581 95 787 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 35 609 69 631
+rect 35 597 69 609
+rect 260 449 294 483
+rect 164 375 198 409
+rect 418 523 452 557
+rect 110 301 144 335
+rect 35 233 69 260
+rect 35 226 69 233
+rect 720 449 754 483
+rect 624 375 658 409
+rect 507 301 541 335
+rect 667 301 701 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 836 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 836 1093
+rect 0 1049 836 1059
+rect 23 631 81 637
+rect 23 597 35 631
+rect 69 597 81 631
+rect 23 591 81 597
+rect 35 266 69 591
+rect 406 557 464 563
+rect 406 523 418 557
+rect 452 523 486 557
+rect 406 517 464 523
+rect 248 483 306 489
+rect 708 483 766 489
+rect 248 449 260 483
+rect 294 449 720 483
+rect 754 449 766 483
+rect 248 448 766 449
+rect 248 443 306 448
+rect 708 443 766 448
+rect 152 410 210 415
+rect 612 410 670 415
+rect 152 409 670 410
+rect 152 375 164 409
+rect 198 375 624 409
+rect 658 375 670 409
+rect 152 369 210 375
+rect 612 369 670 375
+rect 98 335 156 341
+rect 495 335 553 341
+rect 655 335 713 341
+rect 98 301 110 335
+rect 144 301 507 335
+rect 541 301 553 335
+rect 633 301 667 335
+rect 701 301 713 335
+rect 98 295 156 301
+rect 495 295 553 301
+rect 655 295 713 301
+rect 23 260 81 266
+rect 23 226 35 260
+rect 69 226 81 260
+rect 23 220 81 226
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
+<< labels >>
+rlabel metal1 50 425 50 425 1 S
+port 1 n
+rlabel viali 737 466 737 466 1 A
+port 2 n
+rlabel viali 642 392 642 392 1 B
+port 3 n
+rlabel viali 435 540 435 540 1 CO
+port 4 n
+rlabel viali 684 318 684 318 1 CON
+port 5 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__addh_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__addh_l.mag
new file mode 100644
index 0000000..504963b
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__addh_l.mag
@@ -0,0 +1,397 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 2097 2379
+<< nwell >>
+rect -9 529 837 1119
+<< nmos >>
+rect 80 115 110 219
+rect 178 115 208 263
+rect 250 115 280 263
+rect 442 115 472 237
+rect 540 115 570 263
+rect 626 115 656 263
+rect 712 115 742 263
+<< pmos >>
+rect 80 713 110 965
+rect 178 565 208 965
+rect 264 565 294 965
+rect 362 713 392 965
+rect 552 565 582 965
+rect 638 565 668 965
+rect 710 565 740 965
+<< ndiff >>
+rect 125 233 178 263
+rect 125 219 133 233
+rect 27 199 80 219
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 131 133 219
+rect 167 131 178 233
+rect 110 115 178 131
+rect 208 115 250 263
+rect 280 233 333 263
+rect 487 237 540 263
+rect 280 131 291 233
+rect 325 131 333 233
+rect 280 115 333 131
+rect 389 199 442 237
+rect 389 131 397 199
+rect 431 131 442 199
+rect 389 115 442 131
+rect 472 233 540 237
+rect 472 131 495 233
+rect 529 131 540 233
+rect 472 115 540 131
+rect 570 233 626 263
+rect 570 131 581 233
+rect 615 131 626 233
+rect 570 115 626 131
+rect 656 247 712 263
+rect 656 179 667 247
+rect 701 179 712 247
+rect 656 115 712 179
+rect 742 233 795 263
+rect 742 131 753 233
+rect 787 131 795 233
+rect 742 115 795 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 713 80 745
+rect 110 949 178 965
+rect 110 713 133 949
+rect 125 609 133 713
+rect 167 609 178 949
+rect 125 565 178 609
+rect 208 949 264 965
+rect 208 677 219 949
+rect 253 677 264 949
+rect 208 565 264 677
+rect 294 949 362 965
+rect 294 677 305 949
+rect 339 713 362 949
+rect 392 949 445 965
+rect 392 745 403 949
+rect 437 745 445 949
+rect 392 713 445 745
+rect 499 949 552 965
+rect 339 677 347 713
+rect 294 565 347 677
+rect 499 609 507 949
+rect 541 609 552 949
+rect 499 565 552 609
+rect 582 949 638 965
+rect 582 609 593 949
+rect 627 609 638 949
+rect 582 565 638 609
+rect 668 565 710 965
+rect 740 949 796 965
+rect 740 609 751 949
+rect 785 609 796 949
+rect 740 565 796 609
+<< ndiffc >>
+rect 35 131 69 199
+rect 133 131 167 233
+rect 291 131 325 233
+rect 397 131 431 199
+rect 495 131 529 233
+rect 581 131 615 233
+rect 667 179 701 247
+rect 753 131 787 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 133 609 167 949
+rect 219 677 253 949
+rect 305 677 339 949
+rect 403 745 437 949
+rect 507 609 541 949
+rect 593 609 627 949
+rect 751 609 785 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 178 965 208 991
+rect 264 965 294 991
+rect 362 965 392 991
+rect 552 965 582 991
+rect 638 965 668 991
+rect 710 965 740 991
+rect 80 351 110 713
+rect 178 425 208 565
+rect 264 499 294 565
+rect 154 409 208 425
+rect 154 375 164 409
+rect 198 375 208 409
+rect 154 359 208 375
+rect 43 335 110 351
+rect 43 301 53 335
+rect 87 301 110 335
+rect 43 285 110 301
+rect 80 219 110 285
+rect 178 263 208 359
+rect 250 483 304 499
+rect 250 449 260 483
+rect 294 449 304 483
+rect 250 433 304 449
+rect 362 497 392 713
+rect 552 497 582 565
+rect 362 467 582 497
+rect 250 263 280 433
+rect 362 372 392 467
+rect 638 425 668 565
+rect 710 499 740 565
+rect 710 483 764 499
+rect 710 449 720 483
+rect 754 449 764 483
+rect 710 433 764 449
+rect 338 356 392 372
+rect 614 409 668 425
+rect 614 375 624 409
+rect 658 375 668 409
+rect 614 359 668 375
+rect 338 322 348 356
+rect 382 323 392 356
+rect 382 322 570 323
+rect 338 293 570 322
+rect 442 237 472 293
+rect 540 263 570 293
+rect 626 263 656 359
+rect 712 263 742 433
+rect 80 89 110 115
+rect 178 89 208 115
+rect 250 89 280 115
+rect 442 89 472 115
+rect 540 89 570 115
+rect 626 89 656 115
+rect 712 89 742 115
+<< polycont >>
+rect 164 375 198 409
+rect 53 301 87 335
+rect 260 449 294 483
+rect 720 449 754 483
+rect 624 375 658 409
+rect 348 322 382 356
+<< locali >>
+rect 0 1093 836 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 836 1093
+rect 35 949 69 965
+rect 35 631 69 745
+rect 133 949 167 1049
+rect 133 593 167 609
+rect 219 949 253 965
+rect 219 567 253 677
+rect 305 949 339 1049
+rect 305 661 339 677
+rect 403 949 437 965
+rect 219 533 362 567
+rect 260 483 294 499
+rect 260 433 294 449
+rect 148 375 164 409
+rect 198 375 214 409
+rect 328 372 362 533
+rect 403 557 437 745
+rect 507 949 541 965
+rect 403 523 418 557
+rect 328 356 382 372
+rect 328 340 348 356
+rect 37 301 53 335
+rect 87 301 110 335
+rect 291 322 348 340
+rect 291 306 382 322
+rect 35 199 69 226
+rect 35 115 69 131
+rect 133 233 167 249
+rect 133 61 167 131
+rect 291 233 325 306
+rect 418 260 452 523
+rect 507 555 541 609
+rect 593 949 627 1049
+rect 593 593 627 609
+rect 751 949 785 965
+rect 751 555 785 609
+rect 507 521 785 555
+rect 507 335 541 521
+rect 704 449 720 483
+rect 754 449 770 483
+rect 608 375 624 409
+rect 658 375 674 409
+rect 541 301 667 335
+rect 291 115 325 131
+rect 397 226 452 260
+rect 495 233 529 249
+rect 397 199 431 226
+rect 397 115 431 131
+rect 495 61 529 131
+rect 581 233 615 249
+rect 667 247 701 301
+rect 667 163 701 179
+rect 753 233 787 249
+rect 581 129 615 131
+rect 753 129 787 131
+rect 581 95 787 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 35 597 69 631
+rect 260 449 294 483
+rect 164 375 198 409
+rect 418 523 452 557
+rect 110 301 144 335
+rect 35 226 69 260
+rect 720 449 754 483
+rect 624 375 658 409
+rect 507 301 541 335
+rect 667 301 701 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 836 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 836 1093
+rect 0 1049 836 1059
+rect 23 631 81 637
+rect 23 597 35 631
+rect 69 597 81 631
+rect 23 591 81 597
+rect 35 266 69 591
+rect 406 557 464 563
+rect 406 523 418 557
+rect 452 523 486 557
+rect 406 517 464 523
+rect 248 483 306 489
+rect 708 483 766 489
+rect 248 449 260 483
+rect 294 449 720 483
+rect 754 449 766 483
+rect 248 448 766 449
+rect 248 443 306 448
+rect 708 443 766 448
+rect 152 410 210 415
+rect 612 410 670 415
+rect 152 409 670 410
+rect 152 375 164 409
+rect 198 375 624 409
+rect 658 375 670 409
+rect 152 369 210 375
+rect 612 369 670 375
+rect 98 335 156 341
+rect 495 335 553 341
+rect 655 335 713 341
+rect 98 301 110 335
+rect 144 301 507 335
+rect 541 301 553 335
+rect 633 301 667 335
+rect 701 301 713 335
+rect 98 295 156 301
+rect 495 295 553 301
+rect 655 295 713 301
+rect 23 260 81 266
+rect 23 226 35 260
+rect 69 226 81 260
+rect 23 220 81 226
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
+<< labels >>
+rlabel metal1 50 425 50 425 1 S
+port 1 n
+rlabel viali 737 466 737 466 1 A
+port 2 n
+rlabel viali 642 392 642 392 1 B
+port 3 n
+rlabel viali 435 540 435 540 1 CO
+port 4 n
+rlabel viali 684 318 684 318 1 CON
+port 5 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__and2_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_1.mag
new file mode 100644
index 0000000..7486d35
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_1.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 335 263
+rect 282 131 293 233
+rect 327 131 335 233
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 335 965
+rect 282 609 293 949
+rect 327 609 335 949
+rect 282 565 335 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 252 510 289 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 263 282 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__and2_2.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_2.mag
new file mode 100644
index 0000000..f2faee3
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_2.mag
@@ -0,0 +1,221 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007748
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 421 263
+rect 368 131 379 233
+rect 413 131 421 233
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 421 965
+rect 368 609 379 949
+rect 413 609 421 949
+rect 368 565 421 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 252 510 368 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 368 332
+rect 252 302 368 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 191 540 191 540 1 B
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__and2_4.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_4.mag
new file mode 100644
index 0000000..d952a12
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_4.mag
@@ -0,0 +1,284 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 593 263
+rect 540 131 551 233
+rect 585 131 593 233
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 593 965
+rect 540 609 551 949
+rect 585 609 593 949
+rect 540 565 593 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 252 510 540 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 540 332
+rect 252 302 540 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 511 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__and2_6.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_6.mag
new file mode 100644
index 0000000..3762bc0
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_6.mag
@@ -0,0 +1,313 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 2074 2379
+<< nwell >>
+rect -9 529 814 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 596 263
+rect 540 131 551 233
+rect 585 131 596 233
+rect 540 115 596 131
+rect 626 233 682 263
+rect 626 131 637 233
+rect 671 131 682 233
+rect 626 115 682 131
+rect 712 233 765 263
+rect 712 131 723 233
+rect 757 131 765 233
+rect 712 115 765 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 596 965
+rect 540 609 551 949
+rect 585 609 596 949
+rect 540 565 596 609
+rect 626 949 682 965
+rect 626 609 637 949
+rect 671 609 682 949
+rect 626 565 682 609
+rect 712 949 765 965
+rect 712 609 723 949
+rect 757 609 765 949
+rect 712 565 765 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+rect 637 131 671 233
+rect 723 131 757 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+rect 637 609 671 949
+rect 723 609 757 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 80 534 110 565
+rect 20 518 110 534
+rect 20 484 30 518
+rect 64 484 110 518
+rect 20 468 110 484
+rect 80 263 110 468
+rect 166 467 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 252 510 712 540
+rect 152 450 210 467
+rect 152 416 166 450
+rect 200 416 210 450
+rect 152 400 210 416
+rect 152 263 182 400
+rect 252 368 282 510
+rect 252 352 306 368
+rect 252 318 262 352
+rect 296 332 306 352
+rect 596 332 626 510
+rect 296 318 712 332
+rect 252 302 712 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+<< polycont >>
+rect 30 484 64 518
+rect 166 416 200 450
+rect 262 318 296 352
+<< locali >>
+rect 0 1083 814 1110
+rect 0 1049 51 1083
+rect 85 1049 187 1083
+rect 221 1049 323 1083
+rect 357 1049 459 1083
+rect 493 1049 595 1083
+rect 629 1049 814 1083
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 98 677 121 695
+rect 98 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 30 518 64 597
+rect 30 468 64 484
+rect 98 352 132 661
+rect 166 450 200 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 637 949 671 965
+rect 637 483 671 609
+rect 723 949 757 1049
+rect 723 593 757 609
+rect 166 400 200 416
+rect 35 318 262 352
+rect 296 318 312 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 637 115 671 131
+rect 723 233 757 249
+rect 723 61 757 131
+rect 0 27 51 61
+rect 85 27 187 61
+rect 221 27 323 61
+rect 357 27 459 61
+rect 493 27 595 61
+rect 629 27 814 61
+rect 0 0 814 27
+<< viali >>
+rect 30 597 64 631
+rect 166 523 200 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 637 233 671 261
+rect 637 227 671 233
+<< metal1 >>
+rect 0 1049 814 1110
+rect 18 631 76 637
+rect 18 597 30 631
+rect 64 597 98 631
+rect 18 591 76 597
+rect 154 557 212 563
+rect 132 523 166 557
+rect 200 523 212 557
+rect 154 517 212 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 683 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 0 0 814 61
+<< labels >>
+rlabel viali 184 540 184 540 1 B
+port 1 n
+rlabel viali 48 614 48 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel metal1 68 44 68 44 1 gnd
+rlabel metal1 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__and2_8.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_8.mag
new file mode 100644
index 0000000..54982ac
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_8.mag
@@ -0,0 +1,426 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 2250 2379
+<< nwell >>
+rect -9 529 990 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 193 233
+rect 227 131 252 233
+rect 182 115 252 131
+rect 282 233 338 263
+rect 282 131 293 233
+rect 327 131 338 233
+rect 282 115 338 131
+rect 368 233 424 263
+rect 368 131 379 233
+rect 413 131 424 233
+rect 368 115 424 131
+rect 454 233 510 263
+rect 454 131 465 233
+rect 499 131 510 233
+rect 454 115 510 131
+rect 540 233 596 263
+rect 540 131 551 233
+rect 585 131 596 233
+rect 540 115 596 131
+rect 626 233 682 263
+rect 626 131 637 233
+rect 671 131 682 233
+rect 626 115 682 131
+rect 712 233 768 263
+rect 712 131 723 233
+rect 757 131 768 233
+rect 712 115 768 131
+rect 798 233 854 263
+rect 798 131 809 233
+rect 843 131 854 233
+rect 798 115 854 131
+rect 884 233 937 263
+rect 884 131 895 233
+rect 929 131 937 233
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 677 121 949
+rect 155 677 166 949
+rect 110 565 166 677
+rect 196 949 252 965
+rect 196 677 207 949
+rect 241 677 252 949
+rect 196 565 252 677
+rect 282 949 338 965
+rect 282 609 293 949
+rect 327 609 338 949
+rect 282 565 338 609
+rect 368 949 424 965
+rect 368 609 379 949
+rect 413 609 424 949
+rect 368 565 424 609
+rect 454 949 510 965
+rect 454 609 465 949
+rect 499 609 510 949
+rect 454 565 510 609
+rect 540 949 596 965
+rect 540 609 551 949
+rect 585 609 596 949
+rect 540 565 596 609
+rect 626 949 682 965
+rect 626 609 637 949
+rect 671 609 682 949
+rect 626 565 682 609
+rect 712 949 768 965
+rect 712 609 723 949
+rect 757 609 768 949
+rect 712 565 768 609
+rect 798 949 854 965
+rect 798 609 809 949
+rect 843 609 854 949
+rect 798 565 854 609
+rect 884 949 937 965
+rect 884 609 895 949
+rect 929 609 937 949
+rect 884 565 937 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 293 131 327 233
+rect 379 131 413 233
+rect 465 131 499 233
+rect 551 131 585 233
+rect 637 131 671 233
+rect 723 131 757 233
+rect 809 131 843 233
+rect 895 131 929 233
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 677 155 949
+rect 207 677 241 949
+rect 293 609 327 949
+rect 379 609 413 949
+rect 465 609 499 949
+rect 551 609 585 949
+rect 637 609 671 949
+rect 723 609 757 949
+rect 809 609 843 949
+rect 895 609 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 534 110 565
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 263 110 468
+rect 166 466 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 252 510 884 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 263 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 596 332 626 510
+rect 303 318 884 332
+rect 252 302 884 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 854 263 884 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 965
+rect 105 677 121 695
+rect 105 661 155 677
+rect 207 949 241 1049
+rect 207 661 241 677
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 661
+rect 173 450 207 523
+rect 293 483 327 609
+rect 379 949 413 1049
+rect 379 593 413 609
+rect 465 949 499 965
+rect 465 483 499 609
+rect 551 949 585 1049
+rect 551 593 585 609
+rect 637 949 671 965
+rect 637 483 671 609
+rect 723 949 757 1049
+rect 723 593 757 609
+rect 809 949 843 965
+rect 809 483 843 609
+rect 895 949 929 1049
+rect 895 593 929 609
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 233 69 318
+rect 35 115 69 131
+rect 193 233 227 249
+rect 193 61 227 131
+rect 293 115 327 131
+rect 379 233 413 249
+rect 379 61 413 131
+rect 465 115 499 131
+rect 551 233 585 249
+rect 551 61 585 131
+rect 637 115 671 131
+rect 723 233 757 249
+rect 723 61 757 131
+rect 809 115 843 131
+rect 895 233 929 249
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 809 449 843 483
+rect 293 233 327 261
+rect 293 227 327 233
+rect 465 233 499 261
+rect 465 227 499 233
+rect 637 233 671 261
+rect 637 227 671 233
+rect 809 233 843 261
+rect 809 227 843 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 797 483 855 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 809 483
+rect 843 449 855 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 797 443 855 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 809 267 843 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 712 227 809 261
+rect 843 227 855 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel viali 191 540 191 540 1 B
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel metal1 311 392 311 392 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__and2_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_l.mag
new file mode 100644
index 0000000..ce9597f
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__and2_l.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 252 115 282 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 252 713 282 965
+<< ndiff >>
+rect 27 199 80 219
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 199 252 219
+rect 182 131 193 199
+rect 227 131 252 199
+rect 182 115 252 131
+rect 282 199 335 219
+rect 282 131 293 199
+rect 327 131 335 199
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 813 35 949
+rect 69 813 80 949
+rect 27 713 80 813
+rect 110 949 166 965
+rect 110 813 121 949
+rect 155 813 166 949
+rect 110 713 166 813
+rect 196 949 252 965
+rect 196 813 207 949
+rect 241 813 252 949
+rect 196 713 252 813
+rect 282 949 335 965
+rect 282 813 293 949
+rect 327 813 335 949
+rect 282 713 335 813
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 293 131 327 199
+<< pdiffc >>
+rect 35 813 69 949
+rect 121 813 155 949
+rect 207 813 241 949
+rect 293 813 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 534 110 713
+rect 27 518 110 534
+rect 27 484 37 518
+rect 71 484 110 518
+rect 27 468 110 484
+rect 80 219 110 468
+rect 166 466 196 713
+rect 252 540 282 713
+rect 252 510 289 540
+rect 152 450 217 466
+rect 152 416 173 450
+rect 207 416 217 450
+rect 152 400 217 416
+rect 152 219 182 400
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 219 282 302
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 484 71 518
+rect 173 416 207 450
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 121 949 155 965
+rect 35 797 69 813
+rect 105 813 121 831
+rect 105 797 155 813
+rect 207 949 241 1049
+rect 207 797 241 813
+rect 293 949 327 965
+rect 37 518 71 597
+rect 37 468 71 484
+rect 105 352 139 797
+rect 173 450 207 523
+rect 293 483 327 813
+rect 173 400 207 416
+rect 35 318 269 352
+rect 303 318 319 352
+rect 35 199 69 318
+rect 35 115 69 131
+rect 193 199 227 215
+rect 193 61 227 131
+rect 293 199 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 597 71 631
+rect 173 523 207 557
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 105 631
+rect 25 591 83 597
+rect 161 557 219 563
+rect 140 523 173 557
+rect 207 523 219 557
+rect 161 517 219 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 55 614 55 614 1 A
+port 2 n
+rlabel viali 191 540 191 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__ant.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__ant.mag
new file mode 100644
index 0000000..458bbfb
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__ant.mag
@@ -0,0 +1,101 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 263
+<< pmos >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 499 110 565
+rect 35 483 110 499
+rect 35 449 47 483
+rect 81 449 110 483
+rect 35 433 110 449
+rect 80 263 110 433
+rect 80 89 110 115
+<< polycont >>
+rect 47 449 81 483
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 965
+rect 35 483 69 605
+rect 121 949 155 1049
+rect 121 589 155 605
+rect 31 449 47 483
+rect 81 449 97 483
+rect 35 365 69 449
+rect 35 331 155 365
+rect 35 215 69 331
+rect 35 115 69 131
+rect 121 215 155 331
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 449 81 483
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 483 108 489
+rect 35 449 47 483
+rect 81 449 108 483
+rect 35 443 108 449
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 466 64 466 1 A
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__antfill.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__antfill.mag
new file mode 100644
index 0000000..ffd9206
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__antfill.mag
@@ -0,0 +1,58 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007749
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 483 69 965
+rect 121 589 155 1049
+rect 31 449 47 483
+rect 81 449 97 483
+rect 35 365 69 449
+rect 35 331 155 365
+rect 35 115 69 331
+rect 121 115 155 331
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 449 81 483
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 483 108 489
+rect 35 449 47 483
+rect 81 449 108 483
+rect 35 443 108 449
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 466 64 466 1 A
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__aoi21_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__aoi21_l.mag
new file mode 100644
index 0000000..b844b92
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__aoi21_l.mag
@@ -0,0 +1,197 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 250 115 280 219
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 235 263
+rect 182 131 193 233
+rect 227 219 235 233
+rect 227 131 250 219
+rect 182 115 250 131
+rect 280 165 333 219
+rect 280 131 291 165
+rect 325 131 333 165
+rect 280 115 333 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 565 80 745
+rect 110 949 166 965
+rect 110 813 121 949
+rect 155 813 166 949
+rect 110 565 166 813
+rect 196 949 252 965
+rect 196 745 207 949
+rect 241 745 252 949
+rect 196 565 252 745
+rect 282 949 335 965
+rect 282 677 293 949
+rect 327 677 335 949
+rect 282 565 335 677
+<< ndiffc >>
+rect 35 131 69 233
+rect 193 131 227 233
+rect 291 131 325 165
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 813 155 949
+rect 207 745 241 949
+rect 293 677 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 528 110 565
+rect 44 518 110 528
+rect 44 484 60 518
+rect 94 484 110 518
+rect 44 474 110 484
+rect 44 318 74 474
+rect 166 432 196 565
+rect 252 527 282 565
+rect 252 497 309 527
+rect 134 416 196 432
+rect 134 382 146 416
+rect 180 382 196 416
+rect 134 366 196 382
+rect 44 286 110 318
+rect 80 263 110 286
+rect 152 263 182 366
+rect 279 353 309 497
+rect 279 337 333 353
+rect 279 319 289 337
+rect 250 303 289 319
+rect 323 303 333 337
+rect 250 287 333 303
+rect 250 219 280 287
+rect 80 89 110 115
+rect 152 89 182 115
+rect 250 89 280 115
+<< polycont >>
+rect 60 484 94 518
+rect 146 382 180 416
+rect 289 303 323 337
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 797 155 813
+rect 207 949 241 965
+rect 69 745 207 763
+rect 35 729 241 745
+rect 293 949 327 965
+rect 60 518 94 597
+rect 60 468 94 484
+rect 128 432 162 523
+rect 128 416 180 432
+rect 128 382 146 416
+rect 128 366 180 382
+rect 216 337 250 449
+rect 293 409 327 677
+rect 216 303 289 337
+rect 323 303 339 337
+rect 35 233 69 249
+rect 35 61 69 131
+rect 193 115 227 131
+rect 291 165 325 181
+rect 291 61 325 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 60 597 94 631
+rect 128 523 162 557
+rect 216 449 250 483
+rect 293 375 327 409
+rect 193 233 227 261
+rect 193 227 227 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 48 631 106 637
+rect 48 597 60 631
+rect 94 597 128 631
+rect 48 591 106 597
+rect 116 557 174 563
+rect 116 523 128 557
+rect 162 523 196 557
+rect 116 517 174 523
+rect 204 483 262 489
+rect 182 449 216 483
+rect 250 449 262 483
+rect 204 443 262 449
+rect 281 409 339 415
+rect 281 375 293 409
+rect 327 375 339 409
+rect 281 369 339 375
+rect 181 261 239 267
+rect 293 261 327 369
+rect 181 227 193 261
+rect 227 227 327 261
+rect 181 221 239 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 77 614 77 614 1 A0
+port 1 n
+rlabel viali 233 466 233 466 1 B0
+port 2 n
+rlabel metal1 310 362 310 362 1 Y
+port 3 n
+rlabel viali 145 540 145 540 1 A1
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__aoi22_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__aoi22_l.mag
new file mode 100644
index 0000000..c01b966
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__aoi22_l.mag
@@ -0,0 +1,239 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 252 115 282 263
+rect 324 115 354 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 233 252 263
+rect 182 131 200 233
+rect 234 131 252 233
+rect 182 115 252 131
+rect 282 115 324 263
+rect 354 233 407 263
+rect 354 131 365 233
+rect 399 131 407 233
+rect 354 115 407 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 711 35 949
+rect 69 711 80 949
+rect 27 565 80 711
+rect 110 949 166 965
+rect 110 779 121 949
+rect 155 779 166 949
+rect 110 565 166 779
+rect 196 949 252 965
+rect 196 711 207 949
+rect 241 711 252 949
+rect 196 565 252 711
+rect 282 881 338 965
+rect 282 711 293 881
+rect 327 711 338 881
+rect 282 565 338 711
+rect 368 949 421 965
+rect 368 711 379 949
+rect 413 711 421 949
+rect 368 565 421 711
+<< ndiffc >>
+rect 35 131 69 233
+rect 200 131 234 233
+rect 365 131 399 233
+<< pdiffc >>
+rect 35 711 69 949
+rect 121 779 155 949
+rect 207 711 241 949
+rect 293 711 327 881
+rect 379 711 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 80 528 110 565
+rect 44 518 110 528
+rect 44 484 60 518
+rect 94 484 110 518
+rect 44 474 110 484
+rect 44 318 74 474
+rect 166 462 196 565
+rect 152 432 196 462
+rect 116 416 182 432
+rect 116 382 128 416
+rect 162 382 182 416
+rect 116 366 182 382
+rect 44 286 110 318
+rect 80 263 110 286
+rect 152 263 182 366
+rect 252 361 282 565
+rect 338 426 368 565
+rect 338 410 416 426
+rect 338 382 370 410
+rect 224 345 282 361
+rect 224 311 234 345
+rect 268 311 282 345
+rect 224 295 282 311
+rect 252 263 282 295
+rect 324 376 370 382
+rect 404 376 416 410
+rect 324 360 416 376
+rect 324 352 368 360
+rect 324 263 354 352
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 324 89 354 115
+<< polycont >>
+rect 60 484 94 518
+rect 128 382 162 416
+rect 234 311 268 345
+rect 370 376 404 410
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 763 155 779
+rect 207 950 413 985
+rect 207 949 241 950
+rect 69 711 207 729
+rect 379 949 413 950
+rect 35 695 241 711
+rect 293 881 327 897
+rect 60 518 94 597
+rect 293 614 327 711
+rect 379 695 413 711
+rect 293 580 336 614
+rect 60 468 94 484
+rect 128 416 162 523
+rect 128 366 162 382
+rect 216 361 250 449
+rect 216 345 268 361
+rect 216 311 234 345
+rect 234 295 268 311
+rect 302 335 336 580
+rect 370 410 404 426
+rect 370 360 404 376
+rect 35 233 69 249
+rect 35 61 69 131
+rect 200 115 234 131
+rect 365 233 399 249
+rect 365 61 399 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 60 597 94 631
+rect 128 523 162 557
+rect 216 449 250 483
+rect 370 376 404 410
+rect 302 301 336 335
+rect 200 233 234 261
+rect 200 227 234 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 48 631 106 637
+rect 48 597 60 631
+rect 94 597 128 631
+rect 48 591 106 597
+rect 116 557 174 563
+rect 116 523 128 557
+rect 162 523 196 557
+rect 116 517 174 523
+rect 204 483 262 489
+rect 182 449 216 483
+rect 250 449 262 483
+rect 204 443 262 449
+rect 358 410 416 416
+rect 336 376 370 410
+rect 404 376 416 410
+rect 358 370 416 376
+rect 290 335 348 341
+rect 290 301 302 335
+rect 336 301 348 335
+rect 290 295 348 301
+rect 188 261 246 267
+rect 304 261 338 295
+rect 188 227 200 261
+rect 234 227 338 261
+rect 188 221 246 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 77 614 77 614 1 A0
+port 1 n
+rlabel viali 233 466 233 466 1 B0
+port 2 n
+rlabel viali 145 540 145 540 1 A1
+port 4 n
+rlabel viali 387 393 387 393 1 B1
+rlabel metal1 321 288 321 288 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__buf_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_1.mag
new file mode 100644
index 0000000..7e55a85
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_1.mag
@@ -0,0 +1,156 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 -242 1548 2379
+<< nwell >>
+rect -9 529 288 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 233 80 263
+rect 27 131 35 233
+rect 69 131 80 233
+rect 27 115 80 131
+rect 110 233 166 263
+rect 110 131 121 233
+rect 155 131 166 233
+rect 110 115 166 131
+rect 196 233 249 263
+rect 196 131 207 233
+rect 241 131 249 233
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 609 35 949
+rect 69 609 80 949
+rect 27 565 80 609
+rect 110 949 166 965
+rect 110 745 121 949
+rect 155 745 166 949
+rect 110 565 166 745
+rect 196 949 249 965
+rect 196 609 207 949
+rect 241 609 249 949
+rect 196 565 249 609
+<< ndiffc >>
+rect 35 131 69 233
+rect 121 131 155 233
+rect 207 131 241 233
+<< pdiffc >>
+rect 35 609 69 949
+rect 121 745 155 949
+rect 207 609 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 166 520 251 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 318 251 352
+rect 166 302 251 318
+rect 166 263 196 302
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 207 949 241 965
+rect 35 352 69 609
+rect 110 461 144 597
+rect 207 557 241 609
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 233 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 233 155 249
+rect 121 61 155 131
+rect 207 115 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 233 241 261
+rect 207 227 241 233
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 213 403 213 403 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__buf_2.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_2.mag
new file mode 100644
index 0000000..91c9c0f
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_2.mag
@@ -0,0 +1,179 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007750
+<< checkpaint >>
+rect -1269 2461 1636 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1636 -1129
+<< nwell >>
+rect -9 529 376 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 166 520 282 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 210 318 282 332
+rect 166 302 282 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 227 241 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__buf_4.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_4.mag
new file mode 100644
index 0000000..8659be9
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_4.mag
@@ -0,0 +1,244 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 1812 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1812 -1129
+<< nwell >>
+rect -9 529 552 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 507 263
+rect 454 131 465 215
+rect 499 131 507 215
+rect 454 115 507 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 507 965
+rect 454 605 465 949
+rect 499 605 507 949
+rect 454 565 507 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 166 520 454 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 210 318 454 332
+rect 166 302 454 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 550 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 550 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 35 61 69 62
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 207 61 241 62
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 550 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 550 1093
+rect 0 1049 550 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 425 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 425 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__buf_6.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_6.mag
new file mode 100644
index 0000000..d48678d
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_6.mag
@@ -0,0 +1,286 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 1988 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1988 -1129
+<< nwell >>
+rect -9 529 728 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 679 263
+rect 626 131 637 215
+rect 671 131 679 215
+rect 626 115 679 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 679 965
+rect 626 605 637 949
+rect 671 605 679 949
+rect 626 565 679 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 510 550 540 565
+rect 596 550 626 565
+rect 166 520 626 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 510 332 540 520
+rect 210 318 626 332
+rect 166 302 626 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1083 726 1110
+rect 0 1049 51 1083
+rect 85 1049 187 1083
+rect 221 1049 323 1083
+rect 357 1049 459 1083
+rect 493 1049 595 1083
+rect 629 1049 726 1083
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 551 949 585 965
+rect 551 557 585 605
+rect 637 949 671 1049
+rect 637 589 671 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 551 215 585 227
+rect 551 115 585 131
+rect 637 215 671 231
+rect 637 61 671 131
+rect 0 27 51 61
+rect 85 27 187 61
+rect 221 27 323 61
+rect 357 27 459 61
+rect 493 27 595 61
+rect 629 27 726 61
+rect 0 0 726 27
+<< viali >>
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 551 523 585 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 551 227 585 261
+<< metal1 >>
+rect 0 1049 726 1110
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 539 557 597 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 551 557
+rect 585 523 597 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 539 517 597 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 551 267 585 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 539 261 597 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 551 261
+rect 585 227 597 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 539 221 597 227
+rect 0 0 726 61
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel metal1 68 44 68 44 1 gnd
+rlabel metal1 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__buf_8.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_8.mag
new file mode 100644
index 0000000..dab0f12
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_8.mag
@@ -0,0 +1,383 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 2461 2164 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2164 -1129
+<< nwell >>
+rect -9 529 904 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 851 263
+rect 798 131 809 215
+rect 843 131 851 215
+rect 798 115 851 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 851 965
+rect 798 605 809 949
+rect 843 605 851 949
+rect 798 565 851 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 992
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 80 477 110 565
+rect 166 550 196 565
+rect 252 550 282 565
+rect 338 550 368 565
+rect 424 550 454 565
+rect 510 550 540 565
+rect 596 550 626 565
+rect 682 550 712 565
+rect 768 550 798 565
+rect 166 520 798 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 263 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 332 251 352
+rect 510 332 540 520
+rect 210 318 798 332
+rect 166 302 798 318
+rect 166 263 196 302
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 902 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 902 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 207 949 241 965
+rect 35 352 69 605
+rect 110 461 144 597
+rect 207 557 241 605
+rect 293 949 327 1049
+rect 293 589 327 605
+rect 379 949 413 965
+rect 379 557 413 605
+rect 465 949 499 1049
+rect 465 589 499 605
+rect 551 949 585 965
+rect 551 557 585 605
+rect 637 949 671 1049
+rect 637 589 671 605
+rect 723 949 757 965
+rect 723 557 757 605
+rect 809 949 843 1049
+rect 809 589 843 605
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 215 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 207 215 241 227
+rect 207 115 241 131
+rect 293 215 327 231
+rect 293 61 327 131
+rect 379 215 413 227
+rect 379 115 413 131
+rect 465 215 499 231
+rect 465 61 499 131
+rect 551 215 585 227
+rect 551 115 585 131
+rect 637 215 671 231
+rect 637 61 671 131
+rect 723 215 757 227
+rect 723 115 757 131
+rect 809 215 843 231
+rect 809 61 843 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 902 61
+rect 0 0 902 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 379 523 413 557
+rect 551 523 585 557
+rect 723 523 757 557
+rect 207 227 241 261
+rect 379 227 413 261
+rect 551 227 585 261
+rect 723 227 757 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+<< metal1 >>
+rect 0 1093 902 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 902 1093
+rect 0 1049 902 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 367 557 425 563
+rect 539 557 597 563
+rect 711 557 769 563
+rect 195 523 207 557
+rect 241 523 379 557
+rect 413 523 551 557
+rect 585 523 723 557
+rect 757 523 769 557
+rect 195 517 253 523
+rect 367 517 425 523
+rect 539 517 597 523
+rect 711 517 769 523
+rect 207 267 241 517
+rect 379 267 413 517
+rect 551 267 585 517
+rect 723 267 757 517
+rect 195 261 253 267
+rect 367 261 425 267
+rect 539 261 597 267
+rect 711 261 769 267
+rect 195 227 207 261
+rect 241 227 379 261
+rect 413 227 551 261
+rect 585 227 723 261
+rect 757 227 769 261
+rect 195 221 253 227
+rect 367 221 425 227
+rect 539 221 597 227
+rect 711 221 769 227
+rect 0 51 902 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 902 51
+rect 0 0 902 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 211 402 211 402 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__buf_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_l.mag
new file mode 100644
index 0000000..d7bd850
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__buf_l.mag
@@ -0,0 +1,156 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1548 2379
+<< nwell >>
+rect -9 529 288 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 249 219
+rect 196 131 207 165
+rect 241 131 249 165
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 831 35 949
+rect 69 831 80 949
+rect 27 713 80 831
+rect 110 949 166 965
+rect 110 831 121 949
+rect 155 831 166 949
+rect 110 713 166 831
+rect 196 949 249 965
+rect 196 831 207 949
+rect 241 831 249 949
+rect 196 713 249 831
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+<< pdiffc >>
+rect 35 831 69 949
+rect 121 831 155 949
+rect 207 831 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 477 110 713
+rect 166 550 196 713
+rect 166 520 251 550
+rect 80 461 154 477
+rect 80 427 110 461
+rect 144 427 154 461
+rect 80 411 154 427
+rect 80 219 110 411
+rect 221 368 251 520
+rect 166 352 251 368
+rect 166 318 176 352
+rect 210 318 251 352
+rect 166 302 251 318
+rect 166 219 196 302
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 110 427 144 461
+rect 176 318 210 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 35 352 69 831
+rect 121 949 155 1049
+rect 121 815 155 831
+rect 207 949 241 965
+rect 110 461 144 597
+rect 207 557 241 831
+rect 110 411 144 427
+rect 176 352 210 368
+rect 35 318 176 352
+rect 35 165 69 318
+rect 176 302 210 318
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 165 241 227
+rect 207 115 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 110 597 144 631
+rect 207 523 241 557
+rect 207 227 241 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 98 631 156 637
+rect 64 597 110 631
+rect 144 597 156 631
+rect 98 591 156 597
+rect 195 557 253 563
+rect 195 523 207 557
+rect 241 523 253 557
+rect 195 517 253 523
+rect 207 267 241 517
+rect 195 261 253 267
+rect 195 227 207 261
+rect 241 227 253 261
+rect 195 221 253 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel viali 127 614 127 614 1 A
+port 1 n
+rlabel metal1 214 400 214 400 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__decap_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__decap_1.mag
new file mode 100644
index 0000000..eb031ab
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__decap_1.mag
@@ -0,0 +1,83 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 367
+<< pmos >>
+rect 80 713 110 965
+<< ndiff >>
+rect 27 335 80 367
+rect 27 131 35 335
+rect 69 131 80 335
+rect 27 115 80 131
+rect 110 335 163 367
+rect 110 131 121 335
+rect 155 131 163 335
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 745 35 949
+rect 69 745 80 949
+rect 27 713 80 745
+rect 110 949 163 965
+rect 110 745 121 949
+rect 155 745 163 949
+rect 110 713 163 745
+<< ndiffc >>
+rect 35 131 69 335
+rect 121 131 155 335
+<< pdiffc >>
+rect 35 745 69 949
+rect 121 745 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 367 110 713
+rect 80 80 110 115
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 729 69 745
+rect 121 949 155 1049
+rect 121 729 155 745
+rect 35 335 69 351
+rect 35 61 69 131
+rect 121 335 155 351
+rect 121 61 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__decap_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__decap_l.mag
new file mode 100644
index 0000000..b2944ae
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__decap_l.mag
@@ -0,0 +1,83 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007751
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 243
+<< pmos >>
+rect 80 837 110 965
+<< ndiff >>
+rect 27 215 80 243
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 243
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 865 35 949
+rect 69 865 80 949
+rect 27 837 80 865
+rect 110 949 163 965
+rect 110 865 121 949
+rect 155 865 163 949
+rect 110 837 163 865
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 865 69 949
+rect 121 865 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 243 110 837
+rect 80 80 110 115
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 849 69 865
+rect 121 949 155 1049
+rect 121 849 155 865
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__dff_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__dff_1.mag
new file mode 100644
index 0000000..19f4786
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__dff_1.mag
@@ -0,0 +1,634 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1269 -242 2716 2379
+<< nwell >>
+rect -9 529 1456 1119
+<< nmos >>
+rect 85 115 115 263
+rect 171 115 201 263
+rect 243 115 273 263
+rect 363 115 393 263
+rect 435 115 465 263
+rect 521 115 551 263
+rect 593 115 623 263
+rect 713 115 743 263
+rect 785 115 815 263
+rect 871 115 901 263
+rect 1061 115 1091 263
+rect 1251 115 1281 263
+rect 1337 115 1367 263
+<< pmos >>
+rect 85 565 115 965
+rect 171 565 201 965
+rect 243 565 273 965
+rect 363 565 393 965
+rect 435 565 465 965
+rect 521 565 551 965
+rect 593 565 623 965
+rect 713 565 743 965
+rect 785 565 815 965
+rect 871 565 901 965
+rect 1061 565 1091 965
+rect 1251 565 1281 965
+rect 1337 565 1367 965
+<< ndiff >>
+rect 32 215 85 263
+rect 32 131 40 215
+rect 74 131 85 215
+rect 32 115 85 131
+rect 115 215 171 263
+rect 115 131 126 215
+rect 160 131 171 215
+rect 115 115 171 131
+rect 201 115 243 263
+rect 273 215 363 263
+rect 273 131 284 215
+rect 352 131 363 215
+rect 273 115 363 131
+rect 393 115 435 263
+rect 465 165 521 263
+rect 465 131 476 165
+rect 510 131 521 165
+rect 465 115 521 131
+rect 551 115 593 263
+rect 623 215 713 263
+rect 623 131 634 215
+rect 702 131 713 215
+rect 623 115 713 131
+rect 743 115 785 263
+rect 815 215 871 263
+rect 815 131 826 215
+rect 860 131 871 215
+rect 815 115 871 131
+rect 901 215 954 263
+rect 901 131 912 215
+rect 946 131 954 215
+rect 901 115 954 131
+rect 1008 215 1061 263
+rect 1008 131 1016 215
+rect 1050 131 1061 215
+rect 1008 115 1061 131
+rect 1091 215 1144 263
+rect 1091 131 1102 215
+rect 1136 131 1144 215
+rect 1091 115 1144 131
+rect 1198 215 1251 263
+rect 1198 131 1206 215
+rect 1240 131 1251 215
+rect 1198 115 1251 131
+rect 1281 215 1337 263
+rect 1281 131 1292 215
+rect 1326 131 1337 215
+rect 1281 115 1337 131
+rect 1367 215 1420 263
+rect 1367 131 1378 215
+rect 1412 131 1420 215
+rect 1367 115 1420 131
+<< pdiff >>
+rect 32 949 85 965
+rect 32 605 40 949
+rect 74 605 85 949
+rect 32 565 85 605
+rect 115 949 171 965
+rect 115 673 126 949
+rect 160 673 171 949
+rect 115 565 171 673
+rect 201 565 243 965
+rect 273 949 363 965
+rect 273 605 284 949
+rect 352 605 363 949
+rect 273 565 363 605
+rect 393 565 435 965
+rect 465 949 521 965
+rect 465 673 476 949
+rect 510 673 521 949
+rect 465 565 521 673
+rect 551 565 593 965
+rect 623 949 713 965
+rect 623 605 634 949
+rect 702 605 713 949
+rect 623 565 713 605
+rect 743 565 785 965
+rect 815 949 871 965
+rect 815 605 826 949
+rect 860 605 871 949
+rect 815 565 871 605
+rect 901 949 954 965
+rect 901 605 912 949
+rect 946 605 954 949
+rect 901 565 954 605
+rect 1008 949 1061 965
+rect 1008 673 1016 949
+rect 1050 673 1061 949
+rect 1008 565 1061 673
+rect 1091 949 1144 965
+rect 1091 605 1102 949
+rect 1136 605 1144 949
+rect 1091 565 1144 605
+rect 1198 949 1251 965
+rect 1198 605 1206 949
+rect 1240 605 1251 949
+rect 1198 565 1251 605
+rect 1281 949 1337 965
+rect 1281 605 1292 949
+rect 1326 605 1337 949
+rect 1281 565 1337 605
+rect 1367 949 1420 965
+rect 1367 605 1378 949
+rect 1412 605 1420 949
+rect 1367 565 1420 605
+<< ndiffc >>
+rect 40 131 74 215
+rect 126 131 160 215
+rect 284 131 352 215
+rect 476 131 510 165
+rect 634 131 702 215
+rect 826 131 860 215
+rect 912 131 946 215
+rect 1016 131 1050 215
+rect 1102 131 1136 215
+rect 1206 131 1240 215
+rect 1292 131 1326 215
+rect 1378 131 1412 215
+<< pdiffc >>
+rect 40 605 74 949
+rect 126 673 160 949
+rect 284 605 352 949
+rect 476 673 510 949
+rect 634 605 702 949
+rect 826 605 860 949
+rect 912 605 946 949
+rect 1016 673 1050 949
+rect 1102 605 1136 949
+rect 1206 605 1240 949
+rect 1292 605 1326 949
+rect 1378 605 1412 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 85 965 115 991
+rect 171 965 201 991
+rect 243 965 273 991
+rect 363 965 393 991
+rect 435 965 465 991
+rect 521 965 551 991
+rect 593 965 623 991
+rect 713 965 743 991
+rect 785 965 815 991
+rect 871 965 901 991
+rect 1061 965 1091 991
+rect 1251 965 1281 991
+rect 1337 965 1367 991
+rect 85 534 115 565
+rect 75 518 129 534
+rect 75 484 85 518
+rect 119 484 129 518
+rect 75 468 129 484
+rect 75 322 105 468
+rect 171 425 201 565
+rect 243 534 273 565
+rect 243 518 297 534
+rect 243 484 253 518
+rect 287 484 297 518
+rect 243 468 297 484
+rect 171 409 225 425
+rect 363 423 393 565
+rect 435 528 465 565
+rect 521 528 551 565
+rect 435 518 551 528
+rect 435 484 467 518
+rect 501 484 551 518
+rect 435 474 551 484
+rect 593 423 623 565
+rect 713 534 743 565
+rect 689 518 743 534
+rect 689 484 699 518
+rect 733 484 743 518
+rect 689 468 743 484
+rect 171 375 181 409
+rect 215 375 225 409
+rect 171 359 225 375
+rect 267 393 719 423
+rect 75 292 115 322
+rect 85 263 115 292
+rect 171 263 201 359
+rect 267 315 297 393
+rect 689 351 719 393
+rect 785 419 815 565
+rect 871 534 901 565
+rect 871 518 942 534
+rect 871 504 898 518
+rect 882 484 898 504
+rect 932 484 942 518
+rect 882 468 942 484
+rect 785 403 839 419
+rect 785 369 795 403
+rect 829 369 839 403
+rect 785 353 839 369
+rect 243 285 297 315
+rect 339 335 393 351
+rect 339 301 349 335
+rect 383 301 393 335
+rect 339 285 393 301
+rect 243 263 273 285
+rect 363 263 393 285
+rect 435 335 551 345
+rect 435 301 467 335
+rect 501 301 551 335
+rect 435 291 551 301
+rect 435 263 465 291
+rect 521 263 551 291
+rect 593 335 647 351
+rect 593 301 603 335
+rect 637 301 647 335
+rect 593 285 647 301
+rect 689 335 743 351
+rect 689 301 699 335
+rect 733 301 743 335
+rect 689 285 743 301
+rect 593 263 623 285
+rect 713 263 743 285
+rect 785 263 815 353
+rect 882 315 912 468
+rect 1061 351 1091 565
+rect 1251 549 1281 565
+rect 1241 519 1281 549
+rect 1241 419 1271 519
+rect 1337 460 1367 565
+rect 1216 403 1271 419
+rect 1216 369 1226 403
+rect 1260 369 1271 403
+rect 1313 444 1367 460
+rect 1313 410 1323 444
+rect 1357 410 1367 444
+rect 1313 394 1367 410
+rect 1216 353 1271 369
+rect 871 285 912 315
+rect 1008 335 1091 351
+rect 1008 301 1018 335
+rect 1052 301 1091 335
+rect 1008 285 1091 301
+rect 871 263 901 285
+rect 1061 263 1091 285
+rect 1241 308 1271 353
+rect 1241 278 1281 308
+rect 1251 263 1281 278
+rect 1337 263 1367 394
+rect 85 89 115 115
+rect 171 89 201 115
+rect 243 89 273 115
+rect 363 89 393 115
+rect 435 89 465 115
+rect 521 89 551 115
+rect 593 89 623 115
+rect 713 89 743 115
+rect 785 89 815 115
+rect 871 89 901 115
+rect 1061 89 1091 115
+rect 1251 89 1281 115
+rect 1337 89 1367 115
+<< polycont >>
+rect 85 484 119 518
+rect 253 484 287 518
+rect 467 484 501 518
+rect 699 484 733 518
+rect 181 375 215 409
+rect 898 484 932 518
+rect 795 369 829 403
+rect 349 301 383 335
+rect 467 301 501 335
+rect 603 301 637 335
+rect 699 301 733 335
+rect 1226 369 1260 403
+rect 1323 410 1357 444
+rect 1018 301 1052 335
+<< locali >>
+rect 0 1093 1452 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1452 1093
+rect 40 949 74 965
+rect 17 605 40 617
+rect 126 949 160 1049
+rect 126 657 160 673
+rect 284 949 352 965
+rect 17 576 74 605
+rect 476 949 510 1049
+rect 476 657 510 673
+rect 634 949 702 965
+rect 352 605 355 623
+rect 284 602 355 605
+rect 634 602 702 605
+rect 17 335 51 576
+rect 108 568 355 602
+rect 535 568 702 602
+rect 826 949 860 1049
+rect 826 589 860 605
+rect 912 949 946 965
+rect 1016 949 1050 1049
+rect 1016 657 1050 673
+rect 1102 949 1136 965
+rect 912 602 946 605
+rect 912 568 1000 602
+rect 108 534 142 568
+rect 85 518 142 534
+rect 119 484 142 518
+rect 85 468 142 484
+rect 17 301 40 335
+rect 17 280 74 301
+rect 108 318 142 468
+rect 253 518 287 534
+rect 253 483 287 484
+rect 467 518 501 534
+rect 287 449 383 483
+rect 181 409 215 425
+rect 181 359 215 375
+rect 349 335 383 449
+rect 467 335 501 484
+rect 108 284 315 318
+rect 349 285 383 301
+rect 467 285 501 301
+rect 535 335 569 568
+rect 699 518 733 534
+rect 699 483 733 484
+rect 40 215 74 280
+rect 281 231 315 284
+rect 535 251 569 301
+rect 603 449 699 483
+rect 898 518 932 534
+rect 898 483 932 484
+rect 603 335 637 449
+rect 966 403 1000 568
+rect 779 369 795 403
+rect 829 369 845 403
+rect 912 369 1000 403
+rect 1102 403 1136 605
+rect 1206 949 1240 965
+rect 1206 557 1240 605
+rect 1292 949 1326 1049
+rect 1292 589 1326 605
+rect 1378 949 1412 965
+rect 1412 597 1435 614
+rect 1378 580 1435 597
+rect 1206 518 1240 523
+rect 1206 484 1357 518
+rect 1323 444 1357 484
+rect 1102 369 1226 403
+rect 1260 369 1276 403
+rect 912 335 946 369
+rect 683 301 699 335
+rect 733 301 946 335
+rect 1002 301 1018 335
+rect 1052 301 1068 335
+rect 603 285 637 301
+rect 40 115 74 131
+rect 126 215 160 231
+rect 281 215 352 231
+rect 535 217 702 251
+rect 281 197 284 215
+rect 126 61 160 131
+rect 634 215 702 217
+rect 284 115 352 131
+rect 476 165 510 181
+rect 476 61 510 131
+rect 634 115 702 131
+rect 826 215 860 231
+rect 826 61 860 131
+rect 912 215 946 301
+rect 912 115 946 131
+rect 1016 215 1050 231
+rect 1016 61 1050 131
+rect 1102 215 1136 369
+rect 1323 335 1357 410
+rect 1102 115 1136 131
+rect 1206 301 1357 335
+rect 1206 215 1240 301
+rect 1401 270 1435 580
+rect 1378 234 1435 270
+rect 1206 115 1240 131
+rect 1292 215 1326 231
+rect 1292 61 1326 131
+rect 1378 215 1412 234
+rect 1378 115 1412 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 40 301 74 335
+rect 253 449 287 483
+rect 181 375 215 409
+rect 449 301 467 335
+rect 467 301 483 335
+rect 535 301 569 335
+rect 699 449 733 483
+rect 898 449 932 483
+rect 795 369 829 403
+rect 1378 605 1412 631
+rect 1378 597 1412 605
+rect 1206 523 1240 557
+rect 1226 369 1260 403
+rect 1018 301 1052 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1452 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1452 1093
+rect 0 1049 1452 1059
+rect 1366 631 1424 637
+rect 1343 597 1378 631
+rect 1412 597 1424 631
+rect 1366 591 1424 597
+rect 1194 557 1252 563
+rect 1172 523 1206 557
+rect 1240 523 1252 557
+rect 1194 517 1252 523
+rect 241 483 299 489
+rect 687 483 745 489
+rect 886 483 944 489
+rect 241 449 253 483
+rect 287 449 699 483
+rect 733 449 898 483
+rect 932 449 944 483
+rect 241 443 299 449
+rect 687 443 745 449
+rect 886 443 944 449
+rect 169 409 227 415
+rect 169 375 181 409
+rect 215 375 249 409
+rect 783 403 841 409
+rect 1214 403 1272 409
+rect 169 369 227 375
+rect 783 369 795 403
+rect 829 369 1226 403
+rect 1260 369 1272 403
+rect 783 363 841 369
+rect 1214 363 1272 369
+rect 28 335 86 341
+rect 437 335 495 341
+rect 28 301 40 335
+rect 74 301 449 335
+rect 483 301 495 335
+rect 28 295 86 301
+rect 437 295 495 301
+rect 523 335 581 341
+rect 1006 335 1064 341
+rect 523 301 535 335
+rect 569 301 1018 335
+rect 1052 301 1064 335
+rect 523 295 581 301
+rect 1006 295 1064 301
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
+<< labels >>
+rlabel viali 198 392 198 392 1 D
+port 1 n
+rlabel viali 915 466 915 466 1 CK
+port 3 n
+rlabel viali 1395 614 1395 614 1 Q
+port 4 n
+rlabel viali 1224 540 1224 540 1 QN
+port 2 n
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1067 68 1067 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__dff_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__dff_l.mag
new file mode 100644
index 0000000..0a7c470
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__dff_l.mag
@@ -0,0 +1,635 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1269 -242 2716 2379
+<< nwell >>
+rect -9 529 1456 1119
+<< nmos >>
+rect 85 115 115 263
+rect 171 115 201 263
+rect 243 115 273 263
+rect 363 115 393 263
+rect 435 115 465 263
+rect 521 115 551 263
+rect 593 115 623 263
+rect 713 115 743 263
+rect 785 115 815 263
+rect 871 115 901 263
+rect 1061 115 1091 263
+rect 1251 115 1281 219
+rect 1337 115 1367 219
+<< pmos >>
+rect 85 565 115 965
+rect 171 565 201 965
+rect 243 565 273 965
+rect 363 565 393 965
+rect 435 565 465 965
+rect 521 565 551 965
+rect 593 565 623 965
+rect 713 565 743 965
+rect 785 565 815 965
+rect 871 565 901 965
+rect 1061 565 1091 965
+rect 1251 713 1281 965
+rect 1337 713 1367 965
+<< ndiff >>
+rect 32 215 85 263
+rect 32 131 40 215
+rect 74 131 85 215
+rect 32 115 85 131
+rect 115 215 171 263
+rect 115 131 126 215
+rect 160 131 171 215
+rect 115 115 171 131
+rect 201 115 243 263
+rect 273 215 363 263
+rect 273 131 284 215
+rect 352 131 363 215
+rect 273 115 363 131
+rect 393 115 435 263
+rect 465 165 521 263
+rect 465 131 476 165
+rect 510 131 521 165
+rect 465 115 521 131
+rect 551 115 593 263
+rect 623 215 713 263
+rect 623 131 634 215
+rect 702 131 713 215
+rect 623 115 713 131
+rect 743 115 785 263
+rect 815 215 871 263
+rect 815 131 826 215
+rect 860 131 871 215
+rect 815 115 871 131
+rect 901 215 954 263
+rect 901 131 912 215
+rect 946 131 954 215
+rect 901 115 954 131
+rect 1008 215 1061 263
+rect 1008 131 1016 215
+rect 1050 131 1061 215
+rect 1008 115 1061 131
+rect 1091 215 1144 263
+rect 1091 131 1102 215
+rect 1136 131 1144 215
+rect 1091 115 1144 131
+rect 1198 165 1251 219
+rect 1198 131 1206 165
+rect 1240 131 1251 165
+rect 1198 115 1251 131
+rect 1281 165 1337 219
+rect 1281 131 1292 165
+rect 1326 131 1337 165
+rect 1281 115 1337 131
+rect 1367 165 1420 219
+rect 1367 131 1378 165
+rect 1412 131 1420 165
+rect 1367 115 1420 131
+<< pdiff >>
+rect 32 949 85 965
+rect 32 605 40 949
+rect 74 605 85 949
+rect 32 565 85 605
+rect 115 949 171 965
+rect 115 673 126 949
+rect 160 673 171 949
+rect 115 565 171 673
+rect 201 565 243 965
+rect 273 949 363 965
+rect 273 605 284 949
+rect 352 605 363 949
+rect 273 565 363 605
+rect 393 565 435 965
+rect 465 949 521 965
+rect 465 673 476 949
+rect 510 673 521 949
+rect 465 565 521 673
+rect 551 565 593 965
+rect 623 949 713 965
+rect 623 673 634 949
+rect 702 673 713 949
+rect 623 565 713 673
+rect 743 565 785 965
+rect 815 949 871 965
+rect 815 605 826 949
+rect 860 605 871 949
+rect 815 565 871 605
+rect 901 949 954 965
+rect 901 605 912 949
+rect 946 605 954 949
+rect 901 565 954 605
+rect 1008 949 1061 965
+rect 1008 673 1016 949
+rect 1050 673 1061 949
+rect 1008 565 1061 673
+rect 1091 949 1144 965
+rect 1091 605 1102 949
+rect 1136 605 1144 949
+rect 1198 949 1251 965
+rect 1198 877 1206 949
+rect 1240 877 1251 949
+rect 1198 713 1251 877
+rect 1281 949 1337 965
+rect 1281 877 1292 949
+rect 1326 877 1337 949
+rect 1281 713 1337 877
+rect 1367 949 1420 965
+rect 1367 877 1378 949
+rect 1412 877 1420 949
+rect 1367 713 1420 877
+rect 1091 565 1144 605
+<< ndiffc >>
+rect 40 131 74 215
+rect 126 131 160 215
+rect 284 131 352 215
+rect 476 131 510 165
+rect 634 131 702 215
+rect 826 131 860 215
+rect 912 131 946 215
+rect 1016 131 1050 215
+rect 1102 131 1136 215
+rect 1206 131 1240 165
+rect 1292 131 1326 165
+rect 1378 131 1412 165
+<< pdiffc >>
+rect 40 605 74 949
+rect 126 673 160 949
+rect 284 605 352 949
+rect 476 673 510 949
+rect 634 673 702 949
+rect 826 605 860 949
+rect 912 605 946 949
+rect 1016 673 1050 949
+rect 1102 605 1136 949
+rect 1206 877 1240 949
+rect 1292 877 1326 949
+rect 1378 877 1412 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+rect 979 27 1003 61
+rect 1037 27 1061 61
+rect 1115 27 1139 61
+rect 1173 27 1197 61
+rect 1251 27 1275 61
+rect 1309 27 1333 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+rect 979 1049 1003 1083
+rect 1037 1049 1061 1083
+rect 1115 1049 1139 1083
+rect 1173 1049 1197 1083
+rect 1251 1049 1275 1083
+rect 1309 1049 1333 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+rect 1003 27 1037 61
+rect 1139 27 1173 61
+rect 1275 27 1309 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+rect 1003 1049 1037 1083
+rect 1139 1049 1173 1083
+rect 1275 1049 1309 1083
+<< poly >>
+rect 85 965 115 991
+rect 171 965 201 991
+rect 243 965 273 991
+rect 363 965 393 991
+rect 435 965 465 991
+rect 521 965 551 991
+rect 593 965 623 991
+rect 713 965 743 991
+rect 785 965 815 991
+rect 871 965 901 991
+rect 1061 965 1091 991
+rect 1251 965 1281 991
+rect 1337 965 1367 991
+rect 85 534 115 565
+rect 75 518 129 534
+rect 75 484 85 518
+rect 119 484 129 518
+rect 75 468 129 484
+rect 75 322 105 468
+rect 171 425 201 565
+rect 243 534 273 565
+rect 243 518 297 534
+rect 243 484 253 518
+rect 287 484 297 518
+rect 243 468 297 484
+rect 171 409 225 425
+rect 363 423 393 565
+rect 435 528 465 565
+rect 521 528 551 565
+rect 435 518 551 528
+rect 435 484 467 518
+rect 501 484 551 518
+rect 435 474 551 484
+rect 593 423 623 565
+rect 713 534 743 565
+rect 689 518 743 534
+rect 689 484 699 518
+rect 733 484 743 518
+rect 689 468 743 484
+rect 171 375 181 409
+rect 215 375 225 409
+rect 171 359 225 375
+rect 267 393 719 423
+rect 75 292 115 322
+rect 85 263 115 292
+rect 171 263 201 359
+rect 267 315 297 393
+rect 689 351 719 393
+rect 785 419 815 565
+rect 871 534 901 565
+rect 871 518 942 534
+rect 871 504 898 518
+rect 882 484 898 504
+rect 932 484 942 518
+rect 882 468 942 484
+rect 785 403 839 419
+rect 785 369 795 403
+rect 829 369 839 403
+rect 785 353 839 369
+rect 243 285 297 315
+rect 339 335 393 351
+rect 339 301 349 335
+rect 383 301 393 335
+rect 339 285 393 301
+rect 243 263 273 285
+rect 363 263 393 285
+rect 435 335 551 345
+rect 435 301 467 335
+rect 501 301 551 335
+rect 435 291 551 301
+rect 435 263 465 291
+rect 521 263 551 291
+rect 593 335 647 351
+rect 593 301 603 335
+rect 637 301 647 335
+rect 593 285 647 301
+rect 689 335 743 351
+rect 689 301 699 335
+rect 733 301 743 335
+rect 689 285 743 301
+rect 593 263 623 285
+rect 713 263 743 285
+rect 785 263 815 353
+rect 882 315 912 468
+rect 1061 351 1091 565
+rect 1251 549 1281 713
+rect 1241 519 1281 549
+rect 1241 419 1271 519
+rect 1337 460 1367 713
+rect 1216 403 1271 419
+rect 1216 369 1226 403
+rect 1260 369 1271 403
+rect 1313 444 1367 460
+rect 1313 410 1323 444
+rect 1357 410 1367 444
+rect 1313 394 1367 410
+rect 1216 353 1271 369
+rect 871 285 912 315
+rect 1008 335 1091 351
+rect 1008 301 1018 335
+rect 1052 301 1091 335
+rect 1008 285 1091 301
+rect 871 263 901 285
+rect 1061 263 1091 285
+rect 1241 308 1271 353
+rect 1241 278 1281 308
+rect 1251 219 1281 278
+rect 1337 219 1367 394
+rect 85 89 115 115
+rect 171 89 201 115
+rect 243 89 273 115
+rect 363 89 393 115
+rect 435 89 465 115
+rect 521 89 551 115
+rect 593 89 623 115
+rect 713 89 743 115
+rect 785 89 815 115
+rect 871 89 901 115
+rect 1061 89 1091 115
+rect 1251 89 1281 115
+rect 1337 89 1367 115
+<< polycont >>
+rect 85 484 119 518
+rect 253 484 287 518
+rect 467 484 501 518
+rect 699 484 733 518
+rect 181 375 215 409
+rect 898 484 932 518
+rect 795 369 829 403
+rect 349 301 383 335
+rect 467 301 501 335
+rect 603 301 637 335
+rect 699 301 733 335
+rect 1226 369 1260 403
+rect 1323 410 1357 444
+rect 1018 301 1052 335
+<< locali >>
+rect 0 1093 1452 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 1003 1093
+rect 1037 1049 1139 1093
+rect 1173 1049 1275 1093
+rect 1309 1049 1452 1093
+rect 40 949 74 965
+rect 17 605 40 617
+rect 126 949 160 1049
+rect 126 657 160 673
+rect 284 949 352 965
+rect 17 583 74 605
+rect 476 949 510 1049
+rect 476 657 510 673
+rect 634 949 702 965
+rect 352 605 355 623
+rect 284 602 355 605
+rect 634 602 702 673
+rect 17 335 51 583
+rect 108 568 355 602
+rect 535 568 702 602
+rect 826 949 860 1049
+rect 826 589 860 605
+rect 912 949 946 965
+rect 1016 949 1050 1049
+rect 1016 657 1050 673
+rect 1102 949 1136 965
+rect 912 602 946 605
+rect 912 568 1000 602
+rect 108 534 142 568
+rect 85 518 142 534
+rect 119 484 142 518
+rect 85 468 142 484
+rect 17 301 40 335
+rect 17 280 74 301
+rect 108 318 142 468
+rect 253 518 287 534
+rect 253 483 287 484
+rect 467 518 501 534
+rect 287 449 383 483
+rect 181 409 215 425
+rect 181 359 215 375
+rect 349 335 383 449
+rect 467 335 501 484
+rect 108 284 315 318
+rect 349 285 383 301
+rect 467 285 501 301
+rect 535 335 569 568
+rect 699 518 733 534
+rect 699 483 733 484
+rect 40 215 74 280
+rect 281 231 315 284
+rect 535 251 569 301
+rect 603 449 699 483
+rect 898 518 932 534
+rect 898 483 932 484
+rect 603 335 637 449
+rect 966 403 1000 568
+rect 779 369 795 403
+rect 829 369 845 403
+rect 912 369 1000 403
+rect 1102 403 1136 605
+rect 1206 949 1240 965
+rect 1206 557 1240 877
+rect 1292 949 1326 1049
+rect 1292 861 1326 877
+rect 1378 949 1412 965
+rect 1378 631 1412 877
+rect 1411 614 1412 631
+rect 1411 597 1435 614
+rect 1378 580 1435 597
+rect 1206 518 1240 523
+rect 1206 484 1357 518
+rect 1323 444 1357 484
+rect 1102 369 1226 403
+rect 1260 369 1276 403
+rect 912 335 946 369
+rect 683 301 699 335
+rect 733 301 946 335
+rect 1002 301 1018 335
+rect 1052 301 1068 335
+rect 603 285 637 301
+rect 40 115 74 131
+rect 126 215 160 231
+rect 281 215 352 231
+rect 535 217 702 251
+rect 281 197 284 215
+rect 126 61 160 131
+rect 634 215 702 217
+rect 284 115 352 131
+rect 476 165 510 181
+rect 476 61 510 131
+rect 634 115 702 131
+rect 826 215 860 231
+rect 826 61 860 131
+rect 912 215 946 301
+rect 912 115 946 131
+rect 1016 215 1050 231
+rect 1016 61 1050 131
+rect 1102 215 1136 369
+rect 1323 335 1357 410
+rect 1102 115 1136 131
+rect 1206 301 1357 335
+rect 1206 165 1240 301
+rect 1401 268 1435 580
+rect 1378 234 1435 268
+rect 1206 115 1240 131
+rect 1292 165 1326 181
+rect 1292 61 1326 131
+rect 1378 165 1412 234
+rect 1378 115 1412 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 1003 1083 1037 1093
+rect 1003 1059 1037 1083
+rect 1139 1083 1173 1093
+rect 1139 1059 1173 1083
+rect 1275 1083 1309 1093
+rect 1275 1059 1309 1083
+rect 40 301 74 335
+rect 253 449 287 483
+rect 181 375 215 409
+rect 449 301 467 335
+rect 467 301 483 335
+rect 535 301 569 335
+rect 699 449 733 483
+rect 898 449 932 483
+rect 795 369 829 403
+rect 1377 597 1411 631
+rect 1206 523 1240 557
+rect 1226 369 1260 403
+rect 1018 301 1052 335
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
+<< metal1 >>
+rect 0 1093 1452 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 1003 1093
+rect 1037 1059 1139 1093
+rect 1173 1059 1275 1093
+rect 1309 1059 1452 1093
+rect 0 1049 1452 1059
+rect 1365 631 1423 637
+rect 1343 597 1377 631
+rect 1411 597 1423 631
+rect 1365 591 1423 597
+rect 1194 557 1252 563
+rect 1172 523 1206 557
+rect 1240 523 1252 557
+rect 1194 517 1252 523
+rect 241 483 299 489
+rect 687 483 745 489
+rect 886 483 944 489
+rect 241 449 253 483
+rect 287 449 699 483
+rect 733 449 898 483
+rect 932 449 944 483
+rect 241 443 299 449
+rect 687 443 745 449
+rect 886 443 944 449
+rect 169 409 227 415
+rect 169 375 181 409
+rect 215 375 249 409
+rect 783 403 841 409
+rect 1214 403 1272 409
+rect 169 369 227 375
+rect 783 369 795 403
+rect 829 369 1226 403
+rect 1260 369 1272 403
+rect 783 363 841 369
+rect 1214 363 1272 369
+rect 28 335 86 341
+rect 437 335 495 341
+rect 28 301 40 335
+rect 74 301 449 335
+rect 483 301 495 335
+rect 28 295 86 301
+rect 437 295 495 301
+rect 523 335 581 341
+rect 1006 335 1064 341
+rect 523 301 535 335
+rect 569 301 1018 335
+rect 1052 301 1064 335
+rect 523 295 581 301
+rect 1006 295 1064 301
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
+<< labels >>
+rlabel viali 198 392 198 392 1 D
+port 1 n
+rlabel viali 1394 614 1394 614 1 Q
+port 2 n
+rlabel viali 1224 540 1224 540 1 QN
+port 3 n
+rlabel viali 915 466 915 466 1 CK
+port 4 n
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1067 68 1067 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__dffr_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__dffr_1.mag
new file mode 100644
index 0000000..3373539
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__dffr_1.mag
@@ -0,0 +1,808 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3177 2379
+<< nwell >>
+rect -10 529 1917 1119
+<< nmos >>
+rect 80 115 110 263
+rect 270 115 300 219
+rect 356 115 386 219
+rect 546 115 576 263
+rect 618 115 648 263
+rect 738 115 768 263
+rect 810 115 840 263
+rect 896 115 926 263
+rect 968 115 998 263
+rect 1088 115 1118 263
+rect 1160 115 1190 263
+rect 1246 115 1276 263
+rect 1436 115 1466 219
+rect 1522 115 1552 219
+rect 1712 115 1742 263
+rect 1798 115 1828 263
+<< pmos >>
+rect 80 565 110 965
+rect 270 713 300 965
+rect 342 713 372 965
+rect 546 565 576 965
+rect 618 565 648 965
+rect 738 565 768 965
+rect 810 565 840 965
+rect 896 565 926 965
+rect 968 565 998 965
+rect 1088 565 1118 965
+rect 1160 565 1190 965
+rect 1246 565 1276 965
+rect 1436 713 1466 965
+rect 1508 713 1538 965
+rect 1712 565 1742 965
+rect 1798 565 1828 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 165 270 219
+rect 217 131 225 165
+rect 259 131 270 165
+rect 217 115 270 131
+rect 300 165 356 219
+rect 300 131 311 165
+rect 345 131 356 165
+rect 300 115 356 131
+rect 386 165 439 219
+rect 386 131 397 165
+rect 431 131 439 165
+rect 386 115 439 131
+rect 493 215 546 263
+rect 493 131 501 215
+rect 535 131 546 215
+rect 493 115 546 131
+rect 576 115 618 263
+rect 648 215 738 263
+rect 648 131 659 215
+rect 727 131 738 215
+rect 648 115 738 131
+rect 768 115 810 263
+rect 840 165 896 263
+rect 840 131 851 165
+rect 885 131 896 165
+rect 840 115 896 131
+rect 926 115 968 263
+rect 998 215 1088 263
+rect 998 131 1009 215
+rect 1077 131 1088 215
+rect 998 115 1088 131
+rect 1118 115 1160 263
+rect 1190 215 1246 263
+rect 1190 131 1201 215
+rect 1235 131 1246 215
+rect 1190 115 1246 131
+rect 1276 215 1329 263
+rect 1276 131 1287 215
+rect 1321 131 1329 215
+rect 1276 115 1329 131
+rect 1383 165 1436 219
+rect 1383 131 1391 165
+rect 1425 131 1436 165
+rect 1383 115 1436 131
+rect 1466 165 1522 219
+rect 1466 131 1477 165
+rect 1511 131 1522 165
+rect 1466 115 1522 131
+rect 1552 165 1605 219
+rect 1552 131 1563 165
+rect 1597 131 1605 165
+rect 1552 115 1605 131
+rect 1659 165 1712 263
+rect 1659 131 1667 165
+rect 1701 131 1712 165
+rect 1659 115 1712 131
+rect 1742 165 1798 263
+rect 1742 131 1753 165
+rect 1787 131 1798 165
+rect 1742 115 1798 131
+rect 1828 165 1881 263
+rect 1828 131 1839 165
+rect 1873 131 1881 165
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 217 949 270 965
+rect 217 809 225 949
+rect 259 809 270 949
+rect 217 713 270 809
+rect 300 713 342 965
+rect 372 949 425 965
+rect 372 809 383 949
+rect 417 809 425 949
+rect 372 713 425 809
+rect 493 949 546 965
+rect 110 565 163 605
+rect 493 673 501 949
+rect 535 673 546 949
+rect 493 565 546 673
+rect 576 565 618 965
+rect 648 949 738 965
+rect 648 605 659 949
+rect 727 605 738 949
+rect 648 565 738 605
+rect 768 565 810 965
+rect 840 949 896 965
+rect 840 673 851 949
+rect 885 673 896 949
+rect 840 565 896 673
+rect 926 565 968 965
+rect 998 949 1088 965
+rect 998 673 1009 949
+rect 1077 673 1088 949
+rect 998 565 1088 673
+rect 1118 565 1160 965
+rect 1190 949 1246 965
+rect 1190 605 1201 949
+rect 1235 605 1246 949
+rect 1190 565 1246 605
+rect 1276 949 1329 965
+rect 1276 605 1287 949
+rect 1321 605 1329 949
+rect 1383 949 1436 965
+rect 1383 809 1391 949
+rect 1425 809 1436 949
+rect 1383 713 1436 809
+rect 1466 713 1508 965
+rect 1538 949 1591 965
+rect 1538 809 1549 949
+rect 1583 809 1591 949
+rect 1538 713 1591 809
+rect 1659 949 1712 965
+rect 1659 809 1667 949
+rect 1701 809 1712 949
+rect 1276 565 1329 605
+rect 1659 565 1712 809
+rect 1742 949 1798 965
+rect 1742 809 1753 949
+rect 1787 809 1798 949
+rect 1742 565 1798 809
+rect 1828 949 1881 965
+rect 1828 809 1839 949
+rect 1873 809 1881 949
+rect 1828 565 1881 809
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 165
+rect 311 131 345 165
+rect 397 131 431 165
+rect 501 131 535 215
+rect 659 131 727 215
+rect 851 131 885 165
+rect 1009 131 1077 215
+rect 1201 131 1235 215
+rect 1287 131 1321 215
+rect 1391 131 1425 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1667 131 1701 165
+rect 1753 131 1787 165
+rect 1839 131 1873 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 809 259 949
+rect 383 809 417 949
+rect 501 673 535 949
+rect 659 605 727 949
+rect 851 673 885 949
+rect 1009 673 1077 949
+rect 1201 605 1235 949
+rect 1287 605 1321 949
+rect 1391 809 1425 949
+rect 1549 809 1583 949
+rect 1667 809 1701 949
+rect 1753 809 1787 949
+rect 1839 809 1873 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 342 965 372 991
+rect 546 965 576 991
+rect 618 965 648 991
+rect 738 965 768 991
+rect 810 965 840 991
+rect 896 965 926 991
+rect 968 965 998 991
+rect 1088 965 1118 991
+rect 1160 965 1190 991
+rect 1246 965 1276 991
+rect 1436 965 1466 991
+rect 1508 965 1538 991
+rect 1712 965 1742 991
+rect 1798 965 1828 991
+rect 80 442 110 565
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 270 307 300 713
+rect 342 532 372 713
+rect 342 516 415 532
+rect 342 482 371 516
+rect 405 482 415 516
+rect 342 466 415 482
+rect 219 291 300 307
+rect 219 257 229 291
+rect 263 257 300 291
+rect 219 241 300 257
+rect 270 219 300 241
+rect 356 219 386 466
+rect 546 425 576 565
+rect 618 534 648 565
+rect 618 518 672 534
+rect 618 484 628 518
+rect 662 484 672 518
+rect 618 468 672 484
+rect 546 409 600 425
+rect 738 423 768 565
+rect 810 528 840 565
+rect 896 528 926 565
+rect 810 518 926 528
+rect 810 484 842 518
+rect 876 484 926 518
+rect 810 474 926 484
+rect 968 423 998 565
+rect 1088 534 1118 565
+rect 1064 518 1118 534
+rect 1064 484 1074 518
+rect 1108 484 1118 518
+rect 1064 468 1118 484
+rect 546 375 556 409
+rect 590 375 600 409
+rect 546 359 600 375
+rect 642 393 1094 423
+rect 546 263 576 359
+rect 642 315 672 393
+rect 1064 351 1094 393
+rect 1160 419 1190 565
+rect 1246 534 1276 565
+rect 1246 518 1317 534
+rect 1246 504 1273 518
+rect 1257 484 1273 504
+rect 1307 484 1317 518
+rect 1257 468 1317 484
+rect 1160 403 1214 419
+rect 1160 369 1170 403
+rect 1204 369 1214 403
+rect 1160 353 1214 369
+rect 618 285 672 315
+rect 714 335 768 351
+rect 714 301 724 335
+rect 758 301 768 335
+rect 714 285 768 301
+rect 618 263 648 285
+rect 738 263 768 285
+rect 810 335 926 345
+rect 810 301 842 335
+rect 876 301 926 335
+rect 810 291 926 301
+rect 810 263 840 291
+rect 896 263 926 291
+rect 968 335 1022 351
+rect 968 301 978 335
+rect 1012 301 1022 335
+rect 968 285 1022 301
+rect 1064 335 1118 351
+rect 1064 301 1074 335
+rect 1108 301 1118 335
+rect 1064 285 1118 301
+rect 968 263 998 285
+rect 1088 263 1118 285
+rect 1160 263 1190 353
+rect 1257 315 1287 468
+rect 1436 351 1466 713
+rect 1246 285 1287 315
+rect 1399 335 1466 351
+rect 1399 301 1409 335
+rect 1443 301 1466 335
+rect 1399 285 1466 301
+rect 1246 263 1276 285
+rect 1423 284 1466 285
+rect 1436 219 1466 284
+rect 1508 307 1538 713
+rect 1712 549 1742 565
+rect 1702 519 1742 549
+rect 1702 419 1732 519
+rect 1798 460 1828 565
+rect 1677 403 1732 419
+rect 1677 369 1687 403
+rect 1721 369 1732 403
+rect 1774 444 1828 460
+rect 1774 410 1784 444
+rect 1818 410 1828 444
+rect 1774 394 1828 410
+rect 1677 353 1732 369
+rect 1702 308 1732 353
+rect 1508 291 1589 307
+rect 1508 257 1545 291
+rect 1579 257 1589 291
+rect 1702 278 1742 308
+rect 1712 263 1742 278
+rect 1798 263 1828 394
+rect 1508 241 1589 257
+rect 1522 219 1552 241
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 392 123 426
+rect 371 482 405 516
+rect 229 257 263 291
+rect 628 484 662 518
+rect 842 484 876 518
+rect 1074 484 1108 518
+rect 556 375 590 409
+rect 1273 484 1307 518
+rect 1170 369 1204 403
+rect 724 301 758 335
+rect 842 301 876 335
+rect 978 301 1012 335
+rect 1074 301 1108 335
+rect 1409 301 1443 335
+rect 1687 369 1721 403
+rect 1784 410 1818 444
+rect 1545 257 1579 291
+<< locali >>
+rect 0 1093 1914 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1914 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 375 259 809
+rect 383 949 417 1049
+rect 383 793 417 809
+rect 501 949 535 1049
+rect 501 657 535 673
+rect 659 949 727 965
+rect 851 949 885 1049
+rect 851 657 885 673
+rect 1009 949 1077 965
+rect 659 602 727 605
+rect 1009 602 1077 673
+rect 405 568 727 602
+rect 910 568 1077 602
+rect 1201 949 1235 1049
+rect 1201 589 1235 605
+rect 1287 949 1321 965
+rect 1391 949 1425 965
+rect 1391 721 1425 809
+rect 1549 949 1583 1049
+rect 1549 793 1583 809
+rect 1667 949 1701 965
+rect 1391 687 1511 721
+rect 1287 602 1321 605
+rect 1287 568 1377 602
+rect 405 532 439 568
+rect 371 516 439 532
+rect 405 482 439 516
+rect 371 466 439 482
+rect 225 341 345 375
+rect 311 335 345 341
+rect 229 291 263 307
+rect 121 257 229 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 121 115 155 131
+rect 225 165 259 181
+rect 225 61 259 131
+rect 311 165 345 301
+rect 405 318 439 466
+rect 628 518 662 534
+rect 628 483 662 484
+rect 842 518 876 534
+rect 662 449 758 483
+rect 556 409 590 425
+rect 556 359 590 375
+rect 724 335 758 449
+rect 842 335 876 484
+rect 405 284 690 318
+rect 724 285 758 301
+rect 842 285 876 301
+rect 910 335 944 568
+rect 1074 518 1108 534
+rect 1074 483 1108 484
+rect 656 231 690 284
+rect 910 251 944 301
+rect 978 449 1074 483
+rect 1273 518 1307 534
+rect 1273 483 1307 484
+rect 978 335 1012 449
+rect 1341 403 1377 568
+rect 1154 369 1170 403
+rect 1204 369 1220 403
+rect 1287 369 1377 403
+rect 1477 403 1511 687
+rect 1667 557 1701 809
+rect 1753 949 1787 1049
+rect 1753 793 1787 809
+rect 1839 949 1873 965
+rect 1839 631 1873 809
+rect 1872 614 1873 631
+rect 1872 597 1896 614
+rect 1839 580 1896 597
+rect 1667 518 1701 523
+rect 1667 484 1818 518
+rect 1784 444 1818 484
+rect 1477 369 1687 403
+rect 1721 369 1737 403
+rect 1287 335 1321 369
+rect 1058 301 1074 335
+rect 1108 301 1321 335
+rect 978 285 1012 301
+rect 501 215 535 231
+rect 311 115 345 131
+rect 397 165 431 181
+rect 397 61 431 131
+rect 656 215 727 231
+rect 910 217 1077 251
+rect 656 197 659 215
+rect 501 61 535 131
+rect 1009 215 1077 217
+rect 659 115 727 131
+rect 851 165 885 181
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 215 1235 231
+rect 1201 61 1235 131
+rect 1287 215 1321 301
+rect 1409 335 1443 351
+rect 1409 285 1443 301
+rect 1287 115 1321 131
+rect 1391 165 1425 181
+rect 1391 61 1425 131
+rect 1477 165 1511 369
+rect 1784 335 1818 410
+rect 1545 291 1579 307
+rect 1667 301 1818 335
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1667 165 1701 301
+rect 1862 268 1896 580
+rect 1839 234 1896 268
+rect 1667 115 1701 131
+rect 1753 165 1787 181
+rect 1753 61 1787 131
+rect 1839 165 1873 234
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 47 597 81 631
+rect 229 257 263 261
+rect 229 227 263 257
+rect 311 301 345 335
+rect 628 449 662 483
+rect 556 375 590 409
+rect 824 301 842 335
+rect 842 301 858 335
+rect 910 301 944 335
+rect 1074 449 1108 483
+rect 1273 449 1307 483
+rect 1170 369 1204 403
+rect 1838 597 1872 631
+rect 1667 523 1701 557
+rect 1687 369 1721 403
+rect 1409 301 1443 335
+rect 1545 257 1579 261
+rect 1545 227 1579 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1093 1914 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1914 1093
+rect 0 1049 1914 1059
+rect 35 631 93 637
+rect 1826 631 1884 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1804 597 1838 631
+rect 1872 597 1884 631
+rect 35 591 93 597
+rect 1826 591 1884 597
+rect 1655 557 1713 563
+rect 1632 523 1667 557
+rect 1701 523 1713 557
+rect 1655 517 1713 523
+rect 616 483 674 489
+rect 1062 483 1120 489
+rect 1261 483 1319 489
+rect 616 449 628 483
+rect 662 449 1074 483
+rect 1108 449 1273 483
+rect 1307 449 1319 483
+rect 616 443 674 449
+rect 1062 443 1120 449
+rect 1261 443 1319 449
+rect 544 409 602 415
+rect 544 375 556 409
+rect 590 375 624 409
+rect 1158 403 1216 409
+rect 1675 403 1733 409
+rect 544 369 602 375
+rect 1158 369 1170 403
+rect 1204 369 1687 403
+rect 1721 369 1733 403
+rect 1158 363 1216 369
+rect 1675 363 1733 369
+rect 299 335 357 341
+rect 812 335 870 341
+rect 299 301 311 335
+rect 345 301 824 335
+rect 858 301 870 335
+rect 299 295 357 301
+rect 812 295 870 301
+rect 898 335 956 341
+rect 1397 335 1455 341
+rect 898 301 910 335
+rect 944 301 1409 335
+rect 1443 301 1455 335
+rect 898 295 956 301
+rect 1397 295 1455 301
+rect 217 261 275 267
+rect 1533 261 1591 267
+rect 217 227 229 261
+rect 263 227 1545 261
+rect 1579 227 1591 261
+rect 217 221 275 227
+rect 1533 221 1591 227
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel viali 65 614 65 614 1 RN
+port 1 n
+rlabel viali 573 392 573 392 1 D
+port 2 n
+rlabel viali 1290 466 1290 466 1 CK
+port 3 n
+rlabel viali 1855 614 1855 614 1 Q
+port 4 n
+rlabel viali 1685 540 1685 540 1 QN
+port 5 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__dffr_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__dffr_l.mag
new file mode 100644
index 0000000..340ca41
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__dffr_l.mag
@@ -0,0 +1,808 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3177 2379
+<< nwell >>
+rect -10 529 1917 1119
+<< nmos >>
+rect 80 115 110 263
+rect 270 115 300 219
+rect 356 115 386 219
+rect 546 115 576 263
+rect 618 115 648 263
+rect 738 115 768 263
+rect 810 115 840 263
+rect 896 115 926 263
+rect 968 115 998 263
+rect 1088 115 1118 263
+rect 1160 115 1190 263
+rect 1246 115 1276 263
+rect 1436 115 1466 219
+rect 1522 115 1552 219
+rect 1712 115 1742 219
+rect 1798 115 1828 219
+<< pmos >>
+rect 80 565 110 965
+rect 270 713 300 965
+rect 342 713 372 965
+rect 546 565 576 965
+rect 618 565 648 965
+rect 738 565 768 965
+rect 810 565 840 965
+rect 896 565 926 965
+rect 968 565 998 965
+rect 1088 565 1118 965
+rect 1160 565 1190 965
+rect 1246 565 1276 965
+rect 1436 713 1466 965
+rect 1508 713 1538 965
+rect 1712 713 1742 965
+rect 1798 713 1828 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 165 270 219
+rect 217 131 225 165
+rect 259 131 270 165
+rect 217 115 270 131
+rect 300 165 356 219
+rect 300 131 311 165
+rect 345 131 356 165
+rect 300 115 356 131
+rect 386 165 439 219
+rect 386 131 397 165
+rect 431 131 439 165
+rect 386 115 439 131
+rect 493 215 546 263
+rect 493 131 501 215
+rect 535 131 546 215
+rect 493 115 546 131
+rect 576 115 618 263
+rect 648 215 738 263
+rect 648 131 659 215
+rect 727 131 738 215
+rect 648 115 738 131
+rect 768 115 810 263
+rect 840 165 896 263
+rect 840 131 851 165
+rect 885 131 896 165
+rect 840 115 896 131
+rect 926 115 968 263
+rect 998 215 1088 263
+rect 998 131 1009 215
+rect 1077 131 1088 215
+rect 998 115 1088 131
+rect 1118 115 1160 263
+rect 1190 215 1246 263
+rect 1190 131 1201 215
+rect 1235 131 1246 215
+rect 1190 115 1246 131
+rect 1276 215 1329 263
+rect 1276 131 1287 215
+rect 1321 131 1329 215
+rect 1276 115 1329 131
+rect 1383 165 1436 219
+rect 1383 131 1391 165
+rect 1425 131 1436 165
+rect 1383 115 1436 131
+rect 1466 165 1522 219
+rect 1466 131 1477 165
+rect 1511 131 1522 165
+rect 1466 115 1522 131
+rect 1552 165 1605 219
+rect 1552 131 1563 165
+rect 1597 131 1605 165
+rect 1552 115 1605 131
+rect 1659 165 1712 219
+rect 1659 131 1667 165
+rect 1701 131 1712 165
+rect 1659 115 1712 131
+rect 1742 165 1798 219
+rect 1742 131 1753 165
+rect 1787 131 1798 165
+rect 1742 115 1798 131
+rect 1828 165 1881 219
+rect 1828 131 1839 165
+rect 1873 131 1881 165
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 217 949 270 965
+rect 217 809 225 949
+rect 259 809 270 949
+rect 217 713 270 809
+rect 300 713 342 965
+rect 372 949 425 965
+rect 372 809 383 949
+rect 417 809 425 949
+rect 372 713 425 809
+rect 493 949 546 965
+rect 110 565 163 605
+rect 493 673 501 949
+rect 535 673 546 949
+rect 493 565 546 673
+rect 576 565 618 965
+rect 648 949 738 965
+rect 648 605 659 949
+rect 727 605 738 949
+rect 648 565 738 605
+rect 768 565 810 965
+rect 840 949 896 965
+rect 840 673 851 949
+rect 885 673 896 949
+rect 840 565 896 673
+rect 926 565 968 965
+rect 998 949 1088 965
+rect 998 673 1009 949
+rect 1077 673 1088 949
+rect 998 565 1088 673
+rect 1118 565 1160 965
+rect 1190 949 1246 965
+rect 1190 605 1201 949
+rect 1235 605 1246 949
+rect 1190 565 1246 605
+rect 1276 949 1329 965
+rect 1276 605 1287 949
+rect 1321 605 1329 949
+rect 1383 949 1436 965
+rect 1383 809 1391 949
+rect 1425 809 1436 949
+rect 1383 713 1436 809
+rect 1466 713 1508 965
+rect 1538 949 1591 965
+rect 1538 809 1549 949
+rect 1583 809 1591 949
+rect 1538 713 1591 809
+rect 1659 949 1712 965
+rect 1659 809 1667 949
+rect 1701 809 1712 949
+rect 1659 713 1712 809
+rect 1742 949 1798 965
+rect 1742 809 1753 949
+rect 1787 809 1798 949
+rect 1742 713 1798 809
+rect 1828 949 1881 965
+rect 1828 809 1839 949
+rect 1873 809 1881 949
+rect 1828 713 1881 809
+rect 1276 565 1329 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 165
+rect 311 131 345 165
+rect 397 131 431 165
+rect 501 131 535 215
+rect 659 131 727 215
+rect 851 131 885 165
+rect 1009 131 1077 215
+rect 1201 131 1235 215
+rect 1287 131 1321 215
+rect 1391 131 1425 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1667 131 1701 165
+rect 1753 131 1787 165
+rect 1839 131 1873 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 809 259 949
+rect 383 809 417 949
+rect 501 673 535 949
+rect 659 605 727 949
+rect 851 673 885 949
+rect 1009 673 1077 949
+rect 1201 605 1235 949
+rect 1287 605 1321 949
+rect 1391 809 1425 949
+rect 1549 809 1583 949
+rect 1667 809 1701 949
+rect 1753 809 1787 949
+rect 1839 809 1873 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 342 965 372 991
+rect 546 965 576 991
+rect 618 965 648 991
+rect 738 965 768 991
+rect 810 965 840 991
+rect 896 965 926 991
+rect 968 965 998 991
+rect 1088 965 1118 991
+rect 1160 965 1190 991
+rect 1246 965 1276 991
+rect 1436 965 1466 991
+rect 1508 965 1538 991
+rect 1712 965 1742 991
+rect 1798 965 1828 991
+rect 80 442 110 565
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 270 307 300 713
+rect 342 532 372 713
+rect 342 516 415 532
+rect 342 482 371 516
+rect 405 482 415 516
+rect 342 466 415 482
+rect 219 291 300 307
+rect 219 257 229 291
+rect 263 257 300 291
+rect 219 241 300 257
+rect 270 219 300 241
+rect 356 219 386 466
+rect 546 425 576 565
+rect 618 534 648 565
+rect 618 518 672 534
+rect 618 484 628 518
+rect 662 484 672 518
+rect 618 468 672 484
+rect 546 409 600 425
+rect 738 423 768 565
+rect 810 528 840 565
+rect 896 528 926 565
+rect 810 518 926 528
+rect 810 484 842 518
+rect 876 484 926 518
+rect 810 474 926 484
+rect 968 423 998 565
+rect 1088 534 1118 565
+rect 1064 518 1118 534
+rect 1064 484 1074 518
+rect 1108 484 1118 518
+rect 1064 468 1118 484
+rect 546 375 556 409
+rect 590 375 600 409
+rect 546 359 600 375
+rect 642 393 1094 423
+rect 546 263 576 359
+rect 642 315 672 393
+rect 1064 351 1094 393
+rect 1160 419 1190 565
+rect 1246 534 1276 565
+rect 1246 518 1317 534
+rect 1246 504 1273 518
+rect 1257 484 1273 504
+rect 1307 484 1317 518
+rect 1257 468 1317 484
+rect 1160 403 1214 419
+rect 1160 369 1170 403
+rect 1204 369 1214 403
+rect 1160 353 1214 369
+rect 618 285 672 315
+rect 714 335 768 351
+rect 714 301 724 335
+rect 758 301 768 335
+rect 714 285 768 301
+rect 618 263 648 285
+rect 738 263 768 285
+rect 810 335 926 345
+rect 810 301 842 335
+rect 876 301 926 335
+rect 810 291 926 301
+rect 810 263 840 291
+rect 896 263 926 291
+rect 968 335 1022 351
+rect 968 301 978 335
+rect 1012 301 1022 335
+rect 968 285 1022 301
+rect 1064 335 1118 351
+rect 1064 301 1074 335
+rect 1108 301 1118 335
+rect 1064 285 1118 301
+rect 968 263 998 285
+rect 1088 263 1118 285
+rect 1160 263 1190 353
+rect 1257 315 1287 468
+rect 1436 351 1466 713
+rect 1246 285 1287 315
+rect 1399 335 1466 351
+rect 1399 301 1409 335
+rect 1443 301 1466 335
+rect 1399 285 1466 301
+rect 1246 263 1276 285
+rect 1423 284 1466 285
+rect 1436 219 1466 284
+rect 1508 307 1538 713
+rect 1712 549 1742 713
+rect 1702 519 1742 549
+rect 1702 419 1732 519
+rect 1798 460 1828 713
+rect 1677 403 1732 419
+rect 1677 369 1687 403
+rect 1721 369 1732 403
+rect 1774 444 1828 460
+rect 1774 410 1784 444
+rect 1818 410 1828 444
+rect 1774 394 1828 410
+rect 1677 353 1732 369
+rect 1702 308 1732 353
+rect 1508 291 1589 307
+rect 1508 257 1545 291
+rect 1579 257 1589 291
+rect 1702 278 1742 308
+rect 1508 241 1589 257
+rect 1522 219 1552 241
+rect 1712 219 1742 278
+rect 1798 219 1828 394
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 392 123 426
+rect 371 482 405 516
+rect 229 257 263 291
+rect 628 484 662 518
+rect 842 484 876 518
+rect 1074 484 1108 518
+rect 556 375 590 409
+rect 1273 484 1307 518
+rect 1170 369 1204 403
+rect 724 301 758 335
+rect 842 301 876 335
+rect 978 301 1012 335
+rect 1074 301 1108 335
+rect 1409 301 1443 335
+rect 1687 369 1721 403
+rect 1784 410 1818 444
+rect 1545 257 1579 291
+<< locali >>
+rect 0 1093 1914 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1914 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 377 259 809
+rect 383 949 417 1049
+rect 383 793 417 809
+rect 501 949 535 1049
+rect 501 657 535 673
+rect 659 949 727 965
+rect 851 949 885 1049
+rect 851 657 885 673
+rect 1009 949 1077 965
+rect 659 602 727 605
+rect 1009 602 1077 673
+rect 405 568 727 602
+rect 910 568 1077 602
+rect 1201 949 1235 1049
+rect 1201 589 1235 605
+rect 1287 949 1321 965
+rect 1391 949 1425 965
+rect 1391 721 1425 809
+rect 1549 949 1583 1049
+rect 1549 793 1583 809
+rect 1667 949 1701 965
+rect 1391 687 1511 721
+rect 1287 602 1321 605
+rect 1287 568 1377 602
+rect 405 532 439 568
+rect 371 516 439 532
+rect 405 482 439 516
+rect 371 466 439 482
+rect 225 343 345 377
+rect 311 335 345 343
+rect 229 291 263 307
+rect 121 257 229 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 121 115 155 131
+rect 225 165 259 181
+rect 225 61 259 131
+rect 311 165 345 301
+rect 405 318 439 466
+rect 628 518 662 534
+rect 628 483 662 484
+rect 842 518 876 534
+rect 662 449 758 483
+rect 556 409 590 425
+rect 556 359 590 375
+rect 724 335 758 449
+rect 842 335 876 484
+rect 405 284 690 318
+rect 724 285 758 301
+rect 842 285 876 301
+rect 910 335 944 568
+rect 1074 518 1108 534
+rect 1074 483 1108 484
+rect 656 231 690 284
+rect 910 251 944 301
+rect 978 449 1074 483
+rect 1273 518 1307 534
+rect 1273 483 1307 484
+rect 978 335 1012 449
+rect 1341 403 1377 568
+rect 1154 369 1170 403
+rect 1204 369 1220 403
+rect 1287 369 1377 403
+rect 1477 403 1511 687
+rect 1667 557 1701 809
+rect 1753 949 1787 1049
+rect 1753 793 1787 809
+rect 1839 949 1873 965
+rect 1839 631 1873 809
+rect 1872 614 1873 631
+rect 1872 597 1896 614
+rect 1839 580 1896 597
+rect 1667 518 1701 523
+rect 1667 484 1818 518
+rect 1784 444 1818 484
+rect 1477 369 1687 403
+rect 1721 369 1737 403
+rect 1287 335 1321 369
+rect 1058 301 1074 335
+rect 1108 301 1321 335
+rect 978 285 1012 301
+rect 501 215 535 231
+rect 311 115 345 131
+rect 397 165 431 181
+rect 397 61 431 131
+rect 656 215 727 231
+rect 910 217 1077 251
+rect 656 197 659 215
+rect 501 61 535 131
+rect 1009 215 1077 217
+rect 659 115 727 131
+rect 851 165 885 181
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 215 1235 231
+rect 1201 61 1235 131
+rect 1287 215 1321 301
+rect 1409 335 1443 351
+rect 1409 285 1443 301
+rect 1287 115 1321 131
+rect 1391 165 1425 181
+rect 1391 61 1425 131
+rect 1477 165 1511 369
+rect 1784 335 1818 410
+rect 1545 291 1579 307
+rect 1667 301 1818 335
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1667 165 1701 301
+rect 1862 268 1896 580
+rect 1839 234 1896 268
+rect 1667 115 1701 131
+rect 1753 165 1787 181
+rect 1753 61 1787 131
+rect 1839 165 1873 234
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 47 597 81 631
+rect 229 257 263 261
+rect 229 227 263 257
+rect 311 301 345 335
+rect 628 449 662 483
+rect 556 375 590 409
+rect 824 301 842 335
+rect 842 301 858 335
+rect 910 301 944 335
+rect 1074 449 1108 483
+rect 1273 449 1307 483
+rect 1170 369 1204 403
+rect 1838 597 1872 631
+rect 1667 523 1701 557
+rect 1687 369 1721 403
+rect 1409 301 1443 335
+rect 1545 257 1579 261
+rect 1545 227 1579 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1093 1914 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1914 1093
+rect 0 1049 1914 1059
+rect 35 631 93 637
+rect 1826 631 1884 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1804 597 1838 631
+rect 1872 597 1884 631
+rect 35 591 93 597
+rect 1826 591 1884 597
+rect 1655 557 1713 563
+rect 1632 523 1667 557
+rect 1701 523 1713 557
+rect 1655 517 1713 523
+rect 616 483 674 489
+rect 1062 483 1120 489
+rect 1261 483 1319 489
+rect 616 449 628 483
+rect 662 449 1074 483
+rect 1108 449 1273 483
+rect 1307 449 1319 483
+rect 616 443 674 449
+rect 1062 443 1120 449
+rect 1261 443 1319 449
+rect 544 409 602 415
+rect 544 375 556 409
+rect 590 375 624 409
+rect 1158 403 1216 409
+rect 1675 403 1733 409
+rect 544 369 602 375
+rect 1158 369 1170 403
+rect 1204 369 1687 403
+rect 1721 369 1733 403
+rect 1158 363 1216 369
+rect 1675 363 1733 369
+rect 299 335 357 341
+rect 812 335 870 341
+rect 299 301 311 335
+rect 345 301 824 335
+rect 858 301 870 335
+rect 299 295 357 301
+rect 812 295 870 301
+rect 898 335 956 341
+rect 1397 335 1455 341
+rect 898 301 910 335
+rect 944 301 1409 335
+rect 1443 301 1455 335
+rect 898 295 956 301
+rect 1397 295 1455 301
+rect 217 261 275 267
+rect 1533 261 1591 267
+rect 217 227 229 261
+rect 263 227 1545 261
+rect 1579 227 1591 261
+rect 217 221 275 227
+rect 1533 221 1591 227
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel viali 65 614 65 614 1 RN
+port 1 n
+rlabel viali 573 392 573 392 1 D
+port 2 n
+rlabel viali 1290 466 1290 466 1 CK
+port 3 n
+rlabel viali 1855 614 1855 614 1 Q
+port 4 n
+rlabel viali 1685 540 1685 540 1 QN
+port 5 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__dffs_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__dffs_1.mag
new file mode 100644
index 0000000..2de83db
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__dffs_1.mag
@@ -0,0 +1,723 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007752
+<< checkpaint >>
+rect -1270 -242 3001 2379
+<< nwell >>
+rect -10 529 1741 1119
+<< nmos >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 356 115 386 263
+rect 428 115 458 263
+rect 548 115 578 263
+rect 620 115 650 263
+rect 706 115 736 263
+rect 778 115 808 263
+rect 898 115 928 263
+rect 970 115 1000 263
+rect 1056 115 1086 263
+rect 1246 115 1276 219
+rect 1318 115 1348 219
+rect 1522 115 1552 263
+rect 1608 115 1638 263
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 356 565 386 965
+rect 428 565 458 965
+rect 548 565 578 965
+rect 620 565 650 965
+rect 706 565 736 965
+rect 778 565 808 965
+rect 898 565 928 965
+rect 970 565 1000 965
+rect 1056 565 1086 965
+rect 1246 713 1276 965
+rect 1332 713 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+rect 303 215 356 263
+rect 303 131 311 215
+rect 345 131 356 215
+rect 303 115 356 131
+rect 386 115 428 263
+rect 458 215 548 263
+rect 458 131 469 215
+rect 537 131 548 215
+rect 458 115 548 131
+rect 578 115 620 263
+rect 650 165 706 263
+rect 650 131 661 165
+rect 695 131 706 165
+rect 650 115 706 131
+rect 736 115 778 263
+rect 808 215 898 263
+rect 808 131 819 215
+rect 887 131 898 215
+rect 808 115 898 131
+rect 928 115 970 263
+rect 1000 215 1056 263
+rect 1000 131 1011 215
+rect 1045 131 1056 215
+rect 1000 115 1056 131
+rect 1086 215 1139 263
+rect 1086 131 1097 215
+rect 1131 131 1139 215
+rect 1086 115 1139 131
+rect 1193 165 1246 219
+rect 1193 131 1201 165
+rect 1235 131 1246 165
+rect 1193 115 1246 131
+rect 1276 115 1318 219
+rect 1348 165 1401 219
+rect 1348 131 1359 165
+rect 1393 131 1401 165
+rect 1348 115 1401 131
+rect 1469 165 1522 263
+rect 1469 131 1477 165
+rect 1511 131 1522 165
+rect 1469 115 1522 131
+rect 1552 165 1608 263
+rect 1552 131 1563 165
+rect 1597 131 1608 165
+rect 1552 115 1608 131
+rect 1638 165 1691 263
+rect 1638 131 1649 165
+rect 1683 131 1691 165
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+rect 303 949 356 965
+rect 303 673 311 949
+rect 345 673 356 949
+rect 303 565 356 673
+rect 386 565 428 965
+rect 458 949 548 965
+rect 458 605 469 949
+rect 537 605 548 949
+rect 458 565 548 605
+rect 578 565 620 965
+rect 650 949 706 965
+rect 650 673 661 949
+rect 695 673 706 949
+rect 650 565 706 673
+rect 736 565 778 965
+rect 808 949 898 965
+rect 808 673 819 949
+rect 887 673 898 949
+rect 808 565 898 673
+rect 928 565 970 965
+rect 1000 949 1056 965
+rect 1000 605 1011 949
+rect 1045 605 1056 949
+rect 1000 565 1056 605
+rect 1086 949 1139 965
+rect 1086 605 1097 949
+rect 1131 605 1139 949
+rect 1193 949 1246 965
+rect 1193 877 1201 949
+rect 1235 877 1246 949
+rect 1193 713 1246 877
+rect 1276 949 1332 965
+rect 1276 877 1287 949
+rect 1321 877 1332 949
+rect 1276 713 1332 877
+rect 1362 949 1415 965
+rect 1362 877 1373 949
+rect 1407 877 1415 949
+rect 1362 713 1415 877
+rect 1469 949 1522 965
+rect 1469 809 1477 949
+rect 1511 809 1522 949
+rect 1086 565 1139 605
+rect 1469 565 1522 809
+rect 1552 949 1608 965
+rect 1552 809 1563 949
+rect 1597 809 1608 949
+rect 1552 565 1608 809
+rect 1638 949 1691 965
+rect 1638 809 1649 949
+rect 1683 809 1691 949
+rect 1638 565 1691 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+rect 311 131 345 215
+rect 469 131 537 215
+rect 661 131 695 165
+rect 819 131 887 215
+rect 1011 131 1045 215
+rect 1097 131 1131 215
+rect 1201 131 1235 165
+rect 1359 131 1393 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1649 131 1683 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+rect 311 673 345 949
+rect 469 605 537 949
+rect 661 673 695 949
+rect 819 673 887 949
+rect 1011 605 1045 949
+rect 1097 605 1131 949
+rect 1201 877 1235 949
+rect 1287 877 1321 949
+rect 1373 877 1407 949
+rect 1477 809 1511 949
+rect 1563 809 1597 949
+rect 1649 809 1683 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 356 965 386 991
+rect 428 965 458 991
+rect 548 965 578 991
+rect 620 965 650 991
+rect 706 965 736 991
+rect 778 965 808 991
+rect 898 965 928 991
+rect 970 965 1000 991
+rect 1056 965 1086 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 80 351 110 713
+rect 166 442 196 713
+rect 37 335 110 351
+rect 37 301 47 335
+rect 81 301 110 335
+rect 37 285 110 301
+rect 80 219 110 285
+rect 152 426 233 442
+rect 152 392 189 426
+rect 223 392 233 426
+rect 152 376 233 392
+rect 356 425 386 565
+rect 428 534 458 565
+rect 428 518 482 534
+rect 428 484 438 518
+rect 472 484 482 518
+rect 428 468 482 484
+rect 356 409 410 425
+rect 548 423 578 565
+rect 620 528 650 565
+rect 706 528 736 565
+rect 620 518 736 528
+rect 620 484 652 518
+rect 686 484 736 518
+rect 620 474 736 484
+rect 778 423 808 565
+rect 898 534 928 565
+rect 874 518 928 534
+rect 874 484 884 518
+rect 918 484 928 518
+rect 874 468 928 484
+rect 152 219 182 376
+rect 356 375 366 409
+rect 400 375 410 409
+rect 356 359 410 375
+rect 452 393 904 423
+rect 356 263 386 359
+rect 452 315 482 393
+rect 874 351 904 393
+rect 970 419 1000 565
+rect 1056 534 1086 565
+rect 1056 518 1127 534
+rect 1056 504 1083 518
+rect 1067 484 1083 504
+rect 1117 484 1127 518
+rect 1067 468 1127 484
+rect 970 403 1024 419
+rect 970 369 980 403
+rect 1014 369 1024 403
+rect 970 353 1024 369
+rect 428 285 482 315
+rect 524 335 578 351
+rect 524 301 534 335
+rect 568 301 578 335
+rect 524 285 578 301
+rect 428 263 458 285
+rect 548 263 578 285
+rect 620 335 736 345
+rect 620 301 652 335
+rect 686 301 736 335
+rect 620 291 736 301
+rect 620 263 650 291
+rect 706 263 736 291
+rect 778 335 832 351
+rect 778 301 788 335
+rect 822 301 832 335
+rect 778 285 832 301
+rect 874 335 928 351
+rect 874 301 884 335
+rect 918 301 928 335
+rect 874 285 928 301
+rect 778 263 808 285
+rect 898 263 928 285
+rect 970 263 1000 353
+rect 1067 315 1097 468
+rect 1246 351 1276 713
+rect 1056 285 1097 315
+rect 1193 335 1276 351
+rect 1193 301 1203 335
+rect 1237 301 1276 335
+rect 1193 285 1276 301
+rect 1056 263 1086 285
+rect 1246 219 1276 285
+rect 1332 307 1362 713
+rect 1522 549 1552 565
+rect 1512 519 1552 549
+rect 1512 419 1542 519
+rect 1608 460 1638 565
+rect 1487 403 1542 419
+rect 1487 369 1497 403
+rect 1531 369 1542 403
+rect 1584 444 1638 460
+rect 1584 410 1594 444
+rect 1628 410 1638 444
+rect 1584 394 1638 410
+rect 1487 353 1542 369
+rect 1512 308 1542 353
+rect 1332 291 1399 307
+rect 1332 283 1355 291
+rect 1318 257 1355 283
+rect 1389 257 1399 291
+rect 1512 278 1552 308
+rect 1522 263 1552 278
+rect 1608 263 1638 394
+rect 1318 241 1399 257
+rect 1318 219 1348 241
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 301 81 335
+rect 189 392 223 426
+rect 438 484 472 518
+rect 652 484 686 518
+rect 884 484 918 518
+rect 366 375 400 409
+rect 1083 484 1117 518
+rect 980 369 1014 403
+rect 534 301 568 335
+rect 652 301 686 335
+rect 788 301 822 335
+rect 884 301 918 335
+rect 1203 301 1237 335
+rect 1497 369 1531 403
+rect 1594 410 1628 444
+rect 1355 257 1389 291
+<< locali >>
+rect 0 1093 1738 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1738 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 335 81 351
+rect 47 261 81 301
+rect 121 335 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 311 949 345 1049
+rect 311 657 345 673
+rect 469 949 537 965
+rect 661 949 695 1049
+rect 661 657 695 673
+rect 819 949 887 965
+rect 469 602 537 605
+rect 819 602 887 673
+rect 121 193 155 301
+rect 189 568 537 602
+rect 720 568 887 602
+rect 1011 949 1045 1049
+rect 1011 589 1045 605
+rect 1097 949 1131 965
+rect 1201 949 1235 1049
+rect 1201 861 1235 877
+rect 1287 949 1321 965
+rect 1097 602 1131 605
+rect 1097 568 1187 602
+rect 189 426 223 568
+rect 438 518 472 534
+rect 438 483 472 484
+rect 652 518 686 534
+rect 472 449 568 483
+rect 189 318 223 392
+rect 366 409 400 425
+rect 366 359 400 375
+rect 534 335 568 449
+rect 652 335 686 484
+rect 189 284 500 318
+rect 534 285 568 301
+rect 652 285 686 301
+rect 720 335 754 568
+rect 884 518 918 534
+rect 884 483 918 484
+rect 466 231 500 284
+rect 720 251 754 301
+rect 788 449 884 483
+rect 1083 518 1117 534
+rect 1083 483 1117 484
+rect 788 335 822 449
+rect 1151 415 1187 568
+rect 964 369 980 403
+rect 1014 369 1030 403
+rect 1097 381 1187 415
+rect 1287 403 1321 877
+rect 1373 949 1407 1049
+rect 1373 861 1407 877
+rect 1477 949 1511 965
+rect 1477 557 1511 809
+rect 1563 949 1597 1049
+rect 1563 793 1597 809
+rect 1649 949 1683 965
+rect 1649 631 1683 809
+rect 1682 614 1683 631
+rect 1682 597 1706 614
+rect 1649 580 1706 597
+rect 1477 518 1511 523
+rect 1477 484 1628 518
+rect 1594 444 1628 484
+rect 1097 335 1131 381
+rect 1287 369 1497 403
+rect 1531 369 1547 403
+rect 868 301 884 335
+rect 918 301 1131 335
+rect 1187 301 1203 335
+rect 1237 301 1253 335
+rect 788 285 822 301
+rect 35 165 155 193
+rect 311 215 345 231
+rect 69 159 155 165
+rect 193 165 227 181
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 215 537 231
+rect 720 217 887 251
+rect 466 197 469 215
+rect 311 61 345 131
+rect 819 215 887 217
+rect 469 115 537 131
+rect 661 165 695 181
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 215 1045 231
+rect 1011 61 1045 131
+rect 1097 215 1131 301
+rect 1287 199 1321 369
+rect 1594 335 1628 410
+rect 1355 291 1389 307
+rect 1477 301 1628 335
+rect 1097 115 1131 131
+rect 1201 165 1321 199
+rect 1359 165 1393 181
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 165 1511 301
+rect 1672 268 1706 580
+rect 1649 234 1706 268
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1649 165 1683 234
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 47 227 81 261
+rect 121 301 155 335
+rect 438 449 472 483
+rect 366 375 400 409
+rect 634 301 652 335
+rect 652 301 668 335
+rect 720 301 754 335
+rect 884 449 918 483
+rect 1083 449 1117 483
+rect 980 369 1014 403
+rect 1648 597 1682 631
+rect 1477 523 1511 557
+rect 1497 369 1531 403
+rect 1203 301 1237 335
+rect 1355 257 1389 261
+rect 1355 227 1389 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1093 1738 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1738 1093
+rect 0 1049 1738 1059
+rect 1636 631 1694 637
+rect 1614 597 1648 631
+rect 1682 597 1694 631
+rect 1636 591 1694 597
+rect 1465 557 1523 563
+rect 1442 523 1477 557
+rect 1511 523 1523 557
+rect 1465 517 1523 523
+rect 426 483 484 489
+rect 872 483 930 489
+rect 1071 483 1129 489
+rect 426 449 438 483
+rect 472 449 884 483
+rect 918 449 1083 483
+rect 1117 449 1129 483
+rect 426 443 484 449
+rect 872 443 930 449
+rect 1071 443 1129 449
+rect 354 409 412 415
+rect 354 375 366 409
+rect 400 375 434 409
+rect 968 403 1026 409
+rect 1485 403 1543 409
+rect 354 369 412 375
+rect 968 369 980 403
+rect 1014 369 1497 403
+rect 1531 369 1543 403
+rect 968 363 1026 369
+rect 1485 363 1543 369
+rect 109 335 167 341
+rect 622 335 680 341
+rect 109 301 121 335
+rect 155 301 634 335
+rect 668 301 680 335
+rect 109 295 167 301
+rect 622 295 680 301
+rect 708 335 766 341
+rect 1191 335 1249 341
+rect 708 301 720 335
+rect 754 301 1203 335
+rect 1237 301 1249 335
+rect 708 295 766 301
+rect 1191 295 1249 301
+rect 35 261 93 267
+rect 1343 261 1401 267
+rect 35 227 47 261
+rect 81 227 1355 261
+rect 1389 227 1401 261
+rect 35 221 93 227
+rect 1343 221 1401 227
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 383 392 383 392 1 D
+port 1 n
+rlabel viali 1100 466 1100 466 1 CK
+port 2 n
+rlabel viali 1665 614 1665 614 1 Q
+port 4 n
+rlabel viali 1495 540 1495 540 1 QN
+port 3 n
+rlabel viali 64 244 64 244 1 SN
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__dffs_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__dffs_l.mag
new file mode 100644
index 0000000..a84a32d
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__dffs_l.mag
@@ -0,0 +1,723 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3001 2379
+<< nwell >>
+rect -10 529 1741 1119
+<< nmos >>
+rect 80 115 110 219
+rect 152 115 182 219
+rect 356 115 386 263
+rect 428 115 458 263
+rect 548 115 578 263
+rect 620 115 650 263
+rect 706 115 736 263
+rect 778 115 808 263
+rect 898 115 928 263
+rect 970 115 1000 263
+rect 1056 115 1086 263
+rect 1246 115 1276 219
+rect 1318 115 1348 219
+rect 1522 115 1552 219
+rect 1608 115 1638 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 356 565 386 965
+rect 428 565 458 965
+rect 548 565 578 965
+rect 620 565 650 965
+rect 706 565 736 965
+rect 778 565 808 965
+rect 898 565 928 965
+rect 970 565 1000 965
+rect 1056 565 1086 965
+rect 1246 713 1276 965
+rect 1332 713 1362 965
+rect 1522 713 1552 965
+rect 1608 713 1638 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+rect 303 215 356 263
+rect 303 131 311 215
+rect 345 131 356 215
+rect 303 115 356 131
+rect 386 115 428 263
+rect 458 215 548 263
+rect 458 131 469 215
+rect 537 131 548 215
+rect 458 115 548 131
+rect 578 115 620 263
+rect 650 165 706 263
+rect 650 131 661 165
+rect 695 131 706 165
+rect 650 115 706 131
+rect 736 115 778 263
+rect 808 215 898 263
+rect 808 131 819 215
+rect 887 131 898 215
+rect 808 115 898 131
+rect 928 115 970 263
+rect 1000 215 1056 263
+rect 1000 131 1011 215
+rect 1045 131 1056 215
+rect 1000 115 1056 131
+rect 1086 215 1139 263
+rect 1086 131 1097 215
+rect 1131 131 1139 215
+rect 1086 115 1139 131
+rect 1193 165 1246 219
+rect 1193 131 1201 165
+rect 1235 131 1246 165
+rect 1193 115 1246 131
+rect 1276 115 1318 219
+rect 1348 165 1401 219
+rect 1348 131 1359 165
+rect 1393 131 1401 165
+rect 1348 115 1401 131
+rect 1469 165 1522 219
+rect 1469 131 1477 165
+rect 1511 131 1522 165
+rect 1469 115 1522 131
+rect 1552 165 1608 219
+rect 1552 131 1563 165
+rect 1597 131 1608 165
+rect 1552 115 1608 131
+rect 1638 165 1691 219
+rect 1638 131 1649 165
+rect 1683 131 1691 165
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+rect 303 949 356 965
+rect 303 673 311 949
+rect 345 673 356 949
+rect 303 565 356 673
+rect 386 565 428 965
+rect 458 949 548 965
+rect 458 605 469 949
+rect 537 605 548 949
+rect 458 565 548 605
+rect 578 565 620 965
+rect 650 949 706 965
+rect 650 673 661 949
+rect 695 673 706 949
+rect 650 565 706 673
+rect 736 565 778 965
+rect 808 949 898 965
+rect 808 673 819 949
+rect 887 673 898 949
+rect 808 565 898 673
+rect 928 565 970 965
+rect 1000 949 1056 965
+rect 1000 605 1011 949
+rect 1045 605 1056 949
+rect 1000 565 1056 605
+rect 1086 949 1139 965
+rect 1086 605 1097 949
+rect 1131 605 1139 949
+rect 1193 949 1246 965
+rect 1193 877 1201 949
+rect 1235 877 1246 949
+rect 1193 713 1246 877
+rect 1276 949 1332 965
+rect 1276 877 1287 949
+rect 1321 877 1332 949
+rect 1276 713 1332 877
+rect 1362 949 1415 965
+rect 1362 877 1373 949
+rect 1407 877 1415 949
+rect 1362 713 1415 877
+rect 1469 949 1522 965
+rect 1469 809 1477 949
+rect 1511 809 1522 949
+rect 1469 713 1522 809
+rect 1552 949 1608 965
+rect 1552 809 1563 949
+rect 1597 809 1608 949
+rect 1552 713 1608 809
+rect 1638 949 1691 965
+rect 1638 809 1649 949
+rect 1683 809 1691 949
+rect 1638 713 1691 809
+rect 1086 565 1139 605
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+rect 311 131 345 215
+rect 469 131 537 215
+rect 661 131 695 165
+rect 819 131 887 215
+rect 1011 131 1045 215
+rect 1097 131 1131 215
+rect 1201 131 1235 165
+rect 1359 131 1393 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1649 131 1683 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+rect 311 673 345 949
+rect 469 605 537 949
+rect 661 673 695 949
+rect 819 673 887 949
+rect 1011 605 1045 949
+rect 1097 605 1131 949
+rect 1201 877 1235 949
+rect 1287 877 1321 949
+rect 1373 877 1407 949
+rect 1477 809 1511 949
+rect 1563 809 1597 949
+rect 1649 809 1683 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 356 965 386 991
+rect 428 965 458 991
+rect 548 965 578 991
+rect 620 965 650 991
+rect 706 965 736 991
+rect 778 965 808 991
+rect 898 965 928 991
+rect 970 965 1000 991
+rect 1056 965 1086 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 80 351 110 713
+rect 166 442 196 713
+rect 37 335 110 351
+rect 37 301 47 335
+rect 81 301 110 335
+rect 37 285 110 301
+rect 80 219 110 285
+rect 152 426 233 442
+rect 152 392 189 426
+rect 223 392 233 426
+rect 152 376 233 392
+rect 356 425 386 565
+rect 428 534 458 565
+rect 428 518 482 534
+rect 428 484 438 518
+rect 472 484 482 518
+rect 428 468 482 484
+rect 356 409 410 425
+rect 548 423 578 565
+rect 620 528 650 565
+rect 706 528 736 565
+rect 620 518 736 528
+rect 620 484 652 518
+rect 686 484 736 518
+rect 620 474 736 484
+rect 778 423 808 565
+rect 898 534 928 565
+rect 874 518 928 534
+rect 874 484 884 518
+rect 918 484 928 518
+rect 874 468 928 484
+rect 152 219 182 376
+rect 356 375 366 409
+rect 400 375 410 409
+rect 356 359 410 375
+rect 452 393 904 423
+rect 356 263 386 359
+rect 452 315 482 393
+rect 874 351 904 393
+rect 970 419 1000 565
+rect 1056 534 1086 565
+rect 1056 518 1127 534
+rect 1056 504 1083 518
+rect 1067 484 1083 504
+rect 1117 484 1127 518
+rect 1067 468 1127 484
+rect 970 403 1024 419
+rect 970 369 980 403
+rect 1014 369 1024 403
+rect 970 353 1024 369
+rect 428 285 482 315
+rect 524 335 578 351
+rect 524 301 534 335
+rect 568 301 578 335
+rect 524 285 578 301
+rect 428 263 458 285
+rect 548 263 578 285
+rect 620 335 736 345
+rect 620 301 652 335
+rect 686 301 736 335
+rect 620 291 736 301
+rect 620 263 650 291
+rect 706 263 736 291
+rect 778 335 832 351
+rect 778 301 788 335
+rect 822 301 832 335
+rect 778 285 832 301
+rect 874 335 928 351
+rect 874 301 884 335
+rect 918 301 928 335
+rect 874 285 928 301
+rect 778 263 808 285
+rect 898 263 928 285
+rect 970 263 1000 353
+rect 1067 315 1097 468
+rect 1246 351 1276 713
+rect 1056 285 1097 315
+rect 1193 335 1276 351
+rect 1193 301 1203 335
+rect 1237 301 1276 335
+rect 1193 285 1276 301
+rect 1056 263 1086 285
+rect 1246 219 1276 285
+rect 1332 307 1362 713
+rect 1522 549 1552 713
+rect 1512 519 1552 549
+rect 1512 419 1542 519
+rect 1608 460 1638 713
+rect 1487 403 1542 419
+rect 1487 369 1497 403
+rect 1531 369 1542 403
+rect 1584 444 1638 460
+rect 1584 410 1594 444
+rect 1628 410 1638 444
+rect 1584 394 1638 410
+rect 1487 353 1542 369
+rect 1512 308 1542 353
+rect 1332 291 1399 307
+rect 1332 283 1355 291
+rect 1318 257 1355 283
+rect 1389 257 1399 291
+rect 1512 278 1552 308
+rect 1318 241 1399 257
+rect 1318 219 1348 241
+rect 1522 219 1552 278
+rect 1608 219 1638 394
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 301 81 335
+rect 189 392 223 426
+rect 438 484 472 518
+rect 652 484 686 518
+rect 884 484 918 518
+rect 366 375 400 409
+rect 1083 484 1117 518
+rect 980 369 1014 403
+rect 534 301 568 335
+rect 652 301 686 335
+rect 788 301 822 335
+rect 884 301 918 335
+rect 1203 301 1237 335
+rect 1497 369 1531 403
+rect 1594 410 1628 444
+rect 1355 257 1389 291
+<< locali >>
+rect 0 1093 1738 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1738 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 335 81 351
+rect 47 261 81 301
+rect 121 335 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 311 949 345 1049
+rect 311 657 345 673
+rect 469 949 537 965
+rect 661 949 695 1049
+rect 661 657 695 673
+rect 819 949 887 965
+rect 469 602 537 605
+rect 819 602 887 673
+rect 121 193 155 301
+rect 189 568 537 602
+rect 720 568 887 602
+rect 1011 949 1045 1049
+rect 1011 589 1045 605
+rect 1097 949 1131 965
+rect 1201 949 1235 1049
+rect 1201 861 1235 877
+rect 1287 949 1321 965
+rect 1097 602 1131 605
+rect 1097 568 1187 602
+rect 189 426 223 568
+rect 438 518 472 534
+rect 438 483 472 484
+rect 652 518 686 534
+rect 472 449 568 483
+rect 189 318 223 392
+rect 366 409 400 425
+rect 366 359 400 375
+rect 534 335 568 449
+rect 652 335 686 484
+rect 189 284 500 318
+rect 534 285 568 301
+rect 652 285 686 301
+rect 720 335 754 568
+rect 884 518 918 534
+rect 884 483 918 484
+rect 466 231 500 284
+rect 720 251 754 301
+rect 788 449 884 483
+rect 1083 518 1117 534
+rect 1083 483 1117 484
+rect 788 335 822 449
+rect 1151 415 1187 568
+rect 964 369 980 403
+rect 1014 369 1030 403
+rect 1097 381 1187 415
+rect 1287 403 1321 877
+rect 1373 949 1407 1049
+rect 1373 861 1407 877
+rect 1477 949 1511 965
+rect 1477 557 1511 809
+rect 1563 949 1597 1049
+rect 1563 793 1597 809
+rect 1649 949 1683 965
+rect 1649 631 1683 809
+rect 1682 614 1683 631
+rect 1682 597 1706 614
+rect 1649 580 1706 597
+rect 1477 518 1511 523
+rect 1477 484 1628 518
+rect 1594 444 1628 484
+rect 1097 335 1131 381
+rect 1287 369 1497 403
+rect 1531 369 1547 403
+rect 868 301 884 335
+rect 918 301 1131 335
+rect 1187 301 1203 335
+rect 1237 301 1253 335
+rect 788 285 822 301
+rect 35 165 155 193
+rect 311 215 345 231
+rect 69 159 155 165
+rect 193 165 227 181
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 215 537 231
+rect 720 217 887 251
+rect 466 197 469 215
+rect 311 61 345 131
+rect 819 215 887 217
+rect 469 115 537 131
+rect 661 165 695 181
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 215 1045 231
+rect 1011 61 1045 131
+rect 1097 215 1131 301
+rect 1287 199 1321 369
+rect 1594 335 1628 410
+rect 1355 291 1389 307
+rect 1477 301 1628 335
+rect 1097 115 1131 131
+rect 1201 165 1321 199
+rect 1359 165 1393 181
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 165 1511 301
+rect 1672 268 1706 580
+rect 1649 234 1706 268
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1649 165 1683 234
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 47 227 81 261
+rect 121 301 155 335
+rect 438 449 472 483
+rect 366 375 400 409
+rect 634 301 652 335
+rect 652 301 668 335
+rect 720 301 754 335
+rect 884 449 918 483
+rect 1083 449 1117 483
+rect 980 369 1014 403
+rect 1648 597 1682 631
+rect 1477 523 1511 557
+rect 1497 369 1531 403
+rect 1203 301 1237 335
+rect 1355 257 1389 261
+rect 1355 227 1389 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1093 1738 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1738 1093
+rect 0 1049 1738 1059
+rect 1636 631 1694 637
+rect 1614 597 1648 631
+rect 1682 597 1694 631
+rect 1636 591 1694 597
+rect 1465 557 1523 563
+rect 1442 523 1477 557
+rect 1511 523 1523 557
+rect 1465 517 1523 523
+rect 426 483 484 489
+rect 872 483 930 489
+rect 1071 483 1129 489
+rect 426 449 438 483
+rect 472 449 884 483
+rect 918 449 1083 483
+rect 1117 449 1129 483
+rect 426 443 484 449
+rect 872 443 930 449
+rect 1071 443 1129 449
+rect 354 409 412 415
+rect 354 375 366 409
+rect 400 375 434 409
+rect 968 403 1026 409
+rect 1485 403 1543 409
+rect 354 369 412 375
+rect 968 369 980 403
+rect 1014 369 1497 403
+rect 1531 369 1543 403
+rect 968 363 1026 369
+rect 1485 363 1543 369
+rect 109 335 167 341
+rect 622 335 680 341
+rect 109 301 121 335
+rect 155 301 634 335
+rect 668 301 680 335
+rect 109 295 167 301
+rect 622 295 680 301
+rect 708 335 766 341
+rect 1191 335 1249 341
+rect 708 301 720 335
+rect 754 301 1203 335
+rect 1237 301 1249 335
+rect 708 295 766 301
+rect 1191 295 1249 301
+rect 35 261 93 267
+rect 1343 261 1401 267
+rect 35 227 47 261
+rect 81 227 1355 261
+rect 1389 227 1401 261
+rect 35 221 93 227
+rect 1343 221 1401 227
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 383 392 383 392 1 D
+port 1 n
+rlabel viali 1100 466 1100 466 1 CK
+port 2 n
+rlabel viali 1665 614 1665 614 1 Q
+port 4 n
+rlabel viali 1495 540 1495 540 1 QN
+port 3 n
+rlabel viali 64 244 64 244 1 SN
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__dffsr_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__dffsr_1.mag
new file mode 100644
index 0000000..9f3dea1
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__dffsr_1.mag
@@ -0,0 +1,900 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3350 2379
+<< nwell >>
+rect -10 529 2090 1119
+<< nmos >>
+rect 80 115 110 263
+rect 272 115 302 219
+rect 370 115 400 263
+rect 442 115 472 263
+rect 632 115 662 263
+rect 704 115 734 263
+rect 824 115 854 263
+rect 896 115 926 263
+rect 982 115 1012 263
+rect 1054 115 1084 263
+rect 1174 115 1204 263
+rect 1246 115 1276 263
+rect 1332 115 1362 263
+rect 1522 115 1552 263
+rect 1594 115 1624 263
+rect 1692 115 1722 219
+rect 1884 115 1914 263
+rect 1970 115 2000 263
+<< pmos >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+rect 442 565 472 965
+rect 632 565 662 965
+rect 704 565 734 965
+rect 824 565 854 965
+rect 896 565 926 965
+rect 982 565 1012 965
+rect 1054 565 1084 965
+rect 1174 565 1204 965
+rect 1246 565 1276 965
+rect 1332 565 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+rect 1694 565 1724 965
+rect 1884 565 1914 965
+rect 1970 565 2000 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 317 219 370 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 219 165 272 219
+rect 219 131 227 165
+rect 261 131 272 165
+rect 219 115 272 131
+rect 302 215 370 219
+rect 302 131 325 215
+rect 359 131 370 215
+rect 302 115 370 131
+rect 400 115 442 263
+rect 472 215 525 263
+rect 472 131 483 215
+rect 517 131 525 215
+rect 472 115 525 131
+rect 579 215 632 263
+rect 579 131 587 215
+rect 621 131 632 215
+rect 579 115 632 131
+rect 662 115 704 263
+rect 734 215 824 263
+rect 734 131 745 215
+rect 813 131 824 215
+rect 734 115 824 131
+rect 854 115 896 263
+rect 926 165 982 263
+rect 926 131 937 165
+rect 971 131 982 165
+rect 926 115 982 131
+rect 1012 115 1054 263
+rect 1084 215 1174 263
+rect 1084 131 1095 215
+rect 1163 131 1174 215
+rect 1084 115 1174 131
+rect 1204 115 1246 263
+rect 1276 215 1332 263
+rect 1276 131 1287 215
+rect 1321 131 1332 215
+rect 1276 115 1332 131
+rect 1362 215 1415 263
+rect 1362 131 1373 215
+rect 1407 131 1415 215
+rect 1362 115 1415 131
+rect 1469 215 1522 263
+rect 1469 131 1477 215
+rect 1511 131 1522 215
+rect 1469 115 1522 131
+rect 1552 115 1594 263
+rect 1624 219 1677 263
+rect 1624 215 1692 219
+rect 1624 131 1635 215
+rect 1669 131 1692 215
+rect 1624 115 1692 131
+rect 1722 165 1775 219
+rect 1722 131 1733 165
+rect 1767 131 1775 165
+rect 1722 115 1775 131
+rect 1831 215 1884 263
+rect 1831 131 1839 215
+rect 1873 131 1884 215
+rect 1831 115 1884 131
+rect 1914 215 1970 263
+rect 1914 131 1925 215
+rect 1959 131 1970 215
+rect 1914 115 1970 131
+rect 2000 215 2053 263
+rect 2000 131 2011 215
+rect 2045 131 2053 215
+rect 2000 115 2053 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 673 225 949
+rect 259 673 270 949
+rect 217 565 270 673
+rect 300 949 356 965
+rect 300 673 311 949
+rect 345 673 356 949
+rect 300 565 356 673
+rect 386 949 442 965
+rect 386 741 397 949
+rect 431 741 442 949
+rect 386 565 442 741
+rect 472 949 525 965
+rect 472 673 483 949
+rect 517 673 525 949
+rect 472 565 525 673
+rect 579 949 632 965
+rect 579 673 587 949
+rect 621 673 632 949
+rect 579 565 632 673
+rect 662 565 704 965
+rect 734 949 824 965
+rect 734 605 745 949
+rect 813 605 824 949
+rect 734 565 824 605
+rect 854 565 896 965
+rect 926 949 982 965
+rect 926 673 937 949
+rect 971 673 982 949
+rect 926 565 982 673
+rect 1012 565 1054 965
+rect 1084 949 1174 965
+rect 1084 673 1095 949
+rect 1163 673 1174 949
+rect 1084 565 1174 673
+rect 1204 565 1246 965
+rect 1276 949 1332 965
+rect 1276 605 1287 949
+rect 1321 605 1332 949
+rect 1276 565 1332 605
+rect 1362 949 1415 965
+rect 1362 605 1373 949
+rect 1407 605 1415 949
+rect 1362 565 1415 605
+rect 1469 949 1522 965
+rect 1469 673 1477 949
+rect 1511 673 1522 949
+rect 1469 565 1522 673
+rect 1552 949 1608 965
+rect 1552 741 1563 949
+rect 1597 741 1608 949
+rect 1552 565 1608 741
+rect 1638 949 1694 965
+rect 1638 673 1649 949
+rect 1683 673 1694 949
+rect 1638 565 1694 673
+rect 1724 949 1777 965
+rect 1724 673 1735 949
+rect 1769 673 1777 949
+rect 1724 565 1777 673
+rect 1831 949 1884 965
+rect 1831 605 1839 949
+rect 1873 605 1884 949
+rect 1831 565 1884 605
+rect 1914 949 1970 965
+rect 1914 605 1925 949
+rect 1959 605 1970 949
+rect 1914 565 1970 605
+rect 2000 949 2053 965
+rect 2000 605 2011 949
+rect 2045 605 2053 949
+rect 2000 565 2053 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 227 131 261 165
+rect 325 131 359 215
+rect 483 131 517 215
+rect 587 131 621 215
+rect 745 131 813 215
+rect 937 131 971 165
+rect 1095 131 1163 215
+rect 1287 131 1321 215
+rect 1373 131 1407 215
+rect 1477 131 1511 215
+rect 1635 131 1669 215
+rect 1733 131 1767 165
+rect 1839 131 1873 215
+rect 1925 131 1959 215
+rect 2011 131 2045 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 673 259 949
+rect 311 673 345 949
+rect 397 741 431 949
+rect 483 673 517 949
+rect 587 673 621 949
+rect 745 605 813 949
+rect 937 673 971 949
+rect 1095 673 1163 949
+rect 1287 605 1321 949
+rect 1373 605 1407 949
+rect 1477 673 1511 949
+rect 1563 741 1597 949
+rect 1649 673 1683 949
+rect 1735 673 1769 949
+rect 1839 605 1873 949
+rect 1925 605 1959 949
+rect 2011 605 2045 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+rect 1930 27 1954 61
+rect 1988 27 2012 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+rect 1930 1049 1954 1083
+rect 1988 1049 2012 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+rect 1954 27 1988 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+rect 1954 1049 1988 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 356 965 386 991
+rect 442 965 472 991
+rect 632 965 662 991
+rect 704 965 734 991
+rect 824 965 854 991
+rect 896 965 926 991
+rect 982 965 1012 991
+rect 1054 965 1084 991
+rect 1174 965 1204 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 1694 965 1724 991
+rect 1884 965 1914 991
+rect 1970 965 2000 991
+rect 80 442 110 565
+rect 270 527 300 565
+rect 243 497 300 527
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 243 307 273 497
+rect 356 455 386 565
+rect 442 534 472 565
+rect 432 518 486 534
+rect 432 484 442 518
+rect 476 484 486 518
+rect 432 468 486 484
+rect 315 439 386 455
+rect 315 405 325 439
+rect 359 425 386 439
+rect 359 405 400 425
+rect 315 389 400 405
+rect 219 291 273 307
+rect 356 303 400 389
+rect 219 257 229 291
+rect 263 271 273 291
+rect 263 257 302 271
+rect 370 263 400 303
+rect 442 263 472 468
+rect 632 425 662 565
+rect 704 534 734 565
+rect 704 518 758 534
+rect 704 484 714 518
+rect 748 484 758 518
+rect 704 468 758 484
+rect 632 409 686 425
+rect 824 423 854 565
+rect 896 528 926 565
+rect 982 528 1012 565
+rect 896 518 1012 528
+rect 896 484 928 518
+rect 962 484 1012 518
+rect 896 474 1012 484
+rect 1054 423 1084 565
+rect 1174 534 1204 565
+rect 1150 518 1204 534
+rect 1150 484 1160 518
+rect 1194 484 1204 518
+rect 1150 468 1204 484
+rect 632 375 642 409
+rect 676 375 686 409
+rect 632 359 686 375
+rect 728 393 1180 423
+rect 632 263 662 359
+rect 728 315 758 393
+rect 1150 351 1180 393
+rect 1246 419 1276 565
+rect 1332 534 1362 565
+rect 1332 518 1403 534
+rect 1522 528 1552 565
+rect 1332 504 1359 518
+rect 1343 484 1359 504
+rect 1393 484 1403 518
+rect 1343 468 1403 484
+rect 1486 518 1552 528
+rect 1486 484 1502 518
+rect 1536 484 1552 518
+rect 1486 474 1552 484
+rect 1246 403 1300 419
+rect 1246 369 1256 403
+rect 1290 369 1300 403
+rect 1246 353 1300 369
+rect 704 285 758 315
+rect 800 335 854 351
+rect 800 301 810 335
+rect 844 301 854 335
+rect 800 285 854 301
+rect 704 263 734 285
+rect 824 263 854 285
+rect 896 335 1012 345
+rect 896 301 928 335
+rect 962 301 1012 335
+rect 896 291 1012 301
+rect 896 263 926 291
+rect 982 263 1012 291
+rect 1054 335 1108 351
+rect 1054 301 1064 335
+rect 1098 301 1108 335
+rect 1054 285 1108 301
+rect 1150 335 1204 351
+rect 1150 301 1160 335
+rect 1194 301 1204 335
+rect 1150 285 1204 301
+rect 1054 263 1084 285
+rect 1174 263 1204 285
+rect 1246 263 1276 353
+rect 1343 315 1373 468
+rect 1332 285 1373 315
+rect 1486 318 1516 474
+rect 1608 432 1638 565
+rect 1694 527 1724 565
+rect 1884 549 1914 565
+rect 1694 501 1751 527
+rect 1874 519 1914 549
+rect 1694 497 1775 501
+rect 1721 469 1775 497
+rect 1576 416 1638 432
+rect 1576 382 1588 416
+rect 1622 382 1638 416
+rect 1576 366 1638 382
+rect 1486 286 1552 318
+rect 1332 263 1362 285
+rect 1522 263 1552 286
+rect 1594 263 1624 366
+rect 1745 307 1775 469
+rect 1874 419 1904 519
+rect 1970 460 2000 565
+rect 1849 403 1904 419
+rect 1849 369 1859 403
+rect 1893 369 1904 403
+rect 1946 444 2000 460
+rect 1946 410 1956 444
+rect 1990 410 2000 444
+rect 1946 394 2000 410
+rect 1849 353 1904 369
+rect 1874 308 1904 353
+rect 1745 291 1799 307
+rect 1745 271 1755 291
+rect 219 241 302 257
+rect 272 219 302 241
+rect 1692 257 1755 271
+rect 1789 257 1799 291
+rect 1874 278 1914 308
+rect 1884 263 1914 278
+rect 1970 263 2000 394
+rect 1692 241 1799 257
+rect 1692 219 1722 241
+rect 80 89 110 115
+rect 272 89 302 115
+rect 370 89 400 115
+rect 442 89 472 115
+rect 632 89 662 115
+rect 704 89 734 115
+rect 824 89 854 115
+rect 896 89 926 115
+rect 982 89 1012 115
+rect 1054 89 1084 115
+rect 1174 89 1204 115
+rect 1246 89 1276 115
+rect 1332 89 1362 115
+rect 1522 89 1552 115
+rect 1594 89 1624 115
+rect 1692 89 1722 115
+rect 1884 89 1914 115
+rect 1970 89 2000 115
+<< polycont >>
+rect 89 392 123 426
+rect 442 484 476 518
+rect 325 405 359 439
+rect 229 257 263 291
+rect 714 484 748 518
+rect 928 484 962 518
+rect 1160 484 1194 518
+rect 642 375 676 409
+rect 1359 484 1393 518
+rect 1502 484 1536 518
+rect 1256 369 1290 403
+rect 810 301 844 335
+rect 928 301 962 335
+rect 1064 301 1098 335
+rect 1160 301 1194 335
+rect 1588 382 1622 416
+rect 1859 369 1893 403
+rect 1956 410 1990 444
+rect 1755 257 1789 291
+<< locali >>
+rect 0 1093 2090 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1954 1093
+rect 1988 1049 2090 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 369 259 673
+rect 311 949 345 965
+rect 397 949 431 1049
+rect 397 725 431 741
+rect 483 949 517 965
+rect 345 673 483 691
+rect 311 657 517 673
+rect 587 949 621 1049
+rect 587 657 621 673
+rect 745 949 813 965
+rect 937 949 971 1049
+rect 937 657 971 673
+rect 1095 949 1163 965
+rect 813 605 816 623
+rect 745 602 816 605
+rect 1095 602 1163 673
+rect 442 568 816 602
+rect 996 568 1163 602
+rect 1287 949 1321 1049
+rect 1287 589 1321 605
+rect 1373 949 1407 965
+rect 1477 949 1511 965
+rect 1563 949 1597 1049
+rect 1563 725 1597 741
+rect 1649 949 1683 965
+rect 1511 673 1649 691
+rect 1477 657 1683 673
+rect 1735 949 1769 965
+rect 1373 602 1407 605
+rect 1373 568 1461 602
+rect 325 439 359 523
+rect 442 518 476 568
+rect 309 405 325 439
+rect 359 405 375 439
+rect 225 335 359 369
+rect 121 257 229 291
+rect 263 257 279 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 325 215 359 301
+rect 442 318 476 484
+rect 714 518 748 534
+rect 714 483 748 484
+rect 928 518 962 534
+rect 748 449 844 483
+rect 642 409 676 425
+rect 642 359 676 375
+rect 810 335 844 449
+rect 928 335 962 484
+rect 442 284 776 318
+rect 810 285 844 301
+rect 928 285 962 301
+rect 996 335 1030 568
+rect 1160 518 1194 534
+rect 1160 483 1194 484
+rect 742 231 776 284
+rect 996 251 1030 301
+rect 1064 449 1160 483
+rect 1359 518 1393 534
+rect 1359 483 1393 484
+rect 1064 335 1098 449
+rect 1427 403 1461 568
+rect 1240 369 1256 403
+rect 1290 369 1306 403
+rect 1373 369 1461 403
+rect 1502 518 1536 534
+rect 1373 335 1407 369
+rect 1144 301 1160 335
+rect 1194 301 1407 335
+rect 1502 335 1536 484
+rect 1570 432 1604 523
+rect 1570 416 1638 432
+rect 1570 382 1588 416
+rect 1622 382 1638 416
+rect 1735 403 1769 673
+rect 1839 949 1873 965
+rect 1839 557 1873 605
+rect 1925 949 1959 1049
+rect 1925 589 1959 605
+rect 2011 949 2045 965
+rect 2011 583 2045 597
+rect 2011 549 2068 583
+rect 1839 518 1873 523
+rect 1839 484 1990 518
+rect 1956 444 1990 484
+rect 1672 369 1859 403
+rect 1893 369 1909 403
+rect 1672 347 1706 369
+rect 1635 313 1706 347
+rect 1956 335 1990 410
+rect 1064 285 1098 301
+rect 121 115 155 131
+rect 227 165 261 181
+rect 227 61 261 131
+rect 325 115 359 131
+rect 483 215 517 231
+rect 483 61 517 131
+rect 587 215 621 231
+rect 742 215 813 231
+rect 996 217 1163 251
+rect 742 197 745 215
+rect 587 61 621 131
+rect 1095 215 1163 217
+rect 745 115 813 131
+rect 937 165 971 181
+rect 937 61 971 131
+rect 1095 115 1163 131
+rect 1287 215 1321 231
+rect 1287 61 1321 131
+rect 1373 215 1407 301
+rect 1373 115 1407 131
+rect 1477 215 1511 231
+rect 1477 61 1511 131
+rect 1635 215 1669 313
+rect 1839 301 1990 335
+rect 1739 257 1755 291
+rect 1789 257 1805 291
+rect 1839 215 1873 301
+rect 2034 268 2068 549
+rect 2011 234 2068 268
+rect 1635 115 1669 131
+rect 1733 165 1767 181
+rect 1733 61 1767 131
+rect 1839 115 1873 131
+rect 1925 215 1959 231
+rect 1925 61 1959 131
+rect 2011 215 2045 234
+rect 2011 115 2045 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 1954 1083 1988 1093
+rect 1954 1059 1988 1083
+rect 47 597 81 631
+rect 325 523 359 557
+rect 325 301 359 335
+rect 229 257 263 261
+rect 229 227 263 257
+rect 714 449 748 483
+rect 642 375 676 409
+rect 910 301 928 335
+rect 928 301 944 335
+rect 996 301 1030 335
+rect 1160 449 1194 483
+rect 1359 449 1393 483
+rect 1256 369 1290 403
+rect 1570 523 1604 557
+rect 2011 605 2045 631
+rect 2011 597 2045 605
+rect 1839 523 1873 557
+rect 1859 369 1893 403
+rect 1502 301 1536 335
+rect 1755 257 1789 261
+rect 1755 227 1789 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
+<< metal1 >>
+rect 0 1093 2090 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1954 1093
+rect 1988 1059 2090 1093
+rect 0 1049 2090 1059
+rect 35 631 93 637
+rect 1999 631 2057 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1977 597 2011 631
+rect 2045 597 2057 631
+rect 35 591 93 597
+rect 1999 591 2057 597
+rect 313 557 371 563
+rect 1558 557 1616 563
+rect 1827 557 1885 563
+rect 313 523 325 557
+rect 359 523 1570 557
+rect 1604 523 1616 557
+rect 1804 523 1839 557
+rect 1873 523 1885 557
+rect 313 517 371 523
+rect 1558 517 1616 523
+rect 1827 517 1885 523
+rect 702 483 760 489
+rect 1148 483 1206 489
+rect 1347 483 1405 489
+rect 702 449 714 483
+rect 748 449 1160 483
+rect 1194 449 1359 483
+rect 1393 449 1405 483
+rect 702 443 760 449
+rect 1148 443 1206 449
+rect 1347 443 1405 449
+rect 630 409 688 415
+rect 630 375 642 409
+rect 676 375 710 409
+rect 1244 403 1302 409
+rect 1847 403 1905 409
+rect 630 369 688 375
+rect 1244 369 1256 403
+rect 1290 369 1859 403
+rect 1893 369 1905 403
+rect 1244 363 1302 369
+rect 1847 363 1905 369
+rect 313 335 371 341
+rect 898 335 956 341
+rect 313 301 325 335
+rect 359 301 910 335
+rect 944 301 956 335
+rect 313 295 371 301
+rect 898 295 956 301
+rect 984 335 1042 341
+rect 1490 335 1548 341
+rect 984 301 996 335
+rect 1030 301 1502 335
+rect 1536 301 1548 335
+rect 984 295 1042 301
+rect 1490 295 1548 301
+rect 217 261 275 267
+rect 1743 261 1801 267
+rect 217 227 229 261
+rect 263 227 1755 261
+rect 1789 227 1801 261
+rect 217 221 275 227
+rect 1743 221 1801 227
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
+<< labels >>
+rlabel viali 659 392 659 392 1 D
+port 1 n
+rlabel viali 1376 466 1376 466 1 CK
+port 2 n
+rlabel viali 1857 540 1857 540 1 QN
+port 3 n
+rlabel viali 1587 540 1587 540 1 SN
+port 4 n
+rlabel viali 65 614 65 614 1 RN
+port 5 n
+rlabel viali 2028 614 2028 614 1 Q
+port 6 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__dffsr_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__dffsr_l.mag
new file mode 100644
index 0000000..2394672
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__dffsr_l.mag
@@ -0,0 +1,899 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1270 -242 3350 2379
+<< nwell >>
+rect -10 529 2090 1119
+<< nmos >>
+rect 80 115 110 263
+rect 272 115 302 219
+rect 370 115 400 263
+rect 442 115 472 263
+rect 632 115 662 263
+rect 704 115 734 263
+rect 824 115 854 263
+rect 896 115 926 263
+rect 982 115 1012 263
+rect 1054 115 1084 263
+rect 1174 115 1204 263
+rect 1246 115 1276 263
+rect 1332 115 1362 263
+rect 1522 115 1552 263
+rect 1594 115 1624 263
+rect 1692 115 1722 219
+rect 1884 115 1914 219
+rect 1970 115 2000 219
+<< pmos >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+rect 442 565 472 965
+rect 632 565 662 965
+rect 704 565 734 965
+rect 824 565 854 965
+rect 896 565 926 965
+rect 982 565 1012 965
+rect 1054 565 1084 965
+rect 1174 565 1204 965
+rect 1246 565 1276 965
+rect 1332 565 1362 965
+rect 1522 565 1552 965
+rect 1608 565 1638 965
+rect 1694 565 1724 965
+rect 1884 713 1914 965
+rect 1970 713 2000 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 317 219 370 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 219 165 272 219
+rect 219 131 227 165
+rect 261 131 272 165
+rect 219 115 272 131
+rect 302 215 370 219
+rect 302 131 325 215
+rect 359 131 370 215
+rect 302 115 370 131
+rect 400 115 442 263
+rect 472 215 525 263
+rect 472 131 483 215
+rect 517 131 525 215
+rect 472 115 525 131
+rect 579 215 632 263
+rect 579 131 587 215
+rect 621 131 632 215
+rect 579 115 632 131
+rect 662 115 704 263
+rect 734 215 824 263
+rect 734 131 745 215
+rect 813 131 824 215
+rect 734 115 824 131
+rect 854 115 896 263
+rect 926 165 982 263
+rect 926 131 937 165
+rect 971 131 982 165
+rect 926 115 982 131
+rect 1012 115 1054 263
+rect 1084 215 1174 263
+rect 1084 131 1095 215
+rect 1163 131 1174 215
+rect 1084 115 1174 131
+rect 1204 115 1246 263
+rect 1276 215 1332 263
+rect 1276 131 1287 215
+rect 1321 131 1332 215
+rect 1276 115 1332 131
+rect 1362 215 1415 263
+rect 1362 131 1373 215
+rect 1407 131 1415 215
+rect 1362 115 1415 131
+rect 1469 215 1522 263
+rect 1469 131 1477 215
+rect 1511 131 1522 215
+rect 1469 115 1522 131
+rect 1552 115 1594 263
+rect 1624 219 1677 263
+rect 1624 215 1692 219
+rect 1624 131 1635 215
+rect 1669 131 1692 215
+rect 1624 115 1692 131
+rect 1722 165 1775 219
+rect 1722 131 1733 165
+rect 1767 131 1775 165
+rect 1722 115 1775 131
+rect 1831 165 1884 219
+rect 1831 131 1839 165
+rect 1873 131 1884 165
+rect 1831 115 1884 131
+rect 1914 165 1970 219
+rect 1914 131 1925 165
+rect 1959 131 1970 165
+rect 1914 115 1970 131
+rect 2000 165 2053 219
+rect 2000 131 2011 165
+rect 2045 131 2053 165
+rect 2000 115 2053 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 673 225 949
+rect 259 673 270 949
+rect 217 565 270 673
+rect 300 949 356 965
+rect 300 673 311 949
+rect 345 673 356 949
+rect 300 565 356 673
+rect 386 949 442 965
+rect 386 741 397 949
+rect 431 741 442 949
+rect 386 565 442 741
+rect 472 949 525 965
+rect 472 673 483 949
+rect 517 673 525 949
+rect 472 565 525 673
+rect 579 949 632 965
+rect 579 673 587 949
+rect 621 673 632 949
+rect 579 565 632 673
+rect 662 565 704 965
+rect 734 949 824 965
+rect 734 605 745 949
+rect 813 605 824 949
+rect 734 565 824 605
+rect 854 565 896 965
+rect 926 949 982 965
+rect 926 673 937 949
+rect 971 673 982 949
+rect 926 565 982 673
+rect 1012 565 1054 965
+rect 1084 949 1174 965
+rect 1084 673 1095 949
+rect 1163 673 1174 949
+rect 1084 565 1174 673
+rect 1204 565 1246 965
+rect 1276 949 1332 965
+rect 1276 605 1287 949
+rect 1321 605 1332 949
+rect 1276 565 1332 605
+rect 1362 949 1415 965
+rect 1362 605 1373 949
+rect 1407 605 1415 949
+rect 1362 565 1415 605
+rect 1469 949 1522 965
+rect 1469 673 1477 949
+rect 1511 673 1522 949
+rect 1469 565 1522 673
+rect 1552 949 1608 965
+rect 1552 741 1563 949
+rect 1597 741 1608 949
+rect 1552 565 1608 741
+rect 1638 949 1694 965
+rect 1638 673 1649 949
+rect 1683 673 1694 949
+rect 1638 565 1694 673
+rect 1724 949 1777 965
+rect 1724 673 1735 949
+rect 1769 673 1777 949
+rect 1831 949 1884 965
+rect 1831 809 1839 949
+rect 1873 809 1884 949
+rect 1831 713 1884 809
+rect 1914 949 1970 965
+rect 1914 809 1925 949
+rect 1959 809 1970 949
+rect 1914 713 1970 809
+rect 2000 949 2053 965
+rect 2000 809 2011 949
+rect 2045 809 2053 949
+rect 2000 713 2053 809
+rect 1724 565 1777 673
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 227 131 261 165
+rect 325 131 359 215
+rect 483 131 517 215
+rect 587 131 621 215
+rect 745 131 813 215
+rect 937 131 971 165
+rect 1095 131 1163 215
+rect 1287 131 1321 215
+rect 1373 131 1407 215
+rect 1477 131 1511 215
+rect 1635 131 1669 215
+rect 1733 131 1767 165
+rect 1839 131 1873 165
+rect 1925 131 1959 165
+rect 2011 131 2045 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 673 259 949
+rect 311 673 345 949
+rect 397 741 431 949
+rect 483 673 517 949
+rect 587 673 621 949
+rect 745 605 813 949
+rect 937 673 971 949
+rect 1095 673 1163 949
+rect 1287 605 1321 949
+rect 1373 605 1407 949
+rect 1477 673 1511 949
+rect 1563 741 1597 949
+rect 1649 673 1683 949
+rect 1735 673 1769 949
+rect 1839 809 1873 949
+rect 1925 809 1959 949
+rect 2011 809 2045 949
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+rect 1930 27 1954 61
+rect 1988 27 2012 61
+<< nsubdiff >>
+rect 26 1049 50 1083
+rect 84 1049 108 1083
+rect 162 1049 186 1083
+rect 220 1049 244 1083
+rect 298 1049 322 1083
+rect 356 1049 380 1083
+rect 434 1049 458 1083
+rect 492 1049 516 1083
+rect 570 1049 594 1083
+rect 628 1049 652 1083
+rect 706 1049 730 1083
+rect 764 1049 788 1083
+rect 842 1049 866 1083
+rect 900 1049 924 1083
+rect 978 1049 1002 1083
+rect 1036 1049 1060 1083
+rect 1114 1049 1138 1083
+rect 1172 1049 1196 1083
+rect 1250 1049 1274 1083
+rect 1308 1049 1332 1083
+rect 1386 1049 1410 1083
+rect 1444 1049 1468 1083
+rect 1522 1049 1546 1083
+rect 1580 1049 1604 1083
+rect 1658 1049 1682 1083
+rect 1716 1049 1740 1083
+rect 1794 1049 1818 1083
+rect 1852 1049 1876 1083
+rect 1930 1049 1954 1083
+rect 1988 1049 2012 1083
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+rect 1954 27 1988 61
+<< nsubdiffcont >>
+rect 50 1049 84 1083
+rect 186 1049 220 1083
+rect 322 1049 356 1083
+rect 458 1049 492 1083
+rect 594 1049 628 1083
+rect 730 1049 764 1083
+rect 866 1049 900 1083
+rect 1002 1049 1036 1083
+rect 1138 1049 1172 1083
+rect 1274 1049 1308 1083
+rect 1410 1049 1444 1083
+rect 1546 1049 1580 1083
+rect 1682 1049 1716 1083
+rect 1818 1049 1852 1083
+rect 1954 1049 1988 1083
+<< poly >>
+rect 80 965 110 991
+rect 270 965 300 991
+rect 356 965 386 991
+rect 442 965 472 991
+rect 632 965 662 991
+rect 704 965 734 991
+rect 824 965 854 991
+rect 896 965 926 991
+rect 982 965 1012 991
+rect 1054 965 1084 991
+rect 1174 965 1204 991
+rect 1246 965 1276 991
+rect 1332 965 1362 991
+rect 1522 965 1552 991
+rect 1608 965 1638 991
+rect 1694 965 1724 991
+rect 1884 965 1914 991
+rect 1970 965 2000 991
+rect 80 442 110 565
+rect 270 527 300 565
+rect 243 497 300 527
+rect 79 426 133 442
+rect 79 392 89 426
+rect 123 392 133 426
+rect 79 376 133 392
+rect 79 375 110 376
+rect 80 263 110 375
+rect 243 307 273 497
+rect 356 455 386 565
+rect 442 534 472 565
+rect 432 518 486 534
+rect 432 484 442 518
+rect 476 484 486 518
+rect 432 468 486 484
+rect 315 439 386 455
+rect 315 405 325 439
+rect 359 405 386 439
+rect 315 389 386 405
+rect 219 291 273 307
+rect 356 333 386 389
+rect 356 303 400 333
+rect 219 257 229 291
+rect 263 271 273 291
+rect 263 257 302 271
+rect 370 263 400 303
+rect 442 263 472 468
+rect 632 425 662 565
+rect 704 534 734 565
+rect 704 518 758 534
+rect 704 484 714 518
+rect 748 484 758 518
+rect 704 468 758 484
+rect 632 409 686 425
+rect 824 423 854 565
+rect 896 528 926 565
+rect 982 528 1012 565
+rect 896 518 1012 528
+rect 896 484 928 518
+rect 962 484 1012 518
+rect 896 474 1012 484
+rect 1054 423 1084 565
+rect 1174 534 1204 565
+rect 1150 518 1204 534
+rect 1150 484 1160 518
+rect 1194 484 1204 518
+rect 1150 468 1204 484
+rect 632 375 642 409
+rect 676 375 686 409
+rect 632 359 686 375
+rect 728 393 1180 423
+rect 632 263 662 359
+rect 728 315 758 393
+rect 1150 351 1180 393
+rect 1246 419 1276 565
+rect 1332 534 1362 565
+rect 1332 518 1403 534
+rect 1522 528 1552 565
+rect 1332 504 1359 518
+rect 1343 484 1359 504
+rect 1393 484 1403 518
+rect 1343 468 1403 484
+rect 1486 518 1552 528
+rect 1486 484 1502 518
+rect 1536 484 1552 518
+rect 1486 474 1552 484
+rect 1246 403 1300 419
+rect 1246 369 1256 403
+rect 1290 369 1300 403
+rect 1246 353 1300 369
+rect 704 285 758 315
+rect 800 335 854 351
+rect 800 301 810 335
+rect 844 301 854 335
+rect 800 285 854 301
+rect 704 263 734 285
+rect 824 263 854 285
+rect 896 335 1012 345
+rect 896 301 928 335
+rect 962 301 1012 335
+rect 896 291 1012 301
+rect 896 263 926 291
+rect 982 263 1012 291
+rect 1054 335 1108 351
+rect 1054 301 1064 335
+rect 1098 301 1108 335
+rect 1054 285 1108 301
+rect 1150 335 1204 351
+rect 1150 301 1160 335
+rect 1194 301 1204 335
+rect 1150 285 1204 301
+rect 1054 263 1084 285
+rect 1174 263 1204 285
+rect 1246 263 1276 353
+rect 1343 315 1373 468
+rect 1332 285 1373 315
+rect 1486 318 1516 474
+rect 1608 432 1638 565
+rect 1694 527 1724 565
+rect 1884 549 1914 713
+rect 1694 497 1775 527
+rect 1576 416 1638 432
+rect 1576 382 1588 416
+rect 1622 382 1638 416
+rect 1576 366 1638 382
+rect 1486 286 1552 318
+rect 1332 263 1362 285
+rect 1522 263 1552 286
+rect 1594 263 1624 366
+rect 1745 307 1775 497
+rect 1874 519 1914 549
+rect 1874 419 1904 519
+rect 1970 460 2000 713
+rect 1849 403 1904 419
+rect 1849 369 1859 403
+rect 1893 369 1904 403
+rect 1946 444 2000 460
+rect 1946 410 1956 444
+rect 1990 410 2000 444
+rect 1946 394 2000 410
+rect 1849 353 1904 369
+rect 1874 308 1904 353
+rect 1745 291 1799 307
+rect 1745 271 1755 291
+rect 219 241 302 257
+rect 272 219 302 241
+rect 1692 257 1755 271
+rect 1789 257 1799 291
+rect 1874 278 1914 308
+rect 1692 241 1799 257
+rect 1692 219 1722 241
+rect 1884 219 1914 278
+rect 1970 219 2000 394
+rect 80 89 110 115
+rect 272 89 302 115
+rect 370 89 400 115
+rect 442 89 472 115
+rect 632 89 662 115
+rect 704 89 734 115
+rect 824 89 854 115
+rect 896 89 926 115
+rect 982 89 1012 115
+rect 1054 89 1084 115
+rect 1174 89 1204 115
+rect 1246 89 1276 115
+rect 1332 89 1362 115
+rect 1522 89 1552 115
+rect 1594 89 1624 115
+rect 1692 89 1722 115
+rect 1884 89 1914 115
+rect 1970 89 2000 115
+<< polycont >>
+rect 89 392 123 426
+rect 442 484 476 518
+rect 325 405 359 439
+rect 229 257 263 291
+rect 714 484 748 518
+rect 928 484 962 518
+rect 1160 484 1194 518
+rect 642 375 676 409
+rect 1359 484 1393 518
+rect 1502 484 1536 518
+rect 1256 369 1290 403
+rect 810 301 844 335
+rect 928 301 962 335
+rect 1064 301 1098 335
+rect 1160 301 1194 335
+rect 1588 382 1622 416
+rect 1859 369 1893 403
+rect 1956 410 1990 444
+rect 1755 257 1789 291
+<< locali >>
+rect 0 1093 2090 1110
+rect 0 1049 50 1093
+rect 84 1049 186 1093
+rect 220 1049 322 1093
+rect 356 1049 458 1093
+rect 492 1049 594 1093
+rect 628 1049 730 1093
+rect 764 1049 866 1093
+rect 900 1049 1002 1093
+rect 1036 1049 1138 1093
+rect 1172 1049 1274 1093
+rect 1308 1049 1410 1093
+rect 1444 1049 1546 1093
+rect 1580 1049 1682 1093
+rect 1716 1049 1818 1093
+rect 1852 1049 1954 1093
+rect 1988 1049 2090 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 442 81 597
+rect 121 513 155 605
+rect 225 949 259 965
+rect 121 479 191 513
+rect 47 426 123 442
+rect 47 392 89 426
+rect 89 376 123 392
+rect 157 291 191 479
+rect 225 369 259 673
+rect 311 949 345 965
+rect 397 949 431 1049
+rect 397 725 431 741
+rect 483 949 517 965
+rect 345 673 483 691
+rect 311 657 517 673
+rect 587 949 621 1049
+rect 587 657 621 673
+rect 745 949 813 965
+rect 937 949 971 1049
+rect 937 657 971 673
+rect 1095 949 1163 965
+rect 813 605 816 623
+rect 745 602 816 605
+rect 1095 602 1163 673
+rect 442 568 816 602
+rect 996 568 1163 602
+rect 1287 949 1321 1049
+rect 1287 589 1321 605
+rect 1373 949 1407 965
+rect 1477 949 1511 965
+rect 1563 949 1597 1049
+rect 1563 725 1597 741
+rect 1649 949 1683 965
+rect 1511 673 1649 691
+rect 1477 657 1683 673
+rect 1735 949 1769 965
+rect 1373 602 1407 605
+rect 1373 568 1461 602
+rect 325 439 359 523
+rect 442 518 476 568
+rect 309 405 325 439
+rect 359 405 375 439
+rect 225 335 359 369
+rect 121 257 229 291
+rect 263 257 279 291
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 257
+rect 325 215 359 301
+rect 442 318 476 484
+rect 714 518 748 534
+rect 714 483 748 484
+rect 928 518 962 534
+rect 748 449 844 483
+rect 642 409 676 425
+rect 642 359 676 375
+rect 810 335 844 449
+rect 928 335 962 484
+rect 442 284 776 318
+rect 810 285 844 301
+rect 928 285 962 301
+rect 996 335 1030 568
+rect 1160 518 1194 534
+rect 1160 483 1194 484
+rect 742 231 776 284
+rect 996 251 1030 301
+rect 1064 449 1160 483
+rect 1359 518 1393 534
+rect 1359 483 1393 484
+rect 1064 335 1098 449
+rect 1427 403 1461 568
+rect 1240 369 1256 403
+rect 1290 369 1306 403
+rect 1373 369 1461 403
+rect 1502 518 1536 534
+rect 1373 335 1407 369
+rect 1144 301 1160 335
+rect 1194 301 1407 335
+rect 1502 335 1536 484
+rect 1570 432 1604 523
+rect 1570 416 1638 432
+rect 1570 382 1588 416
+rect 1622 382 1638 416
+rect 1735 403 1769 673
+rect 1839 949 1873 965
+rect 1839 557 1873 809
+rect 1925 949 1959 1049
+rect 1925 793 1959 809
+rect 2011 949 2045 965
+rect 2011 631 2045 809
+rect 2044 614 2045 631
+rect 2044 597 2068 614
+rect 2011 580 2068 597
+rect 1839 518 1873 523
+rect 1839 484 1990 518
+rect 1956 444 1990 484
+rect 1672 369 1859 403
+rect 1893 369 1909 403
+rect 1672 347 1706 369
+rect 1635 313 1706 347
+rect 1956 335 1990 410
+rect 1064 285 1098 301
+rect 121 115 155 131
+rect 227 165 261 181
+rect 227 61 261 131
+rect 325 115 359 131
+rect 483 215 517 231
+rect 483 61 517 131
+rect 587 215 621 231
+rect 742 215 813 231
+rect 996 217 1163 251
+rect 742 197 745 215
+rect 587 61 621 131
+rect 1095 215 1163 217
+rect 745 115 813 131
+rect 937 165 971 181
+rect 937 61 971 131
+rect 1095 115 1163 131
+rect 1287 215 1321 231
+rect 1287 61 1321 131
+rect 1373 215 1407 301
+rect 1373 115 1407 131
+rect 1477 215 1511 231
+rect 1477 61 1511 131
+rect 1635 215 1669 313
+rect 1839 301 1990 335
+rect 1739 257 1755 291
+rect 1789 257 1805 291
+rect 1635 115 1669 131
+rect 1733 165 1767 181
+rect 1733 61 1767 131
+rect 1839 165 1873 301
+rect 2034 268 2068 580
+rect 2011 234 2068 268
+rect 1839 115 1873 131
+rect 1925 165 1959 181
+rect 1925 61 1959 131
+rect 2011 165 2045 234
+rect 2011 115 2045 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
+<< viali >>
+rect 50 1083 84 1093
+rect 50 1059 84 1083
+rect 186 1083 220 1093
+rect 186 1059 220 1083
+rect 322 1083 356 1093
+rect 322 1059 356 1083
+rect 458 1083 492 1093
+rect 458 1059 492 1083
+rect 594 1083 628 1093
+rect 594 1059 628 1083
+rect 730 1083 764 1093
+rect 730 1059 764 1083
+rect 866 1083 900 1093
+rect 866 1059 900 1083
+rect 1002 1083 1036 1093
+rect 1002 1059 1036 1083
+rect 1138 1083 1172 1093
+rect 1138 1059 1172 1083
+rect 1274 1083 1308 1093
+rect 1274 1059 1308 1083
+rect 1410 1083 1444 1093
+rect 1410 1059 1444 1083
+rect 1546 1083 1580 1093
+rect 1546 1059 1580 1083
+rect 1682 1083 1716 1093
+rect 1682 1059 1716 1083
+rect 1818 1083 1852 1093
+rect 1818 1059 1852 1083
+rect 1954 1083 1988 1093
+rect 1954 1059 1988 1083
+rect 47 597 81 631
+rect 325 523 359 557
+rect 325 301 359 335
+rect 229 257 263 261
+rect 229 227 263 257
+rect 714 449 748 483
+rect 642 375 676 409
+rect 910 301 928 335
+rect 928 301 944 335
+rect 996 301 1030 335
+rect 1160 449 1194 483
+rect 1359 449 1393 483
+rect 1256 369 1290 403
+rect 1570 523 1604 557
+rect 2010 597 2044 631
+rect 1839 523 1873 557
+rect 1859 369 1893 403
+rect 1502 301 1536 335
+rect 1755 257 1789 261
+rect 1755 227 1789 257
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
+<< metal1 >>
+rect 0 1093 2090 1110
+rect 0 1059 50 1093
+rect 84 1059 186 1093
+rect 220 1059 322 1093
+rect 356 1059 458 1093
+rect 492 1059 594 1093
+rect 628 1059 730 1093
+rect 764 1059 866 1093
+rect 900 1059 1002 1093
+rect 1036 1059 1138 1093
+rect 1172 1059 1274 1093
+rect 1308 1059 1410 1093
+rect 1444 1059 1546 1093
+rect 1580 1059 1682 1093
+rect 1716 1059 1818 1093
+rect 1852 1059 1954 1093
+rect 1988 1059 2090 1093
+rect 0 1049 2090 1059
+rect 35 631 93 637
+rect 1998 631 2056 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 1976 597 2010 631
+rect 2044 597 2056 631
+rect 35 591 93 597
+rect 1998 591 2056 597
+rect 313 557 371 563
+rect 1558 557 1616 563
+rect 1827 557 1885 563
+rect 313 523 325 557
+rect 359 523 1570 557
+rect 1604 523 1616 557
+rect 1804 523 1839 557
+rect 1873 523 1885 557
+rect 313 517 371 523
+rect 1558 517 1616 523
+rect 1827 517 1885 523
+rect 702 483 760 489
+rect 1148 483 1206 489
+rect 1347 483 1405 489
+rect 702 449 714 483
+rect 748 449 1160 483
+rect 1194 449 1359 483
+rect 1393 449 1405 483
+rect 702 443 760 449
+rect 1148 443 1206 449
+rect 1347 443 1405 449
+rect 630 409 688 415
+rect 630 375 642 409
+rect 676 375 710 409
+rect 1244 403 1302 409
+rect 1847 403 1905 409
+rect 630 369 688 375
+rect 1244 369 1256 403
+rect 1290 369 1859 403
+rect 1893 369 1905 403
+rect 1244 363 1302 369
+rect 1847 363 1905 369
+rect 313 335 371 341
+rect 898 335 956 341
+rect 313 301 325 335
+rect 359 301 910 335
+rect 944 301 956 335
+rect 313 295 371 301
+rect 898 295 956 301
+rect 984 335 1042 341
+rect 1490 335 1548 341
+rect 984 301 996 335
+rect 1030 301 1502 335
+rect 1536 301 1548 335
+rect 984 295 1042 301
+rect 1490 295 1548 301
+rect 217 261 275 267
+rect 1743 261 1801 267
+rect 217 227 229 261
+rect 263 227 1755 261
+rect 1789 227 1801 261
+rect 217 221 275 227
+rect 1743 221 1801 227
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
+<< labels >>
+rlabel viali 659 392 659 392 1 D
+port 1 n
+rlabel viali 1376 466 1376 466 1 CK
+port 2 n
+rlabel viali 1857 540 1857 540 1 QN
+port 3 n
+rlabel viali 2027 614 2027 614 1 Q
+port 4 n
+rlabel viali 1587 540 1587 540 1 SN
+port 5 n
+rlabel viali 65 614 65 614 1 RN
+port 6 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1066 67 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__fill_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_1.mag
new file mode 100644
index 0000000..8d445b8
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_1.mag
@@ -0,0 +1,24 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1274 2461 1301 2601
+rect -1760 -1129 6260 2461
+rect -1274 -1260 1301 -1129
+<< error_p >>
+rect 0 1271 34 1332
+rect 41 581 154 1341
+rect 0 0 34 61
+<< nwell >>
+rect -14 529 41 1119
+<< locali >>
+rect 0 1049 22 1110
+rect 0 0 22 61
+<< metal1 >>
+rect 0 1049 22 1110
+rect 0 0 22 61
+<< labels >>
+rlabel metal1 11 28 11 28 1 gnd
+rlabel metal1 11 1077 11 1077 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__fill_16.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_16.mag
new file mode 100644
index 0000000..83c32da
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_16.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007753
+<< checkpaint >>
+rect -1269 2461 1615 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1615 -1129
+<< nwell >>
+rect -9 529 355 1119
+<< locali >>
+rect 0 1049 352 1110
+rect 0 0 352 61
+<< metal1 >>
+rect 0 1049 352 1110
+rect 0 0 352 61
+<< labels >>
+rlabel metal1 196 30 196 30 1 gnd
+rlabel metal1 199 1078 199 1078 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__fill_2.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_2.mag
new file mode 100644
index 0000000..bde58ab
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_2.mag
@@ -0,0 +1,24 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1267 2461 1310 2601
+rect -1760 -1129 6260 2461
+rect -1267 -1260 1310 -1129
+<< error_p >>
+rect 0 1271 44 1332
+rect 50 581 161 1341
+rect 0 0 44 61
+<< nwell >>
+rect -7 529 50 1119
+<< locali >>
+rect 0 1049 44 1110
+rect 0 0 44 61
+<< metal1 >>
+rect 0 1049 44 1110
+rect 0 0 44 61
+<< labels >>
+rlabel metal1 23 28 23 28 1 gnd
+rlabel metal1 22 1078 22 1078 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__fill_32.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_32.mag
new file mode 100644
index 0000000..4cf67de
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_32.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1967 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1967 -1129
+<< nwell >>
+rect -9 529 707 1119
+<< locali >>
+rect 0 1049 704 1110
+rect 0 0 704 61
+<< metal1 >>
+rect 0 1049 704 1110
+rect 0 0 704 61
+<< labels >>
+rlabel metal1 363 26 363 26 1 gnd
+rlabel metal1 374 1076 374 1076 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__fill_4.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_4.mag
new file mode 100644
index 0000000..8b2fb75
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_4.mag
@@ -0,0 +1,22 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1356 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1356 -1129
+<< error_p >>
+rect 96 581 159 1341
+<< nwell >>
+rect -9 529 96 1119
+<< locali >>
+rect 0 1049 88 1110
+rect 0 0 88 61
+<< metal1 >>
+rect 0 1049 88 1110
+rect 0 0 88 61
+<< labels >>
+rlabel metal1 71 28 71 28 1 gnd
+rlabel metal1 72 1079 72 1079 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__fill_8.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_8.mag
new file mode 100644
index 0000000..2d49aaa
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__fill_8.mag
@@ -0,0 +1,20 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 1439 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1439 -1129
+<< nwell >>
+rect -9 529 179 1119
+<< locali >>
+rect 0 1049 176 1110
+rect 0 0 176 61
+<< metal1 >>
+rect 0 1049 176 1110
+rect 0 0 176 61
+<< labels >>
+rlabel metal1 112 28 112 28 1 gnd
+rlabel metal1 111 1081 111 1081 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__inv_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_1.mag
new file mode 100644
index 0000000..4624f76
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_1.mag
@@ -0,0 +1,115 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1459 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1459 -1129
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 263
+<< pmos >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 442 110 565
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 263 110 376
+rect 80 89 110 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel metal1 151 389 151 389 1 Y
+port 1 n
+rlabel viali 64 614 64 614 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__inv_10.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_10.mag
new file mode 100644
index 0000000..b7a4a5e
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_10.mag
@@ -0,0 +1,420 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007754
+<< checkpaint >>
+rect -1269 2461 2250 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2250 -1129
+<< nwell >>
+rect -9 529 990 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 854 263
+rect 798 131 809 215
+rect 843 131 854 215
+rect 798 115 854 131
+rect 884 215 937 263
+rect 884 131 895 215
+rect 929 131 937 215
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 854 965
+rect 798 605 809 949
+rect 843 605 854 949
+rect 798 565 854 605
+rect 884 949 937 965
+rect 884 605 895 949
+rect 929 605 937 949
+rect 884 565 937 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+rect 895 131 929 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+rect 895 605 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 80 510 884 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 884 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 596 263 626 288
+rect 682 263 712 288
+rect 768 263 798 288
+rect 854 263 884 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 557 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 809 949 843 965
+rect 809 557 843 605
+rect 895 949 929 1049
+rect 895 589 929 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 809 215 843 227
+rect 809 115 843 131
+rect 895 215 929 231
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 637 523 671 557
+rect 809 523 843 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 809 227 843 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 625 557 683 563
+rect 797 557 855 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 637 557
+rect 671 523 809 557
+rect 843 523 855 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 625 517 683 523
+rect 797 517 855 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 637 267 671 517
+rect 809 267 843 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 809 261
+rect 843 227 855 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__inv_2.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_2.mag
new file mode 100644
index 0000000..e8f43a3
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_2.mag
@@ -0,0 +1,153 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1547 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1547 -1129
+<< nwell >>
+rect -9 529 287 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 249 263
+rect 196 131 207 215
+rect 241 131 249 215
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 249 965
+rect 196 605 207 949
+rect 241 605 249 949
+rect 196 565 249 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 992
+rect 80 540 110 565
+rect 166 540 196 565
+rect 80 510 196 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 196 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__inv_3.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_3.mag
new file mode 100644
index 0000000..4bb78f5
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_3.mag
@@ -0,0 +1,182 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 80 510 282 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 282 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 339 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 339 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__inv_4.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_4.mag
new file mode 100644
index 0000000..42f5e44
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_4.mag
@@ -0,0 +1,216 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1723 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1723 -1129
+<< nwell >>
+rect -9 529 463 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 421 263
+rect 368 131 379 215
+rect 413 131 421 215
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 421 965
+rect 368 605 379 949
+rect 413 605 421 949
+rect 368 565 421 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 80 510 368 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 80 288 368 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 339 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 339 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 64 613 64 613 1 A
+port 1 n
+rlabel metal1 152 388 152 388 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__inv_6.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_6.mag
new file mode 100644
index 0000000..189b110
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_6.mag
@@ -0,0 +1,280 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007755
+<< checkpaint >>
+rect -1269 2461 1899 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1899 -1129
+<< nwell >>
+rect -9 529 639 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 593 263
+rect 540 131 551 215
+rect 585 131 593 215
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 593 965
+rect 540 605 551 949
+rect 585 605 593 949
+rect 540 565 593 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 80 510 540 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 540 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 511 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 64 613 64 613 1 A
+port 1 n
+rlabel metal1 152 388 152 388 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__inv_8.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_8.mag
new file mode 100644
index 0000000..b20af53
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_8.mag
@@ -0,0 +1,343 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 2074 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2074 -1129
+<< nwell >>
+rect -9 529 814 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 765 263
+rect 712 131 723 215
+rect 757 131 765 215
+rect 712 115 765 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 252 965
+rect 196 605 207 949
+rect 241 605 252 949
+rect 196 565 252 605
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 765 965
+rect 712 605 723 949
+rect 757 605 765 949
+rect 712 565 765 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 605 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 991
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 80 540 110 565
+rect 166 540 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 80 510 712 540
+rect 80 442 110 510
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 318 110 376
+rect 424 318 454 510
+rect 80 288 712 318
+rect 80 263 110 288
+rect 166 263 196 288
+rect 252 263 282 288
+rect 338 263 368 288
+rect 424 263 454 288
+rect 510 263 540 288
+rect 596 263 626 288
+rect 682 263 712 288
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 814 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 814 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 605
+rect 207 949 241 1049
+rect 207 589 241 605
+rect 293 949 327 965
+rect 293 557 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 557 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 557 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 814 61
+rect 0 0 814 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 293 523 327 557
+rect 465 523 499 557
+rect 637 523 671 557
+rect 121 227 155 261
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+<< metal1 >>
+rect 0 1093 814 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 814 1093
+rect 0 1049 814 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 281 557 339 563
+rect 453 557 511 563
+rect 625 557 683 563
+rect 109 523 121 557
+rect 155 523 293 557
+rect 327 523 465 557
+rect 499 523 637 557
+rect 671 523 683 557
+rect 109 517 167 523
+rect 281 517 339 523
+rect 453 517 511 523
+rect 625 517 683 523
+rect 121 267 155 517
+rect 293 267 327 517
+rect 465 267 499 517
+rect 637 267 671 517
+rect 109 261 167 267
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 109 227 121 261
+rect 155 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 109 221 167 227
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 0 51 814 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 814 51
+rect 0 0 814 17
+<< labels >>
+rlabel metal1 152 388 152 388 1 Y
+port 1 n
+rlabel viali 64 613 64 613 1 A
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__inv_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_l.mag
new file mode 100644
index 0000000..f19ac2b
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__inv_l.mag
@@ -0,0 +1,113 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 219
+<< pmos >>
+rect 80 713 110 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 163 219
+rect 110 131 121 165
+rect 155 131 163 165
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 163 965
+rect 110 877 121 949
+rect 155 877 163 949
+rect 110 713 163 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 442 110 713
+rect 80 426 134 442
+rect 80 392 90 426
+rect 124 392 134 426
+rect 80 376 134 392
+rect 80 219 110 376
+rect 80 89 110 115
+<< polycont >>
+rect 90 392 124 426
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 426 81 597
+rect 121 557 155 877
+rect 47 392 90 426
+rect 124 392 140 426
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 227
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 47 597 81 631
+rect 121 523 155 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 127 631
+rect 35 591 93 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 167 557
+rect 109 517 167 523
+rect 121 267 155 517
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 64 614 64 614 1 A
+port 1 n
+rlabel metal1 151 389 151 389 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__mux2_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__mux2_1.mag
new file mode 100644
index 0000000..b9b1a93
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__mux2_1.mag
@@ -0,0 +1,227 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 1813 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1813 -1129
+<< nwell >>
+rect -9 529 553 1119
+<< nmos >>
+rect 80 115 110 263
+rect 270 115 300 263
+rect 356 115 386 263
+<< pmos >>
+rect 80 565 110 965
+rect 270 565 300 965
+rect 356 565 386 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+rect 217 215 270 263
+rect 217 131 225 215
+rect 259 131 270 215
+rect 217 115 270 131
+rect 300 215 356 263
+rect 300 131 311 215
+rect 345 131 356 215
+rect 300 115 356 131
+rect 386 215 439 263
+rect 386 131 397 215
+rect 431 131 439 215
+rect 386 115 439 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+rect 217 949 270 965
+rect 217 605 225 949
+rect 259 605 270 949
+rect 217 565 270 605
+rect 300 949 356 965
+rect 300 605 311 949
+rect 345 605 356 949
+rect 300 565 356 605
+rect 386 949 439 965
+rect 386 605 397 949
+rect 431 605 439 949
+rect 386 565 439 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 225 131 259 215
+rect 311 131 345 215
+rect 397 131 431 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 225 605 259 949
+rect 311 605 345 949
+rect 397 605 431 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 459 1049 493 1083
+<< poly >>
+rect 80 980 300 1010
+rect 80 965 110 980
+rect 270 965 300 980
+rect 356 965 386 991
+rect 80 442 110 565
+rect 270 539 300 565
+rect 152 503 218 513
+rect 152 469 168 503
+rect 202 489 218 503
+rect 356 489 386 565
+rect 202 469 386 489
+rect 152 459 386 469
+rect 27 426 110 442
+rect 27 392 37 426
+rect 71 417 110 426
+rect 71 392 386 417
+rect 27 387 386 392
+rect 27 376 110 387
+rect 80 263 110 376
+rect 152 335 218 345
+rect 152 301 168 335
+rect 202 321 218 335
+rect 202 301 300 321
+rect 152 291 300 301
+rect 270 263 300 291
+rect 356 263 386 387
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+<< polycont >>
+rect 168 469 202 503
+rect 37 392 71 426
+rect 168 301 202 335
+<< locali >>
+rect 0 1093 550 1110
+rect 0 1049 459 1093
+rect 493 1049 550 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 37 426 71 597
+rect 37 376 71 392
+rect 121 519 155 605
+rect 225 949 259 965
+rect 311 949 345 965
+rect 225 571 270 605
+rect 236 557 270 571
+rect 121 503 202 519
+rect 121 469 168 503
+rect 121 453 202 469
+rect 121 351 155 453
+rect 121 335 202 351
+rect 121 301 168 335
+rect 121 285 202 301
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 285
+rect 236 249 270 523
+rect 311 409 345 605
+rect 397 949 431 965
+rect 397 483 431 605
+rect 121 115 155 131
+rect 225 215 270 249
+rect 311 215 345 227
+rect 225 115 259 131
+rect 311 115 345 131
+rect 397 215 431 449
+rect 397 115 431 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 597 71 631
+rect 236 523 270 557
+rect 311 375 345 409
+rect 397 449 431 483
+rect 311 227 345 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 550 1110
+rect 0 1059 459 1093
+rect 493 1059 550 1093
+rect 0 1049 550 1059
+rect 25 631 83 637
+rect 25 597 37 631
+rect 71 597 117 631
+rect 25 591 83 597
+rect 224 557 282 563
+rect 190 523 236 557
+rect 270 523 282 557
+rect 224 517 282 523
+rect 385 483 443 489
+rect 351 449 397 483
+rect 431 449 443 483
+rect 385 443 443 449
+rect 299 409 357 415
+rect 299 375 311 409
+rect 345 375 357 409
+rect 299 369 357 375
+rect 311 267 345 369
+rect 299 261 357 267
+rect 299 227 311 261
+rect 345 227 357 261
+rect 299 221 357 227
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel viali 54 614 54 614 1 S0
+port 1 n
+rlabel viali 328 392 328 392 1 Y
+port 2 n
+rlabel viali 253 540 253 540 1 A0
+port 3 n
+rlabel viali 414 466 414 466 1 A1
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 476 1066 476 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__nand2_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__nand2_1.mag
new file mode 100644
index 0000000..2cd39aa
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__nand2_1.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 2461 1546 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1546 -1129
+<< nwell >>
+rect -9 529 286 1119
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 215 235 263
+rect 182 131 193 215
+rect 227 131 235 215
+rect 182 115 235 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 949 249 965
+rect 196 673 207 949
+rect 241 673 249 949
+rect 196 565 249 673
+<< ndiffc >>
+rect 35 131 69 215
+rect 193 131 227 215
+<< pdiffc >>
+rect 35 741 69 949
+rect 121 605 155 949
+rect 207 673 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 518 110 565
+rect 37 502 110 518
+rect 37 468 47 502
+rect 81 468 110 502
+rect 37 452 110 468
+rect 80 263 110 452
+rect 166 425 196 565
+rect 152 409 210 425
+rect 152 375 166 409
+rect 200 375 210 409
+rect 152 359 210 375
+rect 152 263 182 359
+rect 80 89 110 115
+rect 152 89 182 115
+<< polycont >>
+rect 47 468 81 502
+rect 166 375 200 409
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 121 949 155 965
+rect 47 502 81 597
+rect 47 452 81 468
+rect 207 949 241 1049
+rect 207 657 241 673
+rect 121 483 155 605
+rect 195 409 229 523
+rect 150 375 166 409
+rect 200 375 229 409
+rect 35 215 69 227
+rect 35 115 69 131
+rect 193 215 227 231
+rect 193 61 227 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 449 155 483
+rect 195 523 229 557
+rect 35 227 69 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 115 631
+rect 35 591 93 597
+rect 183 557 241 563
+rect 161 523 195 557
+rect 229 523 241 557
+rect 183 517 241 523
+rect 109 483 167 489
+rect 109 449 121 483
+rect 155 449 167 483
+rect 109 443 167 449
+rect 23 261 81 267
+rect 121 261 155 443
+rect 23 227 35 261
+rect 69 227 155 261
+rect 23 221 81 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 136 418 136 418 1 Y
+port 1 n
+rlabel viali 64 614 64 614 1 A
+port 2 n
+rlabel viali 212 540 212 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__nand2_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__nand2_l.mag
new file mode 100644
index 0000000..b712439
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__nand2_l.mag
@@ -0,0 +1,155 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007756
+<< checkpaint >>
+rect -1269 -242 1546 2379
+<< nwell >>
+rect -9 529 286 1119
+<< nmos >>
+rect 80 115 110 219
+rect 152 115 182 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 115 152 219
+rect 182 165 235 219
+rect 182 131 193 165
+rect 227 131 235 165
+rect 182 115 235 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 949 166 965
+rect 110 877 121 949
+rect 155 877 166 949
+rect 110 713 166 877
+rect 196 949 249 965
+rect 196 877 207 949
+rect 241 877 249 949
+rect 196 713 249 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 193 131 227 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 121 877 155 949
+rect 207 877 241 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 80 518 110 713
+rect 37 502 110 518
+rect 37 468 47 502
+rect 81 468 110 502
+rect 37 452 110 468
+rect 80 219 110 452
+rect 166 368 196 713
+rect 152 352 210 368
+rect 152 318 166 352
+rect 200 318 210 352
+rect 152 302 210 318
+rect 152 219 182 302
+rect 80 89 110 115
+rect 152 89 182 115
+<< polycont >>
+rect 47 468 81 502
+rect 166 318 200 352
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 1049
+rect 35 861 69 877
+rect 121 949 155 965
+rect 47 502 81 597
+rect 47 452 81 468
+rect 121 483 155 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 195 352 229 523
+rect 150 318 166 352
+rect 200 318 229 352
+rect 35 165 69 227
+rect 35 115 69 131
+rect 193 165 227 181
+rect 193 61 227 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 47 597 81 631
+rect 121 449 155 483
+rect 195 523 229 557
+rect 35 227 69 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 35 631 93 637
+rect 35 597 47 631
+rect 81 597 115 631
+rect 35 591 93 597
+rect 183 557 241 563
+rect 161 523 195 557
+rect 229 523 241 557
+rect 183 517 241 523
+rect 109 483 167 489
+rect 109 449 121 483
+rect 155 449 167 483
+rect 109 443 167 449
+rect 23 261 81 267
+rect 121 261 155 443
+rect 23 227 35 261
+rect 69 227 155 261
+rect 23 221 81 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 136 418 136 418 1 Y
+port 1 n
+rlabel viali 212 540 212 540 1 B
+port 2 n
+rlabel viali 64 614 64 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__nor2_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__nor2_1.mag
new file mode 100644
index 0000000..1e0dad9
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__nor2_1.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 2461 1546 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1546 -1129
+<< nwell >>
+rect -9 529 286 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+<< pmos >>
+rect 80 565 110 965
+rect 152 565 182 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 249 263
+rect 196 131 207 215
+rect 241 131 249 215
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 565 152 965
+rect 182 949 235 965
+rect 182 741 193 949
+rect 227 741 235 949
+rect 182 565 235 741
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 193 741 227 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 80 399 110 565
+rect 152 532 182 565
+rect 152 516 225 532
+rect 152 482 181 516
+rect 215 482 225 516
+rect 152 466 225 482
+rect 56 383 110 399
+rect 56 349 66 383
+rect 100 349 110 383
+rect 56 333 110 349
+rect 80 263 110 333
+rect 166 263 196 466
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 181 482 215 516
+rect 66 349 100 383
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 193 949 227 1049
+rect 193 725 227 741
+rect 35 483 69 605
+rect 113 383 147 523
+rect 181 516 215 597
+rect 181 466 215 482
+rect 50 349 66 383
+rect 100 349 147 383
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 227
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 181 597 215 631
+rect 35 449 69 483
+rect 113 523 147 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 169 631 227 637
+rect 148 597 181 631
+rect 215 597 227 631
+rect 169 591 227 597
+rect 101 557 159 563
+rect 79 523 113 557
+rect 147 523 159 557
+rect 101 517 159 523
+rect 23 483 81 489
+rect 23 449 35 483
+rect 69 449 155 483
+rect 23 443 81 449
+rect 121 267 155 449
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 137 341 137 341 1 Y
+port 1 n
+rlabel viali 130 540 130 540 1 B
+port 2 n
+rlabel viali 198 614 198 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__nor2_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__nor2_l.mag
new file mode 100644
index 0000000..82e5998
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__nor2_l.mag
@@ -0,0 +1,155 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1546 2379
+<< nwell >>
+rect -9 529 286 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+<< pmos >>
+rect 80 713 110 965
+rect 152 713 182 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 249 219
+rect 196 131 207 165
+rect 241 131 249 165
+rect 196 115 249 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 713 152 965
+rect 182 949 235 965
+rect 182 809 193 949
+rect 227 809 235 949
+rect 182 713 235 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 193 809 227 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 80 399 110 713
+rect 152 532 182 713
+rect 152 516 225 532
+rect 152 482 181 516
+rect 215 482 225 516
+rect 152 466 225 482
+rect 56 383 110 399
+rect 56 349 66 383
+rect 100 349 110 383
+rect 56 333 110 349
+rect 80 219 110 333
+rect 166 219 196 466
+rect 80 89 110 115
+rect 166 89 196 115
+<< polycont >>
+rect 181 482 215 516
+rect 66 349 100 383
+<< locali >>
+rect 0 1093 286 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 286 1093
+rect 35 949 69 965
+rect 35 483 69 809
+rect 193 949 227 1049
+rect 193 793 227 809
+rect 113 383 147 523
+rect 181 516 215 597
+rect 181 466 215 482
+rect 50 349 66 383
+rect 100 349 147 383
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 227
+rect 121 115 155 131
+rect 207 165 241 181
+rect 207 61 241 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 181 597 215 631
+rect 35 449 69 483
+rect 113 523 147 557
+rect 121 227 155 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 286 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 286 1093
+rect 0 1049 286 1059
+rect 169 631 227 637
+rect 148 597 181 631
+rect 215 597 227 631
+rect 169 591 227 597
+rect 101 557 159 563
+rect 79 523 113 557
+rect 147 523 159 557
+rect 101 517 159 523
+rect 23 483 81 489
+rect 23 449 35 483
+rect 69 449 155 483
+rect 23 443 81 449
+rect 121 267 155 449
+rect 109 261 167 267
+rect 109 227 121 261
+rect 155 227 167 261
+rect 109 221 167 227
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
+<< labels >>
+rlabel metal1 137 341 137 341 1 Y
+port 1 n
+rlabel viali 198 614 198 614 1 A
+port 2 n
+rlabel viali 130 540 130 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__oai21_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__oai21_l.mag
new file mode 100644
index 0000000..8ff2f94
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__oai21_l.mag
@@ -0,0 +1,194 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 152 565 182 965
+rect 250 713 280 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 214 335 263
+rect 282 131 293 214
+rect 327 131 335 214
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 565 152 965
+rect 182 949 250 965
+rect 182 809 193 949
+rect 227 809 250 949
+rect 182 713 250 809
+rect 280 949 333 965
+rect 280 809 291 949
+rect 325 809 333 949
+rect 280 713 333 809
+rect 182 565 235 713
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 207 131 241 215
+rect 293 131 327 214
+<< pdiffc >>
+rect 35 741 69 949
+rect 193 809 227 949
+rect 291 809 325 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 250 965 280 991
+rect 80 533 110 565
+rect 56 517 110 533
+rect 56 483 66 517
+rect 100 483 110 517
+rect 56 467 110 483
+rect 56 318 86 467
+rect 152 419 182 565
+rect 136 409 202 419
+rect 136 375 152 409
+rect 186 375 202 409
+rect 136 365 202 375
+rect 56 288 110 318
+rect 80 263 110 288
+rect 166 263 196 365
+rect 250 357 280 713
+rect 250 341 306 357
+rect 252 307 262 341
+rect 296 307 306 341
+rect 252 291 306 307
+rect 252 263 282 291
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 66 483 100 517
+rect 152 375 186 409
+rect 262 307 296 341
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 193 949 227 1049
+rect 193 793 227 809
+rect 291 949 325 965
+rect 35 699 69 741
+rect 291 699 325 809
+rect 35 665 325 699
+rect 66 517 100 597
+rect 66 467 100 483
+rect 152 523 162 557
+rect 152 409 186 523
+rect 152 359 186 375
+rect 223 341 257 449
+rect 291 409 325 665
+rect 223 307 262 341
+rect 296 307 312 341
+rect 35 215 241 249
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 207 115 241 131
+rect 293 214 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 66 597 100 631
+rect 162 523 196 557
+rect 223 449 257 483
+rect 291 375 325 409
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 54 631 112 637
+rect 54 597 66 631
+rect 100 597 134 631
+rect 54 591 112 597
+rect 150 557 208 563
+rect 150 523 162 557
+rect 196 523 230 557
+rect 150 517 208 523
+rect 211 483 269 489
+rect 189 449 223 483
+rect 257 449 269 483
+rect 211 443 269 449
+rect 279 409 337 415
+rect 279 375 291 409
+rect 325 375 337 409
+rect 279 369 337 375
+rect 293 267 327 369
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel viali 83 614 83 614 1 A0
+port 1 n
+rlabel viali 179 540 179 540 1 A1
+port 2 n
+rlabel viali 308 392 308 392 1 Y
+port 3 n
+rlabel viali 240 466 240 466 1 B0
+port 4 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__oai22_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__oai22_l.mag
new file mode 100644
index 0000000..a06c2bb
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__oai22_l.mag
@@ -0,0 +1,239 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 -242 1722 2379
+<< nwell >>
+rect -9 529 462 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmos >>
+rect 80 565 110 965
+rect 152 565 182 965
+rect 252 565 282 965
+rect 324 565 354 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 181 293 215
+rect 327 181 338 215
+rect 282 115 338 181
+rect 368 165 421 263
+rect 368 131 379 165
+rect 413 131 421 165
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 741 35 949
+rect 69 741 80 949
+rect 27 565 80 741
+rect 110 565 152 965
+rect 182 949 252 965
+rect 182 673 200 949
+rect 234 673 252 949
+rect 182 565 252 673
+rect 282 565 324 965
+rect 354 949 407 965
+rect 354 741 365 949
+rect 399 741 407 949
+rect 354 565 407 741
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 207 131 241 215
+rect 293 181 327 215
+rect 379 131 413 165
+<< pdiffc >>
+rect 35 741 69 949
+rect 200 673 234 949
+rect 365 741 399 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 152 965 182 991
+rect 252 965 282 991
+rect 324 965 354 991
+rect 80 533 110 565
+rect 56 517 110 533
+rect 56 483 66 517
+rect 100 483 110 517
+rect 56 467 110 483
+rect 56 318 86 467
+rect 152 419 182 565
+rect 130 409 196 419
+rect 130 375 146 409
+rect 180 375 196 409
+rect 130 365 196 375
+rect 56 288 110 318
+rect 80 263 110 288
+rect 166 263 196 365
+rect 252 361 282 565
+rect 324 540 354 565
+rect 324 510 368 540
+rect 338 426 368 510
+rect 338 410 430 426
+rect 338 376 384 410
+rect 418 376 430 410
+rect 238 345 292 361
+rect 238 311 248 345
+rect 282 311 292 345
+rect 238 295 292 311
+rect 338 360 430 376
+rect 252 263 282 295
+rect 338 263 368 360
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 66 483 100 517
+rect 146 375 180 409
+rect 384 376 418 410
+rect 248 311 282 345
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 1049
+rect 35 725 69 741
+rect 200 949 234 965
+rect 365 949 399 1049
+rect 365 725 399 741
+rect 200 648 234 673
+rect 200 614 350 648
+rect 66 517 100 597
+rect 66 467 100 483
+rect 146 523 162 557
+rect 146 409 180 523
+rect 146 359 180 375
+rect 223 361 257 449
+rect 223 345 282 361
+rect 223 311 248 345
+rect 223 295 282 311
+rect 316 335 350 614
+rect 384 410 418 426
+rect 384 360 418 376
+rect 35 215 241 249
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 293 227 316 261
+rect 293 215 327 227
+rect 293 165 327 181
+rect 379 165 413 181
+rect 207 129 241 131
+rect 379 129 413 131
+rect 207 95 413 129
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 66 597 100 631
+rect 162 523 196 557
+rect 223 449 257 483
+rect 384 376 418 410
+rect 316 301 350 335
+rect 316 227 350 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 54 631 112 637
+rect 54 597 66 631
+rect 100 597 134 631
+rect 54 591 112 597
+rect 150 557 208 563
+rect 150 523 162 557
+rect 196 523 230 557
+rect 150 517 208 523
+rect 211 483 269 489
+rect 189 449 223 483
+rect 257 449 269 483
+rect 211 443 269 449
+rect 372 410 430 416
+rect 350 376 384 410
+rect 418 376 430 410
+rect 372 370 430 376
+rect 304 335 362 341
+rect 304 301 316 335
+rect 350 301 362 335
+rect 304 295 362 301
+rect 316 267 350 295
+rect 304 261 362 267
+rect 304 227 316 261
+rect 350 227 362 261
+rect 304 221 362 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 240 466 240 466 1 B0
+port 4 n
+rlabel viali 179 540 179 540 1 A1
+port 2 n
+rlabel viali 83 614 83 614 1 A0
+port 1 n
+rlabel viali 333 318 333 318 1 Y
+port 3 n
+rlabel viali 401 393 401 393 1 B1
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__or2_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_1.mag
new file mode 100644
index 0000000..395426c
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_1.mag
@@ -0,0 +1,188 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007757
+<< checkpaint >>
+rect -1269 2461 1634 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1634 -1129
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 335 263
+rect 282 131 293 215
+rect 327 131 335 215
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 335 965
+rect 282 605 293 949
+rect 327 605 335 949
+rect 282 565 335 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 252 510 289 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 263 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__or2_2.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_2.mag
new file mode 100644
index 0000000..410cec8
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_2.mag
@@ -0,0 +1,223 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1722 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1722 -1129
+<< nwell >>
+rect -9 529 462 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 421 263
+rect 368 131 379 215
+rect 413 131 421 215
+rect 368 115 421 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 421 965
+rect 368 605 379 949
+rect 413 605 421 949
+rect 368 565 421 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 252 510 368 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 368 332
+rect 252 302 368 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 462 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 462 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1093 462 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 462 1093
+rect 0 1049 462 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__or2_4.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_4.mag
new file mode 100644
index 0000000..ab268a6
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_4.mag
@@ -0,0 +1,286 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1898 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1898 -1129
+<< nwell >>
+rect -9 529 638 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 593 263
+rect 540 131 551 215
+rect 585 131 593 215
+rect 540 115 593 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 593 965
+rect 540 605 551 949
+rect 585 605 593 949
+rect 540 565 593 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 252 510 540 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 303 318 540 332
+rect 252 302 540 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 483 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 465 449 499 483
+rect 293 227 327 261
+rect 465 227 499 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 511 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 511 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__or2_8.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_8.mag
new file mode 100644
index 0000000..4a0611b
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_8.mag
@@ -0,0 +1,428 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 2250 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 2250 -1129
+<< nwell >>
+rect -9 529 990 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 252 115 282 263
+rect 338 115 368 263
+rect 424 115 454 263
+rect 510 115 540 263
+rect 596 115 626 263
+rect 682 115 712 263
+rect 768 115 798 263
+rect 854 115 884 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 252 565 282 965
+rect 338 565 368 965
+rect 424 565 454 965
+rect 510 565 540 965
+rect 596 565 626 965
+rect 682 565 712 965
+rect 768 565 798 965
+rect 854 565 884 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 215 252 263
+rect 196 131 207 215
+rect 241 131 252 215
+rect 196 115 252 131
+rect 282 215 338 263
+rect 282 131 293 215
+rect 327 131 338 215
+rect 282 115 338 131
+rect 368 215 424 263
+rect 368 131 379 215
+rect 413 131 424 215
+rect 368 115 424 131
+rect 454 215 510 263
+rect 454 131 465 215
+rect 499 131 510 215
+rect 454 115 510 131
+rect 540 215 596 263
+rect 540 131 551 215
+rect 585 131 596 215
+rect 540 115 596 131
+rect 626 215 682 263
+rect 626 131 637 215
+rect 671 131 682 215
+rect 626 115 682 131
+rect 712 215 768 263
+rect 712 131 723 215
+rect 757 131 768 215
+rect 712 115 768 131
+rect 798 215 854 263
+rect 798 131 809 215
+rect 843 131 854 215
+rect 798 115 854 131
+rect 884 215 937 263
+rect 884 131 895 215
+rect 929 131 937 215
+rect 884 115 937 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 673 35 949
+rect 69 673 80 949
+rect 27 565 80 673
+rect 110 565 166 965
+rect 196 949 252 965
+rect 196 741 207 949
+rect 241 741 252 949
+rect 196 565 252 741
+rect 282 949 338 965
+rect 282 605 293 949
+rect 327 605 338 949
+rect 282 565 338 605
+rect 368 949 424 965
+rect 368 605 379 949
+rect 413 605 424 949
+rect 368 565 424 605
+rect 454 949 510 965
+rect 454 605 465 949
+rect 499 605 510 949
+rect 454 565 510 605
+rect 540 949 596 965
+rect 540 605 551 949
+rect 585 605 596 949
+rect 540 565 596 605
+rect 626 949 682 965
+rect 626 605 637 949
+rect 671 605 682 949
+rect 626 565 682 605
+rect 712 949 768 965
+rect 712 605 723 949
+rect 757 605 768 949
+rect 712 565 768 605
+rect 798 949 854 965
+rect 798 605 809 949
+rect 843 605 854 949
+rect 798 565 854 605
+rect 884 949 937 965
+rect 884 605 895 949
+rect 929 605 937 949
+rect 884 565 937 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 207 131 241 215
+rect 293 131 327 215
+rect 379 131 413 215
+rect 465 131 499 215
+rect 551 131 585 215
+rect 637 131 671 215
+rect 723 131 757 215
+rect 809 131 843 215
+rect 895 131 929 215
+<< pdiffc >>
+rect 35 673 69 949
+rect 207 741 241 949
+rect 293 605 327 949
+rect 379 605 413 949
+rect 465 605 499 949
+rect 551 605 585 949
+rect 637 605 671 949
+rect 723 605 757 949
+rect 809 605 843 949
+rect 895 605 929 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+rect 571 27 595 61
+rect 629 27 653 61
+rect 707 27 731 61
+rect 765 27 789 61
+rect 843 27 867 61
+rect 901 27 925 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+rect 571 1049 595 1083
+rect 629 1049 653 1083
+rect 707 1049 731 1083
+rect 765 1049 789 1083
+rect 843 1049 867 1083
+rect 901 1049 925 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+rect 595 27 629 61
+rect 731 27 765 61
+rect 867 27 901 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+rect 595 1049 629 1083
+rect 731 1049 765 1083
+rect 867 1049 901 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 338 965 368 992
+rect 424 965 454 991
+rect 510 965 540 991
+rect 596 965 626 991
+rect 682 965 712 991
+rect 768 965 798 991
+rect 854 965 884 991
+rect 80 516 110 565
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 565
+rect 252 540 282 565
+rect 338 540 368 565
+rect 424 540 454 565
+rect 510 540 540 565
+rect 596 540 626 565
+rect 682 540 712 565
+rect 768 540 798 565
+rect 854 540 884 565
+rect 252 510 884 540
+rect 80 263 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 263 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 332 313 352
+rect 596 332 626 510
+rect 303 318 884 332
+rect 252 302 884 318
+rect 252 263 282 302
+rect 338 263 368 302
+rect 424 263 454 302
+rect 510 263 540 302
+rect 596 263 626 302
+rect 682 263 712 302
+rect 768 263 798 302
+rect 854 263 884 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+rect 424 89 454 115
+rect 510 89 540 115
+rect 596 89 626 115
+rect 682 89 712 115
+rect 768 89 798 115
+rect 854 89 884 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 990 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 595 1093
+rect 629 1049 731 1093
+rect 765 1049 867 1093
+rect 901 1049 990 1093
+rect 35 949 69 965
+rect 207 949 241 1049
+rect 207 725 241 741
+rect 293 949 327 965
+rect 69 673 139 691
+rect 35 657 139 673
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 605
+rect 379 949 413 1049
+rect 379 589 413 605
+rect 465 949 499 965
+rect 465 483 499 605
+rect 551 949 585 1049
+rect 551 589 585 605
+rect 637 949 671 965
+rect 637 483 671 605
+rect 723 949 757 1049
+rect 723 589 757 605
+rect 809 949 843 965
+rect 809 483 843 605
+rect 895 949 929 1049
+rect 895 589 929 605
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 318
+rect 121 115 155 131
+rect 207 215 241 231
+rect 207 61 241 131
+rect 293 215 327 227
+rect 293 115 327 131
+rect 379 215 413 231
+rect 379 61 413 131
+rect 465 215 499 227
+rect 465 115 499 131
+rect 551 215 585 231
+rect 551 61 585 131
+rect 637 215 671 227
+rect 637 115 671 131
+rect 723 215 757 231
+rect 723 61 757 131
+rect 809 215 843 227
+rect 809 115 843 131
+rect 895 215 929 231
+rect 895 61 929 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 595 1083 629 1093
+rect 595 1059 629 1083
+rect 731 1083 765 1093
+rect 731 1059 765 1083
+rect 867 1083 901 1093
+rect 867 1059 901 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 465 449 499 483
+rect 637 449 671 483
+rect 809 449 843 483
+rect 293 227 327 261
+rect 465 227 499 261
+rect 637 227 671 261
+rect 809 227 843 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+<< metal1 >>
+rect 0 1093 990 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 595 1093
+rect 629 1059 731 1093
+rect 765 1059 867 1093
+rect 901 1059 990 1093
+rect 0 1049 990 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 453 483 511 489
+rect 625 483 683 489
+rect 797 483 855 489
+rect 281 449 293 483
+rect 327 449 465 483
+rect 499 449 637 483
+rect 671 449 809 483
+rect 843 449 855 483
+rect 281 443 339 449
+rect 453 443 511 449
+rect 625 443 683 449
+rect 797 443 855 449
+rect 293 267 327 443
+rect 465 267 499 443
+rect 637 267 671 443
+rect 809 267 843 443
+rect 281 261 339 267
+rect 453 261 511 267
+rect 625 261 683 267
+rect 797 261 855 267
+rect 281 227 293 261
+rect 327 227 465 261
+rect 499 227 637 261
+rect 671 227 683 261
+rect 712 227 809 261
+rect 843 227 855 261
+rect 281 221 339 227
+rect 453 221 511 227
+rect 625 221 683 227
+rect 797 221 855 227
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 190 614 190 614 1 A
+port 2 n
+rlabel viali 54 540 54 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__or2_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_l.mag
new file mode 100644
index 0000000..bbbeb0d
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__or2_l.mag
@@ -0,0 +1,186 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 -242 1634 2379
+<< nwell >>
+rect -9 529 374 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 252 115 282 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 252 713 282 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 165 252 219
+rect 196 131 207 165
+rect 241 131 252 165
+rect 196 115 252 131
+rect 282 165 335 219
+rect 282 131 293 165
+rect 327 131 335 165
+rect 282 115 335 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 877 35 949
+rect 69 877 80 949
+rect 27 713 80 877
+rect 110 713 166 965
+rect 196 949 252 965
+rect 196 877 207 949
+rect 241 877 252 949
+rect 196 713 252 877
+rect 282 949 335 965
+rect 282 877 293 949
+rect 327 877 335 949
+rect 282 713 335 877
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 207 131 241 165
+rect 293 131 327 165
+<< pdiffc >>
+rect 35 877 69 949
+rect 207 877 241 949
+rect 293 877 327 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 252 965 282 991
+rect 80 516 110 713
+rect 27 500 110 516
+rect 27 466 37 500
+rect 71 466 110 500
+rect 27 450 110 466
+rect 166 458 196 713
+rect 252 540 282 713
+rect 252 510 289 540
+rect 80 219 110 450
+rect 163 442 217 458
+rect 163 408 173 442
+rect 207 408 217 442
+rect 163 392 217 408
+rect 166 219 196 392
+rect 259 368 289 510
+rect 259 352 313 368
+rect 259 332 269 352
+rect 252 318 269 332
+rect 303 318 313 352
+rect 252 302 313 318
+rect 252 219 282 302
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+<< polycont >>
+rect 37 466 71 500
+rect 173 408 207 442
+rect 269 318 303 352
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 691 69 877
+rect 207 949 241 1049
+rect 207 861 241 877
+rect 293 949 327 965
+rect 35 657 139 691
+rect 37 500 71 523
+rect 37 450 71 466
+rect 105 352 139 657
+rect 173 442 207 597
+rect 293 483 327 877
+rect 173 392 207 408
+rect 105 318 269 352
+rect 303 318 319 352
+rect 35 165 69 181
+rect 35 61 69 131
+rect 121 165 155 318
+rect 121 115 155 131
+rect 207 165 241 181
+rect 207 61 241 131
+rect 293 165 327 227
+rect 293 115 327 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 37 523 71 557
+rect 173 597 207 631
+rect 293 449 327 483
+rect 293 227 327 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 161 631 219 637
+rect 140 597 173 631
+rect 207 597 219 631
+rect 161 591 219 597
+rect 25 557 83 563
+rect 25 523 37 557
+rect 71 523 105 557
+rect 25 517 83 523
+rect 281 483 339 489
+rect 281 449 293 483
+rect 327 449 339 483
+rect 281 443 339 449
+rect 293 267 327 443
+rect 281 261 339 267
+rect 281 227 293 261
+rect 327 227 339 261
+rect 281 221 339 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 311 392 311 392 1 Y
+port 1 n
+rlabel viali 54 540 54 540 1 B
+port 2 n
+rlabel viali 190 614 190 614 1 A
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__tbufi_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__tbufi_1.mag
new file mode 100644
index 0000000..ff9eeef
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__tbufi_1.mag
@@ -0,0 +1,187 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007758
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 321 263
+rect 268 131 279 215
+rect 313 131 321 215
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 673 121 949
+rect 155 673 166 949
+rect 110 565 166 673
+rect 196 565 238 965
+rect 268 949 321 965
+rect 268 605 279 949
+rect 313 605 321 949
+rect 268 565 321 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 313 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 673 155 949
+rect 279 605 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 565
+rect 39 520 110 550
+rect 39 308 69 520
+rect 166 477 196 565
+rect 133 461 196 477
+rect 133 427 143 461
+rect 177 427 196 461
+rect 133 411 196 427
+rect 238 399 268 565
+rect 238 383 292 399
+rect 111 335 165 351
+rect 111 308 121 335
+rect 39 301 121 308
+rect 155 308 165 335
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 155 301 196 308
+rect 39 278 196 301
+rect 80 263 110 278
+rect 166 263 196 278
+rect 238 263 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 143 427 177 461
+rect 121 301 155 335
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 657 155 673
+rect 279 949 313 965
+rect 35 461 69 605
+rect 143 461 177 477
+rect 35 427 143 461
+rect 35 215 69 427
+rect 143 411 177 427
+rect 211 383 245 597
+rect 279 483 313 605
+rect 211 349 248 383
+rect 282 349 298 383
+rect 103 301 121 335
+rect 155 301 171 335
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 215 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 279 449 313 483
+rect 121 301 155 335
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 189 557
+rect 109 517 167 523
+rect 121 341 155 517
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 109 335 167 341
+rect 109 301 121 335
+rect 155 301 167 335
+rect 109 295 167 301
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel metal1 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__tbufi_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__tbufi_l.mag
new file mode 100644
index 0000000..02660c8
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__tbufi_l.mag
@@ -0,0 +1,185 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1635 2379
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 238 115 268 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 238 713 268 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 219
+rect 268 165 321 219
+rect 268 131 279 165
+rect 313 131 321 165
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 949 166 965
+rect 110 809 121 949
+rect 155 809 166 949
+rect 110 713 166 809
+rect 196 713 238 965
+rect 268 949 321 965
+rect 268 809 279 949
+rect 313 809 321 949
+rect 268 713 321 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 279 131 313 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 121 809 155 949
+rect 279 809 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 713
+rect 39 520 110 550
+rect 39 308 69 520
+rect 166 477 196 713
+rect 133 461 196 477
+rect 133 427 143 461
+rect 177 427 196 461
+rect 133 411 196 427
+rect 238 399 268 713
+rect 238 383 292 399
+rect 111 335 165 351
+rect 111 308 121 335
+rect 39 301 121 308
+rect 155 308 165 335
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 155 301 196 308
+rect 39 278 196 301
+rect 80 219 110 278
+rect 166 219 196 278
+rect 238 219 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 143 427 177 461
+rect 121 301 155 335
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 461 69 809
+rect 121 949 155 1049
+rect 121 793 155 809
+rect 279 949 313 965
+rect 143 461 177 477
+rect 35 427 143 461
+rect 35 165 69 427
+rect 143 411 177 427
+rect 211 383 245 597
+rect 279 483 313 809
+rect 211 349 248 383
+rect 282 349 298 383
+rect 103 301 121 335
+rect 155 301 171 335
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 279 165 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 279 449 313 483
+rect 121 301 155 335
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 189 557
+rect 109 517 167 523
+rect 121 341 155 517
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 109 335 167 341
+rect 109 301 121 335
+rect 155 301 167 335
+rect 109 295 167 301
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel metal1 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__tiehi.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__tiehi.mag
new file mode 100644
index 0000000..bb41ef9
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__tiehi.mag
@@ -0,0 +1,99 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1459 2379
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 263
+<< pmos >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 381 110 565
+rect 80 365 134 381
+rect 80 331 90 365
+rect 124 331 134 365
+rect 80 315 134 331
+rect 80 263 110 315
+rect 80 89 110 115
+<< polycont >>
+rect 90 331 124 365
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 589 69 605
+rect 121 949 155 965
+rect 121 557 155 605
+rect 74 331 90 365
+rect 124 331 155 365
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 331
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 121 523 155 557
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 94 557 167 563
+rect 94 523 121 557
+rect 155 523 167 557
+rect 94 517 167 523
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 138 540 138 540 1 Y
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__tielo.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__tielo.mag
new file mode 100644
index 0000000..74bcacb
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__tielo.mag
@@ -0,0 +1,101 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 2461 1459 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1459 -1129
+<< nwell >>
+rect -9 529 199 1119
+<< nmos >>
+rect 80 115 110 263
+<< pmos >>
+rect 80 565 110 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 163 263
+rect 110 131 121 215
+rect 155 131 163 215
+rect 110 115 163 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 163 965
+rect 110 605 121 949
+rect 155 605 163 949
+rect 110 565 163 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+<< poly >>
+rect 80 965 110 991
+rect 80 513 110 565
+rect 80 497 134 513
+rect 80 463 90 497
+rect 124 463 134 497
+rect 80 447 134 463
+rect 80 263 110 447
+rect 80 89 110 115
+<< polycont >>
+rect 90 463 124 497
+<< locali >>
+rect 0 1093 198 1110
+rect 0 1049 51 1093
+rect 85 1049 198 1093
+rect 35 949 69 1049
+rect 35 589 69 605
+rect 121 949 155 965
+rect 121 497 155 605
+rect 74 463 90 497
+rect 124 463 155 497
+rect 35 215 69 231
+rect 35 61 69 131
+rect 121 215 155 301
+rect 121 115 155 131
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 121 301 155 335
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1093 198 1110
+rect 0 1059 51 1093
+rect 85 1059 198 1093
+rect 0 1049 198 1059
+rect 94 335 167 341
+rect 94 301 121 335
+rect 155 301 167 335
+rect 94 295 167 301
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 138 318 138 318 1 Y
+port 1 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__tnbufi_1.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__tnbufi_1.mag
new file mode 100644
index 0000000..54a1dca
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__tnbufi_1.mag
@@ -0,0 +1,182 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 2461 1635 2601
+rect -1760 -1129 6260 2461
+rect -1269 -1260 1635 -1129
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 321 263
+rect 268 131 279 215
+rect 313 131 321 215
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 673 121 949
+rect 155 673 166 949
+rect 110 565 166 673
+rect 196 565 238 965
+rect 268 949 321 965
+rect 268 605 279 949
+rect 313 605 321 949
+rect 268 565 321 605
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 313 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 673 155 949
+rect 279 605 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 565
+rect 166 550 196 565
+rect 39 520 196 550
+rect 39 308 69 520
+rect 111 518 165 520
+rect 111 484 121 518
+rect 155 484 165 518
+rect 111 468 165 484
+rect 111 400 196 416
+rect 111 366 121 400
+rect 155 366 196 400
+rect 111 350 196 366
+rect 39 278 110 308
+rect 80 263 110 278
+rect 166 263 196 350
+rect 238 399 268 565
+rect 238 383 292 399
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 238 263 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 121 484 155 518
+rect 121 366 155 400
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 657 155 673
+rect 279 949 313 965
+rect 35 400 69 605
+rect 121 518 155 523
+rect 121 468 155 484
+rect 121 400 155 416
+rect 35 366 121 400
+rect 35 215 69 366
+rect 121 350 155 366
+rect 211 383 245 597
+rect 279 483 313 605
+rect 211 349 248 383
+rect 282 349 298 383
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 215 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 121 523 155 557
+rect 279 449 313 483
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 189 557
+rect 109 517 167 523
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel viali 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__tnbufi_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__tnbufi_l.mag
new file mode 100644
index 0000000..d0771d7
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__tnbufi_l.mag
@@ -0,0 +1,180 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1635 2379
+<< nwell >>
+rect -9 529 375 1119
+<< nmos >>
+rect 80 115 110 219
+rect 166 115 196 219
+rect 238 115 268 219
+<< pmos >>
+rect 80 713 110 965
+rect 166 713 196 965
+rect 238 713 268 965
+<< ndiff >>
+rect 27 165 80 219
+rect 27 131 35 165
+rect 69 131 80 165
+rect 27 115 80 131
+rect 110 165 166 219
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 219
+rect 268 165 321 219
+rect 268 131 279 165
+rect 313 131 321 165
+rect 268 115 321 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 809 35 949
+rect 69 809 80 949
+rect 27 713 80 809
+rect 110 949 166 965
+rect 110 809 121 949
+rect 155 809 166 949
+rect 110 713 166 809
+rect 196 713 238 965
+rect 268 949 321 965
+rect 268 809 279 949
+rect 313 809 321 949
+rect 268 713 321 809
+<< ndiffc >>
+rect 35 131 69 165
+rect 121 131 155 165
+rect 279 131 313 165
+<< pdiffc >>
+rect 35 809 69 949
+rect 121 809 155 949
+rect 279 809 313 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 80 550 110 713
+rect 166 550 196 713
+rect 39 520 196 550
+rect 39 308 69 520
+rect 111 518 165 520
+rect 111 484 121 518
+rect 155 484 165 518
+rect 111 468 165 484
+rect 111 400 196 416
+rect 111 366 121 400
+rect 155 366 196 400
+rect 111 350 196 366
+rect 39 278 110 308
+rect 80 219 110 278
+rect 166 219 196 350
+rect 238 399 268 713
+rect 238 383 292 399
+rect 238 349 248 383
+rect 282 349 292 383
+rect 238 333 292 349
+rect 238 219 268 333
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+<< polycont >>
+rect 121 484 155 518
+rect 121 366 155 400
+rect 248 349 282 383
+<< locali >>
+rect 0 1093 374 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 374 1093
+rect 35 949 69 965
+rect 35 400 69 809
+rect 121 949 155 1049
+rect 121 793 155 809
+rect 279 949 313 965
+rect 121 518 155 523
+rect 121 468 155 484
+rect 121 400 155 416
+rect 35 366 121 400
+rect 35 165 69 366
+rect 121 350 155 366
+rect 211 383 245 597
+rect 279 483 313 809
+rect 211 349 248 383
+rect 282 349 298 383
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 279 165 313 227
+rect 279 115 313 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 211 597 245 631
+rect 121 523 155 557
+rect 279 449 313 483
+rect 279 227 313 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+<< metal1 >>
+rect 0 1093 374 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 374 1093
+rect 0 1049 374 1059
+rect 199 631 257 637
+rect 177 597 211 631
+rect 245 597 257 631
+rect 199 591 257 597
+rect 109 557 167 563
+rect 109 523 121 557
+rect 155 523 189 557
+rect 109 517 167 523
+rect 267 483 325 489
+rect 267 449 279 483
+rect 313 449 325 483
+rect 267 443 325 449
+rect 279 267 313 443
+rect 267 261 325 267
+rect 267 227 279 261
+rect 313 227 325 261
+rect 267 221 325 227
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
+<< labels >>
+rlabel metal1 305 312 305 312 1 Y
+port 1 n
+rlabel viali 228 614 228 614 1 A
+port 2 n
+rlabel viali 138 540 138 540 1 OE
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__xnor2_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__xnor2_l.mag
new file mode 100644
index 0000000..9246946
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__xnor2_l.mag
@@ -0,0 +1,291 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007759
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+rect 358 115 388 263
+rect 430 115 460 263
+rect 516 115 546 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+rect 358 565 388 965
+rect 430 565 460 965
+rect 516 565 546 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 165 166 263
+rect 110 131 121 165
+rect 155 131 166 165
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 358 263
+rect 268 131 279 215
+rect 347 131 358 215
+rect 268 115 358 131
+rect 388 115 430 263
+rect 460 165 516 263
+rect 460 131 471 165
+rect 505 131 516 165
+rect 460 115 516 131
+rect 546 215 599 263
+rect 546 131 557 215
+rect 591 131 599 215
+rect 546 115 599 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 605 121 949
+rect 155 605 166 949
+rect 110 565 166 605
+rect 196 565 238 965
+rect 268 949 358 965
+rect 268 605 279 949
+rect 347 605 358 949
+rect 268 565 358 605
+rect 388 565 430 965
+rect 460 949 516 965
+rect 460 605 471 949
+rect 505 605 516 949
+rect 460 565 516 605
+rect 546 949 599 965
+rect 546 606 557 949
+rect 591 606 599 949
+rect 546 565 599 606
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 165
+rect 279 131 347 215
+rect 471 131 505 165
+rect 557 131 591 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 605 155 949
+rect 279 605 347 949
+rect 471 605 505 949
+rect 557 606 591 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 358 965 388 991
+rect 430 965 460 991
+rect 516 965 546 991
+rect 80 550 110 565
+rect 70 520 110 550
+rect 70 308 100 520
+rect 166 459 196 565
+rect 142 443 196 459
+rect 142 409 152 443
+rect 186 409 196 443
+rect 142 393 196 409
+rect 238 534 268 565
+rect 238 518 292 534
+rect 238 484 248 518
+rect 282 484 292 518
+rect 238 468 292 484
+rect 142 335 196 351
+rect 142 308 152 335
+rect 70 301 152 308
+rect 186 301 196 335
+rect 70 278 196 301
+rect 80 263 110 278
+rect 166 263 196 278
+rect 238 263 268 468
+rect 358 459 388 565
+rect 430 550 460 565
+rect 516 550 546 565
+rect 430 520 546 550
+rect 358 443 472 459
+rect 358 429 428 443
+rect 418 409 428 429
+rect 462 409 472 443
+rect 418 393 472 409
+rect 516 351 546 520
+rect 326 335 380 351
+rect 326 301 336 335
+rect 370 308 380 335
+rect 479 335 546 351
+rect 479 308 489 335
+rect 370 301 388 308
+rect 326 285 388 301
+rect 358 263 388 285
+rect 430 301 489 308
+rect 523 301 546 335
+rect 430 278 546 301
+rect 430 263 460 278
+rect 516 263 546 278
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+rect 358 89 388 115
+rect 430 89 460 115
+rect 516 89 546 115
+<< polycont >>
+rect 152 409 186 443
+rect 248 484 282 518
+rect 152 301 186 335
+rect 428 409 462 443
+rect 336 301 370 335
+rect 489 301 523 335
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 35 443 69 605
+rect 121 949 155 1049
+rect 279 949 347 965
+rect 121 589 155 605
+rect 268 631 279 637
+rect 302 597 347 605
+rect 279 589 347 597
+rect 471 949 505 1049
+rect 471 589 505 605
+rect 557 949 591 965
+rect 557 518 591 606
+rect 232 484 248 518
+rect 282 484 591 518
+rect 35 409 152 443
+rect 186 409 370 443
+rect 35 215 69 409
+rect 152 335 186 351
+rect 336 335 370 409
+rect 152 261 186 301
+rect 268 231 302 301
+rect 336 285 370 301
+rect 412 409 428 443
+rect 462 409 478 443
+rect 412 261 446 409
+rect 489 335 523 351
+rect 489 285 523 301
+rect 268 215 347 231
+rect 268 197 279 215
+rect 35 115 69 131
+rect 121 165 155 181
+rect 121 61 155 131
+rect 557 215 591 484
+rect 279 115 347 131
+rect 471 165 505 181
+rect 471 61 505 131
+rect 557 115 591 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 268 605 279 631
+rect 279 605 302 631
+rect 268 597 302 605
+rect 152 227 186 261
+rect 268 301 302 335
+rect 489 301 523 335
+rect 412 227 446 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 256 631 314 637
+rect 256 597 268 631
+rect 302 597 314 631
+rect 256 591 314 597
+rect 268 341 302 591
+rect 256 335 314 341
+rect 477 335 535 341
+rect 256 301 268 335
+rect 302 301 314 335
+rect 455 301 489 335
+rect 523 301 535 335
+rect 256 295 314 301
+rect 477 295 535 301
+rect 140 261 198 267
+rect 400 261 458 267
+rect 140 227 152 261
+rect 186 227 412 261
+rect 446 227 458 261
+rect 140 221 198 227
+rect 400 221 458 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 170 244 170 244 1 A
+port 1 n
+rlabel viali 506 318 506 318 1 B
+port 2 n
+rlabel metal1 284 375 284 375 1 Y
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/15T_ms/sky130_osu_sc_15T_ms__xor2_l.mag b/lib/15T_ms/sky130_osu_sc_15T_ms__xor2_l.mag
new file mode 100644
index 0000000..2ebc29e
--- /dev/null
+++ b/lib/15T_ms/sky130_osu_sc_15T_ms__xor2_l.mag
@@ -0,0 +1,290 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1604007760
+<< checkpaint >>
+rect -1269 -242 1898 2379
+<< nwell >>
+rect -9 529 638 1119
+<< nmos >>
+rect 80 115 110 263
+rect 166 115 196 263
+rect 238 115 268 263
+rect 358 115 388 263
+rect 430 115 460 263
+rect 516 115 546 263
+<< pmos >>
+rect 80 565 110 965
+rect 166 565 196 965
+rect 238 565 268 965
+rect 358 565 388 965
+rect 430 565 460 965
+rect 516 565 546 965
+<< ndiff >>
+rect 27 215 80 263
+rect 27 131 35 215
+rect 69 131 80 215
+rect 27 115 80 131
+rect 110 215 166 263
+rect 110 131 121 215
+rect 155 131 166 215
+rect 110 115 166 131
+rect 196 115 238 263
+rect 268 215 358 263
+rect 268 131 279 215
+rect 347 131 358 215
+rect 268 115 358 131
+rect 388 115 430 263
+rect 460 215 516 263
+rect 460 131 471 215
+rect 505 131 516 215
+rect 460 115 516 131
+rect 546 215 599 263
+rect 546 131 557 215
+rect 591 131 599 215
+rect 546 115 599 131
+<< pdiff >>
+rect 27 949 80 965
+rect 27 605 35 949
+rect 69 605 80 949
+rect 27 565 80 605
+rect 110 949 166 965
+rect 110 741 121 949
+rect 155 741 166 949
+rect 110 565 166 741
+rect 196 565 238 965
+rect 268 949 358 965
+rect 268 605 279 949
+rect 347 605 358 949
+rect 268 565 358 605
+rect 388 565 430 965
+rect 460 949 516 965
+rect 460 741 471 949
+rect 505 741 516 949
+rect 460 565 516 741
+rect 546 949 599 965
+rect 546 606 557 949
+rect 591 606 599 949
+rect 546 565 599 606
+<< ndiffc >>
+rect 35 131 69 215
+rect 121 131 155 215
+rect 279 131 347 215
+rect 471 131 505 215
+rect 557 131 591 215
+<< pdiffc >>
+rect 35 605 69 949
+rect 121 741 155 949
+rect 279 605 347 949
+rect 471 741 505 949
+rect 557 606 591 949
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 27 1049 51 1083
+rect 85 1049 109 1083
+rect 163 1049 187 1083
+rect 221 1049 245 1083
+rect 299 1049 323 1083
+rect 357 1049 381 1083
+rect 435 1049 459 1083
+rect 493 1049 517 1083
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 51 1049 85 1083
+rect 187 1049 221 1083
+rect 323 1049 357 1083
+rect 459 1049 493 1083
+<< poly >>
+rect 80 965 110 991
+rect 166 965 196 991
+rect 238 965 268 991
+rect 358 965 388 991
+rect 430 965 460 991
+rect 516 965 546 991
+rect 80 550 110 565
+rect 166 550 196 565
+rect 70 520 196 550
+rect 70 303 100 520
+rect 142 518 196 520
+rect 142 484 152 518
+rect 186 484 196 518
+rect 142 468 196 484
+rect 142 410 196 426
+rect 142 376 152 410
+rect 186 376 196 410
+rect 142 360 196 376
+rect 70 278 110 303
+rect 80 263 110 278
+rect 166 263 196 360
+rect 238 351 268 565
+rect 358 534 388 565
+rect 334 518 388 534
+rect 430 550 460 565
+rect 516 550 546 565
+rect 430 520 546 550
+rect 334 484 344 518
+rect 378 484 388 518
+rect 334 468 388 484
+rect 479 518 546 520
+rect 479 484 489 518
+rect 523 484 546 518
+rect 479 468 546 484
+rect 420 409 474 425
+rect 420 380 430 409
+rect 358 375 430 380
+rect 464 375 474 409
+rect 238 335 292 351
+rect 238 301 248 335
+rect 282 301 292 335
+rect 238 285 292 301
+rect 358 350 474 375
+rect 238 263 268 285
+rect 358 263 388 350
+rect 516 308 546 468
+rect 430 278 546 308
+rect 430 263 460 278
+rect 516 263 546 278
+rect 80 89 110 115
+rect 166 89 196 115
+rect 238 89 268 115
+rect 358 89 388 115
+rect 430 89 460 115
+rect 516 89 546 115
+<< polycont >>
+rect 152 484 186 518
+rect 152 376 186 410
+rect 344 484 378 518
+rect 489 484 523 518
+rect 430 375 464 409
+rect 248 301 282 335
+<< locali >>
+rect 0 1093 638 1110
+rect 0 1049 51 1093
+rect 85 1049 187 1093
+rect 221 1049 323 1093
+rect 357 1049 459 1093
+rect 493 1049 638 1093
+rect 35 949 69 965
+rect 121 949 155 1049
+rect 121 725 155 741
+rect 279 949 347 965
+rect 35 410 69 605
+rect 152 597 200 631
+rect 268 605 279 623
+rect 471 949 505 1049
+rect 471 725 505 741
+rect 557 949 591 965
+rect 152 518 186 597
+rect 268 589 347 605
+rect 136 484 152 518
+rect 186 484 202 518
+rect 268 483 302 589
+rect 344 518 378 534
+rect 344 410 378 484
+rect 35 376 152 410
+rect 186 376 378 410
+rect 412 409 446 597
+rect 489 518 523 523
+rect 489 468 523 484
+rect 35 215 69 376
+rect 412 375 430 409
+rect 464 375 480 409
+rect 557 335 591 606
+rect 232 301 248 335
+rect 282 301 591 335
+rect 35 115 69 131
+rect 121 215 155 231
+rect 121 61 155 131
+rect 279 227 296 231
+rect 330 227 347 231
+rect 279 215 347 227
+rect 279 115 347 131
+rect 471 215 505 231
+rect 471 61 505 131
+rect 557 215 591 301
+rect 557 115 591 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
+<< viali >>
+rect 51 1083 85 1093
+rect 51 1059 85 1083
+rect 187 1083 221 1093
+rect 187 1059 221 1083
+rect 323 1083 357 1093
+rect 323 1059 357 1083
+rect 459 1083 493 1093
+rect 459 1059 493 1083
+rect 200 597 234 631
+rect 412 597 446 631
+rect 268 449 302 483
+rect 489 523 523 557
+rect 296 227 330 261
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1093 638 1110
+rect 0 1059 51 1093
+rect 85 1059 187 1093
+rect 221 1059 323 1093
+rect 357 1059 459 1093
+rect 493 1059 638 1093
+rect 0 1049 638 1059
+rect 188 631 246 637
+rect 400 631 458 637
+rect 188 597 200 631
+rect 234 597 412 631
+rect 446 597 458 631
+rect 188 591 246 597
+rect 400 591 458 597
+rect 477 557 535 563
+rect 455 523 489 557
+rect 523 523 535 557
+rect 477 517 535 523
+rect 256 483 314 489
+rect 256 449 268 483
+rect 302 449 314 483
+rect 256 443 314 449
+rect 268 267 302 443
+rect 268 261 342 267
+rect 268 227 296 261
+rect 330 227 342 261
+rect 284 221 342 227
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
+<< labels >>
+rlabel viali 218 614 218 614 1 A
+port 1 n
+rlabel metal1 285 434 285 434 1 Y
+port 2 n
+rlabel viali 506 540 506 540 1 B
+port 3 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1066 68 1066 1 vdd
+<< end >>
diff --git a/lib/18T_hs/ANTFILL.mag b/lib/18T_hs/ANTFILL.mag
deleted file mode 100644
index 922a596..0000000
--- a/lib/18T_hs/ANTFILL.mag
+++ /dev/null
@@ -1,48 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548021
-<< nwell >>
-rect -9 581 199 1341
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 535 69 1217
-rect 121 641 155 1271
-rect 31 501 47 535
-rect 81 501 97 535
-rect 35 417 69 501
-rect 35 383 155 417
-rect 35 115 69 383
-rect 121 115 155 383
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 501 81 535
-<< metal1 >>
-rect 0 1271 198 1332
-rect 35 535 108 541
-rect 35 501 47 535
-rect 81 501 108 535
-rect 35 495 108 501
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 64 518 64 518 1 A
-port 1 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/18T_hs/TNBUFIX1.mag b/lib/18T_hs/TNBUFIX1.mag
deleted file mode 100644
index 5bebe51..0000000
--- a/lib/18T_hs/TNBUFIX1.mag
+++ /dev/null
@@ -1,164 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598625848
-<< nwell >>
-rect -9 581 375 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 238 617 268 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 321 315
-rect 268 131 279 267
-rect 313 131 321 267
-rect 268 115 321 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 725 121 1201
-rect 155 725 166 1201
-rect 110 617 166 725
-rect 196 617 238 1217
-rect 268 1201 321 1217
-rect 268 657 279 1201
-rect 313 657 321 1201
-rect 268 617 321 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 279 131 313 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 725 155 1201
-rect 279 657 313 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 238 1217 268 1243
-rect 80 602 110 617
-rect 166 602 196 617
-rect 39 572 196 602
-rect 39 360 69 572
-rect 111 570 165 572
-rect 111 536 121 570
-rect 155 536 165 570
-rect 111 520 165 536
-rect 111 452 196 468
-rect 111 418 121 452
-rect 155 418 196 452
-rect 111 402 196 418
-rect 39 330 110 360
-rect 80 315 110 330
-rect 166 315 196 402
-rect 238 451 268 617
-rect 238 435 292 451
-rect 238 401 248 435
-rect 282 401 292 435
-rect 238 385 292 401
-rect 238 315 268 385
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-<< polycont >>
-rect 121 536 155 570
-rect 121 418 155 452
-rect 248 401 282 435
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 709 155 725
-rect 279 1201 313 1217
-rect 35 452 69 657
-rect 121 570 155 575
-rect 121 520 155 536
-rect 121 452 155 468
-rect 35 418 121 452
-rect 35 267 69 418
-rect 121 402 155 418
-rect 211 435 245 649
-rect 279 535 313 657
-rect 211 401 248 435
-rect 282 401 298 435
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 279 267 313 279
-rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 211 649 245 683
-rect 121 575 155 609
-rect 279 501 313 535
-rect 279 279 313 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 199 683 257 689
-rect 177 649 211 683
-rect 245 649 257 683
-rect 199 643 257 649
-rect 109 609 167 615
-rect 109 575 121 609
-rect 155 575 189 609
-rect 109 569 167 575
-rect 267 535 325 541
-rect 267 501 279 535
-rect 313 501 325 535
-rect 267 495 325 501
-rect 279 319 313 495
-rect 267 313 325 319
-rect 267 279 279 313
-rect 313 279 325 313
-rect 267 273 325 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 305 364 305 364 1 Y
-port 1 n
-rlabel metal1 228 666 228 666 1 A
-port 2 n
-rlabel metal1 138 592 138 592 1 OE
-port 3 n
-<< end >>
diff --git a/lib/18T_hs/ADDFX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__addf_1.mag
similarity index 85%
rename from lib/18T_hs/ADDFX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__addf_1.mag
index 141d7c4..6868a3c 100644
--- a/lib/18T_hs/ADDFX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__addf_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600543577
+timestamp 1603838866
 << nwell >>
 rect -9 581 1435 1341
 << nmoslvt >>
@@ -367,18 +367,18 @@
 rect 1265 531 1299 565
 rect 1014 353 1048 387
 << locali >>
-rect 0 1305 1408 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1408 1305
+rect 0 1315 1408 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1408 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -482,19 +482,39 @@
 rect 1247 199 1281 215
 rect 1247 61 1281 131
 rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 80 501 114 535
 rect 248 427 282 461
 rect 80 353 114 387
@@ -510,8 +530,40 @@
 rect 1014 353 1048 387
 rect 1211 279 1245 313
 rect 1333 575 1367 609
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1408 1332
+rect 0 1315 1408 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1408 1315
+rect 0 1271 1408 1281
 rect 1131 684 1189 690
 rect 1109 650 1143 684
 rect 1177 650 1189 684
@@ -565,18 +617,28 @@
 rect 281 273 339 279
 rect 765 273 823 279
 rect 1199 273 1257 279
-rect 0 0 1408 61
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 97 370 97 370 1 A
 port 1 n
 rlabel metal1 265 444 265 444 1 CI
 port 2 n
 rlabel metal1 129 518 129 518 1 B
 port 3 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 1228 296 1228 296 1 CON
 port 4 n
 rlabel metal1 1160 667 1160 667 1 S
diff --git a/lib/18T_hs/ADDFXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__addf_l.mag
similarity index 85%
rename from lib/18T_hs/ADDFXL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__addf_l.mag
index 405c696..6ff0d31 100644
--- a/lib/18T_hs/ADDFXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__addf_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541074
+timestamp 1603839028
 << nwell >>
 rect -9 581 1435 1341
 << nmoslvt >>
@@ -369,18 +369,18 @@
 rect 1265 531 1299 565
 rect 1014 353 1048 387
 << locali >>
-rect 0 1305 1408 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1408 1305
+rect 0 1315 1408 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1408 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -484,19 +484,39 @@
 rect 1247 61 1281 131
 rect 1333 199 1367 575
 rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 80 501 114 535
 rect 248 427 282 461
 rect 80 353 114 387
@@ -512,8 +532,40 @@
 rect 1014 353 1048 387
 rect 1211 279 1245 313
 rect 1333 575 1367 609
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1408 1332
+rect 0 1315 1408 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1408 1315
+rect 0 1271 1408 1281
 rect 1131 690 1189 696
 rect 1109 656 1143 690
 rect 1177 656 1189 690
@@ -567,22 +619,32 @@
 rect 281 273 339 279
 rect 765 273 823 279
 rect 1199 273 1257 279
-rect 0 0 1408 61
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 97 370 97 370 1 A
 port 1 n
 rlabel metal1 265 444 265 444 1 CI
 port 2 n
 rlabel metal1 129 518 129 518 1 B
 port 3 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 1350 592 1350 592 1 CO
-port 4 n
-rlabel metal1 1160 673 1160 673 1 S
 port 5 n
-rlabel metal1 1228 296 1228 296 1 CON
+rlabel metal1 1160 673 1160 673 1 S
 port 6 n
+rlabel metal1 1228 296 1228 296 1 CON
+port 4 n
 << end >>
diff --git a/lib/18T_hs/ADDHX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__addh_1.mag
similarity index 83%
rename from lib/18T_hs/ADDHX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__addh_1.mag
index 0cdf161..0a2088c 100644
--- a/lib/18T_hs/ADDHX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__addh_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600491863
+timestamp 1603861324
 << nwell >>
 rect -9 581 837 1341
 << nmoslvt >>
@@ -210,14 +210,14 @@
 rect 624 427 658 461
 rect 348 374 382 408
 << locali >>
-rect 0 1305 836 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 836 1305
+rect 0 1315 836 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 836 1315
 rect 35 1201 69 1217
 rect 35 623 69 649
 rect 133 1201 167 1271
@@ -272,15 +272,27 @@
 rect 753 267 787 283
 rect 615 131 753 144
 rect 581 110 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 35 657 69 683
 rect 35 649 69 657
 rect 260 501 294 535
@@ -292,8 +304,28 @@
 rect 624 427 658 461
 rect 507 353 541 387
 rect 667 353 701 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 836 1332
+rect 0 1315 836 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 836 1315
+rect 0 1271 836 1281
 rect 23 683 81 689
 rect 23 649 35 683
 rect 69 649 81 683
@@ -334,12 +366,18 @@
 rect 23 278 35 312
 rect 69 278 81 312
 rect 23 272 81 278
-rect 0 0 836 61
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 50 477 50 477 1 S
 port 1 n
 rlabel metal1 737 518 737 518 1 A
diff --git a/lib/18T_hs/ADDHXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__addh_l.mag
similarity index 84%
rename from lib/18T_hs/ADDHXL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__addh_l.mag
index 2c7f4b3..fe914fd 100644
--- a/lib/18T_hs/ADDHXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__addh_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600491880
+timestamp 1603861428
 << nwell >>
 rect -9 581 837 1341
 << nmoslvt >>
@@ -214,14 +214,14 @@
 rect 624 427 658 461
 rect 348 374 382 408
 << locali >>
-rect 0 1305 836 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 836 1305
+rect 0 1315 836 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 836 1315
 rect 35 1201 69 1217
 rect 35 683 69 929
 rect 133 1201 167 1271
@@ -276,15 +276,27 @@
 rect 753 267 787 283
 rect 615 131 753 144
 rect 581 110 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 35 649 69 683
 rect 260 501 294 535
 rect 164 427 198 461
@@ -295,8 +307,28 @@
 rect 624 427 658 461
 rect 507 353 541 387
 rect 667 353 701 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 836 1332
+rect 0 1315 836 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 836 1315
+rect 0 1271 836 1281
 rect 23 683 81 689
 rect 23 649 35 683
 rect 69 649 81 683
@@ -337,12 +369,17 @@
 rect 23 278 35 312
 rect 69 278 81 312
 rect 23 272 81 278
-rect 0 0 836 61
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 50 477 50 477 1 S
 port 1 n
 rlabel metal1 737 518 737 518 1 A
@@ -353,4 +390,5 @@
 port 4 n
 rlabel metal1 684 370 684 370 1 CON
 port 5 n
+rlabel viali 68 44 68 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/AND2X1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_1.mag
similarity index 83%
rename from lib/18T_hs/AND2X1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__and2_1.mag
index 924f79f..6f8948d 100644
--- a/lib/18T_hs/AND2X1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562316
+timestamp 1603861476
 << nwell >>
 rect -9 581 374 1341
 << nmoslvt >>
@@ -101,10 +101,10 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -127,17 +127,29 @@
 rect 193 61 227 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X2.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_2.mag
similarity index 82%
rename from lib/18T_hs/AND2X2.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__and2_2.mag
index 84b8399..6a27835 100644
--- a/lib/18T_hs/AND2X2.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562327
+timestamp 1603861504
 << nwell >>
 rect -9 581 462 1341
 << nmoslvt >>
@@ -124,11 +124,11 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -155,18 +155,35 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -184,12 +201,15 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 191 592 191 592 1 B
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X4.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_4.mag
similarity index 83%
copy from lib/18T_hs/AND2X4.mag
copy to lib/18T_hs/sky130_osu_sc_18T_hs__and2_4.mag
index f31d570..9466cf4 100644
--- a/lib/18T_hs/AND2X4.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562339
+timestamp 1603861536
 << nwell >>
 rect -9 581 638 1341
 << nmoslvt >>
@@ -162,12 +162,12 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -202,21 +202,43 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 465 501 499 535
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -241,12 +263,16 @@
 rect 499 279 511 313
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X6.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_6.mag
similarity index 98%
rename from lib/18T_hs/AND2X6.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__and2_6.mag
index 7bc22e8..79c58b1 100644
--- a/lib/18T_hs/AND2X6.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_6.mag
@@ -300,9 +300,7 @@
 rect 625 273 683 279
 rect 0 0 814 61
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
 rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
 rlabel metal1 68 1288 68 1288 1 vdd
 rlabel metal1 184 592 184 592 1 B
 port 1 n
diff --git a/lib/18T_hs/AND2X8.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_8.mag
similarity index 83%
rename from lib/18T_hs/AND2X8.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__and2_8.mag
index fbd7a73..d73df53 100644
--- a/lib/18T_hs/AND2X8.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_8.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562368
+timestamp 1603861577
 << nwell >>
 rect -9 581 990 1341
 << nmoslvt >>
@@ -245,15 +245,15 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -304,16 +304,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
@@ -324,8 +338,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -365,12 +402,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 191 592 191 592 1 B
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2XL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_l.mag
similarity index 80%
rename from lib/18T_hs/AND2XL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__and2_l.mag
index 85559cc..45f7f51 100644
--- a/lib/18T_hs/AND2XL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__and2_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562283
+timestamp 1603992067
 << nwell >>
 rect -9 581 374 1341
 << nmoslvt >>
@@ -18,9 +18,9 @@
 rect 69 131 80 199
 rect 27 115 80 131
 rect 110 115 152 263
-rect 182 198 252 263
-rect 182 131 193 198
-rect 227 131 252 198
+rect 182 199 252 263
+rect 182 131 193 199
+rect 227 131 252 199
 rect 182 115 252 131
 rect 282 199 335 263
 rect 282 131 293 199
@@ -45,7 +45,7 @@
 rect 282 817 335 861
 << ndiffc >>
 rect 35 131 69 199
-rect 193 131 227 198
+rect 193 131 227 199
 rect 293 131 327 199
 << pdiffc >>
 rect 35 861 69 1201
@@ -101,10 +101,10 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 121 1201 155 1217
 rect 35 845 69 861
@@ -123,21 +123,33 @@
 rect 303 370 319 404
 rect 35 199 69 370
 rect 35 115 69 131
-rect 193 198 227 215
+rect 193 199 227 215
 rect 193 61 227 131
 rect 293 199 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/ANT.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__ant.mag
similarity index 76%
rename from lib/18T_hs/ANT.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__ant.mag
index 78645e1..f6afce8 100644
--- a/lib/18T_hs/ANT.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__ant.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548004
+timestamp 1603861735
 << nwell >>
 rect -9 581 199 1341
 << nmoslvt >>
@@ -54,9 +54,9 @@
 << polycont >>
 rect 47 501 81 535
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1217
 rect 35 535 69 657
 rect 121 1201 155 1271
@@ -69,23 +69,31 @@
 rect 35 115 69 131
 rect 121 267 155 383
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 501 81 535
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 535 108 541
 rect 35 501 47 535
 rect 81 501 108 535
 rect 35 495 108 501
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 64 518 64 518 1 A
 port 1 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/sky130_osu_sc_18T_hs__antfill.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__antfill.mag
new file mode 100644
index 0000000..2fd23c4
--- /dev/null
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__antfill.mag
@@ -0,0 +1,56 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603861660
+<< nwell >>
+rect -9 581 199 1341
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+<< locali >>
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
+rect 35 535 69 1217
+rect 121 641 155 1271
+rect 31 501 47 535
+rect 81 501 97 535
+rect 35 417 69 501
+rect 35 383 155 417
+rect 35 115 69 383
+rect 121 115 155 383
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 47 501 81 535
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 35 535 108 541
+rect 35 501 47 535
+rect 81 501 108 535
+rect 35 495 108 501
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel metal1 64 518 64 518 1 A
+port 1 n
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/AOI21XL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__aoi21_l.mag
similarity index 84%
rename from lib/18T_hs/AOI21XL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__aoi21_l.mag
index 766d734..7963848 100644
--- a/lib/18T_hs/AOI21XL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__aoi21_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541164
+timestamp 1603861837
 << nwell >>
 rect -9 581 374 1341
 << nmoslvt >>
@@ -104,10 +104,10 @@
 rect 146 434 180 468
 rect 289 355 323 389
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 845 155 861
@@ -131,18 +131,30 @@
 rect 193 115 227 131
 rect 291 199 325 215
 rect 291 61 325 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 60 649 94 683
 rect 128 575 162 609
 rect 216 501 250 535
 rect 293 427 327 461
 rect 193 279 227 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 48 683 106 689
 rect 48 649 60 683
 rect 94 649 128 683
@@ -164,12 +176,14 @@
 rect 181 279 193 313
 rect 227 279 327 313
 rect 181 273 239 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 77 666 77 666 1 A0
 port 1 n
 rlabel metal1 233 518 233 518 1 B0
diff --git a/lib/18T_hs/sky130_osu_sc_18T_hs__aoi22_l.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__aoi22_l.mag
new file mode 100644
index 0000000..4e478d4
--- /dev/null
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__aoi22_l.mag
@@ -0,0 +1,236 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603913306
+<< nwell >>
+rect -9 581 462 1341
+<< nmoslvt >>
+rect 80 115 110 315
+rect 152 115 182 315
+rect 252 115 282 315
+rect 324 115 354 315
+<< pmos >>
+rect 80 617 110 1217
+rect 166 617 196 1217
+rect 252 617 282 1217
+rect 338 617 368 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 115 152 315
+rect 182 267 252 315
+rect 182 131 200 267
+rect 234 131 252 267
+rect 182 115 252 131
+rect 282 115 324 315
+rect 354 267 407 315
+rect 354 131 365 267
+rect 399 131 407 267
+rect 354 115 407 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 166 1217
+rect 110 861 121 1201
+rect 155 861 166 1201
+rect 110 617 166 861
+rect 196 1201 252 1217
+rect 196 793 207 1201
+rect 241 793 252 1201
+rect 196 617 252 793
+rect 282 1133 338 1217
+rect 282 793 293 1133
+rect 327 793 338 1133
+rect 282 617 338 793
+rect 368 1201 421 1217
+rect 368 793 379 1201
+rect 413 793 421 1201
+rect 368 617 421 793
+<< ndiffc >>
+rect 35 131 69 267
+rect 200 131 234 267
+rect 365 131 399 267
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 861 155 1201
+rect 207 793 241 1201
+rect 293 793 327 1133
+rect 379 793 413 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+rect 163 1271 187 1305
+rect 221 1271 245 1305
+rect 299 1271 323 1305
+rect 357 1271 381 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+rect 187 1271 221 1305
+rect 323 1271 357 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 252 1217 282 1243
+rect 338 1217 368 1243
+rect 80 580 110 617
+rect 44 570 110 580
+rect 44 536 60 570
+rect 94 536 110 570
+rect 44 526 110 536
+rect 44 370 74 526
+rect 166 514 196 617
+rect 152 484 196 514
+rect 116 468 182 484
+rect 116 434 128 468
+rect 162 434 182 468
+rect 116 418 182 434
+rect 44 338 110 370
+rect 80 315 110 338
+rect 152 315 182 418
+rect 252 413 282 617
+rect 338 478 368 617
+rect 338 462 416 478
+rect 338 434 370 462
+rect 224 397 282 413
+rect 224 363 234 397
+rect 268 363 282 397
+rect 224 347 282 363
+rect 252 315 282 347
+rect 324 428 370 434
+rect 404 428 416 462
+rect 324 412 416 428
+rect 324 404 368 412
+rect 324 315 354 404
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 324 89 354 115
+<< polycont >>
+rect 60 536 94 570
+rect 128 434 162 468
+rect 234 363 268 397
+rect 370 428 404 462
+<< locali >>
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
+rect 35 1201 69 1217
+rect 121 1201 155 1271
+rect 121 845 155 861
+rect 207 1201 413 1217
+rect 69 793 207 811
+rect 241 1183 379 1201
+rect 35 777 241 793
+rect 293 1133 327 1149
+rect 60 570 94 649
+rect 293 666 327 793
+rect 379 777 413 793
+rect 293 632 336 666
+rect 60 520 94 536
+rect 128 468 162 575
+rect 128 418 162 434
+rect 216 413 250 501
+rect 216 397 268 413
+rect 216 363 234 397
+rect 234 347 268 363
+rect 302 387 336 632
+rect 370 462 404 478
+rect 370 412 404 428
+rect 35 267 69 283
+rect 35 61 69 131
+rect 200 267 234 279
+rect 200 115 234 131
+rect 365 267 399 283
+rect 365 61 399 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 60 649 94 683
+rect 128 575 162 609
+rect 216 501 250 535
+rect 370 428 404 462
+rect 302 353 336 387
+rect 200 279 234 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
+rect 48 683 106 689
+rect 48 649 60 683
+rect 94 649 128 683
+rect 48 643 106 649
+rect 116 609 174 615
+rect 116 575 128 609
+rect 162 575 196 609
+rect 116 569 174 575
+rect 204 535 262 541
+rect 182 501 216 535
+rect 250 501 262 535
+rect 204 495 262 501
+rect 358 462 416 468
+rect 336 428 370 462
+rect 404 428 416 462
+rect 358 422 416 428
+rect 290 387 348 393
+rect 290 353 302 387
+rect 336 353 348 387
+rect 290 347 348 353
+rect 188 313 246 319
+rect 304 313 338 347
+rect 188 279 200 313
+rect 234 279 338 313
+rect 188 273 246 279
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 77 666 77 666 1 A0
+port 1 n
+rlabel metal1 233 518 233 518 1 B0
+port 2 n
+rlabel viali 145 592 145 592 1 A1
+port 4 n
+rlabel viali 387 445 387 445 1 B1
+rlabel metal1 321 340 321 340 1 Y
+port 3 n
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/BUFX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_1.mag
similarity index 80%
rename from lib/18T_hs/BUFX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__buf_1.mag
index d9809a3..78cde4a 100644
--- a/lib/18T_hs/BUFX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598478415
+timestamp 1603861870
 << nwell >>
 rect -9 581 288 1341
 << nmoslvt >>
@@ -83,10 +83,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -104,16 +104,28 @@
 rect 121 61 155 131
 rect 207 267 241 279
 rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -127,12 +139,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 213 455 213 455 1 Y
diff --git a/lib/18T_hs/BUFX2.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_2.mag
similarity index 82%
rename from lib/18T_hs/BUFX2.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__buf_2.mag
index 5365687..f3845c8 100644
--- a/lib/18T_hs/BUFX2.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598478629
+timestamp 1603861892
 << nwell >>
 rect -9 581 376 1341
 << nmoslvt >>
@@ -100,10 +100,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -125,16 +125,28 @@
 rect 207 115 241 131
 rect 293 267 327 283
 rect 293 61 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -148,12 +160,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
diff --git a/lib/18T_hs/BUFX4.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_4.mag
similarity index 84%
rename from lib/18T_hs/BUFX4.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__buf_4.mag
index 2709ff4..1219ba2 100644
--- a/lib/18T_hs/BUFX4.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598479026
+timestamp 1603861950
 << nwell >>
 rect -9 581 552 1341
 << nmoslvt >>
@@ -138,11 +138,11 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 550 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 550 1305
+rect 0 1315 550 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 550 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -174,19 +174,36 @@
 rect 379 115 413 131
 rect 465 267 499 283
 rect 465 61 499 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 550 61
-rect 0 0 550 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 550 61
+rect 0 0 550 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 379 575 413 609
 rect 207 279 241 313
 rect 379 279 413 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 550 1332
+rect 0 1315 550 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 550 1315
+rect 0 1271 550 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -207,14 +224,17 @@
 rect 413 279 425 313
 rect 195 273 253 279
 rect 367 273 425 279
-rect 0 0 550 61
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 550 51
+rect 0 0 550 17
 << labels >>
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/BUFX6.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_6.mag
similarity index 98%
rename from lib/18T_hs/BUFX6.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__buf_6.mag
index ea22d8e..8e7b5d9 100644
--- a/lib/18T_hs/BUFX6.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_6.mag
@@ -277,8 +277,6 @@
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
 rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
 rlabel metal1 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/BUFX8.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_8.mag
similarity index 83%
copy from lib/18T_hs/BUFX8.mag
copy to lib/18T_hs/sky130_osu_sc_18T_hs__buf_8.mag
index 861dcc7..1133d8e 100644
--- a/lib/18T_hs/BUFX8.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_8.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598539224
+timestamp 1603862056
 << nwell >>
 rect -9 581 904 1341
 << nmoslvt >>
@@ -221,14 +221,14 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 902 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 902 1305
+rect 0 1315 902 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 902 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -274,15 +274,27 @@
 rect 723 115 757 131
 rect 809 267 843 283
 rect 809 61 843 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 902 61
-rect 0 0 902 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 902 61
+rect 0 0 902 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 379 575 413 609
@@ -292,8 +304,28 @@
 rect 379 279 413 313
 rect 551 279 585 313
 rect 723 279 757 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 902 1332
+rect 0 1315 902 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 902 1315
+rect 0 1271 902 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -328,14 +360,20 @@
 rect 367 273 425 279
 rect 539 273 597 279
 rect 711 273 769 279
-rect 0 0 902 61
+rect 0 51 902 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 902 51
+rect 0 0 902 17
 << labels >>
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/BUFXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_l.mag
similarity index 80%
rename from lib/18T_hs/BUFXL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__buf_l.mag
index 1ba4522..35a6dfd 100644
--- a/lib/18T_hs/BUFXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__buf_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541226
+timestamp 1603862077
 << nwell >>
 rect -9 581 288 1341
 << nmoslvt >>
@@ -83,10 +83,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 35 404 69 861
 rect 121 1201 155 1271
@@ -104,16 +104,28 @@
 rect 121 61 155 131
 rect 207 199 241 279
 rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -127,12 +139,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 214 452 214 452 1 Y
diff --git a/lib/18T_hs/DECAPX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__decap_1.mag
similarity index 70%
rename from lib/18T_hs/DECAPX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__decap_1.mag
index fd2970e..e163b95 100644
--- a/lib/18T_hs/DECAPX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__decap_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541287
+timestamp 1603862105
 << nwell >>
 rect -9 581 199 1341
 << nmoslvt >>
@@ -47,9 +47,9 @@
 rect 80 451 110 817
 rect 80 80 110 115
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 845 69 861
 rect 121 1201 155 1271
@@ -58,15 +58,24 @@
 rect 35 61 69 131
 rect 121 403 155 419
 rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
-rect 0 0 198 61
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/DECAPXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__decap_l.mag
similarity index 70%
rename from lib/18T_hs/DECAPXL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__decap_l.mag
index 8efae5d..01be96f 100644
--- a/lib/18T_hs/DECAPXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__decap_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548064
+timestamp 1603862119
 << nwell >>
 rect -9 581 199 1341
 << nmoslvt >>
@@ -47,9 +47,9 @@
 rect 80 315 110 1017
 rect 80 80 110 115
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 1049 69 1065
 rect 121 1201 155 1271
@@ -58,15 +58,24 @@
 rect 35 61 69 131
 rect 121 267 155 283
 rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
-rect 0 0 198 61
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/DFFX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__dff_1.mag
similarity index 84%
copy from lib/18T_hs/DFFX1.mag
copy to lib/18T_hs/sky130_osu_sc_18T_hs__dff_1.mag
index e409176..2bcd480 100644
--- a/lib/18T_hs/DFFX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__dff_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600516035
+timestamp 1603862204
 << nwell >>
 rect -9 581 1456 1341
 << nmoslvt >>
@@ -366,18 +366,18 @@
 rect 1323 462 1357 496
 rect 1018 353 1052 387
 << locali >>
-rect 0 1305 1452 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1452 1305
+rect 0 1315 1452 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1452 1315
 rect 40 1201 74 1217
 rect 17 657 40 669
 rect 126 1201 160 1271
@@ -481,19 +481,39 @@
 rect 1292 61 1326 131
 rect 1378 267 1412 286
 rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 40 353 74 387
 rect 253 501 287 535
 rect 181 427 215 461
@@ -508,8 +528,40 @@
 rect 1206 575 1240 609
 rect 1226 421 1260 455
 rect 1018 353 1052 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1452 1332
+rect 0 1315 1452 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1452 1315
+rect 0 1271 1452 1281
 rect 1366 683 1424 689
 rect 1343 649 1378 683
 rect 1412 649 1424 683
@@ -553,18 +605,28 @@
 rect 1052 353 1064 387
 rect 523 347 581 353
 rect 1006 347 1064 353
-rect 0 0 1452 61
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
 << labels >>
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 68 1289 68 1289 1 vdd
-rlabel metal1 68 1289 68 1289 1 vdd
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1289 68 1289 1 vdd
 rlabel metal1 198 444 198 444 1 D
 port 1 n
-rlabel metal1 1224 592 1224 592 1 QN
-port 2 n
 rlabel metal1 915 518 915 518 1 CK
 port 3 n
 rlabel metal1 1395 666 1395 666 1 Q
 port 4 n
+rlabel metal1 1224 592 1224 592 1 QN
+port 2 n
 << end >>
diff --git a/lib/18T_hs/DFFXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__dff_l.mag
similarity index 84%
copy from lib/18T_hs/DFFXL.mag
copy to lib/18T_hs/sky130_osu_sc_18T_hs__dff_l.mag
index 7cbfd19..0da9682 100644
--- a/lib/18T_hs/DFFXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__dff_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542151
+timestamp 1603862260
 << nwell >>
 rect -9 581 1456 1341
 << nmoslvt >>
@@ -366,18 +366,18 @@
 rect 1323 462 1357 496
 rect 1018 353 1052 387
 << locali >>
-rect 0 1305 1452 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1452 1305
+rect 0 1315 1452 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1452 1315
 rect 40 1201 74 1217
 rect 17 657 40 669
 rect 126 1201 160 1271
@@ -483,19 +483,39 @@
 rect 1292 61 1326 131
 rect 1378 199 1412 286
 rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 40 353 74 387
 rect 253 501 287 535
 rect 181 427 215 461
@@ -509,8 +529,40 @@
 rect 1206 575 1240 609
 rect 1226 421 1260 455
 rect 1018 353 1052 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1452 1332
+rect 0 1315 1452 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1452 1315
+rect 0 1271 1452 1281
 rect 1365 683 1423 689
 rect 1343 649 1377 683
 rect 1411 649 1423 683
@@ -554,12 +606,22 @@
 rect 1052 353 1064 387
 rect 523 347 581 353
 rect 1006 347 1064 353
-rect 0 0 1452 61
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
 << labels >>
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 68 1289 68 1289 1 vdd
-rlabel metal1 68 1289 68 1289 1 vdd
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1289 68 1289 1 vdd
 rlabel metal1 198 444 198 444 1 D
 port 1 n
 rlabel metal1 1394 666 1394 666 1 Q
diff --git a/lib/18T_hs/sky130_osu_sc_18T_hs__dffr_1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__dffr_1.mag
new file mode 100644
index 0000000..55b07c1
--- /dev/null
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__dffr_1.mag
@@ -0,0 +1,807 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862408
+<< nwell >>
+rect -10 581 1917 1341
+<< nmoslvt >>
+rect 80 115 110 315
+rect 270 115 300 263
+rect 356 115 386 263
+rect 546 115 576 315
+rect 618 115 648 315
+rect 738 115 768 315
+rect 810 115 840 315
+rect 896 115 926 315
+rect 968 115 998 315
+rect 1088 115 1118 315
+rect 1160 115 1190 315
+rect 1246 115 1276 315
+rect 1436 115 1466 263
+rect 1522 115 1552 263
+rect 1712 115 1742 315
+rect 1798 115 1828 315
+<< pmos >>
+rect 80 617 110 1217
+rect 270 817 300 1217
+rect 342 817 372 1217
+rect 546 617 576 1217
+rect 618 617 648 1217
+rect 738 617 768 1217
+rect 810 617 840 1217
+rect 896 617 926 1217
+rect 968 617 998 1217
+rect 1088 617 1118 1217
+rect 1160 617 1190 1217
+rect 1246 617 1276 1217
+rect 1436 817 1466 1217
+rect 1508 817 1538 1217
+rect 1712 617 1742 1217
+rect 1798 617 1828 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 493 267 546 315
+rect 110 115 163 131
+rect 217 199 270 263
+rect 217 131 225 199
+rect 259 131 270 199
+rect 217 115 270 131
+rect 300 199 356 263
+rect 300 131 311 199
+rect 345 131 356 199
+rect 300 115 356 131
+rect 386 199 439 263
+rect 386 131 397 199
+rect 431 131 439 199
+rect 386 115 439 131
+rect 493 131 501 267
+rect 535 131 546 267
+rect 493 115 546 131
+rect 576 115 618 315
+rect 648 267 738 315
+rect 648 131 659 267
+rect 727 131 738 267
+rect 648 115 738 131
+rect 768 115 810 315
+rect 840 199 896 315
+rect 840 131 851 199
+rect 885 131 896 199
+rect 840 115 896 131
+rect 926 115 968 315
+rect 998 267 1088 315
+rect 998 131 1009 267
+rect 1077 131 1088 267
+rect 998 115 1088 131
+rect 1118 115 1160 315
+rect 1190 267 1246 315
+rect 1190 131 1201 267
+rect 1235 131 1246 267
+rect 1190 115 1246 131
+rect 1276 267 1329 315
+rect 1276 131 1287 267
+rect 1321 131 1329 267
+rect 1276 115 1329 131
+rect 1383 199 1436 263
+rect 1383 131 1391 199
+rect 1425 131 1436 199
+rect 1383 115 1436 131
+rect 1466 199 1522 263
+rect 1466 131 1477 199
+rect 1511 131 1522 199
+rect 1466 115 1522 131
+rect 1552 199 1605 263
+rect 1552 131 1563 199
+rect 1597 131 1605 199
+rect 1552 115 1605 131
+rect 1659 199 1712 315
+rect 1659 131 1667 199
+rect 1701 131 1712 199
+rect 1659 115 1712 131
+rect 1742 199 1798 315
+rect 1742 131 1753 199
+rect 1787 131 1798 199
+rect 1742 115 1798 131
+rect 1828 199 1881 315
+rect 1828 131 1839 199
+rect 1873 131 1881 199
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 217 1201 270 1217
+rect 217 861 225 1201
+rect 259 861 270 1201
+rect 217 817 270 861
+rect 300 817 342 1217
+rect 372 1201 425 1217
+rect 372 861 383 1201
+rect 417 861 425 1201
+rect 372 817 425 861
+rect 493 1201 546 1217
+rect 110 617 163 657
+rect 493 725 501 1201
+rect 535 725 546 1201
+rect 493 617 546 725
+rect 576 617 618 1217
+rect 648 1201 738 1217
+rect 648 657 659 1201
+rect 727 657 738 1201
+rect 648 617 738 657
+rect 768 617 810 1217
+rect 840 1201 896 1217
+rect 840 725 851 1201
+rect 885 725 896 1201
+rect 840 617 896 725
+rect 926 617 968 1217
+rect 998 1201 1088 1217
+rect 998 725 1009 1201
+rect 1077 725 1088 1201
+rect 998 617 1088 725
+rect 1118 617 1160 1217
+rect 1190 1201 1246 1217
+rect 1190 657 1201 1201
+rect 1235 657 1246 1201
+rect 1190 617 1246 657
+rect 1276 1201 1329 1217
+rect 1276 657 1287 1201
+rect 1321 657 1329 1201
+rect 1383 1201 1436 1217
+rect 1383 861 1391 1201
+rect 1425 861 1436 1201
+rect 1383 817 1436 861
+rect 1466 817 1508 1217
+rect 1538 1201 1591 1217
+rect 1538 861 1549 1201
+rect 1583 861 1591 1201
+rect 1538 817 1591 861
+rect 1659 1201 1712 1217
+rect 1659 861 1667 1201
+rect 1701 861 1712 1201
+rect 1276 617 1329 657
+rect 1659 617 1712 861
+rect 1742 1201 1798 1217
+rect 1742 861 1753 1201
+rect 1787 861 1798 1201
+rect 1742 617 1798 861
+rect 1828 1201 1881 1217
+rect 1828 861 1839 1201
+rect 1873 861 1881 1201
+rect 1828 617 1881 861
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 199
+rect 311 131 345 199
+rect 397 131 431 199
+rect 501 131 535 267
+rect 659 131 727 267
+rect 851 131 885 199
+rect 1009 131 1077 267
+rect 1201 131 1235 267
+rect 1287 131 1321 267
+rect 1391 131 1425 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1667 131 1701 199
+rect 1753 131 1787 199
+rect 1839 131 1873 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 861 259 1201
+rect 383 861 417 1201
+rect 501 725 535 1201
+rect 659 657 727 1201
+rect 851 725 885 1201
+rect 1009 725 1077 1201
+rect 1201 657 1235 1201
+rect 1287 657 1321 1201
+rect 1391 861 1425 1201
+rect 1549 861 1583 1201
+rect 1667 861 1701 1201
+rect 1753 861 1787 1201
+rect 1839 861 1873 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+rect 1658 1271 1682 1305
+rect 1716 1271 1740 1305
+rect 1794 1271 1818 1305
+rect 1852 1271 1876 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+rect 1682 1271 1716 1305
+rect 1818 1271 1852 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 270 1217 300 1243
+rect 342 1217 372 1243
+rect 546 1217 576 1243
+rect 618 1217 648 1243
+rect 738 1217 768 1243
+rect 810 1217 840 1243
+rect 896 1217 926 1243
+rect 968 1217 998 1243
+rect 1088 1217 1118 1243
+rect 1160 1217 1190 1243
+rect 1246 1217 1276 1243
+rect 1436 1217 1466 1243
+rect 1508 1217 1538 1243
+rect 1712 1217 1742 1243
+rect 1798 1217 1828 1243
+rect 80 494 110 617
+rect 79 478 133 494
+rect 79 444 89 478
+rect 123 444 133 478
+rect 79 428 133 444
+rect 79 427 110 428
+rect 80 315 110 427
+rect 270 351 300 817
+rect 342 584 372 817
+rect 342 568 415 584
+rect 342 534 371 568
+rect 405 534 415 568
+rect 342 518 415 534
+rect 219 335 300 351
+rect 219 301 229 335
+rect 263 301 300 335
+rect 219 285 300 301
+rect 270 263 300 285
+rect 356 263 386 518
+rect 546 477 576 617
+rect 618 586 648 617
+rect 618 570 672 586
+rect 618 536 628 570
+rect 662 536 672 570
+rect 618 520 672 536
+rect 546 461 600 477
+rect 738 475 768 617
+rect 810 580 840 617
+rect 896 580 926 617
+rect 810 570 926 580
+rect 810 536 842 570
+rect 876 536 926 570
+rect 810 526 926 536
+rect 968 475 998 617
+rect 1088 586 1118 617
+rect 1064 570 1118 586
+rect 1064 536 1074 570
+rect 1108 536 1118 570
+rect 1064 520 1118 536
+rect 546 427 556 461
+rect 590 427 600 461
+rect 546 411 600 427
+rect 642 445 1094 475
+rect 546 315 576 411
+rect 642 367 672 445
+rect 1064 403 1094 445
+rect 1160 471 1190 617
+rect 1246 586 1276 617
+rect 1246 570 1317 586
+rect 1246 556 1273 570
+rect 1257 536 1273 556
+rect 1307 536 1317 570
+rect 1257 520 1317 536
+rect 1160 455 1214 471
+rect 1160 421 1170 455
+rect 1204 421 1214 455
+rect 1160 405 1214 421
+rect 618 337 672 367
+rect 714 387 768 403
+rect 714 353 724 387
+rect 758 353 768 387
+rect 714 337 768 353
+rect 618 315 648 337
+rect 738 315 768 337
+rect 810 387 926 397
+rect 810 353 842 387
+rect 876 353 926 387
+rect 810 343 926 353
+rect 810 315 840 343
+rect 896 315 926 343
+rect 968 387 1022 403
+rect 968 353 978 387
+rect 1012 353 1022 387
+rect 968 337 1022 353
+rect 1064 387 1118 403
+rect 1064 353 1074 387
+rect 1108 353 1118 387
+rect 1064 337 1118 353
+rect 968 315 998 337
+rect 1088 315 1118 337
+rect 1160 315 1190 405
+rect 1257 367 1287 520
+rect 1436 403 1466 817
+rect 1246 337 1287 367
+rect 1399 387 1466 403
+rect 1399 353 1409 387
+rect 1443 353 1466 387
+rect 1399 337 1466 353
+rect 1246 315 1276 337
+rect 1423 336 1466 337
+rect 1436 263 1466 336
+rect 1508 351 1538 817
+rect 1712 601 1742 617
+rect 1702 571 1742 601
+rect 1702 471 1732 571
+rect 1798 512 1828 617
+rect 1677 455 1732 471
+rect 1677 421 1687 455
+rect 1721 421 1732 455
+rect 1774 496 1828 512
+rect 1774 462 1784 496
+rect 1818 462 1828 496
+rect 1774 446 1828 462
+rect 1677 405 1732 421
+rect 1702 360 1732 405
+rect 1508 335 1589 351
+rect 1508 301 1545 335
+rect 1579 301 1589 335
+rect 1702 330 1742 360
+rect 1712 315 1742 330
+rect 1798 315 1828 446
+rect 1508 285 1589 301
+rect 1522 263 1552 285
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 444 123 478
+rect 371 534 405 568
+rect 229 301 263 335
+rect 628 536 662 570
+rect 842 536 876 570
+rect 1074 536 1108 570
+rect 556 427 590 461
+rect 1273 536 1307 570
+rect 1170 421 1204 455
+rect 724 353 758 387
+rect 842 353 876 387
+rect 978 353 1012 387
+rect 1074 353 1108 387
+rect 1409 353 1443 387
+rect 1687 421 1721 455
+rect 1784 462 1818 496
+rect 1545 301 1579 335
+<< locali >>
+rect 0 1315 1914 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1914 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 47 494 81 649
+rect 121 565 155 657
+rect 225 1201 259 1217
+rect 121 531 191 565
+rect 47 478 123 494
+rect 47 444 89 478
+rect 89 428 123 444
+rect 157 335 191 531
+rect 225 421 259 861
+rect 383 1201 417 1271
+rect 383 845 417 861
+rect 501 1201 535 1271
+rect 501 709 535 725
+rect 659 1201 727 1217
+rect 851 1201 885 1271
+rect 851 709 885 725
+rect 1009 1201 1077 1217
+rect 659 654 727 657
+rect 1009 654 1077 725
+rect 405 620 727 654
+rect 910 620 1077 654
+rect 1201 1201 1235 1271
+rect 1201 641 1235 657
+rect 1287 1201 1321 1217
+rect 1391 1201 1425 1217
+rect 1391 773 1425 861
+rect 1549 1201 1583 1271
+rect 1549 845 1583 861
+rect 1667 1201 1701 1217
+rect 1391 739 1511 773
+rect 1287 654 1321 657
+rect 1287 620 1377 654
+rect 405 584 439 620
+rect 371 568 439 584
+rect 405 534 439 568
+rect 371 518 439 534
+rect 225 387 345 421
+rect 229 335 263 351
+rect 121 301 229 335
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 301
+rect 121 115 155 131
+rect 225 199 259 215
+rect 225 61 259 131
+rect 311 199 345 353
+rect 405 370 439 518
+rect 628 570 662 586
+rect 628 535 662 536
+rect 842 570 876 586
+rect 662 501 758 535
+rect 556 461 590 477
+rect 556 411 590 427
+rect 724 387 758 501
+rect 842 387 876 536
+rect 405 336 690 370
+rect 724 337 758 353
+rect 842 337 876 353
+rect 910 387 944 620
+rect 1074 570 1108 586
+rect 1074 535 1108 536
+rect 656 283 690 336
+rect 910 303 944 353
+rect 978 501 1074 535
+rect 1273 570 1307 586
+rect 1273 535 1307 536
+rect 978 387 1012 501
+rect 1341 455 1377 620
+rect 1154 421 1170 455
+rect 1204 421 1220 455
+rect 1287 421 1377 455
+rect 1477 455 1511 739
+rect 1667 609 1701 861
+rect 1753 1201 1787 1271
+rect 1753 845 1787 861
+rect 1839 1201 1873 1217
+rect 1839 683 1873 861
+rect 1872 666 1873 683
+rect 1872 649 1896 666
+rect 1839 632 1896 649
+rect 1667 570 1701 575
+rect 1667 536 1818 570
+rect 1784 496 1818 536
+rect 1477 421 1687 455
+rect 1721 421 1737 455
+rect 1287 387 1321 421
+rect 1058 353 1074 387
+rect 1108 353 1321 387
+rect 978 337 1012 353
+rect 501 267 535 283
+rect 311 115 345 131
+rect 397 199 431 215
+rect 397 61 431 131
+rect 656 267 727 283
+rect 910 269 1077 303
+rect 656 249 659 267
+rect 501 61 535 131
+rect 1009 267 1077 269
+rect 659 115 727 131
+rect 851 199 885 215
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 267 1235 283
+rect 1201 61 1235 131
+rect 1287 267 1321 353
+rect 1409 387 1443 403
+rect 1409 337 1443 353
+rect 1287 115 1321 131
+rect 1391 199 1425 215
+rect 1391 61 1425 131
+rect 1477 199 1511 421
+rect 1784 387 1818 462
+rect 1667 353 1818 387
+rect 1545 335 1579 351
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1667 199 1701 353
+rect 1862 320 1896 632
+rect 1839 286 1896 320
+rect 1667 115 1701 131
+rect 1753 199 1787 215
+rect 1753 61 1787 131
+rect 1839 199 1873 286
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 47 649 81 683
+rect 311 353 345 387
+rect 229 301 263 313
+rect 229 279 263 301
+rect 628 501 662 535
+rect 556 427 590 461
+rect 824 353 842 387
+rect 842 353 858 387
+rect 910 353 944 387
+rect 1074 501 1108 535
+rect 1273 501 1307 535
+rect 1170 421 1204 455
+rect 1838 649 1872 683
+rect 1667 575 1701 609
+rect 1687 421 1721 455
+rect 1409 353 1443 387
+rect 1545 301 1579 313
+rect 1545 279 1579 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1315 1914 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1914 1315
+rect 0 1271 1914 1281
+rect 35 683 93 689
+rect 1826 683 1884 689
+rect 35 649 47 683
+rect 81 649 127 683
+rect 1804 649 1838 683
+rect 1872 649 1884 683
+rect 35 643 93 649
+rect 1826 643 1884 649
+rect 1655 609 1713 615
+rect 1632 575 1667 609
+rect 1701 575 1713 609
+rect 1655 569 1713 575
+rect 616 535 674 541
+rect 1062 535 1120 541
+rect 1261 535 1319 541
+rect 616 501 628 535
+rect 662 501 1074 535
+rect 1108 501 1273 535
+rect 1307 501 1319 535
+rect 616 495 674 501
+rect 1062 495 1120 501
+rect 1261 495 1319 501
+rect 544 461 602 467
+rect 544 427 556 461
+rect 590 427 624 461
+rect 1158 455 1216 461
+rect 1675 455 1733 461
+rect 544 421 602 427
+rect 1158 421 1170 455
+rect 1204 421 1687 455
+rect 1721 421 1733 455
+rect 1158 415 1216 421
+rect 1675 415 1733 421
+rect 299 387 357 393
+rect 812 387 870 393
+rect 299 353 311 387
+rect 345 353 824 387
+rect 858 353 870 387
+rect 299 347 357 353
+rect 812 347 870 353
+rect 898 387 956 393
+rect 1397 387 1455 393
+rect 898 353 910 387
+rect 944 353 1409 387
+rect 1443 353 1455 387
+rect 898 347 956 353
+rect 1397 347 1455 353
+rect 217 313 275 319
+rect 1533 313 1591 319
+rect 217 279 229 313
+rect 263 279 1545 313
+rect 1579 279 1591 313
+rect 217 273 275 279
+rect 1533 273 1591 279
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel metal1 65 666 65 666 1 RN
+port 1 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel metal1 573 444 573 444 1 D
+port 2 n
+rlabel metal1 1290 518 1290 518 1 CK
+port 3 n
+rlabel metal1 1855 666 1855 666 1 Q
+port 4 n
+rlabel metal1 1685 592 1685 592 1 QN
+port 5 n
+<< end >>
diff --git a/lib/18T_hs/sky130_osu_sc_18T_hs__dffr_l.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__dffr_l.mag
new file mode 100644
index 0000000..52071e4
--- /dev/null
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__dffr_l.mag
@@ -0,0 +1,807 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862567
+<< nwell >>
+rect -10 581 1917 1341
+<< nmoslvt >>
+rect 80 115 110 315
+rect 270 115 300 263
+rect 356 115 386 263
+rect 546 115 576 315
+rect 618 115 648 315
+rect 738 115 768 315
+rect 810 115 840 315
+rect 896 115 926 315
+rect 968 115 998 315
+rect 1088 115 1118 315
+rect 1160 115 1190 315
+rect 1246 115 1276 315
+rect 1436 115 1466 263
+rect 1522 115 1552 263
+rect 1712 115 1742 263
+rect 1798 115 1828 263
+<< pmos >>
+rect 80 617 110 1217
+rect 270 817 300 1217
+rect 342 817 372 1217
+rect 546 617 576 1217
+rect 618 617 648 1217
+rect 738 617 768 1217
+rect 810 617 840 1217
+rect 896 617 926 1217
+rect 968 617 998 1217
+rect 1088 617 1118 1217
+rect 1160 617 1190 1217
+rect 1246 617 1276 1217
+rect 1436 817 1466 1217
+rect 1508 817 1538 1217
+rect 1712 817 1742 1217
+rect 1798 817 1828 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 493 267 546 315
+rect 110 115 163 131
+rect 217 199 270 263
+rect 217 131 225 199
+rect 259 131 270 199
+rect 217 115 270 131
+rect 300 199 356 263
+rect 300 131 311 199
+rect 345 131 356 199
+rect 300 115 356 131
+rect 386 199 439 263
+rect 386 131 397 199
+rect 431 131 439 199
+rect 386 115 439 131
+rect 493 131 501 267
+rect 535 131 546 267
+rect 493 115 546 131
+rect 576 115 618 315
+rect 648 267 738 315
+rect 648 131 659 267
+rect 727 131 738 267
+rect 648 115 738 131
+rect 768 115 810 315
+rect 840 199 896 315
+rect 840 131 851 199
+rect 885 131 896 199
+rect 840 115 896 131
+rect 926 115 968 315
+rect 998 267 1088 315
+rect 998 131 1009 267
+rect 1077 131 1088 267
+rect 998 115 1088 131
+rect 1118 115 1160 315
+rect 1190 267 1246 315
+rect 1190 131 1201 267
+rect 1235 131 1246 267
+rect 1190 115 1246 131
+rect 1276 267 1329 315
+rect 1276 131 1287 267
+rect 1321 131 1329 267
+rect 1276 115 1329 131
+rect 1383 199 1436 263
+rect 1383 131 1391 199
+rect 1425 131 1436 199
+rect 1383 115 1436 131
+rect 1466 199 1522 263
+rect 1466 131 1477 199
+rect 1511 131 1522 199
+rect 1466 115 1522 131
+rect 1552 199 1605 263
+rect 1552 131 1563 199
+rect 1597 131 1605 199
+rect 1552 115 1605 131
+rect 1659 199 1712 263
+rect 1659 131 1667 199
+rect 1701 131 1712 199
+rect 1659 115 1712 131
+rect 1742 199 1798 263
+rect 1742 131 1753 199
+rect 1787 131 1798 199
+rect 1742 115 1798 131
+rect 1828 199 1881 263
+rect 1828 131 1839 199
+rect 1873 131 1881 199
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 217 1201 270 1217
+rect 217 861 225 1201
+rect 259 861 270 1201
+rect 217 817 270 861
+rect 300 817 342 1217
+rect 372 1201 425 1217
+rect 372 861 383 1201
+rect 417 861 425 1201
+rect 372 817 425 861
+rect 493 1201 546 1217
+rect 110 617 163 657
+rect 493 725 501 1201
+rect 535 725 546 1201
+rect 493 617 546 725
+rect 576 617 618 1217
+rect 648 1201 738 1217
+rect 648 657 659 1201
+rect 727 657 738 1201
+rect 648 617 738 657
+rect 768 617 810 1217
+rect 840 1201 896 1217
+rect 840 725 851 1201
+rect 885 725 896 1201
+rect 840 617 896 725
+rect 926 617 968 1217
+rect 998 1201 1088 1217
+rect 998 725 1009 1201
+rect 1077 725 1088 1201
+rect 998 617 1088 725
+rect 1118 617 1160 1217
+rect 1190 1201 1246 1217
+rect 1190 657 1201 1201
+rect 1235 657 1246 1201
+rect 1190 617 1246 657
+rect 1276 1201 1329 1217
+rect 1276 657 1287 1201
+rect 1321 657 1329 1201
+rect 1383 1201 1436 1217
+rect 1383 861 1391 1201
+rect 1425 861 1436 1201
+rect 1383 817 1436 861
+rect 1466 817 1508 1217
+rect 1538 1201 1591 1217
+rect 1538 861 1549 1201
+rect 1583 861 1591 1201
+rect 1538 817 1591 861
+rect 1659 1201 1712 1217
+rect 1659 861 1667 1201
+rect 1701 861 1712 1201
+rect 1659 817 1712 861
+rect 1742 1201 1798 1217
+rect 1742 861 1753 1201
+rect 1787 861 1798 1201
+rect 1742 817 1798 861
+rect 1828 1201 1881 1217
+rect 1828 861 1839 1201
+rect 1873 861 1881 1201
+rect 1828 817 1881 861
+rect 1276 617 1329 657
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 199
+rect 311 131 345 199
+rect 397 131 431 199
+rect 501 131 535 267
+rect 659 131 727 267
+rect 851 131 885 199
+rect 1009 131 1077 267
+rect 1201 131 1235 267
+rect 1287 131 1321 267
+rect 1391 131 1425 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1667 131 1701 199
+rect 1753 131 1787 199
+rect 1839 131 1873 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 861 259 1201
+rect 383 861 417 1201
+rect 501 725 535 1201
+rect 659 657 727 1201
+rect 851 725 885 1201
+rect 1009 725 1077 1201
+rect 1201 657 1235 1201
+rect 1287 657 1321 1201
+rect 1391 861 1425 1201
+rect 1549 861 1583 1201
+rect 1667 861 1701 1201
+rect 1753 861 1787 1201
+rect 1839 861 1873 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+rect 1658 1271 1682 1305
+rect 1716 1271 1740 1305
+rect 1794 1271 1818 1305
+rect 1852 1271 1876 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+rect 1682 1271 1716 1305
+rect 1818 1271 1852 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 270 1217 300 1243
+rect 342 1217 372 1243
+rect 546 1217 576 1243
+rect 618 1217 648 1243
+rect 738 1217 768 1243
+rect 810 1217 840 1243
+rect 896 1217 926 1243
+rect 968 1217 998 1243
+rect 1088 1217 1118 1243
+rect 1160 1217 1190 1243
+rect 1246 1217 1276 1243
+rect 1436 1217 1466 1243
+rect 1508 1217 1538 1243
+rect 1712 1217 1742 1243
+rect 1798 1217 1828 1243
+rect 80 494 110 617
+rect 79 478 133 494
+rect 79 444 89 478
+rect 123 444 133 478
+rect 79 428 133 444
+rect 79 427 110 428
+rect 80 315 110 427
+rect 270 351 300 817
+rect 342 584 372 817
+rect 342 568 415 584
+rect 342 534 371 568
+rect 405 534 415 568
+rect 342 518 415 534
+rect 219 335 300 351
+rect 219 301 229 335
+rect 263 301 300 335
+rect 219 285 300 301
+rect 270 263 300 285
+rect 356 263 386 518
+rect 546 477 576 617
+rect 618 586 648 617
+rect 618 570 672 586
+rect 618 536 628 570
+rect 662 536 672 570
+rect 618 520 672 536
+rect 546 461 600 477
+rect 738 475 768 617
+rect 810 580 840 617
+rect 896 580 926 617
+rect 810 570 926 580
+rect 810 536 842 570
+rect 876 536 926 570
+rect 810 526 926 536
+rect 968 475 998 617
+rect 1088 586 1118 617
+rect 1064 570 1118 586
+rect 1064 536 1074 570
+rect 1108 536 1118 570
+rect 1064 520 1118 536
+rect 546 427 556 461
+rect 590 427 600 461
+rect 546 411 600 427
+rect 642 445 1094 475
+rect 546 315 576 411
+rect 642 367 672 445
+rect 1064 403 1094 445
+rect 1160 471 1190 617
+rect 1246 586 1276 617
+rect 1246 570 1317 586
+rect 1246 556 1273 570
+rect 1257 536 1273 556
+rect 1307 536 1317 570
+rect 1257 520 1317 536
+rect 1160 455 1214 471
+rect 1160 421 1170 455
+rect 1204 421 1214 455
+rect 1160 405 1214 421
+rect 618 337 672 367
+rect 714 387 768 403
+rect 714 353 724 387
+rect 758 353 768 387
+rect 714 337 768 353
+rect 618 315 648 337
+rect 738 315 768 337
+rect 810 387 926 397
+rect 810 353 842 387
+rect 876 353 926 387
+rect 810 343 926 353
+rect 810 315 840 343
+rect 896 315 926 343
+rect 968 387 1022 403
+rect 968 353 978 387
+rect 1012 353 1022 387
+rect 968 337 1022 353
+rect 1064 387 1118 403
+rect 1064 353 1074 387
+rect 1108 353 1118 387
+rect 1064 337 1118 353
+rect 968 315 998 337
+rect 1088 315 1118 337
+rect 1160 315 1190 405
+rect 1257 367 1287 520
+rect 1436 403 1466 817
+rect 1246 337 1287 367
+rect 1399 387 1466 403
+rect 1399 353 1409 387
+rect 1443 353 1466 387
+rect 1399 337 1466 353
+rect 1246 315 1276 337
+rect 1423 336 1466 337
+rect 1436 263 1466 336
+rect 1508 351 1538 817
+rect 1712 601 1742 817
+rect 1702 571 1742 601
+rect 1702 471 1732 571
+rect 1798 512 1828 817
+rect 1677 455 1732 471
+rect 1677 421 1687 455
+rect 1721 421 1732 455
+rect 1774 496 1828 512
+rect 1774 462 1784 496
+rect 1818 462 1828 496
+rect 1774 446 1828 462
+rect 1677 405 1732 421
+rect 1702 360 1732 405
+rect 1508 335 1589 351
+rect 1508 301 1545 335
+rect 1579 301 1589 335
+rect 1702 330 1742 360
+rect 1508 285 1589 301
+rect 1522 263 1552 285
+rect 1712 263 1742 330
+rect 1798 263 1828 446
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 444 123 478
+rect 371 534 405 568
+rect 229 301 263 335
+rect 628 536 662 570
+rect 842 536 876 570
+rect 1074 536 1108 570
+rect 556 427 590 461
+rect 1273 536 1307 570
+rect 1170 421 1204 455
+rect 724 353 758 387
+rect 842 353 876 387
+rect 978 353 1012 387
+rect 1074 353 1108 387
+rect 1409 353 1443 387
+rect 1687 421 1721 455
+rect 1784 462 1818 496
+rect 1545 301 1579 335
+<< locali >>
+rect 0 1315 1914 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1914 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 47 494 81 649
+rect 121 565 155 657
+rect 225 1201 259 1217
+rect 121 531 191 565
+rect 47 478 123 494
+rect 47 444 89 478
+rect 89 428 123 444
+rect 157 335 191 531
+rect 225 421 259 861
+rect 383 1201 417 1271
+rect 383 845 417 861
+rect 501 1201 535 1271
+rect 501 709 535 725
+rect 659 1201 727 1217
+rect 851 1201 885 1271
+rect 851 709 885 725
+rect 1009 1201 1077 1217
+rect 659 654 727 657
+rect 1009 654 1077 725
+rect 405 620 727 654
+rect 910 620 1077 654
+rect 1201 1201 1235 1271
+rect 1201 641 1235 657
+rect 1287 1201 1321 1217
+rect 1391 1201 1425 1217
+rect 1391 773 1425 861
+rect 1549 1201 1583 1271
+rect 1549 845 1583 861
+rect 1667 1201 1701 1217
+rect 1391 739 1511 773
+rect 1287 654 1321 657
+rect 1287 620 1377 654
+rect 405 584 439 620
+rect 371 568 439 584
+rect 405 534 439 568
+rect 371 518 439 534
+rect 225 387 345 421
+rect 229 335 263 351
+rect 121 301 229 335
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 301
+rect 121 115 155 131
+rect 225 199 259 215
+rect 225 61 259 131
+rect 311 199 345 353
+rect 405 370 439 518
+rect 628 570 662 586
+rect 628 535 662 536
+rect 842 570 876 586
+rect 662 501 758 535
+rect 556 461 590 477
+rect 556 411 590 427
+rect 724 387 758 501
+rect 842 387 876 536
+rect 405 336 690 370
+rect 724 337 758 353
+rect 842 337 876 353
+rect 910 387 944 620
+rect 1074 570 1108 586
+rect 1074 535 1108 536
+rect 656 283 690 336
+rect 910 303 944 353
+rect 978 501 1074 535
+rect 1273 570 1307 586
+rect 1273 535 1307 536
+rect 978 387 1012 501
+rect 1341 455 1377 620
+rect 1154 421 1170 455
+rect 1204 421 1220 455
+rect 1287 421 1377 455
+rect 1477 455 1511 739
+rect 1667 609 1701 861
+rect 1753 1201 1787 1271
+rect 1753 845 1787 861
+rect 1839 1201 1873 1217
+rect 1839 683 1873 861
+rect 1872 666 1873 683
+rect 1872 649 1896 666
+rect 1839 632 1896 649
+rect 1667 570 1701 575
+rect 1667 536 1818 570
+rect 1784 496 1818 536
+rect 1477 421 1687 455
+rect 1721 421 1737 455
+rect 1287 387 1321 421
+rect 1058 353 1074 387
+rect 1108 353 1321 387
+rect 978 337 1012 353
+rect 501 267 535 283
+rect 311 115 345 131
+rect 397 199 431 215
+rect 397 61 431 131
+rect 656 267 727 283
+rect 910 269 1077 303
+rect 656 249 659 267
+rect 501 61 535 131
+rect 1009 267 1077 269
+rect 659 115 727 131
+rect 851 199 885 215
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 267 1235 283
+rect 1201 61 1235 131
+rect 1287 267 1321 353
+rect 1409 387 1443 403
+rect 1409 337 1443 353
+rect 1287 115 1321 131
+rect 1391 199 1425 215
+rect 1391 61 1425 131
+rect 1477 199 1511 421
+rect 1784 387 1818 462
+rect 1667 353 1818 387
+rect 1545 335 1579 351
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1667 199 1701 353
+rect 1862 320 1896 632
+rect 1839 286 1896 320
+rect 1667 115 1701 131
+rect 1753 199 1787 215
+rect 1753 61 1787 131
+rect 1839 199 1873 286
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 47 649 81 683
+rect 311 353 345 387
+rect 229 301 263 313
+rect 229 279 263 301
+rect 628 501 662 535
+rect 556 427 590 461
+rect 824 353 842 387
+rect 842 353 858 387
+rect 910 353 944 387
+rect 1074 501 1108 535
+rect 1273 501 1307 535
+rect 1170 421 1204 455
+rect 1838 649 1872 683
+rect 1667 575 1701 609
+rect 1687 421 1721 455
+rect 1409 353 1443 387
+rect 1545 301 1579 313
+rect 1545 279 1579 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1315 1914 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1914 1315
+rect 0 1271 1914 1281
+rect 35 683 93 689
+rect 1826 683 1884 689
+rect 35 649 47 683
+rect 81 649 127 683
+rect 1804 649 1838 683
+rect 1872 649 1884 683
+rect 35 643 93 649
+rect 1826 643 1884 649
+rect 1655 609 1713 615
+rect 1632 575 1667 609
+rect 1701 575 1713 609
+rect 1655 569 1713 575
+rect 616 535 674 541
+rect 1062 535 1120 541
+rect 1261 535 1319 541
+rect 616 501 628 535
+rect 662 501 1074 535
+rect 1108 501 1273 535
+rect 1307 501 1319 535
+rect 616 495 674 501
+rect 1062 495 1120 501
+rect 1261 495 1319 501
+rect 544 461 602 467
+rect 544 427 556 461
+rect 590 427 624 461
+rect 1158 455 1216 461
+rect 1675 455 1733 461
+rect 544 421 602 427
+rect 1158 421 1170 455
+rect 1204 421 1687 455
+rect 1721 421 1733 455
+rect 1158 415 1216 421
+rect 1675 415 1733 421
+rect 299 387 357 393
+rect 812 387 870 393
+rect 299 353 311 387
+rect 345 353 824 387
+rect 858 353 870 387
+rect 299 347 357 353
+rect 812 347 870 353
+rect 898 387 956 393
+rect 1397 387 1455 393
+rect 898 353 910 387
+rect 944 353 1409 387
+rect 1443 353 1455 387
+rect 898 347 956 353
+rect 1397 347 1455 353
+rect 217 313 275 319
+rect 1533 313 1591 319
+rect 217 279 229 313
+rect 263 279 1545 313
+rect 1579 279 1591 313
+rect 217 273 275 279
+rect 1533 273 1591 279
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel metal1 65 666 65 666 1 RN
+port 1 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel metal1 573 444 573 444 1 D
+port 2 n
+rlabel metal1 1290 518 1290 518 1 CK
+port 3 n
+rlabel metal1 1855 666 1855 666 1 Q
+port 4 n
+rlabel metal1 1685 592 1685 592 1 QN
+port 5 n
+<< end >>
diff --git a/lib/18T_hs/sky130_osu_sc_18T_hs__dffs_1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__dffs_1.mag
new file mode 100644
index 0000000..e5f68d6
--- /dev/null
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__dffs_1.mag
@@ -0,0 +1,719 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862672
+<< nwell >>
+rect -10 581 1741 1341
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 356 115 386 315
+rect 428 115 458 315
+rect 548 115 578 315
+rect 620 115 650 315
+rect 706 115 736 315
+rect 778 115 808 315
+rect 898 115 928 315
+rect 970 115 1000 315
+rect 1056 115 1086 315
+rect 1246 115 1276 263
+rect 1318 115 1348 263
+rect 1522 115 1552 315
+rect 1608 115 1638 315
+<< pmos >>
+rect 80 817 110 1217
+rect 166 817 196 1217
+rect 356 617 386 1217
+rect 428 617 458 1217
+rect 548 617 578 1217
+rect 620 617 650 1217
+rect 706 617 736 1217
+rect 778 617 808 1217
+rect 898 617 928 1217
+rect 970 617 1000 1217
+rect 1056 617 1086 1217
+rect 1246 817 1276 1217
+rect 1332 817 1362 1217
+rect 1522 617 1552 1217
+rect 1608 617 1638 1217
+<< ndiff >>
+rect 303 267 356 315
+rect 27 199 80 263
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 199 235 263
+rect 182 131 193 199
+rect 227 131 235 199
+rect 182 115 235 131
+rect 303 131 311 267
+rect 345 131 356 267
+rect 303 115 356 131
+rect 386 115 428 315
+rect 458 267 548 315
+rect 458 131 469 267
+rect 537 131 548 267
+rect 458 115 548 131
+rect 578 115 620 315
+rect 650 199 706 315
+rect 650 131 661 199
+rect 695 131 706 199
+rect 650 115 706 131
+rect 736 115 778 315
+rect 808 267 898 315
+rect 808 131 819 267
+rect 887 131 898 267
+rect 808 115 898 131
+rect 928 115 970 315
+rect 1000 267 1056 315
+rect 1000 131 1011 267
+rect 1045 131 1056 267
+rect 1000 115 1056 131
+rect 1086 267 1139 315
+rect 1086 131 1097 267
+rect 1131 131 1139 267
+rect 1086 115 1139 131
+rect 1193 199 1246 263
+rect 1193 131 1201 199
+rect 1235 131 1246 199
+rect 1193 115 1246 131
+rect 1276 115 1318 263
+rect 1348 199 1401 263
+rect 1348 131 1359 199
+rect 1393 131 1401 199
+rect 1348 115 1401 131
+rect 1469 199 1522 315
+rect 1469 131 1477 199
+rect 1511 131 1522 199
+rect 1469 115 1522 131
+rect 1552 199 1608 315
+rect 1552 131 1563 199
+rect 1597 131 1608 199
+rect 1552 115 1608 131
+rect 1638 199 1691 315
+rect 1638 131 1649 199
+rect 1683 131 1691 199
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 929 35 1201
+rect 69 929 80 1201
+rect 27 817 80 929
+rect 110 1201 166 1217
+rect 110 929 121 1201
+rect 155 929 166 1201
+rect 110 817 166 929
+rect 196 1201 249 1217
+rect 196 929 207 1201
+rect 241 929 249 1201
+rect 196 817 249 929
+rect 303 1201 356 1217
+rect 303 725 311 1201
+rect 345 725 356 1201
+rect 303 617 356 725
+rect 386 617 428 1217
+rect 458 1201 548 1217
+rect 458 657 469 1201
+rect 537 657 548 1201
+rect 458 617 548 657
+rect 578 617 620 1217
+rect 650 1201 706 1217
+rect 650 725 661 1201
+rect 695 725 706 1201
+rect 650 617 706 725
+rect 736 617 778 1217
+rect 808 1201 898 1217
+rect 808 725 819 1201
+rect 887 725 898 1201
+rect 808 617 898 725
+rect 928 617 970 1217
+rect 1000 1201 1056 1217
+rect 1000 657 1011 1201
+rect 1045 657 1056 1201
+rect 1000 617 1056 657
+rect 1086 1201 1139 1217
+rect 1086 657 1097 1201
+rect 1131 657 1139 1201
+rect 1193 1201 1246 1217
+rect 1193 929 1201 1201
+rect 1235 929 1246 1201
+rect 1193 817 1246 929
+rect 1276 1201 1332 1217
+rect 1276 929 1287 1201
+rect 1321 929 1332 1201
+rect 1276 817 1332 929
+rect 1362 1201 1415 1217
+rect 1362 929 1373 1201
+rect 1407 929 1415 1201
+rect 1362 817 1415 929
+rect 1469 1201 1522 1217
+rect 1469 861 1477 1201
+rect 1511 861 1522 1201
+rect 1086 617 1139 657
+rect 1469 617 1522 861
+rect 1552 1201 1608 1217
+rect 1552 861 1563 1201
+rect 1597 861 1608 1201
+rect 1552 617 1608 861
+rect 1638 1201 1691 1217
+rect 1638 861 1649 1201
+rect 1683 861 1691 1201
+rect 1638 617 1691 861
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 311 131 345 267
+rect 469 131 537 267
+rect 661 131 695 199
+rect 819 131 887 267
+rect 1011 131 1045 267
+rect 1097 131 1131 267
+rect 1201 131 1235 199
+rect 1359 131 1393 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1649 131 1683 199
+<< pdiffc >>
+rect 35 929 69 1201
+rect 121 929 155 1201
+rect 207 929 241 1201
+rect 311 725 345 1201
+rect 469 657 537 1201
+rect 661 725 695 1201
+rect 819 725 887 1201
+rect 1011 657 1045 1201
+rect 1097 657 1131 1201
+rect 1201 929 1235 1201
+rect 1287 929 1321 1201
+rect 1373 929 1407 1201
+rect 1477 861 1511 1201
+rect 1563 861 1597 1201
+rect 1649 861 1683 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 356 1217 386 1243
+rect 428 1217 458 1243
+rect 548 1217 578 1243
+rect 620 1217 650 1243
+rect 706 1217 736 1243
+rect 778 1217 808 1243
+rect 898 1217 928 1243
+rect 970 1217 1000 1243
+rect 1056 1217 1086 1243
+rect 1246 1217 1276 1243
+rect 1332 1217 1362 1243
+rect 1522 1217 1552 1243
+rect 1608 1217 1638 1243
+rect 80 403 110 817
+rect 166 494 196 817
+rect 37 387 110 403
+rect 37 353 47 387
+rect 81 353 110 387
+rect 37 337 110 353
+rect 80 263 110 337
+rect 152 478 233 494
+rect 152 444 189 478
+rect 223 444 233 478
+rect 152 428 233 444
+rect 356 477 386 617
+rect 428 586 458 617
+rect 428 570 482 586
+rect 428 536 438 570
+rect 472 536 482 570
+rect 428 520 482 536
+rect 356 461 410 477
+rect 548 475 578 617
+rect 620 580 650 617
+rect 706 580 736 617
+rect 620 570 736 580
+rect 620 536 652 570
+rect 686 536 736 570
+rect 620 526 736 536
+rect 778 475 808 617
+rect 898 586 928 617
+rect 874 570 928 586
+rect 874 536 884 570
+rect 918 536 928 570
+rect 874 520 928 536
+rect 152 263 182 428
+rect 356 427 366 461
+rect 400 427 410 461
+rect 356 411 410 427
+rect 452 445 904 475
+rect 356 315 386 411
+rect 452 367 482 445
+rect 874 403 904 445
+rect 970 471 1000 617
+rect 1056 586 1086 617
+rect 1056 570 1127 586
+rect 1056 556 1083 570
+rect 1067 536 1083 556
+rect 1117 536 1127 570
+rect 1067 520 1127 536
+rect 970 455 1024 471
+rect 970 421 980 455
+rect 1014 421 1024 455
+rect 970 405 1024 421
+rect 428 337 482 367
+rect 524 387 578 403
+rect 524 353 534 387
+rect 568 353 578 387
+rect 524 337 578 353
+rect 428 315 458 337
+rect 548 315 578 337
+rect 620 387 736 397
+rect 620 353 652 387
+rect 686 353 736 387
+rect 620 343 736 353
+rect 620 315 650 343
+rect 706 315 736 343
+rect 778 387 832 403
+rect 778 353 788 387
+rect 822 353 832 387
+rect 778 337 832 353
+rect 874 387 928 403
+rect 874 353 884 387
+rect 918 353 928 387
+rect 874 337 928 353
+rect 778 315 808 337
+rect 898 315 928 337
+rect 970 315 1000 405
+rect 1067 367 1097 520
+rect 1246 403 1276 817
+rect 1056 337 1097 367
+rect 1193 387 1276 403
+rect 1193 353 1203 387
+rect 1237 353 1276 387
+rect 1193 337 1276 353
+rect 1056 315 1086 337
+rect 1246 263 1276 337
+rect 1332 351 1362 817
+rect 1522 601 1552 617
+rect 1512 571 1552 601
+rect 1512 471 1542 571
+rect 1608 512 1638 617
+rect 1487 455 1542 471
+rect 1487 421 1497 455
+rect 1531 421 1542 455
+rect 1584 496 1638 512
+rect 1584 462 1594 496
+rect 1628 462 1638 496
+rect 1584 446 1638 462
+rect 1487 405 1542 421
+rect 1512 360 1542 405
+rect 1332 335 1399 351
+rect 1318 301 1355 335
+rect 1389 301 1399 335
+rect 1512 330 1552 360
+rect 1522 315 1552 330
+rect 1608 315 1638 446
+rect 1318 285 1399 301
+rect 1318 263 1348 285
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 353 81 387
+rect 189 444 223 478
+rect 438 536 472 570
+rect 652 536 686 570
+rect 884 536 918 570
+rect 366 427 400 461
+rect 1083 536 1117 570
+rect 980 421 1014 455
+rect 534 353 568 387
+rect 652 353 686 387
+rect 788 353 822 387
+rect 884 353 918 387
+rect 1203 353 1237 387
+rect 1497 421 1531 455
+rect 1594 462 1628 496
+rect 1355 301 1389 335
+<< locali >>
+rect 0 1315 1738 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1738 1315
+rect 35 1201 69 1271
+rect 35 913 69 929
+rect 121 1201 155 1217
+rect 47 387 81 403
+rect 47 313 81 353
+rect 121 387 155 929
+rect 207 1201 241 1271
+rect 207 913 241 929
+rect 311 1201 345 1271
+rect 311 709 345 725
+rect 469 1201 537 1217
+rect 661 1201 695 1271
+rect 661 709 695 725
+rect 819 1201 887 1217
+rect 469 654 537 657
+rect 819 654 887 725
+rect 121 233 155 353
+rect 189 620 537 654
+rect 720 620 887 654
+rect 1011 1201 1045 1271
+rect 1011 641 1045 657
+rect 1097 1201 1131 1217
+rect 1201 1201 1235 1271
+rect 1201 913 1235 929
+rect 1287 1201 1321 1217
+rect 1097 654 1131 657
+rect 1097 620 1187 654
+rect 189 478 223 620
+rect 438 570 472 586
+rect 438 535 472 536
+rect 652 570 686 586
+rect 472 501 568 535
+rect 189 370 223 444
+rect 366 461 400 477
+rect 366 411 400 427
+rect 534 387 568 501
+rect 652 387 686 536
+rect 189 336 500 370
+rect 534 337 568 353
+rect 652 337 686 353
+rect 720 387 754 620
+rect 884 570 918 586
+rect 884 535 918 536
+rect 466 283 500 336
+rect 720 303 754 353
+rect 788 501 884 535
+rect 1083 570 1117 586
+rect 1083 535 1117 536
+rect 788 387 822 501
+rect 1151 467 1187 620
+rect 964 421 980 455
+rect 1014 421 1030 455
+rect 1097 433 1187 467
+rect 1287 455 1321 929
+rect 1373 1201 1407 1271
+rect 1373 913 1407 929
+rect 1477 1201 1511 1217
+rect 1477 609 1511 861
+rect 1563 1201 1597 1271
+rect 1563 845 1597 861
+rect 1649 1201 1683 1217
+rect 1649 683 1683 861
+rect 1682 666 1683 683
+rect 1682 649 1706 666
+rect 1649 632 1706 649
+rect 1477 570 1511 575
+rect 1477 536 1628 570
+rect 1594 496 1628 536
+rect 1097 387 1131 433
+rect 1287 421 1497 455
+rect 1531 421 1547 455
+rect 868 353 884 387
+rect 918 353 1131 387
+rect 1187 353 1203 387
+rect 1237 353 1253 387
+rect 788 337 822 353
+rect 35 199 155 233
+rect 311 267 345 283
+rect 193 199 227 215
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 267 537 283
+rect 720 269 887 303
+rect 466 249 469 267
+rect 311 61 345 131
+rect 819 267 887 269
+rect 469 115 537 131
+rect 661 199 695 215
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 267 1045 283
+rect 1011 61 1045 131
+rect 1097 267 1131 353
+rect 1287 233 1321 421
+rect 1594 387 1628 462
+rect 1477 353 1628 387
+rect 1355 335 1389 351
+rect 1097 115 1131 131
+rect 1201 199 1321 233
+rect 1359 199 1393 215
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 199 1511 353
+rect 1672 320 1706 632
+rect 1649 286 1706 320
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1649 199 1683 286
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 47 279 81 313
+rect 121 353 155 387
+rect 438 501 472 535
+rect 366 427 400 461
+rect 634 353 652 387
+rect 652 353 668 387
+rect 720 353 754 387
+rect 884 501 918 535
+rect 1083 501 1117 535
+rect 980 421 1014 455
+rect 1648 649 1682 683
+rect 1477 575 1511 609
+rect 1497 421 1531 455
+rect 1203 353 1237 387
+rect 1355 301 1389 313
+rect 1355 279 1389 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1315 1738 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1738 1315
+rect 0 1271 1738 1281
+rect 1636 683 1694 689
+rect 1614 649 1648 683
+rect 1682 649 1694 683
+rect 1636 643 1694 649
+rect 1465 609 1523 615
+rect 1442 575 1477 609
+rect 1511 575 1523 609
+rect 1465 569 1523 575
+rect 426 535 484 541
+rect 872 535 930 541
+rect 1071 535 1129 541
+rect 426 501 438 535
+rect 472 501 884 535
+rect 918 501 1083 535
+rect 1117 501 1129 535
+rect 426 495 484 501
+rect 872 495 930 501
+rect 1071 495 1129 501
+rect 354 461 412 467
+rect 354 427 366 461
+rect 400 427 434 461
+rect 968 455 1026 461
+rect 1485 455 1543 461
+rect 354 421 412 427
+rect 968 421 980 455
+rect 1014 421 1497 455
+rect 1531 421 1543 455
+rect 968 415 1026 421
+rect 1485 415 1543 421
+rect 109 387 167 393
+rect 622 387 680 393
+rect 109 353 121 387
+rect 155 353 634 387
+rect 668 353 680 387
+rect 109 347 167 353
+rect 622 347 680 353
+rect 708 387 766 393
+rect 1191 387 1249 393
+rect 708 353 720 387
+rect 754 353 1203 387
+rect 1237 353 1249 387
+rect 708 347 766 353
+rect 1191 347 1249 353
+rect 35 313 93 319
+rect 1343 313 1401 319
+rect 35 279 47 313
+rect 81 279 1355 313
+rect 1389 279 1401 313
+rect 35 273 93 279
+rect 1343 273 1401 279
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 383 444 383 444 1 D
+port 1 n
+rlabel viali 1100 518 1100 518 1 CK
+port 2 n
+rlabel viali 1665 666 1665 666 1 Q
+port 4 n
+rlabel viali 1495 592 1495 592 1 QN
+port 3 n
+rlabel viali 64 296 64 296 1 SN
+<< end >>
diff --git a/lib/18T_hs/sky130_osu_sc_18T_hs__dffs_l.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__dffs_l.mag
new file mode 100644
index 0000000..705ee0c
--- /dev/null
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__dffs_l.mag
@@ -0,0 +1,719 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862745
+<< nwell >>
+rect -10 581 1741 1341
+<< nmoslvt >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 356 115 386 315
+rect 428 115 458 315
+rect 548 115 578 315
+rect 620 115 650 315
+rect 706 115 736 315
+rect 778 115 808 315
+rect 898 115 928 315
+rect 970 115 1000 315
+rect 1056 115 1086 315
+rect 1246 115 1276 263
+rect 1318 115 1348 263
+rect 1522 115 1552 263
+rect 1608 115 1638 263
+<< pmos >>
+rect 80 817 110 1217
+rect 166 817 196 1217
+rect 356 617 386 1217
+rect 428 617 458 1217
+rect 548 617 578 1217
+rect 620 617 650 1217
+rect 706 617 736 1217
+rect 778 617 808 1217
+rect 898 617 928 1217
+rect 970 617 1000 1217
+rect 1056 617 1086 1217
+rect 1246 817 1276 1217
+rect 1332 817 1362 1217
+rect 1522 817 1552 1217
+rect 1608 817 1638 1217
+<< ndiff >>
+rect 303 267 356 315
+rect 27 199 80 263
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 199 235 263
+rect 182 131 193 199
+rect 227 131 235 199
+rect 182 115 235 131
+rect 303 131 311 267
+rect 345 131 356 267
+rect 303 115 356 131
+rect 386 115 428 315
+rect 458 267 548 315
+rect 458 131 469 267
+rect 537 131 548 267
+rect 458 115 548 131
+rect 578 115 620 315
+rect 650 199 706 315
+rect 650 131 661 199
+rect 695 131 706 199
+rect 650 115 706 131
+rect 736 115 778 315
+rect 808 267 898 315
+rect 808 131 819 267
+rect 887 131 898 267
+rect 808 115 898 131
+rect 928 115 970 315
+rect 1000 267 1056 315
+rect 1000 131 1011 267
+rect 1045 131 1056 267
+rect 1000 115 1056 131
+rect 1086 267 1139 315
+rect 1086 131 1097 267
+rect 1131 131 1139 267
+rect 1086 115 1139 131
+rect 1193 199 1246 263
+rect 1193 131 1201 199
+rect 1235 131 1246 199
+rect 1193 115 1246 131
+rect 1276 115 1318 263
+rect 1348 199 1401 263
+rect 1348 131 1359 199
+rect 1393 131 1401 199
+rect 1348 115 1401 131
+rect 1469 199 1522 263
+rect 1469 131 1477 199
+rect 1511 131 1522 199
+rect 1469 115 1522 131
+rect 1552 199 1608 263
+rect 1552 131 1563 199
+rect 1597 131 1608 199
+rect 1552 115 1608 131
+rect 1638 199 1691 263
+rect 1638 131 1649 199
+rect 1683 131 1691 199
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 929 35 1201
+rect 69 929 80 1201
+rect 27 817 80 929
+rect 110 1201 166 1217
+rect 110 929 121 1201
+rect 155 929 166 1201
+rect 110 817 166 929
+rect 196 1201 249 1217
+rect 196 929 207 1201
+rect 241 929 249 1201
+rect 196 817 249 929
+rect 303 1201 356 1217
+rect 303 725 311 1201
+rect 345 725 356 1201
+rect 303 617 356 725
+rect 386 617 428 1217
+rect 458 1201 548 1217
+rect 458 657 469 1201
+rect 537 657 548 1201
+rect 458 617 548 657
+rect 578 617 620 1217
+rect 650 1201 706 1217
+rect 650 725 661 1201
+rect 695 725 706 1201
+rect 650 617 706 725
+rect 736 617 778 1217
+rect 808 1201 898 1217
+rect 808 725 819 1201
+rect 887 725 898 1201
+rect 808 617 898 725
+rect 928 617 970 1217
+rect 1000 1201 1056 1217
+rect 1000 657 1011 1201
+rect 1045 657 1056 1201
+rect 1000 617 1056 657
+rect 1086 1201 1139 1217
+rect 1086 657 1097 1201
+rect 1131 657 1139 1201
+rect 1193 1201 1246 1217
+rect 1193 929 1201 1201
+rect 1235 929 1246 1201
+rect 1193 817 1246 929
+rect 1276 1201 1332 1217
+rect 1276 929 1287 1201
+rect 1321 929 1332 1201
+rect 1276 817 1332 929
+rect 1362 1201 1415 1217
+rect 1362 929 1373 1201
+rect 1407 929 1415 1201
+rect 1362 817 1415 929
+rect 1469 1201 1522 1217
+rect 1469 861 1477 1201
+rect 1511 861 1522 1201
+rect 1469 817 1522 861
+rect 1552 1201 1608 1217
+rect 1552 861 1563 1201
+rect 1597 861 1608 1201
+rect 1552 817 1608 861
+rect 1638 1201 1691 1217
+rect 1638 861 1649 1201
+rect 1683 861 1691 1201
+rect 1638 817 1691 861
+rect 1086 617 1139 657
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 311 131 345 267
+rect 469 131 537 267
+rect 661 131 695 199
+rect 819 131 887 267
+rect 1011 131 1045 267
+rect 1097 131 1131 267
+rect 1201 131 1235 199
+rect 1359 131 1393 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1649 131 1683 199
+<< pdiffc >>
+rect 35 929 69 1201
+rect 121 929 155 1201
+rect 207 929 241 1201
+rect 311 725 345 1201
+rect 469 657 537 1201
+rect 661 725 695 1201
+rect 819 725 887 1201
+rect 1011 657 1045 1201
+rect 1097 657 1131 1201
+rect 1201 929 1235 1201
+rect 1287 929 1321 1201
+rect 1373 929 1407 1201
+rect 1477 861 1511 1201
+rect 1563 861 1597 1201
+rect 1649 861 1683 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 356 1217 386 1243
+rect 428 1217 458 1243
+rect 548 1217 578 1243
+rect 620 1217 650 1243
+rect 706 1217 736 1243
+rect 778 1217 808 1243
+rect 898 1217 928 1243
+rect 970 1217 1000 1243
+rect 1056 1217 1086 1243
+rect 1246 1217 1276 1243
+rect 1332 1217 1362 1243
+rect 1522 1217 1552 1243
+rect 1608 1217 1638 1243
+rect 80 403 110 817
+rect 166 494 196 817
+rect 37 387 110 403
+rect 37 353 47 387
+rect 81 353 110 387
+rect 37 337 110 353
+rect 80 263 110 337
+rect 152 478 233 494
+rect 152 444 189 478
+rect 223 444 233 478
+rect 152 428 233 444
+rect 356 477 386 617
+rect 428 586 458 617
+rect 428 570 482 586
+rect 428 536 438 570
+rect 472 536 482 570
+rect 428 520 482 536
+rect 356 461 410 477
+rect 548 475 578 617
+rect 620 580 650 617
+rect 706 580 736 617
+rect 620 570 736 580
+rect 620 536 652 570
+rect 686 536 736 570
+rect 620 526 736 536
+rect 778 475 808 617
+rect 898 586 928 617
+rect 874 570 928 586
+rect 874 536 884 570
+rect 918 536 928 570
+rect 874 520 928 536
+rect 152 263 182 428
+rect 356 427 366 461
+rect 400 427 410 461
+rect 356 411 410 427
+rect 452 445 904 475
+rect 356 315 386 411
+rect 452 367 482 445
+rect 874 403 904 445
+rect 970 471 1000 617
+rect 1056 586 1086 617
+rect 1056 570 1127 586
+rect 1056 556 1083 570
+rect 1067 536 1083 556
+rect 1117 536 1127 570
+rect 1067 520 1127 536
+rect 970 455 1024 471
+rect 970 421 980 455
+rect 1014 421 1024 455
+rect 970 405 1024 421
+rect 428 337 482 367
+rect 524 387 578 403
+rect 524 353 534 387
+rect 568 353 578 387
+rect 524 337 578 353
+rect 428 315 458 337
+rect 548 315 578 337
+rect 620 387 736 397
+rect 620 353 652 387
+rect 686 353 736 387
+rect 620 343 736 353
+rect 620 315 650 343
+rect 706 315 736 343
+rect 778 387 832 403
+rect 778 353 788 387
+rect 822 353 832 387
+rect 778 337 832 353
+rect 874 387 928 403
+rect 874 353 884 387
+rect 918 353 928 387
+rect 874 337 928 353
+rect 778 315 808 337
+rect 898 315 928 337
+rect 970 315 1000 405
+rect 1067 367 1097 520
+rect 1246 403 1276 817
+rect 1056 337 1097 367
+rect 1193 387 1276 403
+rect 1193 353 1203 387
+rect 1237 353 1276 387
+rect 1193 337 1276 353
+rect 1056 315 1086 337
+rect 1246 263 1276 337
+rect 1332 351 1362 817
+rect 1522 601 1552 817
+rect 1512 571 1552 601
+rect 1512 471 1542 571
+rect 1608 512 1638 817
+rect 1487 455 1542 471
+rect 1487 421 1497 455
+rect 1531 421 1542 455
+rect 1584 496 1638 512
+rect 1584 462 1594 496
+rect 1628 462 1638 496
+rect 1584 446 1638 462
+rect 1487 405 1542 421
+rect 1512 360 1542 405
+rect 1332 335 1399 351
+rect 1318 301 1355 335
+rect 1389 301 1399 335
+rect 1512 330 1552 360
+rect 1318 285 1399 301
+rect 1318 263 1348 285
+rect 1522 263 1552 330
+rect 1608 263 1638 446
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 353 81 387
+rect 189 444 223 478
+rect 438 536 472 570
+rect 652 536 686 570
+rect 884 536 918 570
+rect 366 427 400 461
+rect 1083 536 1117 570
+rect 980 421 1014 455
+rect 534 353 568 387
+rect 652 353 686 387
+rect 788 353 822 387
+rect 884 353 918 387
+rect 1203 353 1237 387
+rect 1497 421 1531 455
+rect 1594 462 1628 496
+rect 1355 301 1389 335
+<< locali >>
+rect 0 1315 1738 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1738 1315
+rect 35 1201 69 1271
+rect 35 913 69 929
+rect 121 1201 155 1217
+rect 47 387 81 403
+rect 47 313 81 353
+rect 121 387 155 929
+rect 207 1201 241 1271
+rect 207 913 241 929
+rect 311 1201 345 1271
+rect 311 709 345 725
+rect 469 1201 537 1217
+rect 661 1201 695 1271
+rect 661 709 695 725
+rect 819 1201 887 1217
+rect 469 654 537 657
+rect 819 654 887 725
+rect 121 233 155 353
+rect 189 620 537 654
+rect 720 620 887 654
+rect 1011 1201 1045 1271
+rect 1011 641 1045 657
+rect 1097 1201 1131 1217
+rect 1201 1201 1235 1271
+rect 1201 913 1235 929
+rect 1287 1201 1321 1217
+rect 1097 654 1131 657
+rect 1097 620 1187 654
+rect 189 478 223 620
+rect 438 570 472 586
+rect 438 535 472 536
+rect 652 570 686 586
+rect 472 501 568 535
+rect 189 370 223 444
+rect 366 461 400 477
+rect 366 411 400 427
+rect 534 387 568 501
+rect 652 387 686 536
+rect 189 336 500 370
+rect 534 337 568 353
+rect 652 337 686 353
+rect 720 387 754 620
+rect 884 570 918 586
+rect 884 535 918 536
+rect 466 283 500 336
+rect 720 303 754 353
+rect 788 501 884 535
+rect 1083 570 1117 586
+rect 1083 535 1117 536
+rect 788 387 822 501
+rect 1151 467 1187 620
+rect 964 421 980 455
+rect 1014 421 1030 455
+rect 1097 433 1187 467
+rect 1287 455 1321 929
+rect 1373 1201 1407 1271
+rect 1373 913 1407 929
+rect 1477 1201 1511 1217
+rect 1477 609 1511 861
+rect 1563 1201 1597 1271
+rect 1563 845 1597 861
+rect 1649 1201 1683 1217
+rect 1649 683 1683 861
+rect 1682 666 1683 683
+rect 1682 649 1706 666
+rect 1649 632 1706 649
+rect 1477 570 1511 575
+rect 1477 536 1628 570
+rect 1594 496 1628 536
+rect 1097 387 1131 433
+rect 1287 421 1497 455
+rect 1531 421 1547 455
+rect 868 353 884 387
+rect 918 353 1131 387
+rect 1187 353 1203 387
+rect 1237 353 1253 387
+rect 788 337 822 353
+rect 35 199 155 233
+rect 311 267 345 283
+rect 193 199 227 215
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 267 537 283
+rect 720 269 887 303
+rect 466 249 469 267
+rect 311 61 345 131
+rect 819 267 887 269
+rect 469 115 537 131
+rect 661 199 695 215
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 267 1045 283
+rect 1011 61 1045 131
+rect 1097 267 1131 353
+rect 1287 233 1321 421
+rect 1594 387 1628 462
+rect 1477 353 1628 387
+rect 1355 335 1389 351
+rect 1097 115 1131 131
+rect 1201 199 1321 233
+rect 1359 199 1393 215
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 199 1511 353
+rect 1672 320 1706 632
+rect 1649 286 1706 320
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1649 199 1683 286
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 47 279 81 313
+rect 121 353 155 387
+rect 438 501 472 535
+rect 366 427 400 461
+rect 634 353 652 387
+rect 652 353 668 387
+rect 720 353 754 387
+rect 884 501 918 535
+rect 1083 501 1117 535
+rect 980 421 1014 455
+rect 1648 649 1682 683
+rect 1477 575 1511 609
+rect 1497 421 1531 455
+rect 1203 353 1237 387
+rect 1355 301 1389 313
+rect 1355 279 1389 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1315 1738 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1738 1315
+rect 0 1271 1738 1281
+rect 1636 683 1694 689
+rect 1614 649 1648 683
+rect 1682 649 1694 683
+rect 1636 643 1694 649
+rect 1465 609 1523 615
+rect 1442 575 1477 609
+rect 1511 575 1523 609
+rect 1465 569 1523 575
+rect 426 535 484 541
+rect 872 535 930 541
+rect 1071 535 1129 541
+rect 426 501 438 535
+rect 472 501 884 535
+rect 918 501 1083 535
+rect 1117 501 1129 535
+rect 426 495 484 501
+rect 872 495 930 501
+rect 1071 495 1129 501
+rect 354 461 412 467
+rect 354 427 366 461
+rect 400 427 434 461
+rect 968 455 1026 461
+rect 1485 455 1543 461
+rect 354 421 412 427
+rect 968 421 980 455
+rect 1014 421 1497 455
+rect 1531 421 1543 455
+rect 968 415 1026 421
+rect 1485 415 1543 421
+rect 109 387 167 393
+rect 622 387 680 393
+rect 109 353 121 387
+rect 155 353 634 387
+rect 668 353 680 387
+rect 109 347 167 353
+rect 622 347 680 353
+rect 708 387 766 393
+rect 1191 387 1249 393
+rect 708 353 720 387
+rect 754 353 1203 387
+rect 1237 353 1249 387
+rect 708 347 766 353
+rect 1191 347 1249 353
+rect 35 313 93 319
+rect 1343 313 1401 319
+rect 35 279 47 313
+rect 81 279 1355 313
+rect 1389 279 1401 313
+rect 35 273 93 279
+rect 1343 273 1401 279
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 383 444 383 444 1 D
+port 1 n
+rlabel viali 1100 518 1100 518 1 CK
+port 2 n
+rlabel viali 1665 666 1665 666 1 Q
+port 4 n
+rlabel viali 1495 592 1495 592 1 QN
+port 3 n
+rlabel viali 64 296 64 296 1 SN
+<< end >>
diff --git a/lib/18T_hs/DFFSRX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__dffsr_1.mag
similarity index 84%
rename from lib/18T_hs/DFFSRX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__dffsr_1.mag
index 2bb1b6a..649500b 100644
--- a/lib/18T_hs/DFFSRX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__dffsr_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542092
+timestamp 1603863488
 << nwell >>
 rect -10 581 2090 1341
 << nmoslvt >>
@@ -452,9 +452,10 @@
 rect 1608 484 1638 617
 rect 1694 579 1724 617
 rect 1884 601 1914 617
-rect 1694 549 1751 579
-rect 1721 521 1751 549
+rect 1694 553 1751 579
 rect 1874 571 1914 601
+rect 1694 549 1775 553
+rect 1721 521 1775 549
 rect 1576 468 1638 484
 rect 1576 434 1588 468
 rect 1622 434 1638 468
@@ -525,23 +526,23 @@
 rect 1956 462 1990 496
 rect 1755 301 1789 335
 << locali >>
-rect 0 1305 2090 1332
-rect 0 1271 50 1305
-rect 84 1271 186 1305
-rect 220 1271 322 1305
-rect 356 1271 458 1305
-rect 492 1271 594 1305
-rect 628 1271 730 1305
-rect 764 1271 866 1305
-rect 900 1271 1002 1305
-rect 1036 1271 1138 1305
-rect 1172 1271 1274 1305
-rect 1308 1271 1410 1305
-rect 1444 1271 1546 1305
-rect 1580 1271 1682 1305
-rect 1716 1271 1818 1305
-rect 1852 1271 1954 1305
-rect 1988 1271 2090 1305
+rect 0 1315 2090 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1954 1315
+rect 1988 1271 2090 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -682,24 +683,54 @@
 rect 1925 61 1959 131
 rect 2011 267 2045 286
 rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
 << viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 1954 1305 1988 1315
+rect 1954 1281 1988 1305
 rect 47 649 81 683
 rect 325 575 359 609
 rect 325 353 359 387
@@ -721,8 +752,55 @@
 rect 1502 353 1536 387
 rect 1755 301 1789 313
 rect 1755 279 1789 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
 << metal1 >>
-rect 0 1271 2090 1332
+rect 0 1315 2090 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1954 1315
+rect 1988 1281 2090 1315
+rect 0 1271 2090 1281
 rect 35 683 93 689
 rect 1999 683 2057 689
 rect 35 649 47 683
@@ -784,7 +862,24 @@
 rect 1789 279 1801 313
 rect 217 273 275 279
 rect 1743 273 1801 279
-rect 0 0 2090 61
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
 << labels >>
 rlabel metal1 659 444 659 444 1 D
 port 1 n
@@ -798,8 +893,6 @@
 port 5 n
 rlabel metal1 2028 666 2028 666 1 Q
 port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-rlabel locali 67 1288 67 1288 1 vdd
-rlabel metal1 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 44 67 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/DFFSRXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__dffsr_l.mag
similarity index 84%
rename from lib/18T_hs/DFFSRXL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__dffsr_l.mag
index a79ec75..20ca86e 100644
--- a/lib/18T_hs/DFFSRXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__dffsr_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542136
+timestamp 1603863586
 << nwell >>
 rect -10 581 2090 1341
 << nmoslvt >>
@@ -524,23 +524,23 @@
 rect 1956 462 1990 496
 rect 1755 301 1789 335
 << locali >>
-rect 0 1305 2090 1332
-rect 0 1271 50 1305
-rect 84 1271 186 1305
-rect 220 1271 322 1305
-rect 356 1271 458 1305
-rect 492 1271 594 1305
-rect 628 1271 730 1305
-rect 764 1271 866 1305
-rect 900 1271 1002 1305
-rect 1036 1271 1138 1305
-rect 1172 1271 1274 1305
-rect 1308 1271 1410 1305
-rect 1444 1271 1546 1305
-rect 1580 1271 1682 1305
-rect 1716 1271 1818 1305
-rect 1852 1271 1954 1305
-rect 1988 1271 2090 1305
+rect 0 1315 2090 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1954 1315
+rect 1988 1271 2090 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -683,24 +683,54 @@
 rect 1925 61 1959 131
 rect 2011 199 2045 286
 rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
 << viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 1954 1305 1988 1315
+rect 1954 1281 1988 1305
 rect 47 649 81 683
 rect 325 575 359 609
 rect 325 353 359 387
@@ -721,8 +751,55 @@
 rect 1502 353 1536 387
 rect 1755 301 1789 313
 rect 1755 279 1789 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
 << metal1 >>
-rect 0 1271 2090 1332
+rect 0 1315 2090 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1954 1315
+rect 1988 1281 2090 1315
+rect 0 1271 2090 1281
 rect 35 683 93 689
 rect 1998 683 2056 689
 rect 35 649 47 683
@@ -784,7 +861,24 @@
 rect 1789 279 1801 313
 rect 217 273 275 279
 rect 1743 273 1801 279
-rect 0 0 2090 61
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
 << labels >>
 rlabel metal1 659 444 659 444 1 D
 port 1 n
@@ -798,8 +892,6 @@
 port 5 n
 rlabel metal1 65 666 65 666 1 RN
 port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-rlabel locali 67 1288 67 1288 1 vdd
-rlabel metal1 67 1288 67 1288 1 vdd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_1.mag
similarity index 83%
rename from lib/18T_hs/FILLX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__fill_1.mag
index 4ad0c98..95c509c 100644
--- a/lib/18T_hs/FILLX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_1.mag
@@ -15,8 +15,6 @@
 rect 0 1271 22 1332
 rect 0 0 22 61
 << labels >>
-rlabel locali 11 28 11 28 1 gnd
 rlabel metal1 11 28 11 28 1 gnd
-rlabel locali 11 1299 11 1299 1 vdd
 rlabel metal1 11 1299 11 1299 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX16.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_16.mag
similarity index 79%
rename from lib/18T_hs/FILLX16.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__fill_16.mag
index 48447df..0359d8e 100644
--- a/lib/18T_hs/FILLX16.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_16.mag
@@ -11,8 +11,6 @@
 rect 0 1271 352 1332
 rect 0 0 352 61
 << labels >>
-rlabel locali 196 30 196 30 1 gnd
 rlabel metal1 196 30 196 30 1 gnd
-rlabel locali 199 1300 199 1300 1 vdd
 rlabel metal1 199 1300 199 1300 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX2.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_2.mag
similarity index 83%
rename from lib/18T_hs/FILLX2.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__fill_2.mag
index ac1af58..6563ebf 100644
--- a/lib/18T_hs/FILLX2.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_2.mag
@@ -15,8 +15,6 @@
 rect 0 1271 44 1332
 rect 0 0 44 61
 << labels >>
-rlabel locali 23 28 23 28 1 gnd
 rlabel metal1 23 28 23 28 1 gnd
-rlabel locali 22 1300 22 1300 1 vdd
 rlabel metal1 22 1300 22 1300 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX32.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_32.mag
similarity index 79%
rename from lib/18T_hs/FILLX32.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__fill_32.mag
index 997244a..6fa9b88 100644
--- a/lib/18T_hs/FILLX32.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_32.mag
@@ -11,8 +11,6 @@
 rect 0 1271 704 1332
 rect 0 0 704 61
 << labels >>
-rlabel locali 363 26 363 26 1 gnd
 rlabel metal1 363 26 363 26 1 gnd
-rlabel locali 374 1298 374 1298 1 vdd
 rlabel metal1 374 1298 374 1298 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX4.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_4.mag
similarity index 81%
rename from lib/18T_hs/FILLX4.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__fill_4.mag
index 091e088..0304d99 100644
--- a/lib/18T_hs/FILLX4.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_4.mag
@@ -13,8 +13,6 @@
 rect 0 1271 88 1332
 rect 0 0 88 61
 << labels >>
-rlabel locali 71 28 71 28 1 gnd
 rlabel metal1 71 28 71 28 1 gnd
-rlabel locali 72 1301 72 1301 1 vdd
 rlabel metal1 72 1301 72 1301 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX8.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_8.mag
similarity index 79%
rename from lib/18T_hs/FILLX8.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__fill_8.mag
index 02ebc8a..c59d34e 100644
--- a/lib/18T_hs/FILLX8.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__fill_8.mag
@@ -11,8 +11,6 @@
 rect 0 1271 176 1332
 rect 0 0 176 61
 << labels >>
-rlabel locali 112 28 112 28 1 gnd
 rlabel metal1 112 28 112 28 1 gnd
-rlabel locali 111 1303 111 1303 1 vdd
 rlabel metal1 111 1303 111 1303 1 vdd
 << end >>
diff --git a/lib/18T_hs/INVX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_1.mag
similarity index 79%
rename from lib/18T_hs/INVX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__inv_1.mag
index a42fbd3..4c8c04f 100644
--- a/lib/18T_hs/INVX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476292
+timestamp 1603863700
 << nwell >>
 rect -9 581 199 1341
 << nmoslvt >>
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -68,15 +68,22 @@
 rect 35 61 69 131
 rect 121 267 155 279
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -90,12 +97,13 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 151 441 151 441 1 Y
 port 1 n
 rlabel metal1 64 666 64 666 1 A
diff --git a/lib/18T_hs/INVX10.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_10.mag
similarity index 83%
rename from lib/18T_hs/INVX10.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__inv_10.mag
index 4986375..7d6a27a 100644
--- a/lib/18T_hs/INVX10.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_10.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598539184
+timestamp 1603863912
 << nwell >>
 rect -9 581 990 1341
 << nmoslvt >>
@@ -239,15 +239,15 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -295,16 +295,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -316,8 +330,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -359,12 +396,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVX2.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_2.mag
similarity index 79%
rename from lib/18T_hs/INVX2.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__inv_2.mag
index 2c459ab..3ca7d24 100644
--- a/lib/18T_hs/INVX2.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600522917
+timestamp 1603863719
 << nwell >>
 rect -9 581 287 1341
 << nmoslvt >>
@@ -80,10 +80,10 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -99,16 +99,28 @@
 rect 121 115 155 131
 rect 207 267 241 283
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -122,14 +134,16 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/INVX3.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_3.mag
similarity index 82%
rename from lib/18T_hs/INVX3.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__inv_3.mag
index 1d37618..674f792 100644
--- a/lib/18T_hs/INVX3.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_3.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476324
+timestamp 1603863791
 << nwell >>
 rect -9 581 375 1341
 << nmoslvt >>
@@ -96,10 +96,10 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -119,18 +119,30 @@
 rect 207 61 241 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
 rect 121 279 155 313
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -151,12 +163,14 @@
 rect 327 279 339 313
 rect 109 273 167 279
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVX4.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_4.mag
similarity index 81%
rename from lib/18T_hs/INVX4.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__inv_4.mag
index 2673eed..dbfcbd9 100644
--- a/lib/18T_hs/INVX4.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476333
+timestamp 1603863747
 << nwell >>
 rect -9 581 463 1341
 << nmoslvt >>
@@ -118,11 +118,11 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -146,19 +146,36 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
 rect 121 279 155 313
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -179,12 +196,15 @@
 rect 327 279 339 313
 rect 109 273 167 279
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 665 64 665 1 A
 port 1 n
 rlabel metal1 152 440 152 440 1 Y
diff --git a/lib/18T_hs/INVX6.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_6.mag
similarity index 83%
rename from lib/18T_hs/INVX6.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__inv_6.mag
index 49ff152..05cba07 100644
--- a/lib/18T_hs/INVX6.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_6.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476352
+timestamp 1603863829
 << nwell >>
 rect -9 581 639 1341
 << nmoslvt >>
@@ -157,12 +157,12 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -194,13 +194,21 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -208,8 +216,22 @@
 rect 121 279 155 313
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -237,12 +259,16 @@
 rect 109 273 167 279
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 665 64 665 1 A
 port 1 n
 rlabel metal1 152 440 152 440 1 Y
diff --git a/lib/18T_hs/INVX8.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_8.mag
similarity index 83%
rename from lib/18T_hs/INVX8.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__inv_8.mag
index 15ce451..8e4bb8d 100644
--- a/lib/18T_hs/INVX8.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_8.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476343
+timestamp 1603863864
 << nwell >>
 rect -9 581 814 1341
 << nmoslvt >>
@@ -195,13 +195,13 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 814 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 814 1305
+rect 0 1315 814 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 814 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -241,14 +241,24 @@
 rect 637 115 671 131
 rect 723 267 757 283
 rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 814 61
+rect 0 0 814 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -258,8 +268,25 @@
 rect 293 279 327 313
 rect 465 279 499 313
 rect 637 279 671 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
 << metal1 >>
-rect 0 1271 814 1332
+rect 0 1315 814 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 814 1315
+rect 0 1271 814 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -294,12 +321,17 @@
 rect 281 273 339 279
 rect 453 273 511 279
 rect 625 273 683 279
-rect 0 0 814 61
+rect 0 51 814 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 814 51
+rect 0 0 814 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_l.mag
similarity index 79%
rename from lib/18T_hs/INVXL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__inv_l.mag
index e287f1d..312b80e 100644
--- a/lib/18T_hs/INVXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__inv_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542173
+timestamp 1603863931
 << nwell >>
 rect -9 581 199 1341
 << nmoslvt >>
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 913 69 929
 rect 121 1201 155 1217
@@ -68,15 +68,22 @@
 rect 35 61 69 131
 rect 121 199 155 279
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -90,12 +97,13 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 666 64 666 1 A
 port 1 n
 rlabel metal1 151 441 151 441 1 Y
diff --git a/lib/18T_hs/sky130_osu_sc_18T_hs__mux2_1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__mux2_1.mag
new file mode 100644
index 0000000..941ab66
--- /dev/null
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__mux2_1.mag
@@ -0,0 +1,225 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603864309
+<< nwell >>
+rect -9 581 553 1341
+<< nmoslvt >>
+rect 80 115 110 315
+rect 270 115 300 315
+rect 356 115 386 315
+<< pmos >>
+rect 80 617 110 1217
+rect 270 617 300 1217
+rect 356 617 386 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 110 115 163 131
+rect 217 267 270 315
+rect 217 131 225 267
+rect 259 131 270 267
+rect 217 115 270 131
+rect 300 267 356 315
+rect 300 131 311 267
+rect 345 131 356 267
+rect 300 115 356 131
+rect 386 267 439 315
+rect 386 131 397 267
+rect 431 131 439 267
+rect 386 115 439 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 110 617 163 657
+rect 217 1201 270 1217
+rect 217 657 225 1201
+rect 259 657 270 1201
+rect 217 617 270 657
+rect 300 1201 356 1217
+rect 300 657 311 1201
+rect 345 657 356 1201
+rect 300 617 356 657
+rect 386 1201 439 1217
+rect 386 657 397 1201
+rect 431 657 439 1201
+rect 386 617 439 657
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 267
+rect 311 131 345 267
+rect 397 131 431 267
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 657 259 1201
+rect 311 657 345 1201
+rect 397 657 431 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 435 1271 459 1305
+rect 493 1271 517 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 459 1271 493 1305
+<< poly >>
+rect 80 1232 300 1262
+rect 80 1217 110 1232
+rect 270 1217 300 1232
+rect 356 1217 386 1243
+rect 80 494 110 617
+rect 270 591 300 617
+rect 152 555 218 565
+rect 152 521 168 555
+rect 202 541 218 555
+rect 356 541 386 617
+rect 202 521 386 541
+rect 152 511 386 521
+rect 27 478 110 494
+rect 27 444 37 478
+rect 71 469 110 478
+rect 71 444 386 469
+rect 27 439 386 444
+rect 27 428 110 439
+rect 80 315 110 428
+rect 152 387 218 397
+rect 152 353 168 387
+rect 202 373 218 387
+rect 202 353 300 373
+rect 152 343 300 353
+rect 270 315 300 343
+rect 356 315 386 439
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+<< polycont >>
+rect 168 521 202 555
+rect 37 444 71 478
+rect 168 353 202 387
+<< locali >>
+rect 0 1315 550 1332
+rect 0 1271 459 1315
+rect 493 1271 550 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 37 478 71 649
+rect 37 428 71 444
+rect 121 571 155 657
+rect 225 1201 259 1217
+rect 311 1201 345 1217
+rect 225 623 270 657
+rect 236 609 270 623
+rect 121 555 202 571
+rect 121 521 168 555
+rect 121 505 202 521
+rect 121 403 155 505
+rect 121 387 202 403
+rect 121 353 168 387
+rect 121 337 202 353
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 337
+rect 236 301 270 575
+rect 311 461 345 657
+rect 397 1201 431 1217
+rect 397 535 431 657
+rect 121 115 155 131
+rect 225 267 270 301
+rect 311 267 345 279
+rect 225 115 259 131
+rect 311 115 345 131
+rect 397 267 431 501
+rect 397 115 431 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 37 649 71 683
+rect 236 575 270 609
+rect 311 427 345 461
+rect 397 501 431 535
+rect 311 279 345 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1315 550 1332
+rect 0 1281 459 1315
+rect 493 1281 550 1315
+rect 0 1271 550 1281
+rect 25 683 83 689
+rect 25 649 37 683
+rect 71 649 117 683
+rect 25 643 83 649
+rect 224 609 282 615
+rect 190 575 236 609
+rect 270 575 282 609
+rect 224 569 282 575
+rect 385 535 443 541
+rect 351 501 397 535
+rect 431 501 443 535
+rect 385 495 443 501
+rect 299 461 357 467
+rect 299 427 311 461
+rect 345 427 357 461
+rect 299 421 357 427
+rect 311 319 345 421
+rect 299 313 357 319
+rect 299 279 311 313
+rect 345 279 357 313
+rect 299 273 357 279
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel metal1 54 666 54 666 1 S0
+port 1 n
+rlabel metal1 328 444 328 444 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 476 1288 476 1288 1 vdd
+rlabel viali 476 1288 476 1288 1 vdd
+rlabel metal1 253 592 253 592 1 A0
+port 3 n
+rlabel metal1 414 518 414 518 1 A1
+port 4 n
+<< end >>
diff --git a/lib/18T_hs/NAND2X1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__nand2_1.mag
similarity index 79%
rename from lib/18T_hs/NAND2X1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__nand2_1.mag
index 5e8da9a..bac968a 100644
--- a/lib/18T_hs/NAND2X1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__nand2_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598463762
+timestamp 1603864337
 << nwell >>
 rect -9 581 286 1341
 << nmoslvt >>
@@ -77,10 +77,10 @@
 rect 47 520 81 554
 rect 166 427 200 461
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -96,17 +96,29 @@
 rect 35 115 69 131
 rect 193 267 227 283
 rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 501 155 535
 rect 195 575 229 609
 rect 35 279 69 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 115 683
@@ -124,12 +136,14 @@
 rect 23 279 35 313
 rect 69 279 155 313
 rect 23 273 81 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 136 470 136 470 1 Y
 port 1 n
 rlabel metal1 64 666 64 666 1 A
diff --git a/lib/18T_hs/NAND2XL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__nand2_l.mag
similarity index 79%
rename from lib/18T_hs/NAND2XL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__nand2_l.mag
index 6b1d67b..820cc41 100644
--- a/lib/18T_hs/NAND2XL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__nand2_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542315
+timestamp 1603864354
 << nwell >>
 rect -9 581 286 1341
 << nmoslvt >>
@@ -77,10 +77,10 @@
 rect 47 520 81 554
 rect 166 370 200 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 913 69 929
 rect 121 1201 155 1217
@@ -96,17 +96,29 @@
 rect 35 115 69 131
 rect 193 199 227 215
 rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 501 155 535
 rect 195 575 229 609
 rect 35 279 69 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 115 683
@@ -124,12 +136,14 @@
 rect 23 279 35 313
 rect 69 279 155 313
 rect 23 273 81 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 136 470 136 470 1 Y
 port 1 n
 rlabel metal1 212 592 212 592 1 B
diff --git a/lib/18T_hs/NOR2X1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__nor2_1.mag
similarity index 79%
rename from lib/18T_hs/NOR2X1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__nor2_1.mag
index ef32cbc..f09986a 100644
--- a/lib/18T_hs/NOR2X1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__nor2_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598547791
+timestamp 1603864375
 << nwell >>
 rect -9 581 286 1341
 << nmoslvt >>
@@ -77,10 +77,10 @@
 rect 181 534 215 568
 rect 66 401 100 435
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 193 1201 227 1271
 rect 193 777 227 793
@@ -96,17 +96,29 @@
 rect 121 115 155 131
 rect 207 267 241 283
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 181 649 215 683
 rect 35 501 69 535
 rect 113 575 147 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 169 683 227 689
 rect 148 649 181 683
 rect 215 649 227 683
@@ -124,12 +136,14 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 137 393 137 393 1 Y
 port 1 n
 rlabel metal1 130 592 130 592 1 B
diff --git a/lib/18T_hs/NOR2XL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__nor2_l.mag
similarity index 79%
rename from lib/18T_hs/NOR2XL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__nor2_l.mag
index 87ce88a..265ea27 100644
--- a/lib/18T_hs/NOR2XL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__nor2_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542633
+timestamp 1603864393
 << nwell >>
 rect -9 581 286 1341
 << nmoslvt >>
@@ -77,10 +77,10 @@
 rect 181 534 215 568
 rect 66 401 100 435
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 35 535 69 861
 rect 193 1201 227 1271
@@ -96,17 +96,29 @@
 rect 121 115 155 131
 rect 207 199 241 215
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 181 649 215 683
 rect 35 501 69 535
 rect 113 575 147 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 169 683 227 689
 rect 148 649 181 683
 rect 215 649 227 683
@@ -124,12 +136,14 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 137 393 137 393 1 Y
 port 1 n
 rlabel metal1 198 666 198 666 1 A
diff --git a/lib/18T_hs/OAI21XL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__oai21_l.mag
similarity index 84%
rename from lib/18T_hs/OAI21XL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__oai21_l.mag
index 62e3c26..cdba70d 100644
--- a/lib/18T_hs/OAI21XL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__oai21_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542663
+timestamp 1603864420
 << nwell >>
 rect -9 581 374 1341
 << nmoslvt >>
@@ -101,10 +101,10 @@
 rect 152 427 186 461
 rect 262 359 296 393
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 193 1201 227 1271
 rect 193 845 227 861
@@ -128,18 +128,30 @@
 rect 207 115 241 131
 rect 293 266 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 66 649 100 683
 rect 162 575 196 609
 rect 223 501 257 535
 rect 291 427 325 461
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 54 683 112 689
 rect 54 649 66 683
 rect 100 649 134 683
@@ -161,12 +173,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 83 666 83 666 1 A0
 port 1 n
 rlabel metal1 179 592 179 592 1 A1
diff --git a/lib/18T_hs/sky130_osu_sc_18T_hs__oai22_l.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__oai22_l.mag
new file mode 100644
index 0000000..5c2d141
--- /dev/null
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__oai22_l.mag
@@ -0,0 +1,237 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603913328
+<< nwell >>
+rect -9 581 462 1341
+<< nmoslvt >>
+rect 80 115 110 315
+rect 166 115 196 315
+rect 252 115 282 315
+rect 338 115 368 315
+<< pmos >>
+rect 80 617 110 1217
+rect 152 617 182 1217
+rect 252 617 282 1217
+rect 324 617 354 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 199 166 315
+rect 110 131 121 199
+rect 155 131 166 199
+rect 110 115 166 131
+rect 196 267 252 315
+rect 196 131 207 267
+rect 241 131 252 267
+rect 196 115 252 131
+rect 282 267 338 315
+rect 282 199 293 267
+rect 327 199 338 267
+rect 282 115 338 199
+rect 368 199 421 315
+rect 368 131 379 199
+rect 413 131 421 199
+rect 368 115 421 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 617 152 1217
+rect 182 1201 252 1217
+rect 182 725 200 1201
+rect 234 725 252 1201
+rect 182 617 252 725
+rect 282 617 324 1217
+rect 354 1201 407 1217
+rect 354 793 365 1201
+rect 399 793 407 1201
+rect 354 617 407 793
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 199
+rect 207 131 241 267
+rect 293 199 327 267
+rect 379 131 413 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 200 725 234 1201
+rect 365 793 399 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+rect 163 1271 187 1305
+rect 221 1271 245 1305
+rect 299 1271 323 1305
+rect 357 1271 381 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+rect 187 1271 221 1305
+rect 323 1271 357 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 152 1217 182 1243
+rect 252 1217 282 1243
+rect 324 1217 354 1243
+rect 80 585 110 617
+rect 56 569 110 585
+rect 56 535 66 569
+rect 100 535 110 569
+rect 56 519 110 535
+rect 56 370 86 519
+rect 152 471 182 617
+rect 130 461 196 471
+rect 130 427 146 461
+rect 180 427 196 461
+rect 130 417 196 427
+rect 56 340 110 370
+rect 80 315 110 340
+rect 166 315 196 417
+rect 252 409 282 617
+rect 324 592 354 617
+rect 324 562 368 592
+rect 338 478 368 562
+rect 338 462 430 478
+rect 338 428 384 462
+rect 418 428 430 462
+rect 338 412 430 428
+rect 238 393 292 409
+rect 238 359 248 393
+rect 282 359 292 393
+rect 238 343 292 359
+rect 252 315 282 343
+rect 338 315 368 412
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 66 535 100 569
+rect 146 427 180 461
+rect 384 428 418 462
+rect 248 359 282 393
+<< locali >>
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 200 1201 234 1217
+rect 365 1201 399 1271
+rect 365 777 399 793
+rect 200 700 234 725
+rect 200 666 350 700
+rect 66 569 100 649
+rect 66 519 100 535
+rect 146 575 162 609
+rect 146 461 180 575
+rect 146 411 180 427
+rect 223 409 257 501
+rect 223 393 282 409
+rect 223 359 248 393
+rect 223 343 282 359
+rect 316 387 350 666
+rect 384 462 418 478
+rect 384 412 418 428
+rect 35 267 241 301
+rect 35 115 69 131
+rect 121 199 155 215
+rect 121 61 155 131
+rect 293 279 316 283
+rect 293 267 350 279
+rect 327 249 350 267
+rect 293 183 327 199
+rect 379 199 413 215
+rect 241 131 379 149
+rect 207 115 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 66 649 100 683
+rect 162 575 196 609
+rect 223 501 257 535
+rect 384 428 418 462
+rect 316 353 350 387
+rect 316 279 350 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
+rect 54 683 112 689
+rect 54 649 66 683
+rect 100 649 134 683
+rect 54 643 112 649
+rect 150 609 208 615
+rect 150 575 162 609
+rect 196 575 230 609
+rect 150 569 208 575
+rect 211 535 269 541
+rect 189 501 223 535
+rect 257 501 269 535
+rect 211 495 269 501
+rect 372 462 430 468
+rect 350 428 384 462
+rect 418 428 430 462
+rect 372 422 430 428
+rect 304 387 362 393
+rect 304 353 316 387
+rect 350 353 362 387
+rect 304 347 362 353
+rect 316 319 350 347
+rect 304 313 362 319
+rect 304 279 316 313
+rect 350 279 362 313
+rect 304 273 362 279
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel metal1 240 518 240 518 1 B0
+port 4 n
+rlabel viali 179 592 179 592 1 A1
+port 2 n
+rlabel viali 83 666 83 666 1 A0
+port 1 n
+rlabel viali 333 370 333 370 1 Y
+port 3 n
+rlabel viali 401 445 401 445 1 B1
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/OR2X1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_1.mag
similarity index 83%
rename from lib/18T_hs/OR2X1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__or2_1.mag
index 3ced65a..1fa91ff 100644
--- a/lib/18T_hs/OR2X1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600495020
+timestamp 1603864446
 << nwell >>
 rect -9 581 374 1341
 << nmoslvt >>
@@ -101,10 +101,10 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -127,17 +127,29 @@
 rect 207 61 241 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X2.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_2.mag
similarity index 82%
rename from lib/18T_hs/OR2X2.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__or2_2.mag
index 46894eb..b74bb73 100644
--- a/lib/18T_hs/OR2X2.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494574
+timestamp 1603864470
 << nwell >>
 rect -9 581 462 1341
 << nmoslvt >>
@@ -124,11 +124,11 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -155,18 +155,35 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -184,12 +201,15 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X4.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_4.mag
similarity index 83%
copy from lib/18T_hs/OR2X4.mag
copy to lib/18T_hs/sky130_osu_sc_18T_hs__or2_4.mag
index 3556e2d..eb5d24b 100644
--- a/lib/18T_hs/OR2X4.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494627
+timestamp 1603864501
 << nwell >>
 rect -9 581 638 1341
 << nmoslvt >>
@@ -162,12 +162,12 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -202,21 +202,43 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 465 501 499 535
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -241,12 +263,16 @@
 rect 499 279 511 313
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X8.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_8.mag
similarity index 83%
rename from lib/18T_hs/OR2X8.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__or2_8.mag
index 93d4ad3..eb385c1 100644
--- a/lib/18T_hs/OR2X8.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_8.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494660
+timestamp 1603864544
 << nwell >>
 rect -9 581 990 1341
 << nmoslvt >>
@@ -245,15 +245,15 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -304,16 +304,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
@@ -324,8 +338,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -365,12 +402,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2XL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_l.mag
similarity index 83%
rename from lib/18T_hs/OR2XL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__or2_l.mag
index 582d20f..f56cc72 100644
--- a/lib/18T_hs/OR2XL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__or2_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542689
+timestamp 1603864574
 << nwell >>
 rect -9 581 374 1341
 << nmoslvt >>
@@ -101,10 +101,10 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 743 69 929
 rect 207 1201 241 1271
@@ -127,17 +127,29 @@
 rect 207 61 241 131
 rect 293 199 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 54 592 54 592 1 B
diff --git a/lib/18T_hs/TBUFIX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__tbufi_1.mag
similarity index 83%
rename from lib/18T_hs/TBUFIX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__tbufi_1.mag
index c248123..a1de1cc 100644
--- a/lib/18T_hs/TBUFIX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__tbufi_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598626416
+timestamp 1603864606
 << nwell >>
 rect -9 581 375 1341
 << nmoslvt >>
@@ -98,10 +98,10 @@
 rect 121 353 155 387
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 709 155 725
@@ -122,17 +122,29 @@
 rect 121 61 155 131
 rect 279 267 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 279 501 313 535
 rect 121 353 155 387
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -154,12 +166,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TBUFIXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__tbufi_l.mag
similarity index 83%
rename from lib/18T_hs/TBUFIXL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__tbufi_l.mag
index 5ed46c8..87e0e26 100644
--- a/lib/18T_hs/TBUFIXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__tbufi_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542760
+timestamp 1603864958
 << nwell >>
 rect -9 581 375 1341
 << nmoslvt >>
@@ -98,10 +98,10 @@
 rect 121 353 155 387
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 513 69 861
 rect 121 1201 155 1271
@@ -122,17 +122,29 @@
 rect 121 61 155 131
 rect 279 199 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 279 501 313 535
 rect 121 353 155 387
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -154,12 +166,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TIEHI.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__tiehi.mag
similarity index 76%
rename from lib/18T_hs/TIEHI.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__tiehi.mag
index 9b44fa5..7b1a610 100644
--- a/lib/18T_hs/TIEHI.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__tiehi.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548654
+timestamp 1603865006
 << nwell >>
 rect -9 581 199 1341
 << nmoslvt >>
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 383 124 417
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 641 69 657
 rect 121 1201 155 1217
@@ -67,23 +67,31 @@
 rect 35 61 69 131
 rect 121 267 155 383
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 121 575 155 609
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 94 609 167 615
 rect 94 575 121 609
 rect 155 575 167 609
 rect 94 569 167 575
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 138 592 138 592 1 Y
 port 1 n
 << end >>
diff --git a/lib/18T_hs/TIELO.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__tielo.mag
similarity index 76%
rename from lib/18T_hs/TIELO.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__tielo.mag
index a42df51..6c3d70c 100644
--- a/lib/18T_hs/TIELO.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__tielo.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548691
+timestamp 1603865020
 << nwell >>
 rect -9 581 199 1341
 << nmoslvt >>
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 515 124 549
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 641 69 657
 rect 121 1201 155 1217
@@ -67,23 +67,31 @@
 rect 35 61 69 131
 rect 121 267 155 353
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 121 353 155 387
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 94 387 167 393
 rect 94 353 121 387
 rect 155 353 167 387
 rect 94 347 167 353
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 138 370 138 370 1 Y
 port 1 n
 << end >>
diff --git a/lib/12T_hs/TNBUFIX1.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__tnbufi_1.mag
similarity index 82%
rename from lib/12T_hs/TNBUFIX1.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__tnbufi_1.mag
index 37c4ab2..9e93942 100644
--- a/lib/12T_hs/TNBUFIX1.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__tnbufi_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598625848
+timestamp 1603865046
 << nwell >>
 rect -9 581 375 1341
-<< nmos >>
+<< nmoslvt >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 238 115 268 315
@@ -97,10 +97,10 @@
 rect 121 418 155 452
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 709 155 725
@@ -121,17 +121,29 @@
 rect 121 61 155 131
 rect 279 267 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 121 575 155 609
 rect 279 501 313 535
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -149,12 +161,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TNBUFIXL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__tnbufi_l.mag
similarity index 82%
rename from lib/18T_hs/TNBUFIXL.mag
rename to lib/18T_hs/sky130_osu_sc_18T_hs__tnbufi_l.mag
index f19e271..5540167 100644
--- a/lib/18T_hs/TNBUFIXL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__tnbufi_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542746
+timestamp 1603865067
 << nwell >>
 rect -9 581 375 1341
 << nmoslvt >>
@@ -97,10 +97,10 @@
 rect 121 418 155 452
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 452 69 861
 rect 121 1201 155 1271
@@ -121,17 +121,29 @@
 rect 121 61 155 131
 rect 279 199 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 121 575 155 609
 rect 279 501 313 535
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -149,12 +161,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/XNOR2XL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__xnor2_l.mag
similarity index 83%
copy from lib/18T_hs/XNOR2XL.mag
copy to lib/18T_hs/sky130_osu_sc_18T_hs__xnor2_l.mag
index 84e1d94..bd6dd05 100644
--- a/lib/18T_hs/XNOR2XL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__xnor2_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1599065241
+timestamp 1603865125
 << nwell >>
 rect -9 581 638 1341
 << nmoslvt >>
@@ -169,12 +169,12 @@
 rect 336 353 370 387
 rect 489 353 523 387
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 35 495 69 657
 rect 121 1201 155 1271
@@ -212,13 +212,21 @@
 rect 471 199 505 215
 rect 471 61 505 131
 rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 268 657 279 683
 rect 279 657 302 683
 rect 268 649 302 657
@@ -226,8 +234,22 @@
 rect 268 353 302 387
 rect 489 353 523 387
 rect 412 279 446 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 256 683 314 689
 rect 256 649 268 683
 rect 302 649 314 683
@@ -248,12 +270,16 @@
 rect 446 279 458 313
 rect 140 273 198 279
 rect 400 273 458 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 170 296 170 296 1 A
 port 1 n
 rlabel metal1 506 370 506 370 1 B
diff --git a/lib/18T_hs/XOR2XL.mag b/lib/18T_hs/sky130_osu_sc_18T_hs__xor2_l.mag
similarity index 83%
copy from lib/18T_hs/XOR2XL.mag
copy to lib/18T_hs/sky130_osu_sc_18T_hs__xor2_l.mag
index 911cde7..c68f0a2 100644
--- a/lib/18T_hs/XOR2XL.mag
+++ b/lib/18T_hs/sky130_osu_sc_18T_hs__xor2_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1599060112
+timestamp 1603865151
 << nwell >>
 rect -9 581 638 1341
 << nmoslvt >>
@@ -168,12 +168,12 @@
 rect 430 427 464 461
 rect 248 353 282 387
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -213,20 +213,42 @@
 rect 471 61 505 131
 rect 557 267 591 353
 rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 200 649 234 683
 rect 412 649 446 683
 rect 268 501 302 535
 rect 489 575 523 609
 rect 296 279 330 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 188 683 246 689
 rect 400 683 458 689
 rect 188 649 200 683
@@ -247,12 +269,16 @@
 rect 268 279 296 313
 rect 330 279 342 313
 rect 284 273 342 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 218 666 218 666 1 A
 port 1 n
 rlabel metal1 285 486 285 486 1 Y
diff --git a/lib/18T_hs/ADDFX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__addf_1.mag
similarity index 84%
copy from lib/18T_hs/ADDFX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__addf_1.mag
index 141d7c4..4ce11c2 100644
--- a/lib/18T_hs/ADDFX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__addf_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600543577
+timestamp 1603838866
 << nwell >>
 rect -9 581 1435 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -19,7 +19,7 @@
 rect 1004 115 1034 315
 rect 1102 115 1132 315
 rect 1292 115 1322 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -367,18 +367,18 @@
 rect 1265 531 1299 565
 rect 1014 353 1048 387
 << locali >>
-rect 0 1305 1408 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1408 1305
+rect 0 1315 1408 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1408 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -482,19 +482,39 @@
 rect 1247 199 1281 215
 rect 1247 61 1281 131
 rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 80 501 114 535
 rect 248 427 282 461
 rect 80 353 114 387
@@ -510,8 +530,40 @@
 rect 1014 353 1048 387
 rect 1211 279 1245 313
 rect 1333 575 1367 609
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1408 1332
+rect 0 1315 1408 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1408 1315
+rect 0 1271 1408 1281
 rect 1131 684 1189 690
 rect 1109 650 1143 684
 rect 1177 650 1189 684
@@ -565,18 +617,28 @@
 rect 281 273 339 279
 rect 765 273 823 279
 rect 1199 273 1257 279
-rect 0 0 1408 61
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 97 370 97 370 1 A
 port 1 n
 rlabel metal1 265 444 265 444 1 CI
 port 2 n
 rlabel metal1 129 518 129 518 1 B
 port 3 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 1228 296 1228 296 1 CON
 port 4 n
 rlabel metal1 1160 667 1160 667 1 S
diff --git a/lib/18T_hs/ADDFXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__addf_l.mag
similarity index 84%
copy from lib/18T_hs/ADDFXL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__addf_l.mag
index 405c696..230fa05 100644
--- a/lib/18T_hs/ADDFXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__addf_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541074
+timestamp 1603839028
 << nwell >>
 rect -9 581 1435 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -19,7 +19,7 @@
 rect 1004 115 1034 315
 rect 1102 115 1132 263
 rect 1292 115 1322 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -369,18 +369,18 @@
 rect 1265 531 1299 565
 rect 1014 353 1048 387
 << locali >>
-rect 0 1305 1408 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1408 1305
+rect 0 1315 1408 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1408 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -484,19 +484,39 @@
 rect 1247 61 1281 131
 rect 1333 199 1367 575
 rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 80 501 114 535
 rect 248 427 282 461
 rect 80 353 114 387
@@ -512,8 +532,40 @@
 rect 1014 353 1048 387
 rect 1211 279 1245 313
 rect 1333 575 1367 609
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1408 1332
+rect 0 1315 1408 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1408 1315
+rect 0 1271 1408 1281
 rect 1131 690 1189 696
 rect 1109 656 1143 690
 rect 1177 656 1189 690
@@ -567,22 +619,32 @@
 rect 281 273 339 279
 rect 765 273 823 279
 rect 1199 273 1257 279
-rect 0 0 1408 61
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 97 370 97 370 1 A
 port 1 n
 rlabel metal1 265 444 265 444 1 CI
 port 2 n
 rlabel metal1 129 518 129 518 1 B
 port 3 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 1350 592 1350 592 1 CO
-port 4 n
-rlabel metal1 1160 673 1160 673 1 S
 port 5 n
-rlabel metal1 1228 296 1228 296 1 CON
+rlabel metal1 1160 673 1160 673 1 S
 port 6 n
+rlabel metal1 1228 296 1228 296 1 CON
+port 4 n
 << end >>
diff --git a/lib/18T_hs/ADDHX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__addh_1.mag
similarity index 83%
copy from lib/18T_hs/ADDHX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__addh_1.mag
index 0cdf161..38d9856 100644
--- a/lib/18T_hs/ADDHX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__addh_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600491863
+timestamp 1603861324
 << nwell >>
 rect -9 581 837 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 178 115 208 315
 rect 250 115 280 315
@@ -12,7 +12,7 @@
 rect 540 115 570 315
 rect 626 115 656 315
 rect 712 115 742 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 178 617 208 1217
 rect 264 617 294 1217
@@ -210,14 +210,14 @@
 rect 624 427 658 461
 rect 348 374 382 408
 << locali >>
-rect 0 1305 836 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 836 1305
+rect 0 1315 836 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 836 1315
 rect 35 1201 69 1217
 rect 35 623 69 649
 rect 133 1201 167 1271
@@ -272,15 +272,27 @@
 rect 753 267 787 283
 rect 615 131 753 144
 rect 581 110 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 35 657 69 683
 rect 35 649 69 657
 rect 260 501 294 535
@@ -292,8 +304,28 @@
 rect 624 427 658 461
 rect 507 353 541 387
 rect 667 353 701 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 836 1332
+rect 0 1315 836 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 836 1315
+rect 0 1271 836 1281
 rect 23 683 81 689
 rect 23 649 35 683
 rect 69 649 81 683
@@ -334,12 +366,18 @@
 rect 23 278 35 312
 rect 69 278 81 312
 rect 23 272 81 278
-rect 0 0 836 61
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 50 477 50 477 1 S
 port 1 n
 rlabel metal1 737 518 737 518 1 A
diff --git a/lib/18T_hs/ADDHXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__addh_l.mag
similarity index 83%
copy from lib/18T_hs/ADDHXL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__addh_l.mag
index 2c7f4b3..4bd1e90 100644
--- a/lib/18T_hs/ADDHXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__addh_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600491880
+timestamp 1603861428
 << nwell >>
 rect -9 581 837 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 243
 rect 178 115 208 315
 rect 250 115 280 315
@@ -12,7 +12,7 @@
 rect 540 115 570 315
 rect 626 115 656 315
 rect 712 115 742 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 887 110 1217
 rect 178 617 208 1217
 rect 264 617 294 1217
@@ -214,14 +214,14 @@
 rect 624 427 658 461
 rect 348 374 382 408
 << locali >>
-rect 0 1305 836 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 836 1305
+rect 0 1315 836 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 836 1315
 rect 35 1201 69 1217
 rect 35 683 69 929
 rect 133 1201 167 1271
@@ -276,15 +276,27 @@
 rect 753 267 787 283
 rect 615 131 753 144
 rect 581 110 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 35 649 69 683
 rect 260 501 294 535
 rect 164 427 198 461
@@ -295,8 +307,28 @@
 rect 624 427 658 461
 rect 507 353 541 387
 rect 667 353 701 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 836 1332
+rect 0 1315 836 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 836 1315
+rect 0 1271 836 1281
 rect 23 683 81 689
 rect 23 649 35 683
 rect 69 649 81 683
@@ -337,12 +369,17 @@
 rect 23 278 35 312
 rect 69 278 81 312
 rect 23 272 81 278
-rect 0 0 836 61
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 50 477 50 477 1 S
 port 1 n
 rlabel metal1 737 518 737 518 1 A
@@ -353,4 +390,5 @@
 port 4 n
 rlabel metal1 684 370 684 370 1 CON
 port 5 n
+rlabel viali 68 44 68 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/AND2X1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_1.mag
similarity index 82%
copy from lib/18T_hs/AND2X1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__and2_1.mag
index 924f79f..140d5a1 100644
--- a/lib/18T_hs/AND2X1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_1.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562316
+timestamp 1603861476
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -101,10 +101,10 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -127,17 +127,29 @@
 rect 193 61 227 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X2.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_2.mag
similarity index 81%
copy from lib/18T_hs/AND2X2.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__and2_2.mag
index 84b8399..8ed31f4 100644
--- a/lib/18T_hs/AND2X2.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_2.mag
@@ -1,15 +1,15 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562327
+timestamp 1603861504
 << nwell >>
 rect -9 581 462 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
 rect 338 115 368 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -124,11 +124,11 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -155,18 +155,35 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -184,12 +201,15 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 191 592 191 592 1 B
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X4.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_4.mag
similarity index 82%
copy from lib/18T_hs/AND2X4.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__and2_4.mag
index f31d570..eeb5e0f 100644
--- a/lib/18T_hs/AND2X4.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_4.mag
@@ -1,17 +1,17 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562339
+timestamp 1603861536
 << nwell >>
 rect -9 581 638 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
 rect 338 115 368 315
 rect 424 115 454 315
 rect 510 115 540 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -162,12 +162,12 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -202,21 +202,43 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 465 501 499 535
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -241,12 +263,16 @@
 rect 499 279 511 313
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X6.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_6.mag
similarity index 97%
copy from lib/18T_hs/AND2X6.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__and2_6.mag
index 7bc22e8..c5e7bb0 100644
--- a/lib/18T_hs/AND2X6.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_6.mag
@@ -4,7 +4,7 @@
 timestamp 1600380937
 << nwell >>
 rect -9 581 814 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
@@ -13,7 +13,7 @@
 rect 510 115 540 315
 rect 596 115 626 315
 rect 682 115 712 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -300,9 +300,7 @@
 rect 625 273 683 279
 rect 0 0 814 61
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
 rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
 rlabel metal1 68 1288 68 1288 1 vdd
 rlabel metal1 184 592 184 592 1 B
 port 1 n
diff --git a/lib/18T_hs/AND2X8.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_8.mag
similarity index 82%
copy from lib/18T_hs/AND2X8.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__and2_8.mag
index fbd7a73..48e39b4 100644
--- a/lib/18T_hs/AND2X8.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_8.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562368
+timestamp 1603861577
 << nwell >>
 rect -9 581 990 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
@@ -15,7 +15,7 @@
 rect 682 115 712 315
 rect 768 115 798 315
 rect 854 115 884 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -245,15 +245,15 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -304,16 +304,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
@@ -324,8 +338,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -365,12 +402,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 191 592 191 592 1 B
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2XL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_l.mag
similarity index 79%
copy from lib/18T_hs/AND2XL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__and2_l.mag
index 85559cc..692b3eb 100644
--- a/lib/18T_hs/AND2XL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__and2_l.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562283
+timestamp 1603992067
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 152 115 182 263
 rect 252 115 282 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 rect 166 817 196 1217
 rect 252 817 282 1217
@@ -18,9 +18,9 @@
 rect 69 131 80 199
 rect 27 115 80 131
 rect 110 115 152 263
-rect 182 198 252 263
-rect 182 131 193 198
-rect 227 131 252 198
+rect 182 199 252 263
+rect 182 131 193 199
+rect 227 131 252 199
 rect 182 115 252 131
 rect 282 199 335 263
 rect 282 131 293 199
@@ -45,7 +45,7 @@
 rect 282 817 335 861
 << ndiffc >>
 rect 35 131 69 199
-rect 193 131 227 198
+rect 193 131 227 199
 rect 293 131 327 199
 << pdiffc >>
 rect 35 861 69 1201
@@ -101,10 +101,10 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 121 1201 155 1217
 rect 35 845 69 861
@@ -123,21 +123,33 @@
 rect 303 370 319 404
 rect 35 199 69 370
 rect 35 115 69 131
-rect 193 198 227 215
+rect 193 199 227 215
 rect 193 61 227 131
 rect 293 199 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/ANT.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__ant.mag
similarity index 75%
copy from lib/18T_hs/ANT.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__ant.mag
index 78645e1..be10a79 100644
--- a/lib/18T_hs/ANT.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__ant.mag
@@ -1,12 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548004
+timestamp 1603861735
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 << ndiff >>
 rect 27 267 80 315
@@ -54,9 +54,9 @@
 << polycont >>
 rect 47 501 81 535
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1217
 rect 35 535 69 657
 rect 121 1201 155 1271
@@ -69,23 +69,31 @@
 rect 35 115 69 131
 rect 121 267 155 383
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 501 81 535
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 535 108 541
 rect 35 501 47 535
 rect 81 501 108 535
 rect 35 495 108 501
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 64 518 64 518 1 A
 port 1 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_ls/sky130_osu_sc_18T_ls__antfill.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__antfill.mag
new file mode 100644
index 0000000..2fd23c4
--- /dev/null
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__antfill.mag
@@ -0,0 +1,56 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603861660
+<< nwell >>
+rect -9 581 199 1341
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+<< locali >>
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
+rect 35 535 69 1217
+rect 121 641 155 1271
+rect 31 501 47 535
+rect 81 501 97 535
+rect 35 417 69 501
+rect 35 383 155 417
+rect 35 115 69 383
+rect 121 115 155 383
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 47 501 81 535
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 35 535 108 541
+rect 35 501 47 535
+rect 81 501 108 535
+rect 35 495 108 501
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel metal1 64 518 64 518 1 A
+port 1 n
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/AOI21XL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__aoi21_l.mag
similarity index 83%
copy from lib/18T_hs/AOI21XL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__aoi21_l.mag
index 766d734..703f8a0 100644
--- a/lib/18T_hs/AOI21XL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__aoi21_l.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541164
+timestamp 1603861837
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 250 115 280 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -104,10 +104,10 @@
 rect 146 434 180 468
 rect 289 355 323 389
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 845 155 861
@@ -131,18 +131,30 @@
 rect 193 115 227 131
 rect 291 199 325 215
 rect 291 61 325 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 60 649 94 683
 rect 128 575 162 609
 rect 216 501 250 535
 rect 293 427 327 461
 rect 193 279 227 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 48 683 106 689
 rect 48 649 60 683
 rect 94 649 128 683
@@ -164,12 +176,14 @@
 rect 181 279 193 313
 rect 227 279 327 313
 rect 181 273 239 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 77 666 77 666 1 A0
 port 1 n
 rlabel metal1 233 518 233 518 1 B0
diff --git a/lib/18T_ls/sky130_osu_sc_18T_ls__aoi22_l.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__aoi22_l.mag
new file mode 100644
index 0000000..347122a
--- /dev/null
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__aoi22_l.mag
@@ -0,0 +1,236 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603913306
+<< nwell >>
+rect -9 581 462 1341
+<< nmos >>
+rect 80 115 110 315
+rect 152 115 182 315
+rect 252 115 282 315
+rect 324 115 354 315
+<< pmoshvt >>
+rect 80 617 110 1217
+rect 166 617 196 1217
+rect 252 617 282 1217
+rect 338 617 368 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 115 152 315
+rect 182 267 252 315
+rect 182 131 200 267
+rect 234 131 252 267
+rect 182 115 252 131
+rect 282 115 324 315
+rect 354 267 407 315
+rect 354 131 365 267
+rect 399 131 407 267
+rect 354 115 407 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 166 1217
+rect 110 861 121 1201
+rect 155 861 166 1201
+rect 110 617 166 861
+rect 196 1201 252 1217
+rect 196 793 207 1201
+rect 241 793 252 1201
+rect 196 617 252 793
+rect 282 1133 338 1217
+rect 282 793 293 1133
+rect 327 793 338 1133
+rect 282 617 338 793
+rect 368 1201 421 1217
+rect 368 793 379 1201
+rect 413 793 421 1201
+rect 368 617 421 793
+<< ndiffc >>
+rect 35 131 69 267
+rect 200 131 234 267
+rect 365 131 399 267
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 861 155 1201
+rect 207 793 241 1201
+rect 293 793 327 1133
+rect 379 793 413 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+rect 163 1271 187 1305
+rect 221 1271 245 1305
+rect 299 1271 323 1305
+rect 357 1271 381 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+rect 187 1271 221 1305
+rect 323 1271 357 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 252 1217 282 1243
+rect 338 1217 368 1243
+rect 80 580 110 617
+rect 44 570 110 580
+rect 44 536 60 570
+rect 94 536 110 570
+rect 44 526 110 536
+rect 44 370 74 526
+rect 166 514 196 617
+rect 152 484 196 514
+rect 116 468 182 484
+rect 116 434 128 468
+rect 162 434 182 468
+rect 116 418 182 434
+rect 44 338 110 370
+rect 80 315 110 338
+rect 152 315 182 418
+rect 252 413 282 617
+rect 338 478 368 617
+rect 338 462 416 478
+rect 338 434 370 462
+rect 224 397 282 413
+rect 224 363 234 397
+rect 268 363 282 397
+rect 224 347 282 363
+rect 252 315 282 347
+rect 324 428 370 434
+rect 404 428 416 462
+rect 324 412 416 428
+rect 324 404 368 412
+rect 324 315 354 404
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 324 89 354 115
+<< polycont >>
+rect 60 536 94 570
+rect 128 434 162 468
+rect 234 363 268 397
+rect 370 428 404 462
+<< locali >>
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
+rect 35 1201 69 1217
+rect 121 1201 155 1271
+rect 121 845 155 861
+rect 207 1201 413 1217
+rect 69 793 207 811
+rect 241 1183 379 1201
+rect 35 777 241 793
+rect 293 1133 327 1149
+rect 60 570 94 649
+rect 293 666 327 793
+rect 379 777 413 793
+rect 293 632 336 666
+rect 60 520 94 536
+rect 128 468 162 575
+rect 128 418 162 434
+rect 216 413 250 501
+rect 216 397 268 413
+rect 216 363 234 397
+rect 234 347 268 363
+rect 302 387 336 632
+rect 370 462 404 478
+rect 370 412 404 428
+rect 35 267 69 283
+rect 35 61 69 131
+rect 200 267 234 279
+rect 200 115 234 131
+rect 365 267 399 283
+rect 365 61 399 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 60 649 94 683
+rect 128 575 162 609
+rect 216 501 250 535
+rect 370 428 404 462
+rect 302 353 336 387
+rect 200 279 234 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
+rect 48 683 106 689
+rect 48 649 60 683
+rect 94 649 128 683
+rect 48 643 106 649
+rect 116 609 174 615
+rect 116 575 128 609
+rect 162 575 196 609
+rect 116 569 174 575
+rect 204 535 262 541
+rect 182 501 216 535
+rect 250 501 262 535
+rect 204 495 262 501
+rect 358 462 416 468
+rect 336 428 370 462
+rect 404 428 416 462
+rect 358 422 416 428
+rect 290 387 348 393
+rect 290 353 302 387
+rect 336 353 348 387
+rect 290 347 348 353
+rect 188 313 246 319
+rect 304 313 338 347
+rect 188 279 200 313
+rect 234 279 338 313
+rect 188 273 246 279
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 77 666 77 666 1 A0
+port 1 n
+rlabel metal1 233 518 233 518 1 B0
+port 2 n
+rlabel viali 145 592 145 592 1 A1
+port 4 n
+rlabel viali 387 445 387 445 1 B1
+rlabel metal1 321 340 321 340 1 Y
+port 3 n
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/BUFX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_1.mag
similarity index 79%
copy from lib/18T_hs/BUFX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__buf_1.mag
index d9809a3..9c1d956 100644
--- a/lib/18T_hs/BUFX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_1.mag
@@ -1,13 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598478415
+timestamp 1603861870
 << nwell >>
 rect -9 581 288 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 << ndiff >>
@@ -83,10 +83,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -104,16 +104,28 @@
 rect 121 61 155 131
 rect 207 267 241 279
 rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -127,12 +139,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 213 455 213 455 1 Y
diff --git a/lib/18T_hs/BUFX2.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_2.mag
similarity index 81%
copy from lib/18T_hs/BUFX2.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__buf_2.mag
index 5365687..df6985f 100644
--- a/lib/18T_hs/BUFX2.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_2.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598478629
+timestamp 1603861892
 << nwell >>
 rect -9 581 376 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -100,10 +100,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -125,16 +125,28 @@
 rect 207 115 241 131
 rect 293 267 327 283
 rect 293 61 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -148,12 +160,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
diff --git a/lib/18T_hs/BUFX4.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_4.mag
similarity index 83%
copy from lib/18T_hs/BUFX4.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__buf_4.mag
index 2709ff4..d4f6f73 100644
--- a/lib/18T_hs/BUFX4.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_4.mag
@@ -1,16 +1,16 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598479026
+timestamp 1603861950
 << nwell >>
 rect -9 581 552 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
 rect 338 115 368 315
 rect 424 115 454 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -138,11 +138,11 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 550 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 550 1305
+rect 0 1315 550 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 550 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -174,19 +174,36 @@
 rect 379 115 413 131
 rect 465 267 499 283
 rect 465 61 499 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 550 61
-rect 0 0 550 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 550 61
+rect 0 0 550 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 379 575 413 609
 rect 207 279 241 313
 rect 379 279 413 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 550 1332
+rect 0 1315 550 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 550 1315
+rect 0 1271 550 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -207,14 +224,17 @@
 rect 413 279 425 313
 rect 195 273 253 279
 rect 367 273 425 279
-rect 0 0 550 61
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 550 51
+rect 0 0 550 17
 << labels >>
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/BUFX6.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_6.mag
similarity index 97%
copy from lib/18T_hs/BUFX6.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__buf_6.mag
index ea22d8e..034f166 100644
--- a/lib/18T_hs/BUFX6.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_6.mag
@@ -4,7 +4,7 @@
 timestamp 1598479240
 << nwell >>
 rect -9 581 728 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -12,7 +12,7 @@
 rect 424 115 454 315
 rect 510 115 540 315
 rect 596 115 626 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -277,8 +277,6 @@
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
 rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
 rlabel metal1 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/BUFX8.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_8.mag
similarity index 82%
copy from lib/18T_hs/BUFX8.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__buf_8.mag
index 861dcc7..32ee407 100644
--- a/lib/18T_hs/BUFX8.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_8.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598539224
+timestamp 1603862056
 << nwell >>
 rect -9 581 904 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -14,7 +14,7 @@
 rect 596 115 626 315
 rect 682 115 712 315
 rect 768 115 798 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -221,14 +221,14 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 902 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 902 1305
+rect 0 1315 902 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 902 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -274,15 +274,27 @@
 rect 723 115 757 131
 rect 809 267 843 283
 rect 809 61 843 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 902 61
-rect 0 0 902 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 902 61
+rect 0 0 902 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 379 575 413 609
@@ -292,8 +304,28 @@
 rect 379 279 413 313
 rect 551 279 585 313
 rect 723 279 757 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 902 1332
+rect 0 1315 902 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 902 1315
+rect 0 1271 902 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -328,14 +360,20 @@
 rect 367 273 425 279
 rect 539 273 597 279
 rect 711 273 769 279
-rect 0 0 902 61
+rect 0 51 902 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 902 51
+rect 0 0 902 17
 << labels >>
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/BUFXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_l.mag
similarity index 79%
copy from lib/18T_hs/BUFXL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__buf_l.mag
index 1ba4522..57b4310 100644
--- a/lib/18T_hs/BUFXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__buf_l.mag
@@ -1,13 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541226
+timestamp 1603862077
 << nwell >>
 rect -9 581 288 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 rect 166 817 196 1217
 << ndiff >>
@@ -83,10 +83,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 35 404 69 861
 rect 121 1201 155 1271
@@ -104,16 +104,28 @@
 rect 121 61 155 131
 rect 207 199 241 279
 rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -127,12 +139,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 214 452 214 452 1 Y
diff --git a/lib/12T_hs/DECAPX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__decap_1.mag
similarity index 69%
copy from lib/12T_hs/DECAPX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__decap_1.mag
index dde3476..df91fcf 100644
--- a/lib/12T_hs/DECAPX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__decap_1.mag
@@ -1,12 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541287
+timestamp 1603862105
 << nwell >>
 rect -9 581 199 1341
 << nmos >>
 rect 80 115 110 451
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 << ndiff >>
 rect 27 403 80 451
@@ -47,9 +47,9 @@
 rect 80 451 110 817
 rect 80 80 110 115
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 845 69 861
 rect 121 1201 155 1271
@@ -58,15 +58,24 @@
 rect 35 61 69 131
 rect 121 403 155 419
 rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
-rect 0 0 198 61
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/12T_hs/DECAPXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__decap_l.mag
similarity index 69%
copy from lib/12T_hs/DECAPXL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__decap_l.mag
index 95427ac..b23bb5b 100644
--- a/lib/12T_hs/DECAPXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__decap_l.mag
@@ -1,12 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548064
+timestamp 1603862119
 << nwell >>
 rect -9 581 199 1341
 << nmos >>
 rect 80 115 110 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 1017 110 1217
 << ndiff >>
 rect 27 267 80 315
@@ -47,9 +47,9 @@
 rect 80 315 110 1017
 rect 80 80 110 115
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 1049 69 1065
 rect 121 1201 155 1271
@@ -58,15 +58,24 @@
 rect 35 61 69 131
 rect 121 267 155 283
 rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
-rect 0 0 198 61
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/DFFX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__dff_1.mag
similarity index 84%
rename from lib/18T_hs/DFFX1.mag
rename to lib/18T_ls/sky130_osu_sc_18T_ls__dff_1.mag
index e409176..c74e996 100644
--- a/lib/18T_hs/DFFX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__dff_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600516035
+timestamp 1603862204
 << nwell >>
 rect -9 581 1456 1341
-<< nmoslvt >>
+<< nmos >>
 rect 85 115 115 315
 rect 171 115 201 315
 rect 243 115 273 315
@@ -18,7 +18,7 @@
 rect 1061 115 1091 315
 rect 1251 115 1281 315
 rect 1337 115 1367 315
-<< pmos >>
+<< pmoshvt >>
 rect 85 617 115 1217
 rect 171 617 201 1217
 rect 243 617 273 1217
@@ -366,18 +366,18 @@
 rect 1323 462 1357 496
 rect 1018 353 1052 387
 << locali >>
-rect 0 1305 1452 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1452 1305
+rect 0 1315 1452 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1452 1315
 rect 40 1201 74 1217
 rect 17 657 40 669
 rect 126 1201 160 1271
@@ -481,19 +481,39 @@
 rect 1292 61 1326 131
 rect 1378 267 1412 286
 rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 40 353 74 387
 rect 253 501 287 535
 rect 181 427 215 461
@@ -508,8 +528,40 @@
 rect 1206 575 1240 609
 rect 1226 421 1260 455
 rect 1018 353 1052 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1452 1332
+rect 0 1315 1452 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1452 1315
+rect 0 1271 1452 1281
 rect 1366 683 1424 689
 rect 1343 649 1378 683
 rect 1412 649 1424 683
@@ -553,18 +605,28 @@
 rect 1052 353 1064 387
 rect 523 347 581 353
 rect 1006 347 1064 353
-rect 0 0 1452 61
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
 << labels >>
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 68 1289 68 1289 1 vdd
-rlabel metal1 68 1289 68 1289 1 vdd
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1289 68 1289 1 vdd
 rlabel metal1 198 444 198 444 1 D
 port 1 n
-rlabel metal1 1224 592 1224 592 1 QN
-port 2 n
 rlabel metal1 915 518 915 518 1 CK
 port 3 n
 rlabel metal1 1395 666 1395 666 1 Q
 port 4 n
+rlabel metal1 1224 592 1224 592 1 QN
+port 2 n
 << end >>
diff --git a/lib/18T_hs/DFFXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__dff_l.mag
similarity index 84%
rename from lib/18T_hs/DFFXL.mag
rename to lib/18T_ls/sky130_osu_sc_18T_ls__dff_l.mag
index 7cbfd19..85b0034 100644
--- a/lib/18T_hs/DFFXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__dff_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542151
+timestamp 1603862260
 << nwell >>
 rect -9 581 1456 1341
-<< nmoslvt >>
+<< nmos >>
 rect 85 115 115 315
 rect 171 115 201 315
 rect 243 115 273 315
@@ -18,7 +18,7 @@
 rect 1061 115 1091 315
 rect 1251 115 1281 263
 rect 1337 115 1367 263
-<< pmos >>
+<< pmoshvt >>
 rect 85 617 115 1217
 rect 171 617 201 1217
 rect 243 617 273 1217
@@ -366,18 +366,18 @@
 rect 1323 462 1357 496
 rect 1018 353 1052 387
 << locali >>
-rect 0 1305 1452 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1452 1305
+rect 0 1315 1452 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1452 1315
 rect 40 1201 74 1217
 rect 17 657 40 669
 rect 126 1201 160 1271
@@ -483,19 +483,39 @@
 rect 1292 61 1326 131
 rect 1378 199 1412 286
 rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 40 353 74 387
 rect 253 501 287 535
 rect 181 427 215 461
@@ -509,8 +529,40 @@
 rect 1206 575 1240 609
 rect 1226 421 1260 455
 rect 1018 353 1052 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1452 1332
+rect 0 1315 1452 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1452 1315
+rect 0 1271 1452 1281
 rect 1365 683 1423 689
 rect 1343 649 1377 683
 rect 1411 649 1423 683
@@ -554,12 +606,22 @@
 rect 1052 353 1064 387
 rect 523 347 581 353
 rect 1006 347 1064 353
-rect 0 0 1452 61
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
 << labels >>
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 68 1289 68 1289 1 vdd
-rlabel metal1 68 1289 68 1289 1 vdd
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1289 68 1289 1 vdd
 rlabel metal1 198 444 198 444 1 D
 port 1 n
 rlabel metal1 1394 666 1394 666 1 Q
diff --git a/lib/18T_ls/sky130_osu_sc_18T_ls__dffr_1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__dffr_1.mag
new file mode 100644
index 0000000..26780c6
--- /dev/null
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__dffr_1.mag
@@ -0,0 +1,807 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862408
+<< nwell >>
+rect -10 581 1917 1341
+<< nmos >>
+rect 80 115 110 315
+rect 270 115 300 263
+rect 356 115 386 263
+rect 546 115 576 315
+rect 618 115 648 315
+rect 738 115 768 315
+rect 810 115 840 315
+rect 896 115 926 315
+rect 968 115 998 315
+rect 1088 115 1118 315
+rect 1160 115 1190 315
+rect 1246 115 1276 315
+rect 1436 115 1466 263
+rect 1522 115 1552 263
+rect 1712 115 1742 315
+rect 1798 115 1828 315
+<< pmoshvt >>
+rect 80 617 110 1217
+rect 270 817 300 1217
+rect 342 817 372 1217
+rect 546 617 576 1217
+rect 618 617 648 1217
+rect 738 617 768 1217
+rect 810 617 840 1217
+rect 896 617 926 1217
+rect 968 617 998 1217
+rect 1088 617 1118 1217
+rect 1160 617 1190 1217
+rect 1246 617 1276 1217
+rect 1436 817 1466 1217
+rect 1508 817 1538 1217
+rect 1712 617 1742 1217
+rect 1798 617 1828 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 493 267 546 315
+rect 110 115 163 131
+rect 217 199 270 263
+rect 217 131 225 199
+rect 259 131 270 199
+rect 217 115 270 131
+rect 300 199 356 263
+rect 300 131 311 199
+rect 345 131 356 199
+rect 300 115 356 131
+rect 386 199 439 263
+rect 386 131 397 199
+rect 431 131 439 199
+rect 386 115 439 131
+rect 493 131 501 267
+rect 535 131 546 267
+rect 493 115 546 131
+rect 576 115 618 315
+rect 648 267 738 315
+rect 648 131 659 267
+rect 727 131 738 267
+rect 648 115 738 131
+rect 768 115 810 315
+rect 840 199 896 315
+rect 840 131 851 199
+rect 885 131 896 199
+rect 840 115 896 131
+rect 926 115 968 315
+rect 998 267 1088 315
+rect 998 131 1009 267
+rect 1077 131 1088 267
+rect 998 115 1088 131
+rect 1118 115 1160 315
+rect 1190 267 1246 315
+rect 1190 131 1201 267
+rect 1235 131 1246 267
+rect 1190 115 1246 131
+rect 1276 267 1329 315
+rect 1276 131 1287 267
+rect 1321 131 1329 267
+rect 1276 115 1329 131
+rect 1383 199 1436 263
+rect 1383 131 1391 199
+rect 1425 131 1436 199
+rect 1383 115 1436 131
+rect 1466 199 1522 263
+rect 1466 131 1477 199
+rect 1511 131 1522 199
+rect 1466 115 1522 131
+rect 1552 199 1605 263
+rect 1552 131 1563 199
+rect 1597 131 1605 199
+rect 1552 115 1605 131
+rect 1659 199 1712 315
+rect 1659 131 1667 199
+rect 1701 131 1712 199
+rect 1659 115 1712 131
+rect 1742 199 1798 315
+rect 1742 131 1753 199
+rect 1787 131 1798 199
+rect 1742 115 1798 131
+rect 1828 199 1881 315
+rect 1828 131 1839 199
+rect 1873 131 1881 199
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 217 1201 270 1217
+rect 217 861 225 1201
+rect 259 861 270 1201
+rect 217 817 270 861
+rect 300 817 342 1217
+rect 372 1201 425 1217
+rect 372 861 383 1201
+rect 417 861 425 1201
+rect 372 817 425 861
+rect 493 1201 546 1217
+rect 110 617 163 657
+rect 493 725 501 1201
+rect 535 725 546 1201
+rect 493 617 546 725
+rect 576 617 618 1217
+rect 648 1201 738 1217
+rect 648 657 659 1201
+rect 727 657 738 1201
+rect 648 617 738 657
+rect 768 617 810 1217
+rect 840 1201 896 1217
+rect 840 725 851 1201
+rect 885 725 896 1201
+rect 840 617 896 725
+rect 926 617 968 1217
+rect 998 1201 1088 1217
+rect 998 725 1009 1201
+rect 1077 725 1088 1201
+rect 998 617 1088 725
+rect 1118 617 1160 1217
+rect 1190 1201 1246 1217
+rect 1190 657 1201 1201
+rect 1235 657 1246 1201
+rect 1190 617 1246 657
+rect 1276 1201 1329 1217
+rect 1276 657 1287 1201
+rect 1321 657 1329 1201
+rect 1383 1201 1436 1217
+rect 1383 861 1391 1201
+rect 1425 861 1436 1201
+rect 1383 817 1436 861
+rect 1466 817 1508 1217
+rect 1538 1201 1591 1217
+rect 1538 861 1549 1201
+rect 1583 861 1591 1201
+rect 1538 817 1591 861
+rect 1659 1201 1712 1217
+rect 1659 861 1667 1201
+rect 1701 861 1712 1201
+rect 1276 617 1329 657
+rect 1659 617 1712 861
+rect 1742 1201 1798 1217
+rect 1742 861 1753 1201
+rect 1787 861 1798 1201
+rect 1742 617 1798 861
+rect 1828 1201 1881 1217
+rect 1828 861 1839 1201
+rect 1873 861 1881 1201
+rect 1828 617 1881 861
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 199
+rect 311 131 345 199
+rect 397 131 431 199
+rect 501 131 535 267
+rect 659 131 727 267
+rect 851 131 885 199
+rect 1009 131 1077 267
+rect 1201 131 1235 267
+rect 1287 131 1321 267
+rect 1391 131 1425 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1667 131 1701 199
+rect 1753 131 1787 199
+rect 1839 131 1873 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 861 259 1201
+rect 383 861 417 1201
+rect 501 725 535 1201
+rect 659 657 727 1201
+rect 851 725 885 1201
+rect 1009 725 1077 1201
+rect 1201 657 1235 1201
+rect 1287 657 1321 1201
+rect 1391 861 1425 1201
+rect 1549 861 1583 1201
+rect 1667 861 1701 1201
+rect 1753 861 1787 1201
+rect 1839 861 1873 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+rect 1658 1271 1682 1305
+rect 1716 1271 1740 1305
+rect 1794 1271 1818 1305
+rect 1852 1271 1876 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+rect 1682 1271 1716 1305
+rect 1818 1271 1852 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 270 1217 300 1243
+rect 342 1217 372 1243
+rect 546 1217 576 1243
+rect 618 1217 648 1243
+rect 738 1217 768 1243
+rect 810 1217 840 1243
+rect 896 1217 926 1243
+rect 968 1217 998 1243
+rect 1088 1217 1118 1243
+rect 1160 1217 1190 1243
+rect 1246 1217 1276 1243
+rect 1436 1217 1466 1243
+rect 1508 1217 1538 1243
+rect 1712 1217 1742 1243
+rect 1798 1217 1828 1243
+rect 80 494 110 617
+rect 79 478 133 494
+rect 79 444 89 478
+rect 123 444 133 478
+rect 79 428 133 444
+rect 79 427 110 428
+rect 80 315 110 427
+rect 270 351 300 817
+rect 342 584 372 817
+rect 342 568 415 584
+rect 342 534 371 568
+rect 405 534 415 568
+rect 342 518 415 534
+rect 219 335 300 351
+rect 219 301 229 335
+rect 263 301 300 335
+rect 219 285 300 301
+rect 270 263 300 285
+rect 356 263 386 518
+rect 546 477 576 617
+rect 618 586 648 617
+rect 618 570 672 586
+rect 618 536 628 570
+rect 662 536 672 570
+rect 618 520 672 536
+rect 546 461 600 477
+rect 738 475 768 617
+rect 810 580 840 617
+rect 896 580 926 617
+rect 810 570 926 580
+rect 810 536 842 570
+rect 876 536 926 570
+rect 810 526 926 536
+rect 968 475 998 617
+rect 1088 586 1118 617
+rect 1064 570 1118 586
+rect 1064 536 1074 570
+rect 1108 536 1118 570
+rect 1064 520 1118 536
+rect 546 427 556 461
+rect 590 427 600 461
+rect 546 411 600 427
+rect 642 445 1094 475
+rect 546 315 576 411
+rect 642 367 672 445
+rect 1064 403 1094 445
+rect 1160 471 1190 617
+rect 1246 586 1276 617
+rect 1246 570 1317 586
+rect 1246 556 1273 570
+rect 1257 536 1273 556
+rect 1307 536 1317 570
+rect 1257 520 1317 536
+rect 1160 455 1214 471
+rect 1160 421 1170 455
+rect 1204 421 1214 455
+rect 1160 405 1214 421
+rect 618 337 672 367
+rect 714 387 768 403
+rect 714 353 724 387
+rect 758 353 768 387
+rect 714 337 768 353
+rect 618 315 648 337
+rect 738 315 768 337
+rect 810 387 926 397
+rect 810 353 842 387
+rect 876 353 926 387
+rect 810 343 926 353
+rect 810 315 840 343
+rect 896 315 926 343
+rect 968 387 1022 403
+rect 968 353 978 387
+rect 1012 353 1022 387
+rect 968 337 1022 353
+rect 1064 387 1118 403
+rect 1064 353 1074 387
+rect 1108 353 1118 387
+rect 1064 337 1118 353
+rect 968 315 998 337
+rect 1088 315 1118 337
+rect 1160 315 1190 405
+rect 1257 367 1287 520
+rect 1436 403 1466 817
+rect 1246 337 1287 367
+rect 1399 387 1466 403
+rect 1399 353 1409 387
+rect 1443 353 1466 387
+rect 1399 337 1466 353
+rect 1246 315 1276 337
+rect 1423 336 1466 337
+rect 1436 263 1466 336
+rect 1508 351 1538 817
+rect 1712 601 1742 617
+rect 1702 571 1742 601
+rect 1702 471 1732 571
+rect 1798 512 1828 617
+rect 1677 455 1732 471
+rect 1677 421 1687 455
+rect 1721 421 1732 455
+rect 1774 496 1828 512
+rect 1774 462 1784 496
+rect 1818 462 1828 496
+rect 1774 446 1828 462
+rect 1677 405 1732 421
+rect 1702 360 1732 405
+rect 1508 335 1589 351
+rect 1508 301 1545 335
+rect 1579 301 1589 335
+rect 1702 330 1742 360
+rect 1712 315 1742 330
+rect 1798 315 1828 446
+rect 1508 285 1589 301
+rect 1522 263 1552 285
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 444 123 478
+rect 371 534 405 568
+rect 229 301 263 335
+rect 628 536 662 570
+rect 842 536 876 570
+rect 1074 536 1108 570
+rect 556 427 590 461
+rect 1273 536 1307 570
+rect 1170 421 1204 455
+rect 724 353 758 387
+rect 842 353 876 387
+rect 978 353 1012 387
+rect 1074 353 1108 387
+rect 1409 353 1443 387
+rect 1687 421 1721 455
+rect 1784 462 1818 496
+rect 1545 301 1579 335
+<< locali >>
+rect 0 1315 1914 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1914 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 47 494 81 649
+rect 121 565 155 657
+rect 225 1201 259 1217
+rect 121 531 191 565
+rect 47 478 123 494
+rect 47 444 89 478
+rect 89 428 123 444
+rect 157 335 191 531
+rect 225 421 259 861
+rect 383 1201 417 1271
+rect 383 845 417 861
+rect 501 1201 535 1271
+rect 501 709 535 725
+rect 659 1201 727 1217
+rect 851 1201 885 1271
+rect 851 709 885 725
+rect 1009 1201 1077 1217
+rect 659 654 727 657
+rect 1009 654 1077 725
+rect 405 620 727 654
+rect 910 620 1077 654
+rect 1201 1201 1235 1271
+rect 1201 641 1235 657
+rect 1287 1201 1321 1217
+rect 1391 1201 1425 1217
+rect 1391 773 1425 861
+rect 1549 1201 1583 1271
+rect 1549 845 1583 861
+rect 1667 1201 1701 1217
+rect 1391 739 1511 773
+rect 1287 654 1321 657
+rect 1287 620 1377 654
+rect 405 584 439 620
+rect 371 568 439 584
+rect 405 534 439 568
+rect 371 518 439 534
+rect 225 387 345 421
+rect 229 335 263 351
+rect 121 301 229 335
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 301
+rect 121 115 155 131
+rect 225 199 259 215
+rect 225 61 259 131
+rect 311 199 345 353
+rect 405 370 439 518
+rect 628 570 662 586
+rect 628 535 662 536
+rect 842 570 876 586
+rect 662 501 758 535
+rect 556 461 590 477
+rect 556 411 590 427
+rect 724 387 758 501
+rect 842 387 876 536
+rect 405 336 690 370
+rect 724 337 758 353
+rect 842 337 876 353
+rect 910 387 944 620
+rect 1074 570 1108 586
+rect 1074 535 1108 536
+rect 656 283 690 336
+rect 910 303 944 353
+rect 978 501 1074 535
+rect 1273 570 1307 586
+rect 1273 535 1307 536
+rect 978 387 1012 501
+rect 1341 455 1377 620
+rect 1154 421 1170 455
+rect 1204 421 1220 455
+rect 1287 421 1377 455
+rect 1477 455 1511 739
+rect 1667 609 1701 861
+rect 1753 1201 1787 1271
+rect 1753 845 1787 861
+rect 1839 1201 1873 1217
+rect 1839 683 1873 861
+rect 1872 666 1873 683
+rect 1872 649 1896 666
+rect 1839 632 1896 649
+rect 1667 570 1701 575
+rect 1667 536 1818 570
+rect 1784 496 1818 536
+rect 1477 421 1687 455
+rect 1721 421 1737 455
+rect 1287 387 1321 421
+rect 1058 353 1074 387
+rect 1108 353 1321 387
+rect 978 337 1012 353
+rect 501 267 535 283
+rect 311 115 345 131
+rect 397 199 431 215
+rect 397 61 431 131
+rect 656 267 727 283
+rect 910 269 1077 303
+rect 656 249 659 267
+rect 501 61 535 131
+rect 1009 267 1077 269
+rect 659 115 727 131
+rect 851 199 885 215
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 267 1235 283
+rect 1201 61 1235 131
+rect 1287 267 1321 353
+rect 1409 387 1443 403
+rect 1409 337 1443 353
+rect 1287 115 1321 131
+rect 1391 199 1425 215
+rect 1391 61 1425 131
+rect 1477 199 1511 421
+rect 1784 387 1818 462
+rect 1667 353 1818 387
+rect 1545 335 1579 351
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1667 199 1701 353
+rect 1862 320 1896 632
+rect 1839 286 1896 320
+rect 1667 115 1701 131
+rect 1753 199 1787 215
+rect 1753 61 1787 131
+rect 1839 199 1873 286
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 47 649 81 683
+rect 311 353 345 387
+rect 229 301 263 313
+rect 229 279 263 301
+rect 628 501 662 535
+rect 556 427 590 461
+rect 824 353 842 387
+rect 842 353 858 387
+rect 910 353 944 387
+rect 1074 501 1108 535
+rect 1273 501 1307 535
+rect 1170 421 1204 455
+rect 1838 649 1872 683
+rect 1667 575 1701 609
+rect 1687 421 1721 455
+rect 1409 353 1443 387
+rect 1545 301 1579 313
+rect 1545 279 1579 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1315 1914 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1914 1315
+rect 0 1271 1914 1281
+rect 35 683 93 689
+rect 1826 683 1884 689
+rect 35 649 47 683
+rect 81 649 127 683
+rect 1804 649 1838 683
+rect 1872 649 1884 683
+rect 35 643 93 649
+rect 1826 643 1884 649
+rect 1655 609 1713 615
+rect 1632 575 1667 609
+rect 1701 575 1713 609
+rect 1655 569 1713 575
+rect 616 535 674 541
+rect 1062 535 1120 541
+rect 1261 535 1319 541
+rect 616 501 628 535
+rect 662 501 1074 535
+rect 1108 501 1273 535
+rect 1307 501 1319 535
+rect 616 495 674 501
+rect 1062 495 1120 501
+rect 1261 495 1319 501
+rect 544 461 602 467
+rect 544 427 556 461
+rect 590 427 624 461
+rect 1158 455 1216 461
+rect 1675 455 1733 461
+rect 544 421 602 427
+rect 1158 421 1170 455
+rect 1204 421 1687 455
+rect 1721 421 1733 455
+rect 1158 415 1216 421
+rect 1675 415 1733 421
+rect 299 387 357 393
+rect 812 387 870 393
+rect 299 353 311 387
+rect 345 353 824 387
+rect 858 353 870 387
+rect 299 347 357 353
+rect 812 347 870 353
+rect 898 387 956 393
+rect 1397 387 1455 393
+rect 898 353 910 387
+rect 944 353 1409 387
+rect 1443 353 1455 387
+rect 898 347 956 353
+rect 1397 347 1455 353
+rect 217 313 275 319
+rect 1533 313 1591 319
+rect 217 279 229 313
+rect 263 279 1545 313
+rect 1579 279 1591 313
+rect 217 273 275 279
+rect 1533 273 1591 279
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel metal1 65 666 65 666 1 RN
+port 1 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel metal1 573 444 573 444 1 D
+port 2 n
+rlabel metal1 1290 518 1290 518 1 CK
+port 3 n
+rlabel metal1 1855 666 1855 666 1 Q
+port 4 n
+rlabel metal1 1685 592 1685 592 1 QN
+port 5 n
+<< end >>
diff --git a/lib/18T_ls/sky130_osu_sc_18T_ls__dffr_l.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__dffr_l.mag
new file mode 100644
index 0000000..3823a9f
--- /dev/null
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__dffr_l.mag
@@ -0,0 +1,807 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862567
+<< nwell >>
+rect -10 581 1917 1341
+<< nmos >>
+rect 80 115 110 315
+rect 270 115 300 263
+rect 356 115 386 263
+rect 546 115 576 315
+rect 618 115 648 315
+rect 738 115 768 315
+rect 810 115 840 315
+rect 896 115 926 315
+rect 968 115 998 315
+rect 1088 115 1118 315
+rect 1160 115 1190 315
+rect 1246 115 1276 315
+rect 1436 115 1466 263
+rect 1522 115 1552 263
+rect 1712 115 1742 263
+rect 1798 115 1828 263
+<< pmoshvt >>
+rect 80 617 110 1217
+rect 270 817 300 1217
+rect 342 817 372 1217
+rect 546 617 576 1217
+rect 618 617 648 1217
+rect 738 617 768 1217
+rect 810 617 840 1217
+rect 896 617 926 1217
+rect 968 617 998 1217
+rect 1088 617 1118 1217
+rect 1160 617 1190 1217
+rect 1246 617 1276 1217
+rect 1436 817 1466 1217
+rect 1508 817 1538 1217
+rect 1712 817 1742 1217
+rect 1798 817 1828 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 493 267 546 315
+rect 110 115 163 131
+rect 217 199 270 263
+rect 217 131 225 199
+rect 259 131 270 199
+rect 217 115 270 131
+rect 300 199 356 263
+rect 300 131 311 199
+rect 345 131 356 199
+rect 300 115 356 131
+rect 386 199 439 263
+rect 386 131 397 199
+rect 431 131 439 199
+rect 386 115 439 131
+rect 493 131 501 267
+rect 535 131 546 267
+rect 493 115 546 131
+rect 576 115 618 315
+rect 648 267 738 315
+rect 648 131 659 267
+rect 727 131 738 267
+rect 648 115 738 131
+rect 768 115 810 315
+rect 840 199 896 315
+rect 840 131 851 199
+rect 885 131 896 199
+rect 840 115 896 131
+rect 926 115 968 315
+rect 998 267 1088 315
+rect 998 131 1009 267
+rect 1077 131 1088 267
+rect 998 115 1088 131
+rect 1118 115 1160 315
+rect 1190 267 1246 315
+rect 1190 131 1201 267
+rect 1235 131 1246 267
+rect 1190 115 1246 131
+rect 1276 267 1329 315
+rect 1276 131 1287 267
+rect 1321 131 1329 267
+rect 1276 115 1329 131
+rect 1383 199 1436 263
+rect 1383 131 1391 199
+rect 1425 131 1436 199
+rect 1383 115 1436 131
+rect 1466 199 1522 263
+rect 1466 131 1477 199
+rect 1511 131 1522 199
+rect 1466 115 1522 131
+rect 1552 199 1605 263
+rect 1552 131 1563 199
+rect 1597 131 1605 199
+rect 1552 115 1605 131
+rect 1659 199 1712 263
+rect 1659 131 1667 199
+rect 1701 131 1712 199
+rect 1659 115 1712 131
+rect 1742 199 1798 263
+rect 1742 131 1753 199
+rect 1787 131 1798 199
+rect 1742 115 1798 131
+rect 1828 199 1881 263
+rect 1828 131 1839 199
+rect 1873 131 1881 199
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 217 1201 270 1217
+rect 217 861 225 1201
+rect 259 861 270 1201
+rect 217 817 270 861
+rect 300 817 342 1217
+rect 372 1201 425 1217
+rect 372 861 383 1201
+rect 417 861 425 1201
+rect 372 817 425 861
+rect 493 1201 546 1217
+rect 110 617 163 657
+rect 493 725 501 1201
+rect 535 725 546 1201
+rect 493 617 546 725
+rect 576 617 618 1217
+rect 648 1201 738 1217
+rect 648 657 659 1201
+rect 727 657 738 1201
+rect 648 617 738 657
+rect 768 617 810 1217
+rect 840 1201 896 1217
+rect 840 725 851 1201
+rect 885 725 896 1201
+rect 840 617 896 725
+rect 926 617 968 1217
+rect 998 1201 1088 1217
+rect 998 725 1009 1201
+rect 1077 725 1088 1201
+rect 998 617 1088 725
+rect 1118 617 1160 1217
+rect 1190 1201 1246 1217
+rect 1190 657 1201 1201
+rect 1235 657 1246 1201
+rect 1190 617 1246 657
+rect 1276 1201 1329 1217
+rect 1276 657 1287 1201
+rect 1321 657 1329 1201
+rect 1383 1201 1436 1217
+rect 1383 861 1391 1201
+rect 1425 861 1436 1201
+rect 1383 817 1436 861
+rect 1466 817 1508 1217
+rect 1538 1201 1591 1217
+rect 1538 861 1549 1201
+rect 1583 861 1591 1201
+rect 1538 817 1591 861
+rect 1659 1201 1712 1217
+rect 1659 861 1667 1201
+rect 1701 861 1712 1201
+rect 1659 817 1712 861
+rect 1742 1201 1798 1217
+rect 1742 861 1753 1201
+rect 1787 861 1798 1201
+rect 1742 817 1798 861
+rect 1828 1201 1881 1217
+rect 1828 861 1839 1201
+rect 1873 861 1881 1201
+rect 1828 817 1881 861
+rect 1276 617 1329 657
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 199
+rect 311 131 345 199
+rect 397 131 431 199
+rect 501 131 535 267
+rect 659 131 727 267
+rect 851 131 885 199
+rect 1009 131 1077 267
+rect 1201 131 1235 267
+rect 1287 131 1321 267
+rect 1391 131 1425 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1667 131 1701 199
+rect 1753 131 1787 199
+rect 1839 131 1873 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 861 259 1201
+rect 383 861 417 1201
+rect 501 725 535 1201
+rect 659 657 727 1201
+rect 851 725 885 1201
+rect 1009 725 1077 1201
+rect 1201 657 1235 1201
+rect 1287 657 1321 1201
+rect 1391 861 1425 1201
+rect 1549 861 1583 1201
+rect 1667 861 1701 1201
+rect 1753 861 1787 1201
+rect 1839 861 1873 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+rect 1658 1271 1682 1305
+rect 1716 1271 1740 1305
+rect 1794 1271 1818 1305
+rect 1852 1271 1876 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+rect 1682 1271 1716 1305
+rect 1818 1271 1852 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 270 1217 300 1243
+rect 342 1217 372 1243
+rect 546 1217 576 1243
+rect 618 1217 648 1243
+rect 738 1217 768 1243
+rect 810 1217 840 1243
+rect 896 1217 926 1243
+rect 968 1217 998 1243
+rect 1088 1217 1118 1243
+rect 1160 1217 1190 1243
+rect 1246 1217 1276 1243
+rect 1436 1217 1466 1243
+rect 1508 1217 1538 1243
+rect 1712 1217 1742 1243
+rect 1798 1217 1828 1243
+rect 80 494 110 617
+rect 79 478 133 494
+rect 79 444 89 478
+rect 123 444 133 478
+rect 79 428 133 444
+rect 79 427 110 428
+rect 80 315 110 427
+rect 270 351 300 817
+rect 342 584 372 817
+rect 342 568 415 584
+rect 342 534 371 568
+rect 405 534 415 568
+rect 342 518 415 534
+rect 219 335 300 351
+rect 219 301 229 335
+rect 263 301 300 335
+rect 219 285 300 301
+rect 270 263 300 285
+rect 356 263 386 518
+rect 546 477 576 617
+rect 618 586 648 617
+rect 618 570 672 586
+rect 618 536 628 570
+rect 662 536 672 570
+rect 618 520 672 536
+rect 546 461 600 477
+rect 738 475 768 617
+rect 810 580 840 617
+rect 896 580 926 617
+rect 810 570 926 580
+rect 810 536 842 570
+rect 876 536 926 570
+rect 810 526 926 536
+rect 968 475 998 617
+rect 1088 586 1118 617
+rect 1064 570 1118 586
+rect 1064 536 1074 570
+rect 1108 536 1118 570
+rect 1064 520 1118 536
+rect 546 427 556 461
+rect 590 427 600 461
+rect 546 411 600 427
+rect 642 445 1094 475
+rect 546 315 576 411
+rect 642 367 672 445
+rect 1064 403 1094 445
+rect 1160 471 1190 617
+rect 1246 586 1276 617
+rect 1246 570 1317 586
+rect 1246 556 1273 570
+rect 1257 536 1273 556
+rect 1307 536 1317 570
+rect 1257 520 1317 536
+rect 1160 455 1214 471
+rect 1160 421 1170 455
+rect 1204 421 1214 455
+rect 1160 405 1214 421
+rect 618 337 672 367
+rect 714 387 768 403
+rect 714 353 724 387
+rect 758 353 768 387
+rect 714 337 768 353
+rect 618 315 648 337
+rect 738 315 768 337
+rect 810 387 926 397
+rect 810 353 842 387
+rect 876 353 926 387
+rect 810 343 926 353
+rect 810 315 840 343
+rect 896 315 926 343
+rect 968 387 1022 403
+rect 968 353 978 387
+rect 1012 353 1022 387
+rect 968 337 1022 353
+rect 1064 387 1118 403
+rect 1064 353 1074 387
+rect 1108 353 1118 387
+rect 1064 337 1118 353
+rect 968 315 998 337
+rect 1088 315 1118 337
+rect 1160 315 1190 405
+rect 1257 367 1287 520
+rect 1436 403 1466 817
+rect 1246 337 1287 367
+rect 1399 387 1466 403
+rect 1399 353 1409 387
+rect 1443 353 1466 387
+rect 1399 337 1466 353
+rect 1246 315 1276 337
+rect 1423 336 1466 337
+rect 1436 263 1466 336
+rect 1508 351 1538 817
+rect 1712 601 1742 817
+rect 1702 571 1742 601
+rect 1702 471 1732 571
+rect 1798 512 1828 817
+rect 1677 455 1732 471
+rect 1677 421 1687 455
+rect 1721 421 1732 455
+rect 1774 496 1828 512
+rect 1774 462 1784 496
+rect 1818 462 1828 496
+rect 1774 446 1828 462
+rect 1677 405 1732 421
+rect 1702 360 1732 405
+rect 1508 335 1589 351
+rect 1508 301 1545 335
+rect 1579 301 1589 335
+rect 1702 330 1742 360
+rect 1508 285 1589 301
+rect 1522 263 1552 285
+rect 1712 263 1742 330
+rect 1798 263 1828 446
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 444 123 478
+rect 371 534 405 568
+rect 229 301 263 335
+rect 628 536 662 570
+rect 842 536 876 570
+rect 1074 536 1108 570
+rect 556 427 590 461
+rect 1273 536 1307 570
+rect 1170 421 1204 455
+rect 724 353 758 387
+rect 842 353 876 387
+rect 978 353 1012 387
+rect 1074 353 1108 387
+rect 1409 353 1443 387
+rect 1687 421 1721 455
+rect 1784 462 1818 496
+rect 1545 301 1579 335
+<< locali >>
+rect 0 1315 1914 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1914 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 47 494 81 649
+rect 121 565 155 657
+rect 225 1201 259 1217
+rect 121 531 191 565
+rect 47 478 123 494
+rect 47 444 89 478
+rect 89 428 123 444
+rect 157 335 191 531
+rect 225 421 259 861
+rect 383 1201 417 1271
+rect 383 845 417 861
+rect 501 1201 535 1271
+rect 501 709 535 725
+rect 659 1201 727 1217
+rect 851 1201 885 1271
+rect 851 709 885 725
+rect 1009 1201 1077 1217
+rect 659 654 727 657
+rect 1009 654 1077 725
+rect 405 620 727 654
+rect 910 620 1077 654
+rect 1201 1201 1235 1271
+rect 1201 641 1235 657
+rect 1287 1201 1321 1217
+rect 1391 1201 1425 1217
+rect 1391 773 1425 861
+rect 1549 1201 1583 1271
+rect 1549 845 1583 861
+rect 1667 1201 1701 1217
+rect 1391 739 1511 773
+rect 1287 654 1321 657
+rect 1287 620 1377 654
+rect 405 584 439 620
+rect 371 568 439 584
+rect 405 534 439 568
+rect 371 518 439 534
+rect 225 387 345 421
+rect 229 335 263 351
+rect 121 301 229 335
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 301
+rect 121 115 155 131
+rect 225 199 259 215
+rect 225 61 259 131
+rect 311 199 345 353
+rect 405 370 439 518
+rect 628 570 662 586
+rect 628 535 662 536
+rect 842 570 876 586
+rect 662 501 758 535
+rect 556 461 590 477
+rect 556 411 590 427
+rect 724 387 758 501
+rect 842 387 876 536
+rect 405 336 690 370
+rect 724 337 758 353
+rect 842 337 876 353
+rect 910 387 944 620
+rect 1074 570 1108 586
+rect 1074 535 1108 536
+rect 656 283 690 336
+rect 910 303 944 353
+rect 978 501 1074 535
+rect 1273 570 1307 586
+rect 1273 535 1307 536
+rect 978 387 1012 501
+rect 1341 455 1377 620
+rect 1154 421 1170 455
+rect 1204 421 1220 455
+rect 1287 421 1377 455
+rect 1477 455 1511 739
+rect 1667 609 1701 861
+rect 1753 1201 1787 1271
+rect 1753 845 1787 861
+rect 1839 1201 1873 1217
+rect 1839 683 1873 861
+rect 1872 666 1873 683
+rect 1872 649 1896 666
+rect 1839 632 1896 649
+rect 1667 570 1701 575
+rect 1667 536 1818 570
+rect 1784 496 1818 536
+rect 1477 421 1687 455
+rect 1721 421 1737 455
+rect 1287 387 1321 421
+rect 1058 353 1074 387
+rect 1108 353 1321 387
+rect 978 337 1012 353
+rect 501 267 535 283
+rect 311 115 345 131
+rect 397 199 431 215
+rect 397 61 431 131
+rect 656 267 727 283
+rect 910 269 1077 303
+rect 656 249 659 267
+rect 501 61 535 131
+rect 1009 267 1077 269
+rect 659 115 727 131
+rect 851 199 885 215
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 267 1235 283
+rect 1201 61 1235 131
+rect 1287 267 1321 353
+rect 1409 387 1443 403
+rect 1409 337 1443 353
+rect 1287 115 1321 131
+rect 1391 199 1425 215
+rect 1391 61 1425 131
+rect 1477 199 1511 421
+rect 1784 387 1818 462
+rect 1667 353 1818 387
+rect 1545 335 1579 351
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1667 199 1701 353
+rect 1862 320 1896 632
+rect 1839 286 1896 320
+rect 1667 115 1701 131
+rect 1753 199 1787 215
+rect 1753 61 1787 131
+rect 1839 199 1873 286
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 47 649 81 683
+rect 311 353 345 387
+rect 229 301 263 313
+rect 229 279 263 301
+rect 628 501 662 535
+rect 556 427 590 461
+rect 824 353 842 387
+rect 842 353 858 387
+rect 910 353 944 387
+rect 1074 501 1108 535
+rect 1273 501 1307 535
+rect 1170 421 1204 455
+rect 1838 649 1872 683
+rect 1667 575 1701 609
+rect 1687 421 1721 455
+rect 1409 353 1443 387
+rect 1545 301 1579 313
+rect 1545 279 1579 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1315 1914 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1914 1315
+rect 0 1271 1914 1281
+rect 35 683 93 689
+rect 1826 683 1884 689
+rect 35 649 47 683
+rect 81 649 127 683
+rect 1804 649 1838 683
+rect 1872 649 1884 683
+rect 35 643 93 649
+rect 1826 643 1884 649
+rect 1655 609 1713 615
+rect 1632 575 1667 609
+rect 1701 575 1713 609
+rect 1655 569 1713 575
+rect 616 535 674 541
+rect 1062 535 1120 541
+rect 1261 535 1319 541
+rect 616 501 628 535
+rect 662 501 1074 535
+rect 1108 501 1273 535
+rect 1307 501 1319 535
+rect 616 495 674 501
+rect 1062 495 1120 501
+rect 1261 495 1319 501
+rect 544 461 602 467
+rect 544 427 556 461
+rect 590 427 624 461
+rect 1158 455 1216 461
+rect 1675 455 1733 461
+rect 544 421 602 427
+rect 1158 421 1170 455
+rect 1204 421 1687 455
+rect 1721 421 1733 455
+rect 1158 415 1216 421
+rect 1675 415 1733 421
+rect 299 387 357 393
+rect 812 387 870 393
+rect 299 353 311 387
+rect 345 353 824 387
+rect 858 353 870 387
+rect 299 347 357 353
+rect 812 347 870 353
+rect 898 387 956 393
+rect 1397 387 1455 393
+rect 898 353 910 387
+rect 944 353 1409 387
+rect 1443 353 1455 387
+rect 898 347 956 353
+rect 1397 347 1455 353
+rect 217 313 275 319
+rect 1533 313 1591 319
+rect 217 279 229 313
+rect 263 279 1545 313
+rect 1579 279 1591 313
+rect 217 273 275 279
+rect 1533 273 1591 279
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel metal1 65 666 65 666 1 RN
+port 1 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel metal1 573 444 573 444 1 D
+port 2 n
+rlabel metal1 1290 518 1290 518 1 CK
+port 3 n
+rlabel metal1 1855 666 1855 666 1 Q
+port 4 n
+rlabel metal1 1685 592 1685 592 1 QN
+port 5 n
+<< end >>
diff --git a/lib/18T_ls/sky130_osu_sc_18T_ls__dffs_1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__dffs_1.mag
new file mode 100644
index 0000000..8269e64
--- /dev/null
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__dffs_1.mag
@@ -0,0 +1,719 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862672
+<< nwell >>
+rect -10 581 1741 1341
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 356 115 386 315
+rect 428 115 458 315
+rect 548 115 578 315
+rect 620 115 650 315
+rect 706 115 736 315
+rect 778 115 808 315
+rect 898 115 928 315
+rect 970 115 1000 315
+rect 1056 115 1086 315
+rect 1246 115 1276 263
+rect 1318 115 1348 263
+rect 1522 115 1552 315
+rect 1608 115 1638 315
+<< pmoshvt >>
+rect 80 817 110 1217
+rect 166 817 196 1217
+rect 356 617 386 1217
+rect 428 617 458 1217
+rect 548 617 578 1217
+rect 620 617 650 1217
+rect 706 617 736 1217
+rect 778 617 808 1217
+rect 898 617 928 1217
+rect 970 617 1000 1217
+rect 1056 617 1086 1217
+rect 1246 817 1276 1217
+rect 1332 817 1362 1217
+rect 1522 617 1552 1217
+rect 1608 617 1638 1217
+<< ndiff >>
+rect 303 267 356 315
+rect 27 199 80 263
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 199 235 263
+rect 182 131 193 199
+rect 227 131 235 199
+rect 182 115 235 131
+rect 303 131 311 267
+rect 345 131 356 267
+rect 303 115 356 131
+rect 386 115 428 315
+rect 458 267 548 315
+rect 458 131 469 267
+rect 537 131 548 267
+rect 458 115 548 131
+rect 578 115 620 315
+rect 650 199 706 315
+rect 650 131 661 199
+rect 695 131 706 199
+rect 650 115 706 131
+rect 736 115 778 315
+rect 808 267 898 315
+rect 808 131 819 267
+rect 887 131 898 267
+rect 808 115 898 131
+rect 928 115 970 315
+rect 1000 267 1056 315
+rect 1000 131 1011 267
+rect 1045 131 1056 267
+rect 1000 115 1056 131
+rect 1086 267 1139 315
+rect 1086 131 1097 267
+rect 1131 131 1139 267
+rect 1086 115 1139 131
+rect 1193 199 1246 263
+rect 1193 131 1201 199
+rect 1235 131 1246 199
+rect 1193 115 1246 131
+rect 1276 115 1318 263
+rect 1348 199 1401 263
+rect 1348 131 1359 199
+rect 1393 131 1401 199
+rect 1348 115 1401 131
+rect 1469 199 1522 315
+rect 1469 131 1477 199
+rect 1511 131 1522 199
+rect 1469 115 1522 131
+rect 1552 199 1608 315
+rect 1552 131 1563 199
+rect 1597 131 1608 199
+rect 1552 115 1608 131
+rect 1638 199 1691 315
+rect 1638 131 1649 199
+rect 1683 131 1691 199
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 929 35 1201
+rect 69 929 80 1201
+rect 27 817 80 929
+rect 110 1201 166 1217
+rect 110 929 121 1201
+rect 155 929 166 1201
+rect 110 817 166 929
+rect 196 1201 249 1217
+rect 196 929 207 1201
+rect 241 929 249 1201
+rect 196 817 249 929
+rect 303 1201 356 1217
+rect 303 725 311 1201
+rect 345 725 356 1201
+rect 303 617 356 725
+rect 386 617 428 1217
+rect 458 1201 548 1217
+rect 458 657 469 1201
+rect 537 657 548 1201
+rect 458 617 548 657
+rect 578 617 620 1217
+rect 650 1201 706 1217
+rect 650 725 661 1201
+rect 695 725 706 1201
+rect 650 617 706 725
+rect 736 617 778 1217
+rect 808 1201 898 1217
+rect 808 725 819 1201
+rect 887 725 898 1201
+rect 808 617 898 725
+rect 928 617 970 1217
+rect 1000 1201 1056 1217
+rect 1000 657 1011 1201
+rect 1045 657 1056 1201
+rect 1000 617 1056 657
+rect 1086 1201 1139 1217
+rect 1086 657 1097 1201
+rect 1131 657 1139 1201
+rect 1193 1201 1246 1217
+rect 1193 929 1201 1201
+rect 1235 929 1246 1201
+rect 1193 817 1246 929
+rect 1276 1201 1332 1217
+rect 1276 929 1287 1201
+rect 1321 929 1332 1201
+rect 1276 817 1332 929
+rect 1362 1201 1415 1217
+rect 1362 929 1373 1201
+rect 1407 929 1415 1201
+rect 1362 817 1415 929
+rect 1469 1201 1522 1217
+rect 1469 861 1477 1201
+rect 1511 861 1522 1201
+rect 1086 617 1139 657
+rect 1469 617 1522 861
+rect 1552 1201 1608 1217
+rect 1552 861 1563 1201
+rect 1597 861 1608 1201
+rect 1552 617 1608 861
+rect 1638 1201 1691 1217
+rect 1638 861 1649 1201
+rect 1683 861 1691 1201
+rect 1638 617 1691 861
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 311 131 345 267
+rect 469 131 537 267
+rect 661 131 695 199
+rect 819 131 887 267
+rect 1011 131 1045 267
+rect 1097 131 1131 267
+rect 1201 131 1235 199
+rect 1359 131 1393 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1649 131 1683 199
+<< pdiffc >>
+rect 35 929 69 1201
+rect 121 929 155 1201
+rect 207 929 241 1201
+rect 311 725 345 1201
+rect 469 657 537 1201
+rect 661 725 695 1201
+rect 819 725 887 1201
+rect 1011 657 1045 1201
+rect 1097 657 1131 1201
+rect 1201 929 1235 1201
+rect 1287 929 1321 1201
+rect 1373 929 1407 1201
+rect 1477 861 1511 1201
+rect 1563 861 1597 1201
+rect 1649 861 1683 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 356 1217 386 1243
+rect 428 1217 458 1243
+rect 548 1217 578 1243
+rect 620 1217 650 1243
+rect 706 1217 736 1243
+rect 778 1217 808 1243
+rect 898 1217 928 1243
+rect 970 1217 1000 1243
+rect 1056 1217 1086 1243
+rect 1246 1217 1276 1243
+rect 1332 1217 1362 1243
+rect 1522 1217 1552 1243
+rect 1608 1217 1638 1243
+rect 80 403 110 817
+rect 166 494 196 817
+rect 37 387 110 403
+rect 37 353 47 387
+rect 81 353 110 387
+rect 37 337 110 353
+rect 80 263 110 337
+rect 152 478 233 494
+rect 152 444 189 478
+rect 223 444 233 478
+rect 152 428 233 444
+rect 356 477 386 617
+rect 428 586 458 617
+rect 428 570 482 586
+rect 428 536 438 570
+rect 472 536 482 570
+rect 428 520 482 536
+rect 356 461 410 477
+rect 548 475 578 617
+rect 620 580 650 617
+rect 706 580 736 617
+rect 620 570 736 580
+rect 620 536 652 570
+rect 686 536 736 570
+rect 620 526 736 536
+rect 778 475 808 617
+rect 898 586 928 617
+rect 874 570 928 586
+rect 874 536 884 570
+rect 918 536 928 570
+rect 874 520 928 536
+rect 152 263 182 428
+rect 356 427 366 461
+rect 400 427 410 461
+rect 356 411 410 427
+rect 452 445 904 475
+rect 356 315 386 411
+rect 452 367 482 445
+rect 874 403 904 445
+rect 970 471 1000 617
+rect 1056 586 1086 617
+rect 1056 570 1127 586
+rect 1056 556 1083 570
+rect 1067 536 1083 556
+rect 1117 536 1127 570
+rect 1067 520 1127 536
+rect 970 455 1024 471
+rect 970 421 980 455
+rect 1014 421 1024 455
+rect 970 405 1024 421
+rect 428 337 482 367
+rect 524 387 578 403
+rect 524 353 534 387
+rect 568 353 578 387
+rect 524 337 578 353
+rect 428 315 458 337
+rect 548 315 578 337
+rect 620 387 736 397
+rect 620 353 652 387
+rect 686 353 736 387
+rect 620 343 736 353
+rect 620 315 650 343
+rect 706 315 736 343
+rect 778 387 832 403
+rect 778 353 788 387
+rect 822 353 832 387
+rect 778 337 832 353
+rect 874 387 928 403
+rect 874 353 884 387
+rect 918 353 928 387
+rect 874 337 928 353
+rect 778 315 808 337
+rect 898 315 928 337
+rect 970 315 1000 405
+rect 1067 367 1097 520
+rect 1246 403 1276 817
+rect 1056 337 1097 367
+rect 1193 387 1276 403
+rect 1193 353 1203 387
+rect 1237 353 1276 387
+rect 1193 337 1276 353
+rect 1056 315 1086 337
+rect 1246 263 1276 337
+rect 1332 351 1362 817
+rect 1522 601 1552 617
+rect 1512 571 1552 601
+rect 1512 471 1542 571
+rect 1608 512 1638 617
+rect 1487 455 1542 471
+rect 1487 421 1497 455
+rect 1531 421 1542 455
+rect 1584 496 1638 512
+rect 1584 462 1594 496
+rect 1628 462 1638 496
+rect 1584 446 1638 462
+rect 1487 405 1542 421
+rect 1512 360 1542 405
+rect 1332 335 1399 351
+rect 1318 301 1355 335
+rect 1389 301 1399 335
+rect 1512 330 1552 360
+rect 1522 315 1552 330
+rect 1608 315 1638 446
+rect 1318 285 1399 301
+rect 1318 263 1348 285
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 353 81 387
+rect 189 444 223 478
+rect 438 536 472 570
+rect 652 536 686 570
+rect 884 536 918 570
+rect 366 427 400 461
+rect 1083 536 1117 570
+rect 980 421 1014 455
+rect 534 353 568 387
+rect 652 353 686 387
+rect 788 353 822 387
+rect 884 353 918 387
+rect 1203 353 1237 387
+rect 1497 421 1531 455
+rect 1594 462 1628 496
+rect 1355 301 1389 335
+<< locali >>
+rect 0 1315 1738 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1738 1315
+rect 35 1201 69 1271
+rect 35 913 69 929
+rect 121 1201 155 1217
+rect 47 387 81 403
+rect 47 313 81 353
+rect 121 387 155 929
+rect 207 1201 241 1271
+rect 207 913 241 929
+rect 311 1201 345 1271
+rect 311 709 345 725
+rect 469 1201 537 1217
+rect 661 1201 695 1271
+rect 661 709 695 725
+rect 819 1201 887 1217
+rect 469 654 537 657
+rect 819 654 887 725
+rect 121 233 155 353
+rect 189 620 537 654
+rect 720 620 887 654
+rect 1011 1201 1045 1271
+rect 1011 641 1045 657
+rect 1097 1201 1131 1217
+rect 1201 1201 1235 1271
+rect 1201 913 1235 929
+rect 1287 1201 1321 1217
+rect 1097 654 1131 657
+rect 1097 620 1187 654
+rect 189 478 223 620
+rect 438 570 472 586
+rect 438 535 472 536
+rect 652 570 686 586
+rect 472 501 568 535
+rect 189 370 223 444
+rect 366 461 400 477
+rect 366 411 400 427
+rect 534 387 568 501
+rect 652 387 686 536
+rect 189 336 500 370
+rect 534 337 568 353
+rect 652 337 686 353
+rect 720 387 754 620
+rect 884 570 918 586
+rect 884 535 918 536
+rect 466 283 500 336
+rect 720 303 754 353
+rect 788 501 884 535
+rect 1083 570 1117 586
+rect 1083 535 1117 536
+rect 788 387 822 501
+rect 1151 467 1187 620
+rect 964 421 980 455
+rect 1014 421 1030 455
+rect 1097 433 1187 467
+rect 1287 455 1321 929
+rect 1373 1201 1407 1271
+rect 1373 913 1407 929
+rect 1477 1201 1511 1217
+rect 1477 609 1511 861
+rect 1563 1201 1597 1271
+rect 1563 845 1597 861
+rect 1649 1201 1683 1217
+rect 1649 683 1683 861
+rect 1682 666 1683 683
+rect 1682 649 1706 666
+rect 1649 632 1706 649
+rect 1477 570 1511 575
+rect 1477 536 1628 570
+rect 1594 496 1628 536
+rect 1097 387 1131 433
+rect 1287 421 1497 455
+rect 1531 421 1547 455
+rect 868 353 884 387
+rect 918 353 1131 387
+rect 1187 353 1203 387
+rect 1237 353 1253 387
+rect 788 337 822 353
+rect 35 199 155 233
+rect 311 267 345 283
+rect 193 199 227 215
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 267 537 283
+rect 720 269 887 303
+rect 466 249 469 267
+rect 311 61 345 131
+rect 819 267 887 269
+rect 469 115 537 131
+rect 661 199 695 215
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 267 1045 283
+rect 1011 61 1045 131
+rect 1097 267 1131 353
+rect 1287 233 1321 421
+rect 1594 387 1628 462
+rect 1477 353 1628 387
+rect 1355 335 1389 351
+rect 1097 115 1131 131
+rect 1201 199 1321 233
+rect 1359 199 1393 215
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 199 1511 353
+rect 1672 320 1706 632
+rect 1649 286 1706 320
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1649 199 1683 286
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 47 279 81 313
+rect 121 353 155 387
+rect 438 501 472 535
+rect 366 427 400 461
+rect 634 353 652 387
+rect 652 353 668 387
+rect 720 353 754 387
+rect 884 501 918 535
+rect 1083 501 1117 535
+rect 980 421 1014 455
+rect 1648 649 1682 683
+rect 1477 575 1511 609
+rect 1497 421 1531 455
+rect 1203 353 1237 387
+rect 1355 301 1389 313
+rect 1355 279 1389 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1315 1738 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1738 1315
+rect 0 1271 1738 1281
+rect 1636 683 1694 689
+rect 1614 649 1648 683
+rect 1682 649 1694 683
+rect 1636 643 1694 649
+rect 1465 609 1523 615
+rect 1442 575 1477 609
+rect 1511 575 1523 609
+rect 1465 569 1523 575
+rect 426 535 484 541
+rect 872 535 930 541
+rect 1071 535 1129 541
+rect 426 501 438 535
+rect 472 501 884 535
+rect 918 501 1083 535
+rect 1117 501 1129 535
+rect 426 495 484 501
+rect 872 495 930 501
+rect 1071 495 1129 501
+rect 354 461 412 467
+rect 354 427 366 461
+rect 400 427 434 461
+rect 968 455 1026 461
+rect 1485 455 1543 461
+rect 354 421 412 427
+rect 968 421 980 455
+rect 1014 421 1497 455
+rect 1531 421 1543 455
+rect 968 415 1026 421
+rect 1485 415 1543 421
+rect 109 387 167 393
+rect 622 387 680 393
+rect 109 353 121 387
+rect 155 353 634 387
+rect 668 353 680 387
+rect 109 347 167 353
+rect 622 347 680 353
+rect 708 387 766 393
+rect 1191 387 1249 393
+rect 708 353 720 387
+rect 754 353 1203 387
+rect 1237 353 1249 387
+rect 708 347 766 353
+rect 1191 347 1249 353
+rect 35 313 93 319
+rect 1343 313 1401 319
+rect 35 279 47 313
+rect 81 279 1355 313
+rect 1389 279 1401 313
+rect 35 273 93 279
+rect 1343 273 1401 279
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 383 444 383 444 1 D
+port 1 n
+rlabel viali 1100 518 1100 518 1 CK
+port 2 n
+rlabel viali 1665 666 1665 666 1 Q
+port 4 n
+rlabel viali 1495 592 1495 592 1 QN
+port 3 n
+rlabel viali 64 296 64 296 1 SN
+<< end >>
diff --git a/lib/18T_ls/sky130_osu_sc_18T_ls__dffs_l.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__dffs_l.mag
new file mode 100644
index 0000000..13db3bc
--- /dev/null
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__dffs_l.mag
@@ -0,0 +1,719 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862745
+<< nwell >>
+rect -10 581 1741 1341
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 356 115 386 315
+rect 428 115 458 315
+rect 548 115 578 315
+rect 620 115 650 315
+rect 706 115 736 315
+rect 778 115 808 315
+rect 898 115 928 315
+rect 970 115 1000 315
+rect 1056 115 1086 315
+rect 1246 115 1276 263
+rect 1318 115 1348 263
+rect 1522 115 1552 263
+rect 1608 115 1638 263
+<< pmoshvt >>
+rect 80 817 110 1217
+rect 166 817 196 1217
+rect 356 617 386 1217
+rect 428 617 458 1217
+rect 548 617 578 1217
+rect 620 617 650 1217
+rect 706 617 736 1217
+rect 778 617 808 1217
+rect 898 617 928 1217
+rect 970 617 1000 1217
+rect 1056 617 1086 1217
+rect 1246 817 1276 1217
+rect 1332 817 1362 1217
+rect 1522 817 1552 1217
+rect 1608 817 1638 1217
+<< ndiff >>
+rect 303 267 356 315
+rect 27 199 80 263
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 199 235 263
+rect 182 131 193 199
+rect 227 131 235 199
+rect 182 115 235 131
+rect 303 131 311 267
+rect 345 131 356 267
+rect 303 115 356 131
+rect 386 115 428 315
+rect 458 267 548 315
+rect 458 131 469 267
+rect 537 131 548 267
+rect 458 115 548 131
+rect 578 115 620 315
+rect 650 199 706 315
+rect 650 131 661 199
+rect 695 131 706 199
+rect 650 115 706 131
+rect 736 115 778 315
+rect 808 267 898 315
+rect 808 131 819 267
+rect 887 131 898 267
+rect 808 115 898 131
+rect 928 115 970 315
+rect 1000 267 1056 315
+rect 1000 131 1011 267
+rect 1045 131 1056 267
+rect 1000 115 1056 131
+rect 1086 267 1139 315
+rect 1086 131 1097 267
+rect 1131 131 1139 267
+rect 1086 115 1139 131
+rect 1193 199 1246 263
+rect 1193 131 1201 199
+rect 1235 131 1246 199
+rect 1193 115 1246 131
+rect 1276 115 1318 263
+rect 1348 199 1401 263
+rect 1348 131 1359 199
+rect 1393 131 1401 199
+rect 1348 115 1401 131
+rect 1469 199 1522 263
+rect 1469 131 1477 199
+rect 1511 131 1522 199
+rect 1469 115 1522 131
+rect 1552 199 1608 263
+rect 1552 131 1563 199
+rect 1597 131 1608 199
+rect 1552 115 1608 131
+rect 1638 199 1691 263
+rect 1638 131 1649 199
+rect 1683 131 1691 199
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 929 35 1201
+rect 69 929 80 1201
+rect 27 817 80 929
+rect 110 1201 166 1217
+rect 110 929 121 1201
+rect 155 929 166 1201
+rect 110 817 166 929
+rect 196 1201 249 1217
+rect 196 929 207 1201
+rect 241 929 249 1201
+rect 196 817 249 929
+rect 303 1201 356 1217
+rect 303 725 311 1201
+rect 345 725 356 1201
+rect 303 617 356 725
+rect 386 617 428 1217
+rect 458 1201 548 1217
+rect 458 657 469 1201
+rect 537 657 548 1201
+rect 458 617 548 657
+rect 578 617 620 1217
+rect 650 1201 706 1217
+rect 650 725 661 1201
+rect 695 725 706 1201
+rect 650 617 706 725
+rect 736 617 778 1217
+rect 808 1201 898 1217
+rect 808 725 819 1201
+rect 887 725 898 1201
+rect 808 617 898 725
+rect 928 617 970 1217
+rect 1000 1201 1056 1217
+rect 1000 657 1011 1201
+rect 1045 657 1056 1201
+rect 1000 617 1056 657
+rect 1086 1201 1139 1217
+rect 1086 657 1097 1201
+rect 1131 657 1139 1201
+rect 1193 1201 1246 1217
+rect 1193 929 1201 1201
+rect 1235 929 1246 1201
+rect 1193 817 1246 929
+rect 1276 1201 1332 1217
+rect 1276 929 1287 1201
+rect 1321 929 1332 1201
+rect 1276 817 1332 929
+rect 1362 1201 1415 1217
+rect 1362 929 1373 1201
+rect 1407 929 1415 1201
+rect 1362 817 1415 929
+rect 1469 1201 1522 1217
+rect 1469 861 1477 1201
+rect 1511 861 1522 1201
+rect 1469 817 1522 861
+rect 1552 1201 1608 1217
+rect 1552 861 1563 1201
+rect 1597 861 1608 1201
+rect 1552 817 1608 861
+rect 1638 1201 1691 1217
+rect 1638 861 1649 1201
+rect 1683 861 1691 1201
+rect 1638 817 1691 861
+rect 1086 617 1139 657
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 311 131 345 267
+rect 469 131 537 267
+rect 661 131 695 199
+rect 819 131 887 267
+rect 1011 131 1045 267
+rect 1097 131 1131 267
+rect 1201 131 1235 199
+rect 1359 131 1393 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1649 131 1683 199
+<< pdiffc >>
+rect 35 929 69 1201
+rect 121 929 155 1201
+rect 207 929 241 1201
+rect 311 725 345 1201
+rect 469 657 537 1201
+rect 661 725 695 1201
+rect 819 725 887 1201
+rect 1011 657 1045 1201
+rect 1097 657 1131 1201
+rect 1201 929 1235 1201
+rect 1287 929 1321 1201
+rect 1373 929 1407 1201
+rect 1477 861 1511 1201
+rect 1563 861 1597 1201
+rect 1649 861 1683 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 356 1217 386 1243
+rect 428 1217 458 1243
+rect 548 1217 578 1243
+rect 620 1217 650 1243
+rect 706 1217 736 1243
+rect 778 1217 808 1243
+rect 898 1217 928 1243
+rect 970 1217 1000 1243
+rect 1056 1217 1086 1243
+rect 1246 1217 1276 1243
+rect 1332 1217 1362 1243
+rect 1522 1217 1552 1243
+rect 1608 1217 1638 1243
+rect 80 403 110 817
+rect 166 494 196 817
+rect 37 387 110 403
+rect 37 353 47 387
+rect 81 353 110 387
+rect 37 337 110 353
+rect 80 263 110 337
+rect 152 478 233 494
+rect 152 444 189 478
+rect 223 444 233 478
+rect 152 428 233 444
+rect 356 477 386 617
+rect 428 586 458 617
+rect 428 570 482 586
+rect 428 536 438 570
+rect 472 536 482 570
+rect 428 520 482 536
+rect 356 461 410 477
+rect 548 475 578 617
+rect 620 580 650 617
+rect 706 580 736 617
+rect 620 570 736 580
+rect 620 536 652 570
+rect 686 536 736 570
+rect 620 526 736 536
+rect 778 475 808 617
+rect 898 586 928 617
+rect 874 570 928 586
+rect 874 536 884 570
+rect 918 536 928 570
+rect 874 520 928 536
+rect 152 263 182 428
+rect 356 427 366 461
+rect 400 427 410 461
+rect 356 411 410 427
+rect 452 445 904 475
+rect 356 315 386 411
+rect 452 367 482 445
+rect 874 403 904 445
+rect 970 471 1000 617
+rect 1056 586 1086 617
+rect 1056 570 1127 586
+rect 1056 556 1083 570
+rect 1067 536 1083 556
+rect 1117 536 1127 570
+rect 1067 520 1127 536
+rect 970 455 1024 471
+rect 970 421 980 455
+rect 1014 421 1024 455
+rect 970 405 1024 421
+rect 428 337 482 367
+rect 524 387 578 403
+rect 524 353 534 387
+rect 568 353 578 387
+rect 524 337 578 353
+rect 428 315 458 337
+rect 548 315 578 337
+rect 620 387 736 397
+rect 620 353 652 387
+rect 686 353 736 387
+rect 620 343 736 353
+rect 620 315 650 343
+rect 706 315 736 343
+rect 778 387 832 403
+rect 778 353 788 387
+rect 822 353 832 387
+rect 778 337 832 353
+rect 874 387 928 403
+rect 874 353 884 387
+rect 918 353 928 387
+rect 874 337 928 353
+rect 778 315 808 337
+rect 898 315 928 337
+rect 970 315 1000 405
+rect 1067 367 1097 520
+rect 1246 403 1276 817
+rect 1056 337 1097 367
+rect 1193 387 1276 403
+rect 1193 353 1203 387
+rect 1237 353 1276 387
+rect 1193 337 1276 353
+rect 1056 315 1086 337
+rect 1246 263 1276 337
+rect 1332 351 1362 817
+rect 1522 601 1552 817
+rect 1512 571 1552 601
+rect 1512 471 1542 571
+rect 1608 512 1638 817
+rect 1487 455 1542 471
+rect 1487 421 1497 455
+rect 1531 421 1542 455
+rect 1584 496 1638 512
+rect 1584 462 1594 496
+rect 1628 462 1638 496
+rect 1584 446 1638 462
+rect 1487 405 1542 421
+rect 1512 360 1542 405
+rect 1332 335 1399 351
+rect 1318 301 1355 335
+rect 1389 301 1399 335
+rect 1512 330 1552 360
+rect 1318 285 1399 301
+rect 1318 263 1348 285
+rect 1522 263 1552 330
+rect 1608 263 1638 446
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 353 81 387
+rect 189 444 223 478
+rect 438 536 472 570
+rect 652 536 686 570
+rect 884 536 918 570
+rect 366 427 400 461
+rect 1083 536 1117 570
+rect 980 421 1014 455
+rect 534 353 568 387
+rect 652 353 686 387
+rect 788 353 822 387
+rect 884 353 918 387
+rect 1203 353 1237 387
+rect 1497 421 1531 455
+rect 1594 462 1628 496
+rect 1355 301 1389 335
+<< locali >>
+rect 0 1315 1738 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1738 1315
+rect 35 1201 69 1271
+rect 35 913 69 929
+rect 121 1201 155 1217
+rect 47 387 81 403
+rect 47 313 81 353
+rect 121 387 155 929
+rect 207 1201 241 1271
+rect 207 913 241 929
+rect 311 1201 345 1271
+rect 311 709 345 725
+rect 469 1201 537 1217
+rect 661 1201 695 1271
+rect 661 709 695 725
+rect 819 1201 887 1217
+rect 469 654 537 657
+rect 819 654 887 725
+rect 121 233 155 353
+rect 189 620 537 654
+rect 720 620 887 654
+rect 1011 1201 1045 1271
+rect 1011 641 1045 657
+rect 1097 1201 1131 1217
+rect 1201 1201 1235 1271
+rect 1201 913 1235 929
+rect 1287 1201 1321 1217
+rect 1097 654 1131 657
+rect 1097 620 1187 654
+rect 189 478 223 620
+rect 438 570 472 586
+rect 438 535 472 536
+rect 652 570 686 586
+rect 472 501 568 535
+rect 189 370 223 444
+rect 366 461 400 477
+rect 366 411 400 427
+rect 534 387 568 501
+rect 652 387 686 536
+rect 189 336 500 370
+rect 534 337 568 353
+rect 652 337 686 353
+rect 720 387 754 620
+rect 884 570 918 586
+rect 884 535 918 536
+rect 466 283 500 336
+rect 720 303 754 353
+rect 788 501 884 535
+rect 1083 570 1117 586
+rect 1083 535 1117 536
+rect 788 387 822 501
+rect 1151 467 1187 620
+rect 964 421 980 455
+rect 1014 421 1030 455
+rect 1097 433 1187 467
+rect 1287 455 1321 929
+rect 1373 1201 1407 1271
+rect 1373 913 1407 929
+rect 1477 1201 1511 1217
+rect 1477 609 1511 861
+rect 1563 1201 1597 1271
+rect 1563 845 1597 861
+rect 1649 1201 1683 1217
+rect 1649 683 1683 861
+rect 1682 666 1683 683
+rect 1682 649 1706 666
+rect 1649 632 1706 649
+rect 1477 570 1511 575
+rect 1477 536 1628 570
+rect 1594 496 1628 536
+rect 1097 387 1131 433
+rect 1287 421 1497 455
+rect 1531 421 1547 455
+rect 868 353 884 387
+rect 918 353 1131 387
+rect 1187 353 1203 387
+rect 1237 353 1253 387
+rect 788 337 822 353
+rect 35 199 155 233
+rect 311 267 345 283
+rect 193 199 227 215
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 267 537 283
+rect 720 269 887 303
+rect 466 249 469 267
+rect 311 61 345 131
+rect 819 267 887 269
+rect 469 115 537 131
+rect 661 199 695 215
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 267 1045 283
+rect 1011 61 1045 131
+rect 1097 267 1131 353
+rect 1287 233 1321 421
+rect 1594 387 1628 462
+rect 1477 353 1628 387
+rect 1355 335 1389 351
+rect 1097 115 1131 131
+rect 1201 199 1321 233
+rect 1359 199 1393 215
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 199 1511 353
+rect 1672 320 1706 632
+rect 1649 286 1706 320
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1649 199 1683 286
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 47 279 81 313
+rect 121 353 155 387
+rect 438 501 472 535
+rect 366 427 400 461
+rect 634 353 652 387
+rect 652 353 668 387
+rect 720 353 754 387
+rect 884 501 918 535
+rect 1083 501 1117 535
+rect 980 421 1014 455
+rect 1648 649 1682 683
+rect 1477 575 1511 609
+rect 1497 421 1531 455
+rect 1203 353 1237 387
+rect 1355 301 1389 313
+rect 1355 279 1389 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1315 1738 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1738 1315
+rect 0 1271 1738 1281
+rect 1636 683 1694 689
+rect 1614 649 1648 683
+rect 1682 649 1694 683
+rect 1636 643 1694 649
+rect 1465 609 1523 615
+rect 1442 575 1477 609
+rect 1511 575 1523 609
+rect 1465 569 1523 575
+rect 426 535 484 541
+rect 872 535 930 541
+rect 1071 535 1129 541
+rect 426 501 438 535
+rect 472 501 884 535
+rect 918 501 1083 535
+rect 1117 501 1129 535
+rect 426 495 484 501
+rect 872 495 930 501
+rect 1071 495 1129 501
+rect 354 461 412 467
+rect 354 427 366 461
+rect 400 427 434 461
+rect 968 455 1026 461
+rect 1485 455 1543 461
+rect 354 421 412 427
+rect 968 421 980 455
+rect 1014 421 1497 455
+rect 1531 421 1543 455
+rect 968 415 1026 421
+rect 1485 415 1543 421
+rect 109 387 167 393
+rect 622 387 680 393
+rect 109 353 121 387
+rect 155 353 634 387
+rect 668 353 680 387
+rect 109 347 167 353
+rect 622 347 680 353
+rect 708 387 766 393
+rect 1191 387 1249 393
+rect 708 353 720 387
+rect 754 353 1203 387
+rect 1237 353 1249 387
+rect 708 347 766 353
+rect 1191 347 1249 353
+rect 35 313 93 319
+rect 1343 313 1401 319
+rect 35 279 47 313
+rect 81 279 1355 313
+rect 1389 279 1401 313
+rect 35 273 93 279
+rect 1343 273 1401 279
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 383 444 383 444 1 D
+port 1 n
+rlabel viali 1100 518 1100 518 1 CK
+port 2 n
+rlabel viali 1665 666 1665 666 1 Q
+port 4 n
+rlabel viali 1495 592 1495 592 1 QN
+port 3 n
+rlabel viali 64 296 64 296 1 SN
+<< end >>
diff --git a/lib/18T_hs/DFFSRX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__dffsr_1.mag
similarity index 84%
copy from lib/18T_hs/DFFSRX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__dffsr_1.mag
index 2bb1b6a..36f64e9 100644
--- a/lib/18T_hs/DFFSRX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__dffsr_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542092
+timestamp 1603863488
 << nwell >>
 rect -10 581 2090 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 272 115 302 263
 rect 370 115 400 315
@@ -23,7 +23,7 @@
 rect 1692 115 1722 263
 rect 1884 115 1914 315
 rect 1970 115 2000 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 270 617 300 1217
 rect 356 617 386 1217
@@ -452,9 +452,10 @@
 rect 1608 484 1638 617
 rect 1694 579 1724 617
 rect 1884 601 1914 617
-rect 1694 549 1751 579
-rect 1721 521 1751 549
+rect 1694 553 1751 579
 rect 1874 571 1914 601
+rect 1694 549 1775 553
+rect 1721 521 1775 549
 rect 1576 468 1638 484
 rect 1576 434 1588 468
 rect 1622 434 1638 468
@@ -525,23 +526,23 @@
 rect 1956 462 1990 496
 rect 1755 301 1789 335
 << locali >>
-rect 0 1305 2090 1332
-rect 0 1271 50 1305
-rect 84 1271 186 1305
-rect 220 1271 322 1305
-rect 356 1271 458 1305
-rect 492 1271 594 1305
-rect 628 1271 730 1305
-rect 764 1271 866 1305
-rect 900 1271 1002 1305
-rect 1036 1271 1138 1305
-rect 1172 1271 1274 1305
-rect 1308 1271 1410 1305
-rect 1444 1271 1546 1305
-rect 1580 1271 1682 1305
-rect 1716 1271 1818 1305
-rect 1852 1271 1954 1305
-rect 1988 1271 2090 1305
+rect 0 1315 2090 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1954 1315
+rect 1988 1271 2090 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -682,24 +683,54 @@
 rect 1925 61 1959 131
 rect 2011 267 2045 286
 rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
 << viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 1954 1305 1988 1315
+rect 1954 1281 1988 1305
 rect 47 649 81 683
 rect 325 575 359 609
 rect 325 353 359 387
@@ -721,8 +752,55 @@
 rect 1502 353 1536 387
 rect 1755 301 1789 313
 rect 1755 279 1789 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
 << metal1 >>
-rect 0 1271 2090 1332
+rect 0 1315 2090 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1954 1315
+rect 1988 1281 2090 1315
+rect 0 1271 2090 1281
 rect 35 683 93 689
 rect 1999 683 2057 689
 rect 35 649 47 683
@@ -784,7 +862,24 @@
 rect 1789 279 1801 313
 rect 217 273 275 279
 rect 1743 273 1801 279
-rect 0 0 2090 61
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
 << labels >>
 rlabel metal1 659 444 659 444 1 D
 port 1 n
@@ -798,8 +893,6 @@
 port 5 n
 rlabel metal1 2028 666 2028 666 1 Q
 port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-rlabel locali 67 1288 67 1288 1 vdd
-rlabel metal1 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 44 67 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/DFFSRXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__dffsr_l.mag
similarity index 84%
copy from lib/18T_hs/DFFSRXL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__dffsr_l.mag
index a79ec75..2c91159 100644
--- a/lib/18T_hs/DFFSRXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__dffsr_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542136
+timestamp 1603863586
 << nwell >>
 rect -10 581 2090 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 272 115 302 263
 rect 370 115 400 315
@@ -23,7 +23,7 @@
 rect 1692 115 1722 263
 rect 1884 115 1914 263
 rect 1970 115 2000 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 270 617 300 1217
 rect 356 617 386 1217
@@ -524,23 +524,23 @@
 rect 1956 462 1990 496
 rect 1755 301 1789 335
 << locali >>
-rect 0 1305 2090 1332
-rect 0 1271 50 1305
-rect 84 1271 186 1305
-rect 220 1271 322 1305
-rect 356 1271 458 1305
-rect 492 1271 594 1305
-rect 628 1271 730 1305
-rect 764 1271 866 1305
-rect 900 1271 1002 1305
-rect 1036 1271 1138 1305
-rect 1172 1271 1274 1305
-rect 1308 1271 1410 1305
-rect 1444 1271 1546 1305
-rect 1580 1271 1682 1305
-rect 1716 1271 1818 1305
-rect 1852 1271 1954 1305
-rect 1988 1271 2090 1305
+rect 0 1315 2090 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1954 1315
+rect 1988 1271 2090 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -683,24 +683,54 @@
 rect 1925 61 1959 131
 rect 2011 199 2045 286
 rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
 << viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 1954 1305 1988 1315
+rect 1954 1281 1988 1305
 rect 47 649 81 683
 rect 325 575 359 609
 rect 325 353 359 387
@@ -721,8 +751,55 @@
 rect 1502 353 1536 387
 rect 1755 301 1789 313
 rect 1755 279 1789 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
 << metal1 >>
-rect 0 1271 2090 1332
+rect 0 1315 2090 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1954 1315
+rect 1988 1281 2090 1315
+rect 0 1271 2090 1281
 rect 35 683 93 689
 rect 1998 683 2056 689
 rect 35 649 47 683
@@ -784,7 +861,24 @@
 rect 1789 279 1801 313
 rect 217 273 275 279
 rect 1743 273 1801 279
-rect 0 0 2090 61
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
 << labels >>
 rlabel metal1 659 444 659 444 1 D
 port 1 n
@@ -798,8 +892,6 @@
 port 5 n
 rlabel metal1 65 666 65 666 1 RN
 port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-rlabel locali 67 1288 67 1288 1 vdd
-rlabel metal1 67 1288 67 1288 1 vdd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_1.mag
similarity index 82%
copy from lib/18T_hs/FILLX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__fill_1.mag
index 4ad0c98..95c509c 100644
--- a/lib/18T_hs/FILLX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_1.mag
@@ -15,8 +15,6 @@
 rect 0 1271 22 1332
 rect 0 0 22 61
 << labels >>
-rlabel locali 11 28 11 28 1 gnd
 rlabel metal1 11 28 11 28 1 gnd
-rlabel locali 11 1299 11 1299 1 vdd
 rlabel metal1 11 1299 11 1299 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX16.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_16.mag
similarity index 79%
copy from lib/18T_hs/FILLX16.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__fill_16.mag
index 48447df..0359d8e 100644
--- a/lib/18T_hs/FILLX16.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_16.mag
@@ -11,8 +11,6 @@
 rect 0 1271 352 1332
 rect 0 0 352 61
 << labels >>
-rlabel locali 196 30 196 30 1 gnd
 rlabel metal1 196 30 196 30 1 gnd
-rlabel locali 199 1300 199 1300 1 vdd
 rlabel metal1 199 1300 199 1300 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX2.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_2.mag
similarity index 82%
copy from lib/18T_hs/FILLX2.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__fill_2.mag
index ac1af58..6563ebf 100644
--- a/lib/18T_hs/FILLX2.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_2.mag
@@ -15,8 +15,6 @@
 rect 0 1271 44 1332
 rect 0 0 44 61
 << labels >>
-rlabel locali 23 28 23 28 1 gnd
 rlabel metal1 23 28 23 28 1 gnd
-rlabel locali 22 1300 22 1300 1 vdd
 rlabel metal1 22 1300 22 1300 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX32.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_32.mag
similarity index 79%
copy from lib/18T_hs/FILLX32.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__fill_32.mag
index 997244a..6fa9b88 100644
--- a/lib/18T_hs/FILLX32.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_32.mag
@@ -11,8 +11,6 @@
 rect 0 1271 704 1332
 rect 0 0 704 61
 << labels >>
-rlabel locali 363 26 363 26 1 gnd
 rlabel metal1 363 26 363 26 1 gnd
-rlabel locali 374 1298 374 1298 1 vdd
 rlabel metal1 374 1298 374 1298 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX4.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_4.mag
similarity index 81%
copy from lib/18T_hs/FILLX4.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__fill_4.mag
index 091e088..0304d99 100644
--- a/lib/18T_hs/FILLX4.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_4.mag
@@ -13,8 +13,6 @@
 rect 0 1271 88 1332
 rect 0 0 88 61
 << labels >>
-rlabel locali 71 28 71 28 1 gnd
 rlabel metal1 71 28 71 28 1 gnd
-rlabel locali 72 1301 72 1301 1 vdd
 rlabel metal1 72 1301 72 1301 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX8.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_8.mag
similarity index 79%
copy from lib/18T_hs/FILLX8.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__fill_8.mag
index 02ebc8a..c59d34e 100644
--- a/lib/18T_hs/FILLX8.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__fill_8.mag
@@ -11,8 +11,6 @@
 rect 0 1271 176 1332
 rect 0 0 176 61
 << labels >>
-rlabel locali 112 28 112 28 1 gnd
 rlabel metal1 112 28 112 28 1 gnd
-rlabel locali 111 1303 111 1303 1 vdd
 rlabel metal1 111 1303 111 1303 1 vdd
 << end >>
diff --git a/lib/18T_hs/INVX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_1.mag
similarity index 78%
copy from lib/18T_hs/INVX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__inv_1.mag
index a42fbd3..00eb835 100644
--- a/lib/18T_hs/INVX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_1.mag
@@ -1,12 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476292
+timestamp 1603863700
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 << ndiff >>
 rect 27 267 80 315
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -68,15 +68,22 @@
 rect 35 61 69 131
 rect 121 267 155 279
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -90,12 +97,13 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 151 441 151 441 1 Y
 port 1 n
 rlabel metal1 64 666 64 666 1 A
diff --git a/lib/18T_hs/INVX10.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_10.mag
similarity index 82%
copy from lib/18T_hs/INVX10.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__inv_10.mag
index 4986375..3de166a 100644
--- a/lib/18T_hs/INVX10.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_10.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598539184
+timestamp 1603863912
 << nwell >>
 rect -9 581 990 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -15,7 +15,7 @@
 rect 682 115 712 315
 rect 768 115 798 315
 rect 854 115 884 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -239,15 +239,15 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -295,16 +295,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -316,8 +330,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -359,12 +396,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVX2.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_2.mag
similarity index 78%
copy from lib/18T_hs/INVX2.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__inv_2.mag
index 2c459ab..aacaf53 100644
--- a/lib/18T_hs/INVX2.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_2.mag
@@ -1,13 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600522917
+timestamp 1603863719
 << nwell >>
 rect -9 581 287 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 << ndiff >>
@@ -80,10 +80,10 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -99,16 +99,28 @@
 rect 121 115 155 131
 rect 207 267 241 283
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -122,14 +134,16 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/INVX3.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_3.mag
similarity index 81%
copy from lib/18T_hs/INVX3.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__inv_3.mag
index 1d37618..f6d9bf9 100644
--- a/lib/18T_hs/INVX3.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_3.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476324
+timestamp 1603863791
 << nwell >>
 rect -9 581 375 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -96,10 +96,10 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -119,18 +119,30 @@
 rect 207 61 241 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
 rect 121 279 155 313
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -151,12 +163,14 @@
 rect 327 279 339 313
 rect 109 273 167 279
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVX4.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_4.mag
similarity index 80%
copy from lib/18T_hs/INVX4.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__inv_4.mag
index 2673eed..b57611f 100644
--- a/lib/18T_hs/INVX4.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_4.mag
@@ -1,15 +1,15 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476333
+timestamp 1603863747
 << nwell >>
 rect -9 581 463 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
 rect 338 115 368 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -118,11 +118,11 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -146,19 +146,36 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
 rect 121 279 155 313
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -179,12 +196,15 @@
 rect 327 279 339 313
 rect 109 273 167 279
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 665 64 665 1 A
 port 1 n
 rlabel metal1 152 440 152 440 1 Y
diff --git a/lib/18T_hs/INVX6.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_6.mag
similarity index 82%
copy from lib/18T_hs/INVX6.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__inv_6.mag
index 49ff152..30f5bef 100644
--- a/lib/18T_hs/INVX6.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_6.mag
@@ -1,17 +1,17 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476352
+timestamp 1603863829
 << nwell >>
 rect -9 581 639 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
 rect 338 115 368 315
 rect 424 115 454 315
 rect 510 115 540 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -157,12 +157,12 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -194,13 +194,21 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -208,8 +216,22 @@
 rect 121 279 155 313
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -237,12 +259,16 @@
 rect 109 273 167 279
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 665 64 665 1 A
 port 1 n
 rlabel metal1 152 440 152 440 1 Y
diff --git a/lib/18T_hs/INVX8.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_8.mag
similarity index 83%
copy from lib/18T_hs/INVX8.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__inv_8.mag
index 15ce451..1c93fdd 100644
--- a/lib/18T_hs/INVX8.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_8.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476343
+timestamp 1603863864
 << nwell >>
 rect -9 581 814 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -13,7 +13,7 @@
 rect 510 115 540 315
 rect 596 115 626 315
 rect 682 115 712 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -195,13 +195,13 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 814 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 814 1305
+rect 0 1315 814 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 814 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -241,14 +241,24 @@
 rect 637 115 671 131
 rect 723 267 757 283
 rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 814 61
+rect 0 0 814 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -258,8 +268,25 @@
 rect 293 279 327 313
 rect 465 279 499 313
 rect 637 279 671 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
 << metal1 >>
-rect 0 1271 814 1332
+rect 0 1315 814 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 814 1315
+rect 0 1271 814 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -294,12 +321,17 @@
 rect 281 273 339 279
 rect 453 273 511 279
 rect 625 273 683 279
-rect 0 0 814 61
+rect 0 51 814 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 814 51
+rect 0 0 814 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_l.mag
similarity index 77%
copy from lib/18T_hs/INVXL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__inv_l.mag
index e287f1d..3b130c2 100644
--- a/lib/18T_hs/INVXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__inv_l.mag
@@ -1,12 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542173
+timestamp 1603863931
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 << ndiff >>
 rect 27 199 80 263
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 913 69 929
 rect 121 1201 155 1217
@@ -68,15 +68,22 @@
 rect 35 61 69 131
 rect 121 199 155 279
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -90,12 +97,13 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 666 64 666 1 A
 port 1 n
 rlabel metal1 151 441 151 441 1 Y
diff --git a/lib/18T_ls/sky130_osu_sc_18T_ls__mux2_1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__mux2_1.mag
new file mode 100644
index 0000000..f8971c5
--- /dev/null
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__mux2_1.mag
@@ -0,0 +1,225 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603864309
+<< nwell >>
+rect -9 581 553 1341
+<< nmos >>
+rect 80 115 110 315
+rect 270 115 300 315
+rect 356 115 386 315
+<< pmoshvt >>
+rect 80 617 110 1217
+rect 270 617 300 1217
+rect 356 617 386 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 110 115 163 131
+rect 217 267 270 315
+rect 217 131 225 267
+rect 259 131 270 267
+rect 217 115 270 131
+rect 300 267 356 315
+rect 300 131 311 267
+rect 345 131 356 267
+rect 300 115 356 131
+rect 386 267 439 315
+rect 386 131 397 267
+rect 431 131 439 267
+rect 386 115 439 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 110 617 163 657
+rect 217 1201 270 1217
+rect 217 657 225 1201
+rect 259 657 270 1201
+rect 217 617 270 657
+rect 300 1201 356 1217
+rect 300 657 311 1201
+rect 345 657 356 1201
+rect 300 617 356 657
+rect 386 1201 439 1217
+rect 386 657 397 1201
+rect 431 657 439 1201
+rect 386 617 439 657
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 267
+rect 311 131 345 267
+rect 397 131 431 267
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 657 259 1201
+rect 311 657 345 1201
+rect 397 657 431 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 435 1271 459 1305
+rect 493 1271 517 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 459 1271 493 1305
+<< poly >>
+rect 80 1232 300 1262
+rect 80 1217 110 1232
+rect 270 1217 300 1232
+rect 356 1217 386 1243
+rect 80 494 110 617
+rect 270 591 300 617
+rect 152 555 218 565
+rect 152 521 168 555
+rect 202 541 218 555
+rect 356 541 386 617
+rect 202 521 386 541
+rect 152 511 386 521
+rect 27 478 110 494
+rect 27 444 37 478
+rect 71 469 110 478
+rect 71 444 386 469
+rect 27 439 386 444
+rect 27 428 110 439
+rect 80 315 110 428
+rect 152 387 218 397
+rect 152 353 168 387
+rect 202 373 218 387
+rect 202 353 300 373
+rect 152 343 300 353
+rect 270 315 300 343
+rect 356 315 386 439
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+<< polycont >>
+rect 168 521 202 555
+rect 37 444 71 478
+rect 168 353 202 387
+<< locali >>
+rect 0 1315 550 1332
+rect 0 1271 459 1315
+rect 493 1271 550 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 37 478 71 649
+rect 37 428 71 444
+rect 121 571 155 657
+rect 225 1201 259 1217
+rect 311 1201 345 1217
+rect 225 623 270 657
+rect 236 609 270 623
+rect 121 555 202 571
+rect 121 521 168 555
+rect 121 505 202 521
+rect 121 403 155 505
+rect 121 387 202 403
+rect 121 353 168 387
+rect 121 337 202 353
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 337
+rect 236 301 270 575
+rect 311 461 345 657
+rect 397 1201 431 1217
+rect 397 535 431 657
+rect 121 115 155 131
+rect 225 267 270 301
+rect 311 267 345 279
+rect 225 115 259 131
+rect 311 115 345 131
+rect 397 267 431 501
+rect 397 115 431 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 37 649 71 683
+rect 236 575 270 609
+rect 311 427 345 461
+rect 397 501 431 535
+rect 311 279 345 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1315 550 1332
+rect 0 1281 459 1315
+rect 493 1281 550 1315
+rect 0 1271 550 1281
+rect 25 683 83 689
+rect 25 649 37 683
+rect 71 649 117 683
+rect 25 643 83 649
+rect 224 609 282 615
+rect 190 575 236 609
+rect 270 575 282 609
+rect 224 569 282 575
+rect 385 535 443 541
+rect 351 501 397 535
+rect 431 501 443 535
+rect 385 495 443 501
+rect 299 461 357 467
+rect 299 427 311 461
+rect 345 427 357 461
+rect 299 421 357 427
+rect 311 319 345 421
+rect 299 313 357 319
+rect 299 279 311 313
+rect 345 279 357 313
+rect 299 273 357 279
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel metal1 54 666 54 666 1 S0
+port 1 n
+rlabel metal1 328 444 328 444 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 476 1288 476 1288 1 vdd
+rlabel viali 476 1288 476 1288 1 vdd
+rlabel metal1 253 592 253 592 1 A0
+port 3 n
+rlabel metal1 414 518 414 518 1 A1
+port 4 n
+<< end >>
diff --git a/lib/18T_hs/NAND2X1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__nand2_1.mag
similarity index 78%
copy from lib/18T_hs/NAND2X1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__nand2_1.mag
index 5e8da9a..7bd22f0 100644
--- a/lib/18T_hs/NAND2X1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__nand2_1.mag
@@ -1,13 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598463762
+timestamp 1603864337
 << nwell >>
 rect -9 581 286 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 << ndiff >>
@@ -77,10 +77,10 @@
 rect 47 520 81 554
 rect 166 427 200 461
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -96,17 +96,29 @@
 rect 35 115 69 131
 rect 193 267 227 283
 rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 501 155 535
 rect 195 575 229 609
 rect 35 279 69 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 115 683
@@ -124,12 +136,14 @@
 rect 23 279 35 313
 rect 69 279 155 313
 rect 23 273 81 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 136 470 136 470 1 Y
 port 1 n
 rlabel metal1 64 666 64 666 1 A
diff --git a/lib/18T_hs/NAND2XL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__nand2_l.mag
similarity index 78%
copy from lib/18T_hs/NAND2XL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__nand2_l.mag
index 6b1d67b..dac9058 100644
--- a/lib/18T_hs/NAND2XL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__nand2_l.mag
@@ -1,13 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542315
+timestamp 1603864354
 << nwell >>
 rect -9 581 286 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 152 115 182 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 rect 166 817 196 1217
 << ndiff >>
@@ -77,10 +77,10 @@
 rect 47 520 81 554
 rect 166 370 200 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 913 69 929
 rect 121 1201 155 1217
@@ -96,17 +96,29 @@
 rect 35 115 69 131
 rect 193 199 227 215
 rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 501 155 535
 rect 195 575 229 609
 rect 35 279 69 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 115 683
@@ -124,12 +136,14 @@
 rect 23 279 35 313
 rect 69 279 155 313
 rect 23 273 81 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 136 470 136 470 1 Y
 port 1 n
 rlabel metal1 212 592 212 592 1 B
diff --git a/lib/18T_hs/NOR2X1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__nor2_1.mag
similarity index 78%
copy from lib/18T_hs/NOR2X1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__nor2_1.mag
index ef32cbc..eb01d47 100644
--- a/lib/18T_hs/NOR2X1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__nor2_1.mag
@@ -1,13 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598547791
+timestamp 1603864375
 << nwell >>
 rect -9 581 286 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 152 617 182 1217
 << ndiff >>
@@ -77,10 +77,10 @@
 rect 181 534 215 568
 rect 66 401 100 435
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 193 1201 227 1271
 rect 193 777 227 793
@@ -96,17 +96,29 @@
 rect 121 115 155 131
 rect 207 267 241 283
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 181 649 215 683
 rect 35 501 69 535
 rect 113 575 147 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 169 683 227 689
 rect 148 649 181 683
 rect 215 649 227 683
@@ -124,12 +136,14 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 137 393 137 393 1 Y
 port 1 n
 rlabel metal1 130 592 130 592 1 B
diff --git a/lib/18T_hs/NOR2XL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__nor2_l.mag
similarity index 78%
copy from lib/18T_hs/NOR2XL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__nor2_l.mag
index 87ce88a..0da0e64 100644
--- a/lib/18T_hs/NOR2XL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__nor2_l.mag
@@ -1,13 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542633
+timestamp 1603864393
 << nwell >>
 rect -9 581 286 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 rect 152 817 182 1217
 << ndiff >>
@@ -77,10 +77,10 @@
 rect 181 534 215 568
 rect 66 401 100 435
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 35 535 69 861
 rect 193 1201 227 1271
@@ -96,17 +96,29 @@
 rect 121 115 155 131
 rect 207 199 241 215
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 181 649 215 683
 rect 35 501 69 535
 rect 113 575 147 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 169 683 227 689
 rect 148 649 181 683
 rect 215 649 227 683
@@ -124,12 +136,14 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 137 393 137 393 1 Y
 port 1 n
 rlabel metal1 198 666 198 666 1 A
diff --git a/lib/18T_hs/OAI21XL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__oai21_l.mag
similarity index 83%
copy from lib/18T_hs/OAI21XL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__oai21_l.mag
index 62e3c26..a9c7c07 100644
--- a/lib/18T_hs/OAI21XL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__oai21_l.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542663
+timestamp 1603864420
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 152 617 182 1217
 rect 250 817 280 1217
@@ -101,10 +101,10 @@
 rect 152 427 186 461
 rect 262 359 296 393
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 193 1201 227 1271
 rect 193 845 227 861
@@ -128,18 +128,30 @@
 rect 207 115 241 131
 rect 293 266 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 66 649 100 683
 rect 162 575 196 609
 rect 223 501 257 535
 rect 291 427 325 461
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 54 683 112 689
 rect 54 649 66 683
 rect 100 649 134 683
@@ -161,12 +173,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 83 666 83 666 1 A0
 port 1 n
 rlabel metal1 179 592 179 592 1 A1
diff --git a/lib/18T_ls/sky130_osu_sc_18T_ls__oai22_l.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__oai22_l.mag
new file mode 100644
index 0000000..7b7cfe1
--- /dev/null
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__oai22_l.mag
@@ -0,0 +1,237 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603913328
+<< nwell >>
+rect -9 581 462 1341
+<< nmos >>
+rect 80 115 110 315
+rect 166 115 196 315
+rect 252 115 282 315
+rect 338 115 368 315
+<< pmoshvt >>
+rect 80 617 110 1217
+rect 152 617 182 1217
+rect 252 617 282 1217
+rect 324 617 354 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 199 166 315
+rect 110 131 121 199
+rect 155 131 166 199
+rect 110 115 166 131
+rect 196 267 252 315
+rect 196 131 207 267
+rect 241 131 252 267
+rect 196 115 252 131
+rect 282 267 338 315
+rect 282 199 293 267
+rect 327 199 338 267
+rect 282 115 338 199
+rect 368 199 421 315
+rect 368 131 379 199
+rect 413 131 421 199
+rect 368 115 421 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 617 152 1217
+rect 182 1201 252 1217
+rect 182 725 200 1201
+rect 234 725 252 1201
+rect 182 617 252 725
+rect 282 617 324 1217
+rect 354 1201 407 1217
+rect 354 793 365 1201
+rect 399 793 407 1201
+rect 354 617 407 793
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 199
+rect 207 131 241 267
+rect 293 199 327 267
+rect 379 131 413 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 200 725 234 1201
+rect 365 793 399 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+rect 163 1271 187 1305
+rect 221 1271 245 1305
+rect 299 1271 323 1305
+rect 357 1271 381 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+rect 187 1271 221 1305
+rect 323 1271 357 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 152 1217 182 1243
+rect 252 1217 282 1243
+rect 324 1217 354 1243
+rect 80 585 110 617
+rect 56 569 110 585
+rect 56 535 66 569
+rect 100 535 110 569
+rect 56 519 110 535
+rect 56 370 86 519
+rect 152 471 182 617
+rect 130 461 196 471
+rect 130 427 146 461
+rect 180 427 196 461
+rect 130 417 196 427
+rect 56 340 110 370
+rect 80 315 110 340
+rect 166 315 196 417
+rect 252 409 282 617
+rect 324 592 354 617
+rect 324 562 368 592
+rect 338 478 368 562
+rect 338 462 430 478
+rect 338 428 384 462
+rect 418 428 430 462
+rect 338 412 430 428
+rect 238 393 292 409
+rect 238 359 248 393
+rect 282 359 292 393
+rect 238 343 292 359
+rect 252 315 282 343
+rect 338 315 368 412
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 66 535 100 569
+rect 146 427 180 461
+rect 384 428 418 462
+rect 248 359 282 393
+<< locali >>
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 200 1201 234 1217
+rect 365 1201 399 1271
+rect 365 777 399 793
+rect 200 700 234 725
+rect 200 666 350 700
+rect 66 569 100 649
+rect 66 519 100 535
+rect 146 575 162 609
+rect 146 461 180 575
+rect 146 411 180 427
+rect 223 409 257 501
+rect 223 393 282 409
+rect 223 359 248 393
+rect 223 343 282 359
+rect 316 387 350 666
+rect 384 462 418 478
+rect 384 412 418 428
+rect 35 267 241 301
+rect 35 115 69 131
+rect 121 199 155 215
+rect 121 61 155 131
+rect 293 279 316 283
+rect 293 267 350 279
+rect 327 249 350 267
+rect 293 183 327 199
+rect 379 199 413 215
+rect 241 131 379 149
+rect 207 115 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 66 649 100 683
+rect 162 575 196 609
+rect 223 501 257 535
+rect 384 428 418 462
+rect 316 353 350 387
+rect 316 279 350 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
+rect 54 683 112 689
+rect 54 649 66 683
+rect 100 649 134 683
+rect 54 643 112 649
+rect 150 609 208 615
+rect 150 575 162 609
+rect 196 575 230 609
+rect 150 569 208 575
+rect 211 535 269 541
+rect 189 501 223 535
+rect 257 501 269 535
+rect 211 495 269 501
+rect 372 462 430 468
+rect 350 428 384 462
+rect 418 428 430 462
+rect 372 422 430 428
+rect 304 387 362 393
+rect 304 353 316 387
+rect 350 353 362 387
+rect 304 347 362 353
+rect 316 319 350 347
+rect 304 313 362 319
+rect 304 279 316 313
+rect 350 279 362 313
+rect 304 273 362 279
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel metal1 240 518 240 518 1 B0
+port 4 n
+rlabel viali 179 592 179 592 1 A1
+port 2 n
+rlabel viali 83 666 83 666 1 A0
+port 1 n
+rlabel viali 333 370 333 370 1 Y
+port 3 n
+rlabel viali 401 445 401 445 1 B1
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/OR2X1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_1.mag
similarity index 82%
copy from lib/18T_hs/OR2X1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__or2_1.mag
index 3ced65a..ec1d592 100644
--- a/lib/18T_hs/OR2X1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_1.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600495020
+timestamp 1603864446
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -101,10 +101,10 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -127,17 +127,29 @@
 rect 207 61 241 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X2.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_2.mag
similarity index 81%
copy from lib/18T_hs/OR2X2.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__or2_2.mag
index 46894eb..a335a64 100644
--- a/lib/18T_hs/OR2X2.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_2.mag
@@ -1,15 +1,15 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494574
+timestamp 1603864470
 << nwell >>
 rect -9 581 462 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
 rect 338 115 368 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -124,11 +124,11 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -155,18 +155,35 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -184,12 +201,15 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X4.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_4.mag
similarity index 82%
copy from lib/18T_hs/OR2X4.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__or2_4.mag
index 3556e2d..33c6a2f 100644
--- a/lib/18T_hs/OR2X4.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_4.mag
@@ -1,17 +1,17 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494627
+timestamp 1603864501
 << nwell >>
 rect -9 581 638 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
 rect 338 115 368 315
 rect 424 115 454 315
 rect 510 115 540 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -162,12 +162,12 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -202,21 +202,43 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 465 501 499 535
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -241,12 +263,16 @@
 rect 499 279 511 313
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X8.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_8.mag
similarity index 82%
copy from lib/18T_hs/OR2X8.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__or2_8.mag
index 93d4ad3..8494e40 100644
--- a/lib/18T_hs/OR2X8.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_8.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494660
+timestamp 1603864544
 << nwell >>
 rect -9 581 990 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -15,7 +15,7 @@
 rect 682 115 712 315
 rect 768 115 798 315
 rect 854 115 884 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 252 617 282 1217
@@ -245,15 +245,15 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -304,16 +304,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
@@ -324,8 +338,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -365,12 +402,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2XL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_l.mag
similarity index 82%
copy from lib/18T_hs/OR2XL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__or2_l.mag
index 582d20f..328163c 100644
--- a/lib/18T_hs/OR2XL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__or2_l.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542689
+timestamp 1603864574
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
 rect 252 115 282 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 rect 166 817 196 1217
 rect 252 817 282 1217
@@ -101,10 +101,10 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 743 69 929
 rect 207 1201 241 1271
@@ -127,17 +127,29 @@
 rect 207 61 241 131
 rect 293 199 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 54 592 54 592 1 B
diff --git a/lib/12T_hs/TBUFIX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__tbufi_1.mag
similarity index 82%
copy from lib/12T_hs/TBUFIX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__tbufi_1.mag
index bc0eda4..04fa0cb 100644
--- a/lib/12T_hs/TBUFIX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__tbufi_1.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598626416
+timestamp 1603864606
 << nwell >>
 rect -9 581 375 1341
 << nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 238 115 268 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 238 617 268 1217
@@ -98,10 +98,10 @@
 rect 121 353 155 387
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 709 155 725
@@ -122,17 +122,29 @@
 rect 121 61 155 131
 rect 279 267 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 279 501 313 535
 rect 121 353 155 387
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -154,12 +166,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TBUFIXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__tbufi_l.mag
similarity index 82%
copy from lib/18T_hs/TBUFIXL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__tbufi_l.mag
index 5ed46c8..7ef167f 100644
--- a/lib/18T_hs/TBUFIXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__tbufi_l.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542760
+timestamp 1603864958
 << nwell >>
 rect -9 581 375 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
 rect 238 115 268 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 rect 166 817 196 1217
 rect 238 817 268 1217
@@ -98,10 +98,10 @@
 rect 121 353 155 387
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 513 69 861
 rect 121 1201 155 1271
@@ -122,17 +122,29 @@
 rect 121 61 155 131
 rect 279 199 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 279 501 313 535
 rect 121 353 155 387
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -154,12 +166,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TIEHI.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__tiehi.mag
similarity index 74%
copy from lib/18T_hs/TIEHI.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__tiehi.mag
index 9b44fa5..6d60b32 100644
--- a/lib/18T_hs/TIEHI.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__tiehi.mag
@@ -1,12 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548654
+timestamp 1603865006
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 << ndiff >>
 rect 27 267 80 315
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 383 124 417
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 641 69 657
 rect 121 1201 155 1217
@@ -67,23 +67,31 @@
 rect 35 61 69 131
 rect 121 267 155 383
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 121 575 155 609
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 94 609 167 615
 rect 94 575 121 609
 rect 155 575 167 609
 rect 94 569 167 575
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 138 592 138 592 1 Y
 port 1 n
 << end >>
diff --git a/lib/18T_hs/TIELO.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__tielo.mag
similarity index 74%
copy from lib/18T_hs/TIELO.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__tielo.mag
index a42df51..dc3d4fc 100644
--- a/lib/18T_hs/TIELO.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__tielo.mag
@@ -1,12 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548691
+timestamp 1603865020
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 << ndiff >>
 rect 27 267 80 315
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 515 124 549
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 641 69 657
 rect 121 1201 155 1217
@@ -67,23 +67,31 @@
 rect 35 61 69 131
 rect 121 267 155 353
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 121 353 155 387
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 94 387 167 393
 rect 94 353 121 387
 rect 155 353 167 387
 rect 94 347 167 353
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 138 370 138 370 1 Y
 port 1 n
 << end >>
diff --git a/lib/12T_hs/TNBUFIX1.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__tnbufi_1.mag
similarity index 82%
copy from lib/12T_hs/TNBUFIX1.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__tnbufi_1.mag
index 37c4ab2..3c34a0f 100644
--- a/lib/12T_hs/TNBUFIX1.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__tnbufi_1.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598625848
+timestamp 1603865046
 << nwell >>
 rect -9 581 375 1341
 << nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 238 115 268 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 238 617 268 1217
@@ -97,10 +97,10 @@
 rect 121 418 155 452
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 709 155 725
@@ -121,17 +121,29 @@
 rect 121 61 155 131
 rect 279 267 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 121 575 155 609
 rect 279 501 313 535
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -149,12 +161,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TNBUFIXL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__tnbufi_l.mag
similarity index 81%
copy from lib/18T_hs/TNBUFIXL.mag
copy to lib/18T_ls/sky130_osu_sc_18T_ls__tnbufi_l.mag
index f19e271..48dc5bf 100644
--- a/lib/18T_hs/TNBUFIXL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__tnbufi_l.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542746
+timestamp 1603865067
 << nwell >>
 rect -9 581 375 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
 rect 238 115 268 263
-<< pmos >>
+<< pmoshvt >>
 rect 80 817 110 1217
 rect 166 817 196 1217
 rect 238 817 268 1217
@@ -97,10 +97,10 @@
 rect 121 418 155 452
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 452 69 861
 rect 121 1201 155 1271
@@ -121,17 +121,29 @@
 rect 121 61 155 131
 rect 279 199 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 121 575 155 609
 rect 279 501 313 535
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -149,12 +161,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/XNOR2XL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__xnor2_l.mag
similarity index 83%
rename from lib/18T_hs/XNOR2XL.mag
rename to lib/18T_ls/sky130_osu_sc_18T_ls__xnor2_l.mag
index 84e1d94..bf7cab3 100644
--- a/lib/18T_hs/XNOR2XL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__xnor2_l.mag
@@ -1,17 +1,17 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1599065241
+timestamp 1603865125
 << nwell >>
 rect -9 581 638 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 238 115 268 315
 rect 358 115 388 315
 rect 430 115 460 315
 rect 516 115 546 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 238 617 268 1217
@@ -169,12 +169,12 @@
 rect 336 353 370 387
 rect 489 353 523 387
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 35 495 69 657
 rect 121 1201 155 1271
@@ -212,13 +212,21 @@
 rect 471 199 505 215
 rect 471 61 505 131
 rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 268 657 279 683
 rect 279 657 302 683
 rect 268 649 302 657
@@ -226,8 +234,22 @@
 rect 268 353 302 387
 rect 489 353 523 387
 rect 412 279 446 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 256 683 314 689
 rect 256 649 268 683
 rect 302 649 314 683
@@ -248,12 +270,16 @@
 rect 446 279 458 313
 rect 140 273 198 279
 rect 400 273 458 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 170 296 170 296 1 A
 port 1 n
 rlabel metal1 506 370 506 370 1 B
diff --git a/lib/18T_hs/XOR2XL.mag b/lib/18T_ls/sky130_osu_sc_18T_ls__xor2_l.mag
similarity index 83%
rename from lib/18T_hs/XOR2XL.mag
rename to lib/18T_ls/sky130_osu_sc_18T_ls__xor2_l.mag
index 911cde7..39ed7f6 100644
--- a/lib/18T_hs/XOR2XL.mag
+++ b/lib/18T_ls/sky130_osu_sc_18T_ls__xor2_l.mag
@@ -1,17 +1,17 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1599060112
+timestamp 1603865151
 << nwell >>
 rect -9 581 638 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 238 115 268 315
 rect 358 115 388 315
 rect 430 115 460 315
 rect 516 115 546 315
-<< pmos >>
+<< pmoshvt >>
 rect 80 617 110 1217
 rect 166 617 196 1217
 rect 238 617 268 1217
@@ -168,12 +168,12 @@
 rect 430 427 464 461
 rect 248 353 282 387
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -213,20 +213,42 @@
 rect 471 61 505 131
 rect 557 267 591 353
 rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 200 649 234 683
 rect 412 649 446 683
 rect 268 501 302 535
 rect 489 575 523 609
 rect 296 279 330 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 188 683 246 689
 rect 400 683 458 689
 rect 188 649 200 683
@@ -247,12 +269,16 @@
 rect 268 279 296 313
 rect 330 279 342 313
 rect 284 273 342 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 218 666 218 666 1 A
 port 1 n
 rlabel metal1 285 486 285 486 1 Y
diff --git a/lib/18T_hs/ADDFX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__addf_1.mag
similarity index 84%
copy from lib/18T_hs/ADDFX1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__addf_1.mag
index 141d7c4..a949c37 100644
--- a/lib/18T_hs/ADDFX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__addf_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600543577
+timestamp 1603838866
 << nwell >>
 rect -9 581 1435 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -367,18 +367,18 @@
 rect 1265 531 1299 565
 rect 1014 353 1048 387
 << locali >>
-rect 0 1305 1408 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1408 1305
+rect 0 1315 1408 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1408 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -482,19 +482,39 @@
 rect 1247 199 1281 215
 rect 1247 61 1281 131
 rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 80 501 114 535
 rect 248 427 282 461
 rect 80 353 114 387
@@ -510,8 +530,40 @@
 rect 1014 353 1048 387
 rect 1211 279 1245 313
 rect 1333 575 1367 609
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1408 1332
+rect 0 1315 1408 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1408 1315
+rect 0 1271 1408 1281
 rect 1131 684 1189 690
 rect 1109 650 1143 684
 rect 1177 650 1189 684
@@ -565,18 +617,28 @@
 rect 281 273 339 279
 rect 765 273 823 279
 rect 1199 273 1257 279
-rect 0 0 1408 61
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 97 370 97 370 1 A
 port 1 n
 rlabel metal1 265 444 265 444 1 CI
 port 2 n
 rlabel metal1 129 518 129 518 1 B
 port 3 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 1228 296 1228 296 1 CON
 port 4 n
 rlabel metal1 1160 667 1160 667 1 S
diff --git a/lib/18T_hs/ADDFXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__addf_l.mag
similarity index 84%
copy from lib/18T_hs/ADDFXL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__addf_l.mag
index 405c696..b937425 100644
--- a/lib/18T_hs/ADDFXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__addf_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541074
+timestamp 1603839028
 << nwell >>
 rect -9 581 1435 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -369,18 +369,18 @@
 rect 1265 531 1299 565
 rect 1014 353 1048 387
 << locali >>
-rect 0 1305 1408 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1408 1305
+rect 0 1315 1408 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1408 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -484,19 +484,39 @@
 rect 1247 61 1281 131
 rect 1333 199 1367 575
 rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1408 61
+rect 0 0 1408 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 80 501 114 535
 rect 248 427 282 461
 rect 80 353 114 387
@@ -512,8 +532,40 @@
 rect 1014 353 1048 387
 rect 1211 279 1245 313
 rect 1333 575 1367 609
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1408 1332
+rect 0 1315 1408 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1408 1315
+rect 0 1271 1408 1281
 rect 1131 690 1189 696
 rect 1109 656 1143 690
 rect 1177 656 1189 690
@@ -567,22 +619,32 @@
 rect 281 273 339 279
 rect 765 273 823 279
 rect 1199 273 1257 279
-rect 0 0 1408 61
+rect 0 51 1408 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1408 51
+rect 0 0 1408 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 97 370 97 370 1 A
 port 1 n
 rlabel metal1 265 444 265 444 1 CI
 port 2 n
 rlabel metal1 129 518 129 518 1 B
 port 3 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 1350 592 1350 592 1 CO
-port 4 n
-rlabel metal1 1160 673 1160 673 1 S
 port 5 n
-rlabel metal1 1228 296 1228 296 1 CON
+rlabel metal1 1160 673 1160 673 1 S
 port 6 n
+rlabel metal1 1228 296 1228 296 1 CON
+port 4 n
 << end >>
diff --git a/lib/18T_hs/ADDHX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__addh_1.mag
similarity index 83%
copy from lib/18T_hs/ADDHX1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__addh_1.mag
index 0cdf161..462b41c 100644
--- a/lib/18T_hs/ADDHX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__addh_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600491863
+timestamp 1603861324
 << nwell >>
 rect -9 581 837 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 178 115 208 315
 rect 250 115 280 315
@@ -210,14 +210,14 @@
 rect 624 427 658 461
 rect 348 374 382 408
 << locali >>
-rect 0 1305 836 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 836 1305
+rect 0 1315 836 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 836 1315
 rect 35 1201 69 1217
 rect 35 623 69 649
 rect 133 1201 167 1271
@@ -272,15 +272,27 @@
 rect 753 267 787 283
 rect 615 131 753 144
 rect 581 110 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 35 657 69 683
 rect 35 649 69 657
 rect 260 501 294 535
@@ -292,8 +304,28 @@
 rect 624 427 658 461
 rect 507 353 541 387
 rect 667 353 701 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 836 1332
+rect 0 1315 836 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 836 1315
+rect 0 1271 836 1281
 rect 23 683 81 689
 rect 23 649 35 683
 rect 69 649 81 683
@@ -334,12 +366,18 @@
 rect 23 278 35 312
 rect 69 278 81 312
 rect 23 272 81 278
-rect 0 0 836 61
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 50 477 50 477 1 S
 port 1 n
 rlabel metal1 737 518 737 518 1 A
diff --git a/lib/18T_hs/ADDHXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__addh_l.mag
similarity index 83%
copy from lib/18T_hs/ADDHXL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__addh_l.mag
index 2c7f4b3..cc4aef1 100644
--- a/lib/18T_hs/ADDHXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__addh_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600491880
+timestamp 1603861428
 << nwell >>
 rect -9 581 837 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 243
 rect 178 115 208 315
 rect 250 115 280 315
@@ -214,14 +214,14 @@
 rect 624 427 658 461
 rect 348 374 382 408
 << locali >>
-rect 0 1305 836 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 836 1305
+rect 0 1315 836 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 836 1315
 rect 35 1201 69 1217
 rect 35 683 69 929
 rect 133 1201 167 1271
@@ -276,15 +276,27 @@
 rect 753 267 787 283
 rect 615 131 753 144
 rect 581 110 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 836 61
+rect 0 0 836 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 35 649 69 683
 rect 260 501 294 535
 rect 164 427 198 461
@@ -295,8 +307,28 @@
 rect 624 427 658 461
 rect 507 353 541 387
 rect 667 353 701 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 836 1332
+rect 0 1315 836 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 836 1315
+rect 0 1271 836 1281
 rect 23 683 81 689
 rect 23 649 35 683
 rect 69 649 81 683
@@ -337,12 +369,17 @@
 rect 23 278 35 312
 rect 69 278 81 312
 rect 23 272 81 278
-rect 0 0 836 61
+rect 0 51 836 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 836 51
+rect 0 0 836 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 50 477 50 477 1 S
 port 1 n
 rlabel metal1 737 518 737 518 1 A
@@ -353,4 +390,5 @@
 port 4 n
 rlabel metal1 684 370 684 370 1 CON
 port 5 n
+rlabel viali 68 44 68 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/AND2X1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_1.mag
similarity index 82%
copy from lib/18T_hs/AND2X1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__and2_1.mag
index 924f79f..6ceb802 100644
--- a/lib/18T_hs/AND2X1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562316
+timestamp 1603861476
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
@@ -101,10 +101,10 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -127,17 +127,29 @@
 rect 193 61 227 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X2.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_2.mag
similarity index 81%
copy from lib/18T_hs/AND2X2.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__and2_2.mag
index 84b8399..44ac1e9 100644
--- a/lib/18T_hs/AND2X2.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_2.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562327
+timestamp 1603861504
 << nwell >>
 rect -9 581 462 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
@@ -124,11 +124,11 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -155,18 +155,35 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -184,12 +201,15 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 191 592 191 592 1 B
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X4.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_4.mag
similarity index 83%
rename from lib/18T_hs/AND2X4.mag
rename to lib/18T_ms/sky130_osu_sc_18T_ms__and2_4.mag
index f31d570..a2226ca 100644
--- a/lib/18T_hs/AND2X4.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_4.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562339
+timestamp 1603861536
 << nwell >>
 rect -9 581 638 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
@@ -162,12 +162,12 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -202,21 +202,43 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 465 501 499 535
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -241,12 +263,16 @@
 rect 499 279 511 313
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2X6.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_6.mag
similarity index 98%
copy from lib/18T_hs/AND2X6.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__and2_6.mag
index 7bc22e8..93ab1cf 100644
--- a/lib/18T_hs/AND2X6.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_6.mag
@@ -4,7 +4,7 @@
 timestamp 1600380937
 << nwell >>
 rect -9 581 814 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
@@ -300,9 +300,7 @@
 rect 625 273 683 279
 rect 0 0 814 61
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
 rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
 rlabel metal1 68 1288 68 1288 1 vdd
 rlabel metal1 184 592 184 592 1 B
 port 1 n
diff --git a/lib/18T_hs/AND2X8.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_8.mag
similarity index 82%
copy from lib/18T_hs/AND2X8.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__and2_8.mag
index fbd7a73..c9e53b8 100644
--- a/lib/18T_hs/AND2X8.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_8.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562368
+timestamp 1603861577
 << nwell >>
 rect -9 581 990 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 252 115 282 315
@@ -245,15 +245,15 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -304,16 +304,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
@@ -324,8 +338,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -365,12 +402,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 191 592 191 592 1 B
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/AND2XL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_l.mag
similarity index 79%
copy from lib/18T_hs/AND2XL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__and2_l.mag
index 85559cc..132a344 100644
--- a/lib/18T_hs/AND2XL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__and2_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600562283
+timestamp 1603992067
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 152 115 182 263
 rect 252 115 282 263
@@ -18,9 +18,9 @@
 rect 69 131 80 199
 rect 27 115 80 131
 rect 110 115 152 263
-rect 182 198 252 263
-rect 182 131 193 198
-rect 227 131 252 198
+rect 182 199 252 263
+rect 182 131 193 199
+rect 227 131 252 199
 rect 182 115 252 131
 rect 282 199 335 263
 rect 282 131 293 199
@@ -45,7 +45,7 @@
 rect 282 817 335 861
 << ndiffc >>
 rect 35 131 69 199
-rect 193 131 227 198
+rect 193 131 227 199
 rect 293 131 327 199
 << pdiffc >>
 rect 35 861 69 1201
@@ -101,10 +101,10 @@
 rect 173 468 207 502
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 121 1201 155 1217
 rect 35 845 69 861
@@ -123,21 +123,33 @@
 rect 303 370 319 404
 rect 35 199 69 370
 rect 35 115 69 131
-rect 193 198 227 215
+rect 193 199 227 215
 rect 193 61 227 131
 rect 293 199 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 649 71 683
 rect 173 575 207 609
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 25 683 83 689
 rect 25 649 37 683
 rect 71 649 105 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 55 666 55 666 1 A
diff --git a/lib/18T_hs/ANT.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__ant.mag
similarity index 75%
copy from lib/18T_hs/ANT.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__ant.mag
index 78645e1..2af77c1 100644
--- a/lib/18T_hs/ANT.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__ant.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548004
+timestamp 1603861735
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 << pmos >>
 rect 80 617 110 1217
@@ -54,9 +54,9 @@
 << polycont >>
 rect 47 501 81 535
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1217
 rect 35 535 69 657
 rect 121 1201 155 1271
@@ -69,23 +69,31 @@
 rect 35 115 69 131
 rect 121 267 155 383
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 501 81 535
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 535 108 541
 rect 35 501 47 535
 rect 81 501 108 535
 rect 35 495 108 501
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
 rlabel metal1 64 518 64 518 1 A
 port 1 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_ms/sky130_osu_sc_18T_ms__antfill.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__antfill.mag
new file mode 100644
index 0000000..2fd23c4
--- /dev/null
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__antfill.mag
@@ -0,0 +1,56 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603861660
+<< nwell >>
+rect -9 581 199 1341
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+<< locali >>
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
+rect 35 535 69 1217
+rect 121 641 155 1271
+rect 31 501 47 535
+rect 81 501 97 535
+rect 35 417 69 501
+rect 35 383 155 417
+rect 35 115 69 383
+rect 121 115 155 383
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 47 501 81 535
+rect 51 27 85 51
+rect 51 17 85 27
+<< metal1 >>
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 35 535 108 541
+rect 35 501 47 535
+rect 81 501 108 535
+rect 35 495 108 501
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel metal1 64 518 64 518 1 A
+port 1 n
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/AOI21XL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__aoi21_l.mag
similarity index 83%
copy from lib/18T_hs/AOI21XL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__aoi21_l.mag
index 766d734..8a00b8d 100644
--- a/lib/18T_hs/AOI21XL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__aoi21_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541164
+timestamp 1603861837
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 rect 250 115 280 263
@@ -104,10 +104,10 @@
 rect 146 434 180 468
 rect 289 355 323 389
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 845 155 861
@@ -131,18 +131,30 @@
 rect 193 115 227 131
 rect 291 199 325 215
 rect 291 61 325 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 60 649 94 683
 rect 128 575 162 609
 rect 216 501 250 535
 rect 293 427 327 461
 rect 193 279 227 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 48 683 106 689
 rect 48 649 60 683
 rect 94 649 128 683
@@ -164,12 +176,14 @@
 rect 181 279 193 313
 rect 227 279 327 313
 rect 181 273 239 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 77 666 77 666 1 A0
 port 1 n
 rlabel metal1 233 518 233 518 1 B0
diff --git a/lib/18T_ms/sky130_osu_sc_18T_ms__aoi22_l.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__aoi22_l.mag
new file mode 100644
index 0000000..2fefdf4
--- /dev/null
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__aoi22_l.mag
@@ -0,0 +1,236 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603913306
+<< nwell >>
+rect -9 581 462 1341
+<< nmos >>
+rect 80 115 110 315
+rect 152 115 182 315
+rect 252 115 282 315
+rect 324 115 354 315
+<< pmos >>
+rect 80 617 110 1217
+rect 166 617 196 1217
+rect 252 617 282 1217
+rect 338 617 368 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 115 152 315
+rect 182 267 252 315
+rect 182 131 200 267
+rect 234 131 252 267
+rect 182 115 252 131
+rect 282 115 324 315
+rect 354 267 407 315
+rect 354 131 365 267
+rect 399 131 407 267
+rect 354 115 407 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 166 1217
+rect 110 861 121 1201
+rect 155 861 166 1201
+rect 110 617 166 861
+rect 196 1201 252 1217
+rect 196 793 207 1201
+rect 241 793 252 1201
+rect 196 617 252 793
+rect 282 1133 338 1217
+rect 282 793 293 1133
+rect 327 793 338 1133
+rect 282 617 338 793
+rect 368 1201 421 1217
+rect 368 793 379 1201
+rect 413 793 421 1201
+rect 368 617 421 793
+<< ndiffc >>
+rect 35 131 69 267
+rect 200 131 234 267
+rect 365 131 399 267
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 861 155 1201
+rect 207 793 241 1201
+rect 293 793 327 1133
+rect 379 793 413 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+rect 163 1271 187 1305
+rect 221 1271 245 1305
+rect 299 1271 323 1305
+rect 357 1271 381 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+rect 187 1271 221 1305
+rect 323 1271 357 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 252 1217 282 1243
+rect 338 1217 368 1243
+rect 80 580 110 617
+rect 44 570 110 580
+rect 44 536 60 570
+rect 94 536 110 570
+rect 44 526 110 536
+rect 44 370 74 526
+rect 166 514 196 617
+rect 152 484 196 514
+rect 116 468 182 484
+rect 116 434 128 468
+rect 162 434 182 468
+rect 116 418 182 434
+rect 44 338 110 370
+rect 80 315 110 338
+rect 152 315 182 418
+rect 252 413 282 617
+rect 338 478 368 617
+rect 338 462 416 478
+rect 338 434 370 462
+rect 224 397 282 413
+rect 224 363 234 397
+rect 268 363 282 397
+rect 224 347 282 363
+rect 252 315 282 347
+rect 324 428 370 434
+rect 404 428 416 462
+rect 324 412 416 428
+rect 324 404 368 412
+rect 324 315 354 404
+rect 80 89 110 115
+rect 152 89 182 115
+rect 252 89 282 115
+rect 324 89 354 115
+<< polycont >>
+rect 60 536 94 570
+rect 128 434 162 468
+rect 234 363 268 397
+rect 370 428 404 462
+<< locali >>
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
+rect 35 1201 69 1217
+rect 121 1201 155 1271
+rect 121 845 155 861
+rect 207 1201 413 1217
+rect 69 793 207 811
+rect 241 1183 379 1201
+rect 35 777 241 793
+rect 293 1133 327 1149
+rect 60 570 94 649
+rect 293 666 327 793
+rect 379 777 413 793
+rect 293 632 336 666
+rect 60 520 94 536
+rect 128 468 162 575
+rect 128 418 162 434
+rect 216 413 250 501
+rect 216 397 268 413
+rect 216 363 234 397
+rect 234 347 268 363
+rect 302 387 336 632
+rect 370 462 404 478
+rect 370 412 404 428
+rect 35 267 69 283
+rect 35 61 69 131
+rect 200 267 234 279
+rect 200 115 234 131
+rect 365 267 399 283
+rect 365 61 399 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 60 649 94 683
+rect 128 575 162 609
+rect 216 501 250 535
+rect 370 428 404 462
+rect 302 353 336 387
+rect 200 279 234 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
+rect 48 683 106 689
+rect 48 649 60 683
+rect 94 649 128 683
+rect 48 643 106 649
+rect 116 609 174 615
+rect 116 575 128 609
+rect 162 575 196 609
+rect 116 569 174 575
+rect 204 535 262 541
+rect 182 501 216 535
+rect 250 501 262 535
+rect 204 495 262 501
+rect 358 462 416 468
+rect 336 428 370 462
+rect 404 428 416 462
+rect 358 422 416 428
+rect 290 387 348 393
+rect 290 353 302 387
+rect 336 353 348 387
+rect 290 347 348 353
+rect 188 313 246 319
+rect 304 313 338 347
+rect 188 279 200 313
+rect 234 279 338 313
+rect 188 273 246 279
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 77 666 77 666 1 A0
+port 1 n
+rlabel metal1 233 518 233 518 1 B0
+port 2 n
+rlabel viali 145 592 145 592 1 A1
+port 4 n
+rlabel viali 387 445 387 445 1 B1
+rlabel metal1 321 340 321 340 1 Y
+port 3 n
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/BUFX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_1.mag
similarity index 79%
copy from lib/18T_hs/BUFX1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__buf_1.mag
index d9809a3..056394f 100644
--- a/lib/18T_hs/BUFX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598478415
+timestamp 1603861870
 << nwell >>
 rect -9 581 288 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 << pmos >>
@@ -83,10 +83,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -104,16 +104,28 @@
 rect 121 61 155 131
 rect 207 267 241 279
 rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -127,12 +139,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 213 455 213 455 1 Y
diff --git a/lib/18T_hs/BUFX2.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_2.mag
similarity index 82%
copy from lib/18T_hs/BUFX2.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__buf_2.mag
index 5365687..777f78b 100644
--- a/lib/18T_hs/BUFX2.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_2.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598478629
+timestamp 1603861892
 << nwell >>
 rect -9 581 376 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -100,10 +100,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -125,16 +125,28 @@
 rect 207 115 241 131
 rect 293 267 327 283
 rect 293 61 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -148,12 +160,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
diff --git a/lib/18T_hs/BUFX4.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_4.mag
similarity index 83%
copy from lib/18T_hs/BUFX4.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__buf_4.mag
index 2709ff4..62938df 100644
--- a/lib/18T_hs/BUFX4.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_4.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598479026
+timestamp 1603861950
 << nwell >>
 rect -9 581 552 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -138,11 +138,11 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 550 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 550 1305
+rect 0 1315 550 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 550 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -174,19 +174,36 @@
 rect 379 115 413 131
 rect 465 267 499 283
 rect 465 61 499 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 550 61
-rect 0 0 550 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 550 61
+rect 0 0 550 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 379 575 413 609
 rect 207 279 241 313
 rect 379 279 413 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 550 1332
+rect 0 1315 550 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 550 1315
+rect 0 1271 550 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -207,14 +224,17 @@
 rect 413 279 425 313
 rect 195 273 253 279
 rect 367 273 425 279
-rect 0 0 550 61
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 550 51
+rect 0 0 550 17
 << labels >>
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/BUFX6.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_6.mag
similarity index 97%
copy from lib/18T_hs/BUFX6.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__buf_6.mag
index ea22d8e..63447ef 100644
--- a/lib/18T_hs/BUFX6.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_6.mag
@@ -4,7 +4,7 @@
 timestamp 1598479240
 << nwell >>
 rect -9 581 728 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -277,8 +277,6 @@
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
 rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
 rlabel metal1 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/BUFX8.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_8.mag
similarity index 83%
rename from lib/18T_hs/BUFX8.mag
rename to lib/18T_ms/sky130_osu_sc_18T_ms__buf_8.mag
index 861dcc7..7ed1f11 100644
--- a/lib/18T_hs/BUFX8.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_8.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598539224
+timestamp 1603862056
 << nwell >>
 rect -9 581 904 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -221,14 +221,14 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 902 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 902 1305
+rect 0 1315 902 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 902 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -274,15 +274,27 @@
 rect 723 115 757 131
 rect 809 267 843 283
 rect 809 61 843 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 902 61
-rect 0 0 902 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 902 61
+rect 0 0 902 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 379 575 413 609
@@ -292,8 +304,28 @@
 rect 379 279 413 313
 rect 551 279 585 313
 rect 723 279 757 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
 << metal1 >>
-rect 0 1271 902 1332
+rect 0 1315 902 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 902 1315
+rect 0 1271 902 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -328,14 +360,20 @@
 rect 367 273 425 279
 rect 539 273 597 279
 rect 711 273 769 279
-rect 0 0 902 61
+rect 0 51 902 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 902 51
+rect 0 0 902 17
 << labels >>
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 211 454 211 454 1 Y
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/BUFXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_l.mag
similarity index 79%
copy from lib/18T_hs/BUFXL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__buf_l.mag
index 1ba4522..5807bde 100644
--- a/lib/18T_hs/BUFXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__buf_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541226
+timestamp 1603862077
 << nwell >>
 rect -9 581 288 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
 << pmos >>
@@ -83,10 +83,10 @@
 rect 110 479 144 513
 rect 176 370 210 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 35 404 69 861
 rect 121 1201 155 1271
@@ -104,16 +104,28 @@
 rect 121 61 155 131
 rect 207 199 241 279
 rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 110 649 144 683
 rect 207 575 241 609
 rect 207 279 241 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 98 683 156 689
 rect 64 649 110 683
 rect 144 649 156 683
@@ -127,12 +139,14 @@
 rect 195 279 207 313
 rect 241 279 253 313
 rect 195 273 253 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 127 666 127 666 1 A
 port 1 n
 rlabel metal1 214 452 214 452 1 Y
diff --git a/lib/12T_hs/DECAPX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__decap_1.mag
similarity index 70%
rename from lib/12T_hs/DECAPX1.mag
rename to lib/18T_ms/sky130_osu_sc_18T_ms__decap_1.mag
index dde3476..5d796b7 100644
--- a/lib/12T_hs/DECAPX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__decap_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600541287
+timestamp 1603862105
 << nwell >>
 rect -9 581 199 1341
 << nmos >>
@@ -47,9 +47,9 @@
 rect 80 451 110 817
 rect 80 80 110 115
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 845 69 861
 rect 121 1201 155 1271
@@ -58,15 +58,24 @@
 rect 35 61 69 131
 rect 121 403 155 419
 rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
-rect 0 0 198 61
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/12T_hs/DECAPXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__decap_l.mag
similarity index 70%
rename from lib/12T_hs/DECAPXL.mag
rename to lib/18T_ms/sky130_osu_sc_18T_ms__decap_l.mag
index 95427ac..c5eb7ba 100644
--- a/lib/12T_hs/DECAPXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__decap_l.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548064
+timestamp 1603862119
 << nwell >>
 rect -9 581 199 1341
 << nmos >>
@@ -47,9 +47,9 @@
 rect 80 315 110 1017
 rect 80 80 110 115
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 1049 69 1065
 rect 121 1201 155 1271
@@ -58,15 +58,24 @@
 rect 35 61 69 131
 rect 121 267 155 283
 rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
-rect 0 0 198 61
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/DFFX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__dff_1.mag
similarity index 84%
copy from lib/18T_hs/DFFX1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__dff_1.mag
index e409176..ebc5d12 100644
--- a/lib/18T_hs/DFFX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__dff_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600516035
+timestamp 1603862204
 << nwell >>
 rect -9 581 1456 1341
-<< nmoslvt >>
+<< nmos >>
 rect 85 115 115 315
 rect 171 115 201 315
 rect 243 115 273 315
@@ -366,18 +366,18 @@
 rect 1323 462 1357 496
 rect 1018 353 1052 387
 << locali >>
-rect 0 1305 1452 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1452 1305
+rect 0 1315 1452 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1452 1315
 rect 40 1201 74 1217
 rect 17 657 40 669
 rect 126 1201 160 1271
@@ -481,19 +481,39 @@
 rect 1292 61 1326 131
 rect 1378 267 1412 286
 rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 40 353 74 387
 rect 253 501 287 535
 rect 181 427 215 461
@@ -508,8 +528,40 @@
 rect 1206 575 1240 609
 rect 1226 421 1260 455
 rect 1018 353 1052 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1452 1332
+rect 0 1315 1452 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1452 1315
+rect 0 1271 1452 1281
 rect 1366 683 1424 689
 rect 1343 649 1378 683
 rect 1412 649 1424 683
@@ -553,18 +605,28 @@
 rect 1052 353 1064 387
 rect 523 347 581 353
 rect 1006 347 1064 353
-rect 0 0 1452 61
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
 << labels >>
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 68 1289 68 1289 1 vdd
-rlabel metal1 68 1289 68 1289 1 vdd
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1289 68 1289 1 vdd
 rlabel metal1 198 444 198 444 1 D
 port 1 n
-rlabel metal1 1224 592 1224 592 1 QN
-port 2 n
 rlabel metal1 915 518 915 518 1 CK
 port 3 n
 rlabel metal1 1395 666 1395 666 1 Q
 port 4 n
+rlabel metal1 1224 592 1224 592 1 QN
+port 2 n
 << end >>
diff --git a/lib/18T_hs/DFFXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__dff_l.mag
similarity index 84%
copy from lib/18T_hs/DFFXL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__dff_l.mag
index 7cbfd19..bf77222 100644
--- a/lib/18T_hs/DFFXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__dff_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542151
+timestamp 1603862260
 << nwell >>
 rect -9 581 1456 1341
-<< nmoslvt >>
+<< nmos >>
 rect 85 115 115 315
 rect 171 115 201 315
 rect 243 115 273 315
@@ -366,18 +366,18 @@
 rect 1323 462 1357 496
 rect 1018 353 1052 387
 << locali >>
-rect 0 1305 1452 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1452 1305
+rect 0 1315 1452 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 1003 1315
+rect 1037 1271 1139 1315
+rect 1173 1271 1275 1315
+rect 1309 1271 1452 1315
 rect 40 1201 74 1217
 rect 17 657 40 669
 rect 126 1201 160 1271
@@ -483,19 +483,39 @@
 rect 1292 61 1326 131
 rect 1378 199 1412 286
 rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 1003 61
+rect 1037 17 1139 61
+rect 1173 17 1275 61
+rect 1309 17 1452 61
+rect 0 0 1452 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
+rect 1003 1305 1037 1315
+rect 1003 1281 1037 1305
+rect 1139 1305 1173 1315
+rect 1139 1281 1173 1305
+rect 1275 1305 1309 1315
+rect 1275 1281 1309 1305
 rect 40 353 74 387
 rect 253 501 287 535
 rect 181 427 215 461
@@ -509,8 +529,40 @@
 rect 1206 575 1240 609
 rect 1226 421 1260 455
 rect 1018 353 1052 387
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
+rect 1003 27 1037 51
+rect 1003 17 1037 27
+rect 1139 27 1173 51
+rect 1139 17 1173 27
+rect 1275 27 1309 51
+rect 1275 17 1309 27
 << metal1 >>
-rect 0 1271 1452 1332
+rect 0 1315 1452 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 1003 1315
+rect 1037 1281 1139 1315
+rect 1173 1281 1275 1315
+rect 1309 1281 1452 1315
+rect 0 1271 1452 1281
 rect 1365 683 1423 689
 rect 1343 649 1377 683
 rect 1411 649 1423 683
@@ -554,12 +606,22 @@
 rect 1052 353 1064 387
 rect 523 347 581 353
 rect 1006 347 1064 353
-rect 0 0 1452 61
+rect 0 51 1452 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 1003 51
+rect 1037 17 1139 51
+rect 1173 17 1275 51
+rect 1309 17 1452 51
+rect 0 0 1452 17
 << labels >>
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 68 1289 68 1289 1 vdd
-rlabel metal1 68 1289 68 1289 1 vdd
+rlabel viali 68 45 68 45 1 gnd
+rlabel viali 68 1289 68 1289 1 vdd
 rlabel metal1 198 444 198 444 1 D
 port 1 n
 rlabel metal1 1394 666 1394 666 1 Q
diff --git a/lib/18T_ms/sky130_osu_sc_18T_ms__dffr_1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__dffr_1.mag
new file mode 100644
index 0000000..0c2e8e8
--- /dev/null
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__dffr_1.mag
@@ -0,0 +1,807 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862408
+<< nwell >>
+rect -10 581 1917 1341
+<< nmos >>
+rect 80 115 110 315
+rect 270 115 300 263
+rect 356 115 386 263
+rect 546 115 576 315
+rect 618 115 648 315
+rect 738 115 768 315
+rect 810 115 840 315
+rect 896 115 926 315
+rect 968 115 998 315
+rect 1088 115 1118 315
+rect 1160 115 1190 315
+rect 1246 115 1276 315
+rect 1436 115 1466 263
+rect 1522 115 1552 263
+rect 1712 115 1742 315
+rect 1798 115 1828 315
+<< pmos >>
+rect 80 617 110 1217
+rect 270 817 300 1217
+rect 342 817 372 1217
+rect 546 617 576 1217
+rect 618 617 648 1217
+rect 738 617 768 1217
+rect 810 617 840 1217
+rect 896 617 926 1217
+rect 968 617 998 1217
+rect 1088 617 1118 1217
+rect 1160 617 1190 1217
+rect 1246 617 1276 1217
+rect 1436 817 1466 1217
+rect 1508 817 1538 1217
+rect 1712 617 1742 1217
+rect 1798 617 1828 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 493 267 546 315
+rect 110 115 163 131
+rect 217 199 270 263
+rect 217 131 225 199
+rect 259 131 270 199
+rect 217 115 270 131
+rect 300 199 356 263
+rect 300 131 311 199
+rect 345 131 356 199
+rect 300 115 356 131
+rect 386 199 439 263
+rect 386 131 397 199
+rect 431 131 439 199
+rect 386 115 439 131
+rect 493 131 501 267
+rect 535 131 546 267
+rect 493 115 546 131
+rect 576 115 618 315
+rect 648 267 738 315
+rect 648 131 659 267
+rect 727 131 738 267
+rect 648 115 738 131
+rect 768 115 810 315
+rect 840 199 896 315
+rect 840 131 851 199
+rect 885 131 896 199
+rect 840 115 896 131
+rect 926 115 968 315
+rect 998 267 1088 315
+rect 998 131 1009 267
+rect 1077 131 1088 267
+rect 998 115 1088 131
+rect 1118 115 1160 315
+rect 1190 267 1246 315
+rect 1190 131 1201 267
+rect 1235 131 1246 267
+rect 1190 115 1246 131
+rect 1276 267 1329 315
+rect 1276 131 1287 267
+rect 1321 131 1329 267
+rect 1276 115 1329 131
+rect 1383 199 1436 263
+rect 1383 131 1391 199
+rect 1425 131 1436 199
+rect 1383 115 1436 131
+rect 1466 199 1522 263
+rect 1466 131 1477 199
+rect 1511 131 1522 199
+rect 1466 115 1522 131
+rect 1552 199 1605 263
+rect 1552 131 1563 199
+rect 1597 131 1605 199
+rect 1552 115 1605 131
+rect 1659 199 1712 315
+rect 1659 131 1667 199
+rect 1701 131 1712 199
+rect 1659 115 1712 131
+rect 1742 199 1798 315
+rect 1742 131 1753 199
+rect 1787 131 1798 199
+rect 1742 115 1798 131
+rect 1828 199 1881 315
+rect 1828 131 1839 199
+rect 1873 131 1881 199
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 217 1201 270 1217
+rect 217 861 225 1201
+rect 259 861 270 1201
+rect 217 817 270 861
+rect 300 817 342 1217
+rect 372 1201 425 1217
+rect 372 861 383 1201
+rect 417 861 425 1201
+rect 372 817 425 861
+rect 493 1201 546 1217
+rect 110 617 163 657
+rect 493 725 501 1201
+rect 535 725 546 1201
+rect 493 617 546 725
+rect 576 617 618 1217
+rect 648 1201 738 1217
+rect 648 657 659 1201
+rect 727 657 738 1201
+rect 648 617 738 657
+rect 768 617 810 1217
+rect 840 1201 896 1217
+rect 840 725 851 1201
+rect 885 725 896 1201
+rect 840 617 896 725
+rect 926 617 968 1217
+rect 998 1201 1088 1217
+rect 998 725 1009 1201
+rect 1077 725 1088 1201
+rect 998 617 1088 725
+rect 1118 617 1160 1217
+rect 1190 1201 1246 1217
+rect 1190 657 1201 1201
+rect 1235 657 1246 1201
+rect 1190 617 1246 657
+rect 1276 1201 1329 1217
+rect 1276 657 1287 1201
+rect 1321 657 1329 1201
+rect 1383 1201 1436 1217
+rect 1383 861 1391 1201
+rect 1425 861 1436 1201
+rect 1383 817 1436 861
+rect 1466 817 1508 1217
+rect 1538 1201 1591 1217
+rect 1538 861 1549 1201
+rect 1583 861 1591 1201
+rect 1538 817 1591 861
+rect 1659 1201 1712 1217
+rect 1659 861 1667 1201
+rect 1701 861 1712 1201
+rect 1276 617 1329 657
+rect 1659 617 1712 861
+rect 1742 1201 1798 1217
+rect 1742 861 1753 1201
+rect 1787 861 1798 1201
+rect 1742 617 1798 861
+rect 1828 1201 1881 1217
+rect 1828 861 1839 1201
+rect 1873 861 1881 1201
+rect 1828 617 1881 861
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 199
+rect 311 131 345 199
+rect 397 131 431 199
+rect 501 131 535 267
+rect 659 131 727 267
+rect 851 131 885 199
+rect 1009 131 1077 267
+rect 1201 131 1235 267
+rect 1287 131 1321 267
+rect 1391 131 1425 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1667 131 1701 199
+rect 1753 131 1787 199
+rect 1839 131 1873 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 861 259 1201
+rect 383 861 417 1201
+rect 501 725 535 1201
+rect 659 657 727 1201
+rect 851 725 885 1201
+rect 1009 725 1077 1201
+rect 1201 657 1235 1201
+rect 1287 657 1321 1201
+rect 1391 861 1425 1201
+rect 1549 861 1583 1201
+rect 1667 861 1701 1201
+rect 1753 861 1787 1201
+rect 1839 861 1873 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+rect 1658 1271 1682 1305
+rect 1716 1271 1740 1305
+rect 1794 1271 1818 1305
+rect 1852 1271 1876 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+rect 1682 1271 1716 1305
+rect 1818 1271 1852 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 270 1217 300 1243
+rect 342 1217 372 1243
+rect 546 1217 576 1243
+rect 618 1217 648 1243
+rect 738 1217 768 1243
+rect 810 1217 840 1243
+rect 896 1217 926 1243
+rect 968 1217 998 1243
+rect 1088 1217 1118 1243
+rect 1160 1217 1190 1243
+rect 1246 1217 1276 1243
+rect 1436 1217 1466 1243
+rect 1508 1217 1538 1243
+rect 1712 1217 1742 1243
+rect 1798 1217 1828 1243
+rect 80 494 110 617
+rect 79 478 133 494
+rect 79 444 89 478
+rect 123 444 133 478
+rect 79 428 133 444
+rect 79 427 110 428
+rect 80 315 110 427
+rect 270 351 300 817
+rect 342 584 372 817
+rect 342 568 415 584
+rect 342 534 371 568
+rect 405 534 415 568
+rect 342 518 415 534
+rect 219 335 300 351
+rect 219 301 229 335
+rect 263 301 300 335
+rect 219 285 300 301
+rect 270 263 300 285
+rect 356 263 386 518
+rect 546 477 576 617
+rect 618 586 648 617
+rect 618 570 672 586
+rect 618 536 628 570
+rect 662 536 672 570
+rect 618 520 672 536
+rect 546 461 600 477
+rect 738 475 768 617
+rect 810 580 840 617
+rect 896 580 926 617
+rect 810 570 926 580
+rect 810 536 842 570
+rect 876 536 926 570
+rect 810 526 926 536
+rect 968 475 998 617
+rect 1088 586 1118 617
+rect 1064 570 1118 586
+rect 1064 536 1074 570
+rect 1108 536 1118 570
+rect 1064 520 1118 536
+rect 546 427 556 461
+rect 590 427 600 461
+rect 546 411 600 427
+rect 642 445 1094 475
+rect 546 315 576 411
+rect 642 367 672 445
+rect 1064 403 1094 445
+rect 1160 471 1190 617
+rect 1246 586 1276 617
+rect 1246 570 1317 586
+rect 1246 556 1273 570
+rect 1257 536 1273 556
+rect 1307 536 1317 570
+rect 1257 520 1317 536
+rect 1160 455 1214 471
+rect 1160 421 1170 455
+rect 1204 421 1214 455
+rect 1160 405 1214 421
+rect 618 337 672 367
+rect 714 387 768 403
+rect 714 353 724 387
+rect 758 353 768 387
+rect 714 337 768 353
+rect 618 315 648 337
+rect 738 315 768 337
+rect 810 387 926 397
+rect 810 353 842 387
+rect 876 353 926 387
+rect 810 343 926 353
+rect 810 315 840 343
+rect 896 315 926 343
+rect 968 387 1022 403
+rect 968 353 978 387
+rect 1012 353 1022 387
+rect 968 337 1022 353
+rect 1064 387 1118 403
+rect 1064 353 1074 387
+rect 1108 353 1118 387
+rect 1064 337 1118 353
+rect 968 315 998 337
+rect 1088 315 1118 337
+rect 1160 315 1190 405
+rect 1257 367 1287 520
+rect 1436 403 1466 817
+rect 1246 337 1287 367
+rect 1399 387 1466 403
+rect 1399 353 1409 387
+rect 1443 353 1466 387
+rect 1399 337 1466 353
+rect 1246 315 1276 337
+rect 1423 336 1466 337
+rect 1436 263 1466 336
+rect 1508 351 1538 817
+rect 1712 601 1742 617
+rect 1702 571 1742 601
+rect 1702 471 1732 571
+rect 1798 512 1828 617
+rect 1677 455 1732 471
+rect 1677 421 1687 455
+rect 1721 421 1732 455
+rect 1774 496 1828 512
+rect 1774 462 1784 496
+rect 1818 462 1828 496
+rect 1774 446 1828 462
+rect 1677 405 1732 421
+rect 1702 360 1732 405
+rect 1508 335 1589 351
+rect 1508 301 1545 335
+rect 1579 301 1589 335
+rect 1702 330 1742 360
+rect 1712 315 1742 330
+rect 1798 315 1828 446
+rect 1508 285 1589 301
+rect 1522 263 1552 285
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 444 123 478
+rect 371 534 405 568
+rect 229 301 263 335
+rect 628 536 662 570
+rect 842 536 876 570
+rect 1074 536 1108 570
+rect 556 427 590 461
+rect 1273 536 1307 570
+rect 1170 421 1204 455
+rect 724 353 758 387
+rect 842 353 876 387
+rect 978 353 1012 387
+rect 1074 353 1108 387
+rect 1409 353 1443 387
+rect 1687 421 1721 455
+rect 1784 462 1818 496
+rect 1545 301 1579 335
+<< locali >>
+rect 0 1315 1914 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1914 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 47 494 81 649
+rect 121 565 155 657
+rect 225 1201 259 1217
+rect 121 531 191 565
+rect 47 478 123 494
+rect 47 444 89 478
+rect 89 428 123 444
+rect 157 335 191 531
+rect 225 421 259 861
+rect 383 1201 417 1271
+rect 383 845 417 861
+rect 501 1201 535 1271
+rect 501 709 535 725
+rect 659 1201 727 1217
+rect 851 1201 885 1271
+rect 851 709 885 725
+rect 1009 1201 1077 1217
+rect 659 654 727 657
+rect 1009 654 1077 725
+rect 405 620 727 654
+rect 910 620 1077 654
+rect 1201 1201 1235 1271
+rect 1201 641 1235 657
+rect 1287 1201 1321 1217
+rect 1391 1201 1425 1217
+rect 1391 773 1425 861
+rect 1549 1201 1583 1271
+rect 1549 845 1583 861
+rect 1667 1201 1701 1217
+rect 1391 739 1511 773
+rect 1287 654 1321 657
+rect 1287 620 1377 654
+rect 405 584 439 620
+rect 371 568 439 584
+rect 405 534 439 568
+rect 371 518 439 534
+rect 225 387 345 421
+rect 229 335 263 351
+rect 121 301 229 335
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 301
+rect 121 115 155 131
+rect 225 199 259 215
+rect 225 61 259 131
+rect 311 199 345 353
+rect 405 370 439 518
+rect 628 570 662 586
+rect 628 535 662 536
+rect 842 570 876 586
+rect 662 501 758 535
+rect 556 461 590 477
+rect 556 411 590 427
+rect 724 387 758 501
+rect 842 387 876 536
+rect 405 336 690 370
+rect 724 337 758 353
+rect 842 337 876 353
+rect 910 387 944 620
+rect 1074 570 1108 586
+rect 1074 535 1108 536
+rect 656 283 690 336
+rect 910 303 944 353
+rect 978 501 1074 535
+rect 1273 570 1307 586
+rect 1273 535 1307 536
+rect 978 387 1012 501
+rect 1341 455 1377 620
+rect 1154 421 1170 455
+rect 1204 421 1220 455
+rect 1287 421 1377 455
+rect 1477 455 1511 739
+rect 1667 609 1701 861
+rect 1753 1201 1787 1271
+rect 1753 845 1787 861
+rect 1839 1201 1873 1217
+rect 1839 683 1873 861
+rect 1872 666 1873 683
+rect 1872 649 1896 666
+rect 1839 632 1896 649
+rect 1667 570 1701 575
+rect 1667 536 1818 570
+rect 1784 496 1818 536
+rect 1477 421 1687 455
+rect 1721 421 1737 455
+rect 1287 387 1321 421
+rect 1058 353 1074 387
+rect 1108 353 1321 387
+rect 978 337 1012 353
+rect 501 267 535 283
+rect 311 115 345 131
+rect 397 199 431 215
+rect 397 61 431 131
+rect 656 267 727 283
+rect 910 269 1077 303
+rect 656 249 659 267
+rect 501 61 535 131
+rect 1009 267 1077 269
+rect 659 115 727 131
+rect 851 199 885 215
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 267 1235 283
+rect 1201 61 1235 131
+rect 1287 267 1321 353
+rect 1409 387 1443 403
+rect 1409 337 1443 353
+rect 1287 115 1321 131
+rect 1391 199 1425 215
+rect 1391 61 1425 131
+rect 1477 199 1511 421
+rect 1784 387 1818 462
+rect 1667 353 1818 387
+rect 1545 335 1579 351
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1667 199 1701 353
+rect 1862 320 1896 632
+rect 1839 286 1896 320
+rect 1667 115 1701 131
+rect 1753 199 1787 215
+rect 1753 61 1787 131
+rect 1839 199 1873 286
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 47 649 81 683
+rect 311 353 345 387
+rect 229 301 263 313
+rect 229 279 263 301
+rect 628 501 662 535
+rect 556 427 590 461
+rect 824 353 842 387
+rect 842 353 858 387
+rect 910 353 944 387
+rect 1074 501 1108 535
+rect 1273 501 1307 535
+rect 1170 421 1204 455
+rect 1838 649 1872 683
+rect 1667 575 1701 609
+rect 1687 421 1721 455
+rect 1409 353 1443 387
+rect 1545 301 1579 313
+rect 1545 279 1579 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1315 1914 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1914 1315
+rect 0 1271 1914 1281
+rect 35 683 93 689
+rect 1826 683 1884 689
+rect 35 649 47 683
+rect 81 649 127 683
+rect 1804 649 1838 683
+rect 1872 649 1884 683
+rect 35 643 93 649
+rect 1826 643 1884 649
+rect 1655 609 1713 615
+rect 1632 575 1667 609
+rect 1701 575 1713 609
+rect 1655 569 1713 575
+rect 616 535 674 541
+rect 1062 535 1120 541
+rect 1261 535 1319 541
+rect 616 501 628 535
+rect 662 501 1074 535
+rect 1108 501 1273 535
+rect 1307 501 1319 535
+rect 616 495 674 501
+rect 1062 495 1120 501
+rect 1261 495 1319 501
+rect 544 461 602 467
+rect 544 427 556 461
+rect 590 427 624 461
+rect 1158 455 1216 461
+rect 1675 455 1733 461
+rect 544 421 602 427
+rect 1158 421 1170 455
+rect 1204 421 1687 455
+rect 1721 421 1733 455
+rect 1158 415 1216 421
+rect 1675 415 1733 421
+rect 299 387 357 393
+rect 812 387 870 393
+rect 299 353 311 387
+rect 345 353 824 387
+rect 858 353 870 387
+rect 299 347 357 353
+rect 812 347 870 353
+rect 898 387 956 393
+rect 1397 387 1455 393
+rect 898 353 910 387
+rect 944 353 1409 387
+rect 1443 353 1455 387
+rect 898 347 956 353
+rect 1397 347 1455 353
+rect 217 313 275 319
+rect 1533 313 1591 319
+rect 217 279 229 313
+rect 263 279 1545 313
+rect 1579 279 1591 313
+rect 217 273 275 279
+rect 1533 273 1591 279
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel metal1 65 666 65 666 1 RN
+port 1 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel metal1 573 444 573 444 1 D
+port 2 n
+rlabel metal1 1290 518 1290 518 1 CK
+port 3 n
+rlabel metal1 1855 666 1855 666 1 Q
+port 4 n
+rlabel metal1 1685 592 1685 592 1 QN
+port 5 n
+<< end >>
diff --git a/lib/18T_ms/sky130_osu_sc_18T_ms__dffr_l.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__dffr_l.mag
new file mode 100644
index 0000000..cdb7b6d
--- /dev/null
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__dffr_l.mag
@@ -0,0 +1,807 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862567
+<< nwell >>
+rect -10 581 1917 1341
+<< nmos >>
+rect 80 115 110 315
+rect 270 115 300 263
+rect 356 115 386 263
+rect 546 115 576 315
+rect 618 115 648 315
+rect 738 115 768 315
+rect 810 115 840 315
+rect 896 115 926 315
+rect 968 115 998 315
+rect 1088 115 1118 315
+rect 1160 115 1190 315
+rect 1246 115 1276 315
+rect 1436 115 1466 263
+rect 1522 115 1552 263
+rect 1712 115 1742 263
+rect 1798 115 1828 263
+<< pmos >>
+rect 80 617 110 1217
+rect 270 817 300 1217
+rect 342 817 372 1217
+rect 546 617 576 1217
+rect 618 617 648 1217
+rect 738 617 768 1217
+rect 810 617 840 1217
+rect 896 617 926 1217
+rect 968 617 998 1217
+rect 1088 617 1118 1217
+rect 1160 617 1190 1217
+rect 1246 617 1276 1217
+rect 1436 817 1466 1217
+rect 1508 817 1538 1217
+rect 1712 817 1742 1217
+rect 1798 817 1828 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 493 267 546 315
+rect 110 115 163 131
+rect 217 199 270 263
+rect 217 131 225 199
+rect 259 131 270 199
+rect 217 115 270 131
+rect 300 199 356 263
+rect 300 131 311 199
+rect 345 131 356 199
+rect 300 115 356 131
+rect 386 199 439 263
+rect 386 131 397 199
+rect 431 131 439 199
+rect 386 115 439 131
+rect 493 131 501 267
+rect 535 131 546 267
+rect 493 115 546 131
+rect 576 115 618 315
+rect 648 267 738 315
+rect 648 131 659 267
+rect 727 131 738 267
+rect 648 115 738 131
+rect 768 115 810 315
+rect 840 199 896 315
+rect 840 131 851 199
+rect 885 131 896 199
+rect 840 115 896 131
+rect 926 115 968 315
+rect 998 267 1088 315
+rect 998 131 1009 267
+rect 1077 131 1088 267
+rect 998 115 1088 131
+rect 1118 115 1160 315
+rect 1190 267 1246 315
+rect 1190 131 1201 267
+rect 1235 131 1246 267
+rect 1190 115 1246 131
+rect 1276 267 1329 315
+rect 1276 131 1287 267
+rect 1321 131 1329 267
+rect 1276 115 1329 131
+rect 1383 199 1436 263
+rect 1383 131 1391 199
+rect 1425 131 1436 199
+rect 1383 115 1436 131
+rect 1466 199 1522 263
+rect 1466 131 1477 199
+rect 1511 131 1522 199
+rect 1466 115 1522 131
+rect 1552 199 1605 263
+rect 1552 131 1563 199
+rect 1597 131 1605 199
+rect 1552 115 1605 131
+rect 1659 199 1712 263
+rect 1659 131 1667 199
+rect 1701 131 1712 199
+rect 1659 115 1712 131
+rect 1742 199 1798 263
+rect 1742 131 1753 199
+rect 1787 131 1798 199
+rect 1742 115 1798 131
+rect 1828 199 1881 263
+rect 1828 131 1839 199
+rect 1873 131 1881 199
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 217 1201 270 1217
+rect 217 861 225 1201
+rect 259 861 270 1201
+rect 217 817 270 861
+rect 300 817 342 1217
+rect 372 1201 425 1217
+rect 372 861 383 1201
+rect 417 861 425 1201
+rect 372 817 425 861
+rect 493 1201 546 1217
+rect 110 617 163 657
+rect 493 725 501 1201
+rect 535 725 546 1201
+rect 493 617 546 725
+rect 576 617 618 1217
+rect 648 1201 738 1217
+rect 648 657 659 1201
+rect 727 657 738 1201
+rect 648 617 738 657
+rect 768 617 810 1217
+rect 840 1201 896 1217
+rect 840 725 851 1201
+rect 885 725 896 1201
+rect 840 617 896 725
+rect 926 617 968 1217
+rect 998 1201 1088 1217
+rect 998 725 1009 1201
+rect 1077 725 1088 1201
+rect 998 617 1088 725
+rect 1118 617 1160 1217
+rect 1190 1201 1246 1217
+rect 1190 657 1201 1201
+rect 1235 657 1246 1201
+rect 1190 617 1246 657
+rect 1276 1201 1329 1217
+rect 1276 657 1287 1201
+rect 1321 657 1329 1201
+rect 1383 1201 1436 1217
+rect 1383 861 1391 1201
+rect 1425 861 1436 1201
+rect 1383 817 1436 861
+rect 1466 817 1508 1217
+rect 1538 1201 1591 1217
+rect 1538 861 1549 1201
+rect 1583 861 1591 1201
+rect 1538 817 1591 861
+rect 1659 1201 1712 1217
+rect 1659 861 1667 1201
+rect 1701 861 1712 1201
+rect 1659 817 1712 861
+rect 1742 1201 1798 1217
+rect 1742 861 1753 1201
+rect 1787 861 1798 1201
+rect 1742 817 1798 861
+rect 1828 1201 1881 1217
+rect 1828 861 1839 1201
+rect 1873 861 1881 1201
+rect 1828 817 1881 861
+rect 1276 617 1329 657
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 199
+rect 311 131 345 199
+rect 397 131 431 199
+rect 501 131 535 267
+rect 659 131 727 267
+rect 851 131 885 199
+rect 1009 131 1077 267
+rect 1201 131 1235 267
+rect 1287 131 1321 267
+rect 1391 131 1425 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1667 131 1701 199
+rect 1753 131 1787 199
+rect 1839 131 1873 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 861 259 1201
+rect 383 861 417 1201
+rect 501 725 535 1201
+rect 659 657 727 1201
+rect 851 725 885 1201
+rect 1009 725 1077 1201
+rect 1201 657 1235 1201
+rect 1287 657 1321 1201
+rect 1391 861 1425 1201
+rect 1549 861 1583 1201
+rect 1667 861 1701 1201
+rect 1753 861 1787 1201
+rect 1839 861 1873 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+rect 1658 1271 1682 1305
+rect 1716 1271 1740 1305
+rect 1794 1271 1818 1305
+rect 1852 1271 1876 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+rect 1682 1271 1716 1305
+rect 1818 1271 1852 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 270 1217 300 1243
+rect 342 1217 372 1243
+rect 546 1217 576 1243
+rect 618 1217 648 1243
+rect 738 1217 768 1243
+rect 810 1217 840 1243
+rect 896 1217 926 1243
+rect 968 1217 998 1243
+rect 1088 1217 1118 1243
+rect 1160 1217 1190 1243
+rect 1246 1217 1276 1243
+rect 1436 1217 1466 1243
+rect 1508 1217 1538 1243
+rect 1712 1217 1742 1243
+rect 1798 1217 1828 1243
+rect 80 494 110 617
+rect 79 478 133 494
+rect 79 444 89 478
+rect 123 444 133 478
+rect 79 428 133 444
+rect 79 427 110 428
+rect 80 315 110 427
+rect 270 351 300 817
+rect 342 584 372 817
+rect 342 568 415 584
+rect 342 534 371 568
+rect 405 534 415 568
+rect 342 518 415 534
+rect 219 335 300 351
+rect 219 301 229 335
+rect 263 301 300 335
+rect 219 285 300 301
+rect 270 263 300 285
+rect 356 263 386 518
+rect 546 477 576 617
+rect 618 586 648 617
+rect 618 570 672 586
+rect 618 536 628 570
+rect 662 536 672 570
+rect 618 520 672 536
+rect 546 461 600 477
+rect 738 475 768 617
+rect 810 580 840 617
+rect 896 580 926 617
+rect 810 570 926 580
+rect 810 536 842 570
+rect 876 536 926 570
+rect 810 526 926 536
+rect 968 475 998 617
+rect 1088 586 1118 617
+rect 1064 570 1118 586
+rect 1064 536 1074 570
+rect 1108 536 1118 570
+rect 1064 520 1118 536
+rect 546 427 556 461
+rect 590 427 600 461
+rect 546 411 600 427
+rect 642 445 1094 475
+rect 546 315 576 411
+rect 642 367 672 445
+rect 1064 403 1094 445
+rect 1160 471 1190 617
+rect 1246 586 1276 617
+rect 1246 570 1317 586
+rect 1246 556 1273 570
+rect 1257 536 1273 556
+rect 1307 536 1317 570
+rect 1257 520 1317 536
+rect 1160 455 1214 471
+rect 1160 421 1170 455
+rect 1204 421 1214 455
+rect 1160 405 1214 421
+rect 618 337 672 367
+rect 714 387 768 403
+rect 714 353 724 387
+rect 758 353 768 387
+rect 714 337 768 353
+rect 618 315 648 337
+rect 738 315 768 337
+rect 810 387 926 397
+rect 810 353 842 387
+rect 876 353 926 387
+rect 810 343 926 353
+rect 810 315 840 343
+rect 896 315 926 343
+rect 968 387 1022 403
+rect 968 353 978 387
+rect 1012 353 1022 387
+rect 968 337 1022 353
+rect 1064 387 1118 403
+rect 1064 353 1074 387
+rect 1108 353 1118 387
+rect 1064 337 1118 353
+rect 968 315 998 337
+rect 1088 315 1118 337
+rect 1160 315 1190 405
+rect 1257 367 1287 520
+rect 1436 403 1466 817
+rect 1246 337 1287 367
+rect 1399 387 1466 403
+rect 1399 353 1409 387
+rect 1443 353 1466 387
+rect 1399 337 1466 353
+rect 1246 315 1276 337
+rect 1423 336 1466 337
+rect 1436 263 1466 336
+rect 1508 351 1538 817
+rect 1712 601 1742 817
+rect 1702 571 1742 601
+rect 1702 471 1732 571
+rect 1798 512 1828 817
+rect 1677 455 1732 471
+rect 1677 421 1687 455
+rect 1721 421 1732 455
+rect 1774 496 1828 512
+rect 1774 462 1784 496
+rect 1818 462 1828 496
+rect 1774 446 1828 462
+rect 1677 405 1732 421
+rect 1702 360 1732 405
+rect 1508 335 1589 351
+rect 1508 301 1545 335
+rect 1579 301 1589 335
+rect 1702 330 1742 360
+rect 1508 285 1589 301
+rect 1522 263 1552 285
+rect 1712 263 1742 330
+rect 1798 263 1828 446
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 444 123 478
+rect 371 534 405 568
+rect 229 301 263 335
+rect 628 536 662 570
+rect 842 536 876 570
+rect 1074 536 1108 570
+rect 556 427 590 461
+rect 1273 536 1307 570
+rect 1170 421 1204 455
+rect 724 353 758 387
+rect 842 353 876 387
+rect 978 353 1012 387
+rect 1074 353 1108 387
+rect 1409 353 1443 387
+rect 1687 421 1721 455
+rect 1784 462 1818 496
+rect 1545 301 1579 335
+<< locali >>
+rect 0 1315 1914 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1914 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 47 494 81 649
+rect 121 565 155 657
+rect 225 1201 259 1217
+rect 121 531 191 565
+rect 47 478 123 494
+rect 47 444 89 478
+rect 89 428 123 444
+rect 157 335 191 531
+rect 225 421 259 861
+rect 383 1201 417 1271
+rect 383 845 417 861
+rect 501 1201 535 1271
+rect 501 709 535 725
+rect 659 1201 727 1217
+rect 851 1201 885 1271
+rect 851 709 885 725
+rect 1009 1201 1077 1217
+rect 659 654 727 657
+rect 1009 654 1077 725
+rect 405 620 727 654
+rect 910 620 1077 654
+rect 1201 1201 1235 1271
+rect 1201 641 1235 657
+rect 1287 1201 1321 1217
+rect 1391 1201 1425 1217
+rect 1391 773 1425 861
+rect 1549 1201 1583 1271
+rect 1549 845 1583 861
+rect 1667 1201 1701 1217
+rect 1391 739 1511 773
+rect 1287 654 1321 657
+rect 1287 620 1377 654
+rect 405 584 439 620
+rect 371 568 439 584
+rect 405 534 439 568
+rect 371 518 439 534
+rect 225 387 345 421
+rect 229 335 263 351
+rect 121 301 229 335
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 301
+rect 121 115 155 131
+rect 225 199 259 215
+rect 225 61 259 131
+rect 311 199 345 353
+rect 405 370 439 518
+rect 628 570 662 586
+rect 628 535 662 536
+rect 842 570 876 586
+rect 662 501 758 535
+rect 556 461 590 477
+rect 556 411 590 427
+rect 724 387 758 501
+rect 842 387 876 536
+rect 405 336 690 370
+rect 724 337 758 353
+rect 842 337 876 353
+rect 910 387 944 620
+rect 1074 570 1108 586
+rect 1074 535 1108 536
+rect 656 283 690 336
+rect 910 303 944 353
+rect 978 501 1074 535
+rect 1273 570 1307 586
+rect 1273 535 1307 536
+rect 978 387 1012 501
+rect 1341 455 1377 620
+rect 1154 421 1170 455
+rect 1204 421 1220 455
+rect 1287 421 1377 455
+rect 1477 455 1511 739
+rect 1667 609 1701 861
+rect 1753 1201 1787 1271
+rect 1753 845 1787 861
+rect 1839 1201 1873 1217
+rect 1839 683 1873 861
+rect 1872 666 1873 683
+rect 1872 649 1896 666
+rect 1839 632 1896 649
+rect 1667 570 1701 575
+rect 1667 536 1818 570
+rect 1784 496 1818 536
+rect 1477 421 1687 455
+rect 1721 421 1737 455
+rect 1287 387 1321 421
+rect 1058 353 1074 387
+rect 1108 353 1321 387
+rect 978 337 1012 353
+rect 501 267 535 283
+rect 311 115 345 131
+rect 397 199 431 215
+rect 397 61 431 131
+rect 656 267 727 283
+rect 910 269 1077 303
+rect 656 249 659 267
+rect 501 61 535 131
+rect 1009 267 1077 269
+rect 659 115 727 131
+rect 851 199 885 215
+rect 851 61 885 131
+rect 1009 115 1077 131
+rect 1201 267 1235 283
+rect 1201 61 1235 131
+rect 1287 267 1321 353
+rect 1409 387 1443 403
+rect 1409 337 1443 353
+rect 1287 115 1321 131
+rect 1391 199 1425 215
+rect 1391 61 1425 131
+rect 1477 199 1511 421
+rect 1784 387 1818 462
+rect 1667 353 1818 387
+rect 1545 335 1579 351
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1667 199 1701 353
+rect 1862 320 1896 632
+rect 1839 286 1896 320
+rect 1667 115 1701 131
+rect 1753 199 1787 215
+rect 1753 61 1787 131
+rect 1839 199 1873 286
+rect 1839 115 1873 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1914 61
+rect 0 0 1914 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 47 649 81 683
+rect 311 353 345 387
+rect 229 301 263 313
+rect 229 279 263 301
+rect 628 501 662 535
+rect 556 427 590 461
+rect 824 353 842 387
+rect 842 353 858 387
+rect 910 353 944 387
+rect 1074 501 1108 535
+rect 1273 501 1307 535
+rect 1170 421 1204 455
+rect 1838 649 1872 683
+rect 1667 575 1701 609
+rect 1687 421 1721 455
+rect 1409 353 1443 387
+rect 1545 301 1579 313
+rect 1545 279 1579 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+<< metal1 >>
+rect 0 1315 1914 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1914 1315
+rect 0 1271 1914 1281
+rect 35 683 93 689
+rect 1826 683 1884 689
+rect 35 649 47 683
+rect 81 649 127 683
+rect 1804 649 1838 683
+rect 1872 649 1884 683
+rect 35 643 93 649
+rect 1826 643 1884 649
+rect 1655 609 1713 615
+rect 1632 575 1667 609
+rect 1701 575 1713 609
+rect 1655 569 1713 575
+rect 616 535 674 541
+rect 1062 535 1120 541
+rect 1261 535 1319 541
+rect 616 501 628 535
+rect 662 501 1074 535
+rect 1108 501 1273 535
+rect 1307 501 1319 535
+rect 616 495 674 501
+rect 1062 495 1120 501
+rect 1261 495 1319 501
+rect 544 461 602 467
+rect 544 427 556 461
+rect 590 427 624 461
+rect 1158 455 1216 461
+rect 1675 455 1733 461
+rect 544 421 602 427
+rect 1158 421 1170 455
+rect 1204 421 1687 455
+rect 1721 421 1733 455
+rect 1158 415 1216 421
+rect 1675 415 1733 421
+rect 299 387 357 393
+rect 812 387 870 393
+rect 299 353 311 387
+rect 345 353 824 387
+rect 858 353 870 387
+rect 299 347 357 353
+rect 812 347 870 353
+rect 898 387 956 393
+rect 1397 387 1455 393
+rect 898 353 910 387
+rect 944 353 1409 387
+rect 1443 353 1455 387
+rect 898 347 956 353
+rect 1397 347 1455 353
+rect 217 313 275 319
+rect 1533 313 1591 319
+rect 217 279 229 313
+rect 263 279 1545 313
+rect 1579 279 1591 313
+rect 217 273 275 279
+rect 1533 273 1591 279
+rect 0 51 1914 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1914 51
+rect 0 0 1914 17
+<< labels >>
+rlabel metal1 65 666 65 666 1 RN
+port 1 n
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel metal1 573 444 573 444 1 D
+port 2 n
+rlabel metal1 1290 518 1290 518 1 CK
+port 3 n
+rlabel metal1 1855 666 1855 666 1 Q
+port 4 n
+rlabel metal1 1685 592 1685 592 1 QN
+port 5 n
+<< end >>
diff --git a/lib/18T_ms/sky130_osu_sc_18T_ms__dffs_1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__dffs_1.mag
new file mode 100644
index 0000000..9dc95eb
--- /dev/null
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__dffs_1.mag
@@ -0,0 +1,719 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862672
+<< nwell >>
+rect -10 581 1741 1341
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 356 115 386 315
+rect 428 115 458 315
+rect 548 115 578 315
+rect 620 115 650 315
+rect 706 115 736 315
+rect 778 115 808 315
+rect 898 115 928 315
+rect 970 115 1000 315
+rect 1056 115 1086 315
+rect 1246 115 1276 263
+rect 1318 115 1348 263
+rect 1522 115 1552 315
+rect 1608 115 1638 315
+<< pmos >>
+rect 80 817 110 1217
+rect 166 817 196 1217
+rect 356 617 386 1217
+rect 428 617 458 1217
+rect 548 617 578 1217
+rect 620 617 650 1217
+rect 706 617 736 1217
+rect 778 617 808 1217
+rect 898 617 928 1217
+rect 970 617 1000 1217
+rect 1056 617 1086 1217
+rect 1246 817 1276 1217
+rect 1332 817 1362 1217
+rect 1522 617 1552 1217
+rect 1608 617 1638 1217
+<< ndiff >>
+rect 303 267 356 315
+rect 27 199 80 263
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 199 235 263
+rect 182 131 193 199
+rect 227 131 235 199
+rect 182 115 235 131
+rect 303 131 311 267
+rect 345 131 356 267
+rect 303 115 356 131
+rect 386 115 428 315
+rect 458 267 548 315
+rect 458 131 469 267
+rect 537 131 548 267
+rect 458 115 548 131
+rect 578 115 620 315
+rect 650 199 706 315
+rect 650 131 661 199
+rect 695 131 706 199
+rect 650 115 706 131
+rect 736 115 778 315
+rect 808 267 898 315
+rect 808 131 819 267
+rect 887 131 898 267
+rect 808 115 898 131
+rect 928 115 970 315
+rect 1000 267 1056 315
+rect 1000 131 1011 267
+rect 1045 131 1056 267
+rect 1000 115 1056 131
+rect 1086 267 1139 315
+rect 1086 131 1097 267
+rect 1131 131 1139 267
+rect 1086 115 1139 131
+rect 1193 199 1246 263
+rect 1193 131 1201 199
+rect 1235 131 1246 199
+rect 1193 115 1246 131
+rect 1276 115 1318 263
+rect 1348 199 1401 263
+rect 1348 131 1359 199
+rect 1393 131 1401 199
+rect 1348 115 1401 131
+rect 1469 199 1522 315
+rect 1469 131 1477 199
+rect 1511 131 1522 199
+rect 1469 115 1522 131
+rect 1552 199 1608 315
+rect 1552 131 1563 199
+rect 1597 131 1608 199
+rect 1552 115 1608 131
+rect 1638 199 1691 315
+rect 1638 131 1649 199
+rect 1683 131 1691 199
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 929 35 1201
+rect 69 929 80 1201
+rect 27 817 80 929
+rect 110 1201 166 1217
+rect 110 929 121 1201
+rect 155 929 166 1201
+rect 110 817 166 929
+rect 196 1201 249 1217
+rect 196 929 207 1201
+rect 241 929 249 1201
+rect 196 817 249 929
+rect 303 1201 356 1217
+rect 303 725 311 1201
+rect 345 725 356 1201
+rect 303 617 356 725
+rect 386 617 428 1217
+rect 458 1201 548 1217
+rect 458 657 469 1201
+rect 537 657 548 1201
+rect 458 617 548 657
+rect 578 617 620 1217
+rect 650 1201 706 1217
+rect 650 725 661 1201
+rect 695 725 706 1201
+rect 650 617 706 725
+rect 736 617 778 1217
+rect 808 1201 898 1217
+rect 808 725 819 1201
+rect 887 725 898 1201
+rect 808 617 898 725
+rect 928 617 970 1217
+rect 1000 1201 1056 1217
+rect 1000 657 1011 1201
+rect 1045 657 1056 1201
+rect 1000 617 1056 657
+rect 1086 1201 1139 1217
+rect 1086 657 1097 1201
+rect 1131 657 1139 1201
+rect 1193 1201 1246 1217
+rect 1193 929 1201 1201
+rect 1235 929 1246 1201
+rect 1193 817 1246 929
+rect 1276 1201 1332 1217
+rect 1276 929 1287 1201
+rect 1321 929 1332 1201
+rect 1276 817 1332 929
+rect 1362 1201 1415 1217
+rect 1362 929 1373 1201
+rect 1407 929 1415 1201
+rect 1362 817 1415 929
+rect 1469 1201 1522 1217
+rect 1469 861 1477 1201
+rect 1511 861 1522 1201
+rect 1086 617 1139 657
+rect 1469 617 1522 861
+rect 1552 1201 1608 1217
+rect 1552 861 1563 1201
+rect 1597 861 1608 1201
+rect 1552 617 1608 861
+rect 1638 1201 1691 1217
+rect 1638 861 1649 1201
+rect 1683 861 1691 1201
+rect 1638 617 1691 861
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 311 131 345 267
+rect 469 131 537 267
+rect 661 131 695 199
+rect 819 131 887 267
+rect 1011 131 1045 267
+rect 1097 131 1131 267
+rect 1201 131 1235 199
+rect 1359 131 1393 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1649 131 1683 199
+<< pdiffc >>
+rect 35 929 69 1201
+rect 121 929 155 1201
+rect 207 929 241 1201
+rect 311 725 345 1201
+rect 469 657 537 1201
+rect 661 725 695 1201
+rect 819 725 887 1201
+rect 1011 657 1045 1201
+rect 1097 657 1131 1201
+rect 1201 929 1235 1201
+rect 1287 929 1321 1201
+rect 1373 929 1407 1201
+rect 1477 861 1511 1201
+rect 1563 861 1597 1201
+rect 1649 861 1683 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 356 1217 386 1243
+rect 428 1217 458 1243
+rect 548 1217 578 1243
+rect 620 1217 650 1243
+rect 706 1217 736 1243
+rect 778 1217 808 1243
+rect 898 1217 928 1243
+rect 970 1217 1000 1243
+rect 1056 1217 1086 1243
+rect 1246 1217 1276 1243
+rect 1332 1217 1362 1243
+rect 1522 1217 1552 1243
+rect 1608 1217 1638 1243
+rect 80 403 110 817
+rect 166 494 196 817
+rect 37 387 110 403
+rect 37 353 47 387
+rect 81 353 110 387
+rect 37 337 110 353
+rect 80 263 110 337
+rect 152 478 233 494
+rect 152 444 189 478
+rect 223 444 233 478
+rect 152 428 233 444
+rect 356 477 386 617
+rect 428 586 458 617
+rect 428 570 482 586
+rect 428 536 438 570
+rect 472 536 482 570
+rect 428 520 482 536
+rect 356 461 410 477
+rect 548 475 578 617
+rect 620 580 650 617
+rect 706 580 736 617
+rect 620 570 736 580
+rect 620 536 652 570
+rect 686 536 736 570
+rect 620 526 736 536
+rect 778 475 808 617
+rect 898 586 928 617
+rect 874 570 928 586
+rect 874 536 884 570
+rect 918 536 928 570
+rect 874 520 928 536
+rect 152 263 182 428
+rect 356 427 366 461
+rect 400 427 410 461
+rect 356 411 410 427
+rect 452 445 904 475
+rect 356 315 386 411
+rect 452 367 482 445
+rect 874 403 904 445
+rect 970 471 1000 617
+rect 1056 586 1086 617
+rect 1056 570 1127 586
+rect 1056 556 1083 570
+rect 1067 536 1083 556
+rect 1117 536 1127 570
+rect 1067 520 1127 536
+rect 970 455 1024 471
+rect 970 421 980 455
+rect 1014 421 1024 455
+rect 970 405 1024 421
+rect 428 337 482 367
+rect 524 387 578 403
+rect 524 353 534 387
+rect 568 353 578 387
+rect 524 337 578 353
+rect 428 315 458 337
+rect 548 315 578 337
+rect 620 387 736 397
+rect 620 353 652 387
+rect 686 353 736 387
+rect 620 343 736 353
+rect 620 315 650 343
+rect 706 315 736 343
+rect 778 387 832 403
+rect 778 353 788 387
+rect 822 353 832 387
+rect 778 337 832 353
+rect 874 387 928 403
+rect 874 353 884 387
+rect 918 353 928 387
+rect 874 337 928 353
+rect 778 315 808 337
+rect 898 315 928 337
+rect 970 315 1000 405
+rect 1067 367 1097 520
+rect 1246 403 1276 817
+rect 1056 337 1097 367
+rect 1193 387 1276 403
+rect 1193 353 1203 387
+rect 1237 353 1276 387
+rect 1193 337 1276 353
+rect 1056 315 1086 337
+rect 1246 263 1276 337
+rect 1332 351 1362 817
+rect 1522 601 1552 617
+rect 1512 571 1552 601
+rect 1512 471 1542 571
+rect 1608 512 1638 617
+rect 1487 455 1542 471
+rect 1487 421 1497 455
+rect 1531 421 1542 455
+rect 1584 496 1638 512
+rect 1584 462 1594 496
+rect 1628 462 1638 496
+rect 1584 446 1638 462
+rect 1487 405 1542 421
+rect 1512 360 1542 405
+rect 1332 335 1399 351
+rect 1318 301 1355 335
+rect 1389 301 1399 335
+rect 1512 330 1552 360
+rect 1522 315 1552 330
+rect 1608 315 1638 446
+rect 1318 285 1399 301
+rect 1318 263 1348 285
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 353 81 387
+rect 189 444 223 478
+rect 438 536 472 570
+rect 652 536 686 570
+rect 884 536 918 570
+rect 366 427 400 461
+rect 1083 536 1117 570
+rect 980 421 1014 455
+rect 534 353 568 387
+rect 652 353 686 387
+rect 788 353 822 387
+rect 884 353 918 387
+rect 1203 353 1237 387
+rect 1497 421 1531 455
+rect 1594 462 1628 496
+rect 1355 301 1389 335
+<< locali >>
+rect 0 1315 1738 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1738 1315
+rect 35 1201 69 1271
+rect 35 913 69 929
+rect 121 1201 155 1217
+rect 47 387 81 403
+rect 47 313 81 353
+rect 121 387 155 929
+rect 207 1201 241 1271
+rect 207 913 241 929
+rect 311 1201 345 1271
+rect 311 709 345 725
+rect 469 1201 537 1217
+rect 661 1201 695 1271
+rect 661 709 695 725
+rect 819 1201 887 1217
+rect 469 654 537 657
+rect 819 654 887 725
+rect 121 233 155 353
+rect 189 620 537 654
+rect 720 620 887 654
+rect 1011 1201 1045 1271
+rect 1011 641 1045 657
+rect 1097 1201 1131 1217
+rect 1201 1201 1235 1271
+rect 1201 913 1235 929
+rect 1287 1201 1321 1217
+rect 1097 654 1131 657
+rect 1097 620 1187 654
+rect 189 478 223 620
+rect 438 570 472 586
+rect 438 535 472 536
+rect 652 570 686 586
+rect 472 501 568 535
+rect 189 370 223 444
+rect 366 461 400 477
+rect 366 411 400 427
+rect 534 387 568 501
+rect 652 387 686 536
+rect 189 336 500 370
+rect 534 337 568 353
+rect 652 337 686 353
+rect 720 387 754 620
+rect 884 570 918 586
+rect 884 535 918 536
+rect 466 283 500 336
+rect 720 303 754 353
+rect 788 501 884 535
+rect 1083 570 1117 586
+rect 1083 535 1117 536
+rect 788 387 822 501
+rect 1151 467 1187 620
+rect 964 421 980 455
+rect 1014 421 1030 455
+rect 1097 433 1187 467
+rect 1287 455 1321 929
+rect 1373 1201 1407 1271
+rect 1373 913 1407 929
+rect 1477 1201 1511 1217
+rect 1477 609 1511 861
+rect 1563 1201 1597 1271
+rect 1563 845 1597 861
+rect 1649 1201 1683 1217
+rect 1649 683 1683 861
+rect 1682 666 1683 683
+rect 1682 649 1706 666
+rect 1649 632 1706 649
+rect 1477 570 1511 575
+rect 1477 536 1628 570
+rect 1594 496 1628 536
+rect 1097 387 1131 433
+rect 1287 421 1497 455
+rect 1531 421 1547 455
+rect 868 353 884 387
+rect 918 353 1131 387
+rect 1187 353 1203 387
+rect 1237 353 1253 387
+rect 788 337 822 353
+rect 35 199 155 233
+rect 311 267 345 283
+rect 193 199 227 215
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 267 537 283
+rect 720 269 887 303
+rect 466 249 469 267
+rect 311 61 345 131
+rect 819 267 887 269
+rect 469 115 537 131
+rect 661 199 695 215
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 267 1045 283
+rect 1011 61 1045 131
+rect 1097 267 1131 353
+rect 1287 233 1321 421
+rect 1594 387 1628 462
+rect 1477 353 1628 387
+rect 1355 335 1389 351
+rect 1097 115 1131 131
+rect 1201 199 1321 233
+rect 1359 199 1393 215
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 199 1511 353
+rect 1672 320 1706 632
+rect 1649 286 1706 320
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1649 199 1683 286
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 47 279 81 313
+rect 121 353 155 387
+rect 438 501 472 535
+rect 366 427 400 461
+rect 634 353 652 387
+rect 652 353 668 387
+rect 720 353 754 387
+rect 884 501 918 535
+rect 1083 501 1117 535
+rect 980 421 1014 455
+rect 1648 649 1682 683
+rect 1477 575 1511 609
+rect 1497 421 1531 455
+rect 1203 353 1237 387
+rect 1355 301 1389 313
+rect 1355 279 1389 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1315 1738 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1738 1315
+rect 0 1271 1738 1281
+rect 1636 683 1694 689
+rect 1614 649 1648 683
+rect 1682 649 1694 683
+rect 1636 643 1694 649
+rect 1465 609 1523 615
+rect 1442 575 1477 609
+rect 1511 575 1523 609
+rect 1465 569 1523 575
+rect 426 535 484 541
+rect 872 535 930 541
+rect 1071 535 1129 541
+rect 426 501 438 535
+rect 472 501 884 535
+rect 918 501 1083 535
+rect 1117 501 1129 535
+rect 426 495 484 501
+rect 872 495 930 501
+rect 1071 495 1129 501
+rect 354 461 412 467
+rect 354 427 366 461
+rect 400 427 434 461
+rect 968 455 1026 461
+rect 1485 455 1543 461
+rect 354 421 412 427
+rect 968 421 980 455
+rect 1014 421 1497 455
+rect 1531 421 1543 455
+rect 968 415 1026 421
+rect 1485 415 1543 421
+rect 109 387 167 393
+rect 622 387 680 393
+rect 109 353 121 387
+rect 155 353 634 387
+rect 668 353 680 387
+rect 109 347 167 353
+rect 622 347 680 353
+rect 708 387 766 393
+rect 1191 387 1249 393
+rect 708 353 720 387
+rect 754 353 1203 387
+rect 1237 353 1249 387
+rect 708 347 766 353
+rect 1191 347 1249 353
+rect 35 313 93 319
+rect 1343 313 1401 319
+rect 35 279 47 313
+rect 81 279 1355 313
+rect 1389 279 1401 313
+rect 35 273 93 279
+rect 1343 273 1401 279
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 383 444 383 444 1 D
+port 1 n
+rlabel viali 1100 518 1100 518 1 CK
+port 2 n
+rlabel viali 1665 666 1665 666 1 Q
+port 4 n
+rlabel viali 1495 592 1495 592 1 QN
+port 3 n
+rlabel viali 64 296 64 296 1 SN
+<< end >>
diff --git a/lib/18T_ms/sky130_osu_sc_18T_ms__dffs_l.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__dffs_l.mag
new file mode 100644
index 0000000..325f3ec
--- /dev/null
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__dffs_l.mag
@@ -0,0 +1,719 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603862745
+<< nwell >>
+rect -10 581 1741 1341
+<< nmos >>
+rect 80 115 110 263
+rect 152 115 182 263
+rect 356 115 386 315
+rect 428 115 458 315
+rect 548 115 578 315
+rect 620 115 650 315
+rect 706 115 736 315
+rect 778 115 808 315
+rect 898 115 928 315
+rect 970 115 1000 315
+rect 1056 115 1086 315
+rect 1246 115 1276 263
+rect 1318 115 1348 263
+rect 1522 115 1552 263
+rect 1608 115 1638 263
+<< pmos >>
+rect 80 817 110 1217
+rect 166 817 196 1217
+rect 356 617 386 1217
+rect 428 617 458 1217
+rect 548 617 578 1217
+rect 620 617 650 1217
+rect 706 617 736 1217
+rect 778 617 808 1217
+rect 898 617 928 1217
+rect 970 617 1000 1217
+rect 1056 617 1086 1217
+rect 1246 817 1276 1217
+rect 1332 817 1362 1217
+rect 1522 817 1552 1217
+rect 1608 817 1638 1217
+<< ndiff >>
+rect 303 267 356 315
+rect 27 199 80 263
+rect 27 131 35 199
+rect 69 131 80 199
+rect 27 115 80 131
+rect 110 115 152 263
+rect 182 199 235 263
+rect 182 131 193 199
+rect 227 131 235 199
+rect 182 115 235 131
+rect 303 131 311 267
+rect 345 131 356 267
+rect 303 115 356 131
+rect 386 115 428 315
+rect 458 267 548 315
+rect 458 131 469 267
+rect 537 131 548 267
+rect 458 115 548 131
+rect 578 115 620 315
+rect 650 199 706 315
+rect 650 131 661 199
+rect 695 131 706 199
+rect 650 115 706 131
+rect 736 115 778 315
+rect 808 267 898 315
+rect 808 131 819 267
+rect 887 131 898 267
+rect 808 115 898 131
+rect 928 115 970 315
+rect 1000 267 1056 315
+rect 1000 131 1011 267
+rect 1045 131 1056 267
+rect 1000 115 1056 131
+rect 1086 267 1139 315
+rect 1086 131 1097 267
+rect 1131 131 1139 267
+rect 1086 115 1139 131
+rect 1193 199 1246 263
+rect 1193 131 1201 199
+rect 1235 131 1246 199
+rect 1193 115 1246 131
+rect 1276 115 1318 263
+rect 1348 199 1401 263
+rect 1348 131 1359 199
+rect 1393 131 1401 199
+rect 1348 115 1401 131
+rect 1469 199 1522 263
+rect 1469 131 1477 199
+rect 1511 131 1522 199
+rect 1469 115 1522 131
+rect 1552 199 1608 263
+rect 1552 131 1563 199
+rect 1597 131 1608 199
+rect 1552 115 1608 131
+rect 1638 199 1691 263
+rect 1638 131 1649 199
+rect 1683 131 1691 199
+rect 1638 115 1691 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 929 35 1201
+rect 69 929 80 1201
+rect 27 817 80 929
+rect 110 1201 166 1217
+rect 110 929 121 1201
+rect 155 929 166 1201
+rect 110 817 166 929
+rect 196 1201 249 1217
+rect 196 929 207 1201
+rect 241 929 249 1201
+rect 196 817 249 929
+rect 303 1201 356 1217
+rect 303 725 311 1201
+rect 345 725 356 1201
+rect 303 617 356 725
+rect 386 617 428 1217
+rect 458 1201 548 1217
+rect 458 657 469 1201
+rect 537 657 548 1201
+rect 458 617 548 657
+rect 578 617 620 1217
+rect 650 1201 706 1217
+rect 650 725 661 1201
+rect 695 725 706 1201
+rect 650 617 706 725
+rect 736 617 778 1217
+rect 808 1201 898 1217
+rect 808 725 819 1201
+rect 887 725 898 1201
+rect 808 617 898 725
+rect 928 617 970 1217
+rect 1000 1201 1056 1217
+rect 1000 657 1011 1201
+rect 1045 657 1056 1201
+rect 1000 617 1056 657
+rect 1086 1201 1139 1217
+rect 1086 657 1097 1201
+rect 1131 657 1139 1201
+rect 1193 1201 1246 1217
+rect 1193 929 1201 1201
+rect 1235 929 1246 1201
+rect 1193 817 1246 929
+rect 1276 1201 1332 1217
+rect 1276 929 1287 1201
+rect 1321 929 1332 1201
+rect 1276 817 1332 929
+rect 1362 1201 1415 1217
+rect 1362 929 1373 1201
+rect 1407 929 1415 1201
+rect 1362 817 1415 929
+rect 1469 1201 1522 1217
+rect 1469 861 1477 1201
+rect 1511 861 1522 1201
+rect 1469 817 1522 861
+rect 1552 1201 1608 1217
+rect 1552 861 1563 1201
+rect 1597 861 1608 1201
+rect 1552 817 1608 861
+rect 1638 1201 1691 1217
+rect 1638 861 1649 1201
+rect 1683 861 1691 1201
+rect 1638 817 1691 861
+rect 1086 617 1139 657
+<< ndiffc >>
+rect 35 131 69 199
+rect 193 131 227 199
+rect 311 131 345 267
+rect 469 131 537 267
+rect 661 131 695 199
+rect 819 131 887 267
+rect 1011 131 1045 267
+rect 1097 131 1131 267
+rect 1201 131 1235 199
+rect 1359 131 1393 199
+rect 1477 131 1511 199
+rect 1563 131 1597 199
+rect 1649 131 1683 199
+<< pdiffc >>
+rect 35 929 69 1201
+rect 121 929 155 1201
+rect 207 929 241 1201
+rect 311 725 345 1201
+rect 469 657 537 1201
+rect 661 725 695 1201
+rect 819 725 887 1201
+rect 1011 657 1045 1201
+rect 1097 657 1131 1201
+rect 1201 929 1235 1201
+rect 1287 929 1321 1201
+rect 1373 929 1407 1201
+rect 1477 861 1511 1201
+rect 1563 861 1597 1201
+rect 1649 861 1683 1201
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+<< nsubdiff >>
+rect 26 1271 50 1305
+rect 84 1271 108 1305
+rect 162 1271 186 1305
+rect 220 1271 244 1305
+rect 298 1271 322 1305
+rect 356 1271 380 1305
+rect 434 1271 458 1305
+rect 492 1271 516 1305
+rect 570 1271 594 1305
+rect 628 1271 652 1305
+rect 706 1271 730 1305
+rect 764 1271 788 1305
+rect 842 1271 866 1305
+rect 900 1271 924 1305
+rect 978 1271 1002 1305
+rect 1036 1271 1060 1305
+rect 1114 1271 1138 1305
+rect 1172 1271 1196 1305
+rect 1250 1271 1274 1305
+rect 1308 1271 1332 1305
+rect 1386 1271 1410 1305
+rect 1444 1271 1468 1305
+rect 1522 1271 1546 1305
+rect 1580 1271 1604 1305
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+<< nsubdiffcont >>
+rect 50 1271 84 1305
+rect 186 1271 220 1305
+rect 322 1271 356 1305
+rect 458 1271 492 1305
+rect 594 1271 628 1305
+rect 730 1271 764 1305
+rect 866 1271 900 1305
+rect 1002 1271 1036 1305
+rect 1138 1271 1172 1305
+rect 1274 1271 1308 1305
+rect 1410 1271 1444 1305
+rect 1546 1271 1580 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 166 1217 196 1243
+rect 356 1217 386 1243
+rect 428 1217 458 1243
+rect 548 1217 578 1243
+rect 620 1217 650 1243
+rect 706 1217 736 1243
+rect 778 1217 808 1243
+rect 898 1217 928 1243
+rect 970 1217 1000 1243
+rect 1056 1217 1086 1243
+rect 1246 1217 1276 1243
+rect 1332 1217 1362 1243
+rect 1522 1217 1552 1243
+rect 1608 1217 1638 1243
+rect 80 403 110 817
+rect 166 494 196 817
+rect 37 387 110 403
+rect 37 353 47 387
+rect 81 353 110 387
+rect 37 337 110 353
+rect 80 263 110 337
+rect 152 478 233 494
+rect 152 444 189 478
+rect 223 444 233 478
+rect 152 428 233 444
+rect 356 477 386 617
+rect 428 586 458 617
+rect 428 570 482 586
+rect 428 536 438 570
+rect 472 536 482 570
+rect 428 520 482 536
+rect 356 461 410 477
+rect 548 475 578 617
+rect 620 580 650 617
+rect 706 580 736 617
+rect 620 570 736 580
+rect 620 536 652 570
+rect 686 536 736 570
+rect 620 526 736 536
+rect 778 475 808 617
+rect 898 586 928 617
+rect 874 570 928 586
+rect 874 536 884 570
+rect 918 536 928 570
+rect 874 520 928 536
+rect 152 263 182 428
+rect 356 427 366 461
+rect 400 427 410 461
+rect 356 411 410 427
+rect 452 445 904 475
+rect 356 315 386 411
+rect 452 367 482 445
+rect 874 403 904 445
+rect 970 471 1000 617
+rect 1056 586 1086 617
+rect 1056 570 1127 586
+rect 1056 556 1083 570
+rect 1067 536 1083 556
+rect 1117 536 1127 570
+rect 1067 520 1127 536
+rect 970 455 1024 471
+rect 970 421 980 455
+rect 1014 421 1024 455
+rect 970 405 1024 421
+rect 428 337 482 367
+rect 524 387 578 403
+rect 524 353 534 387
+rect 568 353 578 387
+rect 524 337 578 353
+rect 428 315 458 337
+rect 548 315 578 337
+rect 620 387 736 397
+rect 620 353 652 387
+rect 686 353 736 387
+rect 620 343 736 353
+rect 620 315 650 343
+rect 706 315 736 343
+rect 778 387 832 403
+rect 778 353 788 387
+rect 822 353 832 387
+rect 778 337 832 353
+rect 874 387 928 403
+rect 874 353 884 387
+rect 918 353 928 387
+rect 874 337 928 353
+rect 778 315 808 337
+rect 898 315 928 337
+rect 970 315 1000 405
+rect 1067 367 1097 520
+rect 1246 403 1276 817
+rect 1056 337 1097 367
+rect 1193 387 1276 403
+rect 1193 353 1203 387
+rect 1237 353 1276 387
+rect 1193 337 1276 353
+rect 1056 315 1086 337
+rect 1246 263 1276 337
+rect 1332 351 1362 817
+rect 1522 601 1552 817
+rect 1512 571 1552 601
+rect 1512 471 1542 571
+rect 1608 512 1638 817
+rect 1487 455 1542 471
+rect 1487 421 1497 455
+rect 1531 421 1542 455
+rect 1584 496 1638 512
+rect 1584 462 1594 496
+rect 1628 462 1638 496
+rect 1584 446 1638 462
+rect 1487 405 1542 421
+rect 1512 360 1542 405
+rect 1332 335 1399 351
+rect 1318 301 1355 335
+rect 1389 301 1399 335
+rect 1512 330 1552 360
+rect 1318 285 1399 301
+rect 1318 263 1348 285
+rect 1522 263 1552 330
+rect 1608 263 1638 446
+rect 80 89 110 115
+rect 152 89 182 115
+rect 356 89 386 115
+rect 428 89 458 115
+rect 548 89 578 115
+rect 620 89 650 115
+rect 706 89 736 115
+rect 778 89 808 115
+rect 898 89 928 115
+rect 970 89 1000 115
+rect 1056 89 1086 115
+rect 1246 89 1276 115
+rect 1318 89 1348 115
+rect 1522 89 1552 115
+rect 1608 89 1638 115
+<< polycont >>
+rect 47 353 81 387
+rect 189 444 223 478
+rect 438 536 472 570
+rect 652 536 686 570
+rect 884 536 918 570
+rect 366 427 400 461
+rect 1083 536 1117 570
+rect 980 421 1014 455
+rect 534 353 568 387
+rect 652 353 686 387
+rect 788 353 822 387
+rect 884 353 918 387
+rect 1203 353 1237 387
+rect 1497 421 1531 455
+rect 1594 462 1628 496
+rect 1355 301 1389 335
+<< locali >>
+rect 0 1315 1738 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1738 1315
+rect 35 1201 69 1271
+rect 35 913 69 929
+rect 121 1201 155 1217
+rect 47 387 81 403
+rect 47 313 81 353
+rect 121 387 155 929
+rect 207 1201 241 1271
+rect 207 913 241 929
+rect 311 1201 345 1271
+rect 311 709 345 725
+rect 469 1201 537 1217
+rect 661 1201 695 1271
+rect 661 709 695 725
+rect 819 1201 887 1217
+rect 469 654 537 657
+rect 819 654 887 725
+rect 121 233 155 353
+rect 189 620 537 654
+rect 720 620 887 654
+rect 1011 1201 1045 1271
+rect 1011 641 1045 657
+rect 1097 1201 1131 1217
+rect 1201 1201 1235 1271
+rect 1201 913 1235 929
+rect 1287 1201 1321 1217
+rect 1097 654 1131 657
+rect 1097 620 1187 654
+rect 189 478 223 620
+rect 438 570 472 586
+rect 438 535 472 536
+rect 652 570 686 586
+rect 472 501 568 535
+rect 189 370 223 444
+rect 366 461 400 477
+rect 366 411 400 427
+rect 534 387 568 501
+rect 652 387 686 536
+rect 189 336 500 370
+rect 534 337 568 353
+rect 652 337 686 353
+rect 720 387 754 620
+rect 884 570 918 586
+rect 884 535 918 536
+rect 466 283 500 336
+rect 720 303 754 353
+rect 788 501 884 535
+rect 1083 570 1117 586
+rect 1083 535 1117 536
+rect 788 387 822 501
+rect 1151 467 1187 620
+rect 964 421 980 455
+rect 1014 421 1030 455
+rect 1097 433 1187 467
+rect 1287 455 1321 929
+rect 1373 1201 1407 1271
+rect 1373 913 1407 929
+rect 1477 1201 1511 1217
+rect 1477 609 1511 861
+rect 1563 1201 1597 1271
+rect 1563 845 1597 861
+rect 1649 1201 1683 1217
+rect 1649 683 1683 861
+rect 1682 666 1683 683
+rect 1682 649 1706 666
+rect 1649 632 1706 649
+rect 1477 570 1511 575
+rect 1477 536 1628 570
+rect 1594 496 1628 536
+rect 1097 387 1131 433
+rect 1287 421 1497 455
+rect 1531 421 1547 455
+rect 868 353 884 387
+rect 918 353 1131 387
+rect 1187 353 1203 387
+rect 1237 353 1253 387
+rect 788 337 822 353
+rect 35 199 155 233
+rect 311 267 345 283
+rect 193 199 227 215
+rect 35 115 69 131
+rect 193 61 227 131
+rect 466 267 537 283
+rect 720 269 887 303
+rect 466 249 469 267
+rect 311 61 345 131
+rect 819 267 887 269
+rect 469 115 537 131
+rect 661 199 695 215
+rect 661 61 695 131
+rect 819 115 887 131
+rect 1011 267 1045 283
+rect 1011 61 1045 131
+rect 1097 267 1131 353
+rect 1287 233 1321 421
+rect 1594 387 1628 462
+rect 1477 353 1628 387
+rect 1355 335 1389 351
+rect 1097 115 1131 131
+rect 1201 199 1321 233
+rect 1359 199 1393 215
+rect 1201 115 1235 131
+rect 1359 61 1393 131
+rect 1477 199 1511 353
+rect 1672 320 1706 632
+rect 1649 286 1706 320
+rect 1477 115 1511 131
+rect 1563 199 1597 215
+rect 1563 61 1597 131
+rect 1649 199 1683 286
+rect 1649 115 1683 131
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1738 61
+rect 0 0 1738 17
+<< viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 47 279 81 313
+rect 121 353 155 387
+rect 438 501 472 535
+rect 366 427 400 461
+rect 634 353 652 387
+rect 652 353 668 387
+rect 720 353 754 387
+rect 884 501 918 535
+rect 1083 501 1117 535
+rect 980 421 1014 455
+rect 1648 649 1682 683
+rect 1477 575 1511 609
+rect 1497 421 1531 455
+rect 1203 353 1237 387
+rect 1355 301 1389 313
+rect 1355 279 1389 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+<< metal1 >>
+rect 0 1315 1738 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1738 1315
+rect 0 1271 1738 1281
+rect 1636 683 1694 689
+rect 1614 649 1648 683
+rect 1682 649 1694 683
+rect 1636 643 1694 649
+rect 1465 609 1523 615
+rect 1442 575 1477 609
+rect 1511 575 1523 609
+rect 1465 569 1523 575
+rect 426 535 484 541
+rect 872 535 930 541
+rect 1071 535 1129 541
+rect 426 501 438 535
+rect 472 501 884 535
+rect 918 501 1083 535
+rect 1117 501 1129 535
+rect 426 495 484 501
+rect 872 495 930 501
+rect 1071 495 1129 501
+rect 354 461 412 467
+rect 354 427 366 461
+rect 400 427 434 461
+rect 968 455 1026 461
+rect 1485 455 1543 461
+rect 354 421 412 427
+rect 968 421 980 455
+rect 1014 421 1497 455
+rect 1531 421 1543 455
+rect 968 415 1026 421
+rect 1485 415 1543 421
+rect 109 387 167 393
+rect 622 387 680 393
+rect 109 353 121 387
+rect 155 353 634 387
+rect 668 353 680 387
+rect 109 347 167 353
+rect 622 347 680 353
+rect 708 387 766 393
+rect 1191 387 1249 393
+rect 708 353 720 387
+rect 754 353 1203 387
+rect 1237 353 1249 387
+rect 708 347 766 353
+rect 1191 347 1249 353
+rect 35 313 93 319
+rect 1343 313 1401 319
+rect 35 279 47 313
+rect 81 279 1355 313
+rect 1389 279 1401 313
+rect 35 273 93 279
+rect 1343 273 1401 279
+rect 0 51 1738 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1738 51
+rect 0 0 1738 17
+<< labels >>
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 383 444 383 444 1 D
+port 1 n
+rlabel viali 1100 518 1100 518 1 CK
+port 2 n
+rlabel viali 1665 666 1665 666 1 Q
+port 4 n
+rlabel viali 1495 592 1495 592 1 QN
+port 3 n
+rlabel viali 64 296 64 296 1 SN
+<< end >>
diff --git a/lib/18T_hs/DFFSRX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__dffsr_1.mag
similarity index 84%
copy from lib/18T_hs/DFFSRX1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__dffsr_1.mag
index 2bb1b6a..ba7ced9 100644
--- a/lib/18T_hs/DFFSRX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__dffsr_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542092
+timestamp 1603863488
 << nwell >>
 rect -10 581 2090 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 272 115 302 263
 rect 370 115 400 315
@@ -452,9 +452,10 @@
 rect 1608 484 1638 617
 rect 1694 579 1724 617
 rect 1884 601 1914 617
-rect 1694 549 1751 579
-rect 1721 521 1751 549
+rect 1694 553 1751 579
 rect 1874 571 1914 601
+rect 1694 549 1775 553
+rect 1721 521 1775 549
 rect 1576 468 1638 484
 rect 1576 434 1588 468
 rect 1622 434 1638 468
@@ -525,23 +526,23 @@
 rect 1956 462 1990 496
 rect 1755 301 1789 335
 << locali >>
-rect 0 1305 2090 1332
-rect 0 1271 50 1305
-rect 84 1271 186 1305
-rect 220 1271 322 1305
-rect 356 1271 458 1305
-rect 492 1271 594 1305
-rect 628 1271 730 1305
-rect 764 1271 866 1305
-rect 900 1271 1002 1305
-rect 1036 1271 1138 1305
-rect 1172 1271 1274 1305
-rect 1308 1271 1410 1305
-rect 1444 1271 1546 1305
-rect 1580 1271 1682 1305
-rect 1716 1271 1818 1305
-rect 1852 1271 1954 1305
-rect 1988 1271 2090 1305
+rect 0 1315 2090 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1954 1315
+rect 1988 1271 2090 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -682,24 +683,54 @@
 rect 1925 61 1959 131
 rect 2011 267 2045 286
 rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
 << viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 1954 1305 1988 1315
+rect 1954 1281 1988 1305
 rect 47 649 81 683
 rect 325 575 359 609
 rect 325 353 359 387
@@ -721,8 +752,55 @@
 rect 1502 353 1536 387
 rect 1755 301 1789 313
 rect 1755 279 1789 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
 << metal1 >>
-rect 0 1271 2090 1332
+rect 0 1315 2090 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1954 1315
+rect 1988 1281 2090 1315
+rect 0 1271 2090 1281
 rect 35 683 93 689
 rect 1999 683 2057 689
 rect 35 649 47 683
@@ -784,7 +862,24 @@
 rect 1789 279 1801 313
 rect 217 273 275 279
 rect 1743 273 1801 279
-rect 0 0 2090 61
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
 << labels >>
 rlabel metal1 659 444 659 444 1 D
 port 1 n
@@ -798,8 +893,6 @@
 port 5 n
 rlabel metal1 2028 666 2028 666 1 Q
 port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-rlabel locali 67 1288 67 1288 1 vdd
-rlabel metal1 67 1288 67 1288 1 vdd
+rlabel viali 67 1288 67 1288 1 vdd
+rlabel viali 67 44 67 44 1 gnd
 << end >>
diff --git a/lib/18T_hs/DFFSRXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__dffsr_l.mag
similarity index 84%
copy from lib/18T_hs/DFFSRXL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__dffsr_l.mag
index a79ec75..1740b1a 100644
--- a/lib/18T_hs/DFFSRXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__dffsr_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542136
+timestamp 1603863586
 << nwell >>
 rect -10 581 2090 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 272 115 302 263
 rect 370 115 400 315
@@ -524,23 +524,23 @@
 rect 1956 462 1990 496
 rect 1755 301 1789 335
 << locali >>
-rect 0 1305 2090 1332
-rect 0 1271 50 1305
-rect 84 1271 186 1305
-rect 220 1271 322 1305
-rect 356 1271 458 1305
-rect 492 1271 594 1305
-rect 628 1271 730 1305
-rect 764 1271 866 1305
-rect 900 1271 1002 1305
-rect 1036 1271 1138 1305
-rect 1172 1271 1274 1305
-rect 1308 1271 1410 1305
-rect 1444 1271 1546 1305
-rect 1580 1271 1682 1305
-rect 1716 1271 1818 1305
-rect 1852 1271 1954 1305
-rect 1988 1271 2090 1305
+rect 0 1315 2090 1332
+rect 0 1271 50 1315
+rect 84 1271 186 1315
+rect 220 1271 322 1315
+rect 356 1271 458 1315
+rect 492 1271 594 1315
+rect 628 1271 730 1315
+rect 764 1271 866 1315
+rect 900 1271 1002 1315
+rect 1036 1271 1138 1315
+rect 1172 1271 1274 1315
+rect 1308 1271 1410 1315
+rect 1444 1271 1546 1315
+rect 1580 1271 1682 1315
+rect 1716 1271 1818 1315
+rect 1852 1271 1954 1315
+rect 1988 1271 2090 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -683,24 +683,54 @@
 rect 1925 61 1959 131
 rect 2011 199 2045 286
 rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
+rect 0 17 50 61
+rect 84 17 186 61
+rect 220 17 322 61
+rect 356 17 458 61
+rect 492 17 594 61
+rect 628 17 730 61
+rect 764 17 866 61
+rect 900 17 1002 61
+rect 1036 17 1138 61
+rect 1172 17 1274 61
+rect 1308 17 1410 61
+rect 1444 17 1546 61
+rect 1580 17 1682 61
+rect 1716 17 1818 61
+rect 1852 17 1954 61
+rect 1988 17 2090 61
+rect 0 0 2090 17
 << viali >>
+rect 50 1305 84 1315
+rect 50 1281 84 1305
+rect 186 1305 220 1315
+rect 186 1281 220 1305
+rect 322 1305 356 1315
+rect 322 1281 356 1305
+rect 458 1305 492 1315
+rect 458 1281 492 1305
+rect 594 1305 628 1315
+rect 594 1281 628 1305
+rect 730 1305 764 1315
+rect 730 1281 764 1305
+rect 866 1305 900 1315
+rect 866 1281 900 1305
+rect 1002 1305 1036 1315
+rect 1002 1281 1036 1305
+rect 1138 1305 1172 1315
+rect 1138 1281 1172 1305
+rect 1274 1305 1308 1315
+rect 1274 1281 1308 1305
+rect 1410 1305 1444 1315
+rect 1410 1281 1444 1305
+rect 1546 1305 1580 1315
+rect 1546 1281 1580 1305
+rect 1682 1305 1716 1315
+rect 1682 1281 1716 1305
+rect 1818 1305 1852 1315
+rect 1818 1281 1852 1305
+rect 1954 1305 1988 1315
+rect 1954 1281 1988 1305
 rect 47 649 81 683
 rect 325 575 359 609
 rect 325 353 359 387
@@ -721,8 +751,55 @@
 rect 1502 353 1536 387
 rect 1755 301 1789 313
 rect 1755 279 1789 301
+rect 50 27 84 51
+rect 50 17 84 27
+rect 186 27 220 51
+rect 186 17 220 27
+rect 322 27 356 51
+rect 322 17 356 27
+rect 458 27 492 51
+rect 458 17 492 27
+rect 594 27 628 51
+rect 594 17 628 27
+rect 730 27 764 51
+rect 730 17 764 27
+rect 866 27 900 51
+rect 866 17 900 27
+rect 1002 27 1036 51
+rect 1002 17 1036 27
+rect 1138 27 1172 51
+rect 1138 17 1172 27
+rect 1274 27 1308 51
+rect 1274 17 1308 27
+rect 1410 27 1444 51
+rect 1410 17 1444 27
+rect 1546 27 1580 51
+rect 1546 17 1580 27
+rect 1682 27 1716 51
+rect 1682 17 1716 27
+rect 1818 27 1852 51
+rect 1818 17 1852 27
+rect 1954 27 1988 51
+rect 1954 17 1988 27
 << metal1 >>
-rect 0 1271 2090 1332
+rect 0 1315 2090 1332
+rect 0 1281 50 1315
+rect 84 1281 186 1315
+rect 220 1281 322 1315
+rect 356 1281 458 1315
+rect 492 1281 594 1315
+rect 628 1281 730 1315
+rect 764 1281 866 1315
+rect 900 1281 1002 1315
+rect 1036 1281 1138 1315
+rect 1172 1281 1274 1315
+rect 1308 1281 1410 1315
+rect 1444 1281 1546 1315
+rect 1580 1281 1682 1315
+rect 1716 1281 1818 1315
+rect 1852 1281 1954 1315
+rect 1988 1281 2090 1315
+rect 0 1271 2090 1281
 rect 35 683 93 689
 rect 1998 683 2056 689
 rect 35 649 47 683
@@ -784,7 +861,24 @@
 rect 1789 279 1801 313
 rect 217 273 275 279
 rect 1743 273 1801 279
-rect 0 0 2090 61
+rect 0 51 2090 61
+rect 0 17 50 51
+rect 84 17 186 51
+rect 220 17 322 51
+rect 356 17 458 51
+rect 492 17 594 51
+rect 628 17 730 51
+rect 764 17 866 51
+rect 900 17 1002 51
+rect 1036 17 1138 51
+rect 1172 17 1274 51
+rect 1308 17 1410 51
+rect 1444 17 1546 51
+rect 1580 17 1682 51
+rect 1716 17 1818 51
+rect 1852 17 1954 51
+rect 1988 17 2090 51
+rect 0 0 2090 17
 << labels >>
 rlabel metal1 659 444 659 444 1 D
 port 1 n
@@ -798,8 +892,6 @@
 port 5 n
 rlabel metal1 65 666 65 666 1 RN
 port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-rlabel locali 67 1288 67 1288 1 vdd
-rlabel metal1 67 1288 67 1288 1 vdd
+rlabel viali 67 44 67 44 1 gnd
+rlabel viali 67 1288 67 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_1.mag
similarity index 82%
copy from lib/18T_hs/FILLX1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__fill_1.mag
index 4ad0c98..95c509c 100644
--- a/lib/18T_hs/FILLX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_1.mag
@@ -15,8 +15,6 @@
 rect 0 1271 22 1332
 rect 0 0 22 61
 << labels >>
-rlabel locali 11 28 11 28 1 gnd
 rlabel metal1 11 28 11 28 1 gnd
-rlabel locali 11 1299 11 1299 1 vdd
 rlabel metal1 11 1299 11 1299 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX16.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_16.mag
similarity index 79%
copy from lib/18T_hs/FILLX16.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__fill_16.mag
index 48447df..0359d8e 100644
--- a/lib/18T_hs/FILLX16.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_16.mag
@@ -11,8 +11,6 @@
 rect 0 1271 352 1332
 rect 0 0 352 61
 << labels >>
-rlabel locali 196 30 196 30 1 gnd
 rlabel metal1 196 30 196 30 1 gnd
-rlabel locali 199 1300 199 1300 1 vdd
 rlabel metal1 199 1300 199 1300 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX2.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_2.mag
similarity index 82%
copy from lib/18T_hs/FILLX2.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__fill_2.mag
index ac1af58..6563ebf 100644
--- a/lib/18T_hs/FILLX2.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_2.mag
@@ -15,8 +15,6 @@
 rect 0 1271 44 1332
 rect 0 0 44 61
 << labels >>
-rlabel locali 23 28 23 28 1 gnd
 rlabel metal1 23 28 23 28 1 gnd
-rlabel locali 22 1300 22 1300 1 vdd
 rlabel metal1 22 1300 22 1300 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX32.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_32.mag
similarity index 79%
copy from lib/18T_hs/FILLX32.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__fill_32.mag
index 997244a..6fa9b88 100644
--- a/lib/18T_hs/FILLX32.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_32.mag
@@ -11,8 +11,6 @@
 rect 0 1271 704 1332
 rect 0 0 704 61
 << labels >>
-rlabel locali 363 26 363 26 1 gnd
 rlabel metal1 363 26 363 26 1 gnd
-rlabel locali 374 1298 374 1298 1 vdd
 rlabel metal1 374 1298 374 1298 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX4.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_4.mag
similarity index 81%
copy from lib/18T_hs/FILLX4.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__fill_4.mag
index 091e088..0304d99 100644
--- a/lib/18T_hs/FILLX4.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_4.mag
@@ -13,8 +13,6 @@
 rect 0 1271 88 1332
 rect 0 0 88 61
 << labels >>
-rlabel locali 71 28 71 28 1 gnd
 rlabel metal1 71 28 71 28 1 gnd
-rlabel locali 72 1301 72 1301 1 vdd
 rlabel metal1 72 1301 72 1301 1 vdd
 << end >>
diff --git a/lib/18T_hs/FILLX8.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_8.mag
similarity index 79%
copy from lib/18T_hs/FILLX8.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__fill_8.mag
index 02ebc8a..c59d34e 100644
--- a/lib/18T_hs/FILLX8.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__fill_8.mag
@@ -11,8 +11,6 @@
 rect 0 1271 176 1332
 rect 0 0 176 61
 << labels >>
-rlabel locali 112 28 112 28 1 gnd
 rlabel metal1 112 28 112 28 1 gnd
-rlabel locali 111 1303 111 1303 1 vdd
 rlabel metal1 111 1303 111 1303 1 vdd
 << end >>
diff --git a/lib/18T_hs/INVX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_1.mag
similarity index 78%
copy from lib/18T_hs/INVX1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__inv_1.mag
index a42fbd3..fa0053a 100644
--- a/lib/18T_hs/INVX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476292
+timestamp 1603863700
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 << pmos >>
 rect 80 617 110 1217
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -68,15 +68,22 @@
 rect 35 61 69 131
 rect 121 267 155 279
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -90,12 +97,13 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 151 441 151 441 1 Y
 port 1 n
 rlabel metal1 64 666 64 666 1 A
diff --git a/lib/18T_hs/INVX10.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_10.mag
similarity index 82%
copy from lib/18T_hs/INVX10.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__inv_10.mag
index 4986375..22c4f22 100644
--- a/lib/18T_hs/INVX10.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_10.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598539184
+timestamp 1603863912
 << nwell >>
 rect -9 581 990 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -239,15 +239,15 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -295,16 +295,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -316,8 +330,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -359,12 +396,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVX2.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_2.mag
similarity index 78%
copy from lib/18T_hs/INVX2.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__inv_2.mag
index 2c459ab..25b1955 100644
--- a/lib/18T_hs/INVX2.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_2.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600522917
+timestamp 1603863719
 << nwell >>
 rect -9 581 287 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 << pmos >>
@@ -80,10 +80,10 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -99,16 +99,28 @@
 rect 121 115 155 131
 rect 207 267 241 283
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -122,14 +134,16 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
 port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 << end >>
diff --git a/lib/18T_hs/INVX3.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_3.mag
similarity index 82%
copy from lib/18T_hs/INVX3.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__inv_3.mag
index 1d37618..f612f2e 100644
--- a/lib/18T_hs/INVX3.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_3.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476324
+timestamp 1603863791
 << nwell >>
 rect -9 581 375 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -96,10 +96,10 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -119,18 +119,30 @@
 rect 207 61 241 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
 rect 121 279 155 313
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -151,12 +163,14 @@
 rect 327 279 339 313
 rect 109 273 167 279
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVX4.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_4.mag
similarity index 81%
copy from lib/18T_hs/INVX4.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__inv_4.mag
index 2673eed..bf86165 100644
--- a/lib/18T_hs/INVX4.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_4.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476333
+timestamp 1603863747
 << nwell >>
 rect -9 581 463 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -118,11 +118,11 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -146,19 +146,36 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
 rect 121 279 155 313
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -179,12 +196,15 @@
 rect 327 279 339 313
 rect 109 273 167 279
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 665 64 665 1 A
 port 1 n
 rlabel metal1 152 440 152 440 1 Y
diff --git a/lib/18T_hs/INVX6.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_6.mag
similarity index 82%
copy from lib/18T_hs/INVX6.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__inv_6.mag
index 49ff152..4310b98 100644
--- a/lib/18T_hs/INVX6.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_6.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476352
+timestamp 1603863829
 << nwell >>
 rect -9 581 639 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -157,12 +157,12 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -194,13 +194,21 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -208,8 +216,22 @@
 rect 121 279 155 313
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -237,12 +259,16 @@
 rect 109 273 167 279
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 665 64 665 1 A
 port 1 n
 rlabel metal1 152 440 152 440 1 Y
diff --git a/lib/18T_hs/INVX8.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_8.mag
similarity index 83%
copy from lib/18T_hs/INVX8.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__inv_8.mag
index 15ce451..4826616 100644
--- a/lib/18T_hs/INVX8.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_8.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598476343
+timestamp 1603863864
 << nwell >>
 rect -9 581 814 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -195,13 +195,13 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 814 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 814 1305
+rect 0 1315 814 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 814 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -241,14 +241,24 @@
 rect 637 115 671 131
 rect 723 267 757 283
 rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 814 61
+rect 0 0 814 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 293 575 327 609
@@ -258,8 +268,25 @@
 rect 293 279 327 313
 rect 465 279 499 313
 rect 637 279 671 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
 << metal1 >>
-rect 0 1271 814 1332
+rect 0 1315 814 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 814 1315
+rect 0 1271 814 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -294,12 +321,17 @@
 rect 281 273 339 279
 rect 453 273 511 279
 rect 625 273 683 279
-rect 0 0 814 61
+rect 0 51 814 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 814 51
+rect 0 0 814 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 152 440 152 440 1 Y
 port 1 n
 rlabel metal1 64 665 64 665 1 A
diff --git a/lib/18T_hs/INVXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_l.mag
similarity index 78%
copy from lib/18T_hs/INVXL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__inv_l.mag
index e287f1d..f69d776 100644
--- a/lib/18T_hs/INVXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__inv_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542173
+timestamp 1603863931
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 << pmos >>
 rect 80 817 110 1217
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 444 124 478
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 913 69 929
 rect 121 1201 155 1217
@@ -68,15 +68,22 @@
 rect 35 61 69 131
 rect 121 199 155 279
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 47 649 81 683
 rect 121 575 155 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 127 683
@@ -90,12 +97,13 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 64 666 64 666 1 A
 port 1 n
 rlabel metal1 151 441 151 441 1 Y
diff --git a/lib/18T_ms/sky130_osu_sc_18T_ms__mux2_1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__mux2_1.mag
new file mode 100644
index 0000000..35d302d
--- /dev/null
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__mux2_1.mag
@@ -0,0 +1,225 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603864309
+<< nwell >>
+rect -9 581 553 1341
+<< nmos >>
+rect 80 115 110 315
+rect 270 115 300 315
+rect 356 115 386 315
+<< pmos >>
+rect 80 617 110 1217
+rect 270 617 300 1217
+rect 356 617 386 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 267 163 315
+rect 110 131 121 267
+rect 155 131 163 267
+rect 110 115 163 131
+rect 217 267 270 315
+rect 217 131 225 267
+rect 259 131 270 267
+rect 217 115 270 131
+rect 300 267 356 315
+rect 300 131 311 267
+rect 345 131 356 267
+rect 300 115 356 131
+rect 386 267 439 315
+rect 386 131 397 267
+rect 431 131 439 267
+rect 386 115 439 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 1201 163 1217
+rect 110 657 121 1201
+rect 155 657 163 1201
+rect 110 617 163 657
+rect 217 1201 270 1217
+rect 217 657 225 1201
+rect 259 657 270 1201
+rect 217 617 270 657
+rect 300 1201 356 1217
+rect 300 657 311 1201
+rect 345 657 356 1201
+rect 300 617 356 657
+rect 386 1201 439 1217
+rect 386 657 397 1201
+rect 431 657 439 1201
+rect 386 617 439 657
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 267
+rect 225 131 259 267
+rect 311 131 345 267
+rect 397 131 431 267
+<< pdiffc >>
+rect 35 793 69 1201
+rect 121 657 155 1201
+rect 225 657 259 1201
+rect 311 657 345 1201
+rect 397 657 431 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+rect 435 27 459 61
+rect 493 27 517 61
+<< nsubdiff >>
+rect 435 1271 459 1305
+rect 493 1271 517 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+rect 459 27 493 61
+<< nsubdiffcont >>
+rect 459 1271 493 1305
+<< poly >>
+rect 80 1232 300 1262
+rect 80 1217 110 1232
+rect 270 1217 300 1232
+rect 356 1217 386 1243
+rect 80 494 110 617
+rect 270 591 300 617
+rect 152 555 218 565
+rect 152 521 168 555
+rect 202 541 218 555
+rect 356 541 386 617
+rect 202 521 386 541
+rect 152 511 386 521
+rect 27 478 110 494
+rect 27 444 37 478
+rect 71 469 110 478
+rect 71 444 386 469
+rect 27 439 386 444
+rect 27 428 110 439
+rect 80 315 110 428
+rect 152 387 218 397
+rect 152 353 168 387
+rect 202 373 218 387
+rect 202 353 300 373
+rect 152 343 300 353
+rect 270 315 300 343
+rect 356 315 386 439
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+<< polycont >>
+rect 168 521 202 555
+rect 37 444 71 478
+rect 168 353 202 387
+<< locali >>
+rect 0 1315 550 1332
+rect 0 1271 459 1315
+rect 493 1271 550 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 121 1201 155 1217
+rect 37 478 71 649
+rect 37 428 71 444
+rect 121 571 155 657
+rect 225 1201 259 1217
+rect 311 1201 345 1217
+rect 225 623 270 657
+rect 236 609 270 623
+rect 121 555 202 571
+rect 121 521 168 555
+rect 121 505 202 521
+rect 121 403 155 505
+rect 121 387 202 403
+rect 121 353 168 387
+rect 121 337 202 353
+rect 35 267 69 283
+rect 35 61 69 131
+rect 121 267 155 337
+rect 236 301 270 575
+rect 311 461 345 657
+rect 397 1201 431 1217
+rect 397 535 431 657
+rect 121 115 155 131
+rect 225 267 270 301
+rect 311 267 345 279
+rect 225 115 259 131
+rect 311 115 345 131
+rect 397 267 431 501
+rect 397 115 431 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 550 61
+rect 0 0 550 17
+<< viali >>
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 37 649 71 683
+rect 236 575 270 609
+rect 311 427 345 461
+rect 397 501 431 535
+rect 311 279 345 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+<< metal1 >>
+rect 0 1315 550 1332
+rect 0 1281 459 1315
+rect 493 1281 550 1315
+rect 0 1271 550 1281
+rect 25 683 83 689
+rect 25 649 37 683
+rect 71 649 117 683
+rect 25 643 83 649
+rect 224 609 282 615
+rect 190 575 236 609
+rect 270 575 282 609
+rect 224 569 282 575
+rect 385 535 443 541
+rect 351 501 397 535
+rect 431 501 443 535
+rect 385 495 443 501
+rect 299 461 357 467
+rect 299 427 311 461
+rect 345 427 357 461
+rect 299 421 357 427
+rect 311 319 345 421
+rect 299 313 357 319
+rect 299 279 311 313
+rect 345 279 357 313
+rect 299 273 357 279
+rect 0 51 550 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 550 51
+rect 0 0 550 17
+<< labels >>
+rlabel metal1 54 666 54 666 1 S0
+port 1 n
+rlabel metal1 328 444 328 444 1 Y
+port 2 n
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 476 1288 476 1288 1 vdd
+rlabel viali 476 1288 476 1288 1 vdd
+rlabel metal1 253 592 253 592 1 A0
+port 3 n
+rlabel metal1 414 518 414 518 1 A1
+port 4 n
+<< end >>
diff --git a/lib/18T_hs/NAND2X1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__nand2_1.mag
similarity index 79%
copy from lib/18T_hs/NAND2X1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__nand2_1.mag
index 5e8da9a..feff122 100644
--- a/lib/18T_hs/NAND2X1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__nand2_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598463762
+timestamp 1603864337
 << nwell >>
 rect -9 581 286 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 152 115 182 315
 << pmos >>
@@ -77,10 +77,10 @@
 rect 47 520 81 554
 rect 166 427 200 461
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 777 69 793
 rect 121 1201 155 1217
@@ -96,17 +96,29 @@
 rect 35 115 69 131
 rect 193 267 227 283
 rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 501 155 535
 rect 195 575 229 609
 rect 35 279 69 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 115 683
@@ -124,12 +136,14 @@
 rect 23 279 35 313
 rect 69 279 155 313
 rect 23 273 81 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 136 470 136 470 1 Y
 port 1 n
 rlabel metal1 64 666 64 666 1 A
diff --git a/lib/18T_hs/NAND2XL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__nand2_l.mag
similarity index 79%
copy from lib/18T_hs/NAND2XL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__nand2_l.mag
index 6b1d67b..183306d 100644
--- a/lib/18T_hs/NAND2XL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__nand2_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542315
+timestamp 1603864354
 << nwell >>
 rect -9 581 286 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 152 115 182 263
 << pmos >>
@@ -77,10 +77,10 @@
 rect 47 520 81 554
 rect 166 370 200 404
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1271
 rect 35 913 69 929
 rect 121 1201 155 1217
@@ -96,17 +96,29 @@
 rect 35 115 69 131
 rect 193 199 227 215
 rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 47 649 81 683
 rect 121 501 155 535
 rect 195 575 229 609
 rect 35 279 69 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 35 683 93 689
 rect 35 649 47 683
 rect 81 649 115 683
@@ -124,12 +136,14 @@
 rect 23 279 35 313
 rect 69 279 155 313
 rect 23 273 81 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 136 470 136 470 1 Y
 port 1 n
 rlabel metal1 212 592 212 592 1 B
diff --git a/lib/18T_hs/NOR2X1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__nor2_1.mag
similarity index 79%
copy from lib/18T_hs/NOR2X1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__nor2_1.mag
index ef32cbc..e7f8482 100644
--- a/lib/18T_hs/NOR2X1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__nor2_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598547791
+timestamp 1603864375
 << nwell >>
 rect -9 581 286 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 << pmos >>
@@ -77,10 +77,10 @@
 rect 181 534 215 568
 rect 66 401 100 435
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 193 1201 227 1271
 rect 193 777 227 793
@@ -96,17 +96,29 @@
 rect 121 115 155 131
 rect 207 267 241 283
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 181 649 215 683
 rect 35 501 69 535
 rect 113 575 147 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 169 683 227 689
 rect 148 649 181 683
 rect 215 649 227 683
@@ -124,12 +136,14 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 137 393 137 393 1 Y
 port 1 n
 rlabel metal1 130 592 130 592 1 B
diff --git a/lib/18T_hs/NOR2XL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__nor2_l.mag
similarity index 79%
copy from lib/18T_hs/NOR2XL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__nor2_l.mag
index 87ce88a..66501f3 100644
--- a/lib/18T_hs/NOR2XL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__nor2_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542633
+timestamp 1603864393
 << nwell >>
 rect -9 581 286 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
 << pmos >>
@@ -77,10 +77,10 @@
 rect 181 534 215 568
 rect 66 401 100 435
 << locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
+rect 0 1315 286 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 286 1315
 rect 35 1201 69 1217
 rect 35 535 69 861
 rect 193 1201 227 1271
@@ -96,17 +96,29 @@
 rect 121 115 155 131
 rect 207 199 241 215
 rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 286 61
+rect 0 0 286 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 181 649 215 683
 rect 35 501 69 535
 rect 113 575 147 609
 rect 121 279 155 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 286 1332
+rect 0 1315 286 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 286 1315
+rect 0 1271 286 1281
 rect 169 683 227 689
 rect 148 649 181 683
 rect 215 649 227 683
@@ -124,12 +136,14 @@
 rect 109 279 121 313
 rect 155 279 167 313
 rect 109 273 167 279
-rect 0 0 286 61
+rect 0 51 286 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 286 51
+rect 0 0 286 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 137 393 137 393 1 Y
 port 1 n
 rlabel metal1 198 666 198 666 1 A
diff --git a/lib/18T_hs/OAI21XL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__oai21_l.mag
similarity index 83%
copy from lib/18T_hs/OAI21XL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__oai21_l.mag
index 62e3c26..b3e45b8 100644
--- a/lib/18T_hs/OAI21XL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__oai21_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542663
+timestamp 1603864420
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -101,10 +101,10 @@
 rect 152 427 186 461
 rect 262 359 296 393
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 193 1201 227 1271
 rect 193 845 227 861
@@ -128,18 +128,30 @@
 rect 207 115 241 131
 rect 293 266 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 66 649 100 683
 rect 162 575 196 609
 rect 223 501 257 535
 rect 291 427 325 461
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 54 683 112 689
 rect 54 649 66 683
 rect 100 649 134 683
@@ -161,12 +173,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 83 666 83 666 1 A0
 port 1 n
 rlabel metal1 179 592 179 592 1 A1
diff --git a/lib/18T_ms/sky130_osu_sc_18T_ms__oai22_l.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__oai22_l.mag
new file mode 100644
index 0000000..b7483b9
--- /dev/null
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__oai22_l.mag
@@ -0,0 +1,237 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1603913328
+<< nwell >>
+rect -9 581 462 1341
+<< nmos >>
+rect 80 115 110 315
+rect 166 115 196 315
+rect 252 115 282 315
+rect 338 115 368 315
+<< pmos >>
+rect 80 617 110 1217
+rect 152 617 182 1217
+rect 252 617 282 1217
+rect 324 617 354 1217
+<< ndiff >>
+rect 27 267 80 315
+rect 27 131 35 267
+rect 69 131 80 267
+rect 27 115 80 131
+rect 110 199 166 315
+rect 110 131 121 199
+rect 155 131 166 199
+rect 110 115 166 131
+rect 196 267 252 315
+rect 196 131 207 267
+rect 241 131 252 267
+rect 196 115 252 131
+rect 282 267 338 315
+rect 282 199 293 267
+rect 327 199 338 267
+rect 282 115 338 199
+rect 368 199 421 315
+rect 368 131 379 199
+rect 413 131 421 199
+rect 368 115 421 131
+<< pdiff >>
+rect 27 1201 80 1217
+rect 27 793 35 1201
+rect 69 793 80 1201
+rect 27 617 80 793
+rect 110 617 152 1217
+rect 182 1201 252 1217
+rect 182 725 200 1201
+rect 234 725 252 1201
+rect 182 617 252 725
+rect 282 617 324 1217
+rect 354 1201 407 1217
+rect 354 793 365 1201
+rect 399 793 407 1201
+rect 354 617 407 793
+<< ndiffc >>
+rect 35 131 69 267
+rect 121 131 155 199
+rect 207 131 241 267
+rect 293 199 327 267
+rect 379 131 413 199
+<< pdiffc >>
+rect 35 793 69 1201
+rect 200 725 234 1201
+rect 365 793 399 1201
+<< psubdiff >>
+rect 27 27 51 61
+rect 85 27 109 61
+rect 163 27 187 61
+rect 221 27 245 61
+rect 299 27 323 61
+rect 357 27 381 61
+<< nsubdiff >>
+rect 27 1271 51 1305
+rect 85 1271 109 1305
+rect 163 1271 187 1305
+rect 221 1271 245 1305
+rect 299 1271 323 1305
+rect 357 1271 381 1305
+<< psubdiffcont >>
+rect 51 27 85 61
+rect 187 27 221 61
+rect 323 27 357 61
+<< nsubdiffcont >>
+rect 51 1271 85 1305
+rect 187 1271 221 1305
+rect 323 1271 357 1305
+<< poly >>
+rect 80 1217 110 1243
+rect 152 1217 182 1243
+rect 252 1217 282 1243
+rect 324 1217 354 1243
+rect 80 585 110 617
+rect 56 569 110 585
+rect 56 535 66 569
+rect 100 535 110 569
+rect 56 519 110 535
+rect 56 370 86 519
+rect 152 471 182 617
+rect 130 461 196 471
+rect 130 427 146 461
+rect 180 427 196 461
+rect 130 417 196 427
+rect 56 340 110 370
+rect 80 315 110 340
+rect 166 315 196 417
+rect 252 409 282 617
+rect 324 592 354 617
+rect 324 562 368 592
+rect 338 478 368 562
+rect 338 462 430 478
+rect 338 428 384 462
+rect 418 428 430 462
+rect 338 412 430 428
+rect 238 393 292 409
+rect 238 359 248 393
+rect 282 359 292 393
+rect 238 343 292 359
+rect 252 315 282 343
+rect 338 315 368 412
+rect 80 89 110 115
+rect 166 89 196 115
+rect 252 89 282 115
+rect 338 89 368 115
+<< polycont >>
+rect 66 535 100 569
+rect 146 427 180 461
+rect 384 428 418 462
+rect 248 359 282 393
+<< locali >>
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
+rect 35 1201 69 1271
+rect 35 777 69 793
+rect 200 1201 234 1217
+rect 365 1201 399 1271
+rect 365 777 399 793
+rect 200 700 234 725
+rect 200 666 350 700
+rect 66 569 100 649
+rect 66 519 100 535
+rect 146 575 162 609
+rect 146 461 180 575
+rect 146 411 180 427
+rect 223 409 257 501
+rect 223 393 282 409
+rect 223 359 248 393
+rect 223 343 282 359
+rect 316 387 350 666
+rect 384 462 418 478
+rect 384 412 418 428
+rect 35 267 241 301
+rect 35 115 69 131
+rect 121 199 155 215
+rect 121 61 155 131
+rect 293 279 316 283
+rect 293 267 350 279
+rect 327 249 350 267
+rect 293 183 327 199
+rect 379 199 413 215
+rect 241 131 379 149
+rect 207 115 413 131
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
+<< viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 66 649 100 683
+rect 162 575 196 609
+rect 223 501 257 535
+rect 384 428 418 462
+rect 316 353 350 387
+rect 316 279 350 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+<< metal1 >>
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
+rect 54 683 112 689
+rect 54 649 66 683
+rect 100 649 134 683
+rect 54 643 112 649
+rect 150 609 208 615
+rect 150 575 162 609
+rect 196 575 230 609
+rect 150 569 208 575
+rect 211 535 269 541
+rect 189 501 223 535
+rect 257 501 269 535
+rect 211 495 269 501
+rect 372 462 430 468
+rect 350 428 384 462
+rect 418 428 430 462
+rect 372 422 430 428
+rect 304 387 362 393
+rect 304 353 316 387
+rect 350 353 362 387
+rect 304 347 362 353
+rect 316 319 350 347
+rect 304 313 362 319
+rect 304 279 316 313
+rect 350 279 362 313
+rect 304 273 362 279
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
+<< labels >>
+rlabel viali 68 44 68 44 1 gnd
+rlabel metal1 240 518 240 518 1 B0
+port 4 n
+rlabel viali 179 592 179 592 1 A1
+port 2 n
+rlabel viali 83 666 83 666 1 A0
+port 1 n
+rlabel viali 333 370 333 370 1 Y
+port 3 n
+rlabel viali 401 445 401 445 1 B1
+rlabel viali 68 1288 68 1288 1 vdd
+<< end >>
diff --git a/lib/18T_hs/OR2X1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_1.mag
similarity index 82%
copy from lib/18T_hs/OR2X1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__or2_1.mag
index 3ced65a..b6c2fa8 100644
--- a/lib/18T_hs/OR2X1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_1.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600495020
+timestamp 1603864446
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -101,10 +101,10 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -127,17 +127,29 @@
 rect 207 61 241 131
 rect 293 267 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X2.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_2.mag
similarity index 81%
copy from lib/18T_hs/OR2X2.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__or2_2.mag
index 46894eb..a5a449d 100644
--- a/lib/18T_hs/OR2X2.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_2.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494574
+timestamp 1603864470
 << nwell >>
 rect -9 581 462 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -124,11 +124,11 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
+rect 0 1315 462 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 462 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -155,18 +155,35 @@
 rect 293 115 327 131
 rect 379 267 413 283
 rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 462 61
+rect 0 0 462 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
 << metal1 >>
-rect 0 1271 462 1332
+rect 0 1315 462 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 462 1315
+rect 0 1271 462 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -184,12 +201,15 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 462 61
+rect 0 51 462 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 462 51
+rect 0 0 462 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X4.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_4.mag
similarity index 83%
rename from lib/18T_hs/OR2X4.mag
rename to lib/18T_ms/sky130_osu_sc_18T_ms__or2_4.mag
index 3556e2d..2245790 100644
--- a/lib/18T_hs/OR2X4.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_4.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494627
+timestamp 1603864501
 << nwell >>
 rect -9 581 638 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -162,12 +162,12 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -202,21 +202,43 @@
 rect 465 115 499 131
 rect 551 267 585 283
 rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 465 501 499 535
 rect 293 279 327 313
 rect 465 279 499 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -241,12 +263,16 @@
 rect 499 279 511 313
 rect 281 273 339 279
 rect 453 273 511 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2X8.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_8.mag
similarity index 82%
copy from lib/18T_hs/OR2X8.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__or2_8.mag
index 93d4ad3..8666313 100644
--- a/lib/18T_hs/OR2X8.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_8.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600494660
+timestamp 1603864544
 << nwell >>
 rect -9 581 990 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 252 115 282 315
@@ -245,15 +245,15 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
+rect 0 1315 990 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 595 1315
+rect 629 1271 731 1315
+rect 765 1271 867 1315
+rect 901 1271 990 1315
 rect 35 1201 69 1217
 rect 207 1201 241 1271
 rect 207 777 241 793
@@ -304,16 +304,30 @@
 rect 809 115 843 131
 rect 895 267 929 283
 rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 595 61
+rect 629 17 731 61
+rect 765 17 867 61
+rect 901 17 990 61
+rect 0 0 990 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
+rect 595 1305 629 1315
+rect 595 1281 629 1305
+rect 731 1305 765 1315
+rect 731 1281 765 1305
+rect 867 1305 901 1315
+rect 867 1281 901 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
@@ -324,8 +338,31 @@
 rect 465 279 499 313
 rect 637 279 671 313
 rect 809 279 843 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
+rect 595 27 629 51
+rect 595 17 629 27
+rect 731 27 765 51
+rect 731 17 765 27
+rect 867 27 901 51
+rect 867 17 901 27
 << metal1 >>
-rect 0 1271 990 1332
+rect 0 1315 990 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 595 1315
+rect 629 1281 731 1315
+rect 765 1281 867 1315
+rect 901 1281 990 1315
+rect 0 1271 990 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -365,12 +402,19 @@
 rect 453 273 511 279
 rect 625 273 683 279
 rect 797 273 855 279
-rect 0 0 990 61
+rect 0 51 990 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 595 51
+rect 629 17 731 51
+rect 765 17 867 51
+rect 901 17 990 51
+rect 0 0 990 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 190 666 190 666 1 A
diff --git a/lib/18T_hs/OR2XL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_l.mag
similarity index 82%
copy from lib/18T_hs/OR2XL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__or2_l.mag
index 582d20f..3936c17 100644
--- a/lib/18T_hs/OR2XL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__or2_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542689
+timestamp 1603864574
 << nwell >>
 rect -9 581 374 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
 rect 252 115 282 263
@@ -101,10 +101,10 @@
 rect 173 460 207 494
 rect 269 370 303 404
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 743 69 929
 rect 207 1201 241 1271
@@ -127,17 +127,29 @@
 rect 207 61 241 131
 rect 293 199 327 279
 rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 37 575 71 609
 rect 173 649 207 683
 rect 293 501 327 535
 rect 293 279 327 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 161 683 219 689
 rect 140 649 173 683
 rect 207 649 219 683
@@ -155,12 +167,14 @@
 rect 281 279 293 313
 rect 327 279 339 313
 rect 281 273 339 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 311 444 311 444 1 Y
 port 1 n
 rlabel metal1 54 592 54 592 1 B
diff --git a/lib/12T_hs/TBUFIX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__tbufi_1.mag
similarity index 83%
rename from lib/12T_hs/TBUFIX1.mag
rename to lib/18T_ms/sky130_osu_sc_18T_ms__tbufi_1.mag
index bc0eda4..0b96d3c 100644
--- a/lib/12T_hs/TBUFIX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__tbufi_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598626416
+timestamp 1603864606
 << nwell >>
 rect -9 581 375 1341
 << nmos >>
@@ -98,10 +98,10 @@
 rect 121 353 155 387
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 709 155 725
@@ -122,17 +122,29 @@
 rect 121 61 155 131
 rect 279 267 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 279 501 313 535
 rect 121 353 155 387
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -154,12 +166,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TBUFIXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__tbufi_l.mag
similarity index 82%
copy from lib/18T_hs/TBUFIXL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__tbufi_l.mag
index 5ed46c8..7408d03 100644
--- a/lib/18T_hs/TBUFIXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__tbufi_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542760
+timestamp 1603864958
 << nwell >>
 rect -9 581 375 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
 rect 238 115 268 263
@@ -98,10 +98,10 @@
 rect 121 353 155 387
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 513 69 861
 rect 121 1201 155 1271
@@ -122,17 +122,29 @@
 rect 121 61 155 131
 rect 279 199 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 279 501 313 535
 rect 121 353 155 387
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -154,12 +166,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TIEHI.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__tiehi.mag
similarity index 75%
copy from lib/18T_hs/TIEHI.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__tiehi.mag
index 9b44fa5..eab20e3 100644
--- a/lib/18T_hs/TIEHI.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__tiehi.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548654
+timestamp 1603865006
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 << pmos >>
 rect 80 617 110 1217
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 383 124 417
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 641 69 657
 rect 121 1201 155 1217
@@ -67,23 +67,31 @@
 rect 35 61 69 131
 rect 121 267 155 383
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 121 575 155 609
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 94 609 167 615
 rect 94 575 121 609
 rect 155 575 167 609
 rect 94 569 167 575
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 138 592 138 592 1 Y
 port 1 n
 << end >>
diff --git a/lib/18T_hs/TIELO.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__tielo.mag
similarity index 75%
copy from lib/18T_hs/TIELO.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__tielo.mag
index a42df51..ee5c3ad 100644
--- a/lib/18T_hs/TIELO.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__tielo.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598548691
+timestamp 1603865020
 << nwell >>
 rect -9 581 199 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 << pmos >>
 rect 80 617 110 1217
@@ -54,9 +54,9 @@
 << polycont >>
 rect 90 515 124 549
 << locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
+rect 0 1315 198 1332
+rect 0 1271 51 1315
+rect 85 1271 198 1315
 rect 35 1201 69 1271
 rect 35 641 69 657
 rect 121 1201 155 1217
@@ -67,23 +67,31 @@
 rect 35 61 69 131
 rect 121 267 155 353
 rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
+rect 0 17 51 61
+rect 85 17 198 61
+rect 0 0 198 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
 rect 121 353 155 387
+rect 51 27 85 51
+rect 51 17 85 27
 << metal1 >>
-rect 0 1271 198 1332
+rect 0 1315 198 1332
+rect 0 1281 51 1315
+rect 85 1281 198 1315
+rect 0 1271 198 1281
 rect 94 387 167 393
 rect 94 353 121 387
 rect 155 353 167 387
 rect 94 347 167 353
-rect 0 0 198 61
+rect 0 51 198 61
+rect 0 17 51 51
+rect 85 17 198 51
+rect 0 0 198 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 138 370 138 370 1 Y
 port 1 n
 << end >>
diff --git a/lib/12T_hs/TNBUFIX1.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__tnbufi_1.mag
similarity index 82%
copy from lib/12T_hs/TNBUFIX1.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__tnbufi_1.mag
index 37c4ab2..4b8f4a0 100644
--- a/lib/12T_hs/TNBUFIX1.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__tnbufi_1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1598625848
+timestamp 1603865046
 << nwell >>
 rect -9 581 375 1341
 << nmos >>
@@ -97,10 +97,10 @@
 rect 121 418 155 452
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 709 155 725
@@ -121,17 +121,29 @@
 rect 121 61 155 131
 rect 279 267 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 121 575 155 609
 rect 279 501 313 535
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -149,12 +161,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/TNBUFIXL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__tnbufi_l.mag
similarity index 82%
copy from lib/18T_hs/TNBUFIXL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__tnbufi_l.mag
index f19e271..b85083e 100644
--- a/lib/18T_hs/TNBUFIXL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__tnbufi_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1600542746
+timestamp 1603865067
 << nwell >>
 rect -9 581 375 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 263
 rect 166 115 196 263
 rect 238 115 268 263
@@ -97,10 +97,10 @@
 rect 121 418 155 452
 rect 248 401 282 435
 << locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
+rect 0 1315 374 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 374 1315
 rect 35 1201 69 1217
 rect 35 452 69 861
 rect 121 1201 155 1271
@@ -121,17 +121,29 @@
 rect 121 61 155 131
 rect 279 199 313 279
 rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 374 61
+rect 0 0 374 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
 rect 211 649 245 683
 rect 121 575 155 609
 rect 279 501 313 535
 rect 279 279 313 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
 << metal1 >>
-rect 0 1271 374 1332
+rect 0 1315 374 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 374 1315
+rect 0 1271 374 1281
 rect 199 683 257 689
 rect 177 649 211 683
 rect 245 649 257 683
@@ -149,12 +161,14 @@
 rect 267 279 279 313
 rect 313 279 325 313
 rect 267 273 325 279
-rect 0 0 374 61
+rect 0 51 374 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 374 51
+rect 0 0 374 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 305 364 305 364 1 Y
 port 1 n
 rlabel metal1 228 666 228 666 1 A
diff --git a/lib/18T_hs/XNOR2XL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__xnor2_l.mag
similarity index 83%
copy from lib/18T_hs/XNOR2XL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__xnor2_l.mag
index 84e1d94..5cba490 100644
--- a/lib/18T_hs/XNOR2XL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__xnor2_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1599065241
+timestamp 1603865125
 << nwell >>
 rect -9 581 638 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 238 115 268 315
@@ -169,12 +169,12 @@
 rect 336 353 370 387
 rect 489 353 523 387
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 35 495 69 657
 rect 121 1201 155 1271
@@ -212,13 +212,21 @@
 rect 471 199 505 215
 rect 471 61 505 131
 rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 268 657 279 683
 rect 279 657 302 683
 rect 268 649 302 657
@@ -226,8 +234,22 @@
 rect 268 353 302 387
 rect 489 353 523 387
 rect 412 279 446 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 256 683 314 689
 rect 256 649 268 683
 rect 302 649 314 683
@@ -248,12 +270,16 @@
 rect 446 279 458 313
 rect 140 273 198 279
 rect 400 273 458 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 170 296 170 296 1 A
 port 1 n
 rlabel metal1 506 370 506 370 1 B
diff --git a/lib/18T_hs/XOR2XL.mag b/lib/18T_ms/sky130_osu_sc_18T_ms__xor2_l.mag
similarity index 83%
copy from lib/18T_hs/XOR2XL.mag
copy to lib/18T_ms/sky130_osu_sc_18T_ms__xor2_l.mag
index 911cde7..d29a060 100644
--- a/lib/18T_hs/XOR2XL.mag
+++ b/lib/18T_ms/sky130_osu_sc_18T_ms__xor2_l.mag
@@ -1,10 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1599060112
+timestamp 1603865151
 << nwell >>
 rect -9 581 638 1341
-<< nmoslvt >>
+<< nmos >>
 rect 80 115 110 315
 rect 166 115 196 315
 rect 238 115 268 315
@@ -168,12 +168,12 @@
 rect 430 427 464 461
 rect 248 353 282 387
 << locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
+rect 0 1315 638 1332
+rect 0 1271 51 1315
+rect 85 1271 187 1315
+rect 221 1271 323 1315
+rect 357 1271 459 1315
+rect 493 1271 638 1315
 rect 35 1201 69 1217
 rect 121 1201 155 1271
 rect 121 777 155 793
@@ -213,20 +213,42 @@
 rect 471 61 505 131
 rect 557 267 591 353
 rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
+rect 0 17 51 61
+rect 85 17 187 61
+rect 221 17 323 61
+rect 357 17 459 61
+rect 493 17 638 61
+rect 0 0 638 17
 << viali >>
+rect 51 1305 85 1315
+rect 51 1281 85 1305
+rect 187 1305 221 1315
+rect 187 1281 221 1305
+rect 323 1305 357 1315
+rect 323 1281 357 1305
+rect 459 1305 493 1315
+rect 459 1281 493 1305
 rect 200 649 234 683
 rect 412 649 446 683
 rect 268 501 302 535
 rect 489 575 523 609
 rect 296 279 330 313
+rect 51 27 85 51
+rect 51 17 85 27
+rect 187 27 221 51
+rect 187 17 221 27
+rect 323 27 357 51
+rect 323 17 357 27
+rect 459 27 493 51
+rect 459 17 493 27
 << metal1 >>
-rect 0 1271 638 1332
+rect 0 1315 638 1332
+rect 0 1281 51 1315
+rect 85 1281 187 1315
+rect 221 1281 323 1315
+rect 357 1281 459 1315
+rect 493 1281 638 1315
+rect 0 1271 638 1281
 rect 188 683 246 689
 rect 400 683 458 689
 rect 188 649 200 683
@@ -247,12 +269,16 @@
 rect 268 279 296 313
 rect 330 279 342 313
 rect 284 273 342 279
-rect 0 0 638 61
+rect 0 51 638 61
+rect 0 17 51 51
+rect 85 17 187 51
+rect 221 17 323 51
+rect 357 17 459 51
+rect 493 17 638 51
+rect 0 0 638 17
 << labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
+rlabel viali 68 44 68 44 1 gnd
+rlabel viali 68 1288 68 1288 1 vdd
 rlabel metal1 218 666 218 666 1 A
 port 1 n
 rlabel metal1 285 486 285 486 1 Y
diff --git a/lib/Makefile b/lib/Makefile
index 4f69dc4..eaf1896 100644
--- a/lib/Makefile
+++ b/lib/Makefile
@@ -1,10 +1,11 @@
-VARIANT:=18T
+VARIANT:=18T_ms
 
 .PHONY: all magic sue pex clean purge
 
 all: magic sue pex
 
 magic:
+	-@cp ${VARIANT}/*.mag magic/
 	-@cd magic && ./extract_all
 
 sue:
@@ -12,11 +13,14 @@
 
 pex:
 	-@cd ../calibre && ./clean && ./run_calibrePEX
-	-@cp -r output ../lib/output
+	@mkdir -p output/${VARIANT}
+	-@cd ../calibre && cp -r output/${VARIANT} ../lib/output/
 
 clean:
 	-@cd magic && ./clean_all
-	-@cd sue && ./clean_all
-	@rm -rf spice sim gds
+	-@cd magic && rm *.mag
+	@rm -rf spice gds
 
 purge: clean
+	-@cd sue && ./clean_all
+	@rm -rf sim
diff --git a/lib/magic/.magicrc b/lib/magic/.magicrc
index bf18fc2..6249e40 100755
--- a/lib/magic/.magicrc
+++ b/lib/magic/.magicrc
@@ -11,14 +11,14 @@
 # drc off
 drc euclidean on
 
-set PDKPATH "~/projects/efabless/tech/SkyWater/EFS8A"
+#set PDKPATH "~/projects/efabless/tech/SkyWater/EFS8A"
 
 # loading technology
 #tech load $PDKPATH/libs.tech/magic/EFS8A.tech
 tech load ../../char/techfiles/sky130A.tech
 
 # load device generator
-source $PDKPATH/libs.tech/magic/EFS8A.tcl
+#source $PDKPATH/libs.tech/magic/EFS8A.tcl
 
 # load bind keys (optional)
 # source $PDKPATH/libs.tech/magic/EFS8A-BindKeys
@@ -27,17 +27,17 @@
 snap internal
 
 # add path to reference cells
-set MAGPATH "${PDKPATH}/libs.ref/mag"
-addpath ${MAGPATH}/primdev
-addpath ${MAGPATH}/s8fmlt
-addpath ${MAGPATH}/s8iom0
-addpath ${MAGPATH}/scs8hd
-addpath ${MAGPATH}/scs8hdll
-addpath ${MAGPATH}/scs8hvl
-addpath ${MAGPATH}/scs8hs
-addpath ${MAGPATH}/scs8ms
-addpath ${MAGPATH}/scs8ls
-addpath ${MAGPATH}/scs8lp
+#set MAGPATH "${PDKPATH}/libs.ref/mag"
+#addpath ${MAGPATH}/primdev
+#addpath ${MAGPATH}/s8fmlt
+#addpath ${MAGPATH}/s8iom0
+#addpath ${MAGPATH}/scs8hd
+#addpath ${MAGPATH}/scs8hdll
+#addpath ${MAGPATH}/scs8hvl
+#addpath ${MAGPATH}/scs8hs
+#addpath ${MAGPATH}/scs8ms
+#addpath ${MAGPATH}/scs8ls
+#addpath ${MAGPATH}/scs8lp
 
 # add path to GDS cells
 
@@ -79,8 +79,10 @@
 macro Control_XK_Pointer_Button5 "stretch S 34"
 macro Control_Shift_XK_Q "stretch W 88"
 macro Control_Shift_XK_R "stretch E 88"
-macro Control_Shift_XK_W "stretch N 116"
-macro Control_Shift_XK_E "stretch S 348"
+macro Control_Shift_XK_W "stretch N 28"
+macro Control_Shift_XK_E "stretch N 4"
+#macro Control_Shift_XK_W "stretch S 6"
+#macro Control_Shift_XK_E "stretch S 8"
 
 macro Control_Shift_XK_Pointer_Button4 "stretch N 17"
 macro Control_Shift_XK_Pointer_Button5 "stretch S 17"
@@ -88,3 +90,12 @@
 macro Control_Shift_XK_F "select area {poly polycont}"
 macro Control_Shift_XK_D "select area {ndc li1}"
 macro Control_Shift_XK_S "select area ntrans"
+
+macro Shift_D "select clear; move S 10; paint m1c"
+macro Shift_F "select clear; move N 10; paint m1c"
+
+
+macro Shift_B "box height 1.0um; move S 1.655um; select area; erase; select clear; move N 1um; select area; move S 1um; select clear; box height 0.26um; move S 4.35um;  select area; erase; select clear; move S 0.655um; box height 0.655um; select area; move N 0.26um; select clear; box height 20um; select area; move S 0.26um"
+
+
+macro Shift_N "box height 1.74um; move S 2.395um; select area; erase; select clear; move N 1.74um; select area; move S 1.74um; select clear; box height 0.48um; move S 3.61um;  select area; erase; select clear; move S 0.655um; box height 0.655um; select area; move N 0.48um; select clear; box height 20um; select area; move S 0.48um"
diff --git a/lib/magic/18_to_12 b/lib/magic/18_to_12
new file mode 100755
index 0000000..45e7718
--- /dev/null
+++ b/lib/magic/18_to_12
@@ -0,0 +1,3 @@
+#!/bin/bash
+
+echo "box values -500 1332 5000 1400; box height 1.74um; move S 2.395um; select area; erase; select clear; move N 1.74um; select area; move S 1.74um; select clear; box height 0.48um; move S 3.61um;  select area; erase; select clear; move S 0.655um; box height 0.655um; select area; move N 0.48um; select clear; box height 20um; select area; move S 0.48um; save; exit" | magic -dnull -noconsole $1
diff --git a/lib/magic/18_to_15 b/lib/magic/18_to_15
new file mode 100755
index 0000000..c1db36d
--- /dev/null
+++ b/lib/magic/18_to_15
@@ -0,0 +1,3 @@
+#!/bin/bash
+
+echo "box values -500 1332 5000 1400; box height 1.0um; move S 1.655um; select area; erase; select clear; move N 1um; select area; move S 1um; select clear; box height 0.26um; move S 4.35um;  select area; erase; select clear; move S 0.655um; box height 0.655um; select area; move N 0.26um; select clear; box height 20um; select area; move S 0.26um; save; exit" | magic -dnull -noconsole $1
diff --git a/lib/magic/ADDFX1.mag b/lib/magic/ADDFX1.mag
deleted file mode 100644
index 141d7c4..0000000
--- a/lib/magic/ADDFX1.mag
+++ /dev/null
@@ -1,586 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600543577
-<< nwell >>
-rect -9 581 1435 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 410 115 440 315
-rect 496 115 526 315
-rect 582 115 612 315
-rect 668 115 698 315
-rect 754 115 784 315
-rect 840 115 870 315
-rect 922 115 952 315
-rect 1004 115 1034 315
-rect 1102 115 1132 315
-rect 1292 115 1322 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 410 617 440 1217
-rect 496 617 526 1217
-rect 582 617 612 1217
-rect 668 617 698 1217
-rect 754 617 784 1217
-rect 840 617 870 1217
-rect 922 617 952 1217
-rect 1004 617 1034 1217
-rect 1102 617 1132 1217
-rect 1292 617 1322 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 115 410 315
-rect 440 267 496 315
-rect 440 131 451 267
-rect 485 131 496 267
-rect 440 115 496 131
-rect 526 267 582 315
-rect 526 131 537 267
-rect 571 131 582 267
-rect 526 115 582 131
-rect 612 199 668 315
-rect 612 131 623 199
-rect 657 131 668 199
-rect 612 115 668 131
-rect 698 267 754 315
-rect 698 131 709 267
-rect 743 131 754 267
-rect 698 115 754 131
-rect 784 199 840 315
-rect 784 131 795 199
-rect 829 131 840 199
-rect 784 115 840 131
-rect 870 115 922 315
-rect 952 115 1004 315
-rect 1034 267 1102 315
-rect 1034 131 1045 267
-rect 1079 131 1102 267
-rect 1034 115 1102 131
-rect 1132 199 1185 315
-rect 1132 131 1143 199
-rect 1177 131 1185 199
-rect 1132 115 1185 131
-rect 1239 199 1292 315
-rect 1239 131 1247 199
-rect 1281 131 1292 199
-rect 1239 115 1292 131
-rect 1322 267 1375 315
-rect 1322 131 1333 267
-rect 1367 131 1375 267
-rect 1322 115 1375 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 725 35 1201
-rect 69 725 80 1201
-rect 27 617 80 725
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 1201 252 1217
-rect 196 725 207 1201
-rect 241 725 252 1201
-rect 196 617 252 725
-rect 282 1201 338 1217
-rect 282 725 293 1201
-rect 327 725 338 1201
-rect 282 617 338 725
-rect 368 617 410 1217
-rect 440 1201 496 1217
-rect 440 725 451 1201
-rect 485 725 496 1201
-rect 440 617 496 725
-rect 526 1201 582 1217
-rect 526 725 537 1201
-rect 571 725 582 1201
-rect 526 617 582 725
-rect 612 1201 668 1217
-rect 612 793 623 1201
-rect 657 793 668 1201
-rect 612 617 668 793
-rect 698 1201 754 1217
-rect 698 725 709 1201
-rect 743 725 754 1201
-rect 698 617 754 725
-rect 784 1201 840 1217
-rect 784 725 795 1201
-rect 829 725 840 1201
-rect 784 617 840 725
-rect 870 617 922 1217
-rect 952 617 1004 1217
-rect 1034 1201 1102 1217
-rect 1034 793 1045 1201
-rect 1079 793 1102 1201
-rect 1034 617 1102 793
-rect 1132 1201 1185 1217
-rect 1132 725 1143 1201
-rect 1177 725 1185 1201
-rect 1132 617 1185 725
-rect 1239 1201 1292 1217
-rect 1239 657 1247 1201
-rect 1281 657 1292 1201
-rect 1239 617 1292 657
-rect 1322 1201 1375 1217
-rect 1322 657 1333 1201
-rect 1367 657 1375 1201
-rect 1322 617 1375 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 207 131 241 267
-rect 293 131 327 267
-rect 451 131 485 267
-rect 537 131 571 267
-rect 623 131 657 199
-rect 709 131 743 267
-rect 795 131 829 199
-rect 1045 131 1079 267
-rect 1143 131 1177 199
-rect 1247 131 1281 199
-rect 1333 131 1367 267
-<< pdiffc >>
-rect 35 725 69 1201
-rect 121 793 155 1201
-rect 207 725 241 1201
-rect 293 725 327 1201
-rect 451 725 485 1201
-rect 537 725 571 1201
-rect 623 793 657 1201
-rect 709 725 743 1201
-rect 795 725 829 1201
-rect 1045 793 1079 1201
-rect 1143 725 1177 1201
-rect 1247 657 1281 1201
-rect 1333 657 1367 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-rect 843 1271 867 1305
-rect 901 1271 925 1305
-rect 979 1271 1003 1305
-rect 1037 1271 1061 1305
-rect 1115 1271 1139 1305
-rect 1173 1271 1197 1305
-rect 1251 1271 1275 1305
-rect 1309 1271 1333 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-rect 867 1271 901 1305
-rect 1003 1271 1037 1305
-rect 1139 1271 1173 1305
-rect 1275 1271 1309 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1245
-rect 338 1217 368 1245
-rect 410 1217 440 1243
-rect 496 1217 526 1243
-rect 582 1217 612 1245
-rect 668 1217 698 1245
-rect 754 1217 784 1245
-rect 840 1217 870 1245
-rect 922 1217 952 1245
-rect 1004 1217 1034 1245
-rect 1102 1217 1132 1245
-rect 1292 1217 1322 1245
-rect 80 403 110 617
-rect 166 585 196 617
-rect 152 569 206 585
-rect 152 535 162 569
-rect 196 535 206 569
-rect 152 519 206 535
-rect 70 387 124 403
-rect 70 353 80 387
-rect 114 353 124 387
-rect 70 337 124 353
-rect 80 315 110 337
-rect 166 315 196 519
-rect 252 477 282 617
-rect 338 519 368 617
-rect 410 592 440 617
-rect 496 592 526 617
-rect 410 562 526 592
-rect 338 503 430 519
-rect 238 461 292 477
-rect 238 427 248 461
-rect 282 427 292 461
-rect 238 411 292 427
-rect 338 469 386 503
-rect 420 469 430 503
-rect 338 453 430 469
-rect 252 315 282 411
-rect 338 315 368 453
-rect 472 403 502 562
-rect 582 403 612 617
-rect 668 551 698 617
-rect 656 535 710 551
-rect 656 501 666 535
-rect 700 501 710 535
-rect 656 485 710 501
-rect 472 387 526 403
-rect 472 367 482 387
-rect 410 353 482 367
-rect 516 353 526 387
-rect 410 337 526 353
-rect 568 387 622 403
-rect 568 353 578 387
-rect 612 353 622 387
-rect 568 337 622 353
-rect 410 315 440 337
-rect 496 315 526 337
-rect 582 315 612 337
-rect 668 315 698 485
-rect 754 403 784 617
-rect 840 551 870 617
-rect 826 535 880 551
-rect 826 501 836 535
-rect 870 501 880 535
-rect 826 485 880 501
-rect 922 513 952 617
-rect 1004 585 1034 617
-rect 1004 555 1048 585
-rect 1102 584 1132 617
-rect 922 497 976 513
-rect 742 387 796 403
-rect 742 353 752 387
-rect 786 353 796 387
-rect 742 337 796 353
-rect 754 315 784 337
-rect 840 315 870 485
-rect 922 463 932 497
-rect 966 463 976 497
-rect 922 447 976 463
-rect 922 315 952 447
-rect 1018 403 1048 555
-rect 1090 568 1144 584
-rect 1292 581 1322 617
-rect 1090 534 1100 568
-rect 1134 534 1144 568
-rect 1090 518 1144 534
-rect 1255 565 1322 581
-rect 1255 531 1265 565
-rect 1299 531 1322 565
-rect 1004 387 1058 403
-rect 1004 353 1014 387
-rect 1048 353 1058 387
-rect 1004 337 1058 353
-rect 1004 315 1034 337
-rect 1102 315 1132 518
-rect 1255 515 1322 531
-rect 1292 315 1322 515
-rect 80 81 110 115
-rect 166 82 196 115
-rect 252 82 282 115
-rect 338 82 368 115
-rect 410 82 440 115
-rect 496 82 526 115
-rect 582 82 612 115
-rect 668 82 698 115
-rect 754 82 784 115
-rect 840 82 870 115
-rect 922 82 952 115
-rect 1004 82 1034 115
-rect 1102 80 1132 115
-rect 1292 80 1322 115
-<< polycont >>
-rect 162 535 196 569
-rect 80 353 114 387
-rect 248 427 282 461
-rect 386 469 420 503
-rect 666 501 700 535
-rect 482 353 516 387
-rect 578 353 612 387
-rect 836 501 870 535
-rect 752 353 786 387
-rect 932 463 966 497
-rect 1100 534 1134 568
-rect 1265 531 1299 565
-rect 1014 353 1048 387
-<< locali >>
-rect 0 1305 1408 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1408 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 207 1201 241 1217
-rect 35 709 69 725
-rect 207 709 241 725
-rect 35 675 241 709
-rect 293 1201 327 1217
-rect 293 642 327 725
-rect 451 1201 485 1271
-rect 451 709 485 725
-rect 537 1201 571 1217
-rect 623 1201 657 1271
-rect 623 777 657 793
-rect 709 1201 743 1217
-rect 537 708 571 725
-rect 709 708 743 725
-rect 537 674 743 708
-rect 795 1201 829 1217
-rect 1045 1201 1079 1271
-rect 1045 777 1079 793
-rect 1143 1201 1177 1217
-rect 795 684 829 725
-rect 1143 684 1177 725
-rect 795 650 1100 684
-rect 293 605 350 642
-rect 795 641 829 650
-rect 80 535 162 569
-rect 196 535 212 569
-rect 248 461 282 477
-rect 248 411 282 427
-rect 64 353 80 387
-rect 114 353 130 387
-rect 316 370 350 605
-rect 752 606 829 641
-rect 578 535 612 541
-rect 386 503 444 535
-rect 420 501 444 503
-rect 650 501 666 535
-rect 700 501 716 535
-rect 386 453 420 469
-rect 578 387 612 501
-rect 666 461 700 501
-rect 752 461 786 606
-rect 1066 584 1100 650
-rect 1247 1201 1281 1271
-rect 1177 650 1202 667
-rect 1143 633 1202 650
-rect 1247 641 1281 657
-rect 1333 1201 1367 1217
-rect 1066 568 1134 584
-rect 1066 537 1100 568
-rect 820 501 836 535
-rect 870 501 886 535
-rect 1089 534 1100 537
-rect 1100 518 1134 534
-rect 932 497 966 513
-rect 932 461 966 463
-rect 1168 461 1202 633
-rect 1333 609 1367 657
-rect 752 427 879 461
-rect 1143 427 1202 461
-rect 1265 565 1299 581
-rect 293 336 350 370
-rect 466 353 482 387
-rect 516 353 532 387
-rect 736 353 752 387
-rect 786 353 811 387
-rect 578 337 612 353
-rect 293 313 327 336
-rect 35 267 241 286
-rect 69 252 207 267
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 207 114 241 131
-rect 777 313 811 353
-rect 293 267 327 279
-rect 293 114 327 131
-rect 451 267 485 283
-rect 451 61 485 131
-rect 537 267 743 286
-rect 571 252 709 267
-rect 537 114 571 131
-rect 623 199 657 215
-rect 623 61 657 131
-rect 845 215 879 427
-rect 998 353 1014 387
-rect 1048 353 1064 387
-rect 709 114 743 131
-rect 795 199 879 215
-rect 829 181 879 199
-rect 1045 267 1079 283
-rect 795 114 829 131
-rect 1045 61 1079 131
-rect 1143 199 1177 427
-rect 1265 313 1299 531
-rect 1245 279 1299 313
-rect 1333 267 1367 575
-rect 1143 115 1177 131
-rect 1247 199 1281 215
-rect 1247 61 1281 131
-rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
-<< viali >>
-rect 80 501 114 535
-rect 248 427 282 461
-rect 80 353 114 387
-rect 444 501 478 535
-rect 578 501 612 535
-rect 666 427 700 461
-rect 1143 650 1177 684
-rect 836 501 870 535
-rect 932 427 966 461
-rect 482 353 516 387
-rect 293 279 327 313
-rect 777 279 811 313
-rect 1014 353 1048 387
-rect 1211 279 1245 313
-rect 1333 575 1367 609
-<< metal1 >>
-rect 0 1271 1408 1332
-rect 1131 684 1189 690
-rect 1109 650 1143 684
-rect 1177 650 1189 684
-rect 1131 644 1189 650
-rect 1321 609 1379 615
-rect 1299 575 1333 609
-rect 1367 575 1379 609
-rect 1321 569 1379 575
-rect 68 535 126 541
-rect 432 535 490 541
-rect 566 535 624 541
-rect 824 535 882 541
-rect 68 501 80 535
-rect 114 501 444 535
-rect 478 501 578 535
-rect 612 502 836 535
-rect 612 501 734 502
-rect 812 501 836 502
-rect 870 501 882 535
-rect 68 495 126 501
-rect 432 495 490 501
-rect 566 495 624 501
-rect 824 495 882 501
-rect 236 461 294 467
-rect 654 461 712 467
-rect 920 461 978 467
-rect 80 427 248 461
-rect 282 427 666 461
-rect 700 427 932 461
-rect 966 427 978 461
-rect 236 421 294 427
-rect 654 421 712 427
-rect 920 421 978 427
-rect 68 387 126 393
-rect 470 387 528 393
-rect 1002 387 1060 393
-rect 68 353 80 387
-rect 114 353 482 387
-rect 516 353 1014 387
-rect 1048 353 1060 387
-rect 68 347 126 353
-rect 470 347 528 353
-rect 1002 347 1060 353
-rect 281 313 339 319
-rect 765 313 823 319
-rect 1199 313 1257 319
-rect 281 279 293 313
-rect 327 279 777 313
-rect 811 279 1211 313
-rect 1245 279 1257 313
-rect 281 273 339 279
-rect 765 273 823 279
-rect 1199 273 1257 279
-rect 0 0 1408 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 97 370 97 370 1 A
-port 1 n
-rlabel metal1 265 444 265 444 1 CI
-port 2 n
-rlabel metal1 129 518 129 518 1 B
-port 3 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 1228 296 1228 296 1 CON
-port 4 n
-rlabel metal1 1160 667 1160 667 1 S
-port 5 n
-rlabel metal1 1350 592 1350 592 1 CO
-port 6 n
-<< end >>
diff --git a/lib/magic/ADDFXL.mag b/lib/magic/ADDFXL.mag
deleted file mode 100644
index 405c696..0000000
--- a/lib/magic/ADDFXL.mag
+++ /dev/null
@@ -1,588 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600541074
-<< nwell >>
-rect -9 581 1435 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 410 115 440 315
-rect 496 115 526 315
-rect 582 115 612 315
-rect 668 115 698 315
-rect 754 115 784 315
-rect 840 115 870 315
-rect 922 115 952 315
-rect 1004 115 1034 315
-rect 1102 115 1132 263
-rect 1292 115 1322 263
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 410 617 440 1217
-rect 496 617 526 1217
-rect 582 617 612 1217
-rect 668 617 698 1217
-rect 754 617 784 1217
-rect 840 617 870 1217
-rect 922 617 952 1217
-rect 1004 617 1034 1217
-rect 1102 817 1132 1217
-rect 1292 817 1322 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 115 410 315
-rect 440 267 496 315
-rect 440 131 451 267
-rect 485 131 496 267
-rect 440 115 496 131
-rect 526 267 582 315
-rect 526 131 537 267
-rect 571 131 582 267
-rect 526 115 582 131
-rect 612 199 668 315
-rect 612 131 623 199
-rect 657 131 668 199
-rect 612 115 668 131
-rect 698 267 754 315
-rect 698 131 709 267
-rect 743 131 754 267
-rect 698 115 754 131
-rect 784 199 840 315
-rect 784 131 795 199
-rect 829 131 840 199
-rect 784 115 840 131
-rect 870 115 922 315
-rect 952 115 1004 315
-rect 1034 267 1087 315
-rect 1034 131 1045 267
-rect 1079 263 1087 267
-rect 1079 131 1102 263
-rect 1034 115 1102 131
-rect 1132 199 1185 263
-rect 1132 131 1143 199
-rect 1177 131 1185 199
-rect 1132 115 1185 131
-rect 1239 199 1292 263
-rect 1239 131 1247 199
-rect 1281 131 1292 199
-rect 1239 115 1292 131
-rect 1322 199 1375 263
-rect 1322 131 1333 199
-rect 1367 131 1375 199
-rect 1322 115 1375 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 725 35 1201
-rect 69 725 80 1201
-rect 27 617 80 725
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 1201 252 1217
-rect 196 725 207 1201
-rect 241 725 252 1201
-rect 196 617 252 725
-rect 282 1201 338 1217
-rect 282 725 293 1201
-rect 327 725 338 1201
-rect 282 617 338 725
-rect 368 617 410 1217
-rect 440 1201 496 1217
-rect 440 725 451 1201
-rect 485 725 496 1201
-rect 440 617 496 725
-rect 526 1201 582 1217
-rect 526 725 537 1201
-rect 571 725 582 1201
-rect 526 617 582 725
-rect 612 1201 668 1217
-rect 612 793 623 1201
-rect 657 793 668 1201
-rect 612 617 668 793
-rect 698 1201 754 1217
-rect 698 725 709 1201
-rect 743 725 754 1201
-rect 698 617 754 725
-rect 784 1201 840 1217
-rect 784 725 795 1201
-rect 829 725 840 1201
-rect 784 617 840 725
-rect 870 617 922 1217
-rect 952 617 1004 1217
-rect 1034 1201 1102 1217
-rect 1034 793 1045 1201
-rect 1079 817 1102 1201
-rect 1132 1201 1185 1217
-rect 1132 855 1143 1201
-rect 1177 855 1185 1201
-rect 1132 817 1185 855
-rect 1239 1201 1292 1217
-rect 1239 855 1247 1201
-rect 1281 855 1292 1201
-rect 1239 817 1292 855
-rect 1322 1201 1375 1217
-rect 1322 855 1333 1201
-rect 1367 855 1375 1201
-rect 1322 817 1375 855
-rect 1079 793 1087 817
-rect 1034 617 1087 793
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 207 131 241 267
-rect 293 131 327 267
-rect 451 131 485 267
-rect 537 131 571 267
-rect 623 131 657 199
-rect 709 131 743 267
-rect 795 131 829 199
-rect 1045 131 1079 267
-rect 1143 131 1177 199
-rect 1247 131 1281 199
-rect 1333 131 1367 199
-<< pdiffc >>
-rect 35 725 69 1201
-rect 121 793 155 1201
-rect 207 725 241 1201
-rect 293 725 327 1201
-rect 451 725 485 1201
-rect 537 725 571 1201
-rect 623 793 657 1201
-rect 709 725 743 1201
-rect 795 725 829 1201
-rect 1045 793 1079 1201
-rect 1143 855 1177 1201
-rect 1247 855 1281 1201
-rect 1333 855 1367 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-rect 843 1271 867 1305
-rect 901 1271 925 1305
-rect 979 1271 1003 1305
-rect 1037 1271 1061 1305
-rect 1115 1271 1139 1305
-rect 1173 1271 1197 1305
-rect 1251 1271 1275 1305
-rect 1309 1271 1333 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-rect 867 1271 901 1305
-rect 1003 1271 1037 1305
-rect 1139 1271 1173 1305
-rect 1275 1271 1309 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1245
-rect 338 1217 368 1245
-rect 410 1217 440 1243
-rect 496 1217 526 1243
-rect 582 1217 612 1245
-rect 668 1217 698 1245
-rect 754 1217 784 1245
-rect 840 1217 870 1245
-rect 922 1217 952 1245
-rect 1004 1217 1034 1245
-rect 1102 1217 1132 1245
-rect 1292 1217 1322 1245
-rect 80 403 110 617
-rect 166 585 196 617
-rect 152 569 206 585
-rect 152 535 162 569
-rect 196 535 206 569
-rect 152 519 206 535
-rect 70 387 124 403
-rect 70 353 80 387
-rect 114 353 124 387
-rect 70 337 124 353
-rect 80 315 110 337
-rect 166 315 196 519
-rect 252 477 282 617
-rect 338 519 368 617
-rect 410 592 440 617
-rect 496 592 526 617
-rect 410 562 526 592
-rect 338 503 430 519
-rect 238 461 292 477
-rect 238 427 248 461
-rect 282 427 292 461
-rect 238 411 292 427
-rect 338 469 386 503
-rect 420 469 430 503
-rect 338 453 430 469
-rect 252 315 282 411
-rect 338 315 368 453
-rect 472 403 502 562
-rect 582 403 612 617
-rect 668 551 698 617
-rect 656 535 710 551
-rect 656 501 666 535
-rect 700 501 710 535
-rect 656 485 710 501
-rect 472 387 526 403
-rect 472 367 482 387
-rect 410 353 482 367
-rect 516 353 526 387
-rect 410 337 526 353
-rect 568 387 622 403
-rect 568 353 578 387
-rect 612 353 622 387
-rect 568 337 622 353
-rect 410 315 440 337
-rect 496 315 526 337
-rect 582 315 612 337
-rect 668 315 698 485
-rect 754 403 784 617
-rect 840 551 870 617
-rect 826 535 880 551
-rect 826 501 836 535
-rect 870 501 880 535
-rect 826 485 880 501
-rect 922 513 952 617
-rect 1004 585 1034 617
-rect 1004 555 1048 585
-rect 1102 584 1132 817
-rect 922 497 976 513
-rect 742 387 796 403
-rect 742 353 752 387
-rect 786 353 796 387
-rect 742 337 796 353
-rect 754 315 784 337
-rect 840 315 870 485
-rect 922 463 932 497
-rect 966 463 976 497
-rect 922 447 976 463
-rect 922 315 952 447
-rect 1018 403 1048 555
-rect 1090 568 1144 584
-rect 1292 581 1322 817
-rect 1090 534 1100 568
-rect 1134 534 1144 568
-rect 1090 518 1144 534
-rect 1255 565 1322 581
-rect 1255 531 1265 565
-rect 1299 531 1322 565
-rect 1004 387 1058 403
-rect 1004 353 1014 387
-rect 1048 353 1058 387
-rect 1004 337 1058 353
-rect 1004 315 1034 337
-rect 1102 263 1132 518
-rect 1255 515 1322 531
-rect 1292 263 1322 515
-rect 80 81 110 115
-rect 166 82 196 115
-rect 252 82 282 115
-rect 338 82 368 115
-rect 410 82 440 115
-rect 496 82 526 115
-rect 582 82 612 115
-rect 668 82 698 115
-rect 754 82 784 115
-rect 840 82 870 115
-rect 922 82 952 115
-rect 1004 82 1034 115
-rect 1102 80 1132 115
-rect 1292 80 1322 115
-<< polycont >>
-rect 162 535 196 569
-rect 80 353 114 387
-rect 248 427 282 461
-rect 386 469 420 503
-rect 666 501 700 535
-rect 482 353 516 387
-rect 578 353 612 387
-rect 836 501 870 535
-rect 752 353 786 387
-rect 932 463 966 497
-rect 1100 534 1134 568
-rect 1265 531 1299 565
-rect 1014 353 1048 387
-<< locali >>
-rect 0 1305 1408 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1408 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 207 1201 241 1217
-rect 35 709 69 725
-rect 207 709 241 725
-rect 35 675 241 709
-rect 293 1201 327 1217
-rect 293 642 327 725
-rect 451 1201 485 1271
-rect 451 709 485 725
-rect 537 1201 571 1217
-rect 623 1201 657 1271
-rect 623 777 657 793
-rect 709 1201 743 1217
-rect 537 708 571 725
-rect 709 708 743 725
-rect 537 674 743 708
-rect 795 1201 829 1217
-rect 1045 1201 1079 1271
-rect 1045 777 1079 793
-rect 1143 1201 1177 1217
-rect 795 684 829 725
-rect 1143 690 1177 855
-rect 1247 1201 1281 1271
-rect 1247 839 1281 855
-rect 1333 1201 1367 1217
-rect 795 650 1100 684
-rect 293 605 350 642
-rect 795 641 829 650
-rect 80 535 162 569
-rect 196 535 212 569
-rect 248 461 282 477
-rect 248 411 282 427
-rect 64 353 80 387
-rect 114 353 130 387
-rect 316 370 350 605
-rect 752 606 829 641
-rect 578 535 612 541
-rect 386 503 444 535
-rect 420 501 444 503
-rect 650 501 666 535
-rect 700 501 716 535
-rect 386 453 420 469
-rect 578 387 612 501
-rect 666 461 700 501
-rect 752 461 786 606
-rect 1066 584 1100 650
-rect 1177 656 1202 667
-rect 1143 633 1202 656
-rect 1066 568 1134 584
-rect 1066 537 1100 568
-rect 820 501 836 535
-rect 870 501 886 535
-rect 1089 534 1100 537
-rect 1100 518 1134 534
-rect 932 497 966 513
-rect 932 461 966 463
-rect 1168 461 1202 633
-rect 1333 609 1367 855
-rect 752 427 879 461
-rect 1143 427 1202 461
-rect 1265 565 1299 581
-rect 293 336 350 370
-rect 466 353 482 387
-rect 516 353 532 387
-rect 736 353 752 387
-rect 786 353 811 387
-rect 578 337 612 353
-rect 293 313 327 336
-rect 35 267 241 286
-rect 69 252 207 267
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 207 114 241 131
-rect 777 313 811 353
-rect 293 267 327 279
-rect 293 114 327 131
-rect 451 267 485 283
-rect 451 61 485 131
-rect 537 267 743 286
-rect 571 252 709 267
-rect 537 114 571 131
-rect 623 199 657 215
-rect 623 61 657 131
-rect 845 215 879 427
-rect 998 353 1014 387
-rect 1048 353 1064 387
-rect 709 114 743 131
-rect 795 199 879 215
-rect 829 181 879 199
-rect 1045 267 1079 283
-rect 795 114 829 131
-rect 1045 61 1079 131
-rect 1143 199 1177 427
-rect 1265 313 1299 531
-rect 1245 279 1299 313
-rect 1143 115 1177 131
-rect 1247 199 1281 215
-rect 1247 61 1281 131
-rect 1333 199 1367 575
-rect 1333 115 1367 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1408 61
-rect 0 0 1408 27
-<< viali >>
-rect 80 501 114 535
-rect 248 427 282 461
-rect 80 353 114 387
-rect 444 501 478 535
-rect 578 501 612 535
-rect 666 427 700 461
-rect 1143 656 1177 690
-rect 836 501 870 535
-rect 932 427 966 461
-rect 482 353 516 387
-rect 293 279 327 313
-rect 777 279 811 313
-rect 1014 353 1048 387
-rect 1211 279 1245 313
-rect 1333 575 1367 609
-<< metal1 >>
-rect 0 1271 1408 1332
-rect 1131 690 1189 696
-rect 1109 656 1143 690
-rect 1177 656 1189 690
-rect 1131 650 1189 656
-rect 1321 609 1379 615
-rect 1299 575 1333 609
-rect 1367 575 1379 609
-rect 1321 569 1379 575
-rect 68 535 126 541
-rect 432 535 490 541
-rect 566 535 624 541
-rect 824 535 882 541
-rect 68 501 80 535
-rect 114 501 444 535
-rect 478 501 578 535
-rect 612 502 836 535
-rect 612 501 734 502
-rect 812 501 836 502
-rect 870 501 882 535
-rect 68 495 126 501
-rect 432 495 490 501
-rect 566 495 624 501
-rect 824 495 882 501
-rect 236 461 294 467
-rect 654 461 712 467
-rect 920 461 978 467
-rect 80 427 248 461
-rect 282 427 666 461
-rect 700 427 932 461
-rect 966 427 978 461
-rect 236 421 294 427
-rect 654 421 712 427
-rect 920 421 978 427
-rect 68 387 126 393
-rect 470 387 528 393
-rect 1002 387 1060 393
-rect 68 353 80 387
-rect 114 353 482 387
-rect 516 353 1014 387
-rect 1048 353 1060 387
-rect 68 347 126 353
-rect 470 347 528 353
-rect 1002 347 1060 353
-rect 281 313 339 319
-rect 765 313 823 319
-rect 1199 313 1257 319
-rect 281 279 293 313
-rect 327 279 777 313
-rect 811 279 1211 313
-rect 1245 279 1257 313
-rect 281 273 339 279
-rect 765 273 823 279
-rect 1199 273 1257 279
-rect 0 0 1408 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 97 370 97 370 1 A
-port 1 n
-rlabel metal1 265 444 265 444 1 CI
-port 2 n
-rlabel metal1 129 518 129 518 1 B
-port 3 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 1350 592 1350 592 1 CO
-port 4 n
-rlabel metal1 1160 673 1160 673 1 S
-port 5 n
-rlabel metal1 1228 296 1228 296 1 CON
-port 6 n
-<< end >>
diff --git a/lib/magic/ADDHX1.mag b/lib/magic/ADDHX1.mag
deleted file mode 100644
index 0cdf161..0000000
--- a/lib/magic/ADDHX1.mag
+++ /dev/null
@@ -1,353 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600491863
-<< nwell >>
-rect -9 581 837 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 178 115 208 315
-rect 250 115 280 315
-rect 442 115 472 315
-rect 540 115 570 315
-rect 626 115 656 315
-rect 712 115 742 315
-<< pmos >>
-rect 80 617 110 1217
-rect 178 617 208 1217
-rect 264 617 294 1217
-rect 362 617 392 1217
-rect 552 617 582 1217
-rect 638 617 668 1217
-rect 710 617 740 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 178 315
-rect 110 131 133 267
-rect 167 131 178 267
-rect 110 115 178 131
-rect 208 115 250 315
-rect 280 267 333 315
-rect 280 131 291 267
-rect 325 131 333 267
-rect 280 115 333 131
-rect 389 267 442 315
-rect 389 131 397 267
-rect 431 131 442 267
-rect 389 115 442 131
-rect 472 267 540 315
-rect 472 131 495 267
-rect 529 131 540 267
-rect 472 115 540 131
-rect 570 267 626 315
-rect 570 131 581 267
-rect 615 131 626 267
-rect 570 115 626 131
-rect 656 267 712 315
-rect 656 199 667 267
-rect 701 199 712 267
-rect 656 115 712 199
-rect 742 267 795 315
-rect 742 131 753 267
-rect 787 131 795 267
-rect 742 115 795 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 178 1217
-rect 110 657 133 1201
-rect 167 657 178 1201
-rect 110 617 178 657
-rect 208 1201 264 1217
-rect 208 725 219 1201
-rect 253 725 264 1201
-rect 208 617 264 725
-rect 294 1201 362 1217
-rect 294 725 305 1201
-rect 339 725 362 1201
-rect 294 617 362 725
-rect 392 1201 445 1217
-rect 392 657 403 1201
-rect 437 657 445 1201
-rect 392 617 445 657
-rect 499 1201 552 1217
-rect 499 657 507 1201
-rect 541 657 552 1201
-rect 499 617 552 657
-rect 582 1201 638 1217
-rect 582 657 593 1201
-rect 627 657 638 1201
-rect 582 617 638 657
-rect 668 617 710 1217
-rect 740 1201 796 1217
-rect 740 657 751 1201
-rect 785 657 796 1201
-rect 740 617 796 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 133 131 167 267
-rect 291 131 325 267
-rect 397 131 431 267
-rect 495 131 529 267
-rect 581 131 615 267
-rect 667 199 701 267
-rect 753 131 787 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 133 657 167 1201
-rect 219 725 253 1201
-rect 305 725 339 1201
-rect 403 657 437 1201
-rect 507 657 541 1201
-rect 593 657 627 1201
-rect 751 657 785 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 178 1217 208 1243
-rect 264 1217 294 1243
-rect 362 1217 392 1243
-rect 552 1217 582 1243
-rect 638 1217 668 1243
-rect 710 1217 740 1243
-rect 80 403 110 617
-rect 178 477 208 617
-rect 264 551 294 617
-rect 154 461 208 477
-rect 154 427 164 461
-rect 198 427 208 461
-rect 154 411 208 427
-rect 43 387 110 403
-rect 43 353 53 387
-rect 87 353 110 387
-rect 43 337 110 353
-rect 80 315 110 337
-rect 178 315 208 411
-rect 250 535 304 551
-rect 250 501 260 535
-rect 294 501 304 535
-rect 250 485 304 501
-rect 362 549 392 617
-rect 552 549 582 617
-rect 362 519 582 549
-rect 250 315 280 485
-rect 362 424 392 519
-rect 638 477 668 617
-rect 710 551 740 617
-rect 710 535 764 551
-rect 710 501 720 535
-rect 754 501 764 535
-rect 710 485 764 501
-rect 338 408 392 424
-rect 614 461 668 477
-rect 614 427 624 461
-rect 658 427 668 461
-rect 614 411 668 427
-rect 338 374 348 408
-rect 382 375 392 408
-rect 382 374 570 375
-rect 338 345 570 374
-rect 442 315 472 345
-rect 540 315 570 345
-rect 626 315 656 411
-rect 712 315 742 485
-rect 80 89 110 115
-rect 178 89 208 115
-rect 250 89 280 115
-rect 442 89 472 115
-rect 540 89 570 115
-rect 626 89 656 115
-rect 712 89 742 115
-<< polycont >>
-rect 164 427 198 461
-rect 53 353 87 387
-rect 260 501 294 535
-rect 720 501 754 535
-rect 624 427 658 461
-rect 348 374 382 408
-<< locali >>
-rect 0 1305 836 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 836 1305
-rect 35 1201 69 1217
-rect 35 623 69 649
-rect 133 1201 167 1271
-rect 133 641 167 657
-rect 219 1201 253 1217
-rect 219 619 253 725
-rect 305 1201 339 1271
-rect 305 709 339 725
-rect 403 1201 437 1217
-rect 219 585 362 619
-rect 260 535 294 551
-rect 260 485 294 501
-rect 148 427 164 461
-rect 198 427 214 461
-rect 328 424 362 585
-rect 403 609 437 657
-rect 507 1201 541 1217
-rect 403 575 418 609
-rect 328 408 382 424
-rect 328 392 348 408
-rect 37 353 53 387
-rect 87 353 110 387
-rect 291 374 348 392
-rect 291 358 382 374
-rect 35 267 69 278
-rect 35 115 69 131
-rect 133 267 167 283
-rect 133 61 167 131
-rect 291 267 325 358
-rect 418 312 452 575
-rect 507 607 541 657
-rect 593 1201 627 1271
-rect 593 641 627 657
-rect 751 1201 785 1217
-rect 751 607 785 657
-rect 507 573 785 607
-rect 507 387 541 573
-rect 704 501 720 535
-rect 754 501 770 535
-rect 608 427 624 461
-rect 658 427 674 461
-rect 541 353 667 387
-rect 291 115 325 131
-rect 397 277 452 312
-rect 397 267 431 277
-rect 397 115 431 131
-rect 495 267 529 283
-rect 495 61 529 131
-rect 581 267 615 283
-rect 667 267 701 353
-rect 667 183 701 199
-rect 753 267 787 283
-rect 615 131 753 144
-rect 581 110 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
-<< viali >>
-rect 35 657 69 683
-rect 35 649 69 657
-rect 260 501 294 535
-rect 164 427 198 461
-rect 418 575 452 609
-rect 110 353 144 387
-rect 35 278 69 312
-rect 720 501 754 535
-rect 624 427 658 461
-rect 507 353 541 387
-rect 667 353 701 387
-<< metal1 >>
-rect 0 1271 836 1332
-rect 23 683 81 689
-rect 23 649 35 683
-rect 69 649 81 683
-rect 23 643 81 649
-rect 35 318 69 643
-rect 406 609 464 615
-rect 406 575 418 609
-rect 452 575 486 609
-rect 406 569 464 575
-rect 248 535 306 541
-rect 708 535 766 541
-rect 248 501 260 535
-rect 294 501 720 535
-rect 754 501 766 535
-rect 248 500 766 501
-rect 248 495 306 500
-rect 708 495 766 500
-rect 152 462 210 467
-rect 612 462 670 467
-rect 152 461 670 462
-rect 152 427 164 461
-rect 198 427 624 461
-rect 658 427 670 461
-rect 152 421 210 427
-rect 612 421 670 427
-rect 98 387 156 393
-rect 495 387 553 393
-rect 655 387 713 393
-rect 98 353 110 387
-rect 144 353 507 387
-rect 541 353 553 387
-rect 633 353 667 387
-rect 701 353 713 387
-rect 98 347 156 353
-rect 495 347 553 353
-rect 655 347 713 353
-rect 23 312 81 318
-rect 23 278 35 312
-rect 69 278 81 312
-rect 23 272 81 278
-rect 0 0 836 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 50 477 50 477 1 S
-port 1 n
-rlabel metal1 737 518 737 518 1 A
-port 2 n
-rlabel metal1 642 444 642 444 1 B
-port 3 n
-rlabel metal1 435 592 435 592 1 CO
-port 4 n
-rlabel metal1 684 370 684 370 1 CON
-port 5 n
-<< end >>
diff --git a/lib/magic/ADDHXL.mag b/lib/magic/ADDHXL.mag
deleted file mode 100644
index 2c7f4b3..0000000
--- a/lib/magic/ADDHXL.mag
+++ /dev/null
@@ -1,356 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600491880
-<< nwell >>
-rect -9 581 837 1341
-<< nmoslvt >>
-rect 80 115 110 243
-rect 178 115 208 315
-rect 250 115 280 315
-rect 442 115 472 243
-rect 540 115 570 315
-rect 626 115 656 315
-rect 712 115 742 315
-<< pmos >>
-rect 80 887 110 1217
-rect 178 617 208 1217
-rect 264 617 294 1217
-rect 362 887 392 1217
-rect 552 617 582 1217
-rect 638 617 668 1217
-rect 710 617 740 1217
-<< ndiff >>
-rect 125 267 178 315
-rect 125 243 133 267
-rect 27 199 80 243
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 131 133 243
-rect 167 131 178 267
-rect 110 115 178 131
-rect 208 115 250 315
-rect 280 267 333 315
-rect 280 131 291 267
-rect 325 131 333 267
-rect 487 267 540 315
-rect 487 243 495 267
-rect 280 115 333 131
-rect 389 199 442 243
-rect 389 131 397 199
-rect 431 131 442 199
-rect 389 115 442 131
-rect 472 131 495 243
-rect 529 131 540 267
-rect 472 115 540 131
-rect 570 267 626 315
-rect 570 131 581 267
-rect 615 131 626 267
-rect 570 115 626 131
-rect 656 267 712 315
-rect 656 199 667 267
-rect 701 199 712 267
-rect 656 115 712 199
-rect 742 267 795 315
-rect 742 131 753 267
-rect 787 131 795 267
-rect 742 115 795 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 929 35 1201
-rect 69 929 80 1201
-rect 27 887 80 929
-rect 110 1201 178 1217
-rect 110 887 133 1201
-rect 125 657 133 887
-rect 167 657 178 1201
-rect 125 617 178 657
-rect 208 1201 264 1217
-rect 208 725 219 1201
-rect 253 725 264 1201
-rect 208 617 264 725
-rect 294 1201 362 1217
-rect 294 725 305 1201
-rect 339 887 362 1201
-rect 392 1201 445 1217
-rect 392 929 403 1201
-rect 437 929 445 1201
-rect 392 887 445 929
-rect 499 1201 552 1217
-rect 339 725 347 887
-rect 294 617 347 725
-rect 499 657 507 1201
-rect 541 657 552 1201
-rect 499 617 552 657
-rect 582 1201 638 1217
-rect 582 657 593 1201
-rect 627 657 638 1201
-rect 582 617 638 657
-rect 668 617 710 1217
-rect 740 1201 796 1217
-rect 740 657 751 1201
-rect 785 657 796 1201
-rect 740 617 796 657
-<< ndiffc >>
-rect 35 131 69 199
-rect 133 131 167 267
-rect 291 131 325 267
-rect 397 131 431 199
-rect 495 131 529 267
-rect 581 131 615 267
-rect 667 199 701 267
-rect 753 131 787 267
-<< pdiffc >>
-rect 35 929 69 1201
-rect 133 657 167 1201
-rect 219 725 253 1201
-rect 305 725 339 1201
-rect 403 929 437 1201
-rect 507 657 541 1201
-rect 593 657 627 1201
-rect 751 657 785 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 178 1217 208 1243
-rect 264 1217 294 1243
-rect 362 1217 392 1243
-rect 552 1217 582 1243
-rect 638 1217 668 1243
-rect 710 1217 740 1243
-rect 80 403 110 887
-rect 178 477 208 617
-rect 264 551 294 617
-rect 154 461 208 477
-rect 154 427 164 461
-rect 198 427 208 461
-rect 154 411 208 427
-rect 43 387 110 403
-rect 43 353 53 387
-rect 87 353 110 387
-rect 43 337 110 353
-rect 80 243 110 337
-rect 178 315 208 411
-rect 250 535 304 551
-rect 250 501 260 535
-rect 294 501 304 535
-rect 250 485 304 501
-rect 362 549 392 887
-rect 552 549 582 617
-rect 362 519 582 549
-rect 250 315 280 485
-rect 362 424 392 519
-rect 638 477 668 617
-rect 710 551 740 617
-rect 710 535 764 551
-rect 710 501 720 535
-rect 754 501 764 535
-rect 710 485 764 501
-rect 338 408 392 424
-rect 614 461 668 477
-rect 614 427 624 461
-rect 658 427 668 461
-rect 614 411 668 427
-rect 338 374 348 408
-rect 382 375 392 408
-rect 382 374 570 375
-rect 338 345 570 374
-rect 442 243 472 345
-rect 540 315 570 345
-rect 626 315 656 411
-rect 712 315 742 485
-rect 80 89 110 115
-rect 178 89 208 115
-rect 250 89 280 115
-rect 442 89 472 115
-rect 540 89 570 115
-rect 626 89 656 115
-rect 712 89 742 115
-<< polycont >>
-rect 164 427 198 461
-rect 53 353 87 387
-rect 260 501 294 535
-rect 720 501 754 535
-rect 624 427 658 461
-rect 348 374 382 408
-<< locali >>
-rect 0 1305 836 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 836 1305
-rect 35 1201 69 1217
-rect 35 683 69 929
-rect 133 1201 167 1271
-rect 133 641 167 657
-rect 219 1201 253 1217
-rect 219 619 253 725
-rect 305 1201 339 1271
-rect 305 709 339 725
-rect 403 1201 437 1217
-rect 219 585 362 619
-rect 260 535 294 551
-rect 260 485 294 501
-rect 148 427 164 461
-rect 198 427 214 461
-rect 328 424 362 585
-rect 403 609 437 929
-rect 507 1201 541 1217
-rect 403 575 418 609
-rect 328 408 382 424
-rect 328 392 348 408
-rect 37 353 53 387
-rect 87 353 110 387
-rect 291 374 348 392
-rect 291 358 382 374
-rect 35 199 69 278
-rect 35 115 69 131
-rect 133 267 167 283
-rect 133 61 167 131
-rect 291 267 325 358
-rect 418 312 452 575
-rect 507 607 541 657
-rect 593 1201 627 1271
-rect 593 641 627 657
-rect 751 1201 785 1217
-rect 751 607 785 657
-rect 507 573 785 607
-rect 507 387 541 573
-rect 704 501 720 535
-rect 754 501 770 535
-rect 608 427 624 461
-rect 658 427 674 461
-rect 541 353 667 387
-rect 291 115 325 131
-rect 397 277 452 312
-rect 397 199 431 277
-rect 397 115 431 131
-rect 495 267 529 283
-rect 495 61 529 131
-rect 581 267 615 283
-rect 667 267 701 353
-rect 667 183 701 199
-rect 753 267 787 283
-rect 615 131 753 144
-rect 581 110 787 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 836 61
-rect 0 0 836 27
-<< viali >>
-rect 35 649 69 683
-rect 260 501 294 535
-rect 164 427 198 461
-rect 418 575 452 609
-rect 110 353 144 387
-rect 35 278 69 312
-rect 720 501 754 535
-rect 624 427 658 461
-rect 507 353 541 387
-rect 667 353 701 387
-<< metal1 >>
-rect 0 1271 836 1332
-rect 23 683 81 689
-rect 23 649 35 683
-rect 69 649 81 683
-rect 23 643 81 649
-rect 35 318 69 643
-rect 406 609 464 615
-rect 406 575 418 609
-rect 452 575 486 609
-rect 406 569 464 575
-rect 248 535 306 541
-rect 708 535 766 541
-rect 248 501 260 535
-rect 294 501 720 535
-rect 754 501 766 535
-rect 248 500 766 501
-rect 248 495 306 500
-rect 708 495 766 500
-rect 152 462 210 467
-rect 612 462 670 467
-rect 152 461 670 462
-rect 152 427 164 461
-rect 198 427 624 461
-rect 658 427 670 461
-rect 152 421 210 427
-rect 612 421 670 427
-rect 98 387 156 393
-rect 495 387 553 393
-rect 655 387 713 393
-rect 98 353 110 387
-rect 144 353 507 387
-rect 541 353 553 387
-rect 633 353 667 387
-rect 701 353 713 387
-rect 98 347 156 353
-rect 495 347 553 353
-rect 655 347 713 353
-rect 23 312 81 318
-rect 23 278 35 312
-rect 69 278 81 312
-rect 23 272 81 278
-rect 0 0 836 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 50 477 50 477 1 S
-port 1 n
-rlabel metal1 737 518 737 518 1 A
-port 2 n
-rlabel metal1 642 444 642 444 1 B
-port 3 n
-rlabel metal1 435 592 435 592 1 CO
-port 4 n
-rlabel metal1 684 370 684 370 1 CON
-port 5 n
-<< end >>
diff --git a/lib/magic/AND2X1.mag b/lib/magic/AND2X1.mag
deleted file mode 100644
index 924f79f..0000000
--- a/lib/magic/AND2X1.mag
+++ /dev/null
@@ -1,170 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600562316
-<< nwell >>
-rect -9 581 374 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 152 115 182 315
-rect 252 115 282 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 115 152 315
-rect 182 267 252 315
-rect 182 131 193 267
-rect 227 131 252 267
-rect 182 115 252 131
-rect 282 267 335 315
-rect 282 131 293 267
-rect 327 131 335 267
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 725 121 1201
-rect 155 725 166 1201
-rect 110 617 166 725
-rect 196 1201 252 1217
-rect 196 725 207 1201
-rect 241 725 252 1201
-rect 196 617 252 725
-rect 282 1201 335 1217
-rect 282 657 293 1201
-rect 327 657 335 1201
-rect 282 617 335 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 193 131 227 267
-rect 293 131 327 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 725 155 1201
-rect 207 725 241 1201
-rect 293 657 327 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 80 586 110 617
-rect 27 570 110 586
-rect 27 536 37 570
-rect 71 536 110 570
-rect 27 520 110 536
-rect 80 315 110 520
-rect 166 518 196 617
-rect 252 592 282 617
-rect 252 562 289 592
-rect 152 502 217 518
-rect 152 468 173 502
-rect 207 468 217 502
-rect 152 452 217 468
-rect 152 315 182 452
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 370 313 404
-rect 252 354 313 370
-rect 252 315 282 354
-rect 80 89 110 115
-rect 152 89 182 115
-rect 252 89 282 115
-<< polycont >>
-rect 37 536 71 570
-rect 173 468 207 502
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 105 725 121 743
-rect 105 709 155 725
-rect 207 1201 241 1271
-rect 207 709 241 725
-rect 293 1201 327 1217
-rect 37 570 71 649
-rect 37 520 71 536
-rect 105 404 139 709
-rect 173 502 207 575
-rect 293 535 327 657
-rect 173 452 207 468
-rect 35 370 269 404
-rect 303 370 319 404
-rect 35 267 69 370
-rect 35 115 69 131
-rect 193 267 227 283
-rect 193 61 227 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 37 649 71 683
-rect 173 575 207 609
-rect 293 501 327 535
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 25 683 83 689
-rect 25 649 37 683
-rect 71 649 105 683
-rect 25 643 83 649
-rect 161 609 219 615
-rect 140 575 173 609
-rect 207 575 219 609
-rect 161 569 219 575
-rect 281 535 339 541
-rect 281 501 293 535
-rect 327 501 339 535
-rect 281 495 339 501
-rect 293 319 327 495
-rect 281 313 339 319
-rect 281 279 293 313
-rect 327 279 339 313
-rect 281 273 339 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 311 444 311 444 1 Y
-port 1 n
-rlabel metal1 55 666 55 666 1 A
-port 2 n
-rlabel metal1 191 592 191 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/AND2X2.mag b/lib/magic/AND2X2.mag
deleted file mode 100644
index 84b8399..0000000
--- a/lib/magic/AND2X2.mag
+++ /dev/null
@@ -1,199 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600562327
-<< nwell >>
-rect -9 581 462 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 152 115 182 315
-rect 252 115 282 315
-rect 338 115 368 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 115 152 315
-rect 182 267 252 315
-rect 182 131 193 267
-rect 227 131 252 267
-rect 182 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 421 315
-rect 368 131 379 267
-rect 413 131 421 267
-rect 368 115 421 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 725 121 1201
-rect 155 725 166 1201
-rect 110 617 166 725
-rect 196 1201 252 1217
-rect 196 725 207 1201
-rect 241 725 252 1201
-rect 196 617 252 725
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 421 1217
-rect 368 657 379 1201
-rect 413 657 421 1201
-rect 368 617 421 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 193 131 227 267
-rect 293 131 327 267
-rect 379 131 413 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 725 155 1201
-rect 207 725 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1244
-rect 80 586 110 617
-rect 27 570 110 586
-rect 27 536 37 570
-rect 71 536 110 570
-rect 27 520 110 536
-rect 80 315 110 520
-rect 166 518 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 252 562 368 592
-rect 152 502 217 518
-rect 152 468 173 502
-rect 207 468 217 502
-rect 152 452 217 468
-rect 152 315 182 452
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 384 313 404
-rect 303 370 368 384
-rect 252 354 368 370
-rect 252 315 282 354
-rect 338 315 368 354
-rect 80 89 110 115
-rect 152 89 182 115
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 37 536 71 570
-rect 173 468 207 502
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 105 725 121 743
-rect 105 709 155 725
-rect 207 1201 241 1271
-rect 207 709 241 725
-rect 293 1201 327 1217
-rect 37 570 71 649
-rect 37 520 71 536
-rect 105 404 139 709
-rect 173 502 207 575
-rect 293 535 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 173 452 207 468
-rect 35 370 269 404
-rect 303 370 319 404
-rect 35 267 69 370
-rect 35 115 69 131
-rect 193 267 227 283
-rect 193 61 227 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 37 649 71 683
-rect 173 575 207 609
-rect 293 501 327 535
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 462 1332
-rect 25 683 83 689
-rect 25 649 37 683
-rect 71 649 105 683
-rect 25 643 83 649
-rect 161 609 219 615
-rect 140 575 173 609
-rect 207 575 219 609
-rect 161 569 219 575
-rect 281 535 339 541
-rect 281 501 293 535
-rect 327 501 339 535
-rect 281 495 339 501
-rect 293 319 327 495
-rect 281 313 339 319
-rect 281 279 293 313
-rect 327 279 339 313
-rect 281 273 339 279
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 191 592 191 592 1 B
-port 1 n
-rlabel metal1 55 666 55 666 1 A
-port 2 n
-rlabel metal1 311 444 311 444 1 Y
-port 3 n
-<< end >>
diff --git a/lib/magic/AND2X4.mag b/lib/magic/AND2X4.mag
deleted file mode 100644
index f31d570..0000000
--- a/lib/magic/AND2X4.mag
+++ /dev/null
@@ -1,256 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600562339
-<< nwell >>
-rect -9 581 638 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 152 115 182 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 115 152 315
-rect 182 267 252 315
-rect 182 131 193 267
-rect 227 131 252 267
-rect 182 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 593 315
-rect 540 131 551 267
-rect 585 131 593 267
-rect 540 115 593 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 725 121 1201
-rect 155 725 166 1201
-rect 110 617 166 725
-rect 196 1201 252 1217
-rect 196 725 207 1201
-rect 241 725 252 1201
-rect 196 617 252 725
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 593 1217
-rect 540 657 551 1201
-rect 585 657 593 1201
-rect 540 617 593 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 193 131 227 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 725 155 1201
-rect 207 725 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1244
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 80 586 110 617
-rect 27 570 110 586
-rect 27 536 37 570
-rect 71 536 110 570
-rect 27 520 110 536
-rect 80 315 110 520
-rect 166 518 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 424 592 454 617
-rect 510 592 540 617
-rect 252 562 540 592
-rect 152 502 217 518
-rect 152 468 173 502
-rect 207 468 217 502
-rect 152 452 217 468
-rect 152 315 182 452
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 384 313 404
-rect 303 370 540 384
-rect 252 354 540 370
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 510 315 540 354
-rect 80 89 110 115
-rect 152 89 182 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-<< polycont >>
-rect 37 536 71 570
-rect 173 468 207 502
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 105 725 121 743
-rect 105 709 155 725
-rect 207 1201 241 1271
-rect 207 709 241 725
-rect 293 1201 327 1217
-rect 37 570 71 649
-rect 37 520 71 536
-rect 105 404 139 709
-rect 173 502 207 575
-rect 293 535 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 465 1201 499 1217
-rect 465 535 499 657
-rect 551 1201 585 1271
-rect 551 641 585 657
-rect 173 452 207 468
-rect 35 370 269 404
-rect 303 370 319 404
-rect 35 267 69 370
-rect 35 115 69 131
-rect 193 267 227 283
-rect 193 61 227 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 279
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 37 649 71 683
-rect 173 575 207 609
-rect 293 501 327 535
-rect 465 501 499 535
-rect 293 279 327 313
-rect 465 279 499 313
-<< metal1 >>
-rect 0 1271 638 1332
-rect 25 683 83 689
-rect 25 649 37 683
-rect 71 649 105 683
-rect 25 643 83 649
-rect 161 609 219 615
-rect 140 575 173 609
-rect 207 575 219 609
-rect 161 569 219 575
-rect 281 535 339 541
-rect 453 535 511 541
-rect 281 501 293 535
-rect 327 501 465 535
-rect 499 501 511 535
-rect 281 495 339 501
-rect 453 495 511 501
-rect 293 319 327 495
-rect 465 319 499 495
-rect 281 313 339 319
-rect 453 313 511 319
-rect 281 279 293 313
-rect 327 279 465 313
-rect 499 279 511 313
-rect 281 273 339 279
-rect 453 273 511 279
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 311 444 311 444 1 Y
-port 1 n
-rlabel metal1 55 666 55 666 1 A
-port 2 n
-rlabel metal1 191 592 191 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/AND2X6.mag b/lib/magic/AND2X6.mag
deleted file mode 100644
index 7bc22e8..0000000
--- a/lib/magic/AND2X6.mag
+++ /dev/null
@@ -1,313 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600380937
-<< nwell >>
-rect -9 581 814 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 152 115 182 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-rect 596 617 626 1217
-rect 682 617 712 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 115 152 315
-rect 182 267 252 315
-rect 182 131 193 267
-rect 227 131 252 267
-rect 182 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 765 315
-rect 712 131 723 267
-rect 757 131 765 267
-rect 712 115 765 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 725 121 1201
-rect 155 725 166 1201
-rect 110 617 166 725
-rect 196 1201 252 1217
-rect 196 725 207 1201
-rect 241 725 252 1201
-rect 196 617 252 725
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 596 1217
-rect 540 657 551 1201
-rect 585 657 596 1201
-rect 540 617 596 657
-rect 626 1201 682 1217
-rect 626 657 637 1201
-rect 671 657 682 1201
-rect 626 617 682 657
-rect 712 1201 765 1217
-rect 712 657 723 1201
-rect 757 657 765 1201
-rect 712 617 765 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 193 131 227 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 725 155 1201
-rect 207 725 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-rect 637 657 671 1201
-rect 723 657 757 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1244
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 596 1217 626 1243
-rect 682 1217 712 1243
-rect 80 586 110 617
-rect 20 570 110 586
-rect 20 536 30 570
-rect 64 536 110 570
-rect 20 520 110 536
-rect 80 315 110 520
-rect 166 519 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 424 592 454 617
-rect 510 592 540 617
-rect 596 592 626 617
-rect 682 592 712 617
-rect 252 562 712 592
-rect 152 502 210 519
-rect 152 468 166 502
-rect 200 468 210 502
-rect 152 452 210 468
-rect 152 315 182 452
-rect 252 420 282 562
-rect 252 404 306 420
-rect 252 370 262 404
-rect 296 384 306 404
-rect 596 384 626 562
-rect 296 370 712 384
-rect 252 354 712 370
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 510 315 540 354
-rect 596 315 626 354
-rect 682 315 712 354
-rect 80 89 110 115
-rect 152 89 182 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-<< polycont >>
-rect 30 536 64 570
-rect 166 468 200 502
-rect 262 370 296 404
-<< locali >>
-rect 0 1305 814 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 814 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 98 725 121 743
-rect 98 709 155 725
-rect 207 1201 241 1271
-rect 207 709 241 725
-rect 293 1201 327 1217
-rect 30 570 64 649
-rect 30 520 64 536
-rect 98 404 132 709
-rect 166 502 200 575
-rect 293 535 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 465 1201 499 1217
-rect 465 535 499 657
-rect 551 1201 585 1271
-rect 551 641 585 657
-rect 637 1201 671 1217
-rect 637 535 671 657
-rect 723 1201 757 1271
-rect 723 641 757 657
-rect 166 452 200 468
-rect 35 370 262 404
-rect 296 370 312 404
-rect 35 267 69 370
-rect 35 115 69 131
-rect 193 267 227 283
-rect 193 61 227 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 279
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 637 267 671 279
-rect 637 115 671 131
-rect 723 267 757 283
-rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
-<< viali >>
-rect 30 649 64 683
-rect 166 575 200 609
-rect 293 501 327 535
-rect 465 501 499 535
-rect 637 501 671 535
-rect 293 279 327 313
-rect 465 279 499 313
-rect 637 279 671 313
-<< metal1 >>
-rect 0 1271 814 1332
-rect 18 683 76 689
-rect 18 649 30 683
-rect 64 649 98 683
-rect 18 643 76 649
-rect 154 609 212 615
-rect 132 575 166 609
-rect 200 575 212 609
-rect 154 569 212 575
-rect 281 535 339 541
-rect 453 535 511 541
-rect 625 535 683 541
-rect 281 501 293 535
-rect 327 501 465 535
-rect 499 501 637 535
-rect 671 501 683 535
-rect 281 495 339 501
-rect 453 495 511 501
-rect 625 495 683 501
-rect 293 319 327 495
-rect 465 319 499 495
-rect 637 319 671 495
-rect 281 313 339 319
-rect 453 313 511 319
-rect 625 313 683 319
-rect 281 279 293 313
-rect 327 279 465 313
-rect 499 279 637 313
-rect 671 279 683 313
-rect 281 273 339 279
-rect 453 273 511 279
-rect 625 273 683 279
-rect 0 0 814 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 184 592 184 592 1 B
-port 1 n
-rlabel metal1 48 666 48 666 1 A
-port 2 n
-rlabel metal1 311 444 311 444 1 Y
-port 3 n
-<< end >>
diff --git a/lib/magic/AND2X8.mag b/lib/magic/AND2X8.mag
deleted file mode 100644
index fbd7a73..0000000
--- a/lib/magic/AND2X8.mag
+++ /dev/null
@@ -1,380 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600562368
-<< nwell >>
-rect -9 581 990 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 152 115 182 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-rect 768 115 798 315
-rect 854 115 884 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-rect 596 617 626 1217
-rect 682 617 712 1217
-rect 768 617 798 1217
-rect 854 617 884 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 115 152 315
-rect 182 267 252 315
-rect 182 131 193 267
-rect 227 131 252 267
-rect 182 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 768 315
-rect 712 131 723 267
-rect 757 131 768 267
-rect 712 115 768 131
-rect 798 267 854 315
-rect 798 131 809 267
-rect 843 131 854 267
-rect 798 115 854 131
-rect 884 267 937 315
-rect 884 131 895 267
-rect 929 131 937 267
-rect 884 115 937 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 725 121 1201
-rect 155 725 166 1201
-rect 110 617 166 725
-rect 196 1201 252 1217
-rect 196 725 207 1201
-rect 241 725 252 1201
-rect 196 617 252 725
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 596 1217
-rect 540 657 551 1201
-rect 585 657 596 1201
-rect 540 617 596 657
-rect 626 1201 682 1217
-rect 626 657 637 1201
-rect 671 657 682 1201
-rect 626 617 682 657
-rect 712 1201 768 1217
-rect 712 657 723 1201
-rect 757 657 768 1201
-rect 712 617 768 657
-rect 798 1201 854 1217
-rect 798 657 809 1201
-rect 843 657 854 1201
-rect 798 617 854 657
-rect 884 1201 937 1217
-rect 884 657 895 1201
-rect 929 657 937 1201
-rect 884 617 937 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 193 131 227 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-rect 809 131 843 267
-rect 895 131 929 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 725 155 1201
-rect 207 725 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-rect 637 657 671 1201
-rect 723 657 757 1201
-rect 809 657 843 1201
-rect 895 657 929 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-rect 843 1271 867 1305
-rect 901 1271 925 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-rect 867 1271 901 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1244
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 596 1217 626 1243
-rect 682 1217 712 1243
-rect 768 1217 798 1243
-rect 854 1217 884 1243
-rect 80 586 110 617
-rect 27 570 110 586
-rect 27 536 37 570
-rect 71 536 110 570
-rect 27 520 110 536
-rect 80 315 110 520
-rect 166 518 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 424 592 454 617
-rect 510 592 540 617
-rect 596 592 626 617
-rect 682 592 712 617
-rect 768 592 798 617
-rect 854 592 884 617
-rect 252 562 884 592
-rect 152 502 217 518
-rect 152 468 173 502
-rect 207 468 217 502
-rect 152 452 217 468
-rect 152 315 182 452
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 384 313 404
-rect 596 384 626 562
-rect 303 370 884 384
-rect 252 354 884 370
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 510 315 540 354
-rect 596 315 626 354
-rect 682 315 712 354
-rect 768 315 798 354
-rect 854 315 884 354
-rect 80 89 110 115
-rect 152 89 182 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-rect 768 89 798 115
-rect 854 89 884 115
-<< polycont >>
-rect 37 536 71 570
-rect 173 468 207 502
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 105 725 121 743
-rect 105 709 155 725
-rect 207 1201 241 1271
-rect 207 709 241 725
-rect 293 1201 327 1217
-rect 37 570 71 649
-rect 37 520 71 536
-rect 105 404 139 709
-rect 173 502 207 575
-rect 293 535 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 465 1201 499 1217
-rect 465 535 499 657
-rect 551 1201 585 1271
-rect 551 641 585 657
-rect 637 1201 671 1217
-rect 637 535 671 657
-rect 723 1201 757 1271
-rect 723 641 757 657
-rect 809 1201 843 1217
-rect 809 535 843 657
-rect 895 1201 929 1271
-rect 895 641 929 657
-rect 173 452 207 468
-rect 35 370 269 404
-rect 303 370 319 404
-rect 35 267 69 370
-rect 35 115 69 131
-rect 193 267 227 283
-rect 193 61 227 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 279
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 637 267 671 279
-rect 637 115 671 131
-rect 723 267 757 283
-rect 723 61 757 131
-rect 809 267 843 279
-rect 809 115 843 131
-rect 895 267 929 283
-rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
-<< viali >>
-rect 37 649 71 683
-rect 173 575 207 609
-rect 293 501 327 535
-rect 465 501 499 535
-rect 637 501 671 535
-rect 809 501 843 535
-rect 293 279 327 313
-rect 465 279 499 313
-rect 637 279 671 313
-rect 809 279 843 313
-<< metal1 >>
-rect 0 1271 990 1332
-rect 25 683 83 689
-rect 25 649 37 683
-rect 71 649 105 683
-rect 25 643 83 649
-rect 161 609 219 615
-rect 140 575 173 609
-rect 207 575 219 609
-rect 161 569 219 575
-rect 281 535 339 541
-rect 453 535 511 541
-rect 625 535 683 541
-rect 797 535 855 541
-rect 281 501 293 535
-rect 327 501 465 535
-rect 499 501 637 535
-rect 671 501 809 535
-rect 843 501 855 535
-rect 281 495 339 501
-rect 453 495 511 501
-rect 625 495 683 501
-rect 797 495 855 501
-rect 293 319 327 495
-rect 465 319 499 495
-rect 637 319 671 495
-rect 809 319 843 495
-rect 281 313 339 319
-rect 453 313 511 319
-rect 625 313 683 319
-rect 797 313 855 319
-rect 281 279 293 313
-rect 327 279 465 313
-rect 499 279 637 313
-rect 671 279 683 313
-rect 712 279 809 313
-rect 843 279 855 313
-rect 281 273 339 279
-rect 453 273 511 279
-rect 625 273 683 279
-rect 797 273 855 279
-rect 0 0 990 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 191 592 191 592 1 B
-port 1 n
-rlabel metal1 55 666 55 666 1 A
-port 2 n
-rlabel metal1 311 444 311 444 1 Y
-port 3 n
-<< end >>
diff --git a/lib/magic/AND2XL.mag b/lib/magic/AND2XL.mag
deleted file mode 100644
index 85559cc..0000000
--- a/lib/magic/AND2XL.mag
+++ /dev/null
@@ -1,170 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600562283
-<< nwell >>
-rect -9 581 374 1341
-<< nmoslvt >>
-rect 80 115 110 263
-rect 152 115 182 263
-rect 252 115 282 263
-<< pmos >>
-rect 80 817 110 1217
-rect 166 817 196 1217
-rect 252 817 282 1217
-<< ndiff >>
-rect 27 199 80 263
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 115 152 263
-rect 182 198 252 263
-rect 182 131 193 198
-rect 227 131 252 198
-rect 182 115 252 131
-rect 282 199 335 263
-rect 282 131 293 199
-rect 327 131 335 199
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 861 35 1201
-rect 69 861 80 1201
-rect 27 817 80 861
-rect 110 1201 166 1217
-rect 110 861 121 1201
-rect 155 861 166 1201
-rect 110 817 166 861
-rect 196 1201 252 1217
-rect 196 861 207 1201
-rect 241 861 252 1201
-rect 196 817 252 861
-rect 282 1201 335 1217
-rect 282 861 293 1201
-rect 327 861 335 1201
-rect 282 817 335 861
-<< ndiffc >>
-rect 35 131 69 199
-rect 193 131 227 198
-rect 293 131 327 199
-<< pdiffc >>
-rect 35 861 69 1201
-rect 121 861 155 1201
-rect 207 861 241 1201
-rect 293 861 327 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 80 586 110 817
-rect 27 570 110 586
-rect 27 536 37 570
-rect 71 536 110 570
-rect 27 520 110 536
-rect 80 263 110 520
-rect 166 518 196 817
-rect 252 592 282 817
-rect 252 562 289 592
-rect 152 502 217 518
-rect 152 468 173 502
-rect 207 468 217 502
-rect 152 452 217 468
-rect 152 263 182 452
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 370 313 404
-rect 252 354 313 370
-rect 252 263 282 354
-rect 80 89 110 115
-rect 152 89 182 115
-rect 252 89 282 115
-<< polycont >>
-rect 37 536 71 570
-rect 173 468 207 502
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1271
-rect 121 1201 155 1217
-rect 35 845 69 861
-rect 105 861 121 879
-rect 105 845 155 861
-rect 207 1201 241 1271
-rect 207 845 241 861
-rect 293 1201 327 1217
-rect 37 570 71 649
-rect 37 520 71 536
-rect 105 404 139 845
-rect 173 502 207 575
-rect 293 535 327 861
-rect 173 452 207 468
-rect 35 370 269 404
-rect 303 370 319 404
-rect 35 199 69 370
-rect 35 115 69 131
-rect 193 198 227 215
-rect 193 61 227 131
-rect 293 199 327 279
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 37 649 71 683
-rect 173 575 207 609
-rect 293 501 327 535
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 25 683 83 689
-rect 25 649 37 683
-rect 71 649 105 683
-rect 25 643 83 649
-rect 161 609 219 615
-rect 140 575 173 609
-rect 207 575 219 609
-rect 161 569 219 575
-rect 281 535 339 541
-rect 281 501 293 535
-rect 327 501 339 535
-rect 281 495 339 501
-rect 293 319 327 495
-rect 281 313 339 319
-rect 281 279 293 313
-rect 327 279 339 313
-rect 281 273 339 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 311 444 311 444 1 Y
-port 1 n
-rlabel metal1 55 666 55 666 1 A
-port 2 n
-rlabel metal1 191 592 191 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/ANT.mag b/lib/magic/ANT.mag
deleted file mode 100644
index 78645e1..0000000
--- a/lib/magic/ANT.mag
+++ /dev/null
@@ -1,91 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548004
-<< nwell >>
-rect -9 581 199 1341
-<< nmoslvt >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 617 110 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 163 1217
-rect 110 657 121 1201
-rect 155 657 163 1201
-rect 110 617 163 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 657 155 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 80 551 110 617
-rect 35 535 110 551
-rect 35 501 47 535
-rect 81 501 110 535
-rect 35 485 110 501
-rect 80 315 110 485
-rect 80 89 110 115
-<< polycont >>
-rect 47 501 81 535
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 1201 69 1217
-rect 35 535 69 657
-rect 121 1201 155 1271
-rect 121 641 155 657
-rect 31 501 47 535
-rect 81 501 97 535
-rect 35 417 69 501
-rect 35 383 155 417
-rect 35 267 69 383
-rect 35 115 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 501 81 535
-<< metal1 >>
-rect 0 1271 198 1332
-rect 35 535 108 541
-rect 35 501 47 535
-rect 81 501 108 535
-rect 35 495 108 501
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 64 518 64 518 1 A
-port 1 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/magic/ANTFILL.mag b/lib/magic/ANTFILL.mag
deleted file mode 100644
index 922a596..0000000
--- a/lib/magic/ANTFILL.mag
+++ /dev/null
@@ -1,48 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548021
-<< nwell >>
-rect -9 581 199 1341
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 535 69 1217
-rect 121 641 155 1271
-rect 31 501 47 535
-rect 81 501 97 535
-rect 35 417 69 501
-rect 35 383 155 417
-rect 35 115 69 383
-rect 121 115 155 383
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 501 81 535
-<< metal1 >>
-rect 0 1271 198 1332
-rect 35 535 108 541
-rect 35 501 47 535
-rect 81 501 108 535
-rect 35 495 108 501
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel metal1 64 518 64 518 1 A
-port 1 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/magic/AOI21XL.mag b/lib/magic/AOI21XL.mag
deleted file mode 100644
index 766d734..0000000
--- a/lib/magic/AOI21XL.mag
+++ /dev/null
@@ -1,181 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600541164
-<< nwell >>
-rect -9 581 374 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 152 115 182 315
-rect 250 115 280 263
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 115 152 315
-rect 182 267 235 315
-rect 182 131 193 267
-rect 227 263 235 267
-rect 227 131 250 263
-rect 182 115 250 131
-rect 280 199 333 263
-rect 280 131 291 199
-rect 325 131 333 199
-rect 280 115 333 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 861 121 1201
-rect 155 861 166 1201
-rect 110 617 166 861
-rect 196 1201 252 1217
-rect 196 793 207 1201
-rect 241 793 252 1201
-rect 196 617 252 793
-rect 282 1201 335 1217
-rect 282 725 293 1201
-rect 327 725 335 1201
-rect 282 617 335 725
-<< ndiffc >>
-rect 35 131 69 267
-rect 193 131 227 267
-rect 291 131 325 199
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 861 155 1201
-rect 207 793 241 1201
-rect 293 725 327 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 80 580 110 617
-rect 44 570 110 580
-rect 44 536 60 570
-rect 94 536 110 570
-rect 44 526 110 536
-rect 44 370 74 526
-rect 166 484 196 617
-rect 252 579 282 617
-rect 252 549 309 579
-rect 134 468 196 484
-rect 134 434 146 468
-rect 180 434 196 468
-rect 134 418 196 434
-rect 44 338 110 370
-rect 80 315 110 338
-rect 152 315 182 418
-rect 279 405 309 549
-rect 279 389 333 405
-rect 279 371 289 389
-rect 250 355 289 371
-rect 323 355 333 389
-rect 250 339 333 355
-rect 250 263 280 339
-rect 80 89 110 115
-rect 152 89 182 115
-rect 250 89 280 115
-<< polycont >>
-rect 60 536 94 570
-rect 146 434 180 468
-rect 289 355 323 389
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 845 155 861
-rect 207 1201 241 1217
-rect 69 793 207 811
-rect 35 777 241 793
-rect 293 1201 327 1217
-rect 60 570 94 649
-rect 60 520 94 536
-rect 128 484 162 575
-rect 128 468 180 484
-rect 128 434 146 468
-rect 128 418 180 434
-rect 216 389 250 501
-rect 293 461 327 725
-rect 216 355 289 389
-rect 323 355 339 389
-rect 35 267 69 283
-rect 35 61 69 131
-rect 193 267 227 279
-rect 193 115 227 131
-rect 291 199 325 215
-rect 291 61 325 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 60 649 94 683
-rect 128 575 162 609
-rect 216 501 250 535
-rect 293 427 327 461
-rect 193 279 227 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 48 683 106 689
-rect 48 649 60 683
-rect 94 649 128 683
-rect 48 643 106 649
-rect 116 609 174 615
-rect 116 575 128 609
-rect 162 575 196 609
-rect 116 569 174 575
-rect 204 535 262 541
-rect 182 501 216 535
-rect 250 501 262 535
-rect 204 495 262 501
-rect 281 461 339 467
-rect 281 427 293 461
-rect 327 427 339 461
-rect 281 421 339 427
-rect 181 313 239 319
-rect 293 313 327 421
-rect 181 279 193 313
-rect 227 279 327 313
-rect 181 273 239 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 77 666 77 666 1 A0
-port 1 n
-rlabel metal1 233 518 233 518 1 B0
-port 2 n
-rlabel metal1 310 414 310 414 1 Y
-port 3 n
-rlabel metal1 145 592 145 592 1 A1
-port 4 n
-<< end >>
diff --git a/lib/magic/BUFX1.mag b/lib/magic/BUFX1.mag
deleted file mode 100644
index d9809a3..0000000
--- a/lib/magic/BUFX1.mag
+++ /dev/null
@@ -1,140 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598478415
-<< nwell >>
-rect -9 581 288 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 249 315
-rect 196 131 207 267
-rect 241 131 249 267
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 1201 249 1217
-rect 196 657 207 1201
-rect 241 657 249 1201
-rect 196 617 249 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 793 155 1201
-rect 207 657 241 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 80 529 110 617
-rect 166 602 196 617
-rect 166 572 251 602
-rect 80 513 154 529
-rect 80 479 110 513
-rect 144 479 154 513
-rect 80 463 154 479
-rect 80 315 110 463
-rect 221 420 251 572
-rect 166 404 251 420
-rect 166 370 176 404
-rect 210 370 251 404
-rect 166 354 251 370
-rect 166 315 196 354
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 110 479 144 513
-rect 176 370 210 404
-<< locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 207 1201 241 1217
-rect 35 404 69 657
-rect 110 513 144 649
-rect 207 609 241 657
-rect 110 463 144 479
-rect 176 404 210 420
-rect 35 370 176 404
-rect 35 267 69 370
-rect 176 354 210 370
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 279
-rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 110 649 144 683
-rect 207 575 241 609
-rect 207 279 241 313
-<< metal1 >>
-rect 0 1271 286 1332
-rect 98 683 156 689
-rect 64 649 110 683
-rect 144 649 156 683
-rect 98 643 156 649
-rect 195 609 253 615
-rect 195 575 207 609
-rect 241 575 253 609
-rect 195 569 253 575
-rect 207 319 241 569
-rect 195 313 253 319
-rect 195 279 207 313
-rect 241 279 253 313
-rect 195 273 253 279
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 127 666 127 666 1 A
-port 1 n
-rlabel metal1 213 455 213 455 1 Y
-port 2 n
-<< end >>
diff --git a/lib/magic/BUFX2.mag b/lib/magic/BUFX2.mag
deleted file mode 100644
index 5365687..0000000
--- a/lib/magic/BUFX2.mag
+++ /dev/null
@@ -1,161 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598478629
-<< nwell >>
-rect -9 581 376 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 335 315
-rect 282 131 293 267
-rect 327 131 335 267
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 335 1217
-rect 282 657 293 1201
-rect 327 657 335 1201
-rect 282 617 335 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 793 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1244
-rect 80 529 110 617
-rect 166 602 196 617
-rect 252 602 282 617
-rect 166 572 282 602
-rect 80 513 154 529
-rect 80 479 110 513
-rect 144 479 154 513
-rect 80 463 154 479
-rect 80 315 110 463
-rect 221 420 251 572
-rect 166 404 251 420
-rect 166 370 176 404
-rect 210 384 251 404
-rect 210 370 282 384
-rect 166 354 282 370
-rect 166 315 196 354
-rect 252 315 282 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 110 479 144 513
-rect 176 370 210 404
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 207 1201 241 1217
-rect 35 404 69 657
-rect 110 513 144 649
-rect 207 609 241 657
-rect 293 1201 327 1271
-rect 293 641 327 657
-rect 110 463 144 479
-rect 176 404 210 420
-rect 35 370 176 404
-rect 35 267 69 370
-rect 176 354 210 370
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 279
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 110 649 144 683
-rect 207 575 241 609
-rect 207 279 241 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 98 683 156 689
-rect 64 649 110 683
-rect 144 649 156 683
-rect 98 643 156 649
-rect 195 609 253 615
-rect 195 575 207 609
-rect 241 575 253 609
-rect 195 569 253 575
-rect 207 319 241 569
-rect 195 313 253 319
-rect 195 279 207 313
-rect 241 279 253 313
-rect 195 273 253 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 127 666 127 666 1 A
-port 1 n
-rlabel metal1 211 454 211 454 1 Y
-port 2 n
-<< end >>
diff --git a/lib/magic/BUFX4.mag b/lib/magic/BUFX4.mag
deleted file mode 100644
index 2709ff4..0000000
--- a/lib/magic/BUFX4.mag
+++ /dev/null
@@ -1,220 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598479026
-<< nwell >>
-rect -9 581 552 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 507 315
-rect 454 131 465 267
-rect 499 131 507 267
-rect 454 115 507 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 507 1217
-rect 454 657 465 1201
-rect 499 657 507 1201
-rect 454 617 507 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 793 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1244
-rect 338 1217 368 1243
-rect 424 1217 454 1243
-rect 80 529 110 617
-rect 166 602 196 617
-rect 252 602 282 617
-rect 338 602 368 617
-rect 424 602 454 617
-rect 166 572 454 602
-rect 80 513 154 529
-rect 80 479 110 513
-rect 144 479 154 513
-rect 80 463 154 479
-rect 80 315 110 463
-rect 221 420 251 572
-rect 166 404 251 420
-rect 166 370 176 404
-rect 210 384 251 404
-rect 210 370 454 384
-rect 166 354 454 370
-rect 166 315 196 354
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-<< polycont >>
-rect 110 479 144 513
-rect 176 370 210 404
-<< locali >>
-rect 0 1305 550 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 550 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 207 1201 241 1217
-rect 35 404 69 657
-rect 110 513 144 649
-rect 207 609 241 657
-rect 293 1201 327 1271
-rect 293 641 327 657
-rect 379 1201 413 1217
-rect 379 609 413 657
-rect 465 1201 499 1271
-rect 465 641 499 657
-rect 110 463 144 479
-rect 176 404 210 420
-rect 35 370 176 404
-rect 35 267 69 370
-rect 176 354 210 370
-rect 35 115 69 131
-rect 121 267 155 283
-rect 35 61 69 62
-rect 121 61 155 131
-rect 207 267 241 279
-rect 207 115 241 131
-rect 293 267 327 283
-rect 207 61 241 62
-rect 293 61 327 131
-rect 379 267 413 279
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 550 61
-rect 0 0 550 27
-<< viali >>
-rect 110 649 144 683
-rect 207 575 241 609
-rect 379 575 413 609
-rect 207 279 241 313
-rect 379 279 413 313
-<< metal1 >>
-rect 0 1271 550 1332
-rect 98 683 156 689
-rect 64 649 110 683
-rect 144 649 156 683
-rect 98 643 156 649
-rect 195 609 253 615
-rect 367 609 425 615
-rect 195 575 207 609
-rect 241 575 379 609
-rect 413 575 425 609
-rect 195 569 253 575
-rect 367 569 425 575
-rect 207 319 241 569
-rect 379 319 413 569
-rect 195 313 253 319
-rect 367 313 425 319
-rect 195 279 207 313
-rect 241 279 379 313
-rect 413 279 425 313
-rect 195 273 253 279
-rect 367 273 425 279
-rect 0 0 550 61
-<< labels >>
-rlabel metal1 127 666 127 666 1 A
-port 1 n
-rlabel metal1 211 454 211 454 1 Y
-port 2 n
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-<< end >>
diff --git a/lib/magic/BUFX6.mag b/lib/magic/BUFX6.mag
deleted file mode 100644
index ea22d8e..0000000
--- a/lib/magic/BUFX6.mag
+++ /dev/null
@@ -1,284 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598479240
-<< nwell >>
-rect -9 581 728 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-rect 596 617 626 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 679 315
-rect 626 131 637 267
-rect 671 131 679 267
-rect 626 115 679 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 596 1217
-rect 540 657 551 1201
-rect 585 657 596 1201
-rect 540 617 596 657
-rect 626 1201 679 1217
-rect 626 657 637 1201
-rect 671 657 679 1201
-rect 626 617 679 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 793 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-rect 637 657 671 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1244
-rect 338 1217 368 1243
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 596 1217 626 1243
-rect 80 529 110 617
-rect 166 602 196 617
-rect 252 602 282 617
-rect 338 602 368 617
-rect 424 602 454 617
-rect 510 602 540 617
-rect 596 602 626 617
-rect 166 572 626 602
-rect 80 513 154 529
-rect 80 479 110 513
-rect 144 479 154 513
-rect 80 463 154 479
-rect 80 315 110 463
-rect 221 420 251 572
-rect 166 404 251 420
-rect 166 370 176 404
-rect 210 384 251 404
-rect 510 384 540 572
-rect 210 370 626 384
-rect 166 354 626 370
-rect 166 315 196 354
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 510 315 540 354
-rect 596 315 626 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-<< polycont >>
-rect 110 479 144 513
-rect 176 370 210 404
-<< locali >>
-rect 0 1305 726 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 726 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 207 1201 241 1217
-rect 35 404 69 657
-rect 110 513 144 649
-rect 207 609 241 657
-rect 293 1201 327 1271
-rect 293 641 327 657
-rect 379 1201 413 1217
-rect 379 609 413 657
-rect 465 1201 499 1271
-rect 465 641 499 657
-rect 551 1201 585 1217
-rect 551 609 585 657
-rect 637 1201 671 1271
-rect 637 641 671 657
-rect 110 463 144 479
-rect 176 404 210 420
-rect 35 370 176 404
-rect 35 267 69 370
-rect 176 354 210 370
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 279
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 279
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 551 267 585 279
-rect 551 115 585 131
-rect 637 267 671 283
-rect 637 61 671 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 726 61
-rect 0 0 726 27
-<< viali >>
-rect 110 649 144 683
-rect 207 575 241 609
-rect 379 575 413 609
-rect 551 575 585 609
-rect 207 279 241 313
-rect 379 279 413 313
-rect 551 279 585 313
-<< metal1 >>
-rect 0 1271 726 1332
-rect 98 683 156 689
-rect 64 649 110 683
-rect 144 649 156 683
-rect 98 643 156 649
-rect 195 609 253 615
-rect 367 609 425 615
-rect 539 609 597 615
-rect 195 575 207 609
-rect 241 575 379 609
-rect 413 575 551 609
-rect 585 575 597 609
-rect 195 569 253 575
-rect 367 569 425 575
-rect 539 569 597 575
-rect 207 319 241 569
-rect 379 319 413 569
-rect 551 319 585 569
-rect 195 313 253 319
-rect 367 313 425 319
-rect 539 313 597 319
-rect 195 279 207 313
-rect 241 279 379 313
-rect 413 279 551 313
-rect 585 279 597 313
-rect 195 273 253 279
-rect 367 273 425 279
-rect 539 273 597 279
-rect 0 0 726 61
-<< labels >>
-rlabel metal1 127 666 127 666 1 A
-port 1 n
-rlabel metal1 211 454 211 454 1 Y
-port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/magic/BUFX8.mag b/lib/magic/BUFX8.mag
deleted file mode 100644
index 861dcc7..0000000
--- a/lib/magic/BUFX8.mag
+++ /dev/null
@@ -1,341 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598539224
-<< nwell >>
-rect -9 581 904 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-rect 768 115 798 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-rect 596 617 626 1217
-rect 682 617 712 1217
-rect 768 617 798 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 768 315
-rect 712 131 723 267
-rect 757 131 768 267
-rect 712 115 768 131
-rect 798 267 851 315
-rect 798 131 809 267
-rect 843 131 851 267
-rect 798 115 851 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 596 1217
-rect 540 657 551 1201
-rect 585 657 596 1201
-rect 540 617 596 657
-rect 626 1201 682 1217
-rect 626 657 637 1201
-rect 671 657 682 1201
-rect 626 617 682 657
-rect 712 1201 768 1217
-rect 712 657 723 1201
-rect 757 657 768 1201
-rect 712 617 768 657
-rect 798 1201 851 1217
-rect 798 657 809 1201
-rect 843 657 851 1201
-rect 798 617 851 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-rect 809 131 843 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 793 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-rect 637 657 671 1201
-rect 723 657 757 1201
-rect 809 657 843 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1244
-rect 338 1217 368 1243
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 596 1217 626 1243
-rect 682 1217 712 1243
-rect 768 1217 798 1243
-rect 80 529 110 617
-rect 166 602 196 617
-rect 252 602 282 617
-rect 338 602 368 617
-rect 424 602 454 617
-rect 510 602 540 617
-rect 596 602 626 617
-rect 682 602 712 617
-rect 768 602 798 617
-rect 166 572 798 602
-rect 80 513 154 529
-rect 80 479 110 513
-rect 144 479 154 513
-rect 80 463 154 479
-rect 80 315 110 463
-rect 221 420 251 572
-rect 166 404 251 420
-rect 166 370 176 404
-rect 210 384 251 404
-rect 510 384 540 572
-rect 210 370 798 384
-rect 166 354 798 370
-rect 166 315 196 354
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 510 315 540 354
-rect 596 315 626 354
-rect 682 315 712 354
-rect 768 315 798 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-rect 768 89 798 115
-<< polycont >>
-rect 110 479 144 513
-rect 176 370 210 404
-<< locali >>
-rect 0 1305 902 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 902 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 207 1201 241 1217
-rect 35 404 69 657
-rect 110 513 144 649
-rect 207 609 241 657
-rect 293 1201 327 1271
-rect 293 641 327 657
-rect 379 1201 413 1217
-rect 379 609 413 657
-rect 465 1201 499 1271
-rect 465 641 499 657
-rect 551 1201 585 1217
-rect 551 609 585 657
-rect 637 1201 671 1271
-rect 637 641 671 657
-rect 723 1201 757 1217
-rect 723 609 757 657
-rect 809 1201 843 1271
-rect 809 641 843 657
-rect 110 463 144 479
-rect 176 404 210 420
-rect 35 370 176 404
-rect 35 267 69 370
-rect 176 354 210 370
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 207 267 241 279
-rect 207 115 241 131
-rect 293 267 327 283
-rect 293 61 327 131
-rect 379 267 413 279
-rect 379 115 413 131
-rect 465 267 499 283
-rect 465 61 499 131
-rect 551 267 585 279
-rect 551 115 585 131
-rect 637 267 671 283
-rect 637 61 671 131
-rect 723 267 757 279
-rect 723 115 757 131
-rect 809 267 843 283
-rect 809 61 843 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 902 61
-rect 0 0 902 27
-<< viali >>
-rect 110 649 144 683
-rect 207 575 241 609
-rect 379 575 413 609
-rect 551 575 585 609
-rect 723 575 757 609
-rect 207 279 241 313
-rect 379 279 413 313
-rect 551 279 585 313
-rect 723 279 757 313
-<< metal1 >>
-rect 0 1271 902 1332
-rect 98 683 156 689
-rect 64 649 110 683
-rect 144 649 156 683
-rect 98 643 156 649
-rect 195 609 253 615
-rect 367 609 425 615
-rect 539 609 597 615
-rect 711 609 769 615
-rect 195 575 207 609
-rect 241 575 379 609
-rect 413 575 551 609
-rect 585 575 723 609
-rect 757 575 769 609
-rect 195 569 253 575
-rect 367 569 425 575
-rect 539 569 597 575
-rect 711 569 769 575
-rect 207 319 241 569
-rect 379 319 413 569
-rect 551 319 585 569
-rect 723 319 757 569
-rect 195 313 253 319
-rect 367 313 425 319
-rect 539 313 597 319
-rect 711 313 769 319
-rect 195 279 207 313
-rect 241 279 379 313
-rect 413 279 551 313
-rect 585 279 723 313
-rect 757 279 769 313
-rect 195 273 253 279
-rect 367 273 425 279
-rect 539 273 597 279
-rect 711 273 769 279
-rect 0 0 902 61
-<< labels >>
-rlabel metal1 127 666 127 666 1 A
-port 1 n
-rlabel metal1 211 454 211 454 1 Y
-port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/magic/BUFXL.mag b/lib/magic/BUFXL.mag
deleted file mode 100644
index 1ba4522..0000000
--- a/lib/magic/BUFXL.mag
+++ /dev/null
@@ -1,140 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600541226
-<< nwell >>
-rect -9 581 288 1341
-<< nmoslvt >>
-rect 80 115 110 263
-rect 166 115 196 263
-<< pmos >>
-rect 80 817 110 1217
-rect 166 817 196 1217
-<< ndiff >>
-rect 27 199 80 263
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 263
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 199 249 263
-rect 196 131 207 199
-rect 241 131 249 199
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 861 35 1201
-rect 69 861 80 1201
-rect 27 817 80 861
-rect 110 1201 166 1217
-rect 110 861 121 1201
-rect 155 861 166 1201
-rect 110 817 166 861
-rect 196 1201 249 1217
-rect 196 861 207 1201
-rect 241 861 249 1201
-rect 196 817 249 861
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 207 131 241 199
-<< pdiffc >>
-rect 35 861 69 1201
-rect 121 861 155 1201
-rect 207 861 241 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 80 529 110 817
-rect 166 602 196 817
-rect 166 572 251 602
-rect 80 513 154 529
-rect 80 479 110 513
-rect 144 479 154 513
-rect 80 463 154 479
-rect 80 263 110 463
-rect 221 420 251 572
-rect 166 404 251 420
-rect 166 370 176 404
-rect 210 370 251 404
-rect 166 354 251 370
-rect 166 263 196 354
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 110 479 144 513
-rect 176 370 210 404
-<< locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
-rect 35 1201 69 1217
-rect 35 404 69 861
-rect 121 1201 155 1271
-rect 121 845 155 861
-rect 207 1201 241 1217
-rect 110 513 144 649
-rect 207 609 241 861
-rect 110 463 144 479
-rect 176 404 210 420
-rect 35 370 176 404
-rect 35 199 69 370
-rect 176 354 210 370
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 207 199 241 279
-rect 207 115 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 110 649 144 683
-rect 207 575 241 609
-rect 207 279 241 313
-<< metal1 >>
-rect 0 1271 286 1332
-rect 98 683 156 689
-rect 64 649 110 683
-rect 144 649 156 683
-rect 98 643 156 649
-rect 195 609 253 615
-rect 195 575 207 609
-rect 241 575 253 609
-rect 195 569 253 575
-rect 207 319 241 569
-rect 195 313 253 319
-rect 195 279 207 313
-rect 241 279 253 313
-rect 195 273 253 279
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 127 666 127 666 1 A
-port 1 n
-rlabel metal1 214 452 214 452 1 Y
-port 2 n
-<< end >>
diff --git a/lib/magic/DECAPX1.mag b/lib/magic/DECAPX1.mag
deleted file mode 100644
index fd2970e..0000000
--- a/lib/magic/DECAPX1.mag
+++ /dev/null
@@ -1,72 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600541287
-<< nwell >>
-rect -9 581 199 1341
-<< nmoslvt >>
-rect 80 115 110 451
-<< pmos >>
-rect 80 817 110 1217
-<< ndiff >>
-rect 27 403 80 451
-rect 27 131 35 403
-rect 69 131 80 403
-rect 27 115 80 131
-rect 110 403 163 451
-rect 110 131 121 403
-rect 155 131 163 403
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 861 35 1201
-rect 69 861 80 1201
-rect 27 817 80 861
-rect 110 1201 163 1217
-rect 110 861 121 1201
-rect 155 861 163 1201
-rect 110 817 163 861
-<< ndiffc >>
-rect 35 131 69 403
-rect 121 131 155 403
-<< pdiffc >>
-rect 35 861 69 1201
-rect 121 861 155 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 80 451 110 817
-rect 80 80 110 115
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 1201 69 1271
-rect 35 845 69 861
-rect 121 1201 155 1271
-rect 121 845 155 861
-rect 35 403 69 419
-rect 35 61 69 131
-rect 121 403 155 419
-rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< metal1 >>
-rect 0 1271 198 1332
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/magic/DECAPXL.mag b/lib/magic/DECAPXL.mag
deleted file mode 100644
index 8efae5d..0000000
--- a/lib/magic/DECAPXL.mag
+++ /dev/null
@@ -1,72 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548064
-<< nwell >>
-rect -9 581 199 1341
-<< nmoslvt >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 1017 110 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 1065 35 1201
-rect 69 1065 80 1201
-rect 27 1017 80 1065
-rect 110 1201 163 1217
-rect 110 1065 121 1201
-rect 155 1065 163 1201
-rect 110 1017 163 1065
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 1065 69 1201
-rect 121 1065 155 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 80 315 110 1017
-rect 80 80 110 115
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 1201 69 1271
-rect 35 1049 69 1065
-rect 121 1201 155 1271
-rect 121 1049 155 1065
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< metal1 >>
-rect 0 1271 198 1332
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/magic/DFFSRX1.mag b/lib/magic/DFFSRX1.mag
deleted file mode 100644
index 2bb1b6a..0000000
--- a/lib/magic/DFFSRX1.mag
+++ /dev/null
@@ -1,805 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542092
-<< nwell >>
-rect -10 581 2090 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 272 115 302 263
-rect 370 115 400 315
-rect 442 115 472 315
-rect 632 115 662 315
-rect 704 115 734 315
-rect 824 115 854 315
-rect 896 115 926 315
-rect 982 115 1012 315
-rect 1054 115 1084 315
-rect 1174 115 1204 315
-rect 1246 115 1276 315
-rect 1332 115 1362 315
-rect 1522 115 1552 315
-rect 1594 115 1624 315
-rect 1692 115 1722 263
-rect 1884 115 1914 315
-rect 1970 115 2000 315
-<< pmos >>
-rect 80 617 110 1217
-rect 270 617 300 1217
-rect 356 617 386 1217
-rect 442 617 472 1217
-rect 632 617 662 1217
-rect 704 617 734 1217
-rect 824 617 854 1217
-rect 896 617 926 1217
-rect 982 617 1012 1217
-rect 1054 617 1084 1217
-rect 1174 617 1204 1217
-rect 1246 617 1276 1217
-rect 1332 617 1362 1217
-rect 1522 617 1552 1217
-rect 1608 617 1638 1217
-rect 1694 617 1724 1217
-rect 1884 617 1914 1217
-rect 1970 617 2000 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 317 267 370 315
-rect 317 263 325 267
-rect 110 115 163 131
-rect 219 199 272 263
-rect 219 131 227 199
-rect 261 131 272 199
-rect 219 115 272 131
-rect 302 131 325 263
-rect 359 131 370 267
-rect 302 115 370 131
-rect 400 115 442 315
-rect 472 267 525 315
-rect 472 131 483 267
-rect 517 131 525 267
-rect 472 115 525 131
-rect 579 267 632 315
-rect 579 131 587 267
-rect 621 131 632 267
-rect 579 115 632 131
-rect 662 115 704 315
-rect 734 267 824 315
-rect 734 131 745 267
-rect 813 131 824 267
-rect 734 115 824 131
-rect 854 115 896 315
-rect 926 199 982 315
-rect 926 131 937 199
-rect 971 131 982 199
-rect 926 115 982 131
-rect 1012 115 1054 315
-rect 1084 267 1174 315
-rect 1084 131 1095 267
-rect 1163 131 1174 267
-rect 1084 115 1174 131
-rect 1204 115 1246 315
-rect 1276 267 1332 315
-rect 1276 131 1287 267
-rect 1321 131 1332 267
-rect 1276 115 1332 131
-rect 1362 267 1415 315
-rect 1362 131 1373 267
-rect 1407 131 1415 267
-rect 1362 115 1415 131
-rect 1469 267 1522 315
-rect 1469 131 1477 267
-rect 1511 131 1522 267
-rect 1469 115 1522 131
-rect 1552 115 1594 315
-rect 1624 267 1677 315
-rect 1624 131 1635 267
-rect 1669 263 1677 267
-rect 1831 267 1884 315
-rect 1669 131 1692 263
-rect 1624 115 1692 131
-rect 1722 199 1775 263
-rect 1722 131 1733 199
-rect 1767 131 1775 199
-rect 1722 115 1775 131
-rect 1831 131 1839 267
-rect 1873 131 1884 267
-rect 1831 115 1884 131
-rect 1914 267 1970 315
-rect 1914 131 1925 267
-rect 1959 131 1970 267
-rect 1914 115 1970 131
-rect 2000 267 2053 315
-rect 2000 131 2011 267
-rect 2045 131 2053 267
-rect 2000 115 2053 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 163 1217
-rect 110 657 121 1201
-rect 155 657 163 1201
-rect 110 617 163 657
-rect 217 1201 270 1217
-rect 217 725 225 1201
-rect 259 725 270 1201
-rect 217 617 270 725
-rect 300 1201 356 1217
-rect 300 725 311 1201
-rect 345 725 356 1201
-rect 300 617 356 725
-rect 386 1201 442 1217
-rect 386 793 397 1201
-rect 431 793 442 1201
-rect 386 617 442 793
-rect 472 1201 525 1217
-rect 472 725 483 1201
-rect 517 725 525 1201
-rect 472 617 525 725
-rect 579 1201 632 1217
-rect 579 725 587 1201
-rect 621 725 632 1201
-rect 579 617 632 725
-rect 662 617 704 1217
-rect 734 1201 824 1217
-rect 734 657 745 1201
-rect 813 657 824 1201
-rect 734 617 824 657
-rect 854 617 896 1217
-rect 926 1201 982 1217
-rect 926 725 937 1201
-rect 971 725 982 1201
-rect 926 617 982 725
-rect 1012 617 1054 1217
-rect 1084 1201 1174 1217
-rect 1084 725 1095 1201
-rect 1163 725 1174 1201
-rect 1084 617 1174 725
-rect 1204 617 1246 1217
-rect 1276 1201 1332 1217
-rect 1276 657 1287 1201
-rect 1321 657 1332 1201
-rect 1276 617 1332 657
-rect 1362 1201 1415 1217
-rect 1362 657 1373 1201
-rect 1407 657 1415 1201
-rect 1362 617 1415 657
-rect 1469 1201 1522 1217
-rect 1469 725 1477 1201
-rect 1511 725 1522 1201
-rect 1469 617 1522 725
-rect 1552 1201 1608 1217
-rect 1552 793 1563 1201
-rect 1597 793 1608 1201
-rect 1552 617 1608 793
-rect 1638 1201 1694 1217
-rect 1638 725 1649 1201
-rect 1683 725 1694 1201
-rect 1638 617 1694 725
-rect 1724 1201 1777 1217
-rect 1724 725 1735 1201
-rect 1769 725 1777 1201
-rect 1724 617 1777 725
-rect 1831 1201 1884 1217
-rect 1831 657 1839 1201
-rect 1873 657 1884 1201
-rect 1831 617 1884 657
-rect 1914 1201 1970 1217
-rect 1914 657 1925 1201
-rect 1959 657 1970 1201
-rect 1914 617 1970 657
-rect 2000 1201 2053 1217
-rect 2000 657 2011 1201
-rect 2045 657 2053 1201
-rect 2000 617 2053 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 227 131 261 199
-rect 325 131 359 267
-rect 483 131 517 267
-rect 587 131 621 267
-rect 745 131 813 267
-rect 937 131 971 199
-rect 1095 131 1163 267
-rect 1287 131 1321 267
-rect 1373 131 1407 267
-rect 1477 131 1511 267
-rect 1635 131 1669 267
-rect 1733 131 1767 199
-rect 1839 131 1873 267
-rect 1925 131 1959 267
-rect 2011 131 2045 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 225 725 259 1201
-rect 311 725 345 1201
-rect 397 793 431 1201
-rect 483 725 517 1201
-rect 587 725 621 1201
-rect 745 657 813 1201
-rect 937 725 971 1201
-rect 1095 725 1163 1201
-rect 1287 657 1321 1201
-rect 1373 657 1407 1201
-rect 1477 725 1511 1201
-rect 1563 793 1597 1201
-rect 1649 725 1683 1201
-rect 1735 725 1769 1201
-rect 1839 657 1873 1201
-rect 1925 657 1959 1201
-rect 2011 657 2045 1201
-<< psubdiff >>
-rect 26 27 50 61
-rect 84 27 108 61
-rect 162 27 186 61
-rect 220 27 244 61
-rect 298 27 322 61
-rect 356 27 380 61
-rect 434 27 458 61
-rect 492 27 516 61
-rect 570 27 594 61
-rect 628 27 652 61
-rect 706 27 730 61
-rect 764 27 788 61
-rect 842 27 866 61
-rect 900 27 924 61
-rect 978 27 1002 61
-rect 1036 27 1060 61
-rect 1114 27 1138 61
-rect 1172 27 1196 61
-rect 1250 27 1274 61
-rect 1308 27 1332 61
-rect 1386 27 1410 61
-rect 1444 27 1468 61
-rect 1522 27 1546 61
-rect 1580 27 1604 61
-rect 1658 27 1682 61
-rect 1716 27 1740 61
-rect 1794 27 1818 61
-rect 1852 27 1876 61
-rect 1930 27 1954 61
-rect 1988 27 2012 61
-<< nsubdiff >>
-rect 26 1271 50 1305
-rect 84 1271 108 1305
-rect 162 1271 186 1305
-rect 220 1271 244 1305
-rect 298 1271 322 1305
-rect 356 1271 380 1305
-rect 434 1271 458 1305
-rect 492 1271 516 1305
-rect 570 1271 594 1305
-rect 628 1271 652 1305
-rect 706 1271 730 1305
-rect 764 1271 788 1305
-rect 842 1271 866 1305
-rect 900 1271 924 1305
-rect 978 1271 1002 1305
-rect 1036 1271 1060 1305
-rect 1114 1271 1138 1305
-rect 1172 1271 1196 1305
-rect 1250 1271 1274 1305
-rect 1308 1271 1332 1305
-rect 1386 1271 1410 1305
-rect 1444 1271 1468 1305
-rect 1522 1271 1546 1305
-rect 1580 1271 1604 1305
-rect 1658 1271 1682 1305
-rect 1716 1271 1740 1305
-rect 1794 1271 1818 1305
-rect 1852 1271 1876 1305
-rect 1930 1271 1954 1305
-rect 1988 1271 2012 1305
-<< psubdiffcont >>
-rect 50 27 84 61
-rect 186 27 220 61
-rect 322 27 356 61
-rect 458 27 492 61
-rect 594 27 628 61
-rect 730 27 764 61
-rect 866 27 900 61
-rect 1002 27 1036 61
-rect 1138 27 1172 61
-rect 1274 27 1308 61
-rect 1410 27 1444 61
-rect 1546 27 1580 61
-rect 1682 27 1716 61
-rect 1818 27 1852 61
-rect 1954 27 1988 61
-<< nsubdiffcont >>
-rect 50 1271 84 1305
-rect 186 1271 220 1305
-rect 322 1271 356 1305
-rect 458 1271 492 1305
-rect 594 1271 628 1305
-rect 730 1271 764 1305
-rect 866 1271 900 1305
-rect 1002 1271 1036 1305
-rect 1138 1271 1172 1305
-rect 1274 1271 1308 1305
-rect 1410 1271 1444 1305
-rect 1546 1271 1580 1305
-rect 1682 1271 1716 1305
-rect 1818 1271 1852 1305
-rect 1954 1271 1988 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 270 1217 300 1243
-rect 356 1217 386 1243
-rect 442 1217 472 1243
-rect 632 1217 662 1243
-rect 704 1217 734 1243
-rect 824 1217 854 1243
-rect 896 1217 926 1243
-rect 982 1217 1012 1243
-rect 1054 1217 1084 1243
-rect 1174 1217 1204 1243
-rect 1246 1217 1276 1243
-rect 1332 1217 1362 1243
-rect 1522 1217 1552 1243
-rect 1608 1217 1638 1243
-rect 1694 1217 1724 1243
-rect 1884 1217 1914 1243
-rect 1970 1217 2000 1243
-rect 80 494 110 617
-rect 270 579 300 617
-rect 243 549 300 579
-rect 79 478 133 494
-rect 79 444 89 478
-rect 123 444 133 478
-rect 79 428 133 444
-rect 79 427 110 428
-rect 80 315 110 427
-rect 243 351 273 549
-rect 356 507 386 617
-rect 442 586 472 617
-rect 432 570 486 586
-rect 432 536 442 570
-rect 476 536 486 570
-rect 432 520 486 536
-rect 315 491 386 507
-rect 315 457 325 491
-rect 359 477 386 491
-rect 359 457 400 477
-rect 315 441 400 457
-rect 356 355 400 441
-rect 219 335 273 351
-rect 219 301 229 335
-rect 263 317 273 335
-rect 263 301 302 317
-rect 370 315 400 355
-rect 442 315 472 520
-rect 632 477 662 617
-rect 704 586 734 617
-rect 704 570 758 586
-rect 704 536 714 570
-rect 748 536 758 570
-rect 704 520 758 536
-rect 632 461 686 477
-rect 824 475 854 617
-rect 896 580 926 617
-rect 982 580 1012 617
-rect 896 570 1012 580
-rect 896 536 928 570
-rect 962 536 1012 570
-rect 896 526 1012 536
-rect 1054 475 1084 617
-rect 1174 586 1204 617
-rect 1150 570 1204 586
-rect 1150 536 1160 570
-rect 1194 536 1204 570
-rect 1150 520 1204 536
-rect 632 427 642 461
-rect 676 427 686 461
-rect 632 411 686 427
-rect 728 445 1180 475
-rect 632 315 662 411
-rect 728 367 758 445
-rect 1150 403 1180 445
-rect 1246 471 1276 617
-rect 1332 586 1362 617
-rect 1332 570 1403 586
-rect 1522 580 1552 617
-rect 1332 556 1359 570
-rect 1343 536 1359 556
-rect 1393 536 1403 570
-rect 1343 520 1403 536
-rect 1486 570 1552 580
-rect 1486 536 1502 570
-rect 1536 536 1552 570
-rect 1486 526 1552 536
-rect 1246 455 1300 471
-rect 1246 421 1256 455
-rect 1290 421 1300 455
-rect 1246 405 1300 421
-rect 704 337 758 367
-rect 800 387 854 403
-rect 800 353 810 387
-rect 844 353 854 387
-rect 800 337 854 353
-rect 704 315 734 337
-rect 824 315 854 337
-rect 896 387 1012 397
-rect 896 353 928 387
-rect 962 353 1012 387
-rect 896 343 1012 353
-rect 896 315 926 343
-rect 982 315 1012 343
-rect 1054 387 1108 403
-rect 1054 353 1064 387
-rect 1098 353 1108 387
-rect 1054 337 1108 353
-rect 1150 387 1204 403
-rect 1150 353 1160 387
-rect 1194 353 1204 387
-rect 1150 337 1204 353
-rect 1054 315 1084 337
-rect 1174 315 1204 337
-rect 1246 315 1276 405
-rect 1343 367 1373 520
-rect 1332 337 1373 367
-rect 1486 370 1516 526
-rect 1608 484 1638 617
-rect 1694 579 1724 617
-rect 1884 601 1914 617
-rect 1694 549 1751 579
-rect 1721 521 1751 549
-rect 1874 571 1914 601
-rect 1576 468 1638 484
-rect 1576 434 1588 468
-rect 1622 434 1638 468
-rect 1576 418 1638 434
-rect 1486 338 1552 370
-rect 1332 315 1362 337
-rect 1522 315 1552 338
-rect 1594 315 1624 418
-rect 1745 351 1775 521
-rect 1874 471 1904 571
-rect 1970 512 2000 617
-rect 1849 455 1904 471
-rect 1849 421 1859 455
-rect 1893 421 1904 455
-rect 1946 496 2000 512
-rect 1946 462 1956 496
-rect 1990 462 2000 496
-rect 1946 446 2000 462
-rect 1849 405 1904 421
-rect 1874 360 1904 405
-rect 1745 335 1799 351
-rect 1745 315 1755 335
-rect 219 285 302 301
-rect 272 263 302 285
-rect 1692 301 1755 315
-rect 1789 301 1799 335
-rect 1874 330 1914 360
-rect 1884 315 1914 330
-rect 1970 315 2000 446
-rect 1692 285 1799 301
-rect 1692 263 1722 285
-rect 80 89 110 115
-rect 272 89 302 115
-rect 370 89 400 115
-rect 442 89 472 115
-rect 632 89 662 115
-rect 704 89 734 115
-rect 824 89 854 115
-rect 896 89 926 115
-rect 982 89 1012 115
-rect 1054 89 1084 115
-rect 1174 89 1204 115
-rect 1246 89 1276 115
-rect 1332 89 1362 115
-rect 1522 89 1552 115
-rect 1594 89 1624 115
-rect 1692 89 1722 115
-rect 1884 89 1914 115
-rect 1970 89 2000 115
-<< polycont >>
-rect 89 444 123 478
-rect 442 536 476 570
-rect 325 457 359 491
-rect 229 301 263 335
-rect 714 536 748 570
-rect 928 536 962 570
-rect 1160 536 1194 570
-rect 642 427 676 461
-rect 1359 536 1393 570
-rect 1502 536 1536 570
-rect 1256 421 1290 455
-rect 810 353 844 387
-rect 928 353 962 387
-rect 1064 353 1098 387
-rect 1160 353 1194 387
-rect 1588 434 1622 468
-rect 1859 421 1893 455
-rect 1956 462 1990 496
-rect 1755 301 1789 335
-<< locali >>
-rect 0 1305 2090 1332
-rect 0 1271 50 1305
-rect 84 1271 186 1305
-rect 220 1271 322 1305
-rect 356 1271 458 1305
-rect 492 1271 594 1305
-rect 628 1271 730 1305
-rect 764 1271 866 1305
-rect 900 1271 1002 1305
-rect 1036 1271 1138 1305
-rect 1172 1271 1274 1305
-rect 1308 1271 1410 1305
-rect 1444 1271 1546 1305
-rect 1580 1271 1682 1305
-rect 1716 1271 1818 1305
-rect 1852 1271 1954 1305
-rect 1988 1271 2090 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 494 81 649
-rect 121 565 155 657
-rect 225 1201 259 1217
-rect 121 531 191 565
-rect 47 478 123 494
-rect 47 444 89 478
-rect 89 428 123 444
-rect 157 335 191 531
-rect 225 421 259 725
-rect 311 1201 345 1217
-rect 397 1201 431 1271
-rect 397 777 431 793
-rect 483 1201 517 1217
-rect 345 725 483 743
-rect 311 709 517 725
-rect 587 1201 621 1271
-rect 587 709 621 725
-rect 745 1201 813 1217
-rect 937 1201 971 1271
-rect 937 709 971 725
-rect 1095 1201 1163 1217
-rect 813 657 816 675
-rect 745 654 816 657
-rect 1095 654 1163 725
-rect 442 620 816 654
-rect 996 620 1163 654
-rect 1287 1201 1321 1271
-rect 1287 641 1321 657
-rect 1373 1201 1407 1217
-rect 1477 1201 1511 1217
-rect 1563 1201 1597 1271
-rect 1563 777 1597 793
-rect 1649 1201 1683 1217
-rect 1511 725 1649 743
-rect 1477 709 1683 725
-rect 1735 1201 1769 1217
-rect 1373 654 1407 657
-rect 1373 620 1461 654
-rect 325 491 359 575
-rect 442 570 476 620
-rect 309 457 325 491
-rect 359 457 375 491
-rect 225 387 359 421
-rect 121 301 229 335
-rect 263 301 279 335
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 301
-rect 325 267 359 353
-rect 442 370 476 536
-rect 714 570 748 586
-rect 714 535 748 536
-rect 928 570 962 586
-rect 748 501 844 535
-rect 642 461 676 477
-rect 642 411 676 427
-rect 810 387 844 501
-rect 928 387 962 536
-rect 442 336 776 370
-rect 810 337 844 353
-rect 928 337 962 353
-rect 996 387 1030 620
-rect 1160 570 1194 586
-rect 1160 535 1194 536
-rect 742 283 776 336
-rect 996 303 1030 353
-rect 1064 501 1160 535
-rect 1359 570 1393 586
-rect 1359 535 1393 536
-rect 1064 387 1098 501
-rect 1427 455 1461 620
-rect 1240 421 1256 455
-rect 1290 421 1306 455
-rect 1373 421 1461 455
-rect 1502 570 1536 586
-rect 1373 387 1407 421
-rect 1144 353 1160 387
-rect 1194 353 1407 387
-rect 1502 387 1536 536
-rect 1570 484 1604 575
-rect 1570 468 1638 484
-rect 1570 434 1588 468
-rect 1622 434 1638 468
-rect 1735 455 1769 725
-rect 1839 1201 1873 1217
-rect 1839 609 1873 657
-rect 1925 1201 1959 1271
-rect 1925 641 1959 657
-rect 2011 1201 2045 1217
-rect 2011 635 2045 649
-rect 2011 601 2068 635
-rect 1839 570 1873 575
-rect 1839 536 1990 570
-rect 1956 496 1990 536
-rect 1672 421 1859 455
-rect 1893 421 1909 455
-rect 1672 399 1706 421
-rect 1635 365 1706 399
-rect 1956 387 1990 462
-rect 1064 337 1098 353
-rect 121 115 155 131
-rect 227 199 261 215
-rect 227 61 261 131
-rect 325 115 359 131
-rect 483 267 517 283
-rect 483 61 517 131
-rect 587 267 621 283
-rect 742 267 813 283
-rect 996 269 1163 303
-rect 742 249 745 267
-rect 587 61 621 131
-rect 1095 267 1163 269
-rect 745 115 813 131
-rect 937 199 971 215
-rect 937 61 971 131
-rect 1095 115 1163 131
-rect 1287 267 1321 283
-rect 1287 61 1321 131
-rect 1373 267 1407 353
-rect 1373 115 1407 131
-rect 1477 267 1511 283
-rect 1477 61 1511 131
-rect 1635 267 1669 365
-rect 1839 353 1990 387
-rect 1739 301 1755 335
-rect 1789 301 1805 335
-rect 1839 267 1873 353
-rect 2034 320 2068 601
-rect 2011 286 2068 320
-rect 1635 115 1669 131
-rect 1733 199 1767 215
-rect 1733 61 1767 131
-rect 1839 115 1873 131
-rect 1925 267 1959 283
-rect 1925 61 1959 131
-rect 2011 267 2045 286
-rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
-<< viali >>
-rect 47 649 81 683
-rect 325 575 359 609
-rect 325 353 359 387
-rect 229 301 263 313
-rect 229 279 263 301
-rect 714 501 748 535
-rect 642 427 676 461
-rect 910 353 928 387
-rect 928 353 944 387
-rect 996 353 1030 387
-rect 1160 501 1194 535
-rect 1359 501 1393 535
-rect 1256 421 1290 455
-rect 1570 575 1604 609
-rect 2011 657 2045 683
-rect 2011 649 2045 657
-rect 1839 575 1873 609
-rect 1859 421 1893 455
-rect 1502 353 1536 387
-rect 1755 301 1789 313
-rect 1755 279 1789 301
-<< metal1 >>
-rect 0 1271 2090 1332
-rect 35 683 93 689
-rect 1999 683 2057 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 1977 649 2011 683
-rect 2045 649 2057 683
-rect 35 643 93 649
-rect 1999 643 2057 649
-rect 313 609 371 615
-rect 1558 609 1616 615
-rect 1827 609 1885 615
-rect 313 575 325 609
-rect 359 575 1570 609
-rect 1604 575 1616 609
-rect 1804 575 1839 609
-rect 1873 575 1885 609
-rect 313 569 371 575
-rect 1558 569 1616 575
-rect 1827 569 1885 575
-rect 702 535 760 541
-rect 1148 535 1206 541
-rect 1347 535 1405 541
-rect 702 501 714 535
-rect 748 501 1160 535
-rect 1194 501 1359 535
-rect 1393 501 1405 535
-rect 702 495 760 501
-rect 1148 495 1206 501
-rect 1347 495 1405 501
-rect 630 461 688 467
-rect 630 427 642 461
-rect 676 427 710 461
-rect 1244 455 1302 461
-rect 1847 455 1905 461
-rect 630 421 688 427
-rect 1244 421 1256 455
-rect 1290 421 1859 455
-rect 1893 421 1905 455
-rect 1244 415 1302 421
-rect 1847 415 1905 421
-rect 313 387 371 393
-rect 898 387 956 393
-rect 313 353 325 387
-rect 359 353 910 387
-rect 944 353 956 387
-rect 313 347 371 353
-rect 898 347 956 353
-rect 984 387 1042 393
-rect 1490 387 1548 393
-rect 984 353 996 387
-rect 1030 353 1502 387
-rect 1536 353 1548 387
-rect 984 347 1042 353
-rect 1490 347 1548 353
-rect 217 313 275 319
-rect 1743 313 1801 319
-rect 217 279 229 313
-rect 263 279 1755 313
-rect 1789 279 1801 313
-rect 217 273 275 279
-rect 1743 273 1801 279
-rect 0 0 2090 61
-<< labels >>
-rlabel metal1 659 444 659 444 1 D
-port 1 n
-rlabel metal1 1376 518 1376 518 1 CK
-port 2 n
-rlabel metal1 1857 592 1857 592 1 QN
-port 3 n
-rlabel metal1 1587 592 1587 592 1 SN
-port 4 n
-rlabel metal1 65 666 65 666 1 RN
-port 5 n
-rlabel metal1 2028 666 2028 666 1 Q
-port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-rlabel locali 67 1288 67 1288 1 vdd
-rlabel metal1 67 1288 67 1288 1 vdd
-<< end >>
diff --git a/lib/magic/DFFSRXL.mag b/lib/magic/DFFSRXL.mag
deleted file mode 100644
index a79ec75..0000000
--- a/lib/magic/DFFSRXL.mag
+++ /dev/null
@@ -1,805 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542136
-<< nwell >>
-rect -10 581 2090 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 272 115 302 263
-rect 370 115 400 315
-rect 442 115 472 315
-rect 632 115 662 315
-rect 704 115 734 315
-rect 824 115 854 315
-rect 896 115 926 315
-rect 982 115 1012 315
-rect 1054 115 1084 315
-rect 1174 115 1204 315
-rect 1246 115 1276 315
-rect 1332 115 1362 315
-rect 1522 115 1552 315
-rect 1594 115 1624 315
-rect 1692 115 1722 263
-rect 1884 115 1914 263
-rect 1970 115 2000 263
-<< pmos >>
-rect 80 617 110 1217
-rect 270 617 300 1217
-rect 356 617 386 1217
-rect 442 617 472 1217
-rect 632 617 662 1217
-rect 704 617 734 1217
-rect 824 617 854 1217
-rect 896 617 926 1217
-rect 982 617 1012 1217
-rect 1054 617 1084 1217
-rect 1174 617 1204 1217
-rect 1246 617 1276 1217
-rect 1332 617 1362 1217
-rect 1522 617 1552 1217
-rect 1608 617 1638 1217
-rect 1694 617 1724 1217
-rect 1884 817 1914 1217
-rect 1970 817 2000 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 317 267 370 315
-rect 317 263 325 267
-rect 110 115 163 131
-rect 219 199 272 263
-rect 219 131 227 199
-rect 261 131 272 199
-rect 219 115 272 131
-rect 302 131 325 263
-rect 359 131 370 267
-rect 302 115 370 131
-rect 400 115 442 315
-rect 472 267 525 315
-rect 472 131 483 267
-rect 517 131 525 267
-rect 472 115 525 131
-rect 579 267 632 315
-rect 579 131 587 267
-rect 621 131 632 267
-rect 579 115 632 131
-rect 662 115 704 315
-rect 734 267 824 315
-rect 734 131 745 267
-rect 813 131 824 267
-rect 734 115 824 131
-rect 854 115 896 315
-rect 926 199 982 315
-rect 926 131 937 199
-rect 971 131 982 199
-rect 926 115 982 131
-rect 1012 115 1054 315
-rect 1084 267 1174 315
-rect 1084 131 1095 267
-rect 1163 131 1174 267
-rect 1084 115 1174 131
-rect 1204 115 1246 315
-rect 1276 267 1332 315
-rect 1276 131 1287 267
-rect 1321 131 1332 267
-rect 1276 115 1332 131
-rect 1362 267 1415 315
-rect 1362 131 1373 267
-rect 1407 131 1415 267
-rect 1362 115 1415 131
-rect 1469 267 1522 315
-rect 1469 131 1477 267
-rect 1511 131 1522 267
-rect 1469 115 1522 131
-rect 1552 115 1594 315
-rect 1624 267 1677 315
-rect 1624 131 1635 267
-rect 1669 263 1677 267
-rect 1669 131 1692 263
-rect 1624 115 1692 131
-rect 1722 199 1775 263
-rect 1722 131 1733 199
-rect 1767 131 1775 199
-rect 1722 115 1775 131
-rect 1831 199 1884 263
-rect 1831 131 1839 199
-rect 1873 131 1884 199
-rect 1831 115 1884 131
-rect 1914 199 1970 263
-rect 1914 131 1925 199
-rect 1959 131 1970 199
-rect 1914 115 1970 131
-rect 2000 199 2053 263
-rect 2000 131 2011 199
-rect 2045 131 2053 199
-rect 2000 115 2053 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 163 1217
-rect 110 657 121 1201
-rect 155 657 163 1201
-rect 110 617 163 657
-rect 217 1201 270 1217
-rect 217 725 225 1201
-rect 259 725 270 1201
-rect 217 617 270 725
-rect 300 1201 356 1217
-rect 300 725 311 1201
-rect 345 725 356 1201
-rect 300 617 356 725
-rect 386 1201 442 1217
-rect 386 793 397 1201
-rect 431 793 442 1201
-rect 386 617 442 793
-rect 472 1201 525 1217
-rect 472 725 483 1201
-rect 517 725 525 1201
-rect 472 617 525 725
-rect 579 1201 632 1217
-rect 579 725 587 1201
-rect 621 725 632 1201
-rect 579 617 632 725
-rect 662 617 704 1217
-rect 734 1201 824 1217
-rect 734 657 745 1201
-rect 813 657 824 1201
-rect 734 617 824 657
-rect 854 617 896 1217
-rect 926 1201 982 1217
-rect 926 725 937 1201
-rect 971 725 982 1201
-rect 926 617 982 725
-rect 1012 617 1054 1217
-rect 1084 1201 1174 1217
-rect 1084 725 1095 1201
-rect 1163 725 1174 1201
-rect 1084 617 1174 725
-rect 1204 617 1246 1217
-rect 1276 1201 1332 1217
-rect 1276 657 1287 1201
-rect 1321 657 1332 1201
-rect 1276 617 1332 657
-rect 1362 1201 1415 1217
-rect 1362 657 1373 1201
-rect 1407 657 1415 1201
-rect 1362 617 1415 657
-rect 1469 1201 1522 1217
-rect 1469 725 1477 1201
-rect 1511 725 1522 1201
-rect 1469 617 1522 725
-rect 1552 1201 1608 1217
-rect 1552 793 1563 1201
-rect 1597 793 1608 1201
-rect 1552 617 1608 793
-rect 1638 1201 1694 1217
-rect 1638 725 1649 1201
-rect 1683 725 1694 1201
-rect 1638 617 1694 725
-rect 1724 1201 1777 1217
-rect 1724 725 1735 1201
-rect 1769 725 1777 1201
-rect 1831 1201 1884 1217
-rect 1831 861 1839 1201
-rect 1873 861 1884 1201
-rect 1831 817 1884 861
-rect 1914 1201 1970 1217
-rect 1914 861 1925 1201
-rect 1959 861 1970 1201
-rect 1914 817 1970 861
-rect 2000 1201 2053 1217
-rect 2000 861 2011 1201
-rect 2045 861 2053 1201
-rect 2000 817 2053 861
-rect 1724 617 1777 725
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 227 131 261 199
-rect 325 131 359 267
-rect 483 131 517 267
-rect 587 131 621 267
-rect 745 131 813 267
-rect 937 131 971 199
-rect 1095 131 1163 267
-rect 1287 131 1321 267
-rect 1373 131 1407 267
-rect 1477 131 1511 267
-rect 1635 131 1669 267
-rect 1733 131 1767 199
-rect 1839 131 1873 199
-rect 1925 131 1959 199
-rect 2011 131 2045 199
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 225 725 259 1201
-rect 311 725 345 1201
-rect 397 793 431 1201
-rect 483 725 517 1201
-rect 587 725 621 1201
-rect 745 657 813 1201
-rect 937 725 971 1201
-rect 1095 725 1163 1201
-rect 1287 657 1321 1201
-rect 1373 657 1407 1201
-rect 1477 725 1511 1201
-rect 1563 793 1597 1201
-rect 1649 725 1683 1201
-rect 1735 725 1769 1201
-rect 1839 861 1873 1201
-rect 1925 861 1959 1201
-rect 2011 861 2045 1201
-<< psubdiff >>
-rect 26 27 50 61
-rect 84 27 108 61
-rect 162 27 186 61
-rect 220 27 244 61
-rect 298 27 322 61
-rect 356 27 380 61
-rect 434 27 458 61
-rect 492 27 516 61
-rect 570 27 594 61
-rect 628 27 652 61
-rect 706 27 730 61
-rect 764 27 788 61
-rect 842 27 866 61
-rect 900 27 924 61
-rect 978 27 1002 61
-rect 1036 27 1060 61
-rect 1114 27 1138 61
-rect 1172 27 1196 61
-rect 1250 27 1274 61
-rect 1308 27 1332 61
-rect 1386 27 1410 61
-rect 1444 27 1468 61
-rect 1522 27 1546 61
-rect 1580 27 1604 61
-rect 1658 27 1682 61
-rect 1716 27 1740 61
-rect 1794 27 1818 61
-rect 1852 27 1876 61
-rect 1930 27 1954 61
-rect 1988 27 2012 61
-<< nsubdiff >>
-rect 26 1271 50 1305
-rect 84 1271 108 1305
-rect 162 1271 186 1305
-rect 220 1271 244 1305
-rect 298 1271 322 1305
-rect 356 1271 380 1305
-rect 434 1271 458 1305
-rect 492 1271 516 1305
-rect 570 1271 594 1305
-rect 628 1271 652 1305
-rect 706 1271 730 1305
-rect 764 1271 788 1305
-rect 842 1271 866 1305
-rect 900 1271 924 1305
-rect 978 1271 1002 1305
-rect 1036 1271 1060 1305
-rect 1114 1271 1138 1305
-rect 1172 1271 1196 1305
-rect 1250 1271 1274 1305
-rect 1308 1271 1332 1305
-rect 1386 1271 1410 1305
-rect 1444 1271 1468 1305
-rect 1522 1271 1546 1305
-rect 1580 1271 1604 1305
-rect 1658 1271 1682 1305
-rect 1716 1271 1740 1305
-rect 1794 1271 1818 1305
-rect 1852 1271 1876 1305
-rect 1930 1271 1954 1305
-rect 1988 1271 2012 1305
-<< psubdiffcont >>
-rect 50 27 84 61
-rect 186 27 220 61
-rect 322 27 356 61
-rect 458 27 492 61
-rect 594 27 628 61
-rect 730 27 764 61
-rect 866 27 900 61
-rect 1002 27 1036 61
-rect 1138 27 1172 61
-rect 1274 27 1308 61
-rect 1410 27 1444 61
-rect 1546 27 1580 61
-rect 1682 27 1716 61
-rect 1818 27 1852 61
-rect 1954 27 1988 61
-<< nsubdiffcont >>
-rect 50 1271 84 1305
-rect 186 1271 220 1305
-rect 322 1271 356 1305
-rect 458 1271 492 1305
-rect 594 1271 628 1305
-rect 730 1271 764 1305
-rect 866 1271 900 1305
-rect 1002 1271 1036 1305
-rect 1138 1271 1172 1305
-rect 1274 1271 1308 1305
-rect 1410 1271 1444 1305
-rect 1546 1271 1580 1305
-rect 1682 1271 1716 1305
-rect 1818 1271 1852 1305
-rect 1954 1271 1988 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 270 1217 300 1243
-rect 356 1217 386 1243
-rect 442 1217 472 1243
-rect 632 1217 662 1243
-rect 704 1217 734 1243
-rect 824 1217 854 1243
-rect 896 1217 926 1243
-rect 982 1217 1012 1243
-rect 1054 1217 1084 1243
-rect 1174 1217 1204 1243
-rect 1246 1217 1276 1243
-rect 1332 1217 1362 1243
-rect 1522 1217 1552 1243
-rect 1608 1217 1638 1243
-rect 1694 1217 1724 1243
-rect 1884 1217 1914 1243
-rect 1970 1217 2000 1243
-rect 80 494 110 617
-rect 270 579 300 617
-rect 243 549 300 579
-rect 79 478 133 494
-rect 79 444 89 478
-rect 123 444 133 478
-rect 79 428 133 444
-rect 79 427 110 428
-rect 80 315 110 427
-rect 243 351 273 549
-rect 356 507 386 617
-rect 442 586 472 617
-rect 432 570 486 586
-rect 432 536 442 570
-rect 476 536 486 570
-rect 432 520 486 536
-rect 315 491 386 507
-rect 315 457 325 491
-rect 359 457 386 491
-rect 315 441 386 457
-rect 356 385 386 441
-rect 356 355 400 385
-rect 219 335 273 351
-rect 219 301 229 335
-rect 263 317 273 335
-rect 263 301 302 317
-rect 370 315 400 355
-rect 442 315 472 520
-rect 632 477 662 617
-rect 704 586 734 617
-rect 704 570 758 586
-rect 704 536 714 570
-rect 748 536 758 570
-rect 704 520 758 536
-rect 632 461 686 477
-rect 824 475 854 617
-rect 896 580 926 617
-rect 982 580 1012 617
-rect 896 570 1012 580
-rect 896 536 928 570
-rect 962 536 1012 570
-rect 896 526 1012 536
-rect 1054 475 1084 617
-rect 1174 586 1204 617
-rect 1150 570 1204 586
-rect 1150 536 1160 570
-rect 1194 536 1204 570
-rect 1150 520 1204 536
-rect 632 427 642 461
-rect 676 427 686 461
-rect 632 411 686 427
-rect 728 445 1180 475
-rect 632 315 662 411
-rect 728 367 758 445
-rect 1150 403 1180 445
-rect 1246 471 1276 617
-rect 1332 586 1362 617
-rect 1332 570 1403 586
-rect 1522 580 1552 617
-rect 1332 556 1359 570
-rect 1343 536 1359 556
-rect 1393 536 1403 570
-rect 1343 520 1403 536
-rect 1486 570 1552 580
-rect 1486 536 1502 570
-rect 1536 536 1552 570
-rect 1486 526 1552 536
-rect 1246 455 1300 471
-rect 1246 421 1256 455
-rect 1290 421 1300 455
-rect 1246 405 1300 421
-rect 704 337 758 367
-rect 800 387 854 403
-rect 800 353 810 387
-rect 844 353 854 387
-rect 800 337 854 353
-rect 704 315 734 337
-rect 824 315 854 337
-rect 896 387 1012 397
-rect 896 353 928 387
-rect 962 353 1012 387
-rect 896 343 1012 353
-rect 896 315 926 343
-rect 982 315 1012 343
-rect 1054 387 1108 403
-rect 1054 353 1064 387
-rect 1098 353 1108 387
-rect 1054 337 1108 353
-rect 1150 387 1204 403
-rect 1150 353 1160 387
-rect 1194 353 1204 387
-rect 1150 337 1204 353
-rect 1054 315 1084 337
-rect 1174 315 1204 337
-rect 1246 315 1276 405
-rect 1343 367 1373 520
-rect 1332 337 1373 367
-rect 1486 370 1516 526
-rect 1608 484 1638 617
-rect 1694 579 1724 617
-rect 1884 601 1914 817
-rect 1694 549 1775 579
-rect 1576 468 1638 484
-rect 1576 434 1588 468
-rect 1622 434 1638 468
-rect 1576 418 1638 434
-rect 1486 338 1552 370
-rect 1332 315 1362 337
-rect 1522 315 1552 338
-rect 1594 315 1624 418
-rect 1745 351 1775 549
-rect 1874 571 1914 601
-rect 1874 471 1904 571
-rect 1970 512 2000 817
-rect 1849 455 1904 471
-rect 1849 421 1859 455
-rect 1893 421 1904 455
-rect 1946 496 2000 512
-rect 1946 462 1956 496
-rect 1990 462 2000 496
-rect 1946 446 2000 462
-rect 1849 405 1904 421
-rect 1874 360 1904 405
-rect 1745 335 1799 351
-rect 1745 315 1755 335
-rect 219 285 302 301
-rect 272 263 302 285
-rect 1692 301 1755 315
-rect 1789 301 1799 335
-rect 1874 330 1914 360
-rect 1692 285 1799 301
-rect 1692 263 1722 285
-rect 1884 263 1914 330
-rect 1970 263 2000 446
-rect 80 89 110 115
-rect 272 89 302 115
-rect 370 89 400 115
-rect 442 89 472 115
-rect 632 89 662 115
-rect 704 89 734 115
-rect 824 89 854 115
-rect 896 89 926 115
-rect 982 89 1012 115
-rect 1054 89 1084 115
-rect 1174 89 1204 115
-rect 1246 89 1276 115
-rect 1332 89 1362 115
-rect 1522 89 1552 115
-rect 1594 89 1624 115
-rect 1692 89 1722 115
-rect 1884 89 1914 115
-rect 1970 89 2000 115
-<< polycont >>
-rect 89 444 123 478
-rect 442 536 476 570
-rect 325 457 359 491
-rect 229 301 263 335
-rect 714 536 748 570
-rect 928 536 962 570
-rect 1160 536 1194 570
-rect 642 427 676 461
-rect 1359 536 1393 570
-rect 1502 536 1536 570
-rect 1256 421 1290 455
-rect 810 353 844 387
-rect 928 353 962 387
-rect 1064 353 1098 387
-rect 1160 353 1194 387
-rect 1588 434 1622 468
-rect 1859 421 1893 455
-rect 1956 462 1990 496
-rect 1755 301 1789 335
-<< locali >>
-rect 0 1305 2090 1332
-rect 0 1271 50 1305
-rect 84 1271 186 1305
-rect 220 1271 322 1305
-rect 356 1271 458 1305
-rect 492 1271 594 1305
-rect 628 1271 730 1305
-rect 764 1271 866 1305
-rect 900 1271 1002 1305
-rect 1036 1271 1138 1305
-rect 1172 1271 1274 1305
-rect 1308 1271 1410 1305
-rect 1444 1271 1546 1305
-rect 1580 1271 1682 1305
-rect 1716 1271 1818 1305
-rect 1852 1271 1954 1305
-rect 1988 1271 2090 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 494 81 649
-rect 121 565 155 657
-rect 225 1201 259 1217
-rect 121 531 191 565
-rect 47 478 123 494
-rect 47 444 89 478
-rect 89 428 123 444
-rect 157 335 191 531
-rect 225 421 259 725
-rect 311 1201 345 1217
-rect 397 1201 431 1271
-rect 397 777 431 793
-rect 483 1201 517 1217
-rect 345 725 483 743
-rect 311 709 517 725
-rect 587 1201 621 1271
-rect 587 709 621 725
-rect 745 1201 813 1217
-rect 937 1201 971 1271
-rect 937 709 971 725
-rect 1095 1201 1163 1217
-rect 813 657 816 675
-rect 745 654 816 657
-rect 1095 654 1163 725
-rect 442 620 816 654
-rect 996 620 1163 654
-rect 1287 1201 1321 1271
-rect 1287 641 1321 657
-rect 1373 1201 1407 1217
-rect 1477 1201 1511 1217
-rect 1563 1201 1597 1271
-rect 1563 777 1597 793
-rect 1649 1201 1683 1217
-rect 1511 725 1649 743
-rect 1477 709 1683 725
-rect 1735 1201 1769 1217
-rect 1373 654 1407 657
-rect 1373 620 1461 654
-rect 325 491 359 575
-rect 442 570 476 620
-rect 309 457 325 491
-rect 359 457 375 491
-rect 225 387 359 421
-rect 121 301 229 335
-rect 263 301 279 335
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 301
-rect 325 267 359 353
-rect 442 370 476 536
-rect 714 570 748 586
-rect 714 535 748 536
-rect 928 570 962 586
-rect 748 501 844 535
-rect 642 461 676 477
-rect 642 411 676 427
-rect 810 387 844 501
-rect 928 387 962 536
-rect 442 336 776 370
-rect 810 337 844 353
-rect 928 337 962 353
-rect 996 387 1030 620
-rect 1160 570 1194 586
-rect 1160 535 1194 536
-rect 742 283 776 336
-rect 996 303 1030 353
-rect 1064 501 1160 535
-rect 1359 570 1393 586
-rect 1359 535 1393 536
-rect 1064 387 1098 501
-rect 1427 455 1461 620
-rect 1240 421 1256 455
-rect 1290 421 1306 455
-rect 1373 421 1461 455
-rect 1502 570 1536 586
-rect 1373 387 1407 421
-rect 1144 353 1160 387
-rect 1194 353 1407 387
-rect 1502 387 1536 536
-rect 1570 484 1604 575
-rect 1570 468 1638 484
-rect 1570 434 1588 468
-rect 1622 434 1638 468
-rect 1735 455 1769 725
-rect 1839 1201 1873 1217
-rect 1839 609 1873 861
-rect 1925 1201 1959 1271
-rect 1925 845 1959 861
-rect 2011 1201 2045 1217
-rect 2011 683 2045 861
-rect 2044 666 2045 683
-rect 2044 649 2068 666
-rect 2011 632 2068 649
-rect 1839 570 1873 575
-rect 1839 536 1990 570
-rect 1956 496 1990 536
-rect 1672 421 1859 455
-rect 1893 421 1909 455
-rect 1672 399 1706 421
-rect 1635 365 1706 399
-rect 1956 387 1990 462
-rect 1064 337 1098 353
-rect 121 115 155 131
-rect 227 199 261 215
-rect 227 61 261 131
-rect 325 115 359 131
-rect 483 267 517 283
-rect 483 61 517 131
-rect 587 267 621 283
-rect 742 267 813 283
-rect 996 269 1163 303
-rect 742 249 745 267
-rect 587 61 621 131
-rect 1095 267 1163 269
-rect 745 115 813 131
-rect 937 199 971 215
-rect 937 61 971 131
-rect 1095 115 1163 131
-rect 1287 267 1321 283
-rect 1287 61 1321 131
-rect 1373 267 1407 353
-rect 1373 115 1407 131
-rect 1477 267 1511 283
-rect 1477 61 1511 131
-rect 1635 267 1669 365
-rect 1839 353 1990 387
-rect 1739 301 1755 335
-rect 1789 301 1805 335
-rect 1635 115 1669 131
-rect 1733 199 1767 215
-rect 1733 61 1767 131
-rect 1839 199 1873 353
-rect 2034 320 2068 632
-rect 2011 286 2068 320
-rect 1839 115 1873 131
-rect 1925 199 1959 215
-rect 1925 61 1959 131
-rect 2011 199 2045 286
-rect 2011 115 2045 131
-rect 0 27 50 61
-rect 84 27 186 61
-rect 220 27 322 61
-rect 356 27 458 61
-rect 492 27 594 61
-rect 628 27 730 61
-rect 764 27 866 61
-rect 900 27 1002 61
-rect 1036 27 1138 61
-rect 1172 27 1274 61
-rect 1308 27 1410 61
-rect 1444 27 1546 61
-rect 1580 27 1682 61
-rect 1716 27 1818 61
-rect 1852 27 1954 61
-rect 1988 27 2090 61
-rect 0 0 2090 27
-<< viali >>
-rect 47 649 81 683
-rect 325 575 359 609
-rect 325 353 359 387
-rect 229 301 263 313
-rect 229 279 263 301
-rect 714 501 748 535
-rect 642 427 676 461
-rect 910 353 928 387
-rect 928 353 944 387
-rect 996 353 1030 387
-rect 1160 501 1194 535
-rect 1359 501 1393 535
-rect 1256 421 1290 455
-rect 1570 575 1604 609
-rect 2010 649 2044 683
-rect 1839 575 1873 609
-rect 1859 421 1893 455
-rect 1502 353 1536 387
-rect 1755 301 1789 313
-rect 1755 279 1789 301
-<< metal1 >>
-rect 0 1271 2090 1332
-rect 35 683 93 689
-rect 1998 683 2056 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 1976 649 2010 683
-rect 2044 649 2056 683
-rect 35 643 93 649
-rect 1998 643 2056 649
-rect 313 609 371 615
-rect 1558 609 1616 615
-rect 1827 609 1885 615
-rect 313 575 325 609
-rect 359 575 1570 609
-rect 1604 575 1616 609
-rect 1804 575 1839 609
-rect 1873 575 1885 609
-rect 313 569 371 575
-rect 1558 569 1616 575
-rect 1827 569 1885 575
-rect 702 535 760 541
-rect 1148 535 1206 541
-rect 1347 535 1405 541
-rect 702 501 714 535
-rect 748 501 1160 535
-rect 1194 501 1359 535
-rect 1393 501 1405 535
-rect 702 495 760 501
-rect 1148 495 1206 501
-rect 1347 495 1405 501
-rect 630 461 688 467
-rect 630 427 642 461
-rect 676 427 710 461
-rect 1244 455 1302 461
-rect 1847 455 1905 461
-rect 630 421 688 427
-rect 1244 421 1256 455
-rect 1290 421 1859 455
-rect 1893 421 1905 455
-rect 1244 415 1302 421
-rect 1847 415 1905 421
-rect 313 387 371 393
-rect 898 387 956 393
-rect 313 353 325 387
-rect 359 353 910 387
-rect 944 353 956 387
-rect 313 347 371 353
-rect 898 347 956 353
-rect 984 387 1042 393
-rect 1490 387 1548 393
-rect 984 353 996 387
-rect 1030 353 1502 387
-rect 1536 353 1548 387
-rect 984 347 1042 353
-rect 1490 347 1548 353
-rect 217 313 275 319
-rect 1743 313 1801 319
-rect 217 279 229 313
-rect 263 279 1755 313
-rect 1789 279 1801 313
-rect 217 273 275 279
-rect 1743 273 1801 279
-rect 0 0 2090 61
-<< labels >>
-rlabel metal1 659 444 659 444 1 D
-port 1 n
-rlabel metal1 1376 518 1376 518 1 CK
-port 2 n
-rlabel metal1 1857 592 1857 592 1 QN
-port 3 n
-rlabel metal1 2027 666 2027 666 1 Q
-port 4 n
-rlabel metal1 1587 592 1587 592 1 SN
-port 5 n
-rlabel metal1 65 666 65 666 1 RN
-port 6 n
-rlabel locali 67 44 67 44 1 gnd
-rlabel metal1 67 44 67 44 1 gnd
-rlabel locali 67 1288 67 1288 1 vdd
-rlabel metal1 67 1288 67 1288 1 vdd
-<< end >>
diff --git a/lib/magic/DFFX1.mag b/lib/magic/DFFX1.mag
deleted file mode 100644
index e409176..0000000
--- a/lib/magic/DFFX1.mag
+++ /dev/null
@@ -1,570 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600516035
-<< nwell >>
-rect -9 581 1456 1341
-<< nmoslvt >>
-rect 85 115 115 315
-rect 171 115 201 315
-rect 243 115 273 315
-rect 363 115 393 315
-rect 435 115 465 315
-rect 521 115 551 315
-rect 593 115 623 315
-rect 713 115 743 315
-rect 785 115 815 315
-rect 871 115 901 315
-rect 1061 115 1091 315
-rect 1251 115 1281 315
-rect 1337 115 1367 315
-<< pmos >>
-rect 85 617 115 1217
-rect 171 617 201 1217
-rect 243 617 273 1217
-rect 363 617 393 1217
-rect 435 617 465 1217
-rect 521 617 551 1217
-rect 593 617 623 1217
-rect 713 617 743 1217
-rect 785 617 815 1217
-rect 871 617 901 1217
-rect 1061 617 1091 1217
-rect 1251 617 1281 1217
-rect 1337 617 1367 1217
-<< ndiff >>
-rect 32 267 85 315
-rect 32 131 40 267
-rect 74 131 85 267
-rect 32 115 85 131
-rect 115 267 171 315
-rect 115 131 126 267
-rect 160 131 171 267
-rect 115 115 171 131
-rect 201 115 243 315
-rect 273 267 363 315
-rect 273 131 284 267
-rect 352 131 363 267
-rect 273 115 363 131
-rect 393 115 435 315
-rect 465 199 521 315
-rect 465 131 476 199
-rect 510 131 521 199
-rect 465 115 521 131
-rect 551 115 593 315
-rect 623 267 713 315
-rect 623 131 634 267
-rect 702 131 713 267
-rect 623 115 713 131
-rect 743 115 785 315
-rect 815 267 871 315
-rect 815 131 826 267
-rect 860 131 871 267
-rect 815 115 871 131
-rect 901 267 954 315
-rect 901 131 912 267
-rect 946 131 954 267
-rect 901 115 954 131
-rect 1008 267 1061 315
-rect 1008 131 1016 267
-rect 1050 131 1061 267
-rect 1008 115 1061 131
-rect 1091 267 1144 315
-rect 1091 131 1102 267
-rect 1136 131 1144 267
-rect 1091 115 1144 131
-rect 1198 267 1251 315
-rect 1198 131 1206 267
-rect 1240 131 1251 267
-rect 1198 115 1251 131
-rect 1281 267 1337 315
-rect 1281 131 1292 267
-rect 1326 131 1337 267
-rect 1281 115 1337 131
-rect 1367 267 1420 315
-rect 1367 131 1378 267
-rect 1412 131 1420 267
-rect 1367 115 1420 131
-<< pdiff >>
-rect 32 1201 85 1217
-rect 32 657 40 1201
-rect 74 657 85 1201
-rect 32 617 85 657
-rect 115 1201 171 1217
-rect 115 725 126 1201
-rect 160 725 171 1201
-rect 115 617 171 725
-rect 201 617 243 1217
-rect 273 1201 363 1217
-rect 273 657 284 1201
-rect 352 657 363 1201
-rect 273 617 363 657
-rect 393 617 435 1217
-rect 465 1201 521 1217
-rect 465 725 476 1201
-rect 510 725 521 1201
-rect 465 617 521 725
-rect 551 617 593 1217
-rect 623 1201 713 1217
-rect 623 657 634 1201
-rect 702 657 713 1201
-rect 623 617 713 657
-rect 743 617 785 1217
-rect 815 1201 871 1217
-rect 815 657 826 1201
-rect 860 657 871 1201
-rect 815 617 871 657
-rect 901 1201 954 1217
-rect 901 657 912 1201
-rect 946 657 954 1201
-rect 901 617 954 657
-rect 1008 1201 1061 1217
-rect 1008 725 1016 1201
-rect 1050 725 1061 1201
-rect 1008 617 1061 725
-rect 1091 1201 1144 1217
-rect 1091 657 1102 1201
-rect 1136 657 1144 1201
-rect 1091 617 1144 657
-rect 1198 1201 1251 1217
-rect 1198 657 1206 1201
-rect 1240 657 1251 1201
-rect 1198 617 1251 657
-rect 1281 1201 1337 1217
-rect 1281 657 1292 1201
-rect 1326 657 1337 1201
-rect 1281 617 1337 657
-rect 1367 1201 1420 1217
-rect 1367 657 1378 1201
-rect 1412 657 1420 1201
-rect 1367 617 1420 657
-<< ndiffc >>
-rect 40 131 74 267
-rect 126 131 160 267
-rect 284 131 352 267
-rect 476 131 510 199
-rect 634 131 702 267
-rect 826 131 860 267
-rect 912 131 946 267
-rect 1016 131 1050 267
-rect 1102 131 1136 267
-rect 1206 131 1240 267
-rect 1292 131 1326 267
-rect 1378 131 1412 267
-<< pdiffc >>
-rect 40 657 74 1201
-rect 126 725 160 1201
-rect 284 657 352 1201
-rect 476 725 510 1201
-rect 634 657 702 1201
-rect 826 657 860 1201
-rect 912 657 946 1201
-rect 1016 725 1050 1201
-rect 1102 657 1136 1201
-rect 1206 657 1240 1201
-rect 1292 657 1326 1201
-rect 1378 657 1412 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-rect 843 1271 867 1305
-rect 901 1271 925 1305
-rect 979 1271 1003 1305
-rect 1037 1271 1061 1305
-rect 1115 1271 1139 1305
-rect 1173 1271 1197 1305
-rect 1251 1271 1275 1305
-rect 1309 1271 1333 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-rect 867 1271 901 1305
-rect 1003 1271 1037 1305
-rect 1139 1271 1173 1305
-rect 1275 1271 1309 1305
-<< poly >>
-rect 85 1217 115 1243
-rect 171 1217 201 1243
-rect 243 1217 273 1243
-rect 363 1217 393 1243
-rect 435 1217 465 1243
-rect 521 1217 551 1243
-rect 593 1217 623 1243
-rect 713 1217 743 1243
-rect 785 1217 815 1243
-rect 871 1217 901 1243
-rect 1061 1217 1091 1243
-rect 1251 1217 1281 1243
-rect 1337 1217 1367 1243
-rect 85 586 115 617
-rect 75 570 129 586
-rect 75 536 85 570
-rect 119 536 129 570
-rect 75 520 129 536
-rect 75 374 105 520
-rect 171 477 201 617
-rect 243 586 273 617
-rect 243 570 297 586
-rect 243 536 253 570
-rect 287 536 297 570
-rect 243 520 297 536
-rect 171 461 225 477
-rect 363 475 393 617
-rect 435 580 465 617
-rect 521 580 551 617
-rect 435 570 551 580
-rect 435 536 467 570
-rect 501 536 551 570
-rect 435 526 551 536
-rect 593 475 623 617
-rect 713 586 743 617
-rect 689 570 743 586
-rect 689 536 699 570
-rect 733 536 743 570
-rect 689 520 743 536
-rect 171 427 181 461
-rect 215 427 225 461
-rect 171 411 225 427
-rect 267 445 719 475
-rect 75 344 115 374
-rect 85 315 115 344
-rect 171 315 201 411
-rect 267 367 297 445
-rect 689 403 719 445
-rect 785 471 815 617
-rect 871 586 901 617
-rect 871 570 942 586
-rect 871 556 898 570
-rect 882 536 898 556
-rect 932 536 942 570
-rect 882 520 942 536
-rect 785 455 839 471
-rect 785 421 795 455
-rect 829 421 839 455
-rect 785 405 839 421
-rect 243 337 297 367
-rect 339 387 393 403
-rect 339 353 349 387
-rect 383 353 393 387
-rect 339 337 393 353
-rect 243 315 273 337
-rect 363 315 393 337
-rect 435 387 551 397
-rect 435 353 467 387
-rect 501 353 551 387
-rect 435 343 551 353
-rect 435 315 465 343
-rect 521 315 551 343
-rect 593 387 647 403
-rect 593 353 603 387
-rect 637 353 647 387
-rect 593 337 647 353
-rect 689 387 743 403
-rect 689 353 699 387
-rect 733 353 743 387
-rect 689 337 743 353
-rect 593 315 623 337
-rect 713 315 743 337
-rect 785 315 815 405
-rect 882 367 912 520
-rect 1061 403 1091 617
-rect 1251 601 1281 617
-rect 1241 571 1281 601
-rect 1241 471 1271 571
-rect 1337 512 1367 617
-rect 1216 455 1271 471
-rect 1216 421 1226 455
-rect 1260 421 1271 455
-rect 1313 496 1367 512
-rect 1313 462 1323 496
-rect 1357 462 1367 496
-rect 1313 446 1367 462
-rect 1216 405 1271 421
-rect 871 337 912 367
-rect 1008 387 1091 403
-rect 1008 353 1018 387
-rect 1052 353 1091 387
-rect 1008 337 1091 353
-rect 871 315 901 337
-rect 1061 315 1091 337
-rect 1241 360 1271 405
-rect 1241 330 1281 360
-rect 1251 315 1281 330
-rect 1337 315 1367 446
-rect 85 89 115 115
-rect 171 89 201 115
-rect 243 89 273 115
-rect 363 89 393 115
-rect 435 89 465 115
-rect 521 89 551 115
-rect 593 89 623 115
-rect 713 89 743 115
-rect 785 89 815 115
-rect 871 89 901 115
-rect 1061 89 1091 115
-rect 1251 89 1281 115
-rect 1337 89 1367 115
-<< polycont >>
-rect 85 536 119 570
-rect 253 536 287 570
-rect 467 536 501 570
-rect 699 536 733 570
-rect 181 427 215 461
-rect 898 536 932 570
-rect 795 421 829 455
-rect 349 353 383 387
-rect 467 353 501 387
-rect 603 353 637 387
-rect 699 353 733 387
-rect 1226 421 1260 455
-rect 1323 462 1357 496
-rect 1018 353 1052 387
-<< locali >>
-rect 0 1305 1452 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1452 1305
-rect 40 1201 74 1217
-rect 17 657 40 669
-rect 126 1201 160 1271
-rect 126 709 160 725
-rect 284 1201 352 1217
-rect 17 628 74 657
-rect 476 1201 510 1271
-rect 476 709 510 725
-rect 634 1201 702 1217
-rect 352 657 355 675
-rect 284 654 355 657
-rect 634 654 702 657
-rect 17 387 51 628
-rect 108 620 355 654
-rect 535 620 702 654
-rect 826 1201 860 1271
-rect 826 641 860 657
-rect 912 1201 946 1217
-rect 1016 1201 1050 1271
-rect 1016 709 1050 725
-rect 1102 1201 1136 1217
-rect 912 654 946 657
-rect 912 620 1000 654
-rect 108 586 142 620
-rect 85 570 142 586
-rect 119 536 142 570
-rect 85 520 142 536
-rect 17 353 40 387
-rect 17 332 74 353
-rect 108 370 142 520
-rect 253 570 287 586
-rect 253 535 287 536
-rect 467 570 501 586
-rect 287 501 383 535
-rect 181 461 215 477
-rect 181 411 215 427
-rect 349 387 383 501
-rect 467 387 501 536
-rect 108 336 315 370
-rect 349 337 383 353
-rect 467 337 501 353
-rect 535 387 569 620
-rect 699 570 733 586
-rect 699 535 733 536
-rect 40 267 74 332
-rect 281 283 315 336
-rect 535 303 569 353
-rect 603 501 699 535
-rect 898 570 932 586
-rect 898 535 932 536
-rect 603 387 637 501
-rect 966 455 1000 620
-rect 779 421 795 455
-rect 829 421 845 455
-rect 912 421 1000 455
-rect 1102 455 1136 657
-rect 1206 1201 1240 1217
-rect 1206 609 1240 657
-rect 1292 1201 1326 1271
-rect 1292 641 1326 657
-rect 1378 1201 1412 1217
-rect 1412 649 1435 666
-rect 1378 632 1435 649
-rect 1206 570 1240 575
-rect 1206 536 1357 570
-rect 1323 496 1357 536
-rect 1102 421 1226 455
-rect 1260 421 1276 455
-rect 912 387 946 421
-rect 683 353 699 387
-rect 733 353 946 387
-rect 1002 353 1018 387
-rect 1052 353 1068 387
-rect 603 337 637 353
-rect 40 115 74 131
-rect 126 267 160 283
-rect 281 267 352 283
-rect 535 269 702 303
-rect 281 249 284 267
-rect 126 61 160 131
-rect 634 267 702 269
-rect 284 115 352 131
-rect 476 199 510 215
-rect 476 61 510 131
-rect 634 115 702 131
-rect 826 267 860 283
-rect 826 61 860 131
-rect 912 267 946 353
-rect 912 115 946 131
-rect 1016 267 1050 283
-rect 1016 61 1050 131
-rect 1102 267 1136 421
-rect 1323 387 1357 462
-rect 1102 115 1136 131
-rect 1206 353 1357 387
-rect 1206 267 1240 353
-rect 1401 322 1435 632
-rect 1378 286 1435 322
-rect 1206 115 1240 131
-rect 1292 267 1326 283
-rect 1292 61 1326 131
-rect 1378 267 1412 286
-rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
-<< viali >>
-rect 40 353 74 387
-rect 253 501 287 535
-rect 181 427 215 461
-rect 449 353 467 387
-rect 467 353 483 387
-rect 535 353 569 387
-rect 699 501 733 535
-rect 898 501 932 535
-rect 795 421 829 455
-rect 1378 657 1412 683
-rect 1378 649 1412 657
-rect 1206 575 1240 609
-rect 1226 421 1260 455
-rect 1018 353 1052 387
-<< metal1 >>
-rect 0 1271 1452 1332
-rect 1366 683 1424 689
-rect 1343 649 1378 683
-rect 1412 649 1424 683
-rect 1366 643 1424 649
-rect 1194 609 1252 615
-rect 1172 575 1206 609
-rect 1240 575 1252 609
-rect 1194 569 1252 575
-rect 241 535 299 541
-rect 687 535 745 541
-rect 886 535 944 541
-rect 241 501 253 535
-rect 287 501 699 535
-rect 733 501 898 535
-rect 932 501 944 535
-rect 241 495 299 501
-rect 687 495 745 501
-rect 886 495 944 501
-rect 169 461 227 467
-rect 169 427 181 461
-rect 215 427 249 461
-rect 783 455 841 461
-rect 1214 455 1272 461
-rect 169 421 227 427
-rect 783 421 795 455
-rect 829 421 1226 455
-rect 1260 421 1272 455
-rect 783 415 841 421
-rect 1214 415 1272 421
-rect 28 387 86 393
-rect 437 387 495 393
-rect 28 353 40 387
-rect 74 353 449 387
-rect 483 353 495 387
-rect 28 347 86 353
-rect 437 347 495 353
-rect 523 387 581 393
-rect 1006 387 1064 393
-rect 523 353 535 387
-rect 569 353 1018 387
-rect 1052 353 1064 387
-rect 523 347 581 353
-rect 1006 347 1064 353
-rect 0 0 1452 61
-<< labels >>
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 68 1289 68 1289 1 vdd
-rlabel metal1 68 1289 68 1289 1 vdd
-rlabel metal1 198 444 198 444 1 D
-port 1 n
-rlabel metal1 1224 592 1224 592 1 QN
-port 2 n
-rlabel metal1 915 518 915 518 1 CK
-port 3 n
-rlabel metal1 1395 666 1395 666 1 Q
-port 4 n
-<< end >>
diff --git a/lib/magic/DFFX1_bkup2 b/lib/magic/DFFX1_bkup2
deleted file mode 100644
index 34272e7..0000000
--- a/lib/magic/DFFX1_bkup2
+++ /dev/null
@@ -1,728 +0,0 @@
-magic
-tech EFS8A
-magscale 1 2
-timestamp 1586984469
-<< nwell >>
-rect -44 689 1725 1449
-<< nmos >>
-rect 45 115 75 315
-rect 131 115 161 315
-rect 203 115 233 315
-rect 323 115 353 315
-rect 395 115 425 315
-rect 481 115 511 315
-rect 553 115 583 315
-rect 673 115 703 315
-rect 745 115 775 315
-rect 831 115 861 315
-rect 1021 115 1051 315
-rect 1211 115 1241 243
-rect 1309 115 1339 315
-rect 1519 115 1549 315
-rect 1605 115 1635 315
-<< pmos >>
-rect 45 725 75 1325
-rect 131 725 161 1325
-rect 203 725 233 1325
-rect 323 725 353 1325
-rect 395 725 425 1325
-rect 481 725 511 1325
-rect 553 725 583 1325
-rect 673 725 703 1325
-rect 745 725 775 1325
-rect 831 725 861 1325
-rect 1021 725 1051 1325
-rect 1211 995 1241 1325
-rect 1309 725 1339 1325
-rect 1519 725 1549 1325
-rect 1605 725 1635 1325
-<< ndiff >>
-rect -8 267 45 315
-rect -8 131 0 267
-rect 34 131 45 267
-rect -8 115 45 131
-rect 75 267 131 315
-rect 75 131 86 267
-rect 120 131 131 267
-rect 75 115 131 131
-rect 161 115 203 315
-rect 233 267 323 315
-rect 233 131 244 267
-rect 312 131 323 267
-rect 233 115 323 131
-rect 353 115 395 315
-rect 425 267 481 315
-rect 425 131 436 267
-rect 470 131 481 267
-rect 425 115 481 131
-rect 511 115 553 315
-rect 583 267 673 315
-rect 583 131 594 267
-rect 662 131 673 267
-rect 583 115 673 131
-rect 703 115 745 315
-rect 775 267 831 315
-rect 775 131 786 267
-rect 820 131 831 267
-rect 775 115 831 131
-rect 861 267 914 315
-rect 861 131 872 267
-rect 906 131 914 267
-rect 861 115 914 131
-rect 968 267 1021 315
-rect 968 131 976 267
-rect 1010 131 1021 267
-rect 968 115 1021 131
-rect 1051 267 1104 315
-rect 1051 131 1062 267
-rect 1096 131 1104 267
-rect 1256 267 1309 315
-rect 1256 243 1264 267
-rect 1051 115 1104 131
-rect 1158 199 1211 243
-rect 1158 131 1166 199
-rect 1200 131 1211 199
-rect 1158 115 1211 131
-rect 1241 131 1264 243
-rect 1298 131 1309 267
-rect 1241 115 1309 131
-rect 1339 267 1392 315
-rect 1339 131 1350 267
-rect 1384 131 1392 267
-rect 1339 115 1392 131
-rect 1466 267 1519 315
-rect 1466 131 1474 267
-rect 1508 131 1519 267
-rect 1466 115 1519 131
-rect 1549 267 1605 315
-rect 1549 131 1560 267
-rect 1594 131 1605 267
-rect 1549 115 1605 131
-rect 1635 267 1688 315
-rect 1635 131 1646 267
-rect 1680 131 1688 267
-rect 1635 115 1688 131
-<< pdiff >>
-rect -8 1309 45 1325
-rect -8 833 0 1309
-rect 34 833 45 1309
-rect -8 725 45 833
-rect 75 1309 131 1325
-rect 75 833 86 1309
-rect 120 833 131 1309
-rect 75 725 131 833
-rect 161 725 203 1325
-rect 233 1309 323 1325
-rect 233 833 244 1309
-rect 312 833 323 1309
-rect 233 725 323 833
-rect 353 725 395 1325
-rect 425 1309 481 1325
-rect 425 833 436 1309
-rect 470 833 481 1309
-rect 425 725 481 833
-rect 511 725 553 1325
-rect 583 1309 673 1325
-rect 583 833 594 1309
-rect 662 833 673 1309
-rect 583 725 673 833
-rect 703 725 745 1325
-rect 775 1309 831 1325
-rect 775 833 786 1309
-rect 820 833 831 1309
-rect 775 725 831 833
-rect 861 1309 914 1325
-rect 861 833 872 1309
-rect 906 833 914 1309
-rect 861 725 914 833
-rect 968 1309 1021 1325
-rect 968 833 976 1309
-rect 1010 833 1021 1309
-rect 968 725 1021 833
-rect 1051 1309 1104 1325
-rect 1051 833 1062 1309
-rect 1096 833 1104 1309
-rect 1158 1309 1211 1325
-rect 1158 1037 1166 1309
-rect 1200 1037 1211 1309
-rect 1158 995 1211 1037
-rect 1241 1309 1309 1325
-rect 1241 995 1264 1309
-rect 1051 725 1104 833
-rect 1256 765 1264 995
-rect 1298 765 1309 1309
-rect 1256 725 1309 765
-rect 1339 1309 1392 1325
-rect 1339 1037 1350 1309
-rect 1384 1037 1392 1309
-rect 1339 725 1392 1037
-rect 1466 1309 1519 1325
-rect 1466 765 1474 1309
-rect 1508 765 1519 1309
-rect 1466 725 1519 765
-rect 1549 1309 1605 1325
-rect 1549 765 1560 1309
-rect 1594 765 1605 1309
-rect 1549 725 1605 765
-rect 1635 1309 1688 1325
-rect 1635 765 1646 1309
-rect 1680 765 1688 1309
-rect 1635 725 1688 765
-<< ndiffc >>
-rect 0 131 34 267
-rect 86 131 120 267
-rect 244 131 312 267
-rect 436 131 470 267
-rect 594 131 662 267
-rect 786 131 820 267
-rect 872 131 906 267
-rect 976 131 1010 267
-rect 1062 131 1096 267
-rect 1166 131 1200 199
-rect 1264 131 1298 267
-rect 1350 131 1384 267
-rect 1474 131 1508 267
-rect 1560 131 1594 267
-rect 1646 131 1680 267
-<< pdiffc >>
-rect 0 833 34 1309
-rect 86 833 120 1309
-rect 244 833 312 1309
-rect 436 833 470 1309
-rect 594 833 662 1309
-rect 786 833 820 1309
-rect 872 833 906 1309
-rect 976 833 1010 1309
-rect 1062 833 1096 1309
-rect 1166 1037 1200 1309
-rect 1264 765 1298 1309
-rect 1350 1037 1384 1309
-rect 1474 765 1508 1309
-rect 1560 765 1594 1309
-rect 1646 765 1680 1309
-<< psubdiff >>
-rect -8 27 16 61
-rect 50 27 74 61
-rect 128 27 152 61
-rect 186 27 210 61
-rect 264 27 288 61
-rect 322 27 346 61
-rect 400 27 424 61
-rect 458 27 482 61
-rect 536 27 560 61
-rect 594 27 618 61
-rect 672 27 696 61
-rect 730 27 754 61
-rect 808 27 832 61
-rect 866 27 890 61
-rect 944 27 968 61
-rect 1002 27 1026 61
-rect 1080 27 1104 61
-rect 1138 27 1162 61
-rect 1216 27 1240 61
-rect 1274 27 1298 61
-rect 1352 27 1376 61
-rect 1410 27 1434 61
-rect 1488 27 1512 61
-rect 1546 27 1570 61
-<< nsubdiff >>
-rect -8 1379 16 1413
-rect 50 1379 74 1413
-rect 128 1379 152 1413
-rect 186 1379 210 1413
-rect 264 1379 288 1413
-rect 322 1379 346 1413
-rect 400 1379 424 1413
-rect 458 1379 482 1413
-rect 536 1379 560 1413
-rect 594 1379 618 1413
-rect 672 1379 696 1413
-rect 730 1379 754 1413
-rect 808 1379 832 1413
-rect 866 1379 890 1413
-rect 944 1379 968 1413
-rect 1002 1379 1026 1413
-rect 1080 1379 1104 1413
-rect 1138 1379 1162 1413
-rect 1216 1379 1240 1413
-rect 1274 1379 1298 1413
-rect 1352 1379 1376 1413
-rect 1410 1379 1434 1413
-rect 1488 1379 1512 1413
-rect 1546 1379 1570 1413
-<< psubdiffcont >>
-rect 16 27 50 61
-rect 152 27 186 61
-rect 288 27 322 61
-rect 424 27 458 61
-rect 560 27 594 61
-rect 696 27 730 61
-rect 832 27 866 61
-rect 968 27 1002 61
-rect 1104 27 1138 61
-rect 1240 27 1274 61
-rect 1376 27 1410 61
-rect 1512 27 1546 61
-<< nsubdiffcont >>
-rect 16 1379 50 1413
-rect 152 1379 186 1413
-rect 288 1379 322 1413
-rect 424 1379 458 1413
-rect 560 1379 594 1413
-rect 696 1379 730 1413
-rect 832 1379 866 1413
-rect 968 1379 1002 1413
-rect 1104 1379 1138 1413
-rect 1240 1379 1274 1413
-rect 1376 1379 1410 1413
-rect 1512 1379 1546 1413
-<< poly >>
-rect 45 1325 75 1351
-rect 131 1325 161 1351
-rect 203 1325 233 1351
-rect 323 1325 353 1351
-rect 395 1325 425 1351
-rect 481 1325 511 1351
-rect 553 1325 583 1351
-rect 673 1325 703 1351
-rect 745 1325 775 1351
-rect 831 1325 861 1351
-rect 1021 1325 1051 1351
-rect 1211 1325 1241 1351
-rect 1309 1325 1339 1351
-rect 1519 1325 1549 1351
-rect 1605 1325 1635 1351
-rect 45 710 75 725
-rect 34 690 75 710
-rect 11 680 75 690
-rect 11 674 65 680
-rect 11 640 21 674
-rect 55 640 65 674
-rect 11 624 65 640
-rect 35 400 65 624
-rect 131 530 161 725
-rect 203 685 233 725
-rect 203 669 257 685
-rect 203 635 213 669
-rect 247 635 257 669
-rect 203 619 257 635
-rect 107 514 161 530
-rect 323 525 353 725
-rect 395 680 425 725
-rect 481 680 511 725
-rect 395 670 511 680
-rect 395 636 436 670
-rect 470 636 511 670
-rect 395 626 511 636
-rect 553 525 583 725
-rect 673 685 703 725
-rect 649 669 703 685
-rect 649 635 659 669
-rect 693 635 703 669
-rect 649 619 703 635
-rect 745 530 775 725
-rect 831 690 861 725
-rect 831 674 902 690
-rect 831 660 858 674
-rect 842 640 858 660
-rect 892 640 902 674
-rect 842 624 902 640
-rect 107 480 117 514
-rect 151 480 161 514
-rect 107 464 161 480
-rect 35 370 75 400
-rect 45 315 75 370
-rect 131 315 161 464
-rect 203 495 679 525
-rect 203 315 233 495
-rect 649 450 679 495
-rect 745 514 799 530
-rect 745 480 755 514
-rect 789 480 799 514
-rect 745 464 799 480
-rect 299 434 353 450
-rect 299 400 309 434
-rect 343 400 353 434
-rect 299 384 353 400
-rect 323 315 353 384
-rect 395 434 511 444
-rect 395 400 436 434
-rect 470 400 511 434
-rect 395 390 511 400
-rect 395 315 425 390
-rect 481 315 511 390
-rect 553 434 607 450
-rect 553 400 563 434
-rect 597 400 607 434
-rect 553 384 607 400
-rect 649 434 703 450
-rect 649 400 659 434
-rect 693 400 703 434
-rect 649 384 703 400
-rect 553 315 583 384
-rect 673 315 703 384
-rect 745 315 775 464
-rect 842 414 872 624
-rect 1021 450 1051 725
-rect 1211 530 1241 995
-rect 1187 514 1241 530
-rect 1187 480 1197 514
-rect 1231 480 1241 514
-rect 1187 464 1241 480
-rect 831 384 872 414
-rect 997 434 1051 450
-rect 997 400 1007 434
-rect 1041 400 1051 434
-rect 997 384 1051 400
-rect 831 315 861 384
-rect 1021 315 1051 384
-rect 1211 243 1241 464
-rect 1309 690 1339 725
-rect 1309 674 1363 690
-rect 1309 640 1319 674
-rect 1353 640 1363 674
-rect 1309 624 1363 640
-rect 1309 315 1339 624
-rect 1519 530 1549 725
-rect 1495 514 1549 530
-rect 1495 480 1505 514
-rect 1539 480 1549 514
-rect 1495 464 1549 480
-rect 1519 315 1549 464
-rect 1605 690 1635 725
-rect 1605 674 1659 690
-rect 1605 640 1615 674
-rect 1649 640 1659 674
-rect 1605 624 1659 640
-rect 1605 315 1635 624
-rect 45 89 75 115
-rect 131 89 161 115
-rect 203 89 233 115
-rect 323 89 353 115
-rect 395 89 425 115
-rect 481 89 511 115
-rect 553 89 583 115
-rect 673 89 703 115
-rect 745 89 775 115
-rect 831 89 861 115
-rect 1021 89 1051 115
-rect 1211 89 1241 115
-rect 1309 89 1339 115
-rect 1519 89 1549 115
-rect 1605 89 1635 115
-<< polycont >>
-rect 21 640 55 674
-rect 213 635 247 669
-rect 436 636 470 670
-rect 659 635 693 669
-rect 858 640 892 674
-rect 117 480 151 514
-rect 755 480 789 514
-rect 309 400 343 434
-rect 436 400 470 434
-rect 563 400 597 434
-rect 659 400 693 434
-rect 1197 480 1231 514
-rect 1007 400 1041 434
-rect 1319 640 1353 674
-rect 1505 480 1539 514
-rect 1615 640 1649 674
-<< locali >>
-rect -35 1413 1725 1440
-rect -35 1379 16 1413
-rect 50 1379 152 1413
-rect 186 1379 288 1413
-rect 322 1379 424 1413
-rect 458 1379 560 1413
-rect 594 1379 696 1413
-rect 730 1379 832 1413
-rect 866 1379 968 1413
-rect 1002 1379 1104 1413
-rect 1138 1379 1240 1413
-rect 1274 1379 1376 1413
-rect 1410 1379 1512 1413
-rect 1546 1379 1725 1413
-rect 0 1309 34 1325
-rect 0 754 34 833
-rect 86 1309 120 1379
-rect 86 817 120 833
-rect 244 1309 312 1325
-rect 244 817 312 833
-rect 436 1309 470 1379
-rect 436 817 470 833
-rect 594 1309 662 1325
-rect 594 817 662 833
-rect 786 1309 820 1379
-rect 786 817 820 833
-rect 872 1309 906 1325
-rect 261 754 295 817
-rect 611 754 645 817
-rect 872 754 906 833
-rect 976 1309 1010 1379
-rect 976 817 1010 833
-rect 1062 1309 1096 1325
-rect 1062 754 1096 833
-rect 1166 1309 1200 1325
-rect 5 640 21 674
-rect 55 640 77 674
-rect 213 669 247 685
-rect 420 636 436 670
-rect 470 636 486 670
-rect 659 669 693 685
-rect 1166 674 1200 1037
-rect 1264 1309 1298 1379
-rect 1264 749 1298 765
-rect 1350 1309 1384 1325
-rect 1350 754 1384 1037
-rect 1474 1309 1508 1325
-rect 1474 674 1508 765
-rect 1560 1309 1594 1379
-rect 1560 749 1594 765
-rect 1646 1309 1680 1325
-rect 1646 754 1680 765
-rect 213 594 247 635
-rect 842 640 858 674
-rect 892 640 908 674
-rect 1166 640 1256 674
-rect 1290 640 1319 674
-rect 1353 640 1369 674
-rect 1474 640 1564 674
-rect 1598 640 1615 674
-rect 1649 640 1665 674
-rect 659 594 693 635
-rect 858 594 892 640
-rect -1 560 343 594
-rect 377 560 1680 594
-rect 101 480 117 514
-rect 151 480 167 514
-rect 563 434 597 560
-rect 739 480 755 514
-rect 789 480 1062 514
-rect 1096 480 1197 514
-rect 1231 480 1247 514
-rect 1384 480 1505 514
-rect 1539 480 1555 514
-rect 292 400 309 434
-rect 420 400 436 434
-rect 470 400 486 434
-rect 643 400 659 434
-rect 693 400 872 434
-rect 976 400 1007 434
-rect 1041 400 1057 434
-rect 436 354 470 400
-rect 563 384 597 400
-rect 976 354 1010 400
-rect 34 320 470 354
-rect 628 320 1010 354
-rect 0 267 34 320
-rect 628 283 662 320
-rect 0 115 34 131
-rect 86 267 120 283
-rect 86 61 120 131
-rect 244 274 312 283
-rect 244 267 261 274
-rect 295 267 312 274
-rect 244 115 312 131
-rect 436 267 470 283
-rect 436 61 470 131
-rect 594 274 662 283
-rect 594 267 611 274
-rect 645 267 662 274
-rect 594 115 662 131
-rect 786 267 820 283
-rect 786 61 820 131
-rect 872 274 906 284
-rect 872 115 906 131
-rect 976 267 1010 283
-rect 976 61 1010 131
-rect 1062 274 1096 284
-rect 1062 115 1096 131
-rect 1166 199 1200 400
-rect 1166 115 1200 131
-rect 1264 267 1298 283
-rect 1264 61 1298 131
-rect 1350 267 1384 480
-rect 1350 115 1384 131
-rect 1474 267 1508 400
-rect 1474 115 1508 131
-rect 1560 267 1594 283
-rect 1560 61 1594 131
-rect 1646 267 1680 400
-rect 1646 115 1680 131
-rect -35 27 16 61
-rect 50 27 152 61
-rect 186 27 288 61
-rect 322 27 424 61
-rect 458 27 560 61
-rect 594 27 696 61
-rect 730 27 832 61
-rect 866 27 968 61
-rect 1002 27 1104 61
-rect 1138 27 1240 61
-rect 1274 27 1376 61
-rect 1410 27 1512 61
-rect 1546 27 1725 61
-rect -35 0 1725 27
-<< viali >>
-rect 0 720 34 754
-rect 261 720 295 754
-rect 611 720 645 754
-rect 872 720 906 754
-rect 1062 720 1096 754
-rect 77 640 111 674
-rect 436 636 470 670
-rect 1350 720 1384 754
-rect 1646 720 1680 754
-rect 1256 640 1290 674
-rect 1564 640 1598 674
-rect 343 560 377 594
-rect 1062 480 1096 514
-rect 1350 480 1384 514
-rect 343 400 377 434
-rect 436 400 470 434
-rect 872 400 906 434
-rect 1166 400 1200 434
-rect 0 320 34 354
-rect 261 267 295 274
-rect 261 240 295 267
-rect 611 267 645 274
-rect 611 240 645 267
-rect 872 267 906 274
-rect 872 240 906 267
-rect 1062 267 1096 274
-rect 1062 240 1096 267
-rect 1474 400 1508 434
-rect 1646 400 1680 434
-<< metal1 >>
-rect -35 1379 1725 1440
-rect -12 754 46 760
-rect -12 720 0 754
-rect 34 720 46 754
-rect -12 714 46 720
-rect 249 754 307 760
-rect 249 720 261 754
-rect 295 720 307 754
-rect 249 714 307 720
-rect 599 754 657 760
-rect 599 720 611 754
-rect 645 720 657 754
-rect 599 714 657 720
-rect 860 754 918 760
-rect 860 720 872 754
-rect 906 720 918 754
-rect 860 714 918 720
-rect 1050 754 1108 760
-rect 1050 720 1062 754
-rect 1096 720 1108 754
-rect 1050 714 1108 720
-rect 1338 754 1396 760
-rect 1338 720 1350 754
-rect 1384 720 1396 754
-rect 1338 714 1396 720
-rect 1634 754 1692 760
-rect 1634 720 1646 754
-rect 1680 720 1692 754
-rect 1634 714 1692 720
-rect 0 360 34 714
-rect 65 674 123 680
-rect 261 674 295 714
-rect 65 640 77 674
-rect 111 640 295 674
-rect 65 634 123 640
-rect -12 354 46 360
-rect -12 320 0 354
-rect 34 320 46 354
-rect -12 314 46 320
-rect 261 280 295 640
-rect 424 670 482 676
-rect 424 636 436 670
-rect 470 636 482 670
-rect 424 630 482 636
-rect 331 594 389 600
-rect 331 560 343 594
-rect 377 560 389 594
-rect 331 554 389 560
-rect 343 440 377 554
-rect 436 440 470 630
-rect 331 434 389 440
-rect 331 400 343 434
-rect 377 400 389 434
-rect 331 394 389 400
-rect 424 434 482 440
-rect 424 400 436 434
-rect 470 400 482 434
-rect 424 394 482 400
-rect 611 280 645 714
-rect 872 440 906 714
-rect 1062 520 1096 714
-rect 1244 674 1302 680
-rect 1244 640 1256 674
-rect 1290 640 1302 674
-rect 1244 634 1302 640
-rect 1050 514 1108 520
-rect 1050 480 1062 514
-rect 1096 480 1108 514
-rect 1050 474 1108 480
-rect 860 434 918 440
-rect 860 400 872 434
-rect 906 400 918 434
-rect 860 394 918 400
-rect 872 280 906 394
-rect 1062 280 1096 474
-rect 1154 434 1212 440
-rect 1154 400 1166 434
-rect 1200 430 1212 434
-rect 1256 430 1290 634
-rect 1350 520 1384 714
-rect 1552 674 1610 680
-rect 1552 640 1564 674
-rect 1598 640 1610 674
-rect 1552 634 1610 640
-rect 1338 514 1396 520
-rect 1338 480 1350 514
-rect 1384 480 1396 514
-rect 1338 474 1396 480
-rect 1200 400 1290 430
-rect 1462 434 1520 440
-rect 1462 400 1474 434
-rect 1508 430 1520 434
-rect 1564 430 1598 634
-rect 1646 440 1680 714
-rect 1508 400 1598 430
-rect 1634 434 1692 440
-rect 1634 400 1646 434
-rect 1680 400 1692 434
-rect 1154 394 1212 400
-rect 1462 394 1520 400
-rect 1634 394 1692 400
-rect 249 274 307 280
-rect 249 240 261 274
-rect 295 240 307 274
-rect 249 234 307 240
-rect 599 274 657 280
-rect 599 240 611 274
-rect 645 240 657 274
-rect 599 234 657 240
-rect 860 274 918 280
-rect 860 240 872 274
-rect 906 240 918 274
-rect 860 234 918 240
-rect 1050 274 1108 280
-rect 1050 240 1062 274
-rect 1096 240 1108 274
-rect 1050 234 1108 240
-rect -35 0 1725 61
-<< labels >>
-rlabel locali 871 657 871 657 1 CK
-port 1 n
-rlabel locali 33 1397 33 1397 1 vdd
-rlabel metal1 33 1397 33 1397 1 vdd
-rlabel locali 33 45 33 45 1 gnd
-rlabel metal1 33 45 33 45 1 gnd
-rlabel locali 134 497 134 497 1 D
-port 2 n
-rlabel metal1 1652 512 1652 512 1 Q
-port 3 n
-rlabel locali 1582 656 1582 656 1 QN
-port 4 n
-<< end >>
diff --git a/lib/magic/DFFXL.mag b/lib/magic/DFFXL.mag
deleted file mode 100644
index 7cbfd19..0000000
--- a/lib/magic/DFFXL.mag
+++ /dev/null
@@ -1,571 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542151
-<< nwell >>
-rect -9 581 1456 1341
-<< nmoslvt >>
-rect 85 115 115 315
-rect 171 115 201 315
-rect 243 115 273 315
-rect 363 115 393 315
-rect 435 115 465 315
-rect 521 115 551 315
-rect 593 115 623 315
-rect 713 115 743 315
-rect 785 115 815 315
-rect 871 115 901 315
-rect 1061 115 1091 315
-rect 1251 115 1281 263
-rect 1337 115 1367 263
-<< pmos >>
-rect 85 617 115 1217
-rect 171 617 201 1217
-rect 243 617 273 1217
-rect 363 617 393 1217
-rect 435 617 465 1217
-rect 521 617 551 1217
-rect 593 617 623 1217
-rect 713 617 743 1217
-rect 785 617 815 1217
-rect 871 617 901 1217
-rect 1061 617 1091 1217
-rect 1251 817 1281 1217
-rect 1337 817 1367 1217
-<< ndiff >>
-rect 32 267 85 315
-rect 32 131 40 267
-rect 74 131 85 267
-rect 32 115 85 131
-rect 115 267 171 315
-rect 115 131 126 267
-rect 160 131 171 267
-rect 115 115 171 131
-rect 201 115 243 315
-rect 273 267 363 315
-rect 273 131 284 267
-rect 352 131 363 267
-rect 273 115 363 131
-rect 393 115 435 315
-rect 465 199 521 315
-rect 465 131 476 199
-rect 510 131 521 199
-rect 465 115 521 131
-rect 551 115 593 315
-rect 623 267 713 315
-rect 623 131 634 267
-rect 702 131 713 267
-rect 623 115 713 131
-rect 743 115 785 315
-rect 815 267 871 315
-rect 815 131 826 267
-rect 860 131 871 267
-rect 815 115 871 131
-rect 901 267 954 315
-rect 901 131 912 267
-rect 946 131 954 267
-rect 901 115 954 131
-rect 1008 267 1061 315
-rect 1008 131 1016 267
-rect 1050 131 1061 267
-rect 1008 115 1061 131
-rect 1091 267 1144 315
-rect 1091 131 1102 267
-rect 1136 131 1144 267
-rect 1091 115 1144 131
-rect 1198 199 1251 263
-rect 1198 131 1206 199
-rect 1240 131 1251 199
-rect 1198 115 1251 131
-rect 1281 199 1337 263
-rect 1281 131 1292 199
-rect 1326 131 1337 199
-rect 1281 115 1337 131
-rect 1367 199 1420 263
-rect 1367 131 1378 199
-rect 1412 131 1420 199
-rect 1367 115 1420 131
-<< pdiff >>
-rect 32 1201 85 1217
-rect 32 657 40 1201
-rect 74 657 85 1201
-rect 32 617 85 657
-rect 115 1201 171 1217
-rect 115 725 126 1201
-rect 160 725 171 1201
-rect 115 617 171 725
-rect 201 617 243 1217
-rect 273 1201 363 1217
-rect 273 657 284 1201
-rect 352 657 363 1201
-rect 273 617 363 657
-rect 393 617 435 1217
-rect 465 1201 521 1217
-rect 465 725 476 1201
-rect 510 725 521 1201
-rect 465 617 521 725
-rect 551 617 593 1217
-rect 623 1201 713 1217
-rect 623 725 634 1201
-rect 702 725 713 1201
-rect 623 617 713 725
-rect 743 617 785 1217
-rect 815 1201 871 1217
-rect 815 657 826 1201
-rect 860 657 871 1201
-rect 815 617 871 657
-rect 901 1201 954 1217
-rect 901 657 912 1201
-rect 946 657 954 1201
-rect 901 617 954 657
-rect 1008 1201 1061 1217
-rect 1008 725 1016 1201
-rect 1050 725 1061 1201
-rect 1008 617 1061 725
-rect 1091 1201 1144 1217
-rect 1091 657 1102 1201
-rect 1136 657 1144 1201
-rect 1198 1201 1251 1217
-rect 1198 929 1206 1201
-rect 1240 929 1251 1201
-rect 1198 817 1251 929
-rect 1281 1201 1337 1217
-rect 1281 929 1292 1201
-rect 1326 929 1337 1201
-rect 1281 817 1337 929
-rect 1367 1201 1420 1217
-rect 1367 929 1378 1201
-rect 1412 929 1420 1201
-rect 1367 817 1420 929
-rect 1091 617 1144 657
-<< ndiffc >>
-rect 40 131 74 267
-rect 126 131 160 267
-rect 284 131 352 267
-rect 476 131 510 199
-rect 634 131 702 267
-rect 826 131 860 267
-rect 912 131 946 267
-rect 1016 131 1050 267
-rect 1102 131 1136 267
-rect 1206 131 1240 199
-rect 1292 131 1326 199
-rect 1378 131 1412 199
-<< pdiffc >>
-rect 40 657 74 1201
-rect 126 725 160 1201
-rect 284 657 352 1201
-rect 476 725 510 1201
-rect 634 725 702 1201
-rect 826 657 860 1201
-rect 912 657 946 1201
-rect 1016 725 1050 1201
-rect 1102 657 1136 1201
-rect 1206 929 1240 1201
-rect 1292 929 1326 1201
-rect 1378 929 1412 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-rect 979 27 1003 61
-rect 1037 27 1061 61
-rect 1115 27 1139 61
-rect 1173 27 1197 61
-rect 1251 27 1275 61
-rect 1309 27 1333 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-rect 843 1271 867 1305
-rect 901 1271 925 1305
-rect 979 1271 1003 1305
-rect 1037 1271 1061 1305
-rect 1115 1271 1139 1305
-rect 1173 1271 1197 1305
-rect 1251 1271 1275 1305
-rect 1309 1271 1333 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-rect 1003 27 1037 61
-rect 1139 27 1173 61
-rect 1275 27 1309 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-rect 867 1271 901 1305
-rect 1003 1271 1037 1305
-rect 1139 1271 1173 1305
-rect 1275 1271 1309 1305
-<< poly >>
-rect 85 1217 115 1243
-rect 171 1217 201 1243
-rect 243 1217 273 1243
-rect 363 1217 393 1243
-rect 435 1217 465 1243
-rect 521 1217 551 1243
-rect 593 1217 623 1243
-rect 713 1217 743 1243
-rect 785 1217 815 1243
-rect 871 1217 901 1243
-rect 1061 1217 1091 1243
-rect 1251 1217 1281 1243
-rect 1337 1217 1367 1243
-rect 85 586 115 617
-rect 75 570 129 586
-rect 75 536 85 570
-rect 119 536 129 570
-rect 75 520 129 536
-rect 75 374 105 520
-rect 171 477 201 617
-rect 243 586 273 617
-rect 243 570 297 586
-rect 243 536 253 570
-rect 287 536 297 570
-rect 243 520 297 536
-rect 171 461 225 477
-rect 363 475 393 617
-rect 435 580 465 617
-rect 521 580 551 617
-rect 435 570 551 580
-rect 435 536 467 570
-rect 501 536 551 570
-rect 435 526 551 536
-rect 593 475 623 617
-rect 713 586 743 617
-rect 689 570 743 586
-rect 689 536 699 570
-rect 733 536 743 570
-rect 689 520 743 536
-rect 171 427 181 461
-rect 215 427 225 461
-rect 171 411 225 427
-rect 267 445 719 475
-rect 75 344 115 374
-rect 85 315 115 344
-rect 171 315 201 411
-rect 267 367 297 445
-rect 689 403 719 445
-rect 785 471 815 617
-rect 871 586 901 617
-rect 871 570 942 586
-rect 871 556 898 570
-rect 882 536 898 556
-rect 932 536 942 570
-rect 882 520 942 536
-rect 785 455 839 471
-rect 785 421 795 455
-rect 829 421 839 455
-rect 785 405 839 421
-rect 243 337 297 367
-rect 339 387 393 403
-rect 339 353 349 387
-rect 383 353 393 387
-rect 339 337 393 353
-rect 243 315 273 337
-rect 363 315 393 337
-rect 435 387 551 397
-rect 435 353 467 387
-rect 501 353 551 387
-rect 435 343 551 353
-rect 435 315 465 343
-rect 521 315 551 343
-rect 593 387 647 403
-rect 593 353 603 387
-rect 637 353 647 387
-rect 593 337 647 353
-rect 689 387 743 403
-rect 689 353 699 387
-rect 733 353 743 387
-rect 689 337 743 353
-rect 593 315 623 337
-rect 713 315 743 337
-rect 785 315 815 405
-rect 882 367 912 520
-rect 1061 403 1091 617
-rect 1251 601 1281 817
-rect 1241 571 1281 601
-rect 1241 471 1271 571
-rect 1337 512 1367 817
-rect 1216 455 1271 471
-rect 1216 421 1226 455
-rect 1260 421 1271 455
-rect 1313 496 1367 512
-rect 1313 462 1323 496
-rect 1357 462 1367 496
-rect 1313 446 1367 462
-rect 1216 405 1271 421
-rect 871 337 912 367
-rect 1008 387 1091 403
-rect 1008 353 1018 387
-rect 1052 353 1091 387
-rect 1008 337 1091 353
-rect 871 315 901 337
-rect 1061 315 1091 337
-rect 1241 360 1271 405
-rect 1241 330 1281 360
-rect 1251 263 1281 330
-rect 1337 263 1367 446
-rect 85 89 115 115
-rect 171 89 201 115
-rect 243 89 273 115
-rect 363 89 393 115
-rect 435 89 465 115
-rect 521 89 551 115
-rect 593 89 623 115
-rect 713 89 743 115
-rect 785 89 815 115
-rect 871 89 901 115
-rect 1061 89 1091 115
-rect 1251 89 1281 115
-rect 1337 89 1367 115
-<< polycont >>
-rect 85 536 119 570
-rect 253 536 287 570
-rect 467 536 501 570
-rect 699 536 733 570
-rect 181 427 215 461
-rect 898 536 932 570
-rect 795 421 829 455
-rect 349 353 383 387
-rect 467 353 501 387
-rect 603 353 637 387
-rect 699 353 733 387
-rect 1226 421 1260 455
-rect 1323 462 1357 496
-rect 1018 353 1052 387
-<< locali >>
-rect 0 1305 1452 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 1003 1305
-rect 1037 1271 1139 1305
-rect 1173 1271 1275 1305
-rect 1309 1271 1452 1305
-rect 40 1201 74 1217
-rect 17 657 40 669
-rect 126 1201 160 1271
-rect 126 709 160 725
-rect 284 1201 352 1217
-rect 17 635 74 657
-rect 476 1201 510 1271
-rect 476 709 510 725
-rect 634 1201 702 1217
-rect 352 657 355 675
-rect 284 654 355 657
-rect 634 654 702 725
-rect 17 387 51 635
-rect 108 620 355 654
-rect 535 620 702 654
-rect 826 1201 860 1271
-rect 826 641 860 657
-rect 912 1201 946 1217
-rect 1016 1201 1050 1271
-rect 1016 709 1050 725
-rect 1102 1201 1136 1217
-rect 912 654 946 657
-rect 912 620 1000 654
-rect 108 586 142 620
-rect 85 570 142 586
-rect 119 536 142 570
-rect 85 520 142 536
-rect 17 353 40 387
-rect 17 332 74 353
-rect 108 370 142 520
-rect 253 570 287 586
-rect 253 535 287 536
-rect 467 570 501 586
-rect 287 501 383 535
-rect 181 461 215 477
-rect 181 411 215 427
-rect 349 387 383 501
-rect 467 387 501 536
-rect 108 336 315 370
-rect 349 337 383 353
-rect 467 337 501 353
-rect 535 387 569 620
-rect 699 570 733 586
-rect 699 535 733 536
-rect 40 267 74 332
-rect 281 283 315 336
-rect 535 303 569 353
-rect 603 501 699 535
-rect 898 570 932 586
-rect 898 535 932 536
-rect 603 387 637 501
-rect 966 455 1000 620
-rect 779 421 795 455
-rect 829 421 845 455
-rect 912 421 1000 455
-rect 1102 455 1136 657
-rect 1206 1201 1240 1217
-rect 1206 609 1240 929
-rect 1292 1201 1326 1271
-rect 1292 913 1326 929
-rect 1378 1201 1412 1217
-rect 1378 683 1412 929
-rect 1411 666 1412 683
-rect 1411 649 1435 666
-rect 1378 632 1435 649
-rect 1206 570 1240 575
-rect 1206 536 1357 570
-rect 1323 496 1357 536
-rect 1102 421 1226 455
-rect 1260 421 1276 455
-rect 912 387 946 421
-rect 683 353 699 387
-rect 733 353 946 387
-rect 1002 353 1018 387
-rect 1052 353 1068 387
-rect 603 337 637 353
-rect 40 115 74 131
-rect 126 267 160 283
-rect 281 267 352 283
-rect 535 269 702 303
-rect 281 249 284 267
-rect 126 61 160 131
-rect 634 267 702 269
-rect 284 115 352 131
-rect 476 199 510 215
-rect 476 61 510 131
-rect 634 115 702 131
-rect 826 267 860 283
-rect 826 61 860 131
-rect 912 267 946 353
-rect 912 115 946 131
-rect 1016 267 1050 283
-rect 1016 61 1050 131
-rect 1102 267 1136 421
-rect 1323 387 1357 462
-rect 1102 115 1136 131
-rect 1206 353 1357 387
-rect 1206 199 1240 353
-rect 1401 320 1435 632
-rect 1378 286 1435 320
-rect 1206 115 1240 131
-rect 1292 199 1326 215
-rect 1292 61 1326 131
-rect 1378 199 1412 286
-rect 1378 115 1412 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 1003 61
-rect 1037 27 1139 61
-rect 1173 27 1275 61
-rect 1309 27 1452 61
-rect 0 0 1452 27
-<< viali >>
-rect 40 353 74 387
-rect 253 501 287 535
-rect 181 427 215 461
-rect 449 353 467 387
-rect 467 353 483 387
-rect 535 353 569 387
-rect 699 501 733 535
-rect 898 501 932 535
-rect 795 421 829 455
-rect 1377 649 1411 683
-rect 1206 575 1240 609
-rect 1226 421 1260 455
-rect 1018 353 1052 387
-<< metal1 >>
-rect 0 1271 1452 1332
-rect 1365 683 1423 689
-rect 1343 649 1377 683
-rect 1411 649 1423 683
-rect 1365 643 1423 649
-rect 1194 609 1252 615
-rect 1172 575 1206 609
-rect 1240 575 1252 609
-rect 1194 569 1252 575
-rect 241 535 299 541
-rect 687 535 745 541
-rect 886 535 944 541
-rect 241 501 253 535
-rect 287 501 699 535
-rect 733 501 898 535
-rect 932 501 944 535
-rect 241 495 299 501
-rect 687 495 745 501
-rect 886 495 944 501
-rect 169 461 227 467
-rect 169 427 181 461
-rect 215 427 249 461
-rect 783 455 841 461
-rect 1214 455 1272 461
-rect 169 421 227 427
-rect 783 421 795 455
-rect 829 421 1226 455
-rect 1260 421 1272 455
-rect 783 415 841 421
-rect 1214 415 1272 421
-rect 28 387 86 393
-rect 437 387 495 393
-rect 28 353 40 387
-rect 74 353 449 387
-rect 483 353 495 387
-rect 28 347 86 353
-rect 437 347 495 353
-rect 523 387 581 393
-rect 1006 387 1064 393
-rect 523 353 535 387
-rect 569 353 1018 387
-rect 1052 353 1064 387
-rect 523 347 581 353
-rect 1006 347 1064 353
-rect 0 0 1452 61
-<< labels >>
-rlabel locali 68 45 68 45 1 gnd
-rlabel metal1 68 45 68 45 1 gnd
-rlabel locali 68 1289 68 1289 1 vdd
-rlabel metal1 68 1289 68 1289 1 vdd
-rlabel metal1 198 444 198 444 1 D
-port 1 n
-rlabel metal1 1394 666 1394 666 1 Q
-port 2 n
-rlabel metal1 1224 592 1224 592 1 QN
-port 3 n
-rlabel metal1 915 518 915 518 1 CK
-port 4 n
-<< end >>
diff --git a/lib/magic/FILLX1.mag b/lib/magic/FILLX1.mag
deleted file mode 100644
index 4ad0c98..0000000
--- a/lib/magic/FILLX1.mag
+++ /dev/null
@@ -1,22 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548551
-<< error_p >>
-rect 0 1271 34 1332
-rect 41 581 154 1341
-rect 0 0 34 61
-<< nwell >>
-rect -14 581 41 1341
-<< locali >>
-rect 0 1271 22 1332
-rect 0 0 22 61
-<< metal1 >>
-rect 0 1271 22 1332
-rect 0 0 22 61
-<< labels >>
-rlabel locali 11 28 11 28 1 gnd
-rlabel metal1 11 28 11 28 1 gnd
-rlabel locali 11 1299 11 1299 1 vdd
-rlabel metal1 11 1299 11 1299 1 vdd
-<< end >>
diff --git a/lib/magic/FILLX16.mag b/lib/magic/FILLX16.mag
deleted file mode 100644
index 48447df..0000000
--- a/lib/magic/FILLX16.mag
+++ /dev/null
@@ -1,18 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548584
-<< nwell >>
-rect -9 581 355 1341
-<< locali >>
-rect 0 1271 352 1332
-rect 0 0 352 61
-<< metal1 >>
-rect 0 1271 352 1332
-rect 0 0 352 61
-<< labels >>
-rlabel locali 196 30 196 30 1 gnd
-rlabel metal1 196 30 196 30 1 gnd
-rlabel locali 199 1300 199 1300 1 vdd
-rlabel metal1 199 1300 199 1300 1 vdd
-<< end >>
diff --git a/lib/magic/FILLX2.mag b/lib/magic/FILLX2.mag
deleted file mode 100644
index ac1af58..0000000
--- a/lib/magic/FILLX2.mag
+++ /dev/null
@@ -1,22 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548559
-<< error_p >>
-rect 0 1271 44 1332
-rect 50 581 161 1341
-rect 0 0 44 61
-<< nwell >>
-rect -7 581 50 1341
-<< locali >>
-rect 0 1271 44 1332
-rect 0 0 44 61
-<< metal1 >>
-rect 0 1271 44 1332
-rect 0 0 44 61
-<< labels >>
-rlabel locali 23 28 23 28 1 gnd
-rlabel metal1 23 28 23 28 1 gnd
-rlabel locali 22 1300 22 1300 1 vdd
-rlabel metal1 22 1300 22 1300 1 vdd
-<< end >>
diff --git a/lib/magic/FILLX32.mag b/lib/magic/FILLX32.mag
deleted file mode 100644
index 997244a..0000000
--- a/lib/magic/FILLX32.mag
+++ /dev/null
@@ -1,18 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548594
-<< nwell >>
-rect -9 581 707 1341
-<< locali >>
-rect 0 1271 704 1332
-rect 0 0 704 61
-<< metal1 >>
-rect 0 1271 704 1332
-rect 0 0 704 61
-<< labels >>
-rlabel locali 363 26 363 26 1 gnd
-rlabel metal1 363 26 363 26 1 gnd
-rlabel locali 374 1298 374 1298 1 vdd
-rlabel metal1 374 1298 374 1298 1 vdd
-<< end >>
diff --git a/lib/magic/FILLX4.mag b/lib/magic/FILLX4.mag
deleted file mode 100644
index 091e088..0000000
--- a/lib/magic/FILLX4.mag
+++ /dev/null
@@ -1,20 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548567
-<< error_p >>
-rect 96 581 159 1341
-<< nwell >>
-rect -9 581 96 1341
-<< locali >>
-rect 0 1271 88 1332
-rect 0 0 88 61
-<< metal1 >>
-rect 0 1271 88 1332
-rect 0 0 88 61
-<< labels >>
-rlabel locali 71 28 71 28 1 gnd
-rlabel metal1 71 28 71 28 1 gnd
-rlabel locali 72 1301 72 1301 1 vdd
-rlabel metal1 72 1301 72 1301 1 vdd
-<< end >>
diff --git a/lib/magic/FILLX8.mag b/lib/magic/FILLX8.mag
deleted file mode 100644
index 02ebc8a..0000000
--- a/lib/magic/FILLX8.mag
+++ /dev/null
@@ -1,18 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548573
-<< nwell >>
-rect -9 581 179 1341
-<< locali >>
-rect 0 1271 176 1332
-rect 0 0 176 61
-<< metal1 >>
-rect 0 1271 176 1332
-rect 0 0 176 61
-<< labels >>
-rlabel locali 112 28 112 28 1 gnd
-rlabel metal1 112 28 112 28 1 gnd
-rlabel locali 111 1303 111 1303 1 vdd
-rlabel metal1 111 1303 111 1303 1 vdd
-<< end >>
diff --git a/lib/magic/INVX1.mag b/lib/magic/INVX1.mag
deleted file mode 100644
index a42fbd3..0000000
--- a/lib/magic/INVX1.mag
+++ /dev/null
@@ -1,103 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598476292
-<< nwell >>
-rect -9 581 199 1341
-<< nmoslvt >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 617 110 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 163 1217
-rect 110 657 121 1201
-rect 155 657 163 1201
-rect 110 617 163 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 80 494 110 617
-rect 80 478 134 494
-rect 80 444 90 478
-rect 124 444 134 478
-rect 80 428 134 444
-rect 80 315 110 428
-rect 80 89 110 115
-<< polycont >>
-rect 90 444 124 478
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 478 81 649
-rect 121 609 155 657
-rect 47 444 90 478
-rect 124 444 140 478
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 279
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 649 81 683
-rect 121 575 155 609
-rect 121 279 155 313
-<< metal1 >>
-rect 0 1271 198 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 35 643 93 649
-rect 109 609 167 615
-rect 109 575 121 609
-rect 155 575 167 609
-rect 109 569 167 575
-rect 121 319 155 569
-rect 109 313 167 319
-rect 109 279 121 313
-rect 155 279 167 313
-rect 109 273 167 279
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 151 441 151 441 1 Y
-port 1 n
-rlabel metal1 64 666 64 666 1 A
-port 2 n
-<< end >>
diff --git a/lib/magic/INVX10.mag b/lib/magic/INVX10.mag
deleted file mode 100644
index 4986375..0000000
--- a/lib/magic/INVX10.mag
+++ /dev/null
@@ -1,372 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598539184
-<< nwell >>
-rect -9 581 990 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-rect 768 115 798 315
-rect 854 115 884 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-rect 596 617 626 1217
-rect 682 617 712 1217
-rect 768 617 798 1217
-rect 854 617 884 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 768 315
-rect 712 131 723 267
-rect 757 131 768 267
-rect 712 115 768 131
-rect 798 267 854 315
-rect 798 131 809 267
-rect 843 131 854 267
-rect 798 115 854 131
-rect 884 267 937 315
-rect 884 131 895 267
-rect 929 131 937 267
-rect 884 115 937 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 657 121 1201
-rect 155 657 166 1201
-rect 110 617 166 657
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 596 1217
-rect 540 657 551 1201
-rect 585 657 596 1201
-rect 540 617 596 657
-rect 626 1201 682 1217
-rect 626 657 637 1201
-rect 671 657 682 1201
-rect 626 617 682 657
-rect 712 1201 768 1217
-rect 712 657 723 1201
-rect 757 657 768 1201
-rect 712 617 768 657
-rect 798 1201 854 1217
-rect 798 657 809 1201
-rect 843 657 854 1201
-rect 798 617 854 657
-rect 884 1201 937 1217
-rect 884 657 895 1201
-rect 929 657 937 1201
-rect 884 617 937 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-rect 809 131 843 267
-rect 895 131 929 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-rect 637 657 671 1201
-rect 723 657 757 1201
-rect 809 657 843 1201
-rect 895 657 929 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-rect 843 1271 867 1305
-rect 901 1271 925 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-rect 867 1271 901 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1243
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 596 1217 626 1243
-rect 682 1217 712 1243
-rect 768 1217 798 1243
-rect 854 1217 884 1243
-rect 80 592 110 617
-rect 166 592 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 424 592 454 617
-rect 510 592 540 617
-rect 596 592 626 617
-rect 682 592 712 617
-rect 768 592 798 617
-rect 854 592 884 617
-rect 80 562 884 592
-rect 80 494 110 562
-rect 80 478 134 494
-rect 80 444 90 478
-rect 124 444 134 478
-rect 80 428 134 444
-rect 80 370 110 428
-rect 424 370 454 562
-rect 80 340 884 370
-rect 80 315 110 340
-rect 166 315 196 340
-rect 252 315 282 340
-rect 338 315 368 340
-rect 424 315 454 340
-rect 510 315 540 340
-rect 596 315 626 340
-rect 682 315 712 340
-rect 768 315 798 340
-rect 854 315 884 340
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-rect 768 89 798 115
-rect 854 89 884 115
-<< polycont >>
-rect 90 444 124 478
-<< locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 478 81 649
-rect 121 609 155 657
-rect 207 1201 241 1271
-rect 207 641 241 657
-rect 293 1201 327 1217
-rect 293 609 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 465 1201 499 1217
-rect 465 609 499 657
-rect 551 1201 585 1271
-rect 551 641 585 657
-rect 637 1201 671 1217
-rect 637 609 671 657
-rect 723 1201 757 1271
-rect 723 641 757 657
-rect 809 1201 843 1217
-rect 809 609 843 657
-rect 895 1201 929 1271
-rect 895 641 929 657
-rect 47 444 90 478
-rect 124 444 140 478
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 279
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 279
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 637 267 671 279
-rect 637 115 671 131
-rect 723 267 757 283
-rect 723 61 757 131
-rect 809 267 843 279
-rect 809 115 843 131
-rect 895 267 929 283
-rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
-<< viali >>
-rect 47 649 81 683
-rect 121 575 155 609
-rect 293 575 327 609
-rect 465 575 499 609
-rect 637 575 671 609
-rect 809 575 843 609
-rect 121 279 155 313
-rect 293 279 327 313
-rect 465 279 499 313
-rect 637 279 671 313
-rect 809 279 843 313
-<< metal1 >>
-rect 0 1271 990 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 35 643 93 649
-rect 109 609 167 615
-rect 281 609 339 615
-rect 453 609 511 615
-rect 625 609 683 615
-rect 797 609 855 615
-rect 109 575 121 609
-rect 155 575 293 609
-rect 327 575 465 609
-rect 499 575 637 609
-rect 671 575 809 609
-rect 843 575 855 609
-rect 109 569 167 575
-rect 281 569 339 575
-rect 453 569 511 575
-rect 625 569 683 575
-rect 797 569 855 575
-rect 121 319 155 569
-rect 293 319 327 569
-rect 465 319 499 569
-rect 637 319 671 569
-rect 809 319 843 569
-rect 109 313 167 319
-rect 281 313 339 319
-rect 453 313 511 319
-rect 625 313 683 319
-rect 797 313 855 319
-rect 109 279 121 313
-rect 155 279 293 313
-rect 327 279 465 313
-rect 499 279 637 313
-rect 671 279 809 313
-rect 843 279 855 313
-rect 109 273 167 279
-rect 281 273 339 279
-rect 453 273 511 279
-rect 625 273 683 279
-rect 797 273 855 279
-rect 0 0 990 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 152 440 152 440 1 Y
-port 1 n
-rlabel metal1 64 665 64 665 1 A
-port 2 n
-<< end >>
diff --git a/lib/magic/INVX2.mag b/lib/magic/INVX2.mag
deleted file mode 100644
index 2c459ab..0000000
--- a/lib/magic/INVX2.mag
+++ /dev/null
@@ -1,135 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600522917
-<< nwell >>
-rect -9 581 287 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 249 315
-rect 196 131 207 267
-rect 241 131 249 267
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 657 121 1201
-rect 155 657 166 1201
-rect 110 617 166 657
-rect 196 1201 249 1217
-rect 196 657 207 1201
-rect 241 657 249 1201
-rect 196 617 249 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 207 657 241 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1244
-rect 80 592 110 617
-rect 166 592 196 617
-rect 80 562 196 592
-rect 80 494 110 562
-rect 80 478 134 494
-rect 80 444 90 478
-rect 124 444 134 478
-rect 80 428 134 444
-rect 80 370 110 428
-rect 80 340 196 370
-rect 80 315 110 340
-rect 166 315 196 340
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 90 444 124 478
-<< locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 478 81 649
-rect 121 609 155 657
-rect 207 1201 241 1271
-rect 207 641 241 657
-rect 47 444 90 478
-rect 124 444 140 478
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 279
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 47 649 81 683
-rect 121 575 155 609
-rect 121 279 155 313
-<< metal1 >>
-rect 0 1271 286 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 35 643 93 649
-rect 109 609 167 615
-rect 109 575 121 609
-rect 155 575 167 609
-rect 109 569 167 575
-rect 121 319 155 569
-rect 109 313 167 319
-rect 109 279 121 313
-rect 155 279 167 313
-rect 109 273 167 279
-rect 0 0 286 61
-<< labels >>
-rlabel metal1 152 440 152 440 1 Y
-port 1 n
-rlabel metal1 64 665 64 665 1 A
-port 2 n
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-<< end >>
diff --git a/lib/magic/INVX3.mag b/lib/magic/INVX3.mag
deleted file mode 100644
index 1d37618..0000000
--- a/lib/magic/INVX3.mag
+++ /dev/null
@@ -1,164 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598476324
-<< nwell >>
-rect -9 581 375 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 335 315
-rect 282 131 293 267
-rect 327 131 335 267
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 657 121 1201
-rect 155 657 166 1201
-rect 110 617 166 657
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 335 1217
-rect 282 657 293 1201
-rect 327 657 335 1201
-rect 282 617 335 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 80 592 110 617
-rect 166 592 196 617
-rect 252 592 282 617
-rect 80 562 282 592
-rect 80 494 110 562
-rect 80 478 134 494
-rect 80 444 90 478
-rect 124 444 134 478
-rect 80 428 134 444
-rect 80 370 110 428
-rect 80 340 282 370
-rect 80 315 110 340
-rect 166 315 196 340
-rect 252 315 282 340
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 90 444 124 478
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 478 81 649
-rect 121 609 155 657
-rect 207 1201 241 1271
-rect 207 641 241 657
-rect 293 1201 327 1217
-rect 293 609 327 657
-rect 47 444 90 478
-rect 124 444 140 478
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 279
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 47 649 81 683
-rect 121 575 155 609
-rect 293 575 327 609
-rect 121 279 155 313
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 35 643 93 649
-rect 109 609 167 615
-rect 281 609 339 615
-rect 109 575 121 609
-rect 155 575 293 609
-rect 327 575 339 609
-rect 109 569 167 575
-rect 281 569 339 575
-rect 121 319 155 569
-rect 293 319 327 569
-rect 109 313 167 319
-rect 281 313 339 319
-rect 109 279 121 313
-rect 155 279 293 313
-rect 327 279 339 313
-rect 109 273 167 279
-rect 281 273 339 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 152 440 152 440 1 Y
-port 1 n
-rlabel metal1 64 665 64 665 1 A
-port 2 n
-<< end >>
diff --git a/lib/magic/INVX4.mag b/lib/magic/INVX4.mag
deleted file mode 100644
index 2673eed..0000000
--- a/lib/magic/INVX4.mag
+++ /dev/null
@@ -1,192 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598476333
-<< nwell >>
-rect -9 581 463 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 421 315
-rect 368 131 379 267
-rect 413 131 421 267
-rect 368 115 421 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 657 121 1201
-rect 155 657 166 1201
-rect 110 617 166 657
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 421 1217
-rect 368 657 379 1201
-rect 413 657 421 1201
-rect 368 617 421 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1243
-rect 80 592 110 617
-rect 166 592 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 80 562 368 592
-rect 80 494 110 562
-rect 80 478 134 494
-rect 80 444 90 478
-rect 124 444 134 478
-rect 80 428 134 444
-rect 80 370 110 428
-rect 80 340 368 370
-rect 80 315 110 340
-rect 166 315 196 340
-rect 252 315 282 340
-rect 338 315 368 340
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 90 444 124 478
-<< locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 478 81 649
-rect 121 609 155 657
-rect 207 1201 241 1271
-rect 207 641 241 657
-rect 293 1201 327 1217
-rect 293 609 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 47 444 90 478
-rect 124 444 140 478
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 279
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 47 649 81 683
-rect 121 575 155 609
-rect 293 575 327 609
-rect 121 279 155 313
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 462 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 35 643 93 649
-rect 109 609 167 615
-rect 281 609 339 615
-rect 109 575 121 609
-rect 155 575 293 609
-rect 327 575 339 609
-rect 109 569 167 575
-rect 281 569 339 575
-rect 121 319 155 569
-rect 293 319 327 569
-rect 109 313 167 319
-rect 281 313 339 319
-rect 109 279 121 313
-rect 155 279 293 313
-rect 327 279 339 313
-rect 109 273 167 279
-rect 281 273 339 279
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 64 665 64 665 1 A
-port 1 n
-rlabel metal1 152 440 152 440 1 Y
-port 2 n
-<< end >>
diff --git a/lib/magic/INVX6.mag b/lib/magic/INVX6.mag
deleted file mode 100644
index 49ff152..0000000
--- a/lib/magic/INVX6.mag
+++ /dev/null
@@ -1,250 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598476352
-<< nwell >>
-rect -9 581 639 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 593 315
-rect 540 131 551 267
-rect 585 131 593 267
-rect 540 115 593 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 657 121 1201
-rect 155 657 166 1201
-rect 110 617 166 657
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 593 1217
-rect 540 657 551 1201
-rect 585 657 593 1201
-rect 540 617 593 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1243
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 80 592 110 617
-rect 166 592 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 424 592 454 617
-rect 510 592 540 617
-rect 80 562 540 592
-rect 80 494 110 562
-rect 80 478 134 494
-rect 80 444 90 478
-rect 124 444 134 478
-rect 80 428 134 444
-rect 80 370 110 428
-rect 424 370 454 562
-rect 80 340 540 370
-rect 80 315 110 340
-rect 166 315 196 340
-rect 252 315 282 340
-rect 338 315 368 340
-rect 424 315 454 340
-rect 510 315 540 340
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-<< polycont >>
-rect 90 444 124 478
-<< locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 478 81 649
-rect 121 609 155 657
-rect 207 1201 241 1271
-rect 207 641 241 657
-rect 293 1201 327 1217
-rect 293 609 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 465 1201 499 1217
-rect 465 609 499 657
-rect 551 1201 585 1271
-rect 551 641 585 657
-rect 47 444 90 478
-rect 124 444 140 478
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 279
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 279
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 47 649 81 683
-rect 121 575 155 609
-rect 293 575 327 609
-rect 465 575 499 609
-rect 121 279 155 313
-rect 293 279 327 313
-rect 465 279 499 313
-<< metal1 >>
-rect 0 1271 638 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 35 643 93 649
-rect 109 609 167 615
-rect 281 609 339 615
-rect 453 609 511 615
-rect 109 575 121 609
-rect 155 575 293 609
-rect 327 575 465 609
-rect 499 575 511 609
-rect 109 569 167 575
-rect 281 569 339 575
-rect 453 569 511 575
-rect 121 319 155 569
-rect 293 319 327 569
-rect 465 319 499 569
-rect 109 313 167 319
-rect 281 313 339 319
-rect 453 313 511 319
-rect 109 279 121 313
-rect 155 279 293 313
-rect 327 279 465 313
-rect 499 279 511 313
-rect 109 273 167 279
-rect 281 273 339 279
-rect 453 273 511 279
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 64 665 64 665 1 A
-port 1 n
-rlabel metal1 152 440 152 440 1 Y
-port 2 n
-<< end >>
diff --git a/lib/magic/INVX8.mag b/lib/magic/INVX8.mag
deleted file mode 100644
index 15ce451..0000000
--- a/lib/magic/INVX8.mag
+++ /dev/null
@@ -1,307 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598476343
-<< nwell >>
-rect -9 581 814 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-rect 596 617 626 1217
-rect 682 617 712 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 765 315
-rect 712 131 723 267
-rect 757 131 765 267
-rect 712 115 765 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 657 121 1201
-rect 155 657 166 1201
-rect 110 617 166 657
-rect 196 1201 252 1217
-rect 196 657 207 1201
-rect 241 657 252 1201
-rect 196 617 252 657
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 596 1217
-rect 540 657 551 1201
-rect 585 657 596 1201
-rect 540 617 596 657
-rect 626 1201 682 1217
-rect 626 657 637 1201
-rect 671 657 682 1201
-rect 626 617 682 657
-rect 712 1201 765 1217
-rect 712 657 723 1201
-rect 757 657 765 1201
-rect 712 617 765 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 207 657 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-rect 637 657 671 1201
-rect 723 657 757 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1243
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 596 1217 626 1243
-rect 682 1217 712 1243
-rect 80 592 110 617
-rect 166 592 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 424 592 454 617
-rect 510 592 540 617
-rect 596 592 626 617
-rect 682 592 712 617
-rect 80 562 712 592
-rect 80 494 110 562
-rect 80 478 134 494
-rect 80 444 90 478
-rect 124 444 134 478
-rect 80 428 134 444
-rect 80 370 110 428
-rect 424 370 454 562
-rect 80 340 712 370
-rect 80 315 110 340
-rect 166 315 196 340
-rect 252 315 282 340
-rect 338 315 368 340
-rect 424 315 454 340
-rect 510 315 540 340
-rect 596 315 626 340
-rect 682 315 712 340
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-<< polycont >>
-rect 90 444 124 478
-<< locali >>
-rect 0 1305 814 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 814 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 478 81 649
-rect 121 609 155 657
-rect 207 1201 241 1271
-rect 207 641 241 657
-rect 293 1201 327 1217
-rect 293 609 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 465 1201 499 1217
-rect 465 609 499 657
-rect 551 1201 585 1271
-rect 551 641 585 657
-rect 637 1201 671 1217
-rect 637 609 671 657
-rect 723 1201 757 1271
-rect 723 641 757 657
-rect 47 444 90 478
-rect 124 444 140 478
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 279
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 279
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 637 267 671 279
-rect 637 115 671 131
-rect 723 267 757 283
-rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
-<< viali >>
-rect 47 649 81 683
-rect 121 575 155 609
-rect 293 575 327 609
-rect 465 575 499 609
-rect 637 575 671 609
-rect 121 279 155 313
-rect 293 279 327 313
-rect 465 279 499 313
-rect 637 279 671 313
-<< metal1 >>
-rect 0 1271 814 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 35 643 93 649
-rect 109 609 167 615
-rect 281 609 339 615
-rect 453 609 511 615
-rect 625 609 683 615
-rect 109 575 121 609
-rect 155 575 293 609
-rect 327 575 465 609
-rect 499 575 637 609
-rect 671 575 683 609
-rect 109 569 167 575
-rect 281 569 339 575
-rect 453 569 511 575
-rect 625 569 683 575
-rect 121 319 155 569
-rect 293 319 327 569
-rect 465 319 499 569
-rect 637 319 671 569
-rect 109 313 167 319
-rect 281 313 339 319
-rect 453 313 511 319
-rect 625 313 683 319
-rect 109 279 121 313
-rect 155 279 293 313
-rect 327 279 465 313
-rect 499 279 637 313
-rect 671 279 683 313
-rect 109 273 167 279
-rect 281 273 339 279
-rect 453 273 511 279
-rect 625 273 683 279
-rect 0 0 814 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 152 440 152 440 1 Y
-port 1 n
-rlabel metal1 64 665 64 665 1 A
-port 2 n
-<< end >>
diff --git a/lib/magic/INVXL.mag b/lib/magic/INVXL.mag
deleted file mode 100644
index e287f1d..0000000
--- a/lib/magic/INVXL.mag
+++ /dev/null
@@ -1,103 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542173
-<< nwell >>
-rect -9 581 199 1341
-<< nmoslvt >>
-rect 80 115 110 263
-<< pmos >>
-rect 80 817 110 1217
-<< ndiff >>
-rect 27 199 80 263
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 163 263
-rect 110 131 121 199
-rect 155 131 163 199
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 929 35 1201
-rect 69 929 80 1201
-rect 27 817 80 929
-rect 110 1201 163 1217
-rect 110 929 121 1201
-rect 155 929 163 1201
-rect 110 817 163 929
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-<< pdiffc >>
-rect 35 929 69 1201
-rect 121 929 155 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 80 494 110 817
-rect 80 478 134 494
-rect 80 444 90 478
-rect 124 444 134 478
-rect 80 428 134 444
-rect 80 263 110 428
-rect 80 89 110 115
-<< polycont >>
-rect 90 444 124 478
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 1201 69 1271
-rect 35 913 69 929
-rect 121 1201 155 1217
-rect 47 478 81 649
-rect 121 609 155 929
-rect 47 444 90 478
-rect 124 444 140 478
-rect 35 199 69 215
-rect 35 61 69 131
-rect 121 199 155 279
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 47 649 81 683
-rect 121 575 155 609
-rect 121 279 155 313
-<< metal1 >>
-rect 0 1271 198 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 127 683
-rect 35 643 93 649
-rect 109 609 167 615
-rect 109 575 121 609
-rect 155 575 167 609
-rect 109 569 167 575
-rect 121 319 155 569
-rect 109 313 167 319
-rect 109 279 121 313
-rect 155 279 167 313
-rect 109 273 167 279
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 64 666 64 666 1 A
-port 1 n
-rlabel metal1 151 441 151 441 1 Y
-port 2 n
-<< end >>
diff --git a/lib/magic/NAND2X1.mag b/lib/magic/NAND2X1.mag
deleted file mode 100644
index 5e8da9a..0000000
--- a/lib/magic/NAND2X1.mag
+++ /dev/null
@@ -1,139 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598463762
-<< nwell >>
-rect -9 581 286 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 152 115 182 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 115 152 315
-rect 182 267 235 315
-rect 182 131 193 267
-rect 227 131 235 267
-rect 182 115 235 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 1201 166 1217
-rect 110 657 121 1201
-rect 155 657 166 1201
-rect 110 617 166 657
-rect 196 1201 249 1217
-rect 196 725 207 1201
-rect 241 725 249 1201
-rect 196 617 249 725
-<< ndiffc >>
-rect 35 131 69 267
-rect 193 131 227 267
-<< pdiffc >>
-rect 35 793 69 1201
-rect 121 657 155 1201
-rect 207 725 241 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 80 570 110 617
-rect 37 554 110 570
-rect 37 520 47 554
-rect 81 520 110 554
-rect 37 504 110 520
-rect 80 315 110 504
-rect 166 477 196 617
-rect 152 461 210 477
-rect 152 427 166 461
-rect 200 427 210 461
-rect 152 411 210 427
-rect 152 315 182 411
-rect 80 89 110 115
-rect 152 89 182 115
-<< polycont >>
-rect 47 520 81 554
-rect 166 427 200 461
-<< locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
-rect 35 1201 69 1271
-rect 35 777 69 793
-rect 121 1201 155 1217
-rect 47 554 81 649
-rect 47 504 81 520
-rect 207 1201 241 1271
-rect 207 709 241 725
-rect 121 535 155 657
-rect 195 461 229 575
-rect 150 427 166 461
-rect 200 427 229 461
-rect 35 267 69 279
-rect 35 115 69 131
-rect 193 267 227 283
-rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 47 649 81 683
-rect 121 501 155 535
-rect 195 575 229 609
-rect 35 279 69 313
-<< metal1 >>
-rect 0 1271 286 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 115 683
-rect 35 643 93 649
-rect 183 609 241 615
-rect 161 575 195 609
-rect 229 575 241 609
-rect 183 569 241 575
-rect 109 535 167 541
-rect 109 501 121 535
-rect 155 501 167 535
-rect 109 495 167 501
-rect 23 313 81 319
-rect 121 313 155 495
-rect 23 279 35 313
-rect 69 279 155 313
-rect 23 273 81 279
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 136 470 136 470 1 Y
-port 1 n
-rlabel metal1 64 666 64 666 1 A
-port 2 n
-rlabel metal1 212 592 212 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/NAND2XL.mag b/lib/magic/NAND2XL.mag
deleted file mode 100644
index 6b1d67b..0000000
--- a/lib/magic/NAND2XL.mag
+++ /dev/null
@@ -1,139 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542315
-<< nwell >>
-rect -9 581 286 1341
-<< nmoslvt >>
-rect 80 115 110 263
-rect 152 115 182 263
-<< pmos >>
-rect 80 817 110 1217
-rect 166 817 196 1217
-<< ndiff >>
-rect 27 199 80 263
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 115 152 263
-rect 182 199 235 263
-rect 182 131 193 199
-rect 227 131 235 199
-rect 182 115 235 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 929 35 1201
-rect 69 929 80 1201
-rect 27 817 80 929
-rect 110 1201 166 1217
-rect 110 929 121 1201
-rect 155 929 166 1201
-rect 110 817 166 929
-rect 196 1201 249 1217
-rect 196 929 207 1201
-rect 241 929 249 1201
-rect 196 817 249 929
-<< ndiffc >>
-rect 35 131 69 199
-rect 193 131 227 199
-<< pdiffc >>
-rect 35 929 69 1201
-rect 121 929 155 1201
-rect 207 929 241 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 80 570 110 817
-rect 37 554 110 570
-rect 37 520 47 554
-rect 81 520 110 554
-rect 37 504 110 520
-rect 80 263 110 504
-rect 166 420 196 817
-rect 152 404 210 420
-rect 152 370 166 404
-rect 200 370 210 404
-rect 152 354 210 370
-rect 152 263 182 354
-rect 80 89 110 115
-rect 152 89 182 115
-<< polycont >>
-rect 47 520 81 554
-rect 166 370 200 404
-<< locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
-rect 35 1201 69 1271
-rect 35 913 69 929
-rect 121 1201 155 1217
-rect 47 554 81 649
-rect 47 504 81 520
-rect 121 535 155 929
-rect 207 1201 241 1271
-rect 207 913 241 929
-rect 195 404 229 575
-rect 150 370 166 404
-rect 200 370 229 404
-rect 35 199 69 279
-rect 35 115 69 131
-rect 193 199 227 215
-rect 193 61 227 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 47 649 81 683
-rect 121 501 155 535
-rect 195 575 229 609
-rect 35 279 69 313
-<< metal1 >>
-rect 0 1271 286 1332
-rect 35 683 93 689
-rect 35 649 47 683
-rect 81 649 115 683
-rect 35 643 93 649
-rect 183 609 241 615
-rect 161 575 195 609
-rect 229 575 241 609
-rect 183 569 241 575
-rect 109 535 167 541
-rect 109 501 121 535
-rect 155 501 167 535
-rect 109 495 167 501
-rect 23 313 81 319
-rect 121 313 155 495
-rect 23 279 35 313
-rect 69 279 155 313
-rect 23 273 81 279
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 136 470 136 470 1 Y
-port 1 n
-rlabel metal1 212 592 212 592 1 B
-port 2 n
-rlabel metal1 64 666 64 666 1 A
-port 3 n
-<< end >>
diff --git a/lib/magic/NOR2X1.mag b/lib/magic/NOR2X1.mag
deleted file mode 100644
index ef32cbc..0000000
--- a/lib/magic/NOR2X1.mag
+++ /dev/null
@@ -1,139 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598547791
-<< nwell >>
-rect -9 581 286 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-<< pmos >>
-rect 80 617 110 1217
-rect 152 617 182 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 249 315
-rect 196 131 207 267
-rect 241 131 249 267
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 617 152 1217
-rect 182 1201 235 1217
-rect 182 793 193 1201
-rect 227 793 235 1201
-rect 182 617 235 793
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 193 793 227 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 152 1217 182 1243
-rect 80 451 110 617
-rect 152 584 182 617
-rect 152 568 225 584
-rect 152 534 181 568
-rect 215 534 225 568
-rect 152 518 225 534
-rect 56 435 110 451
-rect 56 401 66 435
-rect 100 401 110 435
-rect 56 385 110 401
-rect 80 315 110 385
-rect 166 315 196 518
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 181 534 215 568
-rect 66 401 100 435
-<< locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
-rect 35 1201 69 1217
-rect 193 1201 227 1271
-rect 193 777 227 793
-rect 35 535 69 657
-rect 113 435 147 575
-rect 181 568 215 649
-rect 181 518 215 534
-rect 50 401 66 435
-rect 100 401 147 435
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 279
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 181 649 215 683
-rect 35 501 69 535
-rect 113 575 147 609
-rect 121 279 155 313
-<< metal1 >>
-rect 0 1271 286 1332
-rect 169 683 227 689
-rect 148 649 181 683
-rect 215 649 227 683
-rect 169 643 227 649
-rect 101 609 159 615
-rect 79 575 113 609
-rect 147 575 159 609
-rect 101 569 159 575
-rect 23 535 81 541
-rect 23 501 35 535
-rect 69 501 155 535
-rect 23 495 81 501
-rect 121 319 155 501
-rect 109 313 167 319
-rect 109 279 121 313
-rect 155 279 167 313
-rect 109 273 167 279
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 137 393 137 393 1 Y
-port 1 n
-rlabel metal1 130 592 130 592 1 B
-port 2 n
-rlabel metal1 198 666 198 666 1 A
-port 3 n
-<< end >>
diff --git a/lib/magic/NOR2XL.mag b/lib/magic/NOR2XL.mag
deleted file mode 100644
index 87ce88a..0000000
--- a/lib/magic/NOR2XL.mag
+++ /dev/null
@@ -1,139 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542633
-<< nwell >>
-rect -9 581 286 1341
-<< nmoslvt >>
-rect 80 115 110 263
-rect 166 115 196 263
-<< pmos >>
-rect 80 817 110 1217
-rect 152 817 182 1217
-<< ndiff >>
-rect 27 199 80 263
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 263
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 199 249 263
-rect 196 131 207 199
-rect 241 131 249 199
-rect 196 115 249 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 861 35 1201
-rect 69 861 80 1201
-rect 27 817 80 861
-rect 110 817 152 1217
-rect 182 1201 235 1217
-rect 182 861 193 1201
-rect 227 861 235 1201
-rect 182 817 235 861
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 207 131 241 199
-<< pdiffc >>
-rect 35 861 69 1201
-rect 193 861 227 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 152 1217 182 1243
-rect 80 451 110 817
-rect 152 584 182 817
-rect 152 568 225 584
-rect 152 534 181 568
-rect 215 534 225 568
-rect 152 518 225 534
-rect 56 435 110 451
-rect 56 401 66 435
-rect 100 401 110 435
-rect 56 385 110 401
-rect 80 263 110 385
-rect 166 263 196 518
-rect 80 89 110 115
-rect 166 89 196 115
-<< polycont >>
-rect 181 534 215 568
-rect 66 401 100 435
-<< locali >>
-rect 0 1305 286 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 286 1305
-rect 35 1201 69 1217
-rect 35 535 69 861
-rect 193 1201 227 1271
-rect 193 845 227 861
-rect 113 435 147 575
-rect 181 568 215 649
-rect 181 518 215 534
-rect 50 401 66 435
-rect 100 401 147 435
-rect 35 199 69 215
-rect 35 61 69 131
-rect 121 199 155 279
-rect 121 115 155 131
-rect 207 199 241 215
-rect 207 61 241 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 286 61
-rect 0 0 286 27
-<< viali >>
-rect 181 649 215 683
-rect 35 501 69 535
-rect 113 575 147 609
-rect 121 279 155 313
-<< metal1 >>
-rect 0 1271 286 1332
-rect 169 683 227 689
-rect 148 649 181 683
-rect 215 649 227 683
-rect 169 643 227 649
-rect 101 609 159 615
-rect 79 575 113 609
-rect 147 575 159 609
-rect 101 569 159 575
-rect 23 535 81 541
-rect 23 501 35 535
-rect 69 501 155 535
-rect 23 495 81 501
-rect 121 319 155 501
-rect 109 313 167 319
-rect 109 279 121 313
-rect 155 279 167 313
-rect 109 273 167 279
-rect 0 0 286 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 137 393 137 393 1 Y
-port 1 n
-rlabel metal1 198 666 198 666 1 A
-port 2 n
-rlabel metal1 130 592 130 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/OAI21XL.mag b/lib/magic/OAI21XL.mag
deleted file mode 100644
index 62e3c26..0000000
--- a/lib/magic/OAI21XL.mag
+++ /dev/null
@@ -1,178 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542663
-<< nwell >>
-rect -9 581 374 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-<< pmos >>
-rect 80 617 110 1217
-rect 152 617 182 1217
-rect 250 817 280 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 266 335 315
-rect 282 131 293 266
-rect 327 131 335 266
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 793 35 1201
-rect 69 793 80 1201
-rect 27 617 80 793
-rect 110 617 152 1217
-rect 182 1201 250 1217
-rect 182 861 193 1201
-rect 227 861 250 1201
-rect 182 817 250 861
-rect 280 1201 333 1217
-rect 280 861 291 1201
-rect 325 861 333 1201
-rect 280 817 333 861
-rect 182 617 235 817
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 207 131 241 267
-rect 293 131 327 266
-<< pdiffc >>
-rect 35 793 69 1201
-rect 193 861 227 1201
-rect 291 861 325 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 152 1217 182 1243
-rect 250 1217 280 1243
-rect 80 585 110 617
-rect 56 569 110 585
-rect 56 535 66 569
-rect 100 535 110 569
-rect 56 519 110 535
-rect 56 370 86 519
-rect 152 471 182 617
-rect 136 461 202 471
-rect 136 427 152 461
-rect 186 427 202 461
-rect 136 417 202 427
-rect 56 340 110 370
-rect 80 315 110 340
-rect 166 315 196 417
-rect 250 409 280 817
-rect 250 393 306 409
-rect 252 359 262 393
-rect 296 359 306 393
-rect 252 343 306 359
-rect 252 315 282 343
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 66 535 100 569
-rect 152 427 186 461
-rect 262 359 296 393
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 193 1201 227 1271
-rect 193 845 227 861
-rect 291 1201 325 1217
-rect 35 751 69 793
-rect 291 751 325 861
-rect 35 717 325 751
-rect 66 569 100 649
-rect 66 519 100 535
-rect 152 575 162 609
-rect 152 461 186 575
-rect 152 411 186 427
-rect 223 393 257 501
-rect 291 461 325 717
-rect 223 359 262 393
-rect 296 359 312 393
-rect 35 267 241 301
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 207 115 241 131
-rect 293 266 327 279
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 66 649 100 683
-rect 162 575 196 609
-rect 223 501 257 535
-rect 291 427 325 461
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 54 683 112 689
-rect 54 649 66 683
-rect 100 649 134 683
-rect 54 643 112 649
-rect 150 609 208 615
-rect 150 575 162 609
-rect 196 575 230 609
-rect 150 569 208 575
-rect 211 535 269 541
-rect 189 501 223 535
-rect 257 501 269 535
-rect 211 495 269 501
-rect 279 461 337 467
-rect 279 427 291 461
-rect 325 427 337 461
-rect 279 421 337 427
-rect 293 319 327 421
-rect 281 313 339 319
-rect 281 279 293 313
-rect 327 279 339 313
-rect 281 273 339 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 83 666 83 666 1 A0
-port 1 n
-rlabel metal1 179 592 179 592 1 A1
-port 2 n
-rlabel metal1 308 444 308 444 1 Y
-port 3 n
-rlabel metal1 240 518 240 518 1 B0
-port 4 n
-<< end >>
diff --git a/lib/magic/OR2X1.mag b/lib/magic/OR2X1.mag
deleted file mode 100644
index 3ced65a..0000000
--- a/lib/magic/OR2X1.mag
+++ /dev/null
@@ -1,170 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600495020
-<< nwell >>
-rect -9 581 374 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 335 315
-rect 282 131 293 267
-rect 327 131 335 267
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 725 35 1201
-rect 69 725 80 1201
-rect 27 617 80 725
-rect 110 617 166 1217
-rect 196 1201 252 1217
-rect 196 793 207 1201
-rect 241 793 252 1201
-rect 196 617 252 793
-rect 282 1201 335 1217
-rect 282 657 293 1201
-rect 327 657 335 1201
-rect 282 617 335 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-<< pdiffc >>
-rect 35 725 69 1201
-rect 207 793 241 1201
-rect 293 657 327 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 80 568 110 617
-rect 27 552 110 568
-rect 27 518 37 552
-rect 71 518 110 552
-rect 27 502 110 518
-rect 166 510 196 617
-rect 252 592 282 617
-rect 252 562 289 592
-rect 80 315 110 502
-rect 163 494 217 510
-rect 163 460 173 494
-rect 207 460 217 494
-rect 163 444 217 460
-rect 166 315 196 444
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 370 313 404
-rect 252 354 313 370
-rect 252 315 282 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 37 518 71 552
-rect 173 460 207 494
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 207 1201 241 1271
-rect 207 777 241 793
-rect 293 1201 327 1217
-rect 69 725 139 743
-rect 35 709 139 725
-rect 37 552 71 575
-rect 37 502 71 518
-rect 105 404 139 709
-rect 173 494 207 649
-rect 293 535 327 657
-rect 173 444 207 460
-rect 105 370 269 404
-rect 303 370 319 404
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 370
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 37 575 71 609
-rect 173 649 207 683
-rect 293 501 327 535
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 161 683 219 689
-rect 140 649 173 683
-rect 207 649 219 683
-rect 161 643 219 649
-rect 25 609 83 615
-rect 25 575 37 609
-rect 71 575 105 609
-rect 25 569 83 575
-rect 281 535 339 541
-rect 281 501 293 535
-rect 327 501 339 535
-rect 281 495 339 501
-rect 293 319 327 495
-rect 281 313 339 319
-rect 281 279 293 313
-rect 327 279 339 313
-rect 281 273 339 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 311 444 311 444 1 Y
-port 1 n
-rlabel metal1 190 666 190 666 1 A
-port 2 n
-rlabel metal1 54 592 54 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/OR2X2.mag b/lib/magic/OR2X2.mag
deleted file mode 100644
index 46894eb..0000000
--- a/lib/magic/OR2X2.mag
+++ /dev/null
@@ -1,199 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600494574
-<< nwell >>
-rect -9 581 462 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 421 315
-rect 368 131 379 267
-rect 413 131 421 267
-rect 368 115 421 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 725 35 1201
-rect 69 725 80 1201
-rect 27 617 80 725
-rect 110 617 166 1217
-rect 196 1201 252 1217
-rect 196 793 207 1201
-rect 241 793 252 1201
-rect 196 617 252 793
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 421 1217
-rect 368 657 379 1201
-rect 413 657 421 1201
-rect 368 617 421 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-<< pdiffc >>
-rect 35 725 69 1201
-rect 207 793 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1244
-rect 80 568 110 617
-rect 27 552 110 568
-rect 27 518 37 552
-rect 71 518 110 552
-rect 27 502 110 518
-rect 166 510 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 252 562 368 592
-rect 80 315 110 502
-rect 163 494 217 510
-rect 163 460 173 494
-rect 207 460 217 494
-rect 163 444 217 460
-rect 166 315 196 444
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 384 313 404
-rect 303 370 368 384
-rect 252 354 368 370
-rect 252 315 282 354
-rect 338 315 368 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-<< polycont >>
-rect 37 518 71 552
-rect 173 460 207 494
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 462 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 462 1305
-rect 35 1201 69 1217
-rect 207 1201 241 1271
-rect 207 777 241 793
-rect 293 1201 327 1217
-rect 69 725 139 743
-rect 35 709 139 725
-rect 37 552 71 575
-rect 37 502 71 518
-rect 105 404 139 709
-rect 173 494 207 649
-rect 293 535 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 173 444 207 460
-rect 105 370 269 404
-rect 303 370 319 404
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 370
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 462 61
-rect 0 0 462 27
-<< viali >>
-rect 37 575 71 609
-rect 173 649 207 683
-rect 293 501 327 535
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 462 1332
-rect 161 683 219 689
-rect 140 649 173 683
-rect 207 649 219 683
-rect 161 643 219 649
-rect 25 609 83 615
-rect 25 575 37 609
-rect 71 575 105 609
-rect 25 569 83 575
-rect 281 535 339 541
-rect 281 501 293 535
-rect 327 501 339 535
-rect 281 495 339 501
-rect 293 319 327 495
-rect 281 313 339 319
-rect 281 279 293 313
-rect 327 279 339 313
-rect 281 273 339 279
-rect 0 0 462 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 311 444 311 444 1 Y
-port 1 n
-rlabel metal1 190 666 190 666 1 A
-port 2 n
-rlabel metal1 54 592 54 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/OR2X4.mag b/lib/magic/OR2X4.mag
deleted file mode 100644
index 3556e2d..0000000
--- a/lib/magic/OR2X4.mag
+++ /dev/null
@@ -1,256 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600494627
-<< nwell >>
-rect -9 581 638 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 593 315
-rect 540 131 551 267
-rect 585 131 593 267
-rect 540 115 593 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 725 35 1201
-rect 69 725 80 1201
-rect 27 617 80 725
-rect 110 617 166 1217
-rect 196 1201 252 1217
-rect 196 793 207 1201
-rect 241 793 252 1201
-rect 196 617 252 793
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 593 1217
-rect 540 657 551 1201
-rect 585 657 593 1201
-rect 540 617 593 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-<< pdiffc >>
-rect 35 725 69 1201
-rect 207 793 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1244
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 80 568 110 617
-rect 27 552 110 568
-rect 27 518 37 552
-rect 71 518 110 552
-rect 27 502 110 518
-rect 166 510 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 424 592 454 617
-rect 510 592 540 617
-rect 252 562 540 592
-rect 80 315 110 502
-rect 163 494 217 510
-rect 163 460 173 494
-rect 207 460 217 494
-rect 163 444 217 460
-rect 166 315 196 444
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 384 313 404
-rect 303 370 540 384
-rect 252 354 540 370
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 510 315 540 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-<< polycont >>
-rect 37 518 71 552
-rect 173 460 207 494
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
-rect 35 1201 69 1217
-rect 207 1201 241 1271
-rect 207 777 241 793
-rect 293 1201 327 1217
-rect 69 725 139 743
-rect 35 709 139 725
-rect 37 552 71 575
-rect 37 502 71 518
-rect 105 404 139 709
-rect 173 494 207 649
-rect 293 535 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 465 1201 499 1217
-rect 465 535 499 657
-rect 551 1201 585 1271
-rect 551 641 585 657
-rect 173 444 207 460
-rect 105 370 269 404
-rect 303 370 319 404
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 370
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 279
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 37 575 71 609
-rect 173 649 207 683
-rect 293 501 327 535
-rect 465 501 499 535
-rect 293 279 327 313
-rect 465 279 499 313
-<< metal1 >>
-rect 0 1271 638 1332
-rect 161 683 219 689
-rect 140 649 173 683
-rect 207 649 219 683
-rect 161 643 219 649
-rect 25 609 83 615
-rect 25 575 37 609
-rect 71 575 105 609
-rect 25 569 83 575
-rect 281 535 339 541
-rect 453 535 511 541
-rect 281 501 293 535
-rect 327 501 465 535
-rect 499 501 511 535
-rect 281 495 339 501
-rect 453 495 511 501
-rect 293 319 327 495
-rect 465 319 499 495
-rect 281 313 339 319
-rect 453 313 511 319
-rect 281 279 293 313
-rect 327 279 465 313
-rect 499 279 511 313
-rect 281 273 339 279
-rect 453 273 511 279
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 311 444 311 444 1 Y
-port 1 n
-rlabel metal1 190 666 190 666 1 A
-port 2 n
-rlabel metal1 54 592 54 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/OR2X8.mag b/lib/magic/OR2X8.mag
deleted file mode 100644
index 93d4ad3..0000000
--- a/lib/magic/OR2X8.mag
+++ /dev/null
@@ -1,380 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600494660
-<< nwell >>
-rect -9 581 990 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 252 115 282 315
-rect 338 115 368 315
-rect 424 115 454 315
-rect 510 115 540 315
-rect 596 115 626 315
-rect 682 115 712 315
-rect 768 115 798 315
-rect 854 115 884 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 252 617 282 1217
-rect 338 617 368 1217
-rect 424 617 454 1217
-rect 510 617 540 1217
-rect 596 617 626 1217
-rect 682 617 712 1217
-rect 768 617 798 1217
-rect 854 617 884 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 267 252 315
-rect 196 131 207 267
-rect 241 131 252 267
-rect 196 115 252 131
-rect 282 267 338 315
-rect 282 131 293 267
-rect 327 131 338 267
-rect 282 115 338 131
-rect 368 267 424 315
-rect 368 131 379 267
-rect 413 131 424 267
-rect 368 115 424 131
-rect 454 267 510 315
-rect 454 131 465 267
-rect 499 131 510 267
-rect 454 115 510 131
-rect 540 267 596 315
-rect 540 131 551 267
-rect 585 131 596 267
-rect 540 115 596 131
-rect 626 267 682 315
-rect 626 131 637 267
-rect 671 131 682 267
-rect 626 115 682 131
-rect 712 267 768 315
-rect 712 131 723 267
-rect 757 131 768 267
-rect 712 115 768 131
-rect 798 267 854 315
-rect 798 131 809 267
-rect 843 131 854 267
-rect 798 115 854 131
-rect 884 267 937 315
-rect 884 131 895 267
-rect 929 131 937 267
-rect 884 115 937 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 725 35 1201
-rect 69 725 80 1201
-rect 27 617 80 725
-rect 110 617 166 1217
-rect 196 1201 252 1217
-rect 196 793 207 1201
-rect 241 793 252 1201
-rect 196 617 252 793
-rect 282 1201 338 1217
-rect 282 657 293 1201
-rect 327 657 338 1201
-rect 282 617 338 657
-rect 368 1201 424 1217
-rect 368 657 379 1201
-rect 413 657 424 1201
-rect 368 617 424 657
-rect 454 1201 510 1217
-rect 454 657 465 1201
-rect 499 657 510 1201
-rect 454 617 510 657
-rect 540 1201 596 1217
-rect 540 657 551 1201
-rect 585 657 596 1201
-rect 540 617 596 657
-rect 626 1201 682 1217
-rect 626 657 637 1201
-rect 671 657 682 1201
-rect 626 617 682 657
-rect 712 1201 768 1217
-rect 712 657 723 1201
-rect 757 657 768 1201
-rect 712 617 768 657
-rect 798 1201 854 1217
-rect 798 657 809 1201
-rect 843 657 854 1201
-rect 798 617 854 657
-rect 884 1201 937 1217
-rect 884 657 895 1201
-rect 929 657 937 1201
-rect 884 617 937 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 207 131 241 267
-rect 293 131 327 267
-rect 379 131 413 267
-rect 465 131 499 267
-rect 551 131 585 267
-rect 637 131 671 267
-rect 723 131 757 267
-rect 809 131 843 267
-rect 895 131 929 267
-<< pdiffc >>
-rect 35 725 69 1201
-rect 207 793 241 1201
-rect 293 657 327 1201
-rect 379 657 413 1201
-rect 465 657 499 1201
-rect 551 657 585 1201
-rect 637 657 671 1201
-rect 723 657 757 1201
-rect 809 657 843 1201
-rect 895 657 929 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-rect 571 27 595 61
-rect 629 27 653 61
-rect 707 27 731 61
-rect 765 27 789 61
-rect 843 27 867 61
-rect 901 27 925 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-rect 571 1271 595 1305
-rect 629 1271 653 1305
-rect 707 1271 731 1305
-rect 765 1271 789 1305
-rect 843 1271 867 1305
-rect 901 1271 925 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-rect 595 27 629 61
-rect 731 27 765 61
-rect 867 27 901 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-rect 595 1271 629 1305
-rect 731 1271 765 1305
-rect 867 1271 901 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 338 1217 368 1244
-rect 424 1217 454 1243
-rect 510 1217 540 1243
-rect 596 1217 626 1243
-rect 682 1217 712 1243
-rect 768 1217 798 1243
-rect 854 1217 884 1243
-rect 80 568 110 617
-rect 27 552 110 568
-rect 27 518 37 552
-rect 71 518 110 552
-rect 27 502 110 518
-rect 166 510 196 617
-rect 252 592 282 617
-rect 338 592 368 617
-rect 424 592 454 617
-rect 510 592 540 617
-rect 596 592 626 617
-rect 682 592 712 617
-rect 768 592 798 617
-rect 854 592 884 617
-rect 252 562 884 592
-rect 80 315 110 502
-rect 163 494 217 510
-rect 163 460 173 494
-rect 207 460 217 494
-rect 163 444 217 460
-rect 166 315 196 444
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 384 313 404
-rect 596 384 626 562
-rect 303 370 884 384
-rect 252 354 884 370
-rect 252 315 282 354
-rect 338 315 368 354
-rect 424 315 454 354
-rect 510 315 540 354
-rect 596 315 626 354
-rect 682 315 712 354
-rect 768 315 798 354
-rect 854 315 884 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-rect 338 89 368 115
-rect 424 89 454 115
-rect 510 89 540 115
-rect 596 89 626 115
-rect 682 89 712 115
-rect 768 89 798 115
-rect 854 89 884 115
-<< polycont >>
-rect 37 518 71 552
-rect 173 460 207 494
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 990 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 595 1305
-rect 629 1271 731 1305
-rect 765 1271 867 1305
-rect 901 1271 990 1305
-rect 35 1201 69 1217
-rect 207 1201 241 1271
-rect 207 777 241 793
-rect 293 1201 327 1217
-rect 69 725 139 743
-rect 35 709 139 725
-rect 37 552 71 575
-rect 37 502 71 518
-rect 105 404 139 709
-rect 173 494 207 649
-rect 293 535 327 657
-rect 379 1201 413 1271
-rect 379 641 413 657
-rect 465 1201 499 1217
-rect 465 535 499 657
-rect 551 1201 585 1271
-rect 551 641 585 657
-rect 637 1201 671 1217
-rect 637 535 671 657
-rect 723 1201 757 1271
-rect 723 641 757 657
-rect 809 1201 843 1217
-rect 809 535 843 657
-rect 895 1201 929 1271
-rect 895 641 929 657
-rect 173 444 207 460
-rect 105 370 269 404
-rect 303 370 319 404
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 370
-rect 121 115 155 131
-rect 207 267 241 283
-rect 207 61 241 131
-rect 293 267 327 279
-rect 293 115 327 131
-rect 379 267 413 283
-rect 379 61 413 131
-rect 465 267 499 279
-rect 465 115 499 131
-rect 551 267 585 283
-rect 551 61 585 131
-rect 637 267 671 279
-rect 637 115 671 131
-rect 723 267 757 283
-rect 723 61 757 131
-rect 809 267 843 279
-rect 809 115 843 131
-rect 895 267 929 283
-rect 895 61 929 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 731 61
-rect 765 27 867 61
-rect 901 27 990 61
-rect 0 0 990 27
-<< viali >>
-rect 37 575 71 609
-rect 173 649 207 683
-rect 293 501 327 535
-rect 465 501 499 535
-rect 637 501 671 535
-rect 809 501 843 535
-rect 293 279 327 313
-rect 465 279 499 313
-rect 637 279 671 313
-rect 809 279 843 313
-<< metal1 >>
-rect 0 1271 990 1332
-rect 161 683 219 689
-rect 140 649 173 683
-rect 207 649 219 683
-rect 161 643 219 649
-rect 25 609 83 615
-rect 25 575 37 609
-rect 71 575 105 609
-rect 25 569 83 575
-rect 281 535 339 541
-rect 453 535 511 541
-rect 625 535 683 541
-rect 797 535 855 541
-rect 281 501 293 535
-rect 327 501 465 535
-rect 499 501 637 535
-rect 671 501 809 535
-rect 843 501 855 535
-rect 281 495 339 501
-rect 453 495 511 501
-rect 625 495 683 501
-rect 797 495 855 501
-rect 293 319 327 495
-rect 465 319 499 495
-rect 637 319 671 495
-rect 809 319 843 495
-rect 281 313 339 319
-rect 453 313 511 319
-rect 625 313 683 319
-rect 797 313 855 319
-rect 281 279 293 313
-rect 327 279 465 313
-rect 499 279 637 313
-rect 671 279 683 313
-rect 712 279 809 313
-rect 843 279 855 313
-rect 281 273 339 279
-rect 453 273 511 279
-rect 625 273 683 279
-rect 797 273 855 279
-rect 0 0 990 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 311 444 311 444 1 Y
-port 1 n
-rlabel metal1 190 666 190 666 1 A
-port 2 n
-rlabel metal1 54 592 54 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/OR2XL.mag b/lib/magic/OR2XL.mag
deleted file mode 100644
index 582d20f..0000000
--- a/lib/magic/OR2XL.mag
+++ /dev/null
@@ -1,170 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542689
-<< nwell >>
-rect -9 581 374 1341
-<< nmoslvt >>
-rect 80 115 110 263
-rect 166 115 196 263
-rect 252 115 282 263
-<< pmos >>
-rect 80 817 110 1217
-rect 166 817 196 1217
-rect 252 817 282 1217
-<< ndiff >>
-rect 27 199 80 263
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 263
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 199 252 263
-rect 196 131 207 199
-rect 241 131 252 199
-rect 196 115 252 131
-rect 282 199 335 263
-rect 282 131 293 199
-rect 327 131 335 199
-rect 282 115 335 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 929 35 1201
-rect 69 929 80 1201
-rect 27 817 80 929
-rect 110 817 166 1217
-rect 196 1201 252 1217
-rect 196 929 207 1201
-rect 241 929 252 1201
-rect 196 817 252 929
-rect 282 1201 335 1217
-rect 282 929 293 1201
-rect 327 929 335 1201
-rect 282 817 335 929
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 207 131 241 199
-rect 293 131 327 199
-<< pdiffc >>
-rect 35 929 69 1201
-rect 207 929 241 1201
-rect 293 929 327 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 252 1217 282 1243
-rect 80 568 110 817
-rect 27 552 110 568
-rect 27 518 37 552
-rect 71 518 110 552
-rect 27 502 110 518
-rect 166 510 196 817
-rect 252 592 282 817
-rect 252 562 289 592
-rect 80 263 110 502
-rect 163 494 217 510
-rect 163 460 173 494
-rect 207 460 217 494
-rect 163 444 217 460
-rect 166 263 196 444
-rect 259 420 289 562
-rect 259 404 313 420
-rect 259 384 269 404
-rect 252 370 269 384
-rect 303 370 313 404
-rect 252 354 313 370
-rect 252 263 282 354
-rect 80 89 110 115
-rect 166 89 196 115
-rect 252 89 282 115
-<< polycont >>
-rect 37 518 71 552
-rect 173 460 207 494
-rect 269 370 303 404
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 35 743 69 929
-rect 207 1201 241 1271
-rect 207 913 241 929
-rect 293 1201 327 1217
-rect 35 709 139 743
-rect 37 552 71 575
-rect 37 502 71 518
-rect 105 404 139 709
-rect 173 494 207 649
-rect 293 535 327 929
-rect 173 444 207 460
-rect 105 370 269 404
-rect 303 370 319 404
-rect 35 199 69 215
-rect 35 61 69 131
-rect 121 199 155 370
-rect 121 115 155 131
-rect 207 199 241 215
-rect 207 61 241 131
-rect 293 199 327 279
-rect 293 115 327 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 37 575 71 609
-rect 173 649 207 683
-rect 293 501 327 535
-rect 293 279 327 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 161 683 219 689
-rect 140 649 173 683
-rect 207 649 219 683
-rect 161 643 219 649
-rect 25 609 83 615
-rect 25 575 37 609
-rect 71 575 105 609
-rect 25 569 83 575
-rect 281 535 339 541
-rect 281 501 293 535
-rect 327 501 339 535
-rect 281 495 339 501
-rect 293 319 327 495
-rect 281 313 339 319
-rect 281 279 293 313
-rect 327 279 339 313
-rect 281 273 339 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 311 444 311 444 1 Y
-port 1 n
-rlabel metal1 54 592 54 592 1 B
-port 2 n
-rlabel metal1 190 666 190 666 1 A
-port 3 n
-<< end >>
diff --git a/lib/magic/TBUFIX1.mag b/lib/magic/TBUFIX1.mag
deleted file mode 100644
index c248123..0000000
--- a/lib/magic/TBUFIX1.mag
+++ /dev/null
@@ -1,169 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598626416
-<< nwell >>
-rect -9 581 375 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 238 617 268 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 321 315
-rect 268 131 279 267
-rect 313 131 321 267
-rect 268 115 321 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 725 121 1201
-rect 155 725 166 1201
-rect 110 617 166 725
-rect 196 617 238 1217
-rect 268 1201 321 1217
-rect 268 657 279 1201
-rect 313 657 321 1201
-rect 268 617 321 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 279 131 313 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 725 155 1201
-rect 279 657 313 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 238 1217 268 1243
-rect 80 602 110 617
-rect 39 572 110 602
-rect 39 360 69 572
-rect 166 529 196 617
-rect 133 513 196 529
-rect 133 479 143 513
-rect 177 479 196 513
-rect 133 463 196 479
-rect 238 451 268 617
-rect 238 435 292 451
-rect 111 387 165 403
-rect 111 360 121 387
-rect 39 353 121 360
-rect 155 360 165 387
-rect 238 401 248 435
-rect 282 401 292 435
-rect 238 385 292 401
-rect 155 353 196 360
-rect 39 330 196 353
-rect 80 315 110 330
-rect 166 315 196 330
-rect 238 315 268 385
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-<< polycont >>
-rect 143 479 177 513
-rect 121 353 155 387
-rect 248 401 282 435
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 709 155 725
-rect 279 1201 313 1217
-rect 35 513 69 657
-rect 143 513 177 529
-rect 35 479 143 513
-rect 35 267 69 479
-rect 143 463 177 479
-rect 211 435 245 649
-rect 279 535 313 657
-rect 211 401 248 435
-rect 282 401 298 435
-rect 103 353 121 387
-rect 155 353 171 387
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 279 267 313 279
-rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 211 649 245 683
-rect 279 501 313 535
-rect 121 353 155 387
-rect 279 279 313 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 199 683 257 689
-rect 177 649 211 683
-rect 245 649 257 683
-rect 199 643 257 649
-rect 109 609 167 615
-rect 109 575 189 609
-rect 109 569 167 575
-rect 121 393 155 569
-rect 267 535 325 541
-rect 267 501 279 535
-rect 313 501 325 535
-rect 267 495 325 501
-rect 109 387 167 393
-rect 109 353 121 387
-rect 155 353 167 387
-rect 109 347 167 353
-rect 279 319 313 495
-rect 267 313 325 319
-rect 267 279 279 313
-rect 313 279 325 313
-rect 267 273 325 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 305 364 305 364 1 Y
-port 1 n
-rlabel metal1 228 666 228 666 1 A
-port 2 n
-rlabel metal1 138 592 138 592 1 OE
-port 3 n
-<< end >>
diff --git a/lib/magic/TBUFIXL.mag b/lib/magic/TBUFIXL.mag
deleted file mode 100644
index 5ed46c8..0000000
--- a/lib/magic/TBUFIXL.mag
+++ /dev/null
@@ -1,169 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542760
-<< nwell >>
-rect -9 581 375 1341
-<< nmoslvt >>
-rect 80 115 110 263
-rect 166 115 196 263
-rect 238 115 268 263
-<< pmos >>
-rect 80 817 110 1217
-rect 166 817 196 1217
-rect 238 817 268 1217
-<< ndiff >>
-rect 27 199 80 263
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 263
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 115 238 263
-rect 268 199 321 263
-rect 268 131 279 199
-rect 313 131 321 199
-rect 268 115 321 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 861 35 1201
-rect 69 861 80 1201
-rect 27 817 80 861
-rect 110 1201 166 1217
-rect 110 861 121 1201
-rect 155 861 166 1201
-rect 110 817 166 861
-rect 196 817 238 1217
-rect 268 1201 321 1217
-rect 268 861 279 1201
-rect 313 861 321 1201
-rect 268 817 321 861
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 279 131 313 199
-<< pdiffc >>
-rect 35 861 69 1201
-rect 121 861 155 1201
-rect 279 861 313 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 238 1217 268 1243
-rect 80 602 110 817
-rect 39 572 110 602
-rect 39 360 69 572
-rect 166 529 196 817
-rect 133 513 196 529
-rect 133 479 143 513
-rect 177 479 196 513
-rect 133 463 196 479
-rect 238 451 268 817
-rect 238 435 292 451
-rect 111 387 165 403
-rect 111 360 121 387
-rect 39 353 121 360
-rect 155 360 165 387
-rect 238 401 248 435
-rect 282 401 292 435
-rect 238 385 292 401
-rect 155 353 196 360
-rect 39 330 196 353
-rect 80 263 110 330
-rect 166 263 196 330
-rect 238 263 268 385
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-<< polycont >>
-rect 143 479 177 513
-rect 121 353 155 387
-rect 248 401 282 435
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 35 513 69 861
-rect 121 1201 155 1271
-rect 121 845 155 861
-rect 279 1201 313 1217
-rect 143 513 177 529
-rect 35 479 143 513
-rect 35 199 69 479
-rect 143 463 177 479
-rect 211 435 245 649
-rect 279 535 313 861
-rect 211 401 248 435
-rect 282 401 298 435
-rect 103 353 121 387
-rect 155 353 171 387
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 279 199 313 279
-rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 211 649 245 683
-rect 279 501 313 535
-rect 121 353 155 387
-rect 279 279 313 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 199 683 257 689
-rect 177 649 211 683
-rect 245 649 257 683
-rect 199 643 257 649
-rect 109 609 167 615
-rect 109 575 189 609
-rect 109 569 167 575
-rect 121 393 155 569
-rect 267 535 325 541
-rect 267 501 279 535
-rect 313 501 325 535
-rect 267 495 325 501
-rect 109 387 167 393
-rect 109 353 121 387
-rect 155 353 167 387
-rect 109 347 167 353
-rect 279 319 313 495
-rect 267 313 325 319
-rect 267 279 279 313
-rect 313 279 325 313
-rect 267 273 325 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 305 364 305 364 1 Y
-port 1 n
-rlabel metal1 228 666 228 666 1 A
-port 2 n
-rlabel metal1 138 592 138 592 1 OE
-port 3 n
-<< end >>
diff --git a/lib/magic/TIEHI.mag b/lib/magic/TIEHI.mag
deleted file mode 100644
index 9b44fa5..0000000
--- a/lib/magic/TIEHI.mag
+++ /dev/null
@@ -1,89 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548654
-<< nwell >>
-rect -9 581 199 1341
-<< nmoslvt >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 617 110 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 163 1217
-rect 110 657 121 1201
-rect 155 657 163 1201
-rect 110 617 163 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 657 155 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 80 433 110 617
-rect 80 417 134 433
-rect 80 383 90 417
-rect 124 383 134 417
-rect 80 367 134 383
-rect 80 315 110 367
-rect 80 89 110 115
-<< polycont >>
-rect 90 383 124 417
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 1201 69 1271
-rect 35 641 69 657
-rect 121 1201 155 1217
-rect 121 609 155 657
-rect 74 383 90 417
-rect 124 383 155 417
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 383
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 575 155 609
-<< metal1 >>
-rect 0 1271 198 1332
-rect 94 609 167 615
-rect 94 575 121 609
-rect 155 575 167 609
-rect 94 569 167 575
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 138 592 138 592 1 Y
-port 1 n
-<< end >>
diff --git a/lib/magic/TIELO.mag b/lib/magic/TIELO.mag
deleted file mode 100644
index a42df51..0000000
--- a/lib/magic/TIELO.mag
+++ /dev/null
@@ -1,89 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598548691
-<< nwell >>
-rect -9 581 199 1341
-<< nmoslvt >>
-rect 80 115 110 315
-<< pmos >>
-rect 80 617 110 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 163 315
-rect 110 131 121 267
-rect 155 131 163 267
-rect 110 115 163 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 163 1217
-rect 110 657 121 1201
-rect 155 657 163 1201
-rect 110 617 163 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 657 155 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 80 565 110 617
-rect 80 549 134 565
-rect 80 515 90 549
-rect 124 515 134 549
-rect 80 499 134 515
-rect 80 315 110 499
-rect 80 89 110 115
-<< polycont >>
-rect 90 515 124 549
-<< locali >>
-rect 0 1305 198 1332
-rect 0 1271 51 1305
-rect 85 1271 198 1305
-rect 35 1201 69 1271
-rect 35 641 69 657
-rect 121 1201 155 1217
-rect 121 549 155 657
-rect 74 515 90 549
-rect 124 515 155 549
-rect 35 267 69 283
-rect 35 61 69 131
-rect 121 267 155 353
-rect 121 115 155 131
-rect 0 27 51 61
-rect 85 27 198 61
-rect 0 0 198 27
-<< viali >>
-rect 121 353 155 387
-<< metal1 >>
-rect 0 1271 198 1332
-rect 94 387 167 393
-rect 94 353 121 387
-rect 155 353 167 387
-rect 94 347 167 353
-rect 0 0 198 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 138 370 138 370 1 Y
-port 1 n
-<< end >>
diff --git a/lib/magic/TNBUFIX1.mag b/lib/magic/TNBUFIX1.mag
deleted file mode 100644
index 5bebe51..0000000
--- a/lib/magic/TNBUFIX1.mag
+++ /dev/null
@@ -1,164 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1598625848
-<< nwell >>
-rect -9 581 375 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 238 617 268 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 321 315
-rect 268 131 279 267
-rect 313 131 321 267
-rect 268 115 321 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 725 121 1201
-rect 155 725 166 1201
-rect 110 617 166 725
-rect 196 617 238 1217
-rect 268 1201 321 1217
-rect 268 657 279 1201
-rect 313 657 321 1201
-rect 268 617 321 657
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 279 131 313 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 725 155 1201
-rect 279 657 313 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 238 1217 268 1243
-rect 80 602 110 617
-rect 166 602 196 617
-rect 39 572 196 602
-rect 39 360 69 572
-rect 111 570 165 572
-rect 111 536 121 570
-rect 155 536 165 570
-rect 111 520 165 536
-rect 111 452 196 468
-rect 111 418 121 452
-rect 155 418 196 452
-rect 111 402 196 418
-rect 39 330 110 360
-rect 80 315 110 330
-rect 166 315 196 402
-rect 238 451 268 617
-rect 238 435 292 451
-rect 238 401 248 435
-rect 282 401 292 435
-rect 238 385 292 401
-rect 238 315 268 385
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-<< polycont >>
-rect 121 536 155 570
-rect 121 418 155 452
-rect 248 401 282 435
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 709 155 725
-rect 279 1201 313 1217
-rect 35 452 69 657
-rect 121 570 155 575
-rect 121 520 155 536
-rect 121 452 155 468
-rect 35 418 121 452
-rect 35 267 69 418
-rect 121 402 155 418
-rect 211 435 245 649
-rect 279 535 313 657
-rect 211 401 248 435
-rect 282 401 298 435
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 279 267 313 279
-rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 211 649 245 683
-rect 121 575 155 609
-rect 279 501 313 535
-rect 279 279 313 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 199 683 257 689
-rect 177 649 211 683
-rect 245 649 257 683
-rect 199 643 257 649
-rect 109 609 167 615
-rect 109 575 121 609
-rect 155 575 189 609
-rect 109 569 167 575
-rect 267 535 325 541
-rect 267 501 279 535
-rect 313 501 325 535
-rect 267 495 325 501
-rect 279 319 313 495
-rect 267 313 325 319
-rect 267 279 279 313
-rect 313 279 325 313
-rect 267 273 325 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 305 364 305 364 1 Y
-port 1 n
-rlabel metal1 228 666 228 666 1 A
-port 2 n
-rlabel metal1 138 592 138 592 1 OE
-port 3 n
-<< end >>
diff --git a/lib/magic/TNBUFIXL.mag b/lib/magic/TNBUFIXL.mag
deleted file mode 100644
index f19e271..0000000
--- a/lib/magic/TNBUFIXL.mag
+++ /dev/null
@@ -1,164 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1600542746
-<< nwell >>
-rect -9 581 375 1341
-<< nmoslvt >>
-rect 80 115 110 263
-rect 166 115 196 263
-rect 238 115 268 263
-<< pmos >>
-rect 80 817 110 1217
-rect 166 817 196 1217
-rect 238 817 268 1217
-<< ndiff >>
-rect 27 199 80 263
-rect 27 131 35 199
-rect 69 131 80 199
-rect 27 115 80 131
-rect 110 199 166 263
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 115 238 263
-rect 268 199 321 263
-rect 268 131 279 199
-rect 313 131 321 199
-rect 268 115 321 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 861 35 1201
-rect 69 861 80 1201
-rect 27 817 80 861
-rect 110 1201 166 1217
-rect 110 861 121 1201
-rect 155 861 166 1201
-rect 110 817 166 861
-rect 196 817 238 1217
-rect 268 1201 321 1217
-rect 268 861 279 1201
-rect 313 861 321 1201
-rect 268 817 321 861
-<< ndiffc >>
-rect 35 131 69 199
-rect 121 131 155 199
-rect 279 131 313 199
-<< pdiffc >>
-rect 35 861 69 1201
-rect 121 861 155 1201
-rect 279 861 313 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 238 1217 268 1243
-rect 80 602 110 817
-rect 166 602 196 817
-rect 39 572 196 602
-rect 39 360 69 572
-rect 111 570 165 572
-rect 111 536 121 570
-rect 155 536 165 570
-rect 111 520 165 536
-rect 111 452 196 468
-rect 111 418 121 452
-rect 155 418 196 452
-rect 111 402 196 418
-rect 39 330 110 360
-rect 80 263 110 330
-rect 166 263 196 402
-rect 238 451 268 817
-rect 238 435 292 451
-rect 238 401 248 435
-rect 282 401 292 435
-rect 238 385 292 401
-rect 238 263 268 385
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-<< polycont >>
-rect 121 536 155 570
-rect 121 418 155 452
-rect 248 401 282 435
-<< locali >>
-rect 0 1305 374 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 374 1305
-rect 35 1201 69 1217
-rect 35 452 69 861
-rect 121 1201 155 1271
-rect 121 845 155 861
-rect 279 1201 313 1217
-rect 121 570 155 575
-rect 121 520 155 536
-rect 121 452 155 468
-rect 35 418 121 452
-rect 35 199 69 418
-rect 121 402 155 418
-rect 211 435 245 649
-rect 279 535 313 861
-rect 211 401 248 435
-rect 282 401 298 435
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 279 199 313 279
-rect 279 115 313 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 374 61
-rect 0 0 374 27
-<< viali >>
-rect 211 649 245 683
-rect 121 575 155 609
-rect 279 501 313 535
-rect 279 279 313 313
-<< metal1 >>
-rect 0 1271 374 1332
-rect 199 683 257 689
-rect 177 649 211 683
-rect 245 649 257 683
-rect 199 643 257 649
-rect 109 609 167 615
-rect 109 575 121 609
-rect 155 575 189 609
-rect 109 569 167 575
-rect 267 535 325 541
-rect 267 501 279 535
-rect 313 501 325 535
-rect 267 495 325 501
-rect 279 319 313 495
-rect 267 313 325 319
-rect 267 279 279 313
-rect 313 279 325 313
-rect 267 273 325 279
-rect 0 0 374 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 305 364 305 364 1 Y
-port 1 n
-rlabel metal1 228 666 228 666 1 A
-port 2 n
-rlabel metal1 138 592 138 592 1 OE
-port 3 n
-<< end >>
diff --git a/lib/magic/XNOR2XL.mag b/lib/magic/XNOR2XL.mag
deleted file mode 100644
index 84e1d94..0000000
--- a/lib/magic/XNOR2XL.mag
+++ /dev/null
@@ -1,263 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1599065241
-<< nwell >>
-rect -9 581 638 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-rect 358 115 388 315
-rect 430 115 460 315
-rect 516 115 546 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 238 617 268 1217
-rect 358 617 388 1217
-rect 430 617 460 1217
-rect 516 617 546 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 199 166 315
-rect 110 131 121 199
-rect 155 131 166 199
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 358 315
-rect 268 131 279 267
-rect 347 131 358 267
-rect 268 115 358 131
-rect 388 115 430 315
-rect 460 199 516 315
-rect 460 131 471 199
-rect 505 131 516 199
-rect 460 115 516 131
-rect 546 267 599 315
-rect 546 131 557 267
-rect 591 131 599 267
-rect 546 115 599 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 657 121 1201
-rect 155 657 166 1201
-rect 110 617 166 657
-rect 196 617 238 1217
-rect 268 1201 358 1217
-rect 268 657 279 1201
-rect 347 657 358 1201
-rect 268 617 358 657
-rect 388 617 430 1217
-rect 460 1201 516 1217
-rect 460 657 471 1201
-rect 505 657 516 1201
-rect 460 617 516 657
-rect 546 1201 599 1217
-rect 546 658 557 1201
-rect 591 658 599 1201
-rect 546 617 599 658
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 199
-rect 279 131 347 267
-rect 471 131 505 199
-rect 557 131 591 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 657 155 1201
-rect 279 657 347 1201
-rect 471 657 505 1201
-rect 557 658 591 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 238 1217 268 1243
-rect 358 1217 388 1243
-rect 430 1217 460 1243
-rect 516 1217 546 1243
-rect 80 602 110 617
-rect 70 572 110 602
-rect 70 360 100 572
-rect 166 511 196 617
-rect 142 495 196 511
-rect 142 461 152 495
-rect 186 461 196 495
-rect 142 445 196 461
-rect 238 586 268 617
-rect 238 570 292 586
-rect 238 536 248 570
-rect 282 536 292 570
-rect 238 520 292 536
-rect 142 387 196 403
-rect 142 360 152 387
-rect 70 353 152 360
-rect 186 353 196 387
-rect 70 330 196 353
-rect 80 315 110 330
-rect 166 315 196 330
-rect 238 315 268 520
-rect 358 511 388 617
-rect 430 602 460 617
-rect 516 602 546 617
-rect 430 572 546 602
-rect 358 495 472 511
-rect 358 481 428 495
-rect 418 461 428 481
-rect 462 461 472 495
-rect 418 445 472 461
-rect 516 403 546 572
-rect 326 387 380 403
-rect 326 353 336 387
-rect 370 360 380 387
-rect 479 387 546 403
-rect 479 360 489 387
-rect 370 353 388 360
-rect 326 337 388 353
-rect 358 315 388 337
-rect 430 353 489 360
-rect 523 353 546 387
-rect 430 330 546 353
-rect 430 315 460 330
-rect 516 315 546 330
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-<< polycont >>
-rect 152 461 186 495
-rect 248 536 282 570
-rect 152 353 186 387
-rect 428 461 462 495
-rect 336 353 370 387
-rect 489 353 523 387
-<< locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
-rect 35 1201 69 1217
-rect 35 495 69 657
-rect 121 1201 155 1271
-rect 279 1201 347 1217
-rect 121 641 155 657
-rect 268 683 279 689
-rect 302 649 347 657
-rect 279 641 347 649
-rect 471 1201 505 1271
-rect 471 641 505 657
-rect 557 1201 591 1217
-rect 557 570 591 658
-rect 232 536 248 570
-rect 282 536 591 570
-rect 35 461 152 495
-rect 186 461 370 495
-rect 35 267 69 461
-rect 152 387 186 403
-rect 336 387 370 461
-rect 152 313 186 353
-rect 268 283 302 353
-rect 336 337 370 353
-rect 412 461 428 495
-rect 462 461 478 495
-rect 412 313 446 461
-rect 489 387 523 403
-rect 489 337 523 353
-rect 268 267 347 283
-rect 268 249 279 267
-rect 35 115 69 131
-rect 121 199 155 215
-rect 121 61 155 131
-rect 557 267 591 536
-rect 279 115 347 131
-rect 471 199 505 215
-rect 471 61 505 131
-rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 268 657 279 683
-rect 279 657 302 683
-rect 268 649 302 657
-rect 152 279 186 313
-rect 268 353 302 387
-rect 489 353 523 387
-rect 412 279 446 313
-<< metal1 >>
-rect 0 1271 638 1332
-rect 256 683 314 689
-rect 256 649 268 683
-rect 302 649 314 683
-rect 256 643 314 649
-rect 268 393 302 643
-rect 256 387 314 393
-rect 477 387 535 393
-rect 256 353 268 387
-rect 302 353 314 387
-rect 455 353 489 387
-rect 523 353 535 387
-rect 256 347 314 353
-rect 477 347 535 353
-rect 140 313 198 319
-rect 400 313 458 319
-rect 140 279 152 313
-rect 186 279 412 313
-rect 446 279 458 313
-rect 140 273 198 279
-rect 400 273 458 279
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 170 296 170 296 1 A
-port 1 n
-rlabel metal1 506 370 506 370 1 B
-port 2 n
-rlabel metal1 284 427 284 427 1 Y
-port 3 n
-<< end >>
diff --git a/lib/magic/XOR2XL.mag b/lib/magic/XOR2XL.mag
deleted file mode 100644
index 911cde7..0000000
--- a/lib/magic/XOR2XL.mag
+++ /dev/null
@@ -1,262 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1599060112
-<< nwell >>
-rect -9 581 638 1341
-<< nmoslvt >>
-rect 80 115 110 315
-rect 166 115 196 315
-rect 238 115 268 315
-rect 358 115 388 315
-rect 430 115 460 315
-rect 516 115 546 315
-<< pmos >>
-rect 80 617 110 1217
-rect 166 617 196 1217
-rect 238 617 268 1217
-rect 358 617 388 1217
-rect 430 617 460 1217
-rect 516 617 546 1217
-<< ndiff >>
-rect 27 267 80 315
-rect 27 131 35 267
-rect 69 131 80 267
-rect 27 115 80 131
-rect 110 267 166 315
-rect 110 131 121 267
-rect 155 131 166 267
-rect 110 115 166 131
-rect 196 115 238 315
-rect 268 267 358 315
-rect 268 131 279 267
-rect 347 131 358 267
-rect 268 115 358 131
-rect 388 115 430 315
-rect 460 267 516 315
-rect 460 131 471 267
-rect 505 131 516 267
-rect 460 115 516 131
-rect 546 267 599 315
-rect 546 131 557 267
-rect 591 131 599 267
-rect 546 115 599 131
-<< pdiff >>
-rect 27 1201 80 1217
-rect 27 657 35 1201
-rect 69 657 80 1201
-rect 27 617 80 657
-rect 110 1201 166 1217
-rect 110 793 121 1201
-rect 155 793 166 1201
-rect 110 617 166 793
-rect 196 617 238 1217
-rect 268 1201 358 1217
-rect 268 657 279 1201
-rect 347 657 358 1201
-rect 268 617 358 657
-rect 388 617 430 1217
-rect 460 1201 516 1217
-rect 460 793 471 1201
-rect 505 793 516 1201
-rect 460 617 516 793
-rect 546 1201 599 1217
-rect 546 658 557 1201
-rect 591 658 599 1201
-rect 546 617 599 658
-<< ndiffc >>
-rect 35 131 69 267
-rect 121 131 155 267
-rect 279 131 347 267
-rect 471 131 505 267
-rect 557 131 591 267
-<< pdiffc >>
-rect 35 657 69 1201
-rect 121 793 155 1201
-rect 279 657 347 1201
-rect 471 793 505 1201
-rect 557 658 591 1201
-<< psubdiff >>
-rect 27 27 51 61
-rect 85 27 109 61
-rect 163 27 187 61
-rect 221 27 245 61
-rect 299 27 323 61
-rect 357 27 381 61
-rect 435 27 459 61
-rect 493 27 517 61
-<< nsubdiff >>
-rect 27 1271 51 1305
-rect 85 1271 109 1305
-rect 163 1271 187 1305
-rect 221 1271 245 1305
-rect 299 1271 323 1305
-rect 357 1271 381 1305
-rect 435 1271 459 1305
-rect 493 1271 517 1305
-<< psubdiffcont >>
-rect 51 27 85 61
-rect 187 27 221 61
-rect 323 27 357 61
-rect 459 27 493 61
-<< nsubdiffcont >>
-rect 51 1271 85 1305
-rect 187 1271 221 1305
-rect 323 1271 357 1305
-rect 459 1271 493 1305
-<< poly >>
-rect 80 1217 110 1243
-rect 166 1217 196 1243
-rect 238 1217 268 1243
-rect 358 1217 388 1243
-rect 430 1217 460 1243
-rect 516 1217 546 1243
-rect 80 602 110 617
-rect 166 602 196 617
-rect 70 572 196 602
-rect 70 355 100 572
-rect 142 570 196 572
-rect 142 536 152 570
-rect 186 536 196 570
-rect 142 520 196 536
-rect 142 462 196 478
-rect 142 428 152 462
-rect 186 428 196 462
-rect 142 412 196 428
-rect 70 330 110 355
-rect 80 315 110 330
-rect 166 315 196 412
-rect 238 403 268 617
-rect 358 586 388 617
-rect 334 570 388 586
-rect 430 602 460 617
-rect 516 602 546 617
-rect 430 572 546 602
-rect 334 536 344 570
-rect 378 536 388 570
-rect 334 520 388 536
-rect 479 570 546 572
-rect 479 536 489 570
-rect 523 536 546 570
-rect 479 520 546 536
-rect 420 461 474 477
-rect 420 432 430 461
-rect 358 427 430 432
-rect 464 427 474 461
-rect 238 387 292 403
-rect 238 353 248 387
-rect 282 353 292 387
-rect 238 337 292 353
-rect 358 402 474 427
-rect 238 315 268 337
-rect 358 315 388 402
-rect 516 360 546 520
-rect 430 330 546 360
-rect 430 315 460 330
-rect 516 315 546 330
-rect 80 89 110 115
-rect 166 89 196 115
-rect 238 89 268 115
-rect 358 89 388 115
-rect 430 89 460 115
-rect 516 89 546 115
-<< polycont >>
-rect 152 536 186 570
-rect 152 428 186 462
-rect 344 536 378 570
-rect 489 536 523 570
-rect 430 427 464 461
-rect 248 353 282 387
-<< locali >>
-rect 0 1305 638 1332
-rect 0 1271 51 1305
-rect 85 1271 187 1305
-rect 221 1271 323 1305
-rect 357 1271 459 1305
-rect 493 1271 638 1305
-rect 35 1201 69 1217
-rect 121 1201 155 1271
-rect 121 777 155 793
-rect 279 1201 347 1217
-rect 35 462 69 657
-rect 152 649 200 683
-rect 268 657 279 675
-rect 471 1201 505 1271
-rect 471 777 505 793
-rect 557 1201 591 1217
-rect 152 570 186 649
-rect 268 641 347 657
-rect 136 536 152 570
-rect 186 536 202 570
-rect 268 535 302 641
-rect 344 570 378 586
-rect 344 462 378 536
-rect 35 428 152 462
-rect 186 428 378 462
-rect 412 461 446 649
-rect 489 570 523 575
-rect 489 520 523 536
-rect 35 267 69 428
-rect 412 427 430 461
-rect 464 427 480 461
-rect 557 387 591 658
-rect 232 353 248 387
-rect 282 353 591 387
-rect 35 115 69 131
-rect 121 267 155 283
-rect 121 61 155 131
-rect 279 279 296 283
-rect 330 279 347 283
-rect 279 267 347 279
-rect 279 115 347 131
-rect 471 267 505 283
-rect 471 61 505 131
-rect 557 267 591 353
-rect 557 115 591 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 638 61
-rect 0 0 638 27
-<< viali >>
-rect 200 649 234 683
-rect 412 649 446 683
-rect 268 501 302 535
-rect 489 575 523 609
-rect 296 279 330 313
-<< metal1 >>
-rect 0 1271 638 1332
-rect 188 683 246 689
-rect 400 683 458 689
-rect 188 649 200 683
-rect 234 649 412 683
-rect 446 649 458 683
-rect 188 643 246 649
-rect 400 643 458 649
-rect 477 609 535 615
-rect 455 575 489 609
-rect 523 575 535 609
-rect 477 569 535 575
-rect 256 535 314 541
-rect 256 501 268 535
-rect 302 501 314 535
-rect 256 495 314 501
-rect 268 319 302 495
-rect 268 313 342 319
-rect 268 279 296 313
-rect 330 279 342 313
-rect 284 273 342 279
-rect 0 0 638 61
-<< labels >>
-rlabel locali 68 44 68 44 1 gnd
-rlabel metal1 68 44 68 44 1 gnd
-rlabel locali 68 1288 68 1288 1 vdd
-rlabel metal1 68 1288 68 1288 1 vdd
-rlabel metal1 218 666 218 666 1 A
-port 1 n
-rlabel metal1 285 486 285 486 1 Y
-port 2 n
-rlabel metal1 506 592 506 592 1 B
-port 3 n
-<< end >>
diff --git a/lib/magic/convert_names.py b/lib/magic/convert_names.py
new file mode 100755
index 0000000..02a47b7
--- /dev/null
+++ b/lib/magic/convert_names.py
@@ -0,0 +1,63 @@
+#use case python convert_names.py track_size speed
+#
+#example: python ./convert_names.py 15T ms mag
+# - this is used for renaming .mag files to a height of 15 tracks and a
+# - "medium" speed setting
+#
+# - NOTE THIS MUST BE RAN IN THE SAME DIRECTORY AS THE MAGIC FILES
+
+import sys
+import os
+
+def main():
+	
+	#get command line arguments
+	try:
+		track_size = sys.argv[1];
+		speed = sys.argv[2];
+		file_type = sys.argv[3];
+	except IndexError:
+		print("Please give me all command line arguments");
+		return
+
+	#get files in current directory of specified file type
+	ls_out = os.popen('ls -v *.' + file_type);
+	files = ls_out.read();
+
+	#make files output into a list
+	file_list = files.splitlines();
+
+	#not sure why we need a double underscore at the end, but okay
+	file_prefix = 'sky130_osu_sc_' + track_size + '_' + speed + '__';
+
+	#make a list of cell names and widths
+	file_names = [];
+	file_width = [];
+	for i in range(len(file_list)):
+		
+		#consider if first char is split char
+		X_first_char = file_list[i][1:];
+		X_first_char_split = X_first_char.split("X");
+		#concatenate them together
+		X_first_char_split[0] = file_list[i][0] + X_first_char_split[0];
+		#check for dots, just in case
+		split_file_dot = X_first_char_split[0].split(".");
+		file_names.append(split_file_dot[0].lower());
+		if(len(X_first_char_split) > 1):
+			split_width = X_first_char_split[1].split(".");
+			file_width.append(split_width[0].lower());
+		else:
+			file_width.append("");
+
+	#make the new names and rename the files
+	new_names = [];
+	for i in range(len(file_list)):
+
+		if(file_width[i] == ""):	
+			new_names.append(file_prefix+file_names[i]+'.'+file_type);
+		else:	
+			new_names.append(file_prefix+file_names[i]+'_'+file_width[i]+'.'+file_type);
+
+		os.system('mv ' + file_list[i] + ' ' + new_names[i]);		
+ 		
+main();
diff --git a/lib/magic/extract b/lib/magic/extract
index d98f6c4..2ffbe42 100755
--- a/lib/magic/extract
+++ b/lib/magic/extract
@@ -17,7 +17,7 @@
 #./fixAP.py "${1%%.*}.spice"
 #mv tmp2.spice "${1%%.*}.spice"
 #rm tmp.spice
-sed -i 's/\(^.*locali\|^.*metal1\|^.*cont\|^.*viali\) \(.* gnd\|.* vdd$\)/rlabel locali \2\nrlabel metal1 \2/g' $1
+sed -i 's/\(^.*locali\|^.*metal1\|^.*cont\|^.*viali\) \(.* gnd\|.* vdd$\)/rlabel metal1 \2/g' $1
 echo "source magic_calma.tcl;calma" | magic -dnull -noconsole $1
 echo "layout filemerge -in ${1%%.*}.gds -precision 1000 -out tmp.gds;exit" | calibredrv -shell
 mv tmp.gds ${1%%.*}.gds
diff --git a/lib/magic/netgen b/lib/magic/netgen
deleted file mode 100755
index 49c71c1..0000000
--- a/lib/magic/netgen
+++ /dev/null
@@ -1,6 +0,0 @@
-#!/bin/sh
-netgen -noc << EOF
-permute transistors
-lvs $1 $2
-quit
-EOF
diff --git a/lib/sue/ADDFX1.sue b/lib/sue/ADDFX1.sue
deleted file mode 100644
index 1211a87..0000000
--- a/lib/sue/ADDFX1.sue
+++ /dev/null
@@ -1,135 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_ADDFX1 {} {
-  make global -orient RXY -name vdd -origin {-250 170}
-  make global -name gnd -origin {-250 490}
-  make global -orient RXY -name vdd -origin {40 170}
-  make global -name gnd -origin {40 490}
-  make global -orient RXY -name vdd -origin {430 170}
-  make global -name gnd -origin {430 490}
-  make global -orient RXY -name vdd -origin {810 90}
-  make global -name gnd -origin {810 570}
-  make global -orient RXY -name vdd -origin {970 210}
-  make global -name gnd -origin {970 430}
-  make pmos -W 3 -L 0.15u -origin {-250 290}
-  make pmos -W 3 -L 0.15u -origin {-150 210}
-  make pmos -W 3 -L 0.15u -origin {40 210}
-  make pmos -W 3 -L 0.15u -origin {40 290}
-  make global -orient RXY -name vdd -origin {290 580}
-  make global -name gnd -origin {290 800}
-  make pmos -W 3.0 -L 0.15u -origin {250 210}
-  make pmos -W 3.0 -L 0.15u -origin {430 210}
-  make pmos -W 3.0 -L 0.15u -origin {610 210}
-  make pmos -W 3.0 -L 0.15u -origin {810 290}
-  make pmos -W 3.0 -L 0.15u -origin {810 210}
-  make pmos -W 3.0 -L 0.15u -origin {810 130}
-  make pmos -W 3.0 -L 0.15u -origin {430 290}
-  make pmos -W 3.0 -L 0.15u -origin {-320 210}
-  make input -name A -origin {-380 210}
-  make input -name CI -origin {-380 330}
-  make input -name A -origin {-380 450}
-  make input -name A -origin {-20 210}
-  make input -name B -origin {-20 290}
-  make input -orient RY -name B -origin {-20 370}
-  make input -orient RY -name A -origin {-20 450}
-  make input -name A -origin {190 210}
-  make input -name B -origin {370 210}
-  make input -name CI -origin {550 210}
-  make input -name A -origin {190 450}
-  make input -name B -origin {370 450}
-  make input -name A -origin {750 130}
-  make input -name B -origin {750 210}
-  make input -name CI -origin {750 290}
-  make input -orient RY -name CI -origin {750 370}
-  make input -orient RY -name B -origin {750 450}
-  make input -orient RY -name A -origin {750 530}
-  make output -name S -origin {1040 330}
-  make output -name CO -origin {310 690}
-  make input -name CI -origin {550 450}
-  make input -name B -origin {-200 210}
-  make input -name B -origin {-200 450}
-  make nmos -L 0.15u -origin {-250 370}
-  make nmos -L 0.15u -origin {-320 450}
-  make nmos -L 0.15u -origin {-150 450}
-  make nmos -L 0.15u -origin {40 450}
-  make nmos -L 0.15u -origin {40 370}
-  make nmos -L 0.15u -origin {250 450}
-  make nmos -L 0.15u -origin {430 450}
-  make nmos -L 0.15u -origin {610 450}
-  make nmos -L 0.15u -origin {430 370}
-  make nmos -L 0.15u -origin {810 370}
-  make nmos -L 0.15u -origin {810 450}
-  make nmos -L 0.15u -origin {810 530}
-  make pmos -W 3 -L 0.15u -origin {290 620}
-  make nmos -L 0.15u -origin {290 760}
-  make pmos -W 3 -L 0.15u -origin {970 250}
-  make nmos -L 0.15u -origin {970 390}
-  make_wire 250 250 430 250
-  make_wire 430 250 610 250
-  make_wire 430 170 250 170
-  make_wire 430 170 610 170
-  make_wire 250 490 430 490
-  make_wire 430 490 610 490
-  make_wire 430 410 250 410
-  make_wire 430 410 610 410
-  make_wire -320 250 -250 250
-  make_wire -250 170 -320 170
-  make_wire -320 490 -250 490
-  make_wire -250 410 -320 410
-  make_wire -310 330 -380 330
-  make_wire -250 170 -150 170
-  make_wire -250 250 -150 250
-  make_wire -250 490 -150 490
-  make_wire -250 410 -150 410
-  make_wire -250 330 40 330
-  make_wire -310 290 -310 330
-  make_wire -310 330 -310 370
-  make_wire -210 450 -200 450
-  make_wire -210 210 -200 210
-  make_wire 430 330 810 330
-  make_wire 970 330 970 290
-  make_wire 970 330 970 350
-  make_wire 910 250 910 330
-  make_wire 910 330 910 390
-  make_wire 810 330 910 330
-  make_wire 230 620 230 680
-  make_wire 230 680 230 760
-  make_wire 120 680 230 680
-  make_wire 120 330 40 330
-  make_wire 120 340 370 340
-  make_wire 120 330 120 340
-  make_wire 120 340 120 680
-  make_wire 370 290 370 340
-  make_wire 370 340 370 370
-  make_wire 290 660 290 690
-  make_wire 290 690 290 720
-  make_wire 290 690 310 690
-  make_wire 970 330 1040 330
-}
-
-proc ICON_ADDFX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}}}
-  icon_term -type input -origin {-70 -20} -name a
-  icon_term -type input -origin {-70 0} -name b
-  icon_term -type input -origin {-70 20} -name c
-  icon_term -type input -origin {0 0} -name ci
-  icon_term -type input -origin {0 0} -name A
-  icon_term -type input -origin {0 0} -name CI
-  icon_term -type input -origin {0 0} -name B
-  icon_term -type input -origin {0 0} -name Ci
-  icon_term -type output -origin {70 -10} -name S
-  icon_term -type output -origin {70 20} -name CO
-  icon_property -origin {-130 110} -type user -name name
-  icon_line -70 -30 -70 30 70 30 70 -30 -70 -30
-  icon_property -origin {-10 20} -size small -label FA
-  icon_property -origin {-60 -20} -size small -label a
-  icon_property -origin {-60 0} -size small -label b
-  icon_property -origin {-60 20} -size small -label c
-  icon_property -origin {60 -10} -size small -anchor e -label S
-  icon_property -origin {60 20} -size small -anchor e -label C
-  icon_property -origin {-130 170} -type auto -name verilog -text {ADDFX1 [unique_name "" $name ADDFX1]
-(.a($a), .b($b), .c($c), .ci($ci), 
-.A($A), .CI($CI), .B($B), .Ci($Ci), 
-.S($S), .CO($CO))\;}
-}
-
diff --git a/lib/sue/ADDFXL.sue b/lib/sue/ADDFXL.sue
deleted file mode 100644
index ebaa939..0000000
--- a/lib/sue/ADDFXL.sue
+++ /dev/null
@@ -1,135 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_ADDFXL {} {
-  make global -orient RXY -name vdd -origin {-250 170}
-  make global -name gnd -origin {-250 490}
-  make global -orient RXY -name vdd -origin {40 170}
-  make global -name gnd -origin {40 490}
-  make global -orient RXY -name vdd -origin {430 170}
-  make global -name gnd -origin {430 490}
-  make global -orient RXY -name vdd -origin {810 90}
-  make global -name gnd -origin {810 570}
-  make global -orient RXY -name vdd -origin {970 210}
-  make global -name gnd -origin {970 430}
-  make pmos -W 3 -L 0.15u -origin {-250 290}
-  make pmos -W 3 -L 0.15u -origin {-150 210}
-  make pmos -W 3 -L 0.15u -origin {40 210}
-  make pmos -W 3 -L 0.15u -origin {40 290}
-  make global -orient RXY -name vdd -origin {290 580}
-  make global -name gnd -origin {290 800}
-  make pmos -W 3.0 -L 0.15u -origin {250 210}
-  make pmos -W 3.0 -L 0.15u -origin {430 210}
-  make pmos -W 3.0 -L 0.15u -origin {610 210}
-  make pmos -W 3.0 -L 0.15u -origin {810 290}
-  make pmos -W 3.0 -L 0.15u -origin {810 210}
-  make pmos -W 3.0 -L 0.15u -origin {810 130}
-  make pmos -W 3.0 -L 0.15u -origin {430 290}
-  make pmos -W 3.0 -L 0.15u -origin {-320 210}
-  make input -name A -origin {-380 210}
-  make input -name CI -origin {-380 330}
-  make input -name A -origin {-380 450}
-  make input -name A -origin {-20 210}
-  make input -name B -origin {-20 290}
-  make input -orient RY -name B -origin {-20 370}
-  make input -orient RY -name A -origin {-20 450}
-  make input -name A -origin {190 210}
-  make input -name B -origin {370 210}
-  make input -name CI -origin {550 210}
-  make input -name A -origin {190 450}
-  make input -name B -origin {370 450}
-  make input -name A -origin {750 130}
-  make input -name B -origin {750 210}
-  make input -name CI -origin {750 290}
-  make input -orient RY -name CI -origin {750 370}
-  make input -orient RY -name B -origin {750 450}
-  make input -orient RY -name A -origin {750 530}
-  make output -name S -origin {1040 330}
-  make output -name CO -origin {310 690}
-  make input -name CI -origin {550 450}
-  make input -name B -origin {-200 210}
-  make input -name B -origin {-200 450}
-  make nmos -L 0.15u -origin {-250 370}
-  make nmos -L 0.15u -origin {-320 450}
-  make nmos -L 0.15u -origin {-150 450}
-  make nmos -L 0.15u -origin {40 450}
-  make nmos -L 0.15u -origin {40 370}
-  make nmos -L 0.15u -origin {250 450}
-  make nmos -L 0.15u -origin {430 450}
-  make nmos -L 0.15u -origin {610 450}
-  make nmos -L 0.15u -origin {430 370}
-  make nmos -L 0.15u -origin {810 370}
-  make nmos -L 0.15u -origin {810 450}
-  make nmos -L 0.15u -origin {810 530}
-  make pmos -W 1.65 -L 0.15u -origin {290 620}
-  make nmos -W 0.64 -L 0.15u -origin {290 760}
-  make pmos -W 1.65 -L 0.15u -origin {970 250}
-  make nmos -W 0.64 -L 0.15u -origin {970 390}
-  make_wire 250 250 430 250
-  make_wire 430 250 610 250
-  make_wire 430 170 250 170
-  make_wire 430 170 610 170
-  make_wire 250 490 430 490
-  make_wire 430 490 610 490
-  make_wire 430 410 250 410
-  make_wire 430 410 610 410
-  make_wire -320 250 -250 250
-  make_wire -250 170 -320 170
-  make_wire -320 490 -250 490
-  make_wire -250 410 -320 410
-  make_wire -310 330 -380 330
-  make_wire -250 170 -150 170
-  make_wire -250 250 -150 250
-  make_wire -250 490 -150 490
-  make_wire -250 410 -150 410
-  make_wire -250 330 40 330
-  make_wire -310 290 -310 330
-  make_wire -310 330 -310 370
-  make_wire -210 450 -200 450
-  make_wire -210 210 -200 210
-  make_wire 430 330 810 330
-  make_wire 970 330 970 290
-  make_wire 970 330 970 350
-  make_wire 910 250 910 330
-  make_wire 910 330 910 390
-  make_wire 810 330 910 330
-  make_wire 230 620 230 680
-  make_wire 230 680 230 760
-  make_wire 120 680 230 680
-  make_wire 120 330 40 330
-  make_wire 120 340 370 340
-  make_wire 120 330 120 340
-  make_wire 120 340 120 680
-  make_wire 370 290 370 340
-  make_wire 370 340 370 370
-  make_wire 290 660 290 690
-  make_wire 290 690 290 720
-  make_wire 290 690 310 690
-  make_wire 970 330 1040 330
-}
-
-proc ICON_ADDFXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}}}
-  icon_term -type input -origin {-70 -20} -name a
-  icon_term -type input -origin {-70 0} -name b
-  icon_term -type input -origin {-70 20} -name c
-  icon_term -type input -origin {0 0} -name ci
-  icon_term -type input -origin {0 0} -name A
-  icon_term -type input -origin {0 0} -name CI
-  icon_term -type input -origin {0 0} -name B
-  icon_term -type input -origin {0 0} -name Ci
-  icon_term -type output -origin {70 -10} -name S
-  icon_term -type output -origin {70 20} -name CO
-  icon_property -origin {-130 110} -type user -name name
-  icon_line -70 -30 -70 30 70 30 70 -30 -70 -30
-  icon_property -origin {-10 20} -size small -label FA
-  icon_property -origin {-60 -20} -size small -label a
-  icon_property -origin {-60 0} -size small -label b
-  icon_property -origin {-60 20} -size small -label c
-  icon_property -origin {60 -10} -size small -anchor e -label S
-  icon_property -origin {60 20} -size small -anchor e -label C
-  icon_property -origin {-130 170} -type auto -name verilog -text {ADDFXL [unique_name "" $name ADDFXL]
-(.a($a), .b($b), .c($c), .ci($ci), 
-.A($A), .CI($CI), .B($B), .Ci($Ci), 
-.S($S), .CO($CO))\;}
-}
-
diff --git a/lib/sue/ADDHX1.sue b/lib/sue/ADDHX1.sue
deleted file mode 100644
index 5dbfbc8..0000000
--- a/lib/sue/ADDHX1.sue
+++ /dev/null
@@ -1,93 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_ADDHX1 {} {
-  make global -orient RXY -name vdd -origin {270 330}
-  make global -orient RXY -name vdd -origin {410 330}
-  make global -name gnd -origin {330 600}
-  make global -name gnd -origin {620 560}
-  make global -orient RXY -name vdd -origin {620 320}
-  make output -name CO -origin {650 430}
-  make input -name A -origin {100 170}
-  make input -name B -origin {100 210}
-  make name_net_s -name A -origin {160 170}
-  make name_net_s -name B -origin {160 210}
-  make name_net_s -name A -origin {210 370}
-  make name_net_s -name A -origin {270 480}
-  make name_net_s -name B -origin {350 370}
-  make name_net_s -name B -origin {270 560}
-  make global -name gnd -origin {1150 560}
-  make global -orient RXY -name vdd -origin {1150 320}
-  make output -name S -origin {1180 430}
-  make global -orient RXY -name vdd -origin {820 340}
-  make global -orient RXY -name vdd -origin {950 310}
-  make pmos -W 3 -L 0.15u -origin {950 350}
-  make pmos -W 3 -L 0.15u -origin {950 430}
-  make global -name gnd -origin {920 650}
-  make name_net_s -name A -origin {800 530}
-  make name_net_s -name B -origin {920 530}
-  make name_net_s -name B -origin {890 350}
-  make name_net_s -name A -origin {890 430}
-  make pmos -W 3 -L 0.15u -origin {410 370}
-  make pmos -W 3 -L 0.15u -origin {270 370}
-  make pmos -W 3 -L 0.15u -origin {820 380}
-  make nmos -L 0.15u -origin {330 480}
-  make nmos -L 0.15u -origin {330 560}
-  make nmos -L 0.15u -origin {860 530}
-  make nmos -L 0.15u -origin {980 530}
-  make nmos -L 0.15u -origin {920 610}
-  make pmos -W 3 -L 0.15u -origin {620 360}
-  make nmos -L 0.15u -origin {620 520}
-  make pmos -W 3 -L 0.15u -origin {1150 360}
-  make nmos -L 0.15u -origin {1150 520}
-  make_wire 270 410 270 420
-  make_wire 410 410 410 420
-  make_wire 560 420 560 360
-  make_wire 560 420 560 520
-  make_wire 650 430 620 430
-  make_wire 620 430 620 400
-  make_wire 620 430 620 480
-  make_wire 100 170 160 170
-  make_wire 100 210 160 210
-  make_wire 330 420 330 440
-  make_wire 330 420 270 420
-  make_wire 330 420 410 420
-  make_wire 1180 430 1150 430
-  make_wire 1150 430 1150 400
-  make_wire 1150 430 1150 480
-  make_wire 820 420 820 470
-  make_wire 1090 470 1090 520
-  make_wire 1090 470 1090 360
-  make_wire 950 470 1090 470
-  make_wire 920 570 860 570
-  make_wire 920 570 980 570
-  make_wire 900 470 900 490
-  make_wire 900 470 820 470
-  make_wire 900 470 950 470
-  make_wire 900 490 860 490
-  make_wire 900 490 980 490
-  make_wire 490 420 490 240
-  make_wire 490 240 760 240
-  make_wire 760 240 760 380
-  make_wire 490 420 410 420
-  make_wire 490 420 560 420
-  make_wire 490 420 490 610
-  make_wire 490 610 860 610
-}
-
-proc ICON_ADDHX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-90 -40} -name A
-  icon_term -type input -origin {-90 0} -name B
-  icon_term -type output -origin {90 -40} -name CO
-  icon_term -type output -origin {90 0} -name S
-  icon_property -origin {0 0} -size large -anchor center -label ADDHX1
-  icon_line -90 -60 90 -60 90 60 -90 60 -90 -60
-  icon_property -origin {-80 -40} -label A
-  icon_property -origin {-80 0} -label B
-  icon_property -origin {80 -40} -anchor e -label CO
-  icon_property -origin {80 0} -anchor e -label S
-  icon_property -origin {-90 280} -type user -name name
-  icon_property -origin {-90 300} -type user -name M
-  icon_property -origin {-90 320} -type user -name dpc
-}
-
diff --git a/lib/sue/ADDHXL.sue b/lib/sue/ADDHXL.sue
deleted file mode 100644
index b78b71f..0000000
--- a/lib/sue/ADDHXL.sue
+++ /dev/null
@@ -1,93 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_ADDHXL {} {
-  make global -orient RXY -name vdd -origin {270 330}
-  make global -orient RXY -name vdd -origin {410 330}
-  make global -name gnd -origin {330 600}
-  make global -name gnd -origin {620 560}
-  make global -orient RXY -name vdd -origin {620 320}
-  make output -name CO -origin {650 430}
-  make input -name A -origin {100 170}
-  make input -name B -origin {100 210}
-  make name_net_s -name A -origin {160 170}
-  make name_net_s -name B -origin {160 210}
-  make name_net_s -name A -origin {210 370}
-  make name_net_s -name A -origin {270 480}
-  make name_net_s -name B -origin {350 370}
-  make name_net_s -name B -origin {270 560}
-  make global -name gnd -origin {1150 560}
-  make global -orient RXY -name vdd -origin {1150 320}
-  make output -name S -origin {1180 430}
-  make global -orient RXY -name vdd -origin {820 340}
-  make global -orient RXY -name vdd -origin {950 310}
-  make pmos -W 3 -L 0.15u -origin {950 350}
-  make pmos -W 3 -L 0.15u -origin {950 430}
-  make global -name gnd -origin {920 650}
-  make name_net_s -name A -origin {800 530}
-  make name_net_s -name B -origin {920 530}
-  make name_net_s -name B -origin {890 350}
-  make name_net_s -name A -origin {890 430}
-  make pmos -W 3 -L 0.15u -origin {410 370}
-  make pmos -W 3 -L 0.15u -origin {270 370}
-  make pmos -W 3 -L 0.15u -origin {820 380}
-  make nmos -L 0.15u -origin {330 480}
-  make nmos -L 0.15u -origin {330 560}
-  make pmos -W 1.65 -L 0.15u -origin {620 360}
-  make nmos -W 0.64 -L 0.15u -origin {620 520}
-  make nmos -L 0.15u -origin {860 530}
-  make nmos -L 0.15u -origin {980 530}
-  make nmos -L 0.15u -origin {920 610}
-  make pmos -W 1.65 -L 0.15u -origin {1150 360}
-  make nmos -W 0.64 -L 0.15u -origin {1150 520}
-  make_wire 270 410 270 420
-  make_wire 410 410 410 420
-  make_wire 560 420 560 360
-  make_wire 560 420 560 520
-  make_wire 650 430 620 430
-  make_wire 620 430 620 400
-  make_wire 620 430 620 480
-  make_wire 100 170 160 170
-  make_wire 100 210 160 210
-  make_wire 330 420 330 440
-  make_wire 330 420 270 420
-  make_wire 330 420 410 420
-  make_wire 1180 430 1150 430
-  make_wire 1150 430 1150 400
-  make_wire 1150 430 1150 480
-  make_wire 820 420 820 470
-  make_wire 1090 470 1090 520
-  make_wire 1090 470 1090 360
-  make_wire 950 470 1090 470
-  make_wire 920 570 860 570
-  make_wire 920 570 980 570
-  make_wire 900 470 900 490
-  make_wire 900 470 820 470
-  make_wire 900 470 950 470
-  make_wire 900 490 860 490
-  make_wire 900 490 980 490
-  make_wire 490 420 490 240
-  make_wire 490 240 760 240
-  make_wire 760 240 760 380
-  make_wire 490 420 410 420
-  make_wire 490 420 560 420
-  make_wire 490 420 490 610
-  make_wire 490 610 860 610
-}
-
-proc ICON_ADDHXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-90 -40} -name A
-  icon_term -type input -origin {-90 0} -name B
-  icon_term -type output -origin {90 -40} -name CO
-  icon_term -type output -origin {90 0} -name S
-  icon_property -origin {0 0} -size large -anchor center -label ADDHXL
-  icon_line -90 -60 90 -60 90 60 -90 60 -90 -60
-  icon_property -origin {-80 -40} -label A
-  icon_property -origin {-80 0} -label B
-  icon_property -origin {80 -40} -anchor e -label CO
-  icon_property -origin {80 0} -anchor e -label S
-  icon_property -origin {-90 280} -type user -name name
-  icon_property -origin {-90 300} -type user -name M
-  icon_property -origin {-90 320} -type user -name dpc
-}
-
diff --git a/lib/sue/AND2X1.sue b/lib/sue/AND2X1.sue
deleted file mode 100644
index 2bae569..0000000
--- a/lib/sue/AND2X1.sue
+++ /dev/null
@@ -1,46 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND2X1 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {350 570}
-  make input -name A -origin {350 490}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -W 3 -L 0.15u -origin {740 370}
-  make pmos -W 3 -L 0.15u -origin {410 380}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make nmos -L 0.15u -origin {740 530}
-  make nmos -L 0.15u -origin {410 490}
-  make nmos -L 0.15u -origin {410 570}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 680 430 680 370
-  make_wire 680 430 680 530
-  make_wire 590 430 680 430
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 410 430 590 430
-}
-
-proc ICON_AND2X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND2X1
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND2X16.sue b/lib/sue/AND2X16.sue
deleted file mode 100644
index 3b35f14..0000000
--- a/lib/sue/AND2X16.sue
+++ /dev/null
@@ -1,46 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND2X16 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {350 570}
-  make input -name A -origin {350 490}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -W 3 -L 0.15u -origin {410 380}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make pmos -M 16 -W 3 -L 0.15u -origin {740 370}
-  make nmos -M 16 -L 0.15u -origin {740 530}
-  make nmos -L 0.15u -origin {410 490}
-  make nmos -L 0.15u -origin {410 570}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 680 430 680 370
-  make_wire 590 430 680 430
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 410 430 590 430
-  make_wire 680 430 680 530
-}
-
-proc ICON_AND2X16 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND2X16
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND2X2.sue b/lib/sue/AND2X2.sue
deleted file mode 100644
index ab27173..0000000
--- a/lib/sue/AND2X2.sue
+++ /dev/null
@@ -1,46 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND2X2 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {350 570}
-  make input -name A -origin {350 490}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -W 3 -L 0.15u -origin {410 380}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make pmos -M 2 -W 3 -L 0.15u -origin {740 370}
-  make nmos -M 2 -L 0.15u -origin {740 530}
-  make nmos -L 0.15u -origin {410 490}
-  make nmos -L 0.15u -origin {410 570}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 680 430 680 370
-  make_wire 680 430 680 530
-  make_wire 590 430 680 430
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 410 430 590 430
-}
-
-proc ICON_AND2X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND2X2
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND2X4.sue b/lib/sue/AND2X4.sue
deleted file mode 100644
index 14c9c7d..0000000
--- a/lib/sue/AND2X4.sue
+++ /dev/null
@@ -1,46 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND2X4 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {350 570}
-  make input -name A -origin {350 490}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -W 3 -L 0.15u -origin {410 380}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make pmos -M 4 -W 3 -L 0.15u -origin {740 370}
-  make nmos -M 4 -L 0.15u -origin {740 530}
-  make nmos -L 0.15u -origin {410 490}
-  make nmos -L 0.15u -origin {410 570}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 680 430 680 370
-  make_wire 590 430 680 430
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 410 430 590 430
-  make_wire 680 430 680 530
-}
-
-proc ICON_AND2X4 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND2X4
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND2X8.sue b/lib/sue/AND2X8.sue
deleted file mode 100644
index e4421b0..0000000
--- a/lib/sue/AND2X8.sue
+++ /dev/null
@@ -1,46 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND2X8 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {350 570}
-  make input -name A -origin {350 490}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -W 3 -L 0.15u -origin {410 380}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make pmos -M 8 -W 3 -L 0.15u -origin {740 370}
-  make nmos -M 8 -L 0.15u -origin {740 530}
-  make nmos -L 0.15u -origin {410 490}
-  make nmos -L 0.15u -origin {410 570}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 680 430 680 370
-  make_wire 590 430 680 430
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 410 430 590 430
-  make_wire 680 430 680 530
-}
-
-proc ICON_AND2X8 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND2X8
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND2XL.sue b/lib/sue/AND2XL.sue
deleted file mode 100644
index 228e8f9..0000000
--- a/lib/sue/AND2XL.sue
+++ /dev/null
@@ -1,46 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND2XL {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {350 570}
-  make input -name A -origin {350 490}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -W 1.65 -L 0.15u -origin {740 370}
-  make nmos -W 0.64 -L 0.15u -origin {740 530}
-  make pmos -W 1.65 -L 0.15u -origin {590 380}
-  make pmos -W 1.65 -L 0.15u -origin {410 380}
-  make nmos -W 0.64 -L 0.15u -origin {410 490}
-  make nmos -W 0.64 -L 0.15u -origin {410 570}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 680 430 680 370
-  make_wire 680 430 680 530
-  make_wire 590 430 680 430
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 410 430 590 430
-}
-
-proc ICON_AND2XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {10 20} -size small -label AND2XL
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND3X1.sue b/lib/sue/AND3X1.sue
deleted file mode 100644
index 8ba01a6..0000000
--- a/lib/sue/AND3X1.sue
+++ /dev/null
@@ -1,63 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND3X1 {} {
-  make global -orient RXY -name vdd -origin {250 350}
-  make global -orient RXY -name vdd -origin {430 350}
-  make global -name gnd -origin {250 700}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {190 580}
-  make input -name A -origin {190 500}
-  make input -name A -origin {190 390}
-  make input -name B -origin {370 390}
-  make input -name C -origin {550 390}
-  make global -orient RXY -name vdd -origin {610 350}
-  make input -name C -origin {190 660}
-  make pmos -W 3 -L 0.15u -origin {610 390}
-  make pmos -W 3 -L 0.15u -origin {430 390}
-  make pmos -W 3 -L 0.15u -origin {250 390}
-  make nmos -L 0.15u -origin {740 530}
-  make pmos -W 3 -L 0.15u -origin {740 370}
-  make nmos -L 0.15u -origin {360 500}
-  make nmos -L 0.15u -origin {360 580}
-  make nmos -L 0.15u -origin {360 660}
-  make nmos -L 0.15u -origin {250 500}
-  make nmos -L 0.15u -origin {250 580}
-  make nmos -L 0.15u -origin {250 660}
-  make_wire 250 430 250 440
-  make_wire 250 440 250 460
-  make_wire 430 430 430 440
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 680 440 680 530
-  make_wire 680 370 680 440
-  make_wire 610 430 610 440
-  make_wire 610 440 430 440
-  make_wire 610 440 680 440
-  make_wire 250 700 360 700
-  make_wire 300 660 190 660
-  make_wire 190 580 300 580
-  make_wire 300 500 190 500
-  make_wire 360 460 360 440
-  make_wire 360 440 250 440
-  make_wire 360 440 430 440
-}
-
-proc ICON_AND3X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND3X1
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND3X2.sue b/lib/sue/AND3X2.sue
deleted file mode 100644
index 1c6ab69..0000000
--- a/lib/sue/AND3X2.sue
+++ /dev/null
@@ -1,63 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND3X2 {} {
-  make global -orient RXY -name vdd -origin {250 350}
-  make global -orient RXY -name vdd -origin {430 350}
-  make global -name gnd -origin {250 700}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {190 580}
-  make input -name A -origin {190 500}
-  make input -name A -origin {190 390}
-  make input -name B -origin {370 390}
-  make input -name C -origin {550 390}
-  make global -orient RXY -name vdd -origin {610 350}
-  make input -name C -origin {190 660}
-  make pmos -W 3 -L 0.15u -origin {610 390}
-  make pmos -W 3 -L 0.15u -origin {430 390}
-  make pmos -W 3 -L 0.15u -origin {250 390}
-  make pmos -M 2 -W 3 -L 0.15u -origin {740 370}
-  make nmos -W 2 -L 0.15u -origin {740 530}
-  make nmos -L 0.15u -origin {360 500}
-  make nmos -L 0.15u -origin {360 580}
-  make nmos -L 0.15u -origin {360 660}
-  make nmos -L 0.15u -origin {250 500}
-  make nmos -L 0.15u -origin {250 580}
-  make nmos -L 0.15u -origin {250 660}
-  make_wire 250 430 250 440
-  make_wire 250 440 250 460
-  make_wire 430 430 430 440
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 680 440 680 530
-  make_wire 680 370 680 440
-  make_wire 610 430 610 440
-  make_wire 610 440 430 440
-  make_wire 610 440 680 440
-  make_wire 250 700 360 700
-  make_wire 300 660 190 660
-  make_wire 190 580 300 580
-  make_wire 300 500 190 500
-  make_wire 360 460 360 440
-  make_wire 360 440 250 440
-  make_wire 360 440 430 440
-}
-
-proc ICON_AND3X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND3X2
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND3X4.sue b/lib/sue/AND3X4.sue
deleted file mode 100644
index bce8412..0000000
--- a/lib/sue/AND3X4.sue
+++ /dev/null
@@ -1,63 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND3X4 {} {
-  make global -orient RXY -name vdd -origin {250 350}
-  make global -orient RXY -name vdd -origin {430 350}
-  make global -name gnd -origin {250 700}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {190 580}
-  make input -name A -origin {190 500}
-  make input -name A -origin {190 390}
-  make input -name B -origin {370 390}
-  make input -name C -origin {550 390}
-  make global -orient RXY -name vdd -origin {610 350}
-  make input -name C -origin {190 660}
-  make pmos -W 3 -L 0.15u -origin {610 390}
-  make pmos -W 3 -L 0.15u -origin {430 390}
-  make pmos -W 3 -L 0.15u -origin {250 390}
-  make pmos -M 4 -W 3 -L 0.15u -origin {740 370}
-  make nmos -L 0.15u -origin {360 500}
-  make nmos -L 0.15u -origin {360 580}
-  make nmos -L 0.15u -origin {360 660}
-  make nmos -L 0.15u -origin {250 500}
-  make nmos -L 0.15u -origin {250 580}
-  make nmos -L 0.15u -origin {250 660}
-  make nmos -M 2 -L 0.15u -origin {740 530}
-  make_wire 250 430 250 440
-  make_wire 250 440 250 460
-  make_wire 430 430 430 440
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 680 440 680 530
-  make_wire 680 370 680 440
-  make_wire 610 430 610 440
-  make_wire 610 440 430 440
-  make_wire 610 440 680 440
-  make_wire 250 700 360 700
-  make_wire 300 660 190 660
-  make_wire 190 580 300 580
-  make_wire 300 500 190 500
-  make_wire 360 460 360 440
-  make_wire 360 440 250 440
-  make_wire 360 440 430 440
-}
-
-proc ICON_AND3X4 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND3X4
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND3XL.sue b/lib/sue/AND3XL.sue
deleted file mode 100644
index ba380bc..0000000
--- a/lib/sue/AND3XL.sue
+++ /dev/null
@@ -1,54 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND3XL {} {
-  make global -orient RXY -name vdd -origin {250 350}
-  make global -orient RXY -name vdd -origin {430 350}
-  make global -name gnd -origin {250 700}
-  make global -name gnd -origin {740 570}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {190 580}
-  make input -name A -origin {190 500}
-  make input -name A -origin {190 390}
-  make input -name B -origin {370 390}
-  make input -name C -origin {550 390}
-  make global -orient RXY -name vdd -origin {610 350}
-  make input -name C -origin {190 660}
-  make pmos -W 1.65 -L 0.15u -origin {740 370}
-  make nmos -W 0.64 -L 0.15u -origin {740 530}
-  make pmos -W 1.65 -L 0.15u -origin {610 390}
-  make pmos -W 1.65 -L 0.15u -origin {430 390}
-  make pmos -W 1.65 -L 0.15u -origin {250 390}
-  make nmos -L 0.15u -origin {250 500}
-  make nmos -L 0.15u -origin {250 580}
-  make nmos -L 0.15u -origin {250 660}
-  make_wire 250 430 250 440
-  make_wire 250 440 250 460
-  make_wire 430 430 430 440
-  make_wire 770 440 740 440
-  make_wire 740 440 740 410
-  make_wire 740 440 740 490
-  make_wire 680 440 680 530
-  make_wire 680 370 680 440
-  make_wire 610 430 610 440
-  make_wire 610 440 430 440
-  make_wire 610 440 680 440
-  make_wire 430 440 250 440
-}
-
-proc ICON_AND3XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND3XL
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND4X1.sue b/lib/sue/AND4X1.sue
deleted file mode 100644
index bbb7338..0000000
--- a/lib/sue/AND4X1.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND4X1 {} {
-  make global -orient RXY -name vdd -origin {250 350}
-  make global -orient RXY -name vdd -origin {430 350}
-  make global -name gnd -origin {250 780}
-  make global -name gnd -origin {930 570}
-  make global -orient RXY -name vdd -origin {930 330}
-  make output -name Y -origin {960 440}
-  make input -name B -origin {190 580}
-  make input -name A -origin {190 500}
-  make input -name A -origin {190 390}
-  make input -name B -origin {370 390}
-  make input -name C -origin {550 390}
-  make global -orient RXY -name vdd -origin {610 350}
-  make input -name C -origin {190 660}
-  make input -name D -origin {190 740}
-  make input -name D -origin {730 390}
-  make global -orient RXY -name vdd -origin {790 350}
-  make pmos -W 3 -L 0.15u -origin {250 390}
-  make pmos -W 3 -L 0.15u -origin {430 390}
-  make pmos -W 3 -L 0.15u -origin {610 390}
-  make pmos -W 3 -L 0.15u -origin {790 390}
-  make pmos -W 3 -L 0.15u -origin {930 370}
-  make nmos -L 0.15u -origin {930 530}
-  make nmos -M 2 -L 0.15u -origin {250 500}
-  make nmos -M 2 -L 0.15u -origin {250 580}
-  make nmos -M 2 -L 0.15u -origin {250 660}
-  make nmos -M 2 -L 0.15u -origin {250 740}
-  make_wire 250 430 250 440
-  make_wire 250 440 250 460
-  make_wire 430 430 430 440
-  make_wire 960 440 930 440
-  make_wire 930 440 930 410
-  make_wire 930 440 930 490
-  make_wire 870 440 870 530
-  make_wire 870 370 870 440
-  make_wire 250 440 430 440
-  make_wire 610 430 610 440
-  make_wire 430 440 610 440
-  make_wire 790 430 790 440
-  make_wire 790 440 610 440
-  make_wire 790 440 870 440
-}
-
-proc ICON_AND4X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND4X1
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND4X2.sue b/lib/sue/AND4X2.sue
deleted file mode 100644
index 1f50e6f..0000000
--- a/lib/sue/AND4X2.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND4X2 {} {
-  make global -orient RXY -name vdd -origin {250 350}
-  make global -orient RXY -name vdd -origin {430 350}
-  make global -name gnd -origin {250 780}
-  make global -name gnd -origin {930 570}
-  make global -orient RXY -name vdd -origin {930 330}
-  make output -name Y -origin {960 440}
-  make input -name B -origin {190 580}
-  make input -name A -origin {190 500}
-  make input -name A -origin {190 390}
-  make input -name B -origin {370 390}
-  make input -name C -origin {550 390}
-  make global -orient RXY -name vdd -origin {610 350}
-  make input -name C -origin {190 660}
-  make input -name D -origin {190 740}
-  make input -name D -origin {730 390}
-  make global -orient RXY -name vdd -origin {790 350}
-  make pmos -W 3 -L 0.15u -origin {250 390}
-  make pmos -W 3 -L 0.15u -origin {430 390}
-  make pmos -W 3 -L 0.15u -origin {610 390}
-  make pmos -W 3 -L 0.15u -origin {790 390}
-  make pmos -M 2 -W 3 -L 0.15u -origin {930 370}
-  make nmos -M 2 -L 0.15u -origin {250 500}
-  make nmos -M 2 -L 0.15u -origin {250 580}
-  make nmos -M 2 -L 0.15u -origin {250 660}
-  make nmos -M 2 -L 0.15u -origin {250 740}
-  make nmos -L 0.15u -origin {930 530}
-  make_wire 250 430 250 440
-  make_wire 250 440 250 460
-  make_wire 430 430 430 440
-  make_wire 960 440 930 440
-  make_wire 930 440 930 410
-  make_wire 930 440 930 490
-  make_wire 870 440 870 530
-  make_wire 870 370 870 440
-  make_wire 250 440 430 440
-  make_wire 610 430 610 440
-  make_wire 430 440 610 440
-  make_wire 790 430 790 440
-  make_wire 790 440 610 440
-  make_wire 790 440 870 440
-}
-
-proc ICON_AND4X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND4X2
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND4X4.sue b/lib/sue/AND4X4.sue
deleted file mode 100644
index d8f752d..0000000
--- a/lib/sue/AND4X4.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND4X4 {} {
-  make global -orient RXY -name vdd -origin {250 350}
-  make global -orient RXY -name vdd -origin {430 350}
-  make global -name gnd -origin {250 780}
-  make global -name gnd -origin {930 570}
-  make global -orient RXY -name vdd -origin {930 330}
-  make output -name Y -origin {960 440}
-  make input -name B -origin {190 580}
-  make input -name A -origin {190 500}
-  make input -name A -origin {190 390}
-  make input -name B -origin {370 390}
-  make input -name C -origin {550 390}
-  make global -orient RXY -name vdd -origin {610 350}
-  make input -name C -origin {190 660}
-  make input -name D -origin {190 740}
-  make input -name D -origin {730 390}
-  make global -orient RXY -name vdd -origin {790 350}
-  make pmos -W 3 -L 0.15u -origin {250 390}
-  make pmos -W 3 -L 0.15u -origin {430 390}
-  make pmos -W 3 -L 0.15u -origin {610 390}
-  make pmos -W 3 -L 0.15u -origin {790 390}
-  make pmos -M 4 -W 3 -L 0.15u -origin {930 370}
-  make nmos -M 2 -L 0.15u -origin {250 500}
-  make nmos -M 2 -L 0.15u -origin {250 580}
-  make nmos -M 2 -L 0.15u -origin {250 660}
-  make nmos -M 2 -L 0.15u -origin {250 740}
-  make nmos -L 0.15u -origin {930 530}
-  make_wire 250 430 250 440
-  make_wire 250 440 250 460
-  make_wire 430 430 430 440
-  make_wire 960 440 930 440
-  make_wire 930 440 930 410
-  make_wire 930 440 930 490
-  make_wire 870 440 870 530
-  make_wire 870 370 870 440
-  make_wire 250 440 430 440
-  make_wire 610 430 610 440
-  make_wire 430 440 610 440
-  make_wire 790 430 790 440
-  make_wire 790 440 610 440
-  make_wire 790 440 870 440
-}
-
-proc ICON_AND4X4 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND4X4
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AND4XL.sue b/lib/sue/AND4XL.sue
deleted file mode 100644
index 6702dfe..0000000
--- a/lib/sue/AND4XL.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AND4XL {} {
-  make global -orient RXY -name vdd -origin {250 350}
-  make global -orient RXY -name vdd -origin {430 350}
-  make global -name gnd -origin {250 780}
-  make global -name gnd -origin {930 570}
-  make global -orient RXY -name vdd -origin {930 330}
-  make output -name Y -origin {960 440}
-  make input -name B -origin {190 580}
-  make input -name A -origin {190 500}
-  make input -name A -origin {190 390}
-  make input -name B -origin {370 390}
-  make input -name C -origin {550 390}
-  make global -orient RXY -name vdd -origin {610 350}
-  make input -name C -origin {190 660}
-  make input -name D -origin {190 740}
-  make input -name D -origin {730 390}
-  make global -orient RXY -name vdd -origin {790 350}
-  make pmos -W 1.65 -L 0.15u -origin {930 370}
-  make pmos -W 1.65 -L 0.15u -origin {250 390}
-  make pmos -W 1.65 -L 0.15u -origin {430 390}
-  make pmos -W 1.65 -L 0.15u -origin {610 390}
-  make pmos -W 1.65 -L 0.15u -origin {790 390}
-  make nmos -M 2 -W 0.84 -L 0.15u -origin {250 500}
-  make nmos -M 2 -W 0.84 -L 0.15u -origin {250 660}
-  make nmos -M 2 -W 0.84 -L 0.15u -origin {250 580}
-  make nmos -M 2 -W 0.84 -L 0.15u -origin {250 740}
-  make nmos -W 0.55 -L 0.15u -origin {930 530}
-  make_wire 250 430 250 440
-  make_wire 250 440 250 460
-  make_wire 430 430 430 440
-  make_wire 960 440 930 440
-  make_wire 930 440 930 410
-  make_wire 930 440 930 490
-  make_wire 870 440 870 530
-  make_wire 870 370 870 440
-  make_wire 250 440 430 440
-  make_wire 610 430 610 440
-  make_wire 430 440 610 440
-  make_wire 790 430 790 440
-  make_wire 790 440 610 440
-  make_wire 790 440 870 440
-}
-
-proc ICON_AND4XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label AND4XL
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/AOI21X1.sue b/lib/sue/AOI21X1.sue
deleted file mode 100644
index 498a597..0000000
--- a/lib/sue/AOI21X1.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AOI21X1 {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make name_net_s -name B0 -origin {-140 290}
-  make input -orient RX -name B0 -origin {-70 430}
-  make input -name A0 -origin {-400 490}
-  make input -name A1 -origin {-400 390}
-  make name_net_s -orient RXY -name A0 -origin {-410 190}
-  make name_net_s -name A1 -origin {-60 190}
-  make nmos -orient RX -L 0.150u -origin {-150 430}
-  make pmos -M 2 -W 3 -L 0.150u -origin {-320 190}
-  make pmos -orient RX -M 2 -W 3 -L 0.150u -origin {-150 190}
-  make pmos -orient RX -M 2 -W 3 -L 0.150u -origin {-230 290}
-  make nmos -M 2 -L 0.150u -origin {-320 390}
-  make nmos -M 2 -L 0.150u -origin {-320 490}
-  make_wire -250 150 -320 150
-  make_wire -320 530 -250 530
-  make_wire -250 150 -150 150
-  make_wire -250 120 -250 150
-  make_wire -90 190 -60 190
-  make_wire -380 190 -410 190
-  make_wire -380 390 -400 390
-  make_wire -380 490 -400 490
-  make_wire -320 430 -320 450
-  make_wire -150 240 -150 230
-  make_wire -320 230 -320 240
-  make_wire -230 330 -230 340
-  make_wire -230 340 -320 340
-  make_wire -230 240 -230 250
-  make_wire -230 240 -320 240
-  make_wire -230 240 -150 240
-  make_wire -170 290 -140 290
-  make_wire -150 340 -230 340
-  make_wire -150 340 -10 340
-  make_wire -250 530 -150 530
-  make_wire -150 340 -150 390
-  make_wire -150 470 -150 530
-  make_wire -250 530 -250 580
-  make_wire -90 430 -70 430
-  make_wire -320 340 -320 350
-}
-
-proc ICON_AOI21X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-60 20} -name B0
-  icon_term -type input -origin {-60 -20} -name A0
-  icon_term -type input -origin {-60 0} -name A1
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {-20 10} -size small -label AOI21X1
-  icon_property -origin {40 0} -size small -label Y
-  icon_line -60 -30 -60 30 60 30 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-}
-
diff --git a/lib/sue/AOI21X2.sue b/lib/sue/AOI21X2.sue
deleted file mode 100644
index 99c466c..0000000
--- a/lib/sue/AOI21X2.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AOI21X2 {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make name_net_s -name B0 -origin {-140 290}
-  make input -orient RX -name B0 -origin {-70 430}
-  make input -name A0 -origin {-400 490}
-  make input -name A1 -origin {-400 390}
-  make name_net_s -orient RXY -name A0 -origin {-410 190}
-  make name_net_s -name A1 -origin {-60 190}
-  make pmos -M 4 -W 3 -L 0.150u -origin {-320 190}
-  make pmos -orient RX -M 4 -W 3 -L 0.150u -origin {-150 190}
-  make pmos -orient RX -M 4 -W 3 -L 0.150u -origin {-230 290}
-  make nmos -M 4 -L 0.150u -origin {-320 390}
-  make nmos -M 4 -L 0.150u -origin {-320 490}
-  make nmos -orient RX -L 0.150u -origin {-150 430}
-  make_wire -250 150 -320 150
-  make_wire -320 530 -250 530
-  make_wire -250 150 -150 150
-  make_wire -250 120 -250 150
-  make_wire -90 190 -60 190
-  make_wire -380 190 -410 190
-  make_wire -380 490 -400 490
-  make_wire -150 240 -150 230
-  make_wire -320 230 -320 240
-  make_wire -230 330 -230 340
-  make_wire -230 240 -230 250
-  make_wire -230 240 -320 240
-  make_wire -230 240 -150 240
-  make_wire -170 290 -140 290
-  make_wire -150 340 -230 340
-  make_wire -150 340 -10 340
-  make_wire -250 530 -150 530
-  make_wire -150 340 -150 390
-  make_wire -150 470 -150 530
-  make_wire -250 530 -250 580
-  make_wire -90 430 -70 430
-  make_wire -320 430 -320 450
-  make_wire -320 340 -320 350
-  make_wire -320 340 -230 340
-  make_wire -400 390 -380 390
-}
-
-proc ICON_AOI21X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-60 20} -name B0
-  icon_term -type input -origin {-60 -20} -name A0
-  icon_term -type input -origin {-60 0} -name A1
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {-20 10} -size small -label AOI21X2
-  icon_property -origin {40 0} -size small -label Y
-  icon_line -60 -30 -60 30 60 30 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-}
-
diff --git a/lib/sue/AOI21XL.sue b/lib/sue/AOI21XL.sue
deleted file mode 100644
index 5a1b6ca..0000000
--- a/lib/sue/AOI21XL.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AOI21XL {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make name_net_s -name B0 -origin {-140 290}
-  make input -orient RX -name B0 -origin {-70 430}
-  make input -name A0 -origin {-400 490}
-  make input -name A1 -origin {-400 390}
-  make name_net_s -orient RXY -name A0 -origin {-410 190}
-  make name_net_s -name A1 -origin {-60 190}
-  make pmos -orient RX -W 3 -L 0.150u -origin {-150 190}
-  make pmos -W 3 -L 0.150u -origin {-320 190}
-  make pmos -orient RX -W 3 -L 0.150u -origin {-230 290}
-  make nmos -orient RX -W 0.64 -L 0.150u -origin {-150 430}
-  make nmos -L 0.150u -origin {-320 390}
-  make nmos -L 0.150u -origin {-320 490}
-  make_wire -250 150 -320 150
-  make_wire -320 530 -250 530
-  make_wire -250 150 -150 150
-  make_wire -250 120 -250 150
-  make_wire -90 190 -60 190
-  make_wire -380 190 -410 190
-  make_wire -380 390 -400 390
-  make_wire -380 490 -400 490
-  make_wire -320 430 -320 450
-  make_wire -150 240 -150 230
-  make_wire -320 230 -320 240
-  make_wire -230 330 -230 340
-  make_wire -230 340 -320 340
-  make_wire -230 240 -230 250
-  make_wire -230 240 -320 240
-  make_wire -230 240 -150 240
-  make_wire -170 290 -140 290
-  make_wire -150 340 -230 340
-  make_wire -150 340 -10 340
-  make_wire -250 530 -150 530
-  make_wire -150 340 -150 390
-  make_wire -150 470 -150 530
-  make_wire -250 530 -250 580
-  make_wire -90 430 -70 430
-  make_wire -320 340 -320 350
-}
-
-proc ICON_AOI21XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-60 20} -name B0
-  icon_term -type input -origin {-60 -20} -name A0
-  icon_term -type input -origin {-60 0} -name A1
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {-20 10} -size small -label AOI21XL
-  icon_property -origin {40 0} -size small -label Y
-  icon_line -60 -30 -60 30 60 30 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-}
-
diff --git a/lib/sue/AOI22X1.sue b/lib/sue/AOI22X1.sue
deleted file mode 100644
index 0895ab2..0000000
--- a/lib/sue/AOI22X1.sue
+++ /dev/null
@@ -1,70 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AOI22X1 {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make input -name A -origin {-400 490}
-  make input -name B -origin {-400 390}
-  make input -orient RX -name C -origin {-70 490}
-  make input -orient RX -name D -origin {-70 390}
-  make name_net_s -orient RXY -name A -origin {-410 190}
-  make name_net_s -orient RXY -name D -origin {-410 290}
-  make name_net_s -name B -origin {-60 190}
-  make name_net_s -name C -origin {-60 290}
-  make pmos -M 2 -W 3 -L 0.15u -origin {-320 190}
-  make pmos -M 2 -W 3 -L 0.15u -origin {-320 290}
-  make pmos -orient RX -M 2 -W 3 -L 0.15u -origin {-150 290}
-  make pmos -orient RX -M 2 -W 3 -L 0.15u -origin {-150 190}
-  make nmos -M 2 -L 0.15u -origin {-320 390}
-  make nmos -M 2 -L 0.15u -origin {-320 490}
-  make nmos -orient RX -M 2 -L 0.15u -origin {-150 390}
-  make nmos -orient RX -M 2 -L 0.15u -origin {-150 490}
-  make_wire -250 150 -320 150
-  make_wire -320 530 -250 530
-  make_wire -250 150 -150 150
-  make_wire -250 530 -150 530
-  make_wire -320 340 -150 340
-  make_wire -150 340 -10 340
-  make_wire -250 120 -250 150
-  make_wire -250 530 -250 580
-  make_wire -90 190 -60 190
-  make_wire -90 290 -60 290
-  make_wire -380 190 -410 190
-  make_wire -380 290 -410 290
-  make_wire -380 390 -400 390
-  make_wire -380 490 -400 490
-  make_wire -90 390 -70 390
-  make_wire -90 490 -70 490
-  make_wire -150 430 -150 450
-  make_wire -320 430 -320 450
-  make_wire -320 330 -320 340
-  make_wire -320 340 -320 350
-  make_wire -150 330 -150 340
-  make_wire -150 340 -150 350
-  make_wire -150 240 -320 240
-  make_wire -150 240 -150 250
-  make_wire -150 240 -150 230
-  make_wire -320 240 -320 250
-  make_wire -320 240 -320 230
-}
-
-proc ICON_AOI22X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 10} -name Y
-  icon_term -type input -origin {-60 -20} -name A
-  icon_term -type input -origin {-60 0} -name B
-  icon_term -type input -origin {-60 20} -name C
-  icon_term -type input -origin {-60 40} -name D
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {0 30} -size small -label AOI22X1
-  icon_property -origin {40 10} -size small -label Y
-  icon_line -60 -30 -60 50 60 50 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-  icon_property -origin {-50 40} -size small -label D
-}
-
diff --git a/lib/sue/AOI22XL.sue b/lib/sue/AOI22XL.sue
deleted file mode 100644
index 3281bd3..0000000
--- a/lib/sue/AOI22XL.sue
+++ /dev/null
@@ -1,70 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_AOI22XL {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make input -name A -origin {-400 490}
-  make input -name B -origin {-400 390}
-  make input -orient RX -name C -origin {-70 490}
-  make input -orient RX -name D -origin {-70 390}
-  make name_net_s -orient RXY -name A -origin {-410 190}
-  make name_net_s -orient RXY -name D -origin {-410 290}
-  make name_net_s -name B -origin {-60 190}
-  make name_net_s -name C -origin {-60 290}
-  make pmos -W 3 -L 0.15u -origin {-320 190}
-  make pmos -orient RX -W 3 -L 0.15u -origin {-150 190}
-  make pmos -orient RX -W 3 -L 0.15u -origin {-150 290}
-  make pmos -W 3 -L 0.15u -origin {-320 290}
-  make nmos -orient RX -L 0.15u -origin {-150 390}
-  make nmos -orient RX -L 0.15u -origin {-150 490}
-  make nmos -L 0.15u -origin {-320 390}
-  make nmos -L 0.15u -origin {-320 490}
-  make_wire -250 150 -320 150
-  make_wire -320 530 -250 530
-  make_wire -250 150 -150 150
-  make_wire -250 530 -150 530
-  make_wire -320 340 -150 340
-  make_wire -150 340 -10 340
-  make_wire -250 120 -250 150
-  make_wire -250 530 -250 580
-  make_wire -90 190 -60 190
-  make_wire -90 290 -60 290
-  make_wire -380 190 -410 190
-  make_wire -380 290 -410 290
-  make_wire -380 390 -400 390
-  make_wire -380 490 -400 490
-  make_wire -90 390 -70 390
-  make_wire -90 490 -70 490
-  make_wire -150 430 -150 450
-  make_wire -320 430 -320 450
-  make_wire -320 330 -320 340
-  make_wire -320 340 -320 350
-  make_wire -150 330 -150 340
-  make_wire -150 340 -150 350
-  make_wire -150 240 -320 240
-  make_wire -150 240 -150 250
-  make_wire -150 240 -150 230
-  make_wire -320 240 -320 250
-  make_wire -320 240 -320 230
-}
-
-proc ICON_AOI22XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 10} -name Y
-  icon_term -type input -origin {-60 -20} -name A
-  icon_term -type input -origin {-60 0} -name B
-  icon_term -type input -origin {-60 20} -name C
-  icon_term -type input -origin {-60 40} -name D
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {0 30} -size small -label AOI22XL
-  icon_property -origin {40 10} -size small -label Y
-  icon_line -60 -30 -60 50 60 50 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-  icon_property -origin {-50 40} -size small -label D
-}
-
diff --git a/lib/sue/BUFX1.sue b/lib/sue/BUFX1.sue
deleted file mode 100644
index 3a926bd..0000000
--- a/lib/sue/BUFX1.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_BUFX1 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make nmos -L 0.15u -origin {500 400}
-  make pmos -W 3 -L 0.15u -origin {500 260}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_BUFX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label BUFX1
-}
-
diff --git a/lib/sue/BUFX2.sue b/lib/sue/BUFX2.sue
deleted file mode 100644
index 84106e1..0000000
--- a/lib/sue/BUFX2.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_BUFX2 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 2 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 2 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_BUFX2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label BUFX2
-}
-
diff --git a/lib/sue/BUFX3.sue b/lib/sue/BUFX3.sue
deleted file mode 100644
index 9ad318f..0000000
--- a/lib/sue/BUFX3.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_BUFX3 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 3 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 3 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_BUFX3 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label BUFX3
-}
-
diff --git a/lib/sue/BUFX4.sue b/lib/sue/BUFX4.sue
deleted file mode 100644
index 8ef2ad9..0000000
--- a/lib/sue/BUFX4.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_BUFX4 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 4 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 4 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_BUFX4 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label BUFX4
-}
-
diff --git a/lib/sue/BUFX6.sue b/lib/sue/BUFX6.sue
deleted file mode 100644
index 73a0b01..0000000
--- a/lib/sue/BUFX6.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_BUFX6 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 6 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 6 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_BUFX6 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label BUFX6
-}
-
diff --git a/lib/sue/BUFX8.sue b/lib/sue/BUFX8.sue
deleted file mode 100644
index 682bb71..0000000
--- a/lib/sue/BUFX8.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_BUFX8 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 8 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 8 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_BUFX8 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label BUFX8
-}
-
diff --git a/lib/sue/BUFXL.sue b/lib/sue/BUFXL.sue
deleted file mode 100644
index a06a3e3..0000000
--- a/lib/sue/BUFXL.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_BUFXL {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 1.65 -L 0.15u -origin {390 270}
-  make pmos -W 1.65 -L 0.15u -origin {500 260}
-  make nmos -W 0.64 -L 0.15u -origin {390 400}
-  make nmos -W 0.64 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_BUFXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label BUFXL
-}
-
diff --git a/lib/sue/CLKBUFX1.sue b/lib/sue/CLKBUFX1.sue
deleted file mode 100644
index 410cdeb..0000000
--- a/lib/sue/CLKBUFX1.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_CLKBUFX1 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {500 260}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make nmos -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_CLKBUFX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label CLKBUFX1
-}
-
diff --git a/lib/sue/CLKINVX1.sue b/lib/sue/CLKINVX1.sue
deleted file mode 100644
index 65e35e8..0000000
--- a/lib/sue/CLKINVX1.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_CLKINVX1 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -W 3 -L 0.150u -origin {390 270}
-  make nmos -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_CLKINVX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label CLKINVX1
-}
-
diff --git a/lib/sue/DFFNX1.sue b/lib/sue/DFFNX1.sue
deleted file mode 100644
index 4277d83..0000000
--- a/lib/sue/DFFNX1.sue
+++ /dev/null
@@ -1,149 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFNX1 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {50 -10}
-  make name_net_s -name Clk_b -origin {240 -10}
-  make global -orient RXY -name vdd -origin {160 -110}
-  make global -name gnd -origin {160 90}
-  make global -name gnd -origin {510 480}
-  make global -orient RXY -name vdd -origin {510 320}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -name gnd -origin {1190 480}
-  make global -orient RXY -name vdd -origin {1190 320}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make pmos -W 3 -L 0.150u -origin {160 -60}
-  make name_net_s -orient RX -name Clk_b -origin {150 350}
-  make name_net_s -orient RX -name Clk -origin {150 450}
-  make name_net_s -name Clk -origin {520 -20}
-  make name_net_s -name Clk_b -origin {520 80}
-  make name_net_s -orient RX -name Clk -origin {790 350}
-  make name_net_s -orient RX -name Clk_b -origin {790 450}
-  make name_net_s -name Clk_b -origin {1200 -20}
-  make name_net_s -name Clk -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -W 3 -L 0.150u -origin {510 360}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {160 40}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {510 440}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make output -name Q -origin {1540 400}
-  make INVX1 -origin {1390 400}
-  make INVX1 -origin {1490 400}
-  make output -name QN -origin {1540 470}
-  make pmos -M 2 -W 3 -L 0.150u -origin {1190 360}
-  make nmos -M 2 -L 0.150u -origin {1190 440}
-  make_wire 240 -10 160 -10
-  make_wire 440 440 450 440
-  make_wire 440 360 450 360
-  make_wire 440 400 440 360
-  make_wire 440 400 440 440
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 160 -110 160 -100
-  make_wire 160 -20 160 -10
-  make_wire 90 -60 100 -60
-  make_wire 90 40 100 40
-  make_wire 90 -60 90 -10
-  make_wire 90 -10 90 40
-  make_wire 160 -10 160 0
-  make_wire 160 80 160 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 90 -10 50 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1120 440 1130 440
-  make_wire 1120 360 1130 360
-  make_wire 1120 400 1120 360
-  make_wire 1120 400 1120 440
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1010 30
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1010 30 1010 400
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 890 400 890 390
-  make_wire 890 400 890 410
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 250 390 250 400
-  make_wire 250 400 250 410
-  make_wire 340 30 340 400
-  make_wire 340 30 420 30
-  make_wire 510 400 630 400
-  make_wire 630 400 730 400
-  make_wire 250 400 340 400
-  make_wire 340 400 440 400
-  make_wire 1190 400 1310 400
-  make_wire 1310 400 1350 400
-  make_wire 890 400 1010 400
-  make_wire 1010 400 1120 400
-  make_wire 1530 400 1540 400
-  make_wire 1440 400 1430 400
-  make_wire 1440 400 1450 400
-  make_wire 1440 470 1540 470
-  make_wire 1440 400 1440 470
-  make_text -origin {220 -280} -text {Positve edge triggered D-FF
-Johannes Grad, IIT}
-}
-
-proc ICON_DFFNX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFNEGX1
-}
-
diff --git a/lib/sue/DFFNX2.sue b/lib/sue/DFFNX2.sue
deleted file mode 100644
index d33cc3a..0000000
--- a/lib/sue/DFFNX2.sue
+++ /dev/null
@@ -1,149 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFNX2 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {50 -10}
-  make name_net_s -name Clk_b -origin {240 -10}
-  make global -orient RXY -name vdd -origin {160 -110}
-  make global -name gnd -origin {160 90}
-  make global -name gnd -origin {510 480}
-  make global -orient RXY -name vdd -origin {510 320}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -name gnd -origin {1190 480}
-  make global -orient RXY -name vdd -origin {1190 320}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make pmos -W 3 -L 0.150u -origin {160 -60}
-  make pmos -W 3 -L 0.150u -origin {1190 360}
-  make name_net_s -orient RX -name Clk_b -origin {150 350}
-  make name_net_s -orient RX -name Clk -origin {150 450}
-  make name_net_s -name Clk -origin {520 -20}
-  make name_net_s -name Clk_b -origin {520 80}
-  make name_net_s -orient RX -name Clk -origin {790 350}
-  make name_net_s -orient RX -name Clk_b -origin {790 450}
-  make name_net_s -name Clk_b -origin {1200 -20}
-  make name_net_s -name Clk -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -W 3 -L 0.150u -origin {510 360}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {160 40}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {510 440}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -L 0.150u -origin {1190 440}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make output -name Q -origin {1540 400}
-  make INVX2 -origin {1390 400}
-  make INVX2 -origin {1490 400}
-  make output -name QN -origin {1540 470}
-  make_wire 240 -10 160 -10
-  make_wire 440 440 450 440
-  make_wire 440 360 450 360
-  make_wire 440 400 440 360
-  make_wire 440 400 440 440
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 160 -110 160 -100
-  make_wire 160 -20 160 -10
-  make_wire 90 -60 100 -60
-  make_wire 90 40 100 40
-  make_wire 90 -60 90 -10
-  make_wire 90 -10 90 40
-  make_wire 160 -10 160 0
-  make_wire 160 80 160 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 90 -10 50 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1120 440 1130 440
-  make_wire 1120 360 1130 360
-  make_wire 1120 400 1120 360
-  make_wire 1120 400 1120 440
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1010 30
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1010 30 1010 400
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 890 400 890 390
-  make_wire 890 400 890 410
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 250 390 250 400
-  make_wire 250 400 250 410
-  make_wire 340 30 340 400
-  make_wire 340 30 420 30
-  make_wire 510 400 630 400
-  make_wire 630 400 730 400
-  make_wire 250 400 340 400
-  make_wire 340 400 440 400
-  make_wire 1190 400 1310 400
-  make_wire 1310 400 1350 400
-  make_wire 890 400 1010 400
-  make_wire 1010 400 1120 400
-  make_wire 1530 400 1540 400
-  make_wire 1440 400 1430 400
-  make_wire 1440 400 1450 400
-  make_wire 1440 470 1540 470
-  make_wire 1440 400 1440 470
-  make_text -origin {220 -280} -text {Positve edge triggered D-FF
-Johannes Grad, IIT}
-}
-
-proc ICON_DFFNX2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFNEGX1
-}
-
diff --git a/lib/sue/DFFNXL.sue b/lib/sue/DFFNXL.sue
deleted file mode 100644
index 97a9bf9..0000000
--- a/lib/sue/DFFNXL.sue
+++ /dev/null
@@ -1,149 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFNXL {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {50 -10}
-  make name_net_s -name Clk_b -origin {240 -10}
-  make global -orient RXY -name vdd -origin {160 -110}
-  make global -name gnd -origin {160 90}
-  make global -name gnd -origin {510 480}
-  make global -orient RXY -name vdd -origin {510 320}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -name gnd -origin {1190 480}
-  make global -orient RXY -name vdd -origin {1190 320}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make pmos -W 3 -L 0.150u -origin {160 -60}
-  make pmos -W 3 -L 0.150u -origin {1190 360}
-  make name_net_s -orient RX -name Clk_b -origin {150 350}
-  make name_net_s -orient RX -name Clk -origin {150 450}
-  make name_net_s -name Clk -origin {520 -20}
-  make name_net_s -name Clk_b -origin {520 80}
-  make name_net_s -orient RX -name Clk -origin {790 350}
-  make name_net_s -orient RX -name Clk_b -origin {790 450}
-  make name_net_s -name Clk_b -origin {1200 -20}
-  make name_net_s -name Clk -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -W 3 -L 0.150u -origin {510 360}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {160 40}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {510 440}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -L 0.150u -origin {1190 440}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make output -name Q -origin {1540 400}
-  make INVXL -origin {1390 400}
-  make INVXL -origin {1490 400}
-  make output -name QN -origin {1540 470}
-  make_wire 240 -10 160 -10
-  make_wire 440 440 450 440
-  make_wire 440 360 450 360
-  make_wire 440 400 440 360
-  make_wire 440 400 440 440
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 160 -110 160 -100
-  make_wire 160 -20 160 -10
-  make_wire 90 -60 100 -60
-  make_wire 90 40 100 40
-  make_wire 90 -60 90 -10
-  make_wire 90 -10 90 40
-  make_wire 160 -10 160 0
-  make_wire 160 80 160 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 90 -10 50 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1120 440 1130 440
-  make_wire 1120 360 1130 360
-  make_wire 1120 400 1120 360
-  make_wire 1120 400 1120 440
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1010 30
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1010 30 1010 400
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 890 400 890 390
-  make_wire 890 400 890 410
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 250 390 250 400
-  make_wire 250 400 250 410
-  make_wire 340 30 340 400
-  make_wire 340 30 420 30
-  make_wire 510 400 630 400
-  make_wire 630 400 730 400
-  make_wire 250 400 340 400
-  make_wire 340 400 440 400
-  make_wire 1190 400 1310 400
-  make_wire 1310 400 1350 400
-  make_wire 890 400 1010 400
-  make_wire 1010 400 1120 400
-  make_wire 1530 400 1540 400
-  make_wire 1440 400 1430 400
-  make_wire 1440 400 1450 400
-  make_wire 1440 470 1540 470
-  make_wire 1440 400 1440 470
-  make_text -origin {220 -280} -text {Positve edge triggered D-FF
-Johannes Grad, IIT}
-}
-
-proc ICON_DFFNXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFNEGX1
-}
-
diff --git a/lib/sue/DFFRX1.sue b/lib/sue/DFFRX1.sue
deleted file mode 100644
index c237db5..0000000
--- a/lib/sue/DFFRX1.sue
+++ /dev/null
@@ -1,150 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFRX1 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name R -origin {-10 -230}
-  make INVX1 -origin {50 -230}
-  make name_net_s -name R_ -origin {120 -230}
-  make name_net_s -orient RX -name R_ -origin {420 440}
-  make name_net_s -orient RX -name R_ -origin {1090 430}
-  make output -name Q -origin {1540 390}
-  make output -name QN -origin {1540 460}
-  make NOR2XL -orient RY -origin {500 390}
-  make INVX1 -origin {1490 390}
-  make INVX1 -origin {1390 390}
-  make NOR2X1 -origin {1200 390}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire -10 -230 10 -230
-  make_wire 90 -230 120 -230
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 560 400 630 400
-  make_wire 250 400 330 400
-  make_wire 890 390 990 390
-  make_wire 1310 390 1350 390
-  make_wire 1310 40 1310 390
-  make_wire 1530 390 1540 390
-  make_wire 1440 390 1430 390
-  make_wire 1440 390 1450 390
-  make_wire 1440 460 1540 460
-  make_wire 1440 390 1440 460
-  make_wire 330 380 450 380
-  make_wire 330 380 330 30
-  make_wire 330 380 330 400
-  make_wire 420 400 450 400
-  make_wire 420 400 420 440
-  make_wire 990 350 1120 350
-  make_wire 1090 390 1120 390
-  make_wire 1090 390 1090 430
-  make_wire 1280 390 1310 390
-  make_wire 990 30 990 350
-  make_wire 990 390 990 350
-}
-
-proc ICON_DFFRX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_term -type input -origin {0 0} -name A
-  icon_term -type input -origin {0 0} -name B
-  icon_term -type output -origin {0 0} -name Y
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFRX2.sue b/lib/sue/DFFRX2.sue
deleted file mode 100644
index 0947962..0000000
--- a/lib/sue/DFFRX2.sue
+++ /dev/null
@@ -1,145 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFRX2 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name R -origin {-10 -230}
-  make INVX1 -origin {50 -230}
-  make name_net_s -name R_ -origin {120 -230}
-  make name_net_s -orient RX -name R_ -origin {420 440}
-  make name_net_s -orient RX -name R_ -origin {1090 430}
-  make NOR2XL -origin {500 410}
-  make NOR2XL -origin {1170 400}
-  make output -name Q -origin {1540 390}
-  make INVX2 -origin {1390 390}
-  make INVX2 -origin {1490 390}
-  make output -name QN -origin {1540 460}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire -10 -230 10 -230
-  make_wire 90 -230 120 -230
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 420 420 450 420
-  make_wire 420 420 420 440
-  make_wire 560 400 630 400
-  make_wire 1090 410 1120 410
-  make_wire 1090 410 1090 430
-  make_wire 250 400 330 400
-  make_wire 330 400 450 400
-  make_wire 330 400 330 30
-  make_wire 990 390 990 30
-  make_wire 890 390 990 390
-  make_wire 990 390 1120 390
-  make_wire 1310 390 1350 390
-  make_wire 1310 40 1310 390
-  make_wire 1230 390 1310 390
-  make_wire 1530 390 1540 390
-  make_wire 1440 390 1430 390
-  make_wire 1440 390 1450 390
-  make_wire 1440 460 1540 460
-  make_wire 1440 390 1440 460
-}
-
-proc ICON_DFFRX2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFRXL.sue b/lib/sue/DFFRXL.sue
deleted file mode 100644
index 4b7164f..0000000
--- a/lib/sue/DFFRXL.sue
+++ /dev/null
@@ -1,147 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFRXL {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name R -origin {-10 -230}
-  make INVX1 -origin {50 -230}
-  make name_net_s -name R_ -origin {120 -230}
-  make name_net_s -orient RX -name R_ -origin {420 440}
-  make name_net_s -orient RX -name R_ -origin {1090 430}
-  make output -name Q -origin {1540 390}
-  make INVXL -origin {1390 390}
-  make INVXL -origin {1490 390}
-  make output -name QN -origin {1540 460}
-  make NOR2XL -orient RY -origin {1170 380}
-  make NOR2XL -orient RY -origin {500 390}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire -10 -230 10 -230
-  make_wire 90 -230 120 -230
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 560 400 630 400
-  make_wire 250 400 330 400
-  make_wire 890 390 990 390
-  make_wire 1310 390 1350 390
-  make_wire 1310 40 1310 390
-  make_wire 1230 390 1310 390
-  make_wire 1530 390 1540 390
-  make_wire 1440 390 1430 390
-  make_wire 1440 390 1450 390
-  make_wire 1440 460 1540 460
-  make_wire 1440 390 1440 460
-  make_wire 990 370 1120 370
-  make_wire 990 370 990 30
-  make_wire 990 370 990 390
-  make_wire 1090 390 1120 390
-  make_wire 1090 390 1090 430
-  make_wire 330 380 450 380
-  make_wire 330 380 330 30
-  make_wire 330 380 330 400
-  make_wire 420 400 450 400
-  make_wire 420 400 420 440
-}
-
-proc ICON_DFFRXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFSRX1.sue b/lib/sue/DFFSRX1.sue
deleted file mode 100644
index 3ce9206..0000000
--- a/lib/sue/DFFSRX1.sue
+++ /dev/null
@@ -1,152 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFSRX1 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name R -origin {-10 -230}
-  make input -name S -origin {-10 -190}
-  make name_net_s -name S -origin {120 -190}
-  make INVX1 -origin {50 -230}
-  make name_net_s -name R_ -origin {120 -230}
-  make AOI21XL -origin {460 400}
-  make name_net_s -orient RX -name S -origin {370 400}
-  make name_net_s -orient RX -name R_ -origin {370 420}
-  make name_net_s -orient RX -name S -origin {1040 400}
-  make name_net_s -orient RX -name R_ -origin {1040 420}
-  make AOI21X1 -origin {1130 400}
-  make output -name Q -origin {1540 400}
-  make INVX1 -origin {1390 400}
-  make INVX1 -origin {1490 400}
-  make output -name QN -origin {1540 470}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 1190 400 1310 400
-  make_wire 1310 400 1350 400
-  make_wire -10 -230 10 -230
-  make_wire 90 -230 120 -230
-  make_wire -10 -190 120 -190
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 1070 400 1040 400
-  make_wire 1070 420 1040 420
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 990 30 990 380
-  make_wire 990 380 990 390
-  make_wire 890 390 990 390
-  make_wire 990 380 1070 380
-  make_wire 330 30 330 380
-  make_wire 520 400 630 400
-  make_wire 330 380 330 400
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 400 380 330 380
-  make_wire 250 400 330 400
-  make_wire 370 420 400 420
-  make_wire 370 400 400 400
-  make_wire 1530 400 1540 400
-  make_wire 1440 400 1430 400
-  make_wire 1440 400 1450 400
-  make_wire 1440 470 1540 470
-  make_wire 1440 400 1440 470
-}
-
-proc ICON_DFFSRX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFSRX2.sue b/lib/sue/DFFSRX2.sue
deleted file mode 100644
index ae4afe8..0000000
--- a/lib/sue/DFFSRX2.sue
+++ /dev/null
@@ -1,152 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFSRX2 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name R -origin {-10 -230}
-  make input -name S -origin {-10 -190}
-  make name_net_s -name S -origin {120 -190}
-  make INVX1 -origin {50 -230}
-  make name_net_s -name R_ -origin {120 -230}
-  make AOI21XL -origin {460 400}
-  make name_net_s -orient RX -name S -origin {370 400}
-  make name_net_s -orient RX -name R_ -origin {370 420}
-  make name_net_s -orient RX -name S -origin {1040 400}
-  make name_net_s -orient RX -name R_ -origin {1040 420}
-  make AOI21XL -origin {1130 400}
-  make output -name Q -origin {1540 400}
-  make INVX2 -origin {1390 400}
-  make INVX2 -origin {1490 400}
-  make output -name QN -origin {1540 470}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 1190 400 1310 400
-  make_wire 1310 400 1350 400
-  make_wire -10 -230 10 -230
-  make_wire 90 -230 120 -230
-  make_wire -10 -190 120 -190
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 1070 400 1040 400
-  make_wire 1070 420 1040 420
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 990 30 990 380
-  make_wire 990 380 990 390
-  make_wire 890 390 990 390
-  make_wire 990 380 1070 380
-  make_wire 330 30 330 380
-  make_wire 520 400 630 400
-  make_wire 330 380 330 400
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 400 380 330 380
-  make_wire 250 400 330 400
-  make_wire 370 420 400 420
-  make_wire 370 400 400 400
-  make_wire 1530 400 1540 400
-  make_wire 1440 400 1430 400
-  make_wire 1440 400 1450 400
-  make_wire 1440 470 1540 470
-  make_wire 1440 400 1440 470
-}
-
-proc ICON_DFFSRX2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFSRXL.sue b/lib/sue/DFFSRXL.sue
deleted file mode 100644
index da54ee9..0000000
--- a/lib/sue/DFFSRXL.sue
+++ /dev/null
@@ -1,152 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFSRXL {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name R -origin {-10 -230}
-  make input -name S -origin {-10 -190}
-  make name_net_s -name S -origin {120 -190}
-  make INVX1 -origin {50 -230}
-  make name_net_s -name R_ -origin {120 -230}
-  make AOI21XL -origin {460 400}
-  make name_net_s -orient RX -name S -origin {370 400}
-  make name_net_s -orient RX -name R_ -origin {370 420}
-  make name_net_s -orient RX -name S -origin {1040 400}
-  make name_net_s -orient RX -name R_ -origin {1040 420}
-  make AOI21XL -origin {1130 400}
-  make output -name Q -origin {1540 400}
-  make INVXL -origin {1390 400}
-  make INVXL -origin {1490 400}
-  make output -name QN -origin {1540 470}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 1190 400 1310 400
-  make_wire 1310 400 1350 400
-  make_wire -10 -230 10 -230
-  make_wire 90 -230 120 -230
-  make_wire -10 -190 120 -190
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 1070 400 1040 400
-  make_wire 1070 420 1040 420
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 990 30 990 380
-  make_wire 990 380 990 390
-  make_wire 890 390 990 390
-  make_wire 990 380 1070 380
-  make_wire 330 30 330 380
-  make_wire 520 400 630 400
-  make_wire 330 380 330 400
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 400 380 330 380
-  make_wire 250 400 330 400
-  make_wire 370 420 400 420
-  make_wire 370 400 400 400
-  make_wire 1530 400 1540 400
-  make_wire 1440 400 1430 400
-  make_wire 1440 400 1450 400
-  make_wire 1440 470 1540 470
-  make_wire 1440 400 1440 470
-}
-
-proc ICON_DFFSRXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFSX1.sue b/lib/sue/DFFSX1.sue
deleted file mode 100644
index 2da7919..0000000
--- a/lib/sue/DFFSX1.sue
+++ /dev/null
@@ -1,141 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFSX1 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name S -origin {-10 -190}
-  make name_net_s -name S -origin {120 -190}
-  make name_net_s -orient RX -name S -origin {380 420}
-  make name_net_s -orient RX -name S -origin {1050 410}
-  make NAND2XL -origin {460 410}
-  make NAND2X1 -origin {1130 400}
-  make output -name Q -origin {1510 390}
-  make INVX1 -origin {1360 390}
-  make INVX1 -origin {1460 390}
-  make output -name QN -origin {1510 460}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire -10 -190 120 -190
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 520 400 630 400
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 250 400 330 400
-  make_wire 380 420 410 420
-  make_wire 330 400 410 400
-  make_wire 330 30 330 400
-  make_wire 1050 410 1080 410
-  make_wire 990 30 990 390
-  make_wire 1310 40 1310 390
-  make_wire 1260 40 1310 40
-  make_wire 890 390 990 390
-  make_wire 990 390 1080 390
-  make_wire 1500 390 1510 390
-  make_wire 1410 390 1400 390
-  make_wire 1410 390 1420 390
-  make_wire 1410 460 1510 460
-  make_wire 1410 390 1410 460
-  make_wire 1190 390 1310 390
-  make_wire 1310 390 1320 390
-}
-
-proc ICON_DFFSX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFSX2.sue b/lib/sue/DFFSX2.sue
deleted file mode 100644
index 8f574f6..0000000
--- a/lib/sue/DFFSX2.sue
+++ /dev/null
@@ -1,141 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFSX2 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name S -origin {-10 -190}
-  make name_net_s -name S -origin {120 -190}
-  make name_net_s -orient RX -name S -origin {380 420}
-  make name_net_s -orient RX -name S -origin {1050 410}
-  make NAND2XL -origin {460 410}
-  make NAND2XL -origin {1130 400}
-  make output -name Q -origin {1510 390}
-  make INVX2 -origin {1360 390}
-  make INVX2 -origin {1460 390}
-  make output -name QN -origin {1510 460}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire -10 -190 120 -190
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 520 400 630 400
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 250 400 330 400
-  make_wire 380 420 410 420
-  make_wire 330 400 410 400
-  make_wire 330 30 330 400
-  make_wire 1050 410 1080 410
-  make_wire 990 30 990 390
-  make_wire 1310 40 1310 390
-  make_wire 1260 40 1310 40
-  make_wire 890 390 990 390
-  make_wire 990 390 1080 390
-  make_wire 1500 390 1510 390
-  make_wire 1410 390 1400 390
-  make_wire 1410 390 1420 390
-  make_wire 1410 460 1510 460
-  make_wire 1410 390 1410 460
-  make_wire 1190 390 1310 390
-  make_wire 1310 390 1320 390
-}
-
-proc ICON_DFFSX2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFSXL.sue b/lib/sue/DFFSXL.sue
deleted file mode 100644
index 0fe55d8..0000000
--- a/lib/sue/DFFSXL.sue
+++ /dev/null
@@ -1,141 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFSXL {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {-40 -10}
-  make name_net_s -name Clk_b -origin {150 -10}
-  make global -orient RXY -name vdd -origin {70 -110}
-  make global -name gnd -origin {70 90}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {70 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {70 40}
-  make input -name S -origin {-10 -190}
-  make name_net_s -name S -origin {120 -190}
-  make name_net_s -orient RX -name S -origin {380 420}
-  make name_net_s -orient RX -name S -origin {1050 410}
-  make NAND2XL -origin {460 410}
-  make NAND2XL -origin {1130 400}
-  make output -name Q -origin {1510 390}
-  make INVXL -origin {1360 390}
-  make INVXL -origin {1460 390}
-  make output -name QN -origin {1510 460}
-  make_wire 150 -10 70 -10
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 70 -110 70 -100
-  make_wire 70 -20 70 -10
-  make_wire 0 -60 10 -60
-  make_wire 0 40 10 40
-  make_wire 0 -60 0 -10
-  make_wire 0 -10 0 40
-  make_wire 70 -10 70 0
-  make_wire 70 80 70 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 0 -10 -40 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire -10 -190 120 -190
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 630 400 730 400
-  make_wire 890 390 890 410
-  make_wire 990 30 1100 30
-  make_wire 520 400 630 400
-  make_wire 250 400 250 410
-  make_wire 250 390 250 400
-  make_wire 330 30 420 30
-  make_wire 250 400 330 400
-  make_wire 380 420 410 420
-  make_wire 330 400 410 400
-  make_wire 330 30 330 400
-  make_wire 1050 410 1080 410
-  make_wire 990 30 990 390
-  make_wire 1310 40 1310 390
-  make_wire 1260 40 1310 40
-  make_wire 890 390 990 390
-  make_wire 990 390 1080 390
-  make_wire 1500 390 1510 390
-  make_wire 1410 390 1400 390
-  make_wire 1410 390 1420 390
-  make_wire 1410 460 1510 460
-  make_wire 1410 390 1410 460
-  make_wire 1190 390 1310 390
-  make_wire 1310 390 1320 390
-}
-
-proc ICON_DFFSXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {0 0} -name R
-  icon_term -type input -origin {0 0} -name S
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFX1.sue b/lib/sue/DFFX1.sue
deleted file mode 100644
index e0a26df..0000000
--- a/lib/sue/DFFX1.sue
+++ /dev/null
@@ -1,149 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFX1 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {50 -10}
-  make name_net_s -name Clk_b -origin {240 -10}
-  make global -orient RXY -name vdd -origin {160 -110}
-  make global -name gnd -origin {160 90}
-  make global -name gnd -origin {510 480}
-  make global -orient RXY -name vdd -origin {510 320}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make output -name Q -origin {1530 400}
-  make global -name gnd -origin {1190 480}
-  make global -orient RXY -name vdd -origin {1190 320}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {160 -60}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -W 3 -L 0.150u -origin {510 360}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {510 440}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {160 40}
-  make INVX1 -origin {1380 400}
-  make INVX1 -origin {1480 400}
-  make output -name QN -origin {1530 470}
-  make pmos -M 2 -W 3 -L 0.150u -origin {1190 360}
-  make nmos -M 2 -L 0.150u -origin {1190 440}
-  make_wire 240 -10 160 -10
-  make_wire 440 440 450 440
-  make_wire 440 360 450 360
-  make_wire 440 400 440 360
-  make_wire 440 400 440 440
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 160 -110 160 -100
-  make_wire 160 -20 160 -10
-  make_wire 90 -60 100 -60
-  make_wire 90 40 100 40
-  make_wire 90 -60 90 -10
-  make_wire 90 -10 90 40
-  make_wire 160 -10 160 0
-  make_wire 160 80 160 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 90 -10 50 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1120 440 1130 440
-  make_wire 1120 360 1130 360
-  make_wire 1120 400 1120 360
-  make_wire 1120 400 1120 440
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1010 30
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1010 30 1010 400
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 890 400 890 390
-  make_wire 890 400 890 410
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 250 390 250 400
-  make_wire 250 400 250 410
-  make_wire 340 30 340 400
-  make_wire 340 30 420 30
-  make_wire 510 400 630 400
-  make_wire 630 400 730 400
-  make_wire 250 400 340 400
-  make_wire 340 400 440 400
-  make_wire 890 400 1010 400
-  make_wire 1010 400 1120 400
-  make_wire 1190 400 1310 400
-  make_wire 1340 400 1310 400
-  make_wire 1520 400 1530 400
-  make_wire 1430 400 1420 400
-  make_wire 1430 400 1440 400
-  make_wire 1430 470 1530 470
-  make_wire 1430 400 1430 470
-  make_text -origin {220 -280} -text {Positve edge triggered D-FF
-Johannes Grad, IIT}
-}
-
-proc ICON_DFFX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFX2.sue b/lib/sue/DFFX2.sue
deleted file mode 100644
index 5760d36..0000000
--- a/lib/sue/DFFX2.sue
+++ /dev/null
@@ -1,149 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFX2 {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {50 -10}
-  make name_net_s -name Clk_b -origin {240 -10}
-  make global -orient RXY -name vdd -origin {160 -110}
-  make global -name gnd -origin {160 90}
-  make global -name gnd -origin {510 480}
-  make global -orient RXY -name vdd -origin {510 320}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make output -name Q -origin {1530 400}
-  make global -name gnd -origin {1190 480}
-  make global -orient RXY -name vdd -origin {1190 320}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {160 -60}
-  make pmos -W 3 -L 0.150u -origin {1190 360}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -W 3 -L 0.150u -origin {510 360}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {510 440}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -L 0.150u -origin {1190 440}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {160 40}
-  make INVX2 -origin {1380 400}
-  make INVX2 -origin {1480 400}
-  make output -name QN -origin {1530 470}
-  make_wire 240 -10 160 -10
-  make_wire 440 440 450 440
-  make_wire 440 360 450 360
-  make_wire 440 400 440 360
-  make_wire 440 400 440 440
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 160 -110 160 -100
-  make_wire 160 -20 160 -10
-  make_wire 90 -60 100 -60
-  make_wire 90 40 100 40
-  make_wire 90 -60 90 -10
-  make_wire 90 -10 90 40
-  make_wire 160 -10 160 0
-  make_wire 160 80 160 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 90 -10 50 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1120 440 1130 440
-  make_wire 1120 360 1130 360
-  make_wire 1120 400 1120 360
-  make_wire 1120 400 1120 440
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1010 30
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1010 30 1010 400
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 890 400 890 390
-  make_wire 890 400 890 410
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 250 390 250 400
-  make_wire 250 400 250 410
-  make_wire 340 30 340 400
-  make_wire 340 30 420 30
-  make_wire 510 400 630 400
-  make_wire 630 400 730 400
-  make_wire 250 400 340 400
-  make_wire 340 400 440 400
-  make_wire 890 400 1010 400
-  make_wire 1010 400 1120 400
-  make_wire 1190 400 1310 400
-  make_wire 1340 400 1310 400
-  make_wire 1520 400 1530 400
-  make_wire 1430 400 1420 400
-  make_wire 1430 400 1440 400
-  make_wire 1430 470 1530 470
-  make_wire 1430 400 1430 470
-  make_text -origin {220 -280} -text {Positve edge triggered D-FF
-Johannes Grad, IIT}
-}
-
-proc ICON_DFFX2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DFFXL.sue b/lib/sue/DFFXL.sue
deleted file mode 100644
index dd27989..0000000
--- a/lib/sue/DFFXL.sue
+++ /dev/null
@@ -1,149 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DFFXL {} {
-  make input -name D -origin {50 400}
-  make input -name Clk -origin {50 -10}
-  make name_net_s -name Clk_b -origin {240 -10}
-  make global -orient RXY -name vdd -origin {160 -110}
-  make global -name gnd -origin {160 90}
-  make global -name gnd -origin {510 480}
-  make global -orient RXY -name vdd -origin {510 320}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make output -name Q -origin {1530 400}
-  make global -name gnd -origin {1190 480}
-  make global -orient RXY -name vdd -origin {1190 320}
-  make global -orient RX -name gnd -origin {1100 200}
-  make global -orient RY -name vdd -origin {1100 -140}
-  make global -name gnd -origin {890 570}
-  make global -orient RXY -name vdd -origin {890 230}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make name_net_s -orient RX -name Clk -origin {150 350}
-  make name_net_s -orient RX -name Clk_b -origin {150 450}
-  make name_net_s -name Clk_b -origin {520 -20}
-  make name_net_s -name Clk -origin {520 80}
-  make name_net_s -orient RX -name Clk_b -origin {790 350}
-  make name_net_s -orient RX -name Clk -origin {790 450}
-  make name_net_s -name Clk -origin {1200 -20}
-  make name_net_s -name Clk_b -origin {1200 80}
-  make pmos -W 3 -L 0.150u -origin {160 -60}
-  make pmos -W 3 -L 0.150u -origin {1190 360}
-  make pmos -W 3 -L 0.150u -origin {250 270}
-  make pmos -W 3 -L 0.150u -origin {250 350}
-  make pmos -W 3 -L 0.150u -origin {510 360}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {420 -20}
-  make pmos -W 3 -L 0.150u -origin {890 270}
-  make pmos -W 3 -L 0.150u -origin {890 350}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -100}
-  make pmos -orient RX -W 3 -L 0.150u -origin {1100 -20}
-  make nmos -L 0.150u -origin {250 530}
-  make nmos -L 0.150u -origin {250 450}
-  make nmos -L 0.150u -origin {510 440}
-  make nmos -L 0.150u -origin {890 450}
-  make nmos -L 0.150u -origin {890 530}
-  make nmos -L 0.150u -origin {1190 440}
-  make nmos -orient RX -L 0.150u -origin {1100 160}
-  make nmos -orient RX -L 0.150u -origin {1100 80}
-  make nmos -orient RX -L 0.150u -origin {420 160}
-  make nmos -orient RX -L 0.150u -origin {420 80}
-  make nmos -L 0.150u -origin {160 40}
-  make INVXL -origin {1380 400}
-  make INVXL -origin {1480 400}
-  make output -name QN -origin {1530 470}
-  make_wire 240 -10 160 -10
-  make_wire 440 440 450 440
-  make_wire 440 360 450 360
-  make_wire 440 400 440 360
-  make_wire 440 400 440 440
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 160 -110 160 -100
-  make_wire 160 -20 160 -10
-  make_wire 90 -60 100 -60
-  make_wire 90 40 100 40
-  make_wire 90 -60 90 -10
-  make_wire 90 -10 90 40
-  make_wire 160 -10 160 0
-  make_wire 160 80 160 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 90 -10 50 -10
-  make_wire 520 80 480 80
-  make_wire 520 -20 480 -20
-  make_wire 1120 440 1130 440
-  make_wire 1120 360 1130 360
-  make_wire 1120 400 1120 360
-  make_wire 1120 400 1120 440
-  make_wire 1160 -100 1260 -100
-  make_wire 1160 160 1260 160
-  make_wire 1100 30 1010 30
-  make_wire 1100 30 1100 40
-  make_wire 1100 30 1100 20
-  make_wire 1010 30 1010 400
-  make_wire 1260 40 1310 40
-  make_wire 1260 40 1260 160
-  make_wire 1260 40 1260 -100
-  make_wire 1310 40 1310 400
-  make_wire 1200 80 1160 80
-  make_wire 1200 -20 1160 -20
-  make_wire 830 270 730 270
-  make_wire 830 530 730 530
-  make_wire 790 450 830 450
-  make_wire 790 350 830 350
-  make_wire 730 400 730 270
-  make_wire 730 400 730 530
-  make_wire 890 400 890 390
-  make_wire 890 400 890 410
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 150 450 190 450
-  make_wire 150 350 190 350
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 250 390 250 400
-  make_wire 250 400 250 410
-  make_wire 340 30 340 400
-  make_wire 340 30 420 30
-  make_wire 510 400 630 400
-  make_wire 630 400 730 400
-  make_wire 250 400 340 400
-  make_wire 340 400 440 400
-  make_wire 890 400 1010 400
-  make_wire 1010 400 1120 400
-  make_wire 1190 400 1310 400
-  make_wire 1340 400 1310 400
-  make_wire 1520 400 1530 400
-  make_wire 1430 400 1420 400
-  make_wire 1430 400 1440 400
-  make_wire 1430 470 1530 470
-  make_wire 1430 400 1430 470
-  make_text -origin {220 -280} -text {Positve edge triggered D-FF
-Johannes Grad, IIT}
-}
-
-proc ICON_DFFXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 30} -name Clk
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type output -origin {0 0} -name QN
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-30 50} -size small -label DFFPOSX1
-}
-
diff --git a/lib/sue/DLY1.sue b/lib/sue/DLY1.sue
deleted file mode 100644
index 4982fe1..0000000
--- a/lib/sue/DLY1.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DLY1 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 2 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 2 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_DLY1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label DLY1
-}
-
diff --git a/lib/sue/DLY2.sue b/lib/sue/DLY2.sue
deleted file mode 100644
index d2f129b..0000000
--- a/lib/sue/DLY2.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DLY2 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 4 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 4 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_DLY2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label DLY2
-}
-
diff --git a/lib/sue/DLY3.sue b/lib/sue/DLY3.sue
deleted file mode 100644
index 2a8fc76..0000000
--- a/lib/sue/DLY3.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DLY3 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 6 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 6 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_DLY3 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label DLY3
-}
-
diff --git a/lib/sue/DLY4.sue b/lib/sue/DLY4.sue
deleted file mode 100644
index 1d175c7..0000000
--- a/lib/sue/DLY4.sue
+++ /dev/null
@@ -1,43 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_DLY4 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {530 330}
-  make input -name A -origin {310 330}
-  make global -orient RXY -name vdd -origin {500 200}
-  make global -name gnd -origin {500 470}
-  make pmos -W 3 -L 0.15u -origin {390 270}
-  make nmos -L 0.15u -origin {390 400}
-  make pmos -M 8 -W 3 -L 0.15u -origin {500 260}
-  make nmos -M 8 -L 0.15u -origin {500 400}
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 390 200 390 230
-  make_wire 500 330 500 360
-  make_wire 500 330 500 300
-  make_wire 390 330 440 330
-  make_wire 390 330 390 360
-  make_wire 390 330 390 310
-  make_wire 440 330 440 260
-  make_wire 440 330 440 400
-  make_wire 500 200 500 220
-  make_wire 390 440 390 470
-  make_wire 500 440 500 470
-  make_wire 310 330 330 330
-  make_wire 500 330 530 330
-}
-
-proc ICON_DLY4 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label DLY4
-}
-
diff --git a/lib/sue/INVTEST.sue b/lib/sue/INVTEST.sue
deleted file mode 100644
index 0d7ad5c..0000000
--- a/lib/sue/INVTEST.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVTEST {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -W 3 -L 0.150u -origin {390 270}
-  make nmos -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVTEST args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVTEST
-}
-
diff --git a/lib/sue/INVX1.sue b/lib/sue/INVX1.sue
deleted file mode 100644
index c1b883f..0000000
--- a/lib/sue/INVX1.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVX1 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -W 3 -L 0.150u -origin {390 270}
-  make nmos -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVX1
-}
-
diff --git a/lib/sue/INVX10.sue b/lib/sue/INVX10.sue
deleted file mode 100644
index c702a2f..0000000
--- a/lib/sue/INVX10.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVX10 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -M 10 -W 3 -L 0.150u -origin {390 270}
-  make nmos -M 10 -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVX10 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVX10
-}
-
diff --git a/lib/sue/INVX2.sue b/lib/sue/INVX2.sue
deleted file mode 100644
index 6352cce..0000000
--- a/lib/sue/INVX2.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVX2 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -M 2 -W 3 -L 0.150u -origin {390 270}
-  make nmos -M 2 -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVX2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVX2
-}
-
diff --git a/lib/sue/INVX3.sue b/lib/sue/INVX3.sue
deleted file mode 100644
index 1c9f03d..0000000
--- a/lib/sue/INVX3.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVX3 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -M 3 -W 3 -L 0.150u -origin {390 270}
-  make nmos -M 3 -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVX3 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVX3
-}
-
diff --git a/lib/sue/INVX4.sue b/lib/sue/INVX4.sue
deleted file mode 100644
index cf1c20f..0000000
--- a/lib/sue/INVX4.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVX4 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -M 4 -W 3 -L 0.150u -origin {390 270}
-  make nmos -M 4 -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVX4 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVX4
-}
-
diff --git a/lib/sue/INVX6.sue b/lib/sue/INVX6.sue
deleted file mode 100644
index c013a2e..0000000
--- a/lib/sue/INVX6.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVX6 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -M 6 -W 3 -L 0.150u -origin {390 270}
-  make nmos -M 6 -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVX6 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVX6
-}
-
diff --git a/lib/sue/INVX8.sue b/lib/sue/INVX8.sue
deleted file mode 100644
index ecac675..0000000
--- a/lib/sue/INVX8.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVX8 {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -M 8 -W 3 -L 0.150u -origin {390 270}
-  make nmos -M 8 -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVX8 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVX8
-}
-
diff --git a/lib/sue/INVXL.sue b/lib/sue/INVXL.sue
deleted file mode 100644
index 0a2d143..0000000
--- a/lib/sue/INVXL.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_INVXL {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -W 1.65 -L 0.150u -origin {390 270}
-  make nmos -W 0.64 -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_INVXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label INVXL
-}
-
diff --git a/lib/sue/LATCH.sue b/lib/sue/LATCH.sue
deleted file mode 100644
index 533fa56..0000000
--- a/lib/sue/LATCH.sue
+++ /dev/null
@@ -1,93 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_LATCH {} {
-  make input -name D -origin {50 400}
-  make global -orient RXY -name vdd -origin {160 -110}
-  make global -name gnd -origin {160 90}
-  make global -name gnd -origin {510 480}
-  make global -orient RXY -name vdd -origin {510 320}
-  make global -orient RX -name gnd -origin {420 200}
-  make global -orient RY -name vdd -origin {420 -140}
-  make output -name Q -origin {730 400}
-  make global -name gnd -origin {250 570}
-  make global -orient RXY -name vdd -origin {250 230}
-  make input -name clk -origin {50 -10}
-  make pmos -W 3 -L 0.15u -origin {160 -60}
-  make pmos -W 3 -L 0.15u -origin {510 360}
-  make nmos -L 0.15u -origin {160 40}
-  make nmos -L 0.15u -origin {510 440}
-  make pmos -W 3 -L 0.15u -origin {250 270}
-  make pmos -W 3 -L 0.15u -origin {250 350}
-  make nmos -L 0.15u -origin {250 450}
-  make nmos -L 0.15u -origin {250 530}
-  make nmos -orient RX -L 0.15u -origin {420 160}
-  make nmos -orient RX -L 0.15u -origin {420 80}
-  make pmos -orient RX -W 3 -L 0.15u -origin {420 -20}
-  make pmos -orient RX -W 3 -L 0.15u -origin {420 -100}
-  make_wire 240 -10 160 -10
-  make_wire 440 440 450 440
-  make_wire 440 360 450 360
-  make_wire 440 400 440 360
-  make_wire 440 400 440 440
-  make_wire 480 -100 580 -100
-  make_wire 480 160 580 160
-  make_wire 420 30 420 40
-  make_wire 420 30 420 20
-  make_wire 160 -110 160 -100
-  make_wire 160 -20 160 -10
-  make_wire 90 -60 100 -60
-  make_wire 90 40 100 40
-  make_wire 90 -60 90 -10
-  make_wire 90 -10 90 40
-  make_wire 160 -10 160 0
-  make_wire 160 80 160 90
-  make_wire 580 40 630 40
-  make_wire 580 40 580 160
-  make_wire 580 40 580 -100
-  make_wire 630 40 630 400
-  make_wire 90 -10 50 -10
-  make_wire 520 -20 480 -20
-  make_wire 190 270 90 270
-  make_wire 190 530 90 530
-  make_wire 90 400 90 270
-  make_wire 90 400 90 530
-  make_wire 50 400 90 400
-  make_wire 340 30 340 400
-  make_wire 340 30 420 30
-  make_wire 510 400 630 400
-  make_wire 630 400 730 400
-  make_wire 250 400 340 400
-  make_wire 340 400 440 400
-  make_wire 250 390 250 400
-  make_wire 250 400 250 410
-  make_wire 100 40 100 450
-  make_wire 100 450 190 450
-  make_wire 520 -20 520 -180
-  make_wire 520 -180 100 -180
-  make_wire 100 -180 100 -60
-  make_wire 240 180 140 180
-  make_wire 140 180 140 350
-  make_wire 140 350 190 350
-  make_wire 480 80 240 80
-  make_wire 240 80 240 -10
-  make_wire 240 80 240 180
-}
-
-proc ICON_LATCH args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name D
-  icon_term -type output -origin {60 10} -name Q
-  icon_term -type input -origin {-50 30} -name clk
-  icon_property -origin {20 130} -type user -name name
-  icon_property -origin {20 150} -type user -name M
-  icon_property -origin {20 170} -type user -name dpc
-  icon_line -30 -50 -30 60 40 60 40 -50 -30 -50 -30 -50
-  icon_line 40 10 60 10
-  icon_line -50 30 -30 30
-  icon_line -50 -20 -30 -20
-  icon_line -30 20 -20 30 -30 40 -30 40
-  icon_property -origin {-30 -20} -label D
-  icon_property -origin {20 10} -label Q
-  icon_property -origin {-10 50} -size small -label LATCH
-}
-
diff --git a/lib/sue/MX2XL.sue b/lib/sue/MX2XL.sue
deleted file mode 100644
index 48bb485..0000000
--- a/lib/sue/MX2XL.sue
+++ /dev/null
@@ -1,76 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_MX2XL {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make global -name gnd -origin {450 1000}
-  make global -orient RXY -name vdd -origin {450 650}
-  make name_net_s -name S -origin {340 870}
-  make name_net_s -name S_b -origin {340 770}
-  make input -name S -origin {610 560}
-  make name_net_s -name S_b -origin {720 570}
-  make name_net_s -name S -origin {340 340}
-  make name_net_s -name S_b -origin {340 440}
-  make input -name B -origin {240 370}
-  make input -name A -origin {240 800}
-  make pmos -W 3 -L 0.150u -origin {450 260}
-  make pmos -W 3 -L 0.150u -origin {450 340}
-  make pmos -W 3 -L 0.150u -origin {450 690}
-  make pmos -W 3 -L 0.150u -origin {450 770}
-  make nmos -L 0.150u -origin {450 870}
-  make nmos -L 0.150u -origin {450 960}
-  make nmos -L 0.150u -origin {450 530}
-  make nmos -L 0.150u -origin {450 440}
-  make pmos -W 3 -L 0.150u -origin {680 520}
-  make nmos -L 0.150u -origin {680 610}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 260 370 260 260
-  make_wire 260 370 260 530
-  make_wire 390 340 340 340
-  make_wire 390 440 340 440
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 240 370 260 370
-  make_wire 260 530 390 530
-  make_wire 260 260 390 260
-  make_wire 450 910 450 920
-  make_wire 450 820 450 810
-  make_wire 450 820 450 830
-  make_wire 260 800 260 960
-  make_wire 390 770 340 770
-  make_wire 390 870 340 870
-  make_wire 240 800 260 800
-  make_wire 260 960 390 960
-  make_wire 450 820 550 820
-  make_wire 550 820 550 390
-  make_wire 550 390 450 390
-  make_wire 550 390 590 390
-  make_wire 260 690 390 690
-  make_wire 260 690 260 800
-}
-
-proc ICON_MX2XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 30} -name S
-  icon_term -type input -origin {-50 10} -name B
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 40 50 40 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 30} -size small -label En
-  icon_property -origin {30 -10} -size small -label Yb
-  icon_property -origin {0 30} -size small -label MX2XL
-  icon_property -origin {-40 10} -size small -label B
-}
-
diff --git a/lib/sue/NAND2X1.sue b/lib/sue/NAND2X1.sue
deleted file mode 100644
index de50d0b..0000000
--- a/lib/sue/NAND2X1.sue
+++ /dev/null
@@ -1,39 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND2X1 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make output -name Y -origin {630 430}
-  make input -name B -origin {310 490}
-  make input -name A -origin {310 570}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -W 3 -L 0.15u -origin {410 380}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make nmos -L 0.15u -origin {410 490}
-  make nmos -L 0.15u -origin {410 570}
-  make_wire 590 420 590 430
-  make_wire 410 430 590 430
-  make_wire 590 430 630 430
-  make_wire 350 570 310 570
-  make_wire 350 490 310 490
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-}
-
-proc ICON_NAND2X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label NAND2X1
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/NAND2X2.sue b/lib/sue/NAND2X2.sue
deleted file mode 100644
index fb5fd4a..0000000
--- a/lib/sue/NAND2X2.sue
+++ /dev/null
@@ -1,39 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND2X2 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make output -name Y -origin {630 430}
-  make input -name B -origin {310 490}
-  make input -name A -origin {310 570}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -M 2 -W 3 -L 0.15u -origin {410 380}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 380}
-  make nmos -M 2 -W 2 -L 0.15u -origin {410 490}
-  make nmos -M 2 -W 2 -L 0.15u -origin {410 570}
-  make_wire 590 420 590 430
-  make_wire 410 430 590 430
-  make_wire 590 430 630 430
-  make_wire 350 570 310 570
-  make_wire 350 490 310 490
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-}
-
-proc ICON_NAND2X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label NAND2X2
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/NAND2XL.sue b/lib/sue/NAND2XL.sue
deleted file mode 100644
index 5e2affa..0000000
--- a/lib/sue/NAND2XL.sue
+++ /dev/null
@@ -1,39 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND2XL {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 610}
-  make output -name Y -origin {630 430}
-  make input -name B -origin {310 490}
-  make input -name A -origin {310 570}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make pmos -W 1.65 -L 0.15u -origin {410 380}
-  make pmos -W 1.65 -L 0.15u -origin {590 380}
-  make nmos -W 0.64 -L 0.15u -origin {410 490}
-  make nmos -W 0.64 -L 0.15u -origin {410 570}
-  make_wire 590 420 590 430
-  make_wire 410 430 590 430
-  make_wire 590 430 630 430
-  make_wire 350 570 310 570
-  make_wire 350 490 310 490
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-}
-
-proc ICON_NAND2XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {0 20} -size small -label NAND2XL
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/NAND3X1.sue b/lib/sue/NAND3X1.sue
deleted file mode 100644
index 53a64db..0000000
--- a/lib/sue/NAND3X1.sue
+++ /dev/null
@@ -1,46 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND3X1 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make output -name Y -origin {780 430}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make global -name gnd -origin {410 690}
-  make input -name B -origin {350 570}
-  make input -name A -origin {350 490}
-  make input -name C -origin {350 650}
-  make nmos -L 0.15u -origin {410 570}
-  make nmos -L 0.15u -origin {410 650}
-  make global -orient RXY -name vdd -origin {730 340}
-  make input -name C -origin {670 380}
-  make nmos -L 0.15u -origin {410 490}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make pmos -W 3 -L 0.15u -origin {730 380}
-  make pmos -W 3 -L 0.15u -origin {410 380}
-  make_wire 410 420 410 430
-  make_wire 590 420 590 430
-  make_wire 410 430 410 450
-  make_wire 730 420 730 430
-  make_wire 780 430 730 430
-  make_wire 730 430 590 430
-  make_wire 590 430 410 430
-}
-
-proc ICON_NAND3X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {0 30} -size small -label NAND3X1
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NAND3X2.sue b/lib/sue/NAND3X2.sue
deleted file mode 100644
index f89e29d..0000000
--- a/lib/sue/NAND3X2.sue
+++ /dev/null
@@ -1,46 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND3X2 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make output -name Y -origin {780 430}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make global -orient RXY -name vdd -origin {750 340}
-  make input -name C -origin {690 380}
-  make global -name gnd -origin {410 690}
-  make input -name B -origin {350 570}
-  make input -name A -origin {350 490}
-  make input -name C -origin {350 650}
-  make pmos -M 2 -W 3 -L 0.15u -origin {410 380}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 380}
-  make pmos -M 2 -W 3 -L 0.15u -origin {750 380}
-  make nmos -M 3 -W 2 -L 0.15u -origin {410 490}
-  make nmos -M 3 -W 2 -L 0.15u -origin {410 570}
-  make nmos -M 3 -W 2 -L 0.15u -origin {410 650}
-  make_wire 410 420 410 430
-  make_wire 590 420 590 430
-  make_wire 750 430 590 430
-  make_wire 750 430 780 430
-  make_wire 750 420 750 430
-  make_wire 410 430 410 450
-  make_wire 590 430 410 430
-}
-
-proc ICON_NAND3X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {0 30} -size small -label NAND3X2
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NAND3XL.sue b/lib/sue/NAND3XL.sue
deleted file mode 100644
index c4ed9e0..0000000
--- a/lib/sue/NAND3XL.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND3XL {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 720}
-  make output -name Y -origin {780 430}
-  make input -name B -origin {310 490}
-  make input -name A -origin {310 570}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make global -orient RXY -name vdd -origin {750 340}
-  make input -name C -origin {690 380}
-  make input -name C -origin {310 650}
-  make pmos -W 1.65 -L 0.15u -origin {410 380}
-  make pmos -W 1.65 -L 0.15u -origin {590 380}
-  make pmos -W 1.65 -L 0.15u -origin {750 380}
-  make nmos -W 0.64 -L 0.15u -origin {410 490}
-  make nmos -W 0.64 -L 0.15u -origin {410 570}
-  make nmos -W 0.64 -L 0.15u -origin {410 650}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 350 570 310 570
-  make_wire 350 490 310 490
-  make_wire 410 430 590 430
-  make_wire 750 430 590 430
-  make_wire 750 430 780 430
-  make_wire 350 650 310 650
-  make_wire 410 690 410 720
-  make_wire 750 420 750 430
-}
-
-proc ICON_NAND3XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {0 30} -size small -label NAND3XL
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NAND4X1.sue b/lib/sue/NAND4X1.sue
deleted file mode 100644
index e8b8db5..0000000
--- a/lib/sue/NAND4X1.sue
+++ /dev/null
@@ -1,58 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND4X1 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 770}
-  make output -name Y -origin {890 430}
-  make input -name B -origin {310 490}
-  make input -name A -origin {310 570}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make global -orient RXY -name vdd -origin {750 330}
-  make input -name C -origin {690 370}
-  make input -name C -origin {310 650}
-  make input -name D -origin {310 730}
-  make global -orient RXY -name vdd -origin {870 330}
-  make input -name D -origin {810 370}
-  make pmos -W 3 -L 0.15u -origin {410 380}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make pmos -W 3 -L 0.15u -origin {750 370}
-  make pmos -W 3 -L 0.15u -origin {870 370}
-  make nmos -L 0.15u -origin {410 490}
-  make nmos -L 0.15u -origin {410 570}
-  make nmos -L 0.15u -origin {410 650}
-  make nmos -L 0.15u -origin {410 730}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 350 570 310 570
-  make_wire 350 490 310 490
-  make_wire 750 410 750 430
-  make_wire 350 730 310 730
-  make_wire 310 650 350 650
-  make_wire 590 430 750 430
-  make_wire 870 410 870 430
-  make_wire 870 430 750 430
-  make_wire 870 430 890 430
-  make_wire 590 430 410 430
-}
-
-proc ICON_NAND4X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {0 30} -size small -label NAND4X1
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NAND4X2.sue b/lib/sue/NAND4X2.sue
deleted file mode 100644
index d872fb9..0000000
--- a/lib/sue/NAND4X2.sue
+++ /dev/null
@@ -1,58 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND4X2 {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 770}
-  make output -name Y -origin {890 430}
-  make input -name B -origin {310 490}
-  make input -name A -origin {310 570}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make global -orient RXY -name vdd -origin {750 330}
-  make input -name C -origin {690 370}
-  make input -name C -origin {310 650}
-  make input -name D -origin {310 730}
-  make global -orient RXY -name vdd -origin {870 330}
-  make input -name D -origin {810 370}
-  make nmos -M 4 -W 2 -L 0.15u -origin {410 490}
-  make nmos -M 4 -W 2 -L 0.15u -origin {410 570}
-  make nmos -M 4 -W 2 -L 0.15u -origin {410 650}
-  make nmos -M 4 -W 2 -L 0.15u -origin {410 730}
-  make pmos -M 2 -W 3 -L 0.15u -origin {410 380}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 380}
-  make pmos -M 2 -W 3 -L 0.15u -origin {750 370}
-  make pmos -M 2 -W 3 -L 0.15u -origin {870 370}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 350 570 310 570
-  make_wire 350 490 310 490
-  make_wire 750 410 750 430
-  make_wire 350 730 310 730
-  make_wire 310 650 350 650
-  make_wire 590 430 750 430
-  make_wire 870 410 870 430
-  make_wire 870 430 750 430
-  make_wire 870 430 890 430
-  make_wire 590 430 410 430
-}
-
-proc ICON_NAND4X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {0 30} -size small -label NAND4X2
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NAND4XL.sue b/lib/sue/NAND4XL.sue
deleted file mode 100644
index 9639f66..0000000
--- a/lib/sue/NAND4XL.sue
+++ /dev/null
@@ -1,58 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NAND4XL {} {
-  make global -orient RXY -name vdd -origin {410 340}
-  make global -orient RXY -name vdd -origin {590 340}
-  make global -name gnd -origin {410 770}
-  make output -name Y -origin {890 430}
-  make input -name B -origin {310 490}
-  make input -name A -origin {310 570}
-  make input -name A -origin {350 380}
-  make input -name B -origin {530 380}
-  make global -orient RXY -name vdd -origin {750 330}
-  make input -name C -origin {690 370}
-  make input -name C -origin {310 650}
-  make input -name D -origin {310 730}
-  make global -orient RXY -name vdd -origin {870 330}
-  make input -name D -origin {810 370}
-  make pmos -W 1.65 -L 0.15u -origin {410 380}
-  make pmos -W 1.65 -L 0.15u -origin {590 380}
-  make pmos -W 1.65 -L 0.15u -origin {750 370}
-  make pmos -W 1.65 -L 0.15u -origin {870 370}
-  make nmos -W 0.64 -L 0.15u -origin {410 490}
-  make nmos -W 0.64 -L 0.15u -origin {410 570}
-  make nmos -W 0.64 -L 0.15u -origin {410 650}
-  make nmos -W 0.64 -L 0.15u -origin {410 730}
-  make_wire 410 420 410 430
-  make_wire 410 430 410 450
-  make_wire 590 420 590 430
-  make_wire 350 570 310 570
-  make_wire 350 490 310 490
-  make_wire 750 410 750 430
-  make_wire 350 730 310 730
-  make_wire 590 430 750 430
-  make_wire 870 410 870 430
-  make_wire 870 430 750 430
-  make_wire 870 430 890 430
-  make_wire 590 430 410 430
-  make_wire 310 650 350 650
-}
-
-proc ICON_NAND4XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {0 30} -size small -label NAND4XL
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NOR2X1.sue b/lib/sue/NOR2X1.sue
deleted file mode 100644
index 22cf5c1..0000000
--- a/lib/sue/NOR2X1.sue
+++ /dev/null
@@ -1,38 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NOR2X1 {} {
-  make input -name A -origin {390 580}
-  make input -name B -origin {210 580}
-  make global -name gnd -origin {450 620}
-  make global -name gnd -origin {270 620}
-  make global -orient RXY -name vdd -origin {450 310}
-  make input -name B -origin {390 350}
-  make input -name A -origin {390 460}
-  make output -name Y -origin {520 520}
-  make nmos -L 0.15u -origin {270 580}
-  make nmos -L 0.15u -origin {450 580}
-  make pmos -W 3 -L 0.15u -origin {450 460}
-  make pmos -W 3 -L 0.15u -origin {450 350}
-  make_wire 270 540 270 520
-  make_wire 450 540 450 520
-  make_wire 450 520 270 520
-  make_wire 450 520 520 520
-  make_wire 450 500 450 520
-  make_wire 450 420 450 390
-}
-
-proc ICON_NOR2X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-80 -40} -name B
-  icon_term -type input -origin {-80 0} -name A
-  icon_term -type output -origin {80 0} -name Y
-  icon_property -origin {0 0} -anchor center -label NOR2X1
-  icon_line -80 -60 80 -60 80 60 -80 60 -80 -60
-  icon_property -origin {-70 -40} -label B
-  icon_property -origin {-70 0} -label A
-  icon_property -origin {70 0} -anchor e -label Y
-  icon_property -origin {-80 280} -type user -name name
-  icon_property -origin {-80 300} -type user -name M
-  icon_property -origin {-80 320} -type user -name dpc
-}
-
diff --git a/lib/sue/NOR2X2.sue b/lib/sue/NOR2X2.sue
deleted file mode 100644
index ca6129a..0000000
--- a/lib/sue/NOR2X2.sue
+++ /dev/null
@@ -1,38 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NOR2X2 {} {
-  make input -name A -origin {390 580}
-  make input -name B -origin {210 580}
-  make global -name gnd -origin {450 620}
-  make global -name gnd -origin {270 620}
-  make global -orient RXY -name vdd -origin {450 310}
-  make input -name B -origin {390 350}
-  make input -name A -origin {390 460}
-  make output -name Y -origin {520 520}
-  make nmos -M 2 -L 0.15u -origin {270 580}
-  make nmos -M 2 -L 0.15u -origin {450 580}
-  make pmos -M 2 -W 3 -L 0.15u -origin {450 350}
-  make pmos -M 2 -W 3 -L 0.15u -origin {450 460}
-  make_wire 270 540 270 520
-  make_wire 450 540 450 520
-  make_wire 450 520 270 520
-  make_wire 450 520 520 520
-  make_wire 450 500 450 520
-  make_wire 450 420 450 390
-}
-
-proc ICON_NOR2X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-80 -40} -name B
-  icon_term -type input -origin {-80 0} -name A
-  icon_term -type output -origin {80 0} -name Y
-  icon_property -origin {0 0} -anchor center -label NOR2X2
-  icon_line -80 -60 80 -60 80 60 -80 60 -80 -60
-  icon_property -origin {-70 -40} -label B
-  icon_property -origin {-70 0} -label A
-  icon_property -origin {70 0} -anchor e -label Y
-  icon_property -origin {-80 280} -type user -name name
-  icon_property -origin {-80 300} -type user -name M
-  icon_property -origin {-80 320} -type user -name dpc
-}
-
diff --git a/lib/sue/NOR2XL.sue b/lib/sue/NOR2XL.sue
deleted file mode 100644
index 2826e0d..0000000
--- a/lib/sue/NOR2XL.sue
+++ /dev/null
@@ -1,39 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NOR2XL {} {
-  make global -orient RXY -name vdd -origin {590 240}
-  make global -name gnd -origin {440 540}
-  make output -name Y -origin {720 430}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make nmos -W 0.64 -L 0.150u -origin {440 500}
-  make nmos -W 0.64 -L 0.150u -origin {590 500}
-  make pmos -W 1.65 -L 0.15u -origin {590 290}
-  make pmos -W 1.65 -L 0.15u -origin {590 380}
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 240 590 250
-  make_wire 590 430 720 430
-}
-
-proc ICON_NOR2XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type input -origin {-50 10} -name B
-  icon_term -type output -origin {60 -10} -name Y
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -30 -50 30 60 30 60 -30 -50 -30
-  icon_property -origin {10 20} -size small -label NOR2XL
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label B
-  icon_property -origin {40 -10} -size small -label Y
-}
-
diff --git a/lib/sue/NOR3X1.sue b/lib/sue/NOR3X1.sue
deleted file mode 100644
index c832dde..0000000
--- a/lib/sue/NOR3X1.sue
+++ /dev/null
@@ -1,48 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NOR3X1 {} {
-  make global -orient RXY -name vdd -origin {590 160}
-  make global -name gnd -origin {440 540}
-  make output -name Y -origin {900 430}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make global -name gnd -origin {770 540}
-  make input -name C -origin {710 500}
-  make input -name C -origin {530 200}
-  make nmos -L 0.15u -origin {440 500}
-  make nmos -L 0.15u -origin {590 500}
-  make nmos -L 0.15u -origin {770 500}
-  make pmos -W 3 -L 0.15u -origin {590 200}
-  make pmos -W 3 -L 0.15u -origin {590 290}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 240 590 250
-  make_wire 770 430 770 460
-  make_wire 770 430 900 430
-  make_wire 770 430 590 430
-}
-
-proc ICON_NOR3X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {10 30} -size small -label NOR3X1
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NOR3X2.sue b/lib/sue/NOR3X2.sue
deleted file mode 100644
index b1df3a8..0000000
--- a/lib/sue/NOR3X2.sue
+++ /dev/null
@@ -1,48 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NOR3X2 {} {
-  make global -orient RXY -name vdd -origin {590 160}
-  make global -name gnd -origin {440 540}
-  make output -name Y -origin {900 430}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make global -name gnd -origin {770 540}
-  make input -name C -origin {710 500}
-  make input -name C -origin {530 200}
-  make nmos -M 2 -L 0.15u -origin {440 500}
-  make nmos -M 2 -L 0.15u -origin {590 500}
-  make nmos -M 2 -L 0.15u -origin {770 500}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 200}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 290}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 380}
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 240 590 250
-  make_wire 770 430 770 460
-  make_wire 770 430 900 430
-  make_wire 770 430 590 430
-}
-
-proc ICON_NOR3X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {10 30} -size small -label NOR3X2
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NOR3XL.sue b/lib/sue/NOR3XL.sue
deleted file mode 100644
index d3df1f9..0000000
--- a/lib/sue/NOR3XL.sue
+++ /dev/null
@@ -1,48 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NOR3XL {} {
-  make global -orient RXY -name vdd -origin {590 160}
-  make global -name gnd -origin {440 540}
-  make output -name Y -origin {900 430}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make global -name gnd -origin {770 540}
-  make input -name C -origin {710 500}
-  make input -name C -origin {530 200}
-  make nmos -W 0.64 -L 0.15u -origin {440 500}
-  make nmos -W 0.64 -L 0.15u -origin {590 500}
-  make nmos -W 0.64 -L 0.15u -origin {770 500}
-  make pmos -W 1.65 -L 0.15u -origin {590 200}
-  make pmos -W 1.65 -L 0.15u -origin {590 290}
-  make pmos -W 1.65 -L 0.15u -origin {590 380}
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 240 590 250
-  make_wire 770 430 770 460
-  make_wire 770 430 900 430
-  make_wire 770 430 590 430
-}
-
-proc ICON_NOR3XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-50 20} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 40 60 40 60 -40 -50 -40
-  icon_property -origin {10 30} -size small -label NOR3XL
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 0} -size small -label Y
-  icon_property -origin {-40 20} -size small -label C
-}
-
diff --git a/lib/sue/NOR4X1.sue b/lib/sue/NOR4X1.sue
deleted file mode 100644
index 612ba34..0000000
--- a/lib/sue/NOR4X1.sue
+++ /dev/null
@@ -1,58 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NOR4X1 {} {
-  make global -orient RXY -name vdd -origin {590 70}
-  make global -name gnd -origin {440 540}
-  make output -name Y -origin {900 430}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make global -name gnd -origin {750 540}
-  make input -name C -origin {690 500}
-  make input -name C -origin {530 200}
-  make input -name D -origin {530 110}
-  make global -name gnd -origin {870 540}
-  make input -name D -origin {810 500}
-  make nmos -L 0.15u -origin {440 500}
-  make nmos -L 0.15u -origin {590 500}
-  make nmos -L 0.15u -origin {750 500}
-  make nmos -L 0.15u -origin {870 500}
-  make pmos -W 3 -L 0.15u -origin {590 110}
-  make pmos -W 3 -L 0.15u -origin {590 200}
-  make pmos -W 3 -L 0.15u -origin {590 290}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 240 590 250
-  make_wire 750 430 750 460
-  make_wire 590 150 590 160
-  make_wire 870 430 870 460
-  make_wire 750 430 870 430
-  make_wire 870 430 900 430
-  make_wire 750 430 590 430
-}
-
-proc ICON_NOR4X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-80 -80} -name C
-  icon_term -type input -origin {-80 -40} -name B
-  icon_term -type input -origin {-80 0} -name A
-  icon_term -type input -origin {-80 40} -name D
-  icon_term -type output -origin {80 0} -name Y
-  icon_property -origin {0 0} -size large -anchor center -label NOR4X1
-  icon_line -80 -100 80 -100 80 100 -80 100 -80 -100
-  icon_property -origin {-70 -80} -label C
-  icon_property -origin {-70 -40} -label B
-  icon_property -origin {-70 0} -label A
-  icon_property -origin {-70 40} -label D
-  icon_property -origin {70 0} -anchor e -label Y
-  icon_property -origin {-80 320} -type user -name name
-  icon_property -origin {-80 340} -type user -name M
-  icon_property -origin {-80 360} -type user -name dpc
-}
-
diff --git a/lib/sue/NOR4XL.sue b/lib/sue/NOR4XL.sue
deleted file mode 100644
index 6e9053f..0000000
--- a/lib/sue/NOR4XL.sue
+++ /dev/null
@@ -1,58 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_NOR4XL {} {
-  make global -orient RXY -name vdd -origin {590 70}
-  make global -name gnd -origin {440 540}
-  make output -name Y -origin {900 430}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make global -name gnd -origin {750 540}
-  make input -name C -origin {690 500}
-  make input -name C -origin {530 200}
-  make input -name D -origin {530 110}
-  make global -name gnd -origin {870 540}
-  make input -name D -origin {810 500}
-  make nmos -W 0.64 -L 0.15u -origin {440 500}
-  make nmos -W 0.64 -L 0.15u -origin {590 500}
-  make nmos -W 0.64 -L 0.15u -origin {750 500}
-  make nmos -W 0.64 -L 0.15u -origin {870 500}
-  make pmos -W 1.65 -L 0.15u -origin {590 110}
-  make pmos -W 1.65 -L 0.15u -origin {590 200}
-  make pmos -W 1.65 -L 0.15u -origin {590 290}
-  make pmos -W 1.65 -L 0.15u -origin {590 380}
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 240 590 250
-  make_wire 750 430 750 460
-  make_wire 590 150 590 160
-  make_wire 870 430 870 460
-  make_wire 750 430 870 430
-  make_wire 870 430 900 430
-  make_wire 750 430 590 430
-}
-
-proc ICON_NOR4XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-80 -80} -name C
-  icon_term -type input -origin {-80 -40} -name B
-  icon_term -type input -origin {-80 0} -name A
-  icon_term -type input -origin {-80 40} -name D
-  icon_term -type output -origin {80 0} -name Y
-  icon_property -origin {0 0} -size large -anchor center -label NOR4XL
-  icon_line -80 -100 80 -100 80 100 -80 100 -80 -100
-  icon_property -origin {-70 -80} -label C
-  icon_property -origin {-70 -40} -label B
-  icon_property -origin {-70 0} -label A
-  icon_property -origin {-70 40} -label D
-  icon_property -origin {70 0} -anchor e -label Y
-  icon_property -origin {-80 320} -type user -name name
-  icon_property -origin {-80 340} -type user -name M
-  icon_property -origin {-80 360} -type user -name dpc
-}
-
diff --git a/lib/sue/OAI21X1.sue b/lib/sue/OAI21X1.sue
deleted file mode 100644
index 5e5437c..0000000
--- a/lib/sue/OAI21X1.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OAI21X1 {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make input -name A -origin {-400 490}
-  make name_net_s -orient RXY -name A -origin {-410 190}
-  make input -orient RX -name B -origin {-70 490}
-  make input -name C -origin {-400 390}
-  make name_net_s -orient RXY -name B -origin {-410 290}
-  make name_net_s -name C -origin {-50 250}
-  make pmos -M 2 -W 3 -L 0.150u -origin {-320 190}
-  make pmos -M 2 -W 3 -L 0.150u -origin {-320 290}
-  make pmos -orient RX -W 3 -L 0.150u -origin {-150 250}
-  make nmos -M 2 -W 0.84 -L 0.150u -origin {-240 390}
-  make nmos -M 2 -W 0.84 -L 0.150u -origin {-320 490}
-  make nmos -orient RX -M 2 -W 0.84 -L 0.150u -origin {-150 490}
-  make_wire -320 530 -250 530
-  make_wire -250 530 -150 530
-  make_wire -150 340 -10 340
-  make_wire -250 530 -250 580
-  make_wire -380 190 -410 190
-  make_wire -380 290 -410 290
-  make_wire -380 490 -400 490
-  make_wire -90 490 -70 490
-  make_wire -320 330 -320 340
-  make_wire -320 230 -320 250
-  make_wire -320 440 -320 450
-  make_wire -150 440 -150 450
-  make_wire -240 430 -240 440
-  make_wire -240 440 -150 440
-  make_wire -320 440 -240 440
-  make_wire -240 340 -240 350
-  make_wire -240 340 -150 340
-  make_wire -320 340 -240 340
-  make_wire -400 390 -300 390
-  make_wire -250 120 -250 150
-  make_wire -90 250 -50 250
-  make_wire -150 150 -150 210
-  make_wire -320 150 -250 150
-  make_wire -250 150 -150 150
-  make_wire -150 290 -150 340
-}
-
-proc ICON_OAI21X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-60 -20} -name A
-  icon_term -type input -origin {-60 0} -name B
-  icon_term -type input -origin {-60 20} -name C
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {-10 10} -size small -label OAI21
-  icon_property -origin {40 0} -size small -label Y
-  icon_line -60 -30 -60 30 60 30 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-}
-
diff --git a/lib/sue/OAI21XL.sue b/lib/sue/OAI21XL.sue
deleted file mode 100644
index cbd6a68..0000000
--- a/lib/sue/OAI21XL.sue
+++ /dev/null
@@ -1,62 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OAI21XL {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make input -name A -origin {-400 490}
-  make name_net_s -orient RXY -name A -origin {-410 190}
-  make input -orient RX -name B -origin {-70 490}
-  make input -name C -origin {-400 390}
-  make name_net_s -orient RXY -name B -origin {-410 290}
-  make name_net_s -name C -origin {-50 240}
-  make pmos -W 3 -L 0.150u -origin {-320 190}
-  make pmos -W 3 -L 0.150u -origin {-320 290}
-  make pmos -orient RX -W 1.65 -L 0.150u -origin {-150 240}
-  make nmos -L 0.150u -origin {-240 390}
-  make nmos -orient RX -L 0.150u -origin {-150 490}
-  make nmos -L 0.150u -origin {-320 490}
-  make_wire -320 530 -250 530
-  make_wire -250 530 -150 530
-  make_wire -150 340 -10 340
-  make_wire -250 530 -250 580
-  make_wire -380 190 -410 190
-  make_wire -380 290 -410 290
-  make_wire -380 490 -400 490
-  make_wire -90 490 -70 490
-  make_wire -320 330 -320 340
-  make_wire -320 230 -320 250
-  make_wire -320 440 -320 450
-  make_wire -150 440 -150 450
-  make_wire -240 430 -240 440
-  make_wire -240 440 -150 440
-  make_wire -320 440 -240 440
-  make_wire -240 340 -240 350
-  make_wire -240 340 -150 340
-  make_wire -320 340 -240 340
-  make_wire -400 390 -300 390
-  make_wire -90 240 -50 240
-  make_wire -250 120 -250 150
-  make_wire -150 150 -150 200
-  make_wire -320 150 -250 150
-  make_wire -250 150 -150 150
-  make_wire -150 280 -150 340
-}
-
-proc ICON_OAI21XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 0} -name Y
-  icon_term -type input -origin {-60 -20} -name A
-  icon_term -type input -origin {-60 0} -name B
-  icon_term -type input -origin {-60 20} -name C
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {-10 10} -size small -label OAI21
-  icon_property -origin {40 0} -size small -label Y
-  icon_line -60 -30 -60 30 60 30 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-}
-
diff --git a/lib/sue/OAI22X1.sue b/lib/sue/OAI22X1.sue
deleted file mode 100644
index 394f20d..0000000
--- a/lib/sue/OAI22X1.sue
+++ /dev/null
@@ -1,70 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OAI22X1 {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make input -name A -origin {-400 490}
-  make input -orient RX -name D -origin {-70 390}
-  make name_net_s -orient RXY -name A -origin {-410 190}
-  make input -orient RX -name B -origin {-70 490}
-  make input -name C -origin {-400 390}
-  make name_net_s -orient RXY -name B -origin {-410 290}
-  make name_net_s -name C -origin {-60 190}
-  make name_net_s -name D -origin {-60 290}
-  make pmos -M 2 -W 3 -L 0.15u -origin {-320 290}
-  make pmos -M 2 -W 3 -L 0.15u -origin {-320 190}
-  make pmos -orient RX -M 2 -W 3 -L 0.15u -origin {-150 190}
-  make pmos -orient RX -M 2 -W 3 -L 0.15u -origin {-150 290}
-  make nmos -M 2 -W 0.84 -L 0.15u -origin {-320 390}
-  make nmos -M 2 -W 0.84 -L 0.15u -origin {-320 490}
-  make nmos -orient RX -M 2 -W 0.84 -L 0.15u -origin {-150 490}
-  make nmos -orient RX -M 2 -W 0.84 -L 0.15u -origin {-150 390}
-  make_wire -250 150 -320 150
-  make_wire -320 530 -250 530
-  make_wire -250 150 -150 150
-  make_wire -250 530 -150 530
-  make_wire -320 340 -150 340
-  make_wire -150 340 -10 340
-  make_wire -250 120 -250 150
-  make_wire -250 530 -250 580
-  make_wire -90 190 -60 190
-  make_wire -90 290 -60 290
-  make_wire -380 190 -410 190
-  make_wire -380 290 -410 290
-  make_wire -380 390 -400 390
-  make_wire -380 490 -400 490
-  make_wire -90 390 -70 390
-  make_wire -90 490 -70 490
-  make_wire -320 330 -320 340
-  make_wire -320 340 -320 350
-  make_wire -150 330 -150 340
-  make_wire -150 340 -150 350
-  make_wire -150 230 -150 250
-  make_wire -320 230 -320 250
-  make_wire -320 440 -150 440
-  make_wire -320 440 -320 430
-  make_wire -320 440 -320 450
-  make_wire -150 440 -150 430
-  make_wire -150 440 -150 450
-}
-
-proc ICON_OAI22X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 20} -name Y
-  icon_term -type input -origin {-60 -20} -name A
-  icon_term -type input -origin {-60 0} -name B
-  icon_term -type input -origin {-60 20} -name C
-  icon_term -type input -origin {-60 40} -name D
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {-20 30} -size small -label OAI22
-  icon_property -origin {40 20} -size small -label Y
-  icon_line -60 -30 -60 50 60 50 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-  icon_property -origin {-50 40} -size small -label D
-}
-
diff --git a/lib/sue/OAI22XL.sue b/lib/sue/OAI22XL.sue
deleted file mode 100644
index a57c35a..0000000
--- a/lib/sue/OAI22XL.sue
+++ /dev/null
@@ -1,70 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OAI22XL {} {
-  make global -orient RXY -name vdd -origin {-250 120}
-  make global -name gnd -origin {-250 580}
-  make output -name Y -origin {-10 340}
-  make input -name A -origin {-400 490}
-  make input -orient RX -name D -origin {-70 390}
-  make name_net_s -orient RXY -name A -origin {-410 190}
-  make pmos -W 3 -L 0.15u -origin {-320 190}
-  make pmos -orient RX -W 3 -L 0.15u -origin {-150 190}
-  make pmos -W 3 -L 0.15u -origin {-320 290}
-  make pmos -orient RX -W 3 -L 0.15u -origin {-150 290}
-  make input -orient RX -name B -origin {-70 490}
-  make input -name C -origin {-400 390}
-  make name_net_s -orient RXY -name B -origin {-410 290}
-  make name_net_s -name C -origin {-60 190}
-  make name_net_s -name D -origin {-60 290}
-  make nmos -W 0.84 -L 0.15u -origin {-320 390}
-  make nmos -orient RX -W 0.84 -L 0.15u -origin {-150 390}
-  make nmos -W 0.84 -L 0.15u -origin {-320 490}
-  make nmos -orient RX -W 0.84 -L 0.15u -origin {-150 490}
-  make_wire -250 150 -320 150
-  make_wire -320 530 -250 530
-  make_wire -250 150 -150 150
-  make_wire -250 530 -150 530
-  make_wire -320 340 -150 340
-  make_wire -150 340 -10 340
-  make_wire -250 120 -250 150
-  make_wire -250 530 -250 580
-  make_wire -90 190 -60 190
-  make_wire -90 290 -60 290
-  make_wire -380 190 -410 190
-  make_wire -380 290 -410 290
-  make_wire -380 390 -400 390
-  make_wire -380 490 -400 490
-  make_wire -90 390 -70 390
-  make_wire -90 490 -70 490
-  make_wire -320 330 -320 340
-  make_wire -320 340 -320 350
-  make_wire -150 330 -150 340
-  make_wire -150 340 -150 350
-  make_wire -150 230 -150 250
-  make_wire -320 230 -320 250
-  make_wire -320 440 -150 440
-  make_wire -320 440 -320 430
-  make_wire -320 440 -320 450
-  make_wire -150 440 -150 430
-  make_wire -150 440 -150 450
-}
-
-proc ICON_OAI22XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {60 20} -name Y
-  icon_term -type input -origin {-60 -20} -name A
-  icon_term -type input -origin {-60 0} -name B
-  icon_term -type input -origin {-60 20} -name C
-  icon_term -type input -origin {-60 40} -name D
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {-20 30} -size small -label OAI22
-  icon_property -origin {40 20} -size small -label Y
-  icon_line -60 -30 -60 50 60 50 60 -30 -60 -30 -60 -30
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 0} -size small -label B
-  icon_property -origin {-50 20} -size small -label C
-  icon_property -origin {-50 40} -size small -label D
-}
-
diff --git a/lib/sue/OR2X1.sue b/lib/sue/OR2X1.sue
deleted file mode 100644
index 18ca5a9..0000000
--- a/lib/sue/OR2X1.sue
+++ /dev/null
@@ -1,47 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR2X1 {} {
-  make global -orient RXY -name vdd -origin {590 250}
-  make global -name gnd -origin {440 540}
-  make global -name gnd -origin {740 540}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make pmos -W 3 -L 0.15u -origin {740 370}
-  make nmos -L 0.15u -origin {740 500}
-  make nmos -L 0.15u -origin {590 500}
-  make nmos -L 0.15u -origin {440 500}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make pmos -W 3 -L 0.15u -origin {590 290}
-  make_wire 770 440 740 440
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 430 680 430
-  make_wire 680 370 680 430
-  make_wire 680 430 680 500
-  make_wire 740 410 740 440
-  make_wire 740 440 740 460
-}
-
-proc ICON_OR2X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR2X1
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR2X2.sue b/lib/sue/OR2X2.sue
deleted file mode 100644
index f97ca8d..0000000
--- a/lib/sue/OR2X2.sue
+++ /dev/null
@@ -1,47 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR2X2 {} {
-  make global -orient RXY -name vdd -origin {590 250}
-  make global -name gnd -origin {440 540}
-  make global -name gnd -origin {740 540}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 290}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 380}
-  make nmos -L 0.15u -origin {590 500}
-  make nmos -L 0.15u -origin {440 500}
-  make pmos -M 2 -W 3 -L 0.15u -origin {740 370}
-  make nmos -M 2 -L 0.15u -origin {740 500}
-  make_wire 770 440 740 440
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 430 680 430
-  make_wire 680 370 680 430
-  make_wire 680 430 680 500
-  make_wire 740 410 740 440
-  make_wire 740 440 740 460
-}
-
-proc ICON_OR2X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR2X2
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR2X4.sue b/lib/sue/OR2X4.sue
deleted file mode 100644
index c459a25..0000000
--- a/lib/sue/OR2X4.sue
+++ /dev/null
@@ -1,47 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR2X4 {} {
-  make global -orient RXY -name vdd -origin {590 250}
-  make global -name gnd -origin {440 540}
-  make global -name gnd -origin {740 540}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 290}
-  make pmos -M 2 -W 3 -L 0.15u -origin {590 380}
-  make nmos -L 0.15u -origin {590 500}
-  make nmos -L 0.15u -origin {440 500}
-  make pmos -M 4 -W 3 -L 0.15u -origin {740 370}
-  make nmos -M 4 -L 0.15u -origin {740 500}
-  make_wire 770 440 740 440
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 430 680 430
-  make_wire 680 370 680 430
-  make_wire 680 430 680 500
-  make_wire 740 410 740 440
-  make_wire 740 440 740 460
-}
-
-proc ICON_OR2X4 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR2X4
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR2XL.sue b/lib/sue/OR2XL.sue
deleted file mode 100644
index 07086f2..0000000
--- a/lib/sue/OR2XL.sue
+++ /dev/null
@@ -1,47 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR2XL {} {
-  make global -orient RXY -name vdd -origin {590 250}
-  make global -name gnd -origin {440 540}
-  make global -name gnd -origin {740 540}
-  make global -orient RXY -name vdd -origin {740 330}
-  make output -name Y -origin {770 440}
-  make input -name B -origin {380 500}
-  make input -name A -origin {530 500}
-  make input -name A -origin {530 380}
-  make input -name B -origin {530 290}
-  make global -name gnd -origin {590 540}
-  make pmos -W 3 -L 0.15u -origin {590 290}
-  make pmos -W 3 -L 0.15u -origin {590 380}
-  make pmos -W 1.65 -L 0.15u -origin {740 370}
-  make nmos -W 0.64 -L 0.15u -origin {740 500}
-  make nmos -W 0.64 -L 0.15u -origin {590 500}
-  make nmos -W 0.64 -L 0.15u -origin {440 500}
-  make_wire 770 440 740 440
-  make_wire 590 330 590 340
-  make_wire 440 430 440 460
-  make_wire 590 420 590 430
-  make_wire 590 430 590 460
-  make_wire 440 430 590 430
-  make_wire 590 430 680 430
-  make_wire 680 370 680 430
-  make_wire 680 430 680 500
-  make_wire 740 410 740 440
-  make_wire 740 440 740 460
-}
-
-proc ICON_OR2XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR2XL
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR3X1.sue b/lib/sue/OR3X1.sue
deleted file mode 100644
index 097a522..0000000
--- a/lib/sue/OR3X1.sue
+++ /dev/null
@@ -1,27 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR3X1 {} {
-  make NOR3X1 -origin {400 340}
-  make output -name Y -origin {540 340}
-  make input -name A -origin {350 320}
-  make input -name B -origin {350 340}
-  make input -name C -origin {350 360}
-  make INVX1 -origin {500 340}
-}
-
-proc ICON_OR3X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR3X1
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR3X2.sue b/lib/sue/OR3X2.sue
deleted file mode 100644
index 012a119..0000000
--- a/lib/sue/OR3X2.sue
+++ /dev/null
@@ -1,27 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR3X2 {} {
-  make NOR3X1 -origin {400 340}
-  make output -name Y -origin {540 340}
-  make input -name A -origin {350 320}
-  make input -name B -origin {350 340}
-  make input -name C -origin {350 360}
-  make INVX2 -origin {500 340}
-}
-
-proc ICON_OR3X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR3X2
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR3XL.sue b/lib/sue/OR3XL.sue
deleted file mode 100644
index 03829dc..0000000
--- a/lib/sue/OR3XL.sue
+++ /dev/null
@@ -1,27 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR3XL {} {
-  make INVXL -origin {500 340}
-  make output -name Y -origin {540 340}
-  make input -name A -origin {350 320}
-  make input -name B -origin {350 340}
-  make input -name C -origin {350 360}
-  make NOR3XL -origin {400 340}
-}
-
-proc ICON_OR3XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR3XL
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR4X1.sue b/lib/sue/OR4X1.sue
deleted file mode 100644
index eec1fb9..0000000
--- a/lib/sue/OR4X1.sue
+++ /dev/null
@@ -1,29 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR4X1 {} {
-  make output -name Y -origin {540 340}
-  make input -name A -origin {300 340}
-  make input -name B -origin {300 300}
-  make input -name C -origin {300 260}
-  make NOR4X1 -origin {380 340}
-  make input -name D -origin {300 380}
-  make INVX1 -origin {500 340}
-}
-
-proc ICON_OR4X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR4X1
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR4X2.sue b/lib/sue/OR4X2.sue
deleted file mode 100644
index 521e6fd..0000000
--- a/lib/sue/OR4X2.sue
+++ /dev/null
@@ -1,29 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR4X2 {} {
-  make output -name Y -origin {540 340}
-  make input -name A -origin {300 340}
-  make input -name B -origin {300 300}
-  make input -name C -origin {300 260}
-  make NOR4X1 -origin {380 340}
-  make input -name D -origin {300 380}
-  make INVX2 -origin {500 340}
-}
-
-proc ICON_OR4X2 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR4X2
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/OR4XL.sue b/lib/sue/OR4XL.sue
deleted file mode 100644
index 281ed6f..0000000
--- a/lib/sue/OR4XL.sue
+++ /dev/null
@@ -1,29 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_OR4XL {} {
-  make INVXL -origin {500 340}
-  make output -name Y -origin {540 340}
-  make input -name A -origin {300 340}
-  make input -name B -origin {300 300}
-  make input -name C -origin {300 260}
-  make input -name D -origin {300 380}
-  make NOR4XL -origin {380 340}
-}
-
-proc ICON_OR4XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -20} -name A
-  icon_term -type input -origin {-50 0} -name B
-  icon_term -type output -origin {60 -20} -name Y
-  icon_term -type input -origin {0 0} -name C
-  icon_term -type input -origin {0 0} -name D
-  icon_property -origin {0 130} -type user -name name
-  icon_property -origin {0 150} -type user -name M
-  icon_property -origin {0 170} -type user -name dpc
-  icon_line -50 -40 -50 20 60 20 60 -40 -50 -40
-  icon_property -origin {20 10} -size small -label OR4XL
-  icon_property -origin {-40 -20} -size small -label A
-  icon_property -origin {-40 0} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-}
-
diff --git a/lib/sue/PADGND.sue b/lib/sue/PADGND.sue
deleted file mode 100755
index ab30322..0000000
--- a/lib/sue/PADGND.sue
+++ /dev/null
@@ -1,23 +0,0 @@
-# SUE version MMI_SUE4.2.10
-
-proc SCHEMATIC_PADGND {} {
-  make pmos -W 100 -origin {440 320}
-  make global -name Gnd -origin {440 420}
-  make global -orient RXY -name Vdd -origin {440 230}
-  make_wire 380 320 360 320
-  make_wire 360 320 360 260
-  make_wire 360 260 440 260
-  make_wire 440 260 440 230
-  make_wire 440 260 440 280
-  make_wire 440 420 440 360
-}
-
-proc ICON_PADGND args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_property -origin {-60 70} -type user -name name
-  icon_property -origin {-60 30} -type user -name M
-  icon_property -origin {-60 50} -type user -name dpc
-  icon_property -origin {10 -120} -label PadGnd
-  icon_line 0 -140 0 0 100 0 100 -140 0 -140 0 -140
-}
-
diff --git a/lib/sue/PADINC.sue b/lib/sue/PADINC.sue
deleted file mode 100755
index 5471c9c..0000000
--- a/lib/sue/PADINC.sue
+++ /dev/null
@@ -1,118 +0,0 @@
-# SUE version MMI_SUE4.2.10
-
-proc SCHEMATIC_PADINC {} {
-  make nmos -origin {430 490}
-  make pmos -origin {430 260}
-  make pmos -origin {240 240}
-  make pmos -origin {20 240}
-  make pmos -origin {20 370}
-  make nmos -origin {20 500}
-  make nmos -origin {240 510}
-  make nmos -origin {240 380}
-  make output -name DI -origin {860 350}
-  make name_net_s -name OE -origin {150 380}
-  make name_net_s -name OE -origin {150 240}
-  make name_net_s -name OEB -origin {150 510}
-  make name_net_s -name OEB -origin {-70 370}
-  make name_net_s -orient R90 -name OEB -origin {150 -60}
-  make global -orient RXY -name Vdd -origin {20 170}
-  make global -name Gnd -origin {20 620}
-  make name_net_s -name OE -origin {340 0}
-  make resistor -orient R90 -resistance 100 -origin {530 350}
-  make global -orient RXY -name Vdd -origin {430 170}
-  make global -name Gnd -origin {430 600}
-  make inout -name YPAD -origin {540 200}
-  make nmos -origin {120 50}
-  make pmos -origin {120 -50}
-  make global -orient RXY -name Vdd -origin {120 -90}
-  make global -name Gnd -origin {120 90}
-  make nmos -origin {250 50}
-  make pmos -origin {250 -50}
-  make global -orient RXY -name Vdd -origin {250 -90}
-  make global -name Gnd -origin {250 90}
-  make nmos -origin {680 400}
-  make pmos -origin {680 300}
-  make global -orient RXY -name Vdd -origin {680 260}
-  make global -name Gnd -origin {680 440}
-  make nmos -origin {820 400}
-  make pmos -origin {820 300}
-  make global -orient RXY -name Vdd -origin {820 260}
-  make global -name Gnd -origin {820 440}
-  make global -name Gnd -origin {-50 20}
-  make global -name Gnd -origin {-190 500}
-  make_wire 240 170 240 200
-  make_wire 20 170 20 200
-  make_wire 240 550 240 590
-  make_wire 20 300 20 280
-  make_wire 20 300 20 330
-  make_wire 240 280 240 300
-  make_wire 240 300 240 340
-  make_wire 20 300 240 300
-  make_wire 240 300 370 300
-  make_wire 370 300 370 260
-  make_wire 180 380 150 380
-  make_wire 180 510 150 510
-  make_wire 180 240 150 240
-  make_wire -40 370 -70 370
-  make_wire -190 240 -40 240
-  make_wire -40 500 -190 500
-  make_wire 820 350 860 350
-  make_wire 150 -60 150 0
-  make_wire 330 430 330 490
-  make_wire 330 490 370 490
-  make_wire 330 430 240 430
-  make_wire 240 430 240 420
-  make_wire 240 430 240 470
-  make_wire 240 430 20 430
-  make_wire 20 430 20 460
-  make_wire 20 430 20 410
-  make_wire 430 170 430 220
-  make_wire 240 170 20 170
-  make_wire 20 590 240 590
-  make_wire 20 540 20 590
-  make_wire 20 590 20 620
-  make_wire 430 530 430 600
-  make_wire 430 300 430 350
-  make_wire 430 350 430 450
-  make_wire 470 200 470 350
-  make_wire 470 200 540 200
-  make_wire 430 350 470 350
-  make_wire 470 350 490 350
-  make_wire 120 0 150 0
-  make_wire 120 0 120 -10
-  make_wire 120 0 120 10
-  make_wire 190 0 190 -50
-  make_wire 190 0 190 50
-  make_wire 190 0 150 0
-  make_wire 250 -10 250 0
-  make_wire 250 0 250 10
-  make_wire 620 350 620 300
-  make_wire 620 350 620 400
-  make_wire 680 350 680 340
-  make_wire 680 350 680 360
-  make_wire 760 350 760 300
-  make_wire 760 350 760 400
-  make_wire 820 350 820 340
-  make_wire 820 350 820 360
-  make_wire 680 350 760 350
-  make_wire 570 350 620 350
-  make_wire 250 0 340 0
-  make_wire -190 500 -190 240
-  make_wire -50 20 60 20
-  make_wire 60 20 60 50
-  make_wire 60 20 60 -50
-}
-
-proc ICON_PADINC args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {80 0} -orient R90 -name DI
-  icon_term -type inout -origin {50 -140} -name YPAD
-  icon_property -origin {-110 80} -type user -name name
-  icon_property -origin {-110 100} -type user -name M
-  icon_property -origin {-110 120} -type user -name dpc
-  icon_property -origin {10 -70} -label PADINC
-  icon_property -origin {80 -30} -rotate 1 -label DI
-  icon_line 0 -140 0 0 100 0 100 -140 0 -140 0 -140
-  icon_property -origin {50 -130} -rotate 1 -label PAD
-}
-
diff --git a/lib/sue/PADINOUT.sue b/lib/sue/PADINOUT.sue
deleted file mode 100755
index c5f2be5..0000000
--- a/lib/sue/PADINOUT.sue
+++ /dev/null
@@ -1,123 +0,0 @@
-# SUE version MMI_SUE4.2.10
-
-proc SCHEMATIC_PADINOUT {} {
-  make nmos -origin {430 490}
-  make pmos -origin {430 260}
-  make pmos -origin {240 240}
-  make pmos -origin {20 240}
-  make pmos -origin {20 370}
-  make nmos -origin {20 500}
-  make nmos -origin {240 510}
-  make nmos -origin {240 380}
-  make output -name DI -origin {860 350}
-  make name_net_s -name OE -origin {150 380}
-  make name_net_s -name OE -origin {150 240}
-  make name_net_s -name OEB -origin {150 510}
-  make name_net_s -name OEB -origin {-70 370}
-  make name_net_s -orient R90 -name OEB -origin {150 -60}
-  make global -orient RXY -name Vdd -origin {20 170}
-  make global -name Gnd -origin {20 620}
-  make name_net_s -name OE -origin {340 0}
-  make resistor -orient R90 -resistance 100 -origin {530 350}
-  make input -name DO -origin {-190 380}
-  make input -name EN -origin {-50 0}
-  make global -orient RXY -name Vdd -origin {430 170}
-  make global -name Gnd -origin {430 600}
-  make inout -name YPAD -origin {540 200}
-  make nmos -origin {120 50}
-  make pmos -origin {120 -50}
-  make global -orient RXY -name Vdd -origin {120 -90}
-  make global -name Gnd -origin {120 90}
-  make nmos -origin {250 50}
-  make pmos -origin {250 -50}
-  make global -orient RXY -name Vdd -origin {250 -90}
-  make global -name Gnd -origin {250 90}
-  make nmos -origin {680 400}
-  make pmos -origin {680 300}
-  make global -orient RXY -name Vdd -origin {680 260}
-  make global -name Gnd -origin {680 440}
-  make nmos -origin {820 400}
-  make pmos -origin {820 300}
-  make global -orient RXY -name Vdd -origin {820 260}
-  make global -name Gnd -origin {820 440}
-  make_wire 240 170 240 200
-  make_wire 20 170 20 200
-  make_wire 240 550 240 590
-  make_wire 20 300 20 280
-  make_wire 20 300 20 330
-  make_wire 240 280 240 300
-  make_wire 240 300 240 340
-  make_wire 20 300 240 300
-  make_wire 240 300 370 300
-  make_wire 370 300 370 260
-  make_wire 180 380 150 380
-  make_wire 180 510 150 510
-  make_wire 180 240 150 240
-  make_wire -40 370 -70 370
-  make_wire -190 240 -40 240
-  make_wire -40 500 -190 500
-  make_wire 820 350 860 350
-  make_wire 150 -60 150 0
-  make_wire 330 430 330 490
-  make_wire 330 490 370 490
-  make_wire 330 430 240 430
-  make_wire 240 430 240 420
-  make_wire 240 430 240 470
-  make_wire 240 430 20 430
-  make_wire 20 430 20 460
-  make_wire 20 430 20 410
-  make_wire 430 170 430 220
-  make_wire 240 170 20 170
-  make_wire 20 590 240 590
-  make_wire 20 540 20 590
-  make_wire 20 590 20 620
-  make_wire -190 380 -190 240
-  make_wire -190 380 -190 500
-  make_wire 430 530 430 600
-  make_wire 430 300 430 350
-  make_wire 430 350 430 450
-  make_wire 470 200 470 350
-  make_wire 470 200 540 200
-  make_wire 430 350 470 350
-  make_wire 470 350 490 350
-  make_wire -50 0 60 0
-  make_wire 60 0 60 -50
-  make_wire 60 0 60 50
-  make_wire 120 0 150 0
-  make_wire 120 0 120 -10
-  make_wire 120 0 120 10
-  make_wire 190 0 190 -50
-  make_wire 190 0 190 50
-  make_wire 190 0 150 0
-  make_wire 250 -10 250 0
-  make_wire 250 0 250 10
-  make_wire 620 350 620 300
-  make_wire 620 350 620 400
-  make_wire 680 350 680 340
-  make_wire 680 350 680 360
-  make_wire 760 350 760 300
-  make_wire 760 350 760 400
-  make_wire 820 350 820 340
-  make_wire 820 350 820 360
-  make_wire 680 350 760 350
-  make_wire 570 350 620 350
-  make_wire 250 0 340 0
-}
-
-proc ICON_PADINOUT args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type output -origin {80 0} -orient R90 -name DI
-  icon_term -type input -origin {40 0} -orient R270 -name DO
-  icon_term -type input -origin {20 0} -orient R270 -name EN
-  icon_term -type inout -origin {50 -140} -name YPAD
-  icon_property -origin {-110 80} -type user -name name
-  icon_property -origin {-110 100} -type user -name M
-  icon_property -origin {-110 120} -type user -name dpc
-  icon_property -origin {10 -70} -label PINOUT
-  icon_property -origin {80 -30} -rotate 1 -label DI
-  icon_property -origin {20 -10} -anchor e -rotate 1 -label EN
-  icon_property -origin {40 -10} -anchor e -rotate 1 -label DO
-  icon_line 0 -140 0 0 100 0 100 -140 0 -140 0 -140
-  icon_property -origin {50 -130} -rotate 1 -label PAD
-}
-
diff --git a/lib/sue/PADOUT.sue b/lib/sue/PADOUT.sue
deleted file mode 100755
index 54dcfc5..0000000
--- a/lib/sue/PADOUT.sue
+++ /dev/null
@@ -1,117 +0,0 @@
-# SUE version MMI_SUE4.2.10
-
-proc SCHEMATIC_PADOUT {} {
-  make nmos -origin {430 490}
-  make pmos -origin {430 260}
-  make pmos -origin {240 240}
-  make pmos -origin {20 240}
-  make pmos -origin {20 370}
-  make nmos -origin {20 500}
-  make nmos -origin {240 510}
-  make nmos -origin {240 380}
-  make name_net_s -name OE -origin {150 380}
-  make name_net_s -name OE -origin {150 240}
-  make name_net_s -name OEB -origin {150 510}
-  make name_net_s -name OEB -origin {-70 370}
-  make name_net_s -orient R90 -name OEB -origin {150 -60}
-  make global -orient RXY -name Vdd -origin {20 170}
-  make global -name Gnd -origin {20 620}
-  make name_net_s -name OE -origin {340 0}
-  make resistor -orient R90 -resistance 100 -origin {530 350}
-  make input -name DO -origin {-190 380}
-  make global -orient RXY -name Vdd -origin {430 170}
-  make global -name Gnd -origin {430 600}
-  make inout -name YPAD -origin {540 200}
-  make nmos -origin {120 50}
-  make pmos -origin {120 -50}
-  make global -orient RXY -name Vdd -origin {120 -90}
-  make global -name Gnd -origin {120 90}
-  make nmos -origin {250 50}
-  make pmos -origin {250 -50}
-  make global -orient RXY -name Vdd -origin {250 -90}
-  make global -name Gnd -origin {250 90}
-  make nmos -origin {680 400}
-  make pmos -origin {680 300}
-  make global -orient RXY -name Vdd -origin {680 260}
-  make global -name Gnd -origin {680 440}
-  make nmos -origin {820 400}
-  make pmos -origin {820 300}
-  make global -orient RXY -name Vdd -origin {820 260}
-  make global -name Gnd -origin {820 440}
-  make global -orient RXY -name Vdd -origin {-50 -30}
-  make_wire 240 170 240 200
-  make_wire 20 170 20 200
-  make_wire 240 550 240 590
-  make_wire 20 300 20 280
-  make_wire 20 300 20 330
-  make_wire 240 280 240 300
-  make_wire 240 300 240 340
-  make_wire 20 300 240 300
-  make_wire 240 300 370 300
-  make_wire 370 300 370 260
-  make_wire 180 380 150 380
-  make_wire 180 510 150 510
-  make_wire 180 240 150 240
-  make_wire -40 370 -70 370
-  make_wire -190 240 -40 240
-  make_wire -40 500 -190 500
-  make_wire 150 -60 150 0
-  make_wire 330 430 330 490
-  make_wire 330 490 370 490
-  make_wire 330 430 240 430
-  make_wire 240 430 240 420
-  make_wire 240 430 240 470
-  make_wire 240 430 20 430
-  make_wire 20 430 20 460
-  make_wire 20 430 20 410
-  make_wire 430 170 430 220
-  make_wire 240 170 20 170
-  make_wire 20 590 240 590
-  make_wire 20 540 20 590
-  make_wire 20 590 20 620
-  make_wire -190 380 -190 240
-  make_wire -190 380 -190 500
-  make_wire 430 530 430 600
-  make_wire 430 300 430 350
-  make_wire 430 350 430 450
-  make_wire 470 200 470 350
-  make_wire 470 200 540 200
-  make_wire 430 350 470 350
-  make_wire 470 350 490 350
-  make_wire 120 0 150 0
-  make_wire 120 0 120 -10
-  make_wire 120 0 120 10
-  make_wire 190 0 190 -50
-  make_wire 190 0 190 50
-  make_wire 190 0 150 0
-  make_wire 250 -10 250 0
-  make_wire 250 0 250 10
-  make_wire 620 350 620 300
-  make_wire 620 350 620 400
-  make_wire 680 350 680 340
-  make_wire 680 350 680 360
-  make_wire 760 350 760 300
-  make_wire 760 350 760 400
-  make_wire 680 350 760 350
-  make_wire 570 350 620 350
-  make_wire 250 0 340 0
-  make_wire 820 360 820 340
-  make_wire -50 0 60 0
-  make_wire -50 -30 -50 0
-  make_wire 60 0 60 -50
-  make_wire 60 0 60 50
-}
-
-proc ICON_PADOUT args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type inout -origin {50 -140} -name YPAD
-  icon_term -type input -origin {40 0} -orient R270 -name DO
-  icon_property -origin {-110 80} -type user -name name
-  icon_property -origin {-110 100} -type user -name M
-  icon_property -origin {-110 120} -type user -name dpc
-  icon_property -origin {10 -70} -label PADOUT
-  icon_property -origin {40 -10} -anchor e -rotate 1 -label DO
-  icon_line 0 -140 0 0 100 0 100 -140 0 -140 0 -140
-  icon_property -origin {50 -130} -rotate 1 -label PAD
-}
-
diff --git a/lib/sue/PADVDD.sue b/lib/sue/PADVDD.sue
deleted file mode 100755
index 02007b7..0000000
--- a/lib/sue/PADVDD.sue
+++ /dev/null
@@ -1,22 +0,0 @@
-# SUE version MMI_SUE4.2.10
-
-proc SCHEMATIC_PADVDD {} {
-  make nmos -W 100 -origin {430 350}
-  make global -orient RXY -name Vdd -origin {430 240}
-  make global -name Gnd -origin {430 430}
-  make_wire 430 400 370 400
-  make_wire 370 400 370 350
-  make_wire 430 400 430 390
-  make_wire 430 400 430 430
-  make_wire 430 310 430 240
-}
-
-proc ICON_PADVDD args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_property -origin {-50 20} -type user -name name
-  icon_property -origin {-50 40} -type user -name M
-  icon_property -origin {-50 60} -type user -name dpc
-  icon_property -origin {10 -120} -label PadVdd
-  icon_line 0 -140 0 0 100 0 100 -140 0 -140 0 -140
-}
-
diff --git a/lib/sue/TBUFIX1.sue b/lib/sue/TBUFIX1.sue
deleted file mode 100644
index f70a72b..0000000
--- a/lib/sue/TBUFIX1.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TBUFIX1 {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make input -name A -origin {240 370}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make pmos -W 3 -L 0.15u -origin {680 520}
-  make nmos -L 0.15u -origin {680 610}
-  make input -name OE -origin {610 560}
-  make name_net_s -name OE_ -origin {720 570}
-  make name_net_s -name OE_ -origin {330 260}
-  make name_net_s -name OE -origin {330 530}
-  make pmos -W 3 -L 0.15u -origin {450 260}
-  make pmos -W 3 -L 0.15u -origin {450 340}
-  make nmos -L 0.15u -origin {450 440}
-  make nmos -L 0.15u -origin {450 530}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 450 390 590 390
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 390 260 330 260
-  make_wire 390 530 330 530
-  make_wire 240 370 390 370
-  make_wire 390 340 390 370
-  make_wire 390 370 390 440
-}
-
-proc ICON_TBUFIX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 10} -name OE
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 20 50 20 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label En
-  icon_property -origin {30 -10} -size small -label Y
-  icon_property -origin {0 10} -size small -label TBUFIX1
-}
-
diff --git a/lib/sue/TBUFIXL.sue b/lib/sue/TBUFIXL.sue
deleted file mode 100644
index d4f796c..0000000
--- a/lib/sue/TBUFIXL.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TBUFIXL {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make input -name A -origin {240 370}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make input -name OE -origin {610 560}
-  make name_net_s -name OE -origin {330 530}
-  make name_net_s -name OE_ -origin {330 260}
-  make name_net_s -name OE_ -origin {720 570}
-  make pmos -W 1.65 -L 0.15u -origin {450 260}
-  make pmos -W 1.65 -L 0.15u -origin {450 340}
-  make nmos -W 0.64 -L 0.15u -origin {450 440}
-  make nmos -W 0.64 -L 0.15u -origin {450 530}
-  make pmos -W 1.65 -L 0.15u -origin {680 520}
-  make nmos -W 0.64 -L 0.15u -origin {680 610}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 450 390 590 390
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 390 260 330 260
-  make_wire 390 530 330 530
-  make_wire 240 370 390 370
-  make_wire 390 340 390 370
-  make_wire 390 370 390 440
-}
-
-proc ICON_TBUFIXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 10} -name OE
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 20 50 20 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label En
-  icon_property -origin {30 -10} -size small -label Y
-  icon_property -origin {0 10} -size small -label TBUFIXL
-}
-
diff --git a/lib/sue/TBUFX1.sue b/lib/sue/TBUFX1.sue
deleted file mode 100644
index ca96c75..0000000
--- a/lib/sue/TBUFX1.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TBUFX1 {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make input -name A -origin {240 370}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make pmos -W 3 -L 0.15u -origin {680 520}
-  make nmos -L 0.15u -origin {680 610}
-  make input -name OE -origin {610 560}
-  make name_net_s -name OE_ -origin {720 570}
-  make name_net_s -name OE_ -origin {330 260}
-  make name_net_s -name OE -origin {330 530}
-  make pmos -W 3 -L 0.15u -origin {450 260}
-  make pmos -W 3 -L 0.15u -origin {450 340}
-  make nmos -L 0.15u -origin {450 440}
-  make nmos -L 0.15u -origin {450 530}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 450 390 590 390
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 390 260 330 260
-  make_wire 390 530 330 530
-  make_wire 240 370 390 370
-  make_wire 390 340 390 370
-  make_wire 390 370 390 440
-}
-
-proc ICON_TBUFX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 10} -name OE
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 20 50 20 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label En
-  icon_property -origin {30 -10} -size small -label Y
-  icon_property -origin {0 10} -size small -label TBUFX1
-}
-
diff --git a/lib/sue/TBUFXL.sue b/lib/sue/TBUFXL.sue
deleted file mode 100644
index aeedb93..0000000
--- a/lib/sue/TBUFXL.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TBUFXL {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make input -name A -origin {240 370}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make input -name OE -origin {610 560}
-  make name_net_s -name OE -origin {330 530}
-  make name_net_s -name OE_ -origin {330 260}
-  make name_net_s -name OE_ -origin {720 570}
-  make pmos -W 1.65 -L 0.15u -origin {450 260}
-  make pmos -W 1.65 -L 0.15u -origin {450 340}
-  make nmos -W 0.64 -L 0.15u -origin {450 440}
-  make nmos -W 0.64 -L 0.15u -origin {450 530}
-  make pmos -W 1.65 -L 0.15u -origin {680 520}
-  make nmos -W 0.64 -L 0.15u -origin {680 610}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 450 390 590 390
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 390 260 330 260
-  make_wire 390 530 330 530
-  make_wire 240 370 390 370
-  make_wire 390 340 390 370
-  make_wire 390 370 390 440
-}
-
-proc ICON_TBUFXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 10} -name OE
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 20 50 20 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label En
-  icon_property -origin {30 -10} -size small -label Y
-  icon_property -origin {0 10} -size small -label TBUFXL
-}
-
diff --git a/lib/sue/TEST.sue b/lib/sue/TEST.sue
deleted file mode 100644
index 904e7a4..0000000
--- a/lib/sue/TEST.sue
+++ /dev/null
@@ -1,32 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TEST {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make input -name A -origin {280 330}
-  make pmos -W 3 -L 0.150u -origin {390 270}
-  make nmos -L 0.150u -origin {390 400}
-  make_wire 280 330 330 330
-  make_wire 330 270 330 330
-  make_wire 330 330 330 400
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 330 390 360
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-}
-
-proc ICON_TEST args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label TEST
-}
-
diff --git a/lib/sue/TIEHI.sue b/lib/sue/TIEHI.sue
deleted file mode 100644
index dbafceb..0000000
--- a/lib/sue/TIEHI.sue
+++ /dev/null
@@ -1,30 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TIEHI {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make pmos -W 3 -L 0.150u -origin {390 270}
-  make nmos -L 0.150u -origin {390 400}
-  make_wire 480 330 390 330
-  make_wire 390 330 390 310
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-  make_wire 390 360 330 360
-  make_wire 330 360 330 400
-  make_wire 330 360 330 270
-}
-
-proc ICON_TIEHI args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label TIEHI
-}
-
diff --git a/lib/sue/TIELO.sue b/lib/sue/TIELO.sue
deleted file mode 100644
index 8b4469c..0000000
--- a/lib/sue/TIELO.sue
+++ /dev/null
@@ -1,30 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TIELO {} {
-  make global -orient RXY -name vdd -origin {390 200}
-  make global -name gnd -origin {390 470}
-  make output -name Y -origin {480 330}
-  make pmos -W 3 -L 0.150u -origin {390 270}
-  make nmos -L 0.150u -origin {390 400}
-  make_wire 480 330 390 330
-  make_wire 390 200 390 230
-  make_wire 390 440 390 470
-  make_wire 390 360 390 330
-  make_wire 390 310 330 310
-  make_wire 330 310 330 400
-  make_wire 330 310 330 270
-}
-
-proc ICON_TIELO args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-40 0} -name A
-  icon_term -type output -origin {40 0} -name Y
-  icon_property -origin {10 150} -type user -name name
-  icon_property -origin {10 170} -type user -name M
-  icon_property -origin {10 190} -type user -name dpc
-  icon_line -40 -20 -40 30 40 30 40 -20 -40 -20 -40 -20
-  icon_property -origin {-30 0} -size small -label A
-  icon_property -origin {20 0} -size small -label Y
-  icon_property -origin {-20 20} -size small -label TIELO
-}
-
diff --git a/lib/sue/TNBUFIX1.sue b/lib/sue/TNBUFIX1.sue
deleted file mode 100644
index dae00d8..0000000
--- a/lib/sue/TNBUFIX1.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TNBUFIX1 {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make input -name A -origin {240 370}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make pmos -W 3 -L 0.15u -origin {680 520}
-  make input -name OE -origin {610 560}
-  make name_net_s -name OE_ -origin {720 570}
-  make name_net_s -name OE_ -origin {330 530}
-  make name_net_s -name OE -origin {330 260}
-  make pmos -W 3 -L 0.15u -origin {450 260}
-  make pmos -W 3 -L 0.15u -origin {450 340}
-  make nmos -L 0.15u -origin {450 440}
-  make nmos -L 0.15u -origin {450 530}
-  make nmos -L 0.15u -origin {680 610}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 450 390 590 390
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 390 260 330 260
-  make_wire 390 530 330 530
-  make_wire 240 370 390 370
-  make_wire 390 340 390 370
-  make_wire 390 370 390 440
-}
-
-proc ICON_TNBUFIX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 10} -name OE
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 20 50 20 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label En
-  icon_property -origin {30 -10} -size small -label Y
-  icon_property -origin {0 10} -size small -label TNBUFIX1
-}
-
diff --git a/lib/sue/TNBUFIXL.sue b/lib/sue/TNBUFIXL.sue
deleted file mode 100644
index 8527359..0000000
--- a/lib/sue/TNBUFIXL.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TNBUFIXL {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make input -name A -origin {240 370}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make input -name OE -origin {610 560}
-  make name_net_s -name OE_ -origin {720 570}
-  make name_net_s -name OE_ -origin {330 530}
-  make name_net_s -name OE -origin {330 260}
-  make pmos -W 1.65 -L 0.15u -origin {450 260}
-  make pmos -W 1.65 -L 0.15u -origin {450 340}
-  make nmos -W 0.64 -L 0.15u -origin {450 440}
-  make nmos -W 0.64 -L 0.15u -origin {450 530}
-  make pmos -W 1.65 -L 0.15u -origin {680 520}
-  make nmos -W 0.64 -L 0.15u -origin {680 610}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 450 390 590 390
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 390 260 330 260
-  make_wire 390 530 330 530
-  make_wire 240 370 390 370
-  make_wire 390 340 390 370
-  make_wire 390 370 390 440
-}
-
-proc ICON_TNBUFIXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 10} -name OE
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 20 50 20 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label En
-  icon_property -origin {30 -10} -size small -label Y
-  icon_property -origin {0 10} -size small -label TNBUFIXL
-}
-
diff --git a/lib/sue/TNBUFX1.sue b/lib/sue/TNBUFX1.sue
deleted file mode 100644
index 336e53c..0000000
--- a/lib/sue/TNBUFX1.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TNBUFX1 {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make input -name A -origin {240 370}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make pmos -W 3 -L 0.15u -origin {680 520}
-  make input -name OE -origin {610 560}
-  make name_net_s -name OE_ -origin {720 570}
-  make name_net_s -name OE_ -origin {330 530}
-  make name_net_s -name OE -origin {330 260}
-  make pmos -W 3 -L 0.15u -origin {450 260}
-  make pmos -W 3 -L 0.15u -origin {450 340}
-  make nmos -L 0.15u -origin {450 440}
-  make nmos -L 0.15u -origin {450 530}
-  make nmos -L 0.15u -origin {680 610}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 450 390 590 390
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 390 260 330 260
-  make_wire 390 530 330 530
-  make_wire 240 370 390 370
-  make_wire 390 340 390 370
-  make_wire 390 370 390 440
-}
-
-proc ICON_TNBUFX1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 10} -name OE
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 20 50 20 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label En
-  icon_property -origin {30 -10} -size small -label Y
-  icon_property -origin {0 10} -size small -label TNBUFX1
-}
-
diff --git a/lib/sue/TNBUFXL.sue b/lib/sue/TNBUFXL.sue
deleted file mode 100644
index 1994655..0000000
--- a/lib/sue/TNBUFXL.sue
+++ /dev/null
@@ -1,50 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_TNBUFXL {} {
-  make global -name gnd -origin {450 570}
-  make global -orient RXY -name vdd -origin {450 220}
-  make input -name A -origin {240 370}
-  make output -name Y -origin {590 390}
-  make global -name gnd -origin {680 650}
-  make global -orient RXY -name vdd -origin {680 480}
-  make input -name OE -origin {610 560}
-  make name_net_s -name OE_ -origin {720 570}
-  make name_net_s -name OE_ -origin {330 530}
-  make name_net_s -name OE -origin {330 260}
-  make pmos -W 1.65 -L 0.15u -origin {450 260}
-  make pmos -W 1.65 -L 0.15u -origin {450 340}
-  make nmos -W 0.64 -L 0.15u -origin {450 440}
-  make nmos -W 0.64 -L 0.15u -origin {450 530}
-  make pmos -W 1.65 -L 0.15u -origin {680 520}
-  make nmos -W 0.64 -L 0.15u -origin {680 610}
-  make_wire 450 480 450 490
-  make_wire 450 390 450 380
-  make_wire 450 390 450 400
-  make_wire 450 390 590 390
-  make_wire 610 560 620 560
-  make_wire 620 560 620 520
-  make_wire 620 560 620 610
-  make_wire 680 570 720 570
-  make_wire 680 560 680 570
-  make_wire 390 260 330 260
-  make_wire 390 530 330 530
-  make_wire 240 370 390 370
-  make_wire 390 340 390 370
-  make_wire 390 370 390 440
-}
-
-proc ICON_TNBUFXL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-50 -10} -name A
-  icon_term -type output -origin {50 -10} -name Y
-  icon_term -type input -origin {-50 10} -name OE
-  icon_property -origin {0 140} -type user -name name
-  icon_property -origin {0 160} -type user -name M
-  icon_property -origin {0 180} -type user -name dpc
-  icon_line -50 -20 -50 20 50 20 50 -20 -50 -20 -50 -20
-  icon_property -origin {-40 -10} -size small -label A
-  icon_property -origin {-40 10} -size small -label En
-  icon_property -origin {30 -10} -size small -label Y
-  icon_property -origin {0 10} -size small -label TNBUFXL
-}
-
diff --git a/lib/sue/XNOR2X1.sue b/lib/sue/XNOR2X1.sue
deleted file mode 100644
index bd55de1..0000000
--- a/lib/sue/XNOR2X1.sue
+++ /dev/null
@@ -1,77 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_XNOR2X1 {} {
-  make global -orient RXY -name vdd -origin {-250 150}
-  make global -name gnd -origin {-250 520}
-  make input -orient RX -name a -origin {-80 290}
-  make input -orient RX -name b -origin {-90 450}
-  make input -orient RX -name b -origin {-90 210}
-  make input -name a -origin {-390 370}
-  make global -name gnd -origin {-580 470}
-  make global -orient RXY -name vdd -origin {-580 170}
-  make global -orient RX -name gnd -origin {80 470}
-  make global -orient RY -name vdd -origin {80 170}
-  make input -name a -origin {-650 320}
-  make input -orient RX -name b -origin {140 320}
-  make output -name Y -origin {-50 330}
-  make pmos -W 3 -L 0.150u -origin {-580 210}
-  make pmos -orient RX -W 3 -L 0.150u -origin {80 210}
-  make nmos -orient RX -L 0.150u -origin {80 430}
-  make nmos -L 0.150u -origin {-580 430}
-  make pmos -M 2 -W 3 -L 0.150u -origin {-320 210}
-  make pmos -orient RX -M 2 -W 3 -L 0.150u -origin {-150 210}
-  make pmos -orient RX -M 2 -W 3 -L 0.150u -origin {-150 290}
-  make pmos -M 2 -W 3 -L 0.150u -origin {-320 290}
-  make nmos -M 2 -L 0.150u -origin {-320 370}
-  make nmos -orient RX -M 2 -L 0.150u -origin {-150 370}
-  make nmos -orient RX -M 2 -L 0.150u -origin {-150 450}
-  make nmos -M 2 -L 0.150u -origin {-320 450}
-  make_wire -250 170 -320 170
-  make_wire -320 490 -250 490
-  make_wire -250 170 -150 170
-  make_wire -250 490 -150 490
-  make_wire -320 330 -150 330
-  make_wire -150 330 -50 330
-  make_wire -640 320 -640 210
-  make_wire -640 320 -640 430
-  make_wire 140 210 140 320
-  make_wire 140 320 140 430
-  make_wire -580 330 -580 250
-  make_wire -580 330 -580 390
-  make_wire 80 280 10 280
-  make_wire 10 280 10 100
-  make_wire 10 100 -380 100
-  make_wire 80 280 80 250
-  make_wire 80 280 80 390
-  make_wire -380 200 -450 200
-  make_wire -450 200 -450 450
-  make_wire -450 450 -380 450
-  make_wire -380 200 -380 100
-  make_wire -380 200 -380 210
-  make_wire -650 320 -640 320
-  make_wire -250 490 -250 520
-  make_wire -250 150 -250 170
-  make_wire -580 330 -380 330
-  make_wire -380 370 -390 370
-  make_wire -380 290 -380 330
-  make_wire -90 290 -80 290
-  make_wire -380 290 -240 290
-  make_wire -240 290 -240 370
-  make_wire -90 370 -240 370
-}
-
-proc ICON_XNOR2X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-60 -20} -name a
-  icon_term -type input -origin {-60 10} -name b
-  icon_term -type output -origin {60 -20} -name Y
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {0 10} -size small -label XNOR2X1
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 10} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-  icon_line -60 -30 -60 20 60 20 60 -30 -60 -30 -60 -30
-}
-
diff --git a/lib/sue/XNOR2XL.sue b/lib/sue/XNOR2XL.sue
deleted file mode 100644
index dad3b5e..0000000
--- a/lib/sue/XNOR2XL.sue
+++ /dev/null
@@ -1,79 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_XNOR2XL {} {
-  make global -orient RXY -name vdd -origin {-250 150}
-  make global -name gnd -origin {-250 520}
-  make input -orient RX -name a -origin {-80 290}
-  make input -orient RX -name b -origin {-90 450}
-  make input -orient RX -name b -origin {-90 210}
-  make input -name a -origin {-390 450}
-  make global -name gnd -origin {-580 470}
-  make global -orient RXY -name vdd -origin {-580 170}
-  make global -orient RX -name gnd -origin {80 470}
-  make global -orient RY -name vdd -origin {80 170}
-  make input -name a -origin {-650 320}
-  make input -orient RX -name b -origin {140 320}
-  make output -name Y -origin {-50 330}
-  make pmos -orient RX -W 3 -L 0.150u -origin {-150 210}
-  make pmos -W 3 -L 0.150u -origin {-320 210}
-  make pmos -W 3 -L 0.150u -origin {-580 210}
-  make pmos -W 3 -L 0.150u -origin {-320 290}
-  make pmos -orient RX -W 3 -L 0.150u -origin {-150 290}
-  make pmos -orient RX -W 3 -L 0.150u -origin {80 210}
-  make nmos -L 0.150u -origin {-320 370}
-  make nmos -L 0.150u -origin {-320 450}
-  make nmos -orient RX -L 0.150u -origin {-150 370}
-  make nmos -orient RX -L 0.150u -origin {-150 450}
-  make nmos -orient RX -L 0.150u -origin {80 430}
-  make nmos -L 0.150u -origin {-580 430}
-  make_wire -250 170 -320 170
-  make_wire -320 490 -250 490
-  make_wire -250 170 -150 170
-  make_wire -250 490 -150 490
-  make_wire -320 330 -150 330
-  make_wire -150 330 -50 330
-  make_wire -640 320 -640 210
-  make_wire -640 320 -640 430
-  make_wire 140 210 140 320
-  make_wire 140 320 140 430
-  make_wire -580 330 -580 250
-  make_wire -580 330 -580 390
-  make_wire 80 280 10 280
-  make_wire 80 280 80 250
-  make_wire 80 280 80 390
-  make_wire -650 320 -640 320
-  make_wire -250 490 -250 520
-  make_wire -250 150 -250 170
-  make_wire -90 290 -80 290
-  make_wire -420 100 -420 160
-  make_wire -240 210 -240 370
-  make_wire -240 370 -90 370
-  make_wire -480 330 -480 210
-  make_wire -580 330 -480 330
-  make_wire -480 210 -380 210
-  make_wire -380 210 -240 210
-  make_wire -420 100 10 100
-  make_wire 10 100 10 280
-  make_wire -450 160 -420 160
-  make_wire -380 290 -450 290
-  make_wire -450 290 -450 160
-  make_wire -450 370 -380 370
-  make_wire -450 370 -450 290
-  make_wire -390 450 -380 450
-}
-
-proc ICON_XNOR2XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-60 -20} -name a
-  icon_term -type input -origin {-60 10} -name b
-  icon_term -type output -origin {60 -20} -name Y
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {0 10} -size small -label XNOR2XL
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 10} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-  icon_line -60 -30 -60 20 60 20 60 -30 -60 -30 -60 -30
-}
-
diff --git a/lib/sue/XOR2X1.sue b/lib/sue/XOR2X1.sue
deleted file mode 100644
index 3c2493b..0000000
--- a/lib/sue/XOR2X1.sue
+++ /dev/null
@@ -1,75 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_XOR2X1 {} {
-  make global -orient RXY -name vdd -origin {-250 150}
-  make global -name gnd -origin {-250 520}
-  make input -orient RX -name a -origin {-90 370}
-  make input -orient RX -name b -origin {-90 450}
-  make input -orient RX -name b -origin {-90 210}
-  make input -name a -origin {-380 290}
-  make global -name gnd -origin {-580 470}
-  make global -orient RXY -name vdd -origin {-580 170}
-  make global -orient RX -name gnd -origin {80 470}
-  make global -orient RY -name vdd -origin {80 170}
-  make input -name a -origin {-650 320}
-  make input -orient RX -name b -origin {140 320}
-  make output -name Y -origin {-50 330}
-  make pmos -M 2 -W 3 -L 0.150u -origin {-320 210}
-  make pmos -M 2 -W 3 -L 0.150u -origin {-320 290}
-  make pmos -orient RX -M 2 -W 3 -L 0.150u -origin {-150 210}
-  make pmos -orient RX -M 2 -W 3 -L 0.150u -origin {-150 290}
-  make nmos -orient RX -M 2 -L 0.150u -origin {-150 370}
-  make nmos -orient RX -M 2 -L 0.150u -origin {-150 450}
-  make nmos -M 2 -L 0.150u -origin {-320 450}
-  make nmos -M 2 -L 0.150u -origin {-320 370}
-  make nmos -L 0.150u -origin {-580 430}
-  make pmos -W 3 -L 0.150u -origin {-580 210}
-  make pmos -orient RX -W 3 -L 0.150u -origin {80 210}
-  make nmos -orient RX -L 0.150u -origin {80 430}
-  make_wire -250 170 -320 170
-  make_wire -320 490 -250 490
-  make_wire -250 170 -150 170
-  make_wire -250 490 -150 490
-  make_wire -320 330 -150 330
-  make_wire -150 330 -50 330
-  make_wire -640 320 -640 210
-  make_wire -640 320 -640 430
-  make_wire 140 210 140 320
-  make_wire 140 320 140 430
-  make_wire -380 370 -380 330
-  make_wire -380 330 -580 330
-  make_wire -580 330 -580 250
-  make_wire -580 330 -580 390
-  make_wire 80 280 10 280
-  make_wire 10 280 10 100
-  make_wire 10 100 -380 100
-  make_wire 80 280 80 250
-  make_wire 80 280 80 390
-  make_wire -380 200 -450 200
-  make_wire -450 200 -450 450
-  make_wire -450 450 -380 450
-  make_wire -380 200 -380 100
-  make_wire -380 200 -380 210
-  make_wire -90 290 -270 290
-  make_wire -270 290 -270 370
-  make_wire -270 370 -380 370
-  make_wire -650 320 -640 320
-  make_wire -250 490 -250 520
-  make_wire -250 150 -250 170
-}
-
-proc ICON_XOR2X1 args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-60 -20} -name a
-  icon_term -type input -origin {-60 10} -name b
-  icon_term -type output -origin {60 -20} -name Y
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {10 10} -size small -label XOR2X1
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 10} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-  icon_line -60 -30 -60 20 60 20 60 -30 -60 -30 -60 -30
-}
-
diff --git a/lib/sue/XOR2XL.sue b/lib/sue/XOR2XL.sue
deleted file mode 100644
index e0674a2..0000000
--- a/lib/sue/XOR2XL.sue
+++ /dev/null
@@ -1,75 +0,0 @@
-# SUE version MMI_SUE5.6.37
-
-proc SCHEMATIC_XOR2XL {} {
-  make global -orient RXY -name vdd -origin {-250 150}
-  make global -name gnd -origin {-250 520}
-  make input -orient RX -name a -origin {-90 370}
-  make input -orient RX -name b -origin {-90 450}
-  make input -orient RX -name b -origin {-90 210}
-  make input -name a -origin {-380 210}
-  make global -name gnd -origin {-580 470}
-  make global -orient RXY -name vdd -origin {-580 170}
-  make global -orient RX -name gnd -origin {80 470}
-  make global -orient RY -name vdd -origin {80 170}
-  make input -name a -origin {-650 320}
-  make input -orient RX -name b -origin {140 320}
-  make output -name Y -origin {-50 330}
-  make pmos -W 3 -L 0.150u -origin {-580 210}
-  make pmos -W 3 -L 0.150u -origin {-320 210}
-  make pmos -W 3 -L 0.150u -origin {-320 290}
-  make pmos -orient RX -W 3 -L 0.150u -origin {-150 210}
-  make pmos -orient RX -W 3 -L 0.150u -origin {-150 290}
-  make pmos -orient RX -W 3 -L 0.150u -origin {80 210}
-  make nmos -L 0.150u -origin {-320 370}
-  make nmos -orient RX -L 0.150u -origin {-150 370}
-  make nmos -L 0.150u -origin {-320 450}
-  make nmos -orient RX -L 0.150u -origin {-150 450}
-  make nmos -orient RX -L 0.150u -origin {80 430}
-  make nmos -L 0.150u -origin {-580 430}
-  make_wire -250 170 -320 170
-  make_wire -320 490 -250 490
-  make_wire -250 170 -150 170
-  make_wire -250 490 -150 490
-  make_wire -320 330 -150 330
-  make_wire -150 330 -50 330
-  make_wire -640 320 -640 210
-  make_wire -640 320 -640 430
-  make_wire 140 210 140 320
-  make_wire 140 320 140 430
-  make_wire -580 330 -580 250
-  make_wire -580 330 -580 390
-  make_wire 80 280 10 280
-  make_wire 80 280 80 250
-  make_wire 80 280 80 390
-  make_wire -380 290 -450 290
-  make_wire -270 450 -380 450
-  make_wire -650 320 -640 320
-  make_wire -250 490 -250 520
-  make_wire -250 150 -250 170
-  make_wire -450 100 10 100
-  make_wire 10 100 10 280
-  make_wire -580 330 -480 330
-  make_wire -270 290 -270 450
-  make_wire -270 290 -90 290
-  make_wire -450 100 -450 290
-  make_wire -450 290 -450 370
-  make_wire -450 370 -380 370
-  make_wire -480 330 -480 450
-  make_wire -480 450 -380 450
-}
-
-proc ICON_XOR2XL args {
-  icon_setup $args {{origin {0 0}} {orient R0} {name {}} {M {}} {dpc {}}}
-  icon_term -type input -origin {-60 -20} -name a
-  icon_term -type input -origin {-60 10} -name b
-  icon_term -type output -origin {60 -20} -name Y
-  icon_property -origin {-10 140} -type user -name name
-  icon_property -origin {-10 160} -type user -name M
-  icon_property -origin {-10 180} -type user -name dpc
-  icon_property -origin {10 10} -size small -label XOR2XL
-  icon_property -origin {-50 -20} -size small -label A
-  icon_property -origin {-50 10} -size small -label B
-  icon_property -origin {40 -20} -size small -label Y
-  icon_line -60 -30 -60 20 60 20 60 -30 -60 -30 -60 -30
-}
-
diff --git a/lib/sue/clean_all b/lib/sue/clean_all
deleted file mode 100755
index c60a710..0000000
--- a/lib/sue/clean_all
+++ /dev/null
@@ -1,5 +0,0 @@
-rm *.sim
-rm *BAK
-rm *sue_as
-rm *replaced
-rm tclIndex
diff --git a/lib/sue/extract b/lib/sue/extract
deleted file mode 100755
index 8c92f67..0000000
--- a/lib/sue/extract
+++ /dev/null
@@ -1,3 +0,0 @@
-#!/bin/bash
-
-sue $1 -SET NETLIST_PROPS=sim -SET NETLIST_TYPE=sim -CMD netlist -cmd exit -BATCH 1
diff --git a/lib/sue/extract_all b/lib/sue/extract_all
deleted file mode 100755
index e08c283..0000000
--- a/lib/sue/extract_all
+++ /dev/null
@@ -1,10 +0,0 @@
-#!/bin/bash
-for i in *.sue; do
-    bash extract $i
-done
-
-mkdir -p ../sim
-
-mv *.sim ../sim
-
-./clean_all
diff --git a/lib/sue/sourceme b/lib/sue/sourceme
deleted file mode 100644
index 5561dac..0000000
--- a/lib/sue/sourceme
+++ /dev/null
@@ -1 +0,0 @@
-source ../../scripts/sue.cshrc
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.pdf b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.pdf
deleted file mode 100644
index 65ac958..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.pdf
+++ /dev/null
Binary files differ
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.ps b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.ps
deleted file mode 100644
index 12458f4..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.ps
+++ /dev/null
@@ -1,8746 +0,0 @@
-%!PS
-%%Title: <Untitled>
-%%Creator: html2ps version 1.0 beta7
-%%EndComments
-save
-2000 dict begin
-/d {bind def} bind def
-/D {def} d
-/t true D
-/f false D
-/FL [/Times-Roman
-/Times-Italic
-/Times-Bold
-/Times-BoldItalic
-/Courier
-/Courier-Oblique
-/Courier-Bold
-/Courier-BoldOblique
-/Helvetica
-/Helvetica-Oblique
-/Helvetica-Bold
-/Helvetica-BoldOblique] D
-/WF t D
-/WI 0 D
-/F 1 D
-/IW 454 F div D
-/IL 672 F div D
-/PS 842 D
-/EF [0 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 2 2] D
-/EZ [11 9 19 17 15 13 12 11 11 11 11 11 11 11 11 11 11 11 11 11 11 11 8 8] D
-/Ey [0 0 2 2 2 2 2 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0] D
-/EG [-1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1] D
-/Tm [1 1 0.8 0.8 0.8 0.8 0.8 0.8 0 0 0 0 0 0 0.5 1 1 1 1 0 0 1.3 0 0] D
-/Bm [1 1 0.5 0.5 0.5 0.5 0.5 0.5 0 0 0 0 0 0 0.5 1 1 1 1 0 0 1 0 0] D
-/Lm [0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 2 0 0 2 0 0 0] D
-/Rm [0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0] D
-/EU [-1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0] D
-/NO t D
-/YY [[{()}1][{()}0][{()}2]] D
-/ZZ [[{()}1][{()}0][{()}2]] D
-/Ts EZ 0 get D
-/TU f D
-/Xp t D
-/AU f D
-/SN 0 D
-/Cf t D
-/Tp f D
-/Fe f D
-/TI 1 Ts mul D
-/Fm 14 D
-/xL 71 D
-/xR 71 D
-/yL 757 D
-/yR 757 D
-/Wl 454 F div D
-/Wr 454 F div D
-/hL 672 F div D
-/hR 672 F div D
-/FE {newpath Fm neg Fm M CP BB IW Fm add Fm L IW Fm add IL Fm add neg L CP BB
- Fm neg IL Fm add neg L closepath} D
-/LA {PM 0 eq{/IW Wl D /IL hL D}{/IW Wr D /IL hR D}ie /W IW D /LL W D /LS W D
- TU PM 0 eq and{IW 56 F div add SA{Sf div}if 0 translate}
- {PM 0 eq{xL yL}{xR yR}ie translate F SA{Sf mul}if dup scale
- CS CF FS Cf{CA CL get VC}if /Bb f D}ie 0 0 M
- TF not Tc or {Cf{gsave SA{1 Sf div dup scale}if Cb VC FE fill grestore}if}if}D
-/Pi 0 Ts mul D
-/SG [0.8 1 1] D
-/Ab 15 D
-/J 0 D
-/Tc f D
-/NH 6 D
-/Nf f D
-/Pa f D
-/LH 1.2 D
-/XR f D
-/Xr {/pN E D ( [p ) WB pN WB (] )WB} D
-/Db [16#FF 16#FF 16#FF] D
-/Dt [16#00 16#00 16#00] D
-/eA f D
-/Fi f D
-/bT f D
-/Lc t D
-/Dl [16#00 16#00 16#00] D
-/LX f D
-/Br 0.25 D
-/IA ([IMAGE]) D
-/DS {/PF f D()WB NL NP()pop RC ZF} D
-/Gb f D
-/Mb t D
-/Hc [16#00 16#00 16#00] D
-/Bl 3 D
-/MI -15.2 D
-/DX (DRAFT) D
-/Di 0 D
-/Tt 113.385826771654 D
-/Th {()2 Al()BR (
-      ) 0 1 -1 H()4 FZ Ti ES()EH (
-      ) 0 2 -1 H() ME 0 get join EH()Ea()BR()} D
-/tH {()0 1 -1 H (Table of Contents) EH()} D
-/FD 2 D
-/Dy 2 D
-/cD [16#F0 16#F0 16#F0] D
-/FW 0.6 D
-/FU [16#00 16#00 16#00] D
-/ET {/RM f D /A0 0 D /PN SN D /OU t D /Ou t D /W IW D /LL W D D1
- Ms not TP and{Ip}if /TF f D} D
-
-%-- End of variable part --
-/MySymbol 10 dict dup begin
- /FontType 3 D /FontMatrix [.001 0 0 .001 0 0 ] D /FontBBox [25 -10 600 600] D
- /Encoding 256 array D 0 1 255{Encoding exch /.notdef put}for
- Encoding (e) 0 get /euro put
- /Metrics 2 dict D Metrics begin
-  /.notdef 0 D
-  /euro 651 D
- end
- /BBox 2 dict D BBox begin
-  /.notdef [0 0 0 0] D
-  /euro [25 -10 600 600] D
- end
- /CharacterDefs 2 dict D CharacterDefs begin
-  /.notdef {} D
-  /euro{newpath 114 600 moveto 631 600 lineto 464 200 lineto 573 200 lineto
-   573 0 lineto -94 0 lineto 31 300 lineto -10 300 lineto closepath clip
-   50 setlinewidth newpath 656 300 moveto 381 300 275 0 360 arc stroke
-   -19 350 moveto 600 0 rlineto -19 250 moveto 600 0 rlineto stroke}d
- end
- /BuildChar{0 begin
-  /char E D /fontdict E D /charname fontdict /Encoding get char get D
-  fontdict begin
-   Metrics charname get 0 BBox charname get aload pop setcachedevice
-   CharacterDefs charname get exec
-  end
- end}D
- /BuildChar load 0 3 dict put /UniqueID 1 D
-end
-definefont pop
-
-/Cd {aload length 2 idiv dup dict begin {D} repeat currentdict end} D
-/EX {EC cvx exec} D
-/DU {} d
-/BB {pop pop}d
-/ie {ifelse} d
-/E {exch} d
-/M {moveto} d
-/R {rmoveto} d
-/L {lineto} d
-/RL {rlineto} d
-/CP {currentpoint} d
-/SW {stringwidth} d
-/GI {getinterval} d
-/PI {putinterval} d
-/Sg {setgray} d
-/LW {setlinewidth} d
-/S {dup () ne OU and{0 Co R AT 3 eq LB and HF not and A1 0 ne A2 0 ne or and
- {A2 0 32 A1 0 6 -1 roll awidthshow}{show}ie 0 Co neg R}{pop}ie
- OU PH 3 eq or{/Ms t D}if} D
-/U {OU{gsave CP currentfont /FontInfo get /UnderlinePosition get
- 0 E currentfont /FontMatrix get dtransform E pop add newpath M dup SW pop
- CJ 0 RL stroke grestore}if} D
-/B {OU Br 0 gt and{CP Ts neg Ts .33 mul R gsave 0 Sg
- CP newpath Ts Br mul 0 360 arc closepath UI 2 mod 0 eq{stroke}{fill}ie
- grestore M CP E Ts Br 1 add mul sub E BB /Ms t D}if}D
-/NP {Ms TP not or PA and OU and{TP{OR}if f1{mF k2 /mF E D /YC 0 D}if
- TP TU not PM 0 eq or and{showpage}if DU Ip TE not{LA}if 0.6 LW
- /CI 0 D /TP t D /Hs f D /hl 6 D /Hv 6 D /HI hi D /Ms f D}if Bs XO BO M} D
-/Np {LE sub CP E pop gt PL 0 eq and{NP}if}D
-/Ip {/PN PN 1 add D /Pn RM{1}{4}ie PN Ns D /PM PN SN sub 2 mod D} D
-/GP {E dup 3 -1 roll get PN 1 add 2 mod get dup type /integertype eq
- {get 0 get}{E pop}ie}d
-/Fc {dup 2 GP exec SW pop /S1 E D dup 1 GP exec SW pop /S2 E D 0 GP exec SW
- pop /S3 E D S1 0 gt{S2 2 mul S1 add S3 2 mul S1 add 2 copy lt{E}if pop}{0}ie
- S2 S3 add 2 copy lt{E}if pop IW .9 mul div dup 1 gt{1 E div}{pop 1}ie}D
-/OR {Df{Sd}if tp not{gsave SA{1 Sf div dup scale}if Fe{Cf{FU VC}if FW LW
- 1 setlinejoin FE stroke}if /YO {60 F div dup 40 gt{pop 40}if}D /cs CS D
- /cf CF D /CF 0 D /pf PF D /PF f D /Fn FN D /At AT D /AT 0 D /FN EF Hf 1 add
- get D Fz Fs FS ZZ Fc Fz mul Fs FS EU Hf 1 add get dup type /arraytype eq
- Cf and{VC}{pop 0 Sg}ie IW IL neg YO sub M ZZ 1 GP exec dup SW pop neg 0 R Sh
- 0 IL neg YO sub M ZZ 0 GP exec Sh ZZ 2 GP exec dup SW pop IW E sub 2 div
- IL neg YO sub M Sh Fz Fs FS NO{/AW IW Pn SW pop sub D AW 2 div IL neg YO sub
- S1 0 gt S2 AW .45 mul gt or S3 AW .45 mul gt or{Fz 2 mul sub}if M Pn Sh}if
- EU Hf get dup type /arraytype eq Cf and{VC}{pop 0 Sg}ie YY Fc /FN EF Hf get D
- Hz mul HS FS IW YO M YY 1 GP exec dup SW pop neg 0 R Sh 0 YO M YY 0 GP exec Sh
- YY 2 GP exec dup SW pop IW E sub 2 div YO M Sh /FN Fn D /AT At D t Pb XO SZ
- SL get neg R /PF pf D grestore /CF 0 D cs cf FS}if}D
-/Sh {dup () ne{CP Hz 4 div sub BB show CP CS add BB}{pop}ie}D
-/Pb {/OU E D /Ou OU D /PB t D 0 0 M Ba{/Sa save D /BP t D /Fl t D RC /PL 0 D
- /PH 0 D /W IW D /LE IL .7 mul D /EO 0 D SI ZF /YA 0 D /BO 0 D /C1 () D
- BA 0 Ts neg R Bb{Xl Yl Xh Yh}if Bb CP Sa restore M
- {/Yh E D /Xh E D /Yl E D /Xl E D}if /Fl t D}if
- BL /OU t D /HM f D /Ou t D /PB f D} D
-/Bs {/BP Ba not D}D
-/reencodeISO {
- dup dup findfont dup length dict begin{1 index /FID ne{D}{pop pop}ie}forall
- /Encoding ISOLatin1Encoding D currentdict end definefont} D
-/ISOLatin1Encoding [
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/space/exclam/quotedbl/numbersign/dollar/percent/ampersand/quoteright
-/parenleft/parenright/asterisk/plus/comma/hyphen/period/slash
-/zero/one/two/three/four/five/six/seven/eight/nine/colon/semicolon
-/less/equal/greater/question/at/A/B/C/D/E/F/G/H/I/J/K/L/M/N
-/O/P/Q/R/S/T/U/V/W/X/Y/Z/bracketleft/backslash/bracketright
-/asciicircum/underscore/quoteleft/a/b/c/d/e/f/g/h/i/j/k/l/m
-/n/o/p/q/r/s/t/u/v/w/x/y/z/braceleft/bar/braceright/asciitilde
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/space/exclamdown/cent/sterling/currency/yen/brokenbar
-/section/dieresis/copyright/ordfeminine/guillemotleft/logicalnot
-/hyphen/registered/macron/degree/plusminus/twosuperior/threesuperior
-/acute/mu/paragraph/periodcentered/cedilla/onesuperior/ordmasculine
-/guillemotright/onequarter/onehalf/threequarters/questiondown
-/Agrave/Aacute/Acircumflex/Atilde/Adieresis/Aring/AE/Ccedilla
-/Egrave/Eacute/Ecircumflex/Edieresis/Igrave/Iacute/Icircumflex
-/Idieresis/Eth/Ntilde/Ograve/Oacute/Ocircumflex/Otilde/Odieresis
-/multiply/Oslash/Ugrave/Uacute/Ucircumflex/Udieresis/Yacute
-/Thorn/germandbls/agrave/aacute/acircumflex/atilde/adieresis
-/aring/ae/ccedilla/egrave/eacute/ecircumflex/edieresis/igrave
-/iacute/icircumflex/idieresis/eth/ntilde/ograve/oacute/ocircumflex
-/otilde/odieresis/divide/oslash/ugrave/uacute/ucircumflex/udieresis
-/yacute/thorn/ydieresis
-] D
-[128/backslash 129/parenleft 130/parenright 141/circumflex 142/tilde
-143/perthousand 144/dagger 145/daggerdbl 146/Ydieresis 147/scaron 148/Scaron
-149/oe 150/OE 151/guilsinglleft 152/guilsinglright 153/quotesinglbase
-154/quotedblbase 155/quotedblleft 156/quotedblright 157/endash 158/emdash
-159/trademark]
-aload length 2 idiv 1 1 3 -1 roll{pop ISOLatin1Encoding 3 1 roll put}for
-/colorimage where{pop}{
- /colorimage {
-  pop pop /Pr E D {/Cv Pr D /Gr Cv length 3 idiv string D 0 1 Gr length 1 sub
-   {Gr E dup /i E 3 mul D Cv i get 0.299 mul Cv i 1 add get 0.587 mul add
-    Cv i 2 add get 0.114 mul add cvi put}for Gr} image} D
-}ie
-/pdfmark where{pop}{userdict /pdfmark /cleartomark load put}ie
-WF{FL{reencodeISO D}forall}{4 1 FL length 1 sub{FL E get reencodeISO D}for}ie
-/Symbol dup dup findfont dup length dict begin
- {1 index /FID ne{D}{pop pop}ie}forall /Encoding [Encoding aload pop]
- dup 128 /therefore put D currentdict end definefont D
-
-/SF {/CS E D SZ SL CS put FO SL FN put /YI CS LH neg mul D dup ST cvs ( ) join
- CS ST cvs join C1 E join ( NF ) join /C1 E D CS NF /Wf WF FN 0 gt or D
- /BW Wf{( ) SW pop}{0}ie D}D
-/NF {/cS E D /cF E D cF 0 ge{FL cF get}{cF -1 eq{/Symbol}{/MySymbol}ie}ie
- findfont cS scalefont setfont} D
-/FS {CF or /CF E D FR SL CF put CF CF 0 ge{FN 4 mul add}if E SF} D
-/PC {SH /BP f D fin not GL not and{NL}if /HM t D /LL LS D} D
-/BS {/TX E D Wf{/fin f D /CW 0 D /LK 0 D /SC 0 D
- /RT TX D {RT ( ) search{/NW E D pop /RT E D /WH NW SW pop D CW WH add LL gt
- {TX SC LK SC sub 1 sub NN GI GL{SH cF cS OC
- 2 copy cS ne E cF ne or{NF}{pop pop}ie}{PC /CW WH BW add D}ie
- /SC LK D}
- {GL{JC}if
- /CW CW WH add BW add D /HM t D}ie /GL f D /Ph f D
- /LK LK NW length 1 add add D}{pop exit}ie}loop
- /fin t D TX SC LK SC sub GI SH RT () ne{GL not{CC}if}if
- /LC TX length D /WH RT SW pop D CW WH add Hy{HC SW pop add}if LL gt
- {RT GL{SH cF cS OC 2 copy cS ne E cF ne or{NF}{pop pop}ie
- Hy{/Ph t D}if /LL LS D}{NL /LL LS D SH}ie}
- {RT PC Hy{CC}if /Ph Ph Hy or D}ie RT () ne{/GL t D /HM t D}if}
- {TX SW pop LL le{TX SH}{/NW () D 0 2 TX length 1 sub
- {/CW E D TX 0 CW GI dup SW pop LL gt{pop NW SH /HM t D NL/LL W XO sub MR sub D
- /CW CW 2 sub NN D /TX TX CW TX length CW sub GI D TX BS exit}
- {/NW E D}ie}for}ie}ie /HM t D}D
-/CC {C0 length 0 gt{JC}if /C0 [C1 L1 YA YB Mf NS NB TB AF Bw] D
- /C1 () D /L0 L1 D /YA 0 D /YB 0 D /Mf 0 D /NS 0 D /NB 0 D}D
-/JC {C0 aload length 0 gt{pop pop pop NB add /NB E D NS add /NS E D
- dup Mf gt{/Mf E D}{pop}ie dup YB gt{/YB E D}{pop}ie
- dup YA gt{/YA E D}{pop}ie pop C1 join /C1 E D /C0 [] D}if}D
-/OC {C0 length 0 gt{C1 L1 L0 sub YA YB Mf NS NB TB AF Bw GL C0 aload pop
- /Bw E D /AF E D /TB E D /NB E D /NS E D /Mf E D /YB E D /YA E D /C0 [] D
- /L1 E D /C1 E D Ph{HC SH}if NL /GL E D /Bw E D /AF E D /TB E D /NB E D /NS E D
- /Mf E D /YB E D /YA E D /L1 E D /LL W L1 sub XO sub MR sub WH sub D /CW 0 D
- C1 E join /C1 E D}if}D
-/BT {/LB t D dup length string copy RS dup dup () ne E ( ) ne and
- {/CI 0 D /LS LL D /LL W L1 sub XO sub MR sub D BS}
- {dup ( ) eq{/GL f D}if dup () eq L1 0 eq or{pop}{SH /BP f D /Ph f D}ie}ie
- /LB f D} D
-/BL {CP E pop XO E M} D
-/NL {JC /GL f D /SK W XO sub MR sub L1 sub TB{Bw add}if D
- /YA LF{Mf HM Fl not and PF or{LH mul}if}{0 /LF t D}ie YA 2 copy lt{E}if pop D
- C1 () ne{/FB YB Mf SA{Sf mul}if 4 div 2 copy lt{E}if pop D}if Fl{/Ya YA D}if
- CP E pop YA sub YB sub LE neg lt Fl not and PB not and{NP}if NT TL BL
- OU PF not and PB or{/RE L1 TB{Bw sub}if
- W XO sub MR sub div YA YB add LE BO add div 2 copy lt{E}if pop D
- RE 1 gt{BL 1 RE div dup scale}if}if
- AT 2 le{SK AT mul 2 div YA neg R}if
- AT 3 eq{0 YA neg R TB{/NB NB 1 sub D /NS NS 1 sub D}if /NB NB 1 sub NN D
- /A3 NS 6 mul NB add D NS NB add 0 eq
-  {/A1 0 D /A2 0 D}
-  {NS 0 eq{/A1 SK NB div dup J gt{pop 0}if D /A2 0 D}{J A3 mul SK lt
-   {/A1 J D /A2 SK J NB mul sub NS div dup Ab gt{/A1 0 D pop 0}if D}
-   {/A1 SK A3 div D /A2 A1 6 mul D}ie}ie}ie /A1 A1 NN D /A2 A2 NN D}if
- AT 4 eq{0 YA neg R PH 2 le{PD 0 lt{/PD L1 D}if PD M1 gt{/M1 PD D}if
- L1 PD sub M2 gt{/M2 L1 PD sub D}if}{DV ID 1 sub get 0 ge{Lo 0 R}if}ie}if
- F0 cF ne Cs cS ne or{F0 Cs NF}if
- /ms Ms D /Ms f D CP FB sub
- C1 cvx exec XO EO sub L1 add TB{BW sub}if dup LM gt{/LM E D}{pop}ie
- PH 0 eq PH 4 eq or Ms and{HF not{/PO t D /AH t D}if
- BB CP YA add E AT 3 eq LB and{A1 sub}if TB{BW sub}if E BB}
- {pop pop}ie Ms HM PH 3 eq and or{/BP f D /Fl f D}if
- /Lo 0 D /L1 0 D /F0 cF D /Cs cS D BP not{0 YB NN neg R}if
- OU f1 and mF not and{k2 /f1 f D}if
- OU PF not and PB or{RE 1 gt{RE dup scale}if}if /Ms ms Ms or D
- /C1 AF{(Cp )}{()}ie D /YA 0 D /YB 0 D BL
- AT 4 eq LB not and PH 3 ge and
- {ID DV length lt{DV ID get dup 0 ge{DO E sub /Lo E D /L1 Lo D}{pop}ie
- /ID ID 1 add D}if}if /T t D CD{/LN LN 1 add D PD}if
- /PD -1 D /NS 0 D /NB 0 D /TB f D /Ph f D /Mf 0 D /HM f D} D
-/RS {/TM E D /CN 0 D TM{10 eq{TM CN ( ) PI}if /CN CN 1 add D}forall
- /CN 0 D /BK HM EN and{0}{1}ie D TM
- {dup 32 ne{TM CN 3 2 roll put /CN CN 1 add D /BK 0 D}
- {pop BK 0 eq{TM CN 32 put /CN CN 1 add D}if /BK 1 D}ie}forall
- TM 0 CN GI dup dup () ne E ( ) ne and
- {dup CN 1 sub get 32 eq{/EN f D}{/EN t D}ie}if} D
-/join {2 copy length E length add string dup 4 2 roll 2 index 0 3 index
- PI E length E PI}d
-/WR {(\n) search{dup () ne BP not or
- {Li 4 le CP E pop YI Li mul add LE add 0 lt and PL 0 eq and{NP}if
- SH NL pop /Li Li 1 sub D WR}{pop pop WR}ie}{SH}ie /CI 0 D /BP f D} D
-/SH {dup dup () ne E ( ) ne and PF or CS Mf gt and{/Mf CS D}if
- T not Wf and{( ) E join /T t D}if dup BP{/MF CS D}if
- AT 3 eq{2 copy length dup 0 gt{/NB E NB add D
- {( ) search{/NS NS 1 add D pop pop}{pop exit}ie}loop}{pop pop}ie}if
- CD PD 0 lt and{dup DC search{SW pop /PD E L1 add D pop pop}{pop}ie}if
- 0 Np dup SW pop L1 add /L1 E D dup () ne
- {C1 (\() join E join (\)) join AU AF and UF or Wf and{( U ) join}if
- sF{( s ) join}if ( S ) join
- /C1 E D dup length 1 sub get 32 eq /TB E D /Bw BW D}{pop pop}ie} D
-/BG {AI LG BC add add 0 eq} D
-/ON {OU{Ty AR AI NN get dup 1 add Ln Ns Ty 2 mod 0 eq{(.  )}{(\)  )}ie join
- dup SW pop neg 0 R CP E 0 lt{0 E M}{pop}ie CP BB show /Ms t D}if} D
-/Ln {AR AI 3 -1 roll put}D
-/SP {dup CI lt BP not and{dup CI sub 0 E R /CI E D}{pop}ie} D
-/BN {PF{WR /HM f D}{BT NL}ie} D
-/NN {dup 0 lt{pop 0}if} D
-/h {(h) HI ST cvs join cvx exec dup 1 get E Nf{0 get E join}{pop}ie} D
-/H {/fn FN D /Hi E 1 add D 1 sub /HL E D /H2 HL 2 add D /GS EZ H2 get D
- E Tm H2 get GS mul BE dup 0 gt{1 sub}{pop EG H2 get dup 0 lt{pop AT}if}ie NA
- WW Np /SL SL 1 add D /FN EF H2 get D GS Ey H2 get FS
- EU H2 get Sc Hs not HL Hl lt and Hs HL hl lt and or Hi 0 eq or
- {/HI Hi D /Hs t D /hl HL D /Hv HL D}if HL Hl lt{/hi Hi D}if
- Nf HI 0 gt and{(h) Hi ST cvs join cvx exec 0 get WB}if
- /HF t D /AH f D /PO f D} D
-/EH {Bm H2 get GS mul BE OA /SL SL 1 sub NN D /CF 0 D /FN fn D
- SZ SL get FR SL get FS /HF f D /GS Ts D ()Ec} D
-/P {E PF{WR}{PO{EP}{BN}ie Ts 4 mul Np AE not{Tm 0 get Ts mul neg SP}if
- dup 0 ge AH and{Pi Pd}if}ie 1 sub dup 0 lt{pop AV AL get}if /AT E D /PO t D} D
-/EP {PF{WR}{BN Ts 4 mul Np}ie AE not{Bm 0 get Ts mul neg SP}if
- /AT AV AL get D /PO f D} D
-/BE {E PO{EP}{BN}ie Ts 4 mul Np neg SP} D
-/HR {/Aw W EO sub D /RW E dup 0 gt{Aw mul}{neg}ie dup Aw gt{pop Aw}if D /RZ E D
- E BN Ts neg SP 1 sub 2 div Aw RW sub mul EO add CP E pop M PF{0 Ps neg R}if
- 0 Np OU{gsave RZ LW Cf{Hc VC}{0 Sg}ie CP BB RW 0 RL CP BB stroke grestore}if
- /CI 0 D /BP f D PF not{Ts neg SP}if /Ms t D} D
-/AD {I NL EG 14 get dup 0 lt{pop AT}if NA /AE t D Tm 14 get Ts mul neg SP
- Cf{EU 14 get dup -1 eq{pop CA CL get}if Sc}if} D
-/DA {BN ()ES OA /AE f D ()Ec Bm 14 get Ts mul neg SP} D
-/PR {/MW E D /Li E D Tm 1 get Ps mul BE 0 NA /FN Fp D /PF t D SI /SL SL 1 add D
- /CF 0 D Ps CS mul Ts div MW WC mul CS mul Ts div dup LL gt PL 0 eq and
- {LL div div}{pop}ie Ey 1 get FS CP E pop LE add YI neg div cvi dup Li lt
- AH and{4 lt YI Li mul 5 mul LE add 0 gt or PL 0 eq and{NP}if}{pop}ie
- EU 1 get Sc /GS Ps D}D
-/RP {WR NL () /PF f D SI /FN 0 D ES Bm 1 get Ps mul neg SP OA /GS Ts D} D
-/SI {/XO Lm 15 get BC NN mul Lm 16 get AI UI sub NN mul add
- Lm 17 get UI NN mul add Lm 20 get LG NN mul add Ts mul
- PF{Lm 1 get Ps mul add}if EO add D
- /MR Rm 15 get BC NN mul Rm 16 get AI UI sub NN mul add
- Rm 17 get UI NN mul add Rm 20 get LG NN mul add Ts mul
- PF{Rm 1 get Ps mul add}if D /LL W XO sub MR sub D} D
-/DT {/cC E D BN /LG LG 1 sub D SI /LG LG 1 add D WW 2 div Np BL} D
-/DD {WB Cc 0 eq cC 0 eq and L1 0 eq or Lm 20 get Ts mul L1 sub TB{BW add}if
- Ts 2 div lt or NL /LF E D SI BL /cC 0 D} D
-/DL {Dc LG Cc put /Cc E D BG{Tm 18 get Ts mul BE}{BN}ie /LG LG 1 add D BL} D
-/LD {BN LG 0 gt{/LG LG 1 sub D}if /Cc Dc LG get D SI
- BG{()Bm 18 get Ts mul BE}if BL} D
-/UL {BG{Tm 17 get Ts mul BE}{BN}ie NR AI NN 0 put /UI UI 1 add D
- /AI AI 1 add D SI BL} D
-/LU {BN /UI UI 1 sub D /AI AI 1 sub D SI BG{()Bm 17 get Ts mul BE}if BL} D
-/OL {E BG{Tm 16 get Ts mul BE}{BN}ie TR AI NN Ty put /Ty E D NR AI NN 1 put
- /AI AI 1 add D SI BL 1 Ln} D
-/LO {BN /AI AI 1 sub D /Ty TR AI get D SI BG{()Bm 16 get Ts mul BE}if BL} D
-/LI {E BN -1 SP /BP f D /CI 0 D 0 Np NR AI 1 sub NN get 1 eq
- {dup dup 0 gt E 4 le and{/Ty E D}{pop}ie
- /L1 L1 Ty AR AI NN get Ns SW pop XO sub dup 0 lt{pop 0}if add D ( ON )}
- {pop ( B )}ie C1 E join /C1 E D CS Mf gt{/Mf CS D}if BL} D
-/BQ {Tm 15 get Ts mul BE /BC BC 1 add D SI BL} D
-/QB {Bm 15 get Ts mul BE /BC BC 1 sub D SI BL} D
-/Al {E EP 1 sub dup 0 lt{pop AV AL get}if NA} D
-/Ea {EP OA} D
-/WB {PF{WR}{BT}ie} D
-/F1 {WB /FN 0 D CS 0 FS} D
-/F2 {WB /FN WI D CS 0 FS} D
-/HY {/Hy t D WB /Hy f D} D
-/YH {WB} D
-/A {/LT E D LT 1 eq{/RN E D}if /Lh E D WB /C1 C1 ( Cp ) join D
- Lc AF not and{Cl Sc}if /AF t D} D
-/EA {Lc AF and{Ec}{WB}ie TL Pa AF and Lh 0 ne and
- {( \() Lh join (\)) join /AF f D WB}if /AF f D} D
-/TL {C1 ( Tl ) apa /C1 E D} d
-/apa {AF OU and Lh 0 ne LT 1 eq or and{LT 1 eq{RN ( /) E ST cvs join}
- {(\() Lh join (\)) join}ie E join join}{pop}ie} d
-/Cp {/Xc CP /Yc E D D} D
-/SS {Cf{dup 0 ge{EU E get dup -1 eq{pop CA CL get}if}{pop CA CL get}ie Sc}
- {pop}ie SZ SL get /SL SL 1 add D} D
-/I {WB 8 SS 1 FS} D
-/EM {WB 8 SS /CF CF 1 xor D 0 FS} D
-/BD {WB 9 SS 2 FS} D
-/TT {WB 10 SS /FN Fp D 0 FS} D
-/KB {WB 11 SS /FN Fp D 2 FS} D
-/CT {WB 12 SS 1 FS} D
-/SM {WB 13 SS /FN Fp D 0 FS} D
-/Q {/QL QL 1 add D QO QL 2 mod get La get join WB} D
-/EQ {QC QL 2 mod get La get join WB /QL QL 1 sub D} D
-/RO {WB -1 SS /CF 0 D 0 FS} D
-/SY {WB -1 SS -1 FS} D
-/MY {WB -1 SS -2 FS} D
-/ES {WB /SL SL 1 sub NN D /CF 0 D /FN FO SL get D SZ SL get FR SL get FS ()Ec}D
-/FZ {3 sub 1.2 E exp GS mul E WB TL /C1 C1 ( Cp ) join D /SL SL 1 add D 0 FS} D
-/Ef {WB TL ()ES /C1 C1 ( Cp ) join D} D
-/BZ {dup /Bf E D FZ}D
-/Sc {dup -1 ne Cf and{/CL CL 1 add D dup 0 eq{pop [0 0 0]}if
- dup CA E CL E put VS ( VC ) join C1 E join /C1 E D}{pop}ie} D
-/Ec {WB Cf{/CL CL 1 sub NN D CA CL get VS ( VC ) join C1 E join /C1 E D}if} D
-/VS {dup type /arraytype eq{([) E {ST cvs join ( ) join}forall (]) join}if} D
-/VC {{255 div}forall setrgbcolor} D
-/Sl {dup type /integertype ne{Ds}if /La E D WB}d
-/UN {WB /UF t D} D
-/NU {WB /UF f D} D
-/SE {WB /sF t D} D
-/XE {WB /sF f D} D
-/sM {/C1 C1 ( k1 ) join D}d
-/eM {/C1 C1 ( k2 ) join D}d
-/k1 {/YC CP E pop Ts add D /mF t D /f1 t D}d
-/k2 {gsave 3 LW -9 CP E pop Ts 0.2 mul sub M -9 YC L stroke grestore /mF f D}d
-/Ac {/AC E D WB}d
-/Ca {eA{( \()join AC join(\) )join}if WB}d
-/s {OU{gsave 0 CS .25 mul R dup SW pop CJ 0 RL stroke grestore}if}D
-/CJ {AT 3 eq LB and{E dup dup length 1 sub A1 mul E
- {( ) search{pop pop E A2 add E}{pop exit}ie}loop 3 -1 roll add
- W CP pop sub 2 copy gt{E}if pop}if}D
-/So {/Co E D} D
-/SO {C1 Yo ST cvs join ( So ) join /C1 E D (j) SW pop 2 div Pd} D
-/Se {E WB CS E div Pd}D
-/Pd {dup type /stringtype eq{SW pop}if dup /L1 E L1 add D
- ST cvs ( 0 R ) join C1 E join /C1 E D} D
-/Sp {0.35 CO} D
-/Sb {-0.2 CO} D
-/CO {OV Io Yo put /Yo E CS mul Yo add D /Io Io 1 add D -1.5 Io mul 3 add FZ SO
- CS Yo add dup YA gt{/YA E D}{pop}ie
- Yo neg dup YB gt{/YB E D}{pop}ie} D
-/Es {ES /Io Io 1 sub NN D /Yo OV Io get D SO} D
-/SB {/N2 0 D 0 1 NI{/N E D{IX N2 get 0 lt{/N2 N2 1 add D}{exit}ie}loop
- /K WS N get FC N get mul D /NY AY N2 get D /BV NY array D
- 0 1 NY 1 sub{/TM K string D currentfile TM readhexstring pop pop BV E TM put}
- for BM N BV put /N2 N2 1 add D}for} D
-/IC [{/MA E D /MB 0 D}{2 div /MA E D /MB MA D}{/MB E CS sub D /MA CS D}
- {pop /MA YS AB mul D /MB 1 AB sub YS mul D}{pop /MA 0 D /MB 0 D}] D
-/IP {BV N get /N N 1 add D} D
-/II {/K E D IX K get 0 lt{/EC E D}if /TY E D
- TY 4 eq{/Y E D /X E D}if TY 3 eq{/AB E D}if
- /XW AX K get D /YW AY K get D /IS SG IT K get get D /XS XW IS mul D
- /YS YW IS mul D YS IC TY get exec /MA MA Fl not{3 add}if D} D
-/IM {II /ty TY D /xs XS D /ys YS D /ya YA D /yb YB D /ma MA D /mb MB D /k K D
- /ec EC D /BP f D /CI 0 D WB TL L1 xs add dup XO add MR add W gt
- {pop /ma ma Fl{3 add}if D NL /YA ma D /YB mb D /YS ys D /L1 xs D}
- {/L1 E D ma YA gt{/YA ma D}if mb YB gt{/YB mb D}if}ie /TB f D
- OU{CP E pop YS sub LE neg lt Fl not and PB not and{NP /YA ma D /YB mb D}if
- /BP f D ty ST cvs ( ) join IX k get 0 lt{(\() join ec join (\) ) join}if
- k ST cvs join ty 3 eq{AB ST cvs ( ) join E join}if
- ty 4 eq{X ST cvs ( ) join Y ST cvs join ( ) join E join}if C1 E join
- ( DI ) join FP 2 eq FP 1 eq AF and or{( FM ) join}if
- ( Il Cp ) apa /C1 E D /EN f D}if /HM t D /T f D} D
-/DI {II /Xc CP /Yc E D D /YN YW neg D /HM t D /CI 0 D /K2 IX K get D gsave
- TY 4 eq{OX X IS mul add OY FY add YS sub Y IS mul sub}
- {/FY YS D CP MB sub 2 copy /OY E D /OX E D}ie
- translate K2 0 ge{/DP AZ K2 get D /BV BM K2 get D XS YS scale /N 0 D XW YW DP
- [XW 0 0 YN 0 YW] {IP} FC K2 get 1 eq{image}{f 3 colorimage}ie}
- {EX}ie grestore XS 0 R /Ms t D} D
-/FM {gsave 0 Sg CP MB sub translate XS neg 0 M 0 YS RL XS 0 RL 0 YS neg RL
- XS neg 0 RL stroke grestore} D
-/NA {/AT E D /AL AL 1 add D AV AL AT put} D
-/OA {AL 0 gt{/AL AL 1 sub D /AT AV AL get D}if} D
-/D1 {/BR {CP E pop E BN Mb{CP E pop eq{0 YI R}if}{pop}ie} D
- /Sn {OU{C1 E ST cvs join ( Ld ) join /C1 E D}{pop}ie} D} D
-/D1 {/BR {BN} D /Sn {OU {C1 E ST cvs join ( Ld ) join /C1 E D} {pop} ie} D} D
-/TC {/TF t D /ML 0 D HN{SW pop dup ML gt{/ML E D}{pop}ie}forall NP /RM RM not D
- RC /OU Tc D Ep /PN 0 D Ms not TP and{Ip}if /W IW ML sub Ts sub D
- /A0 0 D TH{/BR {( ) join BT} D /Sn {pop} D /Au () D}if} D
-/TN {0 eq{E EA PF HF or not XR and{HN E get Xr}{pop}ie}
- {OU{Tn 0 ge{() BN}if /Tn E D}{pop}ie WB}ie} D
-/NT {OU LB not and Tn 0 ge and{PL 0 eq{Ms not{CS CF FS}if CP dup
- /y E YA sub D W 9 sub CS -1.8 mul XO L1 add 2 add{y M (.) show}for
- HN Tn get dup SW pop IW E sub y M show CP BB M}if /Tn -1 D}if} D
-/Ld {/DN E D HN DN Pn put [/View [/XYZ -4 Fl{PS}{CP YA add US E pop}ie null]
- /Dest DN ST cvs cvn /DEST pdfmark} D
-/C {ND 1 eq{1 sub}if TI mul /XO E D NL Nf not{pop()}if 0 3 -1 roll 1 A} D
-/OP {BP not{NP}if PN 2 mod 0 eq{/Ms t D NP}if}D
-/Ep {Xp PN 2 mod 0 eq and OU and{/Pn (-) D showpage /PM 1 D LA}if}D
-/Dg [73 86 88 76 67 68 77] D
-/Rd [0 [1 1 0][2 1 0][3 1 0][2 1 1][1 1 1][2 2 1][3 3 1][4 4 1][2 1 2]] D
-/Ns {/m E D /c E 32 mul D /j m 1000 idiv D /p j 12 add string D
- c 96 le m 0 gt and{c 32 le {/i 0 D /d 77 D /l 100 D /m m j 1000 mul sub D
-  j -1 1 {pop p i d c add put /i i 1 add D}for
-  4 -2 0 {/j E D /n m l idiv D /m m n l mul sub D /d Dg j get D
-   n 0 gt {/x Rd n get D x 0 get -1 1 {pop p i d c add put /i i 1 add D}for
-   p i x 1 get sub Dg x 2 get j add get c add put}if /l l 10 idiv D
-  }for p 0 i GI}
-  {/i ST length 1 sub D m {1 sub dup 0 ge{dup 26 mod c add 1 add
-   ST i 3 -1 roll put 26 idiv dup 0 eq{pop exit}if}if /i i 1 sub D}loop
-   ST i ST length i sub GI}ie}
- {m p cvs}ie} D
-/US {matrix currentmatrix matrix defaultmatrix matrix invertmatrix
- matrix concatmatrix transform} D
-/GB {Gb{US}if}D
-/Tl {/Rn E D Xc CP pop ne{
- [/Rect [Xc 1 sub Yc cS 0.25 mul sub GB CP E 1 add E cS 0.85 mul add GB]
-  /Subtype /Link /Border [0 0 Cf Lc and LX and AU or{0}{1}ie] Rn type
-  /nametype eq {/Dest Rn}{/Action [/Subtype /URI /URI Rn] Cd}ie
-  /ANN pdfmark}if} D
-/Il {/Rn E D [/Rect [Xc Yc GB Xc XS add Yc YS add GB] /Subtype /Link
- /Border [0 0 0] Rn type /nametype eq{/Dest Rn}
- {/Action [/Subtype /URI /URI Rn] Cd}ie /ANN pdfmark} D
-/XP {[{/Z Bz 2 div D Z 0 R Z Z RL Z neg Z RL Z neg Z neg RL Z Z neg RL
- Fi cH 1 eq and{fill}if} {Bz 0 RL 0 Bz RL Bz neg 0 RL 0 Bz neg RL
- Fi cH 1 eq and{fill}if} {0 -5 R Bz 0 RL 0 21 RL Bz neg 0 RL 0 -21 RL}]} D
-/MS {/Sm E D WB}D
-/O {BN()0 Sm BX} D
-/BX {/Bt E D Bt 2 lt{/Ch E D CS 0.8 mul}{11 mul}ie W XO sub MR sub
- 2 copy gt{E}if pop /HZ E D Bt 2 eq{Fi not{pop()}if ( )E join /Ft E D TT
- /PF t D /MW 1 D /Li 1 D /Fw Ft SW pop D Fw HZ gt{/HZ Fw 8 add D}if
- HZ ST cvs( )join}{WB Ch ST cvs( )join}ie L1 HZ add XO add MR add W gt{NL}if
- Bt 2 eq{Ft ES Fw neg HM{CS sub}if Pd}if Bt ST cvs join( Bx )join
- Bt 2 eq HM and{CS Pd}if C1 E join /C1 E D /L1 L1 HZ add D /T f D
- ( ) Pd /PF f D Bt 2 lt{YA CS .8 mul lt{/YA CS .8 mul D}if}
- {YB 5 lt{/YB 5 D}if YA 21 lt{/YA 21 D}if}ie /CI 0 D} D
-/Bx {dup 2 eq{E /Bz E D}{E /cH E D /Bz CS .8 mul D}ie
- OU {gsave 0 Sg XP E get exec stroke grestore}{pop}ie Bz 0 R /Ms t D}D
-/SD {FD 4 mul Dy add DZ NF newpath 0 0 M DX t charpath pathbbox
- 3 -1 roll sub /DY E D E dup /X1 E D sub WM mul WX DY mul add WM DG mul E div
- /DF E D /DR WX DF mul DY mul WM div 2 div D} d
-/Sd {gsave 0 IL Di mul neg translate IL IW atan Di 0 eq{neg}if rotate
- FD 4 mul Dy add DZ NF DR X1 sub DY 2 div neg M cD VC DX show grestore} d
-/Pt {/tp t D Tp{NP /Pn (TP) D 0 Tt neg R Th BN NP Ep ET RC ZF}if /tp f D} D
-/RC {/AI 0 D /LG 0 D /BC 0 D /UI 0 D /PF f D /Cc 0 D /cC 0 D /Dc 10 array D
- /NR [0 1 9{pop 0}for] D /La Ds D /AR 10 array D /TR 10 array D /AV 30 array D
- SI /AL -1 D /AT A0 D AT NA /OV 9 array D /Yo 0 D /Co 0 D /Io 0 D /Hy f D
- /Ph f D /CL -1 D Ct Sc}D
-/ZF {/FR [0 1 30{pop 0}for] D /SZ [0 1 30{pop 0}for] D /FO [0 1 30{pop 0}for] D
- /SL 0 D /CF 0 D /FN 0 D 0 Ts SF}D
-/QO [[(\234)(\233)(\253\240)(\232)(\273)(\253)][(')(`)(\253\240)(\231)(\273)(\253)]] D
-/QC [[(\234)(\234)(\240\273)(\233)(\253)(\273)][(')(')(\240\273)(`)(\253)(\273)]] D
-/Hf EF length 2 sub D
-/Hz EZ Hf get D
-/HS Ey Hf get D
-/Fz EZ Hf 1 add get D
-/Fs Ey Hf 1 add get D
-/LE IL D
-/Ps EZ 1 get D
-/Fp EF 1 get D
-/XO 0 D
-/YI 0 D
-/CI 0 D
-/FP 0 D
-/WW Ts 7 mul D
-/Mf 0 D
-/YA 0 D
-/YB 0 D
-/Cs Ts D
-/GS Ts D
-/F0 0 D
-/NS 0 D
-/NB 0 D
-/N 0 D
-/C0 [] D
-/C1 () D
-/Lo 0 D
-/L1 0 D
-/LM 0 D
-/PH 0 D
-/EC 0 D
-/Lh 0 D
-/LT 0 D
-/CH 1 string D
-/ST 16 string D
-/CA 9 array D
-/HC (\255) D
-/HM f D
-/PF f D
-/EN f D
-/TB f D
-/UF f D
-/sF f D
-/AE f D
-/AF f D
-/BP t D
-/CD f D
-/PA t D
-/GL f D
-/T t D
-/HF f D
-/AH f D
-/SA f D
-/PB f D
-/f1 f D
-/mF f D
-/OX 0 D
-/OY 0 D
-/FY 0 D
-/EO 0 D
-/FB 0 D
-/PL 0 D
-/Bw 0 D
-/PD -1 D
-/TP f D
-/tp f D
-/TH t D
-/Ty 4 D
-/Tn -1 D
-/Fl t D
-/LB t D
-/PM 1 D
-/Ms f D
-/Ba f D
-/Bb f D
-/Hl 3 D
-/hl 6 D
-/Hv 6 D
-/Hs f D
-/HI 0 D
-/hi 0 D
-/PO t D
-/TE f D
-/LF t D
-/BO 0 D
-/Sm 1 D
-/Bf 3 D
-/A1 0 D
-/A2 0 D
-/Ds 1 D
-/QL -1 D
-/Cb Db D
-/Ct Dt D
-/Cl Dl D
-[/Creator (html2ps version 1.0 beta7) /Author () /Keywords () /Subject ()
- /Title (<Untitled>) /DOCINFO pdfmark
-/ND 18 D
-/HN [1 1 19{pop (??)}for] D
-/h0 [()(Table of Contents)] D
-/h1 [(1\240\240)(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Library)] D
-/Hr [18]D
-/HV [1]D
-/Cn [0]D
-Hr length 0 gt{[/PageMode /UseOutlines /DOCVIEW pdfmark}if
-/Hn 1 D
-0 1 Hr length 1 sub{
- /Bn E D [Cn Bn get dup 0 gt{/Count E HV Bn get Bl ge{neg}if}{pop}ie
- /Dest Hr Bn get dup abs ST cvs cvn E 0 ge{(h)Hn ST cvs join cvx exec
- dup 1 get E Nf{0 get E join}{pop}ie /Hn Hn 1 add D}{()}ie
- /Title E dup length 255 gt{0 255 getinterval}if /OUT pdfmark}for
-ZF /FN Fp D Ps 0 FS /WC Wf{( )}{<A1A1>}ie SW pop D
-ET RC ZF
-/Df f D
-/TS {
- tables E get /table E D
- table aload pop /rdesc E D /cdesc E D /tdesc E D
- tdesc aload pop /capalg E D /caption E D /rules E D /frame E D /nfoot E D
-  /nhead E D /ncol E D /nrow E D /border E D /twid E D /units E D /talign E D
-  /flow E D /clear E D /tclass E D pop pop
- /w W D /eps 0.1 D /OU f D /PL 1 D
- /FN EF 21 get D EZ 21 get Ey 21 get FS
- 0 1 1{
-  /pass E D
-  0 1 nrow{
-   /irow E D
-   /cells rdesc irow get 6 get D
-   0 1 ncol{
-    /icol E D
-    /cell cells icol get D
-    cell 0 ne{
-     cell aload pop /ang E D /CB E D pop pop pop
-     /DV E D /bot E D /top E D /right E D /left E D /nowrap E D /valign E D
-     /dp E D /align E D /rspan E D /cspan E D /cclass E D /ctype E D /cmax E D
-     /cmin E D /proc E D
-     rspan 0 eq{/rspan nrow irow sub 1 add D}if
-     cspan 0 eq{/cspan ncol icol sub 1 add D}if
-     pass 0 eq cspan 1 eq and pass 1 eq cspan 1 gt and or{
-      /W 1e5 D /LL W D /PH 1 D
-      ctype 1 eq{() BD}if
-      RC align NA
-      AT 4 eq{/CD t D /DC dp D /LN 0 D /M1 0 D /M2 0 D}{/CD f D}ie
-      0 0 M /LM 0 D proc exec BN
-      AT 4 eq{
-       LN array astore cell 15 3 -1 roll put
-       cdesc icol get dup dup 5 get M1 lt{5 M1 put}{5 get /M1 E D}ie
-       dup 6 get M2 lt{6 M2 put}{6 get /M2 E D}ie
-       /LM M1 M2 add D
-      }if
-      /CD f D
-      ang 0 ne{/LM CP E pop neg D}if
-      /thiswid LM left add right add eps add D
-      /oldmin 0 D /oldmax 0 D
-      0 1 cspan 1 sub{
-       icol add cdesc E get dup 2 get /oldmax E oldmax add D
-       1 get /oldmin E oldmin add D
-      }for
-      thiswid oldmax ge{
-       0 1 cspan 1 sub{
-        icol add cdesc E get dup 2 E 2 get oldmax 0 eq
-         {pop thiswid cspan div}{thiswid mul oldmax div}ie
-        put
-       }for
-      }if
-      nowrap 1 eq{
-       thiswid oldmin ge{
-        0 1 cspan 1 sub{
-         icol add cdesc E get dup 1 E 1 get oldmin 0 eq
-          {pop thiswid cspan div}{thiswid mul oldmin div}ie
-         put
-        }for
-       }if
-      }{
-       /W 0 D /LL W D /PH 2 D
-       ctype 1 eq{() ES () BD}if
-       0 0 M /LM 0 D RC proc exec BN
-       /thiswid LM left add right add eps add D
-       thiswid oldmin ge{
-        0 1 cspan 1 sub{
-         icol add cdesc E get dup 1 E 1 get oldmin 0 eq
-          {pop thiswid cspan div}{thiswid mul oldmin div}ie
-         put
-        }for
-       }if
-      }ie
-      ctype 1 eq{() ES}if
-     }if
-    }if
-   }for
-  }for
- }for
- /tmin 0 D /tmax 0 D
- 0 1 ncol{
-  cdesc E get dup 1 get E 2 get 2 copy gt{pop dup}if
-  tmax add /tmax E D tmin add /tmin E D
- }for
- twid 0 lt{twid neg IW gt{IW neg}{twid}ie /twid E D}if
- tdesc 0 twid neg tmin 2 copy lt{E}if pop put
- tdesc 1 twid neg tmax 2 copy lt{E}if pop put
- /W w D /LL W D /OU t D /PH 0 D /PL 0 D
-} D
-/PT {
- /PL PL 1 add D
- tables E get /table E D Tm 21 get Ts mul BE
- PL 2 ge{save}if
- /SL SL 1 add D /FN EF 21 get D EZ 21 get Ey 21 get FS
- table aload pop /rdesc E D /cdesc E D /tdesc E D
- tdesc aload pop /capalg E D /caption E D /rules E D /frame E D /nfoot E D
-  /nhead E D /ncol E D /nrow E D /border E D /twid E D /units E D /talign E D
-  /flow E D /clear E D /tclass E D /tmax E D /tmin E D
- /w W D /xo XO D /mr MR D /ll LL D /lg LG D /ai AI D /bc BC D /nr NR D /ar AR D
- /tr TR D /ui UI D /ph PH D /a0 A0 D /pf PF D /at AT D /av AV D /al AL D
- /Le LE D /la La D
- talign 0 lt{/talign AL 0 gt{AV AL get}{A0 2 le{A0}{0}ie}ie D}if
- ph 1 eq ph 2 eq or{
-  NL ph 1 eq{tmax}{tmin}ie dup XO add LM gt{/LM E XO add D}{pop}ie LM E
- }{
-  /PH 3 D /LE 1e5 D RC %ZF
-  border 0 gt{/border 1 D}if
-  /twidth 0 D /avail W xo sub D
-  twid 0 eq{0 1 ncol{cdesc E get dup 2 get E 3 get dup 0 gt{div neg dup twid lt
-   {/twid E D}{pop}ie}{pop pop}ie}for}if
-  /twid twid dup 0 lt{neg avail 2 copy gt{E}if pop}{avail mul}ie D
-  /OK t D 0 1 ncol{cdesc E get dup 1 get E 3 get twid mul gt{/OK f D}if}for
-  0 1 ncol{
-   cdesc E get dup 1 get /colmin E D dup 3 get /cwid E twid mul D dup
-   tmax avail le{2 get}if
-   tmin avail le tmax avail gt and{
-    dup 2 get E 1 get dup 3 1 roll sub avail tmin sub mul tmax tmin sub div add
-   }if
-   tmin avail gt{1 get}if
-   0 E colmin cwid lt OK and{pop cwid}if dup /twidth E twidth add D put
-  }for
-  /OU f D CP
-  tmin twid le{
-   0 1 ncol{cdesc E get dup 0 get twidth div twid mul 0 E put}for
-   /twidth twid D
-  }if
-  CP printcap CP E pop sub /caphig E D pop
-  0 1 1{
-   /pass E D
-   0 1 nrow{
-    /irow E D
-    /cells rdesc irow get 6 get D
-    0 1 ncol{
-     /icol E D
-     /cell cells icol get D
-     cell 0 ne{
-      cell aload pop /ang E D /CB E D pop pop pop
-      /DV E D /bot E D /top E D /right E D /left E D /nowrap E D /valign E D
-      /dp E D /align E D /rspan E D /cspan E D /cclass E D /ctype E D /cmax E D
-      /cmin E D /proc E D
-      rspan 0 eq{/rspan nrow irow sub 1 add D}if
-      cspan 0 eq{/cspan ncol icol sub 1 add D}if
-      /W 0 D
-      0 1 cspan 1 sub{icol add cdesc E get 0 get /W E W add D}for
-      pass 0 eq rspan 1 eq and pass 1 eq rspan 1 gt and or{
-       ctype 1 eq{() BD}if
-       /W W left sub right sub D /XO 0 D /EO 0 D SI
-       /A0 align D RC align NA
-       AT 4 eq{
-        /DC dp D /DO 0 D /ID 1 D
-        0 1 DV length 1 sub{DV E get dup DO gt{/DO E D}{pop}ie}for
-        /Lo DO DV 0 get sub D /L1 Lo D
-       }if
-       0 0 M /BP t D /Fl t D /MF 0 D /FB 0 D
-       proc exec T not{/CI 0 D}if BN 0 FB neg R MF 0 eq{/MF CS D}if
-       CP /thishig E neg bot add top add CI add D pop
-       ang 0 ne{/thishig LM bot add top add D}if
-       cell 16 MF put cell 17 Ya put cell 18 thishig put
-       valign 4 eq{
-        /below thishig Ya sub D
-        rdesc irow get dup dup 4 get Ya lt
-         {4 Ya put}{4 get /Ya E D}ie
-        dup 5 get below lt{5 below put}{5 get /below E D}ie
-        /thishig Ya below add D
-       }if
-       ctype 1 eq{()ES}if
-       /oldhig 0 D
-       0 1 rspan 1 sub{
-        irow add rdesc E get 0 get /oldhig E oldhig add D
-       }for
-       thishig oldhig ge{
-        0 1 rspan 1 sub{
-         irow add rdesc E get dup 0 E 0 get oldhig 0 eq
-          {pop thishig rspan div}{thishig mul oldhig div}ie
-         put
-        }for
-       }if
-      }if
-     }if
-    }for
-   }for
-  }for M RC %ZF
-  /thight 0 D /racc 0 D /maxh 0 D /brk 0 D /rbeg nhead nfoot add D
-  0 1 nrow{
-   rdesc E get dup 0 get dup /thight E thight add D
-   brk 0 eq{/racc E D}{/racc E racc add D}ie
-   racc maxh gt{/maxh racc D}if 2 get /brk E D
-  }for
-  ph 3 ge{thight caphig add E}if
-  ph 0 eq ph 4 eq or{
-   /PH 4 D /LE Le D /OU Ou D /yoff 0 D /headsz 0 D
-   0 1 nhead 1 sub{rdesc E get 0 get headsz add /headsz E D}for
-   /footsz 0 D
-   0 1 nfoot 1 sub{rdesc E nhead add get 0 get footsz add /footsz E D}for
-   /ahig LE BO add MI add D /maxh maxh headsz add footsz add D
-   /thight thight headsz add footsz add D
-   tmin avail gt maxh ahig gt or
-    {/Sf avail tmin div dup ahig maxh div gt{pop ahig maxh div}if D /SA t D}
-    {/Sf 1 D}ie
-   tclass 1 eq thight LE 15 sub gt and
-    {/SA t D LE 15 sub thight div dup Sf lt{/Sf E D}{pop}ie}if
-   SA{Sf Sf scale /ll ll Sf div D /xo xo Sf div D /LE LE Sf div D
-    /mr mr Sf div D /BO BO Sf div D /ahig ahig Sf div D}if
-   nhead nfoot add getwid
-   LE CP E pop add capalg 0 eq{caphig sub}if
-   bT{f}{dup thight lt thight ahig lt and}ie
-   E headsz sub footsz sub rwid lt or{NP}if
-   capalg 0 eq{printcap -8 SP}if
-   CP /ycur E D pop
-   printhead
-   rbeg 1 nrow{/row E D row
-    getwid
-    ycur yoff add rwid sub footsz sub LE add 0 lt
-    {nfoot 0 gt{printfoot}if Tf NP /rbeg irow1 D
-     Ba{MI /MI MI SA{Sf div}if D MI SP /MI E D}if
-     CP /ycur E D pop /yoff 0 D printhead}if
-    irow1 printrow
-   }for
-   printfoot /row row 1 add D Tf
-   0 ycur yoff add M
-   capalg 1 eq{/EO 0 D SI -3 SP printcap}if
-   Sf 1 lt{1 Sf div dup scale /ll ll Sf mul D /xo xo Sf mul D /LE LE Sf mul D
-    /mr mr Sf mul D /BO BO Sf mul D /SA f D}if
-   /EO 0 D
-  }if
- }ie
- /W w D /XO xo D /MR mr D /LL ll D /LG lg D /AI ai D /BC bc D /NR nr D /AR ar D
- /TR tr D /UI ui D /PH ph D /A0 a0 D /PF pf D /AT at D /AV av D /AL al D
- /La la D
- /SL SL 1 sub NN D /CF 0 D /FN 0 D SZ SL get FR SL get FS Wf not{()F2}if
- PL 2 ge{Ms E restore Ms or /Ms E D PH 1 eq PH 2 eq or
-  {/LM E D}if PH 3 ge{/CI 0 D NL 0 E neg R}if
- }if
- /PL PL 1 sub D /CI 0 D /BP f D /PO f D () Bm 21 get Ts mul BE BL %CF CS SF
-} D
-/printcap{
- capalg 0 ge{
-  SA{/W w Sf div D}
-   {talign 1 eq{/XO xo ll twidth sub 2 div add D}if
-    talign 2 eq{/XO xo ll twidth sub add D}if
-    /W XO twidth add D
-   }ie /XO xo D /LL W XO sub MR sub D
-  /PA f D /Fl capalg 0 eq D
-  1 NA BL caption exec BN OA /PA t D
- }if
-} D
-/getwid{
- /irow1 E D
- /irow2 irow1 D
- /rwid 0 D
- {rdesc irow2 get dup 0 get rwid add /rwid E D 2 get 0 eq
-  {exit}{/irow2 irow2 1 add D}ie
- }loop
-} D
-/printrow{
- /xoff ll twidth PL 2 ge{Sf div}if sub talign mul 2 div D
- /xleft xoff xo add D
- /irow E D
- /cells rdesc irow get 6 get D
- 0 1 ncol{
-  /icol E D
-  /cell cells icol get D
-  cell 0 ne{
-   cell aload pop /ang E D /CB E D /cvsize E D /above E D /fontsz E D
-   /DV E D /bot E D /top E D /right E D /left E D /nowrap E D /valign E D
-   /dp E D /align E D /rspan E D /cspan E D /cclass E D /ctype E D /cmax E D
-   /cmin E D /proc E D
-   rspan 0 eq{/rspan nrow irow sub 1 add D}if
-   cspan 0 eq{/cspan ncol icol sub 1 add D}if
-   /width 0 D
-   0 1 cspan 1 sub{icol add cdesc E get 0 get /width E width add D}for
-   /rhight rdesc irow get 0 get D
-   /hight rhight D
-   1 1 rspan 1 sub{irow add rdesc E get 0 get /hight E hight add D}for
-   /W xo xoff add width add right sub D
-   ang 0 ne{/W xo xoff add hight add right sub D}if
-   /EO xo xoff add left add D SI
-   Cf{
-    gsave CB VC xo xoff add ycur yoff add M
-    0 hight neg RL width 0 RL 0 hight RL width neg 0 RL fill
-    grestore
-   }if
-   ctype 1 eq{() BD}if
-   /A0 align D RC
-   AT 4 eq{
-    /DC dp D /ID 1 D /DO cdesc icol get 5 get D /Lo DO DV 0 get sub D /L1 Lo D
-   }if
-   ang 0 ne{
-    gsave ang 90 eq
-     {xoff ycur add hight cvsize sub 2 div sub ycur hight sub xoff sub}
-     {xoff ycur sub width add hight cvsize sub 2 div add ycur xoff add}ie
-    translate ang rotate
-   }if
-   valign 3 le{0 ycur yoff add top sub
-    hight cvsize sub valign 1 sub mul 2 div sub M}
-   {0 ycur yoff add top sub above add rdesc irow get 4 get sub M}ie
-   /PA f D /BP t D /Fl t D
-   BL proc exec BN
-   ang 0 ne{grestore}if
-   /PA t D
-   ctype 1 eq{() ES}if
-  }if
-  /xoff xoff cdesc icol get 0 get add D
- }for
- /yoff yoff rhight sub D
-} D
-/printhead {0 1 nhead 1 sub{printrow}for} D
-/printfoot {nhead 1 nhead nfoot add 1 sub{printrow}for} D
-/Tf {
- OU{rules 2 ge{/yoff 0 D
-   gsave 0 Sg
-   [0 1 nhead 1 sub{}for rbeg 1 row 1 sub{}for nhead 1 nhead nfoot add 1 sub{}for]{
-    /irow E D
-    /xoff ll twidth PL 2 ge{Sf div}if sub talign mul 2 div D
-    /cells rdesc irow get 6 get D
-    0 1 ncol{
-     /icol E D
-     /cell cells icol get D
-     cell 0 ne{
-      /rspan cell 6 get D
-      /cspan cell 5 get D
-      rspan 0 eq{/rspan nrow irow sub 1 add D}if
-      cspan 0 eq{/cspan ncol icol sub 1 add D}if
-      /width 0 D
-      0 1 cspan 1 sub{icol add cdesc E get 0 get /width E width add D}for
-      /rhight rdesc irow get 0 get D
-      /hight rhight D
-      1 1 rspan 1 sub{irow add rdesc E get 0 get /hight E hight add D}for
-      xo xoff add width add ycur yoff add M
-      0 hight neg icol cspan add 1 sub ncol lt
-       {cdesc icol 1 add get 4 get dup rules 3 le{1 eq}{pop t}ie
-        {1 eq{0.8}{0.3}ie
-        LW RL CP stroke M}{pop R}ie}{R}ie
-      irow nhead nfoot add 1 sub ne nfoot 0 eq or
-       {irow rspan add 1 sub nrow lt
-       {rdesc irow rspan add get 3 get}{nfoot 0 eq{0}{1}ie}ie
-       dup rules 2 mod 0 eq{1 eq}{pop t}ie
-       {1 eq irow rspan add nhead eq or irow rspan add row eq nfoot 0 gt and or
-        {0.8}{0.3}ie LW width neg 0 RL CP stroke M}{pop}ie}if
-     }if
-     /xoff xoff cdesc icol get 0 get add D
-    }for
-    /yoff yoff rhight sub D
-   }forall
-   grestore
-   /Ms t D
-  }if
-  frame 1 gt{
-   gsave
-   1 LW 0 Sg
-   xleft ycur M CP BB
-   0 yoff frame 5 eq frame 7 ge or{RL}{R}ie
-   twidth 0 frame 3 eq frame 4 eq or frame 8 ge or{RL}{R}ie CP BB
-   0 yoff neg frame 6 ge{RL}{R}ie
-   twidth neg 0 frame 2 eq frame 4 eq or frame 8 ge or{RL}{R}ie
-   closepath stroke
-   grestore
-   /Ms t D
-  }if
- }if
-} D
-/tables [[[0 0 0 0 0 -1 0 1 3 17 0 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Groups)} 0 0 1 0 1 1 1 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 1 A(ADDF)1 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 2 1 A(AND2x)2 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 3 1 A(ANT)3 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 4 1 A(AOI21)4 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 5 1 A(BUFx)5 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 6 1 A(DFFSR)6 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 7 1 A(DFF)7 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 8 1 A(INVx)8 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 9 1 A(NAND2)9 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 10 1 A(NOR2)10 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 11 1 A(OAI21)11 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 12 1 A(OR2x)12 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 13 1 A(TBUFI)13 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 14 1 A(TIEHI)14 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 15 1 A(TIELO)15 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 16 1 A(XNOR2)16 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 17 1 A(XOR2)17 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(ADDF)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CI)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CO)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CON)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(S)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)2 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(31.25760)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 6 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CI)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CO)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CON)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(S)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01047)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01054)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00821)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09285)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03473)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08282)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06743)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08429)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15627)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50628)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.88367)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50002)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.86834)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14855)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50703)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.93017)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->CO \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02812)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17517)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.81840)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20996)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.65191)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.37762)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19115)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61672)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.28036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18508)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.62480)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.37268)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->CO \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02464)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14170)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64997)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16057)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.31347)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79143)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14130)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28688)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.75442)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13576)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28657)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79203)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09478)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47735)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09478)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19079)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52124)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08706)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18671)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52924)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.29631)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.67565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.02179)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.31013)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68949)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.01526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26966)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64626)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.01390)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08317)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22184)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.65191)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24508)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54992)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.55415)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24156)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52787)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.52491)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23685)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54864)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.59822)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09439)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24020)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.69668)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00239)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00279)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00310)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00311)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00358)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00328)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00345)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00403)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00900)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00959)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00888)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00911)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00976)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00776)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00808)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00884)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00888)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00899)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00886)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00895)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00908)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00775)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00791)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00816)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00242)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00305)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00300)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00305)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00327)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00334)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00353)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00899)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00946)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00888)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00909)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00964)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00776)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00806)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00870)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01829)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01780)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01768)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01639)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01604)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01674)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01469)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01441)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01465)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(AND2x)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)20 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(8.30280)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(10.25640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00272)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00284)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08996)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00272)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00284)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17306)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03425)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05439)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05439)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05637)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07744)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20562)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61399)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08146)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20360)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60475)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08463)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.65600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08864)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20024)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06688)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19441)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.62523)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06740)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19509)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63407)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07282)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19140)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.65844)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19114)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.66357)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00284)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00351)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00291)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00310)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00547)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00523)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00616)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00530)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00751)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00746)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00883)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00819)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00803)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00960)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01091)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01002)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01011)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01132)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00273)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00274)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00274)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00273)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00276)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00274)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00276)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00266)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00266)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(ANT)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 2 0 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)34 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ANT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(4.39560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ANT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02722)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ANT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(154503.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(309006.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ANT)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(-0.00160)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03976)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ANT)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(0.20282)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17949)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05865)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(AOI21)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 5 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(A1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)42 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AOI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(8.30280)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(A1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AOI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00281)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03753)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AOI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01431)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08744)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25413)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76978)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07308)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.71744)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06872)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23251)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78355)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05325)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14697)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45251)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05001)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14952)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49372)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02654)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10733)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.38242)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00625)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00619)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00622)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00546)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00535)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00536)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00490)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00477)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00123)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00102)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00105)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00129)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00110)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00116)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00051)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00056)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00043)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00212)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00235)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00233)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00235)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00238)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00238)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00207)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00223)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00224)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00224)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * A1 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * A1 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00104)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00104)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00104)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * A1 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * A1 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00106)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00105)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(BUFx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 3 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)58 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 3 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.34920)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(8.30280)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.21000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00283)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08470)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00285)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15518)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00285)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28563)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02819)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02819)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05439)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07046)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10679)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06115)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18922)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.58044)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX2)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06404)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17865)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60015)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX4)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08345)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19363)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.67219)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06309)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18628)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59544)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX2)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07034)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18274)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61487)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX4)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09549)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20698)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.67778)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00273)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00332)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00533)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00604)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01131)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01151)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00717)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00702)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00841)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00902)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00897)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01027)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01393)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01422)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01537)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(DFFSR)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 6 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 4 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(D)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(RN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(SN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CK)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Q)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(QN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(R)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(R)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(IQ)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(IQN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)68 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(46.39800)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 6 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 4 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(D)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(RN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(SN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CK)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Q)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(QN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00261)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00595)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00802)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08910)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08634)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10427)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14088)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.30610)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.58143)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.49133)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03358)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19913)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.91179)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25639)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53633)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.46045)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22962)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51832)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.56063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.33700)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60175)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.51326)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02662)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15056)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->Q \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21882)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49804)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.54075)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.29962)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.43368)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.83750)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->QN \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18224)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.33045)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.86565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25769)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.38405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.71354)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20780)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.33909)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68288)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN->QN \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18114)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.32109)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78331)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06403)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.08277)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21074)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20394)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28814)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.12233)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16183)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.49861)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17909)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51251)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06403)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.08277)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21074)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20394)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28814)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.12233)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16183)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.49861)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17909)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51251)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16850)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25785)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03304)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03715)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.04880)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17996)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.20764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.43479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22259)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55740)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16850)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25785)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03304)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03715)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.04880)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17996)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.20764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.43479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17996)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.20764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.43479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22259)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55205)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22259)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55740)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(RN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13171)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(RN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13210)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16266)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04477)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11962)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02160)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02782)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.08376)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04477)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11962)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02160)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02782)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.08376)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16755)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19980)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17035)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20317)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13110)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13903)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16637)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26588)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27407)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13570)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15591)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00838)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00811)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01676)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01643)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01575)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00175)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01630)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.07217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01862)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01832)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01719)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00952)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00899)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00806)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00175)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01630)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.07217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01958)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01863)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00951)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00899)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00810)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00175)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06994)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01999)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01959)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01869)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00888)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00836)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00806)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01674)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01641)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01579)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00175)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06992)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01860)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01830)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01719)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00224)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01094)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01089)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00374)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00408)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00404)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00376)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00408)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00414)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01592)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00709)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00698)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00732)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00708)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00697)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00731)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00703)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00692)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00726)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00194)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00267)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00959)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00917)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00977)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00846)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00829)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00962)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01635)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01602)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00546)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00545)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00599)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00618)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00621)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00576)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00296)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00294)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00549)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00545)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00618)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00622)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00577)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01215)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01194)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01203)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00061)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00104)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00023)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00465)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00462)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00399)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00457)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00071)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00112)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00026)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00289)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00216)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00371)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 15 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 14 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02470)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01055)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01032)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01173)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01717)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01692)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01811)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01721)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01697)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01815)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02378)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02327)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01185)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01285)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01417)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01375)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01629)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(DFF)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(D)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CK)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Q)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(QN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(R)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(R)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(IQ)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(IQN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)102 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(32.23440)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(D)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CK)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Q)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(QN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00277)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00780)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08018)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07802)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09325)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21033)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.46405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.30004)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03799)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21324)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.94344)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28659)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54110)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.39168)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02675)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14456)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64299)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24970)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.38020)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77114)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27065)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56202)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.04263)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.04282)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06087)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11953)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21628)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.10717)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.15408)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.48593)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12574)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16544)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50013)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08395)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11202)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13941)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18778)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19642)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10093)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00768)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00704)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00830)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00760)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00631)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00829)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00761)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00639)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00765)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00708)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00695)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00198)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00772)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00734)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00762)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01449)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01423)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01458)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00070)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00110)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00064)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00104)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00024)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01067)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01041)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01178)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02149)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02103)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02192)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02213)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02159)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02398)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01185)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01159)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01279)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(INVx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 3 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)124 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 4 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(4.39560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.34920)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(10.25640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(18.07080)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08060)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00484)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14838)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00940)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27605)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01860)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51469)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02818)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05241)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05637)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10481)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11274)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20962)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03137)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17780)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79401)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX2)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02271)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14729)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76667)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX4)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02301)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13286)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77181)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX8)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02775)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12484)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77703)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02472)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59669)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX2)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01796)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11019)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56805)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX4)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01750)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09611)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55426)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX8)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08833)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54160)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00378)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00374)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00646)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00651)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00719)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01252)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01287)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02430)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02810)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00065)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00070)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00058)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00216)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00186)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00433)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00427)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00347)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00886)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00856)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00659)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(NAND2)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)134 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.34920)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00263)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07872)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01410)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05241)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03212)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17679)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78416)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03670)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79194)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03621)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17624)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.74739)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03957)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17452)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.70921)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00397)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00390)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00418)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00474)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00469)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00493)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00044)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00051)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00044)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00040)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00052)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00044)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00263)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00263)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00263)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00264)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(NOR2)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)148 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.34920)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00256)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00286)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04354)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01111)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06581)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23014)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77796)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05421)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21642)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78347)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03190)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11738)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.42595)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02591)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11051)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41467)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00519)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00511)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00518)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00391)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00426)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00051)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00035)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00046)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00050)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00051)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00040)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00199)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00105)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00105)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00105)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00106)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00106)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(OAI21)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 6 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(A1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)162 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OAI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(8.30280)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(A1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OAI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00261)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00269)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04156)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OAI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08001)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22282)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06949)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22830)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77758)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08414)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24497)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04086)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16040)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60790)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04725)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14717)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47612)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15477)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48330)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04003)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14613)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52641)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00528)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00547)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00651)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00642)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00647)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00496)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00490)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00538)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00033)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00025)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00028)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00137)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00118)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00121)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00163)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00153)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00223)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00108)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00108)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00108)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00233)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00192)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00220)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00219)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00222)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00222)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00233)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A0 * !A1 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !A1 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A0 * !A1 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !A1 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(OR2x)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)178 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(8.30280)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(10.25640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00271)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08181)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00291)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00270)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16815)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01915)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03017)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02718)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05637)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20521)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60740)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06292)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19256)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57629)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07430)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19204)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64292)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06445)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61481)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11175)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23707)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.65453)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21968)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64261)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12623)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24980)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.71941)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10866)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.71476)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00398)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00360)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00414)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00300)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00284)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00363)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00658)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00690)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00554)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00567)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00638)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00873)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00833)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00873)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00720)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00714)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00828)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01057)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01041)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01072)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00903)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00911)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01022)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00201)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00201)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00106)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00106)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00106)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00108)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00109)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(TBUFI)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(OE)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(-)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(HiZ)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)192 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(8.30280)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(OE)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00283)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00361)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04335)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01502)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05241)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05259)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21461)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77858)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09234)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37219)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23087)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57003)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03590)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51623)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04522)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09233)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37218)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13220)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.46787)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00385)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00375)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00377)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00342)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00451)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00049)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00052)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00045)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00241)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00205)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00328)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00188)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00187)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00188)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00166)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00167)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00167)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00188)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00187)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00188)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00168)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00167)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00167)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00144)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00112)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00235)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00129)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00097)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00220)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00455)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00584)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00464)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00446)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00597)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(TIEHI)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIEHI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(4.39560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIEHI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17278)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIEHI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(TIELO)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIELO)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(4.39560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIELO)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.36130)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIELO)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(XNOR2)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 5 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)214 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XNOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(14.16360)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XNOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00477)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04189)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XNOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08059)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XNOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10570)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25054)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.58725)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06263)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22196)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77580)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08637)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23281)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56930)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08365)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24577)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78097)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XNOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09631)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53257)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04393)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14130)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.46879)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08697)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20292)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52309)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15328)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48358)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XNOR2XL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00374)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00331)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00425)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00911)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00885)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00147)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00119)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00969)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00950)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01112)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XNOR2XL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01110)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01075)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01211)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00208)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00325)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01029)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01023)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01174)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00332)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00280)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00398)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(XOR2)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 5 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)224 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(14.16360)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00481)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04379)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07727)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09720)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23746)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57610)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07990)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24272)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08785)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23686)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.58791)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07726)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23660)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08544)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20344)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53176)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04947)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15746)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08076)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19940)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52773)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05329)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15490)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49738)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XOR2XL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01089)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01065)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00167)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00097)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00196)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01079)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01065)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00129)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00103)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XOR2XL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00208)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00149)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00268)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01169)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01153)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01302)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00203)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00151)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00271)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01040)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01040)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01196)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-] D
-0 1 232{TS}for RC ZF
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/celllist.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-Pt
-
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/celllist.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-NP RC ZF
-()1 Sl()WB 0 Sn()2 Al()0 1 0 H(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs)WB 18 Sn( Library)EH()Ea()2 1 1 HR()BR()0 PT(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/ADDF.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 1 Sn()1 PT(
-)2 1 1 HR()BR()3 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)4 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)5 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)6 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CO rising :)ES()Ef(
-)7 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CO falling :)ES()Ef(
-)8 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CON rising :)ES()Ef(
-)9 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CON falling :)ES()Ef(
-)10 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to S rising :)ES()Ef(
-)11 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to S falling :)ES()Ef(
-)12 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CO rising :)ES()Ef(
-)13 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CO falling :)ES()Ef(
-)14 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CON rising :)ES()Ef(
-)15 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CON falling :)ES()Ef(
-)16 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to S rising :)ES()Ef(
-)17 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to S falling :)ES()Ef(
-)18 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/AND2x.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 2 Sn()19 PT(
-)2 1 1 HR()BR()21 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)22 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)23 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)24 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)25 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)26 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)27 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)28 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)29 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)30 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B rising \201conditional\202:)ES()Ef(
-)31 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B falling \201conditional\202:)ES()Ef(
-)32 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/ANT.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 3 Sn()33 PT(
-)2 1 1 HR()BR()35 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)36 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)37 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)38 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Passive Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising :)ES()Ef(
-)39 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling :)ES()Ef(
-)40 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/AOI21.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 4 Sn()41 PT(
-)2 1 1 HR()BR()43 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)44 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)45 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)46 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)47 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)48 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)49 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)50 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A0 rising \201conditional\202:)ES()Ef(
-)51 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A0 falling \201conditional\202:)ES()Ef(
-)52 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A1 rising \201conditional\202:)ES()Ef(
-)53 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A1 falling \201conditional\202:)ES()Ef(
-)54 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B0 rising \201conditional\202:)ES()Ef(
-)55 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B0 falling \201conditional\202:)ES()Ef(
-)56 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/BUFx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 5 Sn()57 PT(
-)2 1 1 HR()BR()59 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)60 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)61 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)62 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)63 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)64 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)65 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)66 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/DFFSR.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 6 Sn()67 PT(
-)2 1 1 HR()BR()69 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)70 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)71 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)72 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Q rising :)ES()Ef(
-)73 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Q falling :)ES()Ef(
-)74 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to QN rising :)ES()Ef(
-)75 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to QN falling :)ES()Ef(
-)76 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Constraint Information)ES()Ef(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D rising :)ES()Ef(
-)77 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D falling :)ES()Ef(
-)78 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D rising \201conditional\202:)ES()Ef(
-)79 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D falling \201conditional\202:)ES()Ef(
-)80 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for RN rising :)ES()Ef(
-)81 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for RN rising \201conditional\202:)ES()Ef(
-)82 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for RN falling \201conditional\202:)ES()Ef(
-)83 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for SN rising :)ES()Ef(
-)84 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for SN rising \201conditional\202:)ES()Ef(
-)85 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for SN falling \201conditional\202:)ES()Ef(
-)86 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for CK rising \201conditional\202:)ES()Ef(
-)87 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for CK falling \201conditional\202:)ES()Ef(
-)88 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Q rising :)ES()Ef(
-)89 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Q falling :)ES()Ef(
-)90 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to QN rising :)ES()Ef(
-)91 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to QN falling :)ES()Ef(
-)92 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for D rising \201conditional\202:)ES()Ef(
-)93 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for D falling \201conditional\202:)ES()Ef(
-)94 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for RN rising \201conditional\202:)ES()Ef(
-)95 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for RN falling \201conditional\202:)ES()Ef(
-)96 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for SN rising \201conditional\202:)ES()Ef(
-)97 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for SN falling \201conditional\202:)ES()Ef(
-)98 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for CK rising \201conditional\202:)ES()Ef(
-)99 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for CK falling \201conditional\202:)ES()Ef(
-)100 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/DFF.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 7 Sn()101 PT(
-)2 1 1 HR()BR()103 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)104 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)105 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)106 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Q rising :)ES()Ef(
-)107 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Q falling :)ES()Ef(
-)108 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to QN rising :)ES()Ef(
-)109 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to QN falling :)ES()Ef(
-)110 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Constraint Information)ES()Ef(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D rising :)ES()Ef(
-)111 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D falling :)ES()Ef(
-)112 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for CK rising \201conditional\202:)ES()Ef(
-)113 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for CK falling \201conditional\202:)ES()Ef(
-)114 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Q rising :)ES()Ef(
-)115 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Q falling :)ES()Ef(
-)116 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to QN rising :)ES()Ef(
-)117 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to QN falling :)ES()Ef(
-)118 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for D rising \201conditional\202:)ES()Ef(
-)119 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for D falling \201conditional\202:)ES()Ef(
-)120 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for CK rising \201conditional\202:)ES()Ef(
-)121 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for CK falling \201conditional\202:)ES()Ef(
-)122 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/INVx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 8 Sn()123 PT(
-)2 1 1 HR()BR()125 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)126 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)127 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)128 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)129 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)130 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)131 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)132 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/NAND2.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 9 Sn()133 PT(
-)2 1 1 HR()BR()135 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)136 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)137 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)138 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)139 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)140 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)141 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)142 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)143 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)144 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B rising \201conditional\202:)ES()Ef(
-)145 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B falling \201conditional\202:)ES()Ef(
-)146 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/NOR2.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 10 Sn()147 PT(
-)2 1 1 HR()BR()149 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)150 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)151 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)152 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)153 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)154 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)155 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)156 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)157 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)158 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B rising \201conditional\202:)ES()Ef(
-)159 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B falling \201conditional\202:)ES()Ef(
-)160 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/OAI21.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 11 Sn()161 PT(
-)2 1 1 HR()BR()163 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)164 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)165 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)166 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)167 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)168 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)169 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)170 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A0 rising \201conditional\202:)ES()Ef(
-)171 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A0 falling \201conditional\202:)ES()Ef(
-)172 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A1 rising \201conditional\202:)ES()Ef(
-)173 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A1 falling \201conditional\202:)ES()Ef(
-)174 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B0 rising \201conditional\202:)ES()Ef(
-)175 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B0 falling \201conditional\202:)ES()Ef(
-)176 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/OR2x.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 12 Sn()177 PT(
-)2 1 1 HR()BR()179 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)180 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)181 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)182 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)183 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)184 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)185 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)186 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)187 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)188 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B rising \201conditional\202:)ES()Ef(
-)189 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B falling \201conditional\202:)ES()Ef(
-)190 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TBUFI.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 13 Sn()191 PT(
-)2 1 1 HR()BR()193 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)194 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)195 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)196 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)197 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)198 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)199 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)200 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)201 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)202 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for OE rising \201conditional\202:)ES()Ef(
-)203 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for OE falling \201conditional\202:)ES()Ef(
-)204 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TIEHI.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 14 Sn()205 PT(
-)2 1 1 HR()BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)206 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)207 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)208 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TIELO.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 15 Sn()209 PT(
-)2 1 1 HR()BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)210 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)211 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)212 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/XNOR2.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 16 Sn()213 PT(
-)2 1 1 HR()BR()215 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)216 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)217 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)218 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising \201conditional\202:)ES()Ef(
-)219 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling \201conditional\202:)ES()Ef(
-)220 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising \201conditional\202:)ES()Ef(
-)221 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling \201conditional\202:)ES()Ef(
-)222 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/XOR2.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 17 Sn()223 PT(
-)2 1 1 HR()BR()225 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)226 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)227 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)228 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising \201conditional\202:)ES()Ef(
-)229 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling \201conditional\202:)ES()Ef(
-)230 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising \201conditional\202:)ES()Ef(
-)231 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling \201conditional\202:)ES()Ef(
-)232 PT(
-)BR(
-)WB NL /BO 0 D TC /Ba f D Bs /AU f D /UR () D RC ZF
- tH WB
-ND 1 gt{Ts 3 mul Np 0()0 C()BD(<Untitled>)ES()0 1 TN()EA()BN}if
-1 NH le{18(1\240\240)1 C(sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Library)18 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 1()0 C()BD(<Untitled>)ES()1 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 2()0 C()BD(<Untitled>)ES()2 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 3()0 C()BD(<Untitled>)ES()3 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 4()0 C()BD(<Untitled>)ES()4 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 5()0 C()BD(<Untitled>)ES()5 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 6()0 C()BD(<Untitled>)ES()6 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 7()0 C()BD(<Untitled>)ES()7 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 8()0 C()BD(<Untitled>)ES()8 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 9()0 C()BD(<Untitled>)ES()9 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 10()0 C()BD(<Untitled>)ES()10 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 11()0 C()BD(<Untitled>)ES()11 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 12()0 C()BD(<Untitled>)ES()12 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 13()0 C()BD(<Untitled>)ES()13 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 14()0 C()BD(<Untitled>)ES()14 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 15()0 C()BD(<Untitled>)ES()15 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 16()0 C()BD(<Untitled>)ES()16 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 17()0 C()BD(<Untitled>)ES()17 1 TN()EA()BN}if
-
-/TE t D NP /OU t D TU PM 1 eq and{/Pn () D showpage}if end restore
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/ADDF.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/ADDF.html
deleted file mode 100644
index ed38d34..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/ADDF.html
+++ /dev/null
@@ -1,414 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>ADDF</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=3><font size=3>INPUT</font></TH>
-<TH colspan=3><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>CI</Th><Th>CO</Th><Th>CON</Th><Th>S</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>ADDFX1</FONT></TH>
-<TD align=center>31.25760</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=3>Pin Cap(pf) </TH>
-<TH colspan=3>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>CI</TH>
-<TH>CO</TH>
-<TH>CON</TH>
-<TH>S</TH>
-</TR>
-<TR><Th><font>ADDFX1</font></Th>
-<TD align=center>0.01047</TD>
-<TD align=center>0.01054</TD>
-<TD align=center>0.00821</TD>
-<TD align=center>0.09285</TD>
-<TD align=center>0.03473</TD>
-<TD align=center>0.08282</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>ADDFX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.06743</TD>
-<TD align=center>0.08429</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CO rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDFX1</TH>
-<Th><font>A->CO (RR)</font></Th>
-<TD align=center>0.15627</TD>
-<TD align=center>0.50628</TD>
-<TD align=center>1.88367</TD>
-</TR>
-<TR>
-<Th><font>B->CO (RR)</font></Th>
-<TD align=center>0.15512</TD>
-<TD align=center>0.50002</TD>
-<TD align=center>1.86834</TD>
-</TR>
-<TR>
-<Th><font>CI->CO (RR)</font></Th>
-<TD align=center>0.14855</TD>
-<TD align=center>0.50703</TD>
-<TD align=center>1.93017</TD>
-</TR>
-<TR>
-<Th><font>CON->CO (FR)</font></Th>
-<TD align=center>0.02812</TD>
-<TD align=center>0.17517</TD>
-<TD align=center>0.81840</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CO falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDFX1</TH>
-<Th><font>A->CO (FF)</font></Th>
-<TD align=center>0.20996</TD>
-<TD align=center>0.65191</TD>
-<TD align=center>2.37762</TD>
-</TR>
-<TR>
-<Th><font>B->CO (FF)</font></Th>
-<TD align=center>0.19115</TD>
-<TD align=center>0.61672</TD>
-<TD align=center>2.28036</TD>
-</TR>
-<TR>
-<Th><font>CI->CO (FF)</font></Th>
-<TD align=center>0.18508</TD>
-<TD align=center>0.62480</TD>
-<TD align=center>2.37268</TD>
-</TR>
-<TR>
-<Th><font>CON->CO (RF)</font></Th>
-<TD align=center>0.02464</TD>
-<TD align=center>0.14170</TD>
-<TD align=center>0.64997</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CON rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A->CON (FR)</font></Th>
-<TD align=center>0.16057</TD>
-<TD align=center>0.31347</TD>
-<TD align=center>0.79143</TD>
-</TR>
-<TR>
-<Th><font>B->CON (FR)</font></Th>
-<TD align=center>0.14130</TD>
-<TD align=center>0.28688</TD>
-<TD align=center>0.75442</TD>
-</TR>
-<TR>
-<Th><font>CI->CON (FR)</font></Th>
-<TD align=center>0.13576</TD>
-<TD align=center>0.28657</TD>
-<TD align=center>0.79203</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CON falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A->CON (RF)</font></Th>
-<TD align=center>0.09478</TD>
-<TD align=center>0.18608</TD>
-<TD align=center>0.47735</TD>
-</TR>
-<TR>
-<Th><font>B->CON (RF)</font></Th>
-<TD align=center>0.09478</TD>
-<TD align=center>0.19079</TD>
-<TD align=center>0.52124</TD>
-</TR>
-<TR>
-<Th><font>CI->CON (RF)</font></Th>
-<TD align=center>0.08706</TD>
-<TD align=center>0.18671</TD>
-<TD align=center>0.52924</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to S rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDFX1</TH>
-<Th><font>A->S (-R)</font></Th>
-<TD align=center>0.29631</TD>
-<TD align=center>0.67565</TD>
-<TD align=center>2.02179</TD>
-</TR>
-<TR>
-<Th><font>B->S (-R)</font></Th>
-<TD align=center>0.31013</TD>
-<TD align=center>0.68949</TD>
-<TD align=center>2.01526</TD>
-</TR>
-<TR>
-<Th><font>CI->S (-R)</font></Th>
-<TD align=center>0.26966</TD>
-<TD align=center>0.64626</TD>
-<TD align=center>2.01390</TD>
-</TR>
-<TR>
-<Th><font>CON->S (RR)</font></Th>
-<TD align=center>0.08317</TD>
-<TD align=center>0.22184</TD>
-<TD align=center>0.65191</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to S falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDFX1</TH>
-<Th><font>A->S (-F)</font></Th>
-<TD align=center>0.24508</TD>
-<TD align=center>0.54992</TD>
-<TD align=center>1.55415</TD>
-</TR>
-<TR>
-<Th><font>B->S (-F)</font></Th>
-<TD align=center>0.24156</TD>
-<TD align=center>0.52787</TD>
-<TD align=center>1.52491</TD>
-</TR>
-<TR>
-<Th><font>CI->S (-F)</font></Th>
-<TD align=center>0.23685</TD>
-<TD align=center>0.54864</TD>
-<TD align=center>1.59822</TD>
-</TR>
-<TR>
-<Th><font>CON->S (FF)</font></Th>
-<TD align=center>0.09439</TD>
-<TD align=center>0.24020</TD>
-<TD align=center>0.69668</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CO rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00246</TD>
-<TD align=center>0.00239</TD>
-<TD align=center>0.00279</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00310</TD>
-<TD align=center>0.00311</TD>
-<TD align=center>0.00358</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00328</TD>
-<TD align=center>0.00345</TD>
-<TD align=center>0.00403</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CO falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00890</TD>
-<TD align=center>0.00900</TD>
-<TD align=center>0.00959</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00888</TD>
-<TD align=center>0.00911</TD>
-<TD align=center>0.00976</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00776</TD>
-<TD align=center>0.00808</TD>
-<TD align=center>0.00884</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CON rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00888</TD>
-<TD align=center>0.00890</TD>
-<TD align=center>0.00899</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00886</TD>
-<TD align=center>0.00895</TD>
-<TD align=center>0.00908</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00775</TD>
-<TD align=center>0.00791</TD>
-<TD align=center>0.00816</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CON falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00242</TD>
-<TD align=center>0.00227</TD>
-<TD align=center>0.00237</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00305</TD>
-<TD align=center>0.00300</TD>
-<TD align=center>0.00305</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00327</TD>
-<TD align=center>0.00334</TD>
-<TD align=center>0.00353</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to S rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00890</TD>
-<TD align=center>0.00899</TD>
-<TD align=center>0.00946</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00888</TD>
-<TD align=center>0.00909</TD>
-<TD align=center>0.00964</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00776</TD>
-<TD align=center>0.00806</TD>
-<TD align=center>0.00870</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to S falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.01829</TD>
-<TD align=center>0.01780</TD>
-<TD align=center>0.01768</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01639</TD>
-<TD align=center>0.01604</TD>
-<TD align=center>0.01674</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.01469</TD>
-<TD align=center>0.01441</TD>
-<TD align=center>0.01465</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT></TD>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="AND2x.html">Next (AND2x)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/AND2x.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/AND2x.html
deleted file mode 100644
index b4c1a50..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/AND2x.html
+++ /dev/null
@@ -1,386 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>AND2x</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>AND2X1</FONT></TH>
-<TD align=center>8.30280</TD></TR>
-<TR><TH><FONT>AND2X2</FONT></TH>
-<TD align=center>10.25640</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>AND2X1</font></Th>
-<TD align=center>0.00272</TD>
-<TD align=center>0.00284</TD>
-<TD align=center>0.08996</TD>
-</TR>
-<TR><Th><font>AND2X2</font></Th>
-<TD align=center>0.00272</TD>
-<TD align=center>0.00284</TD>
-<TD align=center>0.17306</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>AND2X1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.03425</TD>
-<TD align=center>0.05439</TD>
-<TR><Th><font>AND2X2</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.05439</TD>
-<TD align=center>0.05637</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.07744</TD>
-<TD align=center>0.20562</TD>
-<TD align=center>0.61399</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.08146</TD>
-<TD align=center>0.20360</TD>
-<TD align=center>0.60475</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.08463</TD>
-<TD align=center>0.20264</TD>
-<TD align=center>0.65600</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.08864</TD>
-<TD align=center>0.20024</TD>
-<TD align=center>0.64230</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.06688</TD>
-<TD align=center>0.19441</TD>
-<TD align=center>0.62523</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.06740</TD>
-<TD align=center>0.19509</TD>
-<TD align=center>0.63407</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.07282</TD>
-<TD align=center>0.19140</TD>
-<TD align=center>0.65844</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.07290</TD>
-<TD align=center>0.19114</TD>
-<TD align=center>0.66357</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>AND2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00284</TD>
-<TD align=center>0.00253</TD>
-<TD align=center>0.00351</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00291</TD>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00310</TD>
-</TR>
-<TR><TH rowspan=4>AND2X2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00547</TD>
-<TD align=center>0.00523</TD>
-<TD align=center>0.00616</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00555</TD>
-<TD align=center>0.00530</TD>
-<TD align=center>0.00586</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>AND2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00751</TD>
-<TD align=center>0.00746</TD>
-<TD align=center>0.00883</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00819</TD>
-<TD align=center>0.00803</TD>
-<TD align=center>0.00935</TD>
-</TR>
-<TR><TH rowspan=4>AND2X2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00928</TD>
-<TD align=center>0.00960</TD>
-<TD align=center>0.01091</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01002</TD>
-<TD align=center>0.01011</TD>
-<TD align=center>0.01132</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>-0.00273</TD>
-<TD align=center>-0.00275</TD>
-<TD align=center>-0.00275</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>-0.00274</TD>
-<TD align=center>-0.00274</TD>
-<TD align=center>-0.00275</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00273</TD>
-<TD align=center>0.00276</TD>
-<TD align=center>0.00275</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00274</TD>
-<TD align=center>0.00276</TD>
-<TD align=center>0.00275</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>-0.00264</TD>
-<TD align=center>-0.00264</TD>
-<TD align=center>-0.00264</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>-0.00264</TD>
-<TD align=center>-0.00265</TD>
-<TD align=center>-0.00264</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00265</TD>
-<TD align=center>0.00266</TD>
-<TD align=center>0.00265</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00265</TD>
-<TD align=center>0.00266</TD>
-<TD align=center>0.00265</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="ADDF.html">Prev (ADDF)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="ANT.html">Next (ANT)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/ANT.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/ANT.html
deleted file mode 100644
index 468732c..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/ANT.html
+++ /dev/null
@@ -1,95 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>ANT</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
-<TR><Th>A</Th></TR>
-<TR><Th><font size=2>x</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>ANT</FONT></TH>
-<TD align=center>4.39560</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Pin Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-</TR>
-<TR><Th><font>ANT</font></Th>
-<TD align=center>0.02722</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>ANT</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>154503.00000</TD>
-<TD align=center>309006.00000</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Passive Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>ANT</TH>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<TD align=center>-0.00160</TD>
-<TD align=center>0.00520</TD>
-<TD align=center>0.03976</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>ANT</TH>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<TD align=center>0.20282</TD>
-<TD align=center>0.17949</TD>
-<TD align=center>0.05865</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="AND2x.html">Prev (AND2x)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="AOI21.html">Next (AOI21)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/AOI21.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/AOI21.html
deleted file mode 100644
index 80ee41b..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/AOI21.html
+++ /dev/null
@@ -1,418 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>AOI21</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=3><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A0</Th><Th>A1</Th><Th>B0</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>AOI21XL</FONT></TH>
-<TD align=center>8.30280</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=3>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A0</TH>
-<TH>A1</TH>
-<TH>B0</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>AOI21XL</font></Th>
-<TD align=center>0.00253</TD>
-<TD align=center>0.00265</TD>
-<TD align=center>0.00281</TD>
-<TD align=center>0.03753</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>AOI21XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.01431</TD>
-<TD align=center>0.02620</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>AOI21XL</TH>
-<Th><font>A0->Y (FR)</font></Th>
-<TD align=center>0.08744</TD>
-<TD align=center>0.25413</TD>
-<TD align=center>0.76978</TD>
-</TR>
-<TR>
-<Th><font>A1->Y (FR)</font></Th>
-<TD align=center>0.07308</TD>
-<TD align=center>0.22557</TD>
-<TD align=center>0.71744</TD>
-</TR>
-<TR>
-<Th><font>B0->Y (FR)</font></Th>
-<TD align=center>0.06872</TD>
-<TD align=center>0.23251</TD>
-<TD align=center>0.78355</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>AOI21XL</TH>
-<Th><font>A0->Y (RF)</font></Th>
-<TD align=center>0.05325</TD>
-<TD align=center>0.14697</TD>
-<TD align=center>0.45251</TD>
-</TR>
-<TR>
-<Th><font>A1->Y (RF)</font></Th>
-<TD align=center>0.05001</TD>
-<TD align=center>0.14952</TD>
-<TD align=center>0.49372</TD>
-</TR>
-<TR>
-<Th><font>B0->Y (RF)</font></Th>
-<TD align=center>0.02654</TD>
-<TD align=center>0.10733</TD>
-<TD align=center>0.38242</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>AOI21XL</TH>
-<Th><font>A0</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A0</font></Th>
-<TD align=center>0.00625</TD>
-<TD align=center>0.00619</TD>
-<TD align=center>0.00622</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00546</TD>
-<TD align=center>0.00535</TD>
-<TD align=center>0.00536</TD>
-</TR>
-<TR>
-<Th><font>B0</font></Th>
-<TD align=center>0.00490</TD>
-<TD align=center>0.00477</TD>
-<TD align=center>0.00510</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>AOI21XL</TH>
-<Th><font>A0</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A0</font></Th>
-<TD align=center>0.00123</TD>
-<TD align=center>0.00102</TD>
-<TD align=center>0.00105</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00129</TD>
-<TD align=center>0.00110</TD>
-<TD align=center>0.00116</TD>
-</TR>
-<TR>
-<Th><font>B0</font></Th>
-<TD align=center>-0.00051</TD>
-<TD align=center>-0.00056</TD>
-<TD align=center>-0.00043</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A0 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>AOI21XL</TH>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>-0.00212</TD>
-<TD align=center>-0.00229</TD>
-<TD align=center>-0.00232</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * B0 * !Y)</font></Th>
-<TD align=center>-0.00235</TD>
-<TD align=center>-0.00236</TD>
-<TD align=center>-0.00236</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>-0.00236</TD>
-<TD align=center>-0.00236</TD>
-<TD align=center>-0.00236</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A0 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>AOI21XL</TH>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00231</TD>
-<TD align=center>0.00233</TD>
-<TD align=center>0.00232</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00235</TD>
-<TD align=center>0.00238</TD>
-<TD align=center>0.00237</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00236</TD>
-<TD align=center>0.00238</TD>
-<TD align=center>0.00237</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A1 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>AOI21XL</TH>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>-0.00207</TD>
-<TD align=center>-0.00223</TD>
-<TD align=center>-0.00224</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * B0 * !Y)</font></Th>
-<TD align=center>-0.00228</TD>
-<TD align=center>-0.00229</TD>
-<TD align=center>-0.00228</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>-0.00248</TD>
-<TD align=center>-0.00248</TD>
-<TD align=center>-0.00248</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A1 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>AOI21XL</TH>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00225</TD>
-<TD align=center>0.00224</TD>
-<TD align=center>0.00225</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00228</TD>
-<TD align=center>0.00230</TD>
-<TD align=center>0.00230</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00248</TD>
-<TD align=center>0.00248</TD>
-<TD align=center>0.00249</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B0 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AOI21XL</TH>
-<Th><font>(A0 * A1 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * A1 * !Y)</font></Th>
-<TD align=center>-0.00104</TD>
-<TD align=center>-0.00104</TD>
-<TD align=center>-0.00104</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B0 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AOI21XL</TH>
-<Th><font>(A0 * A1 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * A1 * !Y)</font></Th>
-<TD align=center>0.00107</TD>
-<TD align=center>0.00106</TD>
-<TD align=center>0.00105</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="ANT.html">Prev (ANT)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="BUFx.html">Next (BUFx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/BUFx.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/BUFx.html
deleted file mode 100644
index 2c1e3fd..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/BUFx.html
+++ /dev/null
@@ -1,228 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>BUFx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>BUFX1</FONT></TH>
-<TD align=center>6.34920</TD></TR>
-<TR><TH><FONT>BUFX2</FONT></TH>
-<TD align=center>8.30280</TD></TR>
-<TR><TH><FONT>BUFX4</FONT></TH>
-<TD align=center>12.21000</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>BUFX1</font></Th>
-<TD align=center>0.00283</TD>
-<TD align=center>0.08470</TD>
-</TR>
-<TR><Th><font>BUFX2</font></Th>
-<TD align=center>0.00285</TD>
-<TD align=center>0.15518</TD>
-</TR>
-<TR><Th><font>BUFX4</font></Th>
-<TD align=center>0.00285</TD>
-<TD align=center>0.28563</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>BUFX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.02819</TD>
-<TD align=center>0.02819</TD>
-<TR><Th><font>BUFX2</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.04228</TD>
-<TD align=center>0.05439</TD>
-<TR><Th><font>BUFX4</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.07046</TD>
-<TD align=center>0.10679</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>BUFX1</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.06115</TD>
-<TD align=center>0.18922</TD>
-<TD align=center>0.58044</TD>
-</TR>
-<TR><TH rowspan=1>BUFX2</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.06404</TD>
-<TD align=center>0.17865</TD>
-<TD align=center>0.60015</TD>
-</TR>
-<TR><TH rowspan=1>BUFX4</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.08345</TD>
-<TD align=center>0.19363</TD>
-<TD align=center>0.67219</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>BUFX1</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.06309</TD>
-<TD align=center>0.18628</TD>
-<TD align=center>0.59544</TD>
-</TR>
-<TR><TH rowspan=1>BUFX2</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.07034</TD>
-<TD align=center>0.18274</TD>
-<TD align=center>0.61487</TD>
-</TR>
-<TR><TH rowspan=1>BUFX4</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.09549</TD>
-<TD align=center>0.20698</TD>
-<TD align=center>0.67778</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>BUFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00273</TD>
-<TD align=center>0.00236</TD>
-<TD align=center>0.00332</TD>
-</TR>
-<TR><TH rowspan=2>BUFX2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00533</TD>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00604</TD>
-</TR>
-<TR><TH rowspan=2>BUFX4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01131</TD>
-<TD align=center>0.01151</TD>
-<TD align=center>0.01230</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>BUFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00717</TD>
-<TD align=center>0.00702</TD>
-<TD align=center>0.00841</TD>
-</TR>
-<TR><TH rowspan=2>BUFX2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00902</TD>
-<TD align=center>0.00897</TD>
-<TD align=center>0.01027</TD>
-</TR>
-<TR><TH rowspan=2>BUFX4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01393</TD>
-<TD align=center>0.01422</TD>
-<TD align=center>0.01537</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="AOI21.html">Prev (AOI21)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="DFFSR.html">Next (DFFSR)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/DFF.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/DFF.html
deleted file mode 100644
index 163f628..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/DFF.html
+++ /dev/null
@@ -1,459 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>DFF</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=2><font size=3>OUTPUT</font></TH>
-<TR><Th>D</Th><Th>CK</Th><Th>Q</Th><Th>QN</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>R</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>R</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>x</font></Th><Th><font size=2>IQ</font></Th><Th><font size=2>IQN</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>DFFX1</FONT></TH>
-<TD align=center>32.23440</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=2>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>D</TH>
-<TH>CK</TH>
-<TH>Q</TH>
-<TH>QN</TH>
-</TR>
-<TR><Th><font>DFFX1</font></Th>
-<TD align=center>0.00277</TD>
-<TD align=center>0.00780</TD>
-<TD align=center>0.08018</TD>
-<TD align=center>0.07802</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>DFFX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.09325</TD>
-<TD align=center>0.11249</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Q rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK->Q (RR)</font></Th>
-<TD align=center>0.21033</TD>
-<TD align=center>0.46405</TD>
-<TD align=center>1.30004</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (FR)</font></Th>
-<TD align=center>0.03799</TD>
-<TD align=center>0.21324</TD>
-<TD align=center>0.94344</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Q falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK->Q (RF)</font></Th>
-<TD align=center>0.28659</TD>
-<TD align=center>0.54110</TD>
-<TD align=center>1.39168</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (RF)</font></Th>
-<TD align=center>0.02675</TD>
-<TD align=center>0.14456</TD>
-<TD align=center>0.64299</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to QN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>DFFX1</TH>
-<Th><font>CK->QN (RR)</font></Th>
-<TD align=center>0.24970</TD>
-<TD align=center>0.38020</TD>
-<TD align=center>0.77114</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to QN falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>DFFX1</TH>
-<Th><font>CK->QN (RF)</font></Th>
-<TD align=center>0.16405</TD>
-<TD align=center>0.27065</TD>
-<TD align=center>0.56202</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Constraint Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.04263</TD>
-<TD align=center>-0.04282</TD>
-<TD align=center>-0.06087</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.12428</TD>
-<TD align=center>0.11953</TD>
-<TD align=center>0.21628</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.10717</TD>
-<TD align=center>-0.15408</TD>
-<TD align=center>-0.48593</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.12574</TD>
-<TD align=center>0.16544</TD>
-<TD align=center>0.50013</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for CK rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.08395</TD>
-<TD align=center>0.11202</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.13941</TD>
-<TD align=center>0.13228</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for CK falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.18778</TD>
-<TD align=center>0.19642</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.10093</TD>
-<TD align=center>0.13565</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Q rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00768</TD>
-<TD align=center>0.00711</TD>
-<TD align=center>0.00704</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Q falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00830</TD>
-<TD align=center>0.00760</TD>
-<TD align=center>0.00631</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to QN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00829</TD>
-<TD align=center>0.00761</TD>
-<TD align=center>0.00639</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to QN falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00765</TD>
-<TD align=center>0.00708</TD>
-<TD align=center>0.00695</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for D rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>-0.00198</TD>
-<TD align=center>-0.00225</TD>
-<TD align=center>-0.00226</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.00772</TD>
-<TD align=center>0.00734</TD>
-<TD align=center>0.00762</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for D falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00226</TD>
-<TD align=center>0.00227</TD>
-<TD align=center>0.00227</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.01449</TD>
-<TD align=center>0.01423</TD>
-<TD align=center>0.01458</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for CK rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFX1</TH>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>-0.00070</TD>
-<TD align=center>-0.00110</TD>
-<TD align=center>-0.00036</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>-0.00064</TD>
-<TD align=center>-0.00104</TD>
-<TD align=center>-0.00024</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for CK falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>DFFX1</TH>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.01067</TD>
-<TD align=center>0.01041</TD>
-<TD align=center>0.01178</TD>
-</TR>
-<TR>
-<Th><font>(D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !Q * QN)</font></Th>
-<TD align=center>0.02149</TD>
-<TD align=center>0.02103</TD>
-<TD align=center>0.02192</TD>
-</TR>
-<TR>
-<Th><font>(!D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * Q * !QN)</font></Th>
-<TD align=center>0.02213</TD>
-<TD align=center>0.02159</TD>
-<TD align=center>0.02398</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.01185</TD>
-<TD align=center>0.01159</TD>
-<TD align=center>0.01279</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="DFFSR.html">Prev (DFFSR)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="INVx.html">Next (INVx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/DFFSR.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/DFFSR.html
deleted file mode 100644
index e3d740c..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/DFFSR.html
+++ /dev/null
@@ -1,1091 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>DFFSR</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=4><font size=3>INPUT</font></TH>
-<TH colspan=2><font size=3>OUTPUT</font></TH>
-<TR><Th>D</Th><Th>RN</Th><Th>SN</Th><Th>CK</Th><Th>Q</Th><Th>QN</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>R</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>R</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>IQ</font></Th><Th><font size=2>IQN</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>DFFSRX1</FONT></TH>
-<TD align=center>46.39800</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=4>Pin Cap(pf) </TH>
-<TH colspan=2>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>D</TH>
-<TH>RN</TH>
-<TH>SN</TH>
-<TH>CK</TH>
-<TH>Q</TH>
-<TH>QN</TH>
-</TR>
-<TR><Th><font>DFFSRX1</font></Th>
-<TD align=center>0.00253</TD>
-<TD align=center>0.00261</TD>
-<TD align=center>0.00595</TD>
-<TD align=center>0.00802</TD>
-<TD align=center>0.08910</TD>
-<TD align=center>0.08634</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>DFFSRX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.10427</TD>
-<TD align=center>0.14088</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Q rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>CK->Q (RR)</font></Th>
-<TD align=center>0.30610</TD>
-<TD align=center>0.58143</TD>
-<TD align=center>1.49133</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (FR)</font></Th>
-<TD align=center>0.03358</TD>
-<TD align=center>0.19913</TD>
-<TD align=center>0.91179</TD>
-</TR>
-<TR>
-<Th><font>RN->Q (RR)</font></Th>
-<TD align=center>0.25639</TD>
-<TD align=center>0.53633</TD>
-<TD align=center>1.46045</TD>
-</TR>
-<TR>
-<Th><font>SN->Q (FR)</font></Th>
-<TD align=center>0.22962</TD>
-<TD align=center>0.51832</TD>
-<TD align=center>1.56063</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Q falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>DFFSRX1</TH>
-<Th><font>CK->Q (RF)</font></Th>
-<TD align=center>0.33700</TD>
-<TD align=center>0.60175</TD>
-<TD align=center>1.51326</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (RF)</font></Th>
-<TD align=center>0.02662</TD>
-<TD align=center>0.15056</TD>
-<TD align=center>0.68479</TD>
-</TR>
-<TR>
-<Th><font>RN->Q (FF)</font></Th>
-<TD align=center>0.21882</TD>
-<TD align=center>0.49804</TD>
-<TD align=center>1.54075</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to QN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>CK->QN (RR)</font></Th>
-<TD align=center>0.29962</TD>
-<TD align=center>0.43368</TD>
-<TD align=center>0.83750</TD>
-</TR>
-<TR>
-<Th><font>RN->QN (FR)</font></Th>
-<TD align=center>0.18224</TD>
-<TD align=center>0.33045</TD>
-<TD align=center>0.86565</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to QN falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>DFFSRX1</TH>
-<Th><font>CK->QN (RF)</font></Th>
-<TD align=center>0.25769</TD>
-<TD align=center>0.38405</TD>
-<TD align=center>0.71354</TD>
-</TR>
-<TR>
-<Th><font>RN->QN (RF)</font></Th>
-<TD align=center>0.20780</TD>
-<TD align=center>0.33909</TD>
-<TD align=center>0.68288</TD>
-</TR>
-<TR>
-<Th><font>SN->QN (FF)</font></Th>
-<TD align=center>0.18114</TD>
-<TD align=center>0.32109</TD>
-<TD align=center>0.78331</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Constraint Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.06586</TD>
-<TD align=center>-0.06403</TD>
-<TD align=center>-0.08277</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.21074</TD>
-<TD align=center>0.20394</TD>
-<TD align=center>0.28814</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.12233</TD>
-<TD align=center>-0.16183</TD>
-<TD align=center>-0.49861</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.15036</TD>
-<TD align=center>0.17909</TD>
-<TD align=center>0.51251</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.06586</TD>
-<TD align=center>-0.06403</TD>
-<TD align=center>-0.08277</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.21074</TD>
-<TD align=center>0.20394</TD>
-<TD align=center>0.28814</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.12233</TD>
-<TD align=center>-0.16183</TD>
-<TD align=center>-0.49861</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.15036</TD>
-<TD align=center>0.17909</TD>
-<TD align=center>0.51251</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for RN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.16850</TD>
-<TD align=center>0.16515</TD>
-<TD align=center>0.25785</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.03304</TD>
-<TD align=center>-0.03715</TD>
-<TD align=center>-0.04880</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.17996</TD>
-<TD align=center>-0.20764</TD>
-<TD align=center>-0.43479</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.19640</TD>
-<TD align=center>0.22259</TD>
-<TD align=center>0.55740</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for RN rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=6>DFFSRX1</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.16850</TD>
-<TD align=center>0.16515</TD>
-<TD align=center>0.25785</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.03304</TD>
-<TD align=center>-0.03715</TD>
-<TD align=center>-0.04880</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.17996</TD>
-<TD align=center>-0.20764</TD>
-<TD align=center>-0.43479</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.17996</TD>
-<TD align=center>-0.20764</TD>
-<TD align=center>-0.43479</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.19640</TD>
-<TD align=center>0.22259</TD>
-<TD align=center>0.55205</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.19640</TD>
-<TD align=center>0.22259</TD>
-<TD align=center>0.55740</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for RN falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>RN ()</font></Th>
-<TD align=center>0.13171</TD>
-<TD align=center>0.15928</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>RN ()</font></Th>
-<TD align=center>0.13210</TD>
-<TD align=center>0.16266</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for SN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.04477</TD>
-<TD align=center>0.04600</TD>
-<TD align=center>0.11962</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.02160</TD>
-<TD align=center>-0.02782</TD>
-<TD align=center>-0.08376</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for SN rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.04477</TD>
-<TD align=center>0.04600</TD>
-<TD align=center>0.11962</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.02160</TD>
-<TD align=center>-0.02782</TD>
-<TD align=center>-0.08376</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for SN falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>SN ()</font></Th>
-<TD align=center>0.16755</TD>
-<TD align=center>0.19980</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>SN ()</font></Th>
-<TD align=center>0.17035</TD>
-<TD align=center>0.20317</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for CK rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.13110</TD>
-<TD align=center>0.13903</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.16637</TD>
-<TD align=center>0.15928</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for CK falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.26588</TD>
-<TD align=center>0.27407</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.13570</TD>
-<TD align=center>0.15591</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Q rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00890</TD>
-<TD align=center>0.00838</TD>
-<TD align=center>0.00811</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.01676</TD>
-<TD align=center>0.01643</TD>
-<TD align=center>0.01575</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>-0.00175</TD>
-<TD align=center>-0.01630</TD>
-<TD align=center>-0.07217</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>0.01862</TD>
-<TD align=center>0.01832</TD>
-<TD align=center>0.01719</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Q falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00952</TD>
-<TD align=center>0.00899</TD>
-<TD align=center>0.00806</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>-0.00175</TD>
-<TD align=center>-0.01630</TD>
-<TD align=center>-0.07217</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.02000</TD>
-<TD align=center>0.01958</TD>
-<TD align=center>0.01863</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to QN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00951</TD>
-<TD align=center>0.00899</TD>
-<TD align=center>0.00810</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>-0.00175</TD>
-<TD align=center>-0.01600</TD>
-<TD align=center>-0.06994</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.01999</TD>
-<TD align=center>0.01959</TD>
-<TD align=center>0.01869</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to QN falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00888</TD>
-<TD align=center>0.00836</TD>
-<TD align=center>0.00806</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.01674</TD>
-<TD align=center>0.01641</TD>
-<TD align=center>0.01579</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>-0.00175</TD>
-<TD align=center>-0.01600</TD>
-<TD align=center>-0.06992</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>0.01860</TD>
-<TD align=center>0.01830</TD>
-<TD align=center>0.01719</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for D rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=10>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>-0.00217</TD>
-<TD align=center>-0.00224</TD>
-<TD align=center>-0.00226</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.01094</TD>
-<TD align=center>0.01063</TD>
-<TD align=center>0.01089</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00402</TD>
-<TD align=center>0.00374</TD>
-<TD align=center>0.00408</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00404</TD>
-<TD align=center>0.00376</TD>
-<TD align=center>0.00409</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00408</TD>
-<TD align=center>0.00380</TD>
-<TD align=center>0.00414</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for D falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=10>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00226</TD>
-<TD align=center>0.00227</TD>
-<TD align=center>0.00226</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.01608</TD>
-<TD align=center>0.01592</TD>
-<TD align=center>0.01608</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00709</TD>
-<TD align=center>0.00698</TD>
-<TD align=center>0.00732</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00708</TD>
-<TD align=center>0.00697</TD>
-<TD align=center>0.00731</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00703</TD>
-<TD align=center>0.00692</TD>
-<TD align=center>0.00726</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for RN rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00225</TD>
-<TD align=center>0.00194</TD>
-<TD align=center>0.00267</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00959</TD>
-<TD align=center>0.00917</TD>
-<TD align=center>0.00977</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for RN falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00846</TD>
-<TD align=center>0.00829</TD>
-<TD align=center>0.00962</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.01635</TD>
-<TD align=center>0.01602</TD>
-<TD align=center>0.01711</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for SN rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>DFFSRX1</TH>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>-0.00543</TD>
-<TD align=center>-0.00546</TD>
-<TD align=center>-0.00545</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.00599</TD>
-<TD align=center>-0.00618</TD>
-<TD align=center>-0.00621</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.00565</TD>
-<TD align=center>-0.00573</TD>
-<TD align=center>-0.00576</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00296</TD>
-<TD align=center>0.00265</TD>
-<TD align=center>0.00294</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for SN falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>DFFSRX1</TH>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00543</TD>
-<TD align=center>0.00549</TD>
-<TD align=center>0.00545</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00620</TD>
-<TD align=center>0.00618</TD>
-<TD align=center>0.00622</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00573</TD>
-<TD align=center>0.00573</TD>
-<TD align=center>0.00577</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.01215</TD>
-<TD align=center>0.01194</TD>
-<TD align=center>0.01203</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for CK rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=10>DFFSRX1</TH>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>-0.00061</TD>
-<TD align=center>-0.00104</TD>
-<TD align=center>-0.00023</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00465</TD>
-<TD align=center>0.00402</TD>
-<TD align=center>0.00462</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00460</TD>
-<TD align=center>0.00399</TD>
-<TD align=center>0.00457</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.00071</TD>
-<TD align=center>-0.00112</TD>
-<TD align=center>-0.00026</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00289</TD>
-<TD align=center>0.00216</TD>
-<TD align=center>0.00371</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for CK falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=14>DFFSRX1</TH>
-<Th><font>(D * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.02470</TD>
-<TD align=center>0.02428</TD>
-<TD align=center>0.02510</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.01055</TD>
-<TD align=center>0.01032</TD>
-<TD align=center>0.01173</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.01717</TD>
-<TD align=center>0.01692</TD>
-<TD align=center>0.01811</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.01721</TD>
-<TD align=center>0.01697</TD>
-<TD align=center>0.01815</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * Q * !QN)</font></Th>
-<TD align=center>0.02378</TD>
-<TD align=center>0.02327</TD>
-<TD align=center>0.02555</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.01185</TD>
-<TD align=center>0.01162</TD>
-<TD align=center>0.01285</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.01417</TD>
-<TD align=center>0.01375</TD>
-<TD align=center>0.01629</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="BUFx.html">Prev (BUFx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="DFF.html">Next (DFF)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/INVx.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/INVx.html
deleted file mode 100644
index 0baea40..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/INVx.html
+++ /dev/null
@@ -1,274 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>INVx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>INVX1</FONT></TH>
-<TD align=center>4.39560</TD></TR>
-<TR><TH><FONT>INVX2</FONT></TH>
-<TD align=center>6.34920</TD></TR>
-<TR><TH><FONT>INVX4</FONT></TH>
-<TD align=center>10.25640</TD></TR>
-<TR><TH><FONT>INVX8</FONT></TH>
-<TD align=center>18.07080</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>INVX1</font></Th>
-<TD align=center>0.00265</TD>
-<TD align=center>0.08060</TD>
-</TR>
-<TR><Th><font>INVX2</font></Th>
-<TD align=center>0.00484</TD>
-<TD align=center>0.14838</TD>
-</TR>
-<TR><Th><font>INVX4</font></Th>
-<TD align=center>0.00940</TD>
-<TD align=center>0.27605</TD>
-</TR>
-<TR><Th><font>INVX8</font></Th>
-<TD align=center>0.01860</TD>
-<TD align=center>0.51469</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>INVX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.01409</TD>
-<TD align=center>0.02620</TD>
-<TR><Th><font>INVX2</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.02818</TD>
-<TD align=center>0.05241</TD>
-<TR><Th><font>INVX4</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.05637</TD>
-<TD align=center>0.10481</TD>
-<TR><Th><font>INVX8</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.11274</TD>
-<TD align=center>0.20962</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>INVX1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.03137</TD>
-<TD align=center>0.17780</TD>
-<TD align=center>0.79401</TD>
-</TR>
-<TR><TH rowspan=1>INVX2</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.02271</TD>
-<TD align=center>0.14729</TD>
-<TD align=center>0.76667</TD>
-</TR>
-<TR><TH rowspan=1>INVX4</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.02301</TD>
-<TD align=center>0.13286</TD>
-<TD align=center>0.77181</TD>
-</TR>
-<TR><TH rowspan=1>INVX8</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.02775</TD>
-<TD align=center>0.12484</TD>
-<TD align=center>0.77703</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>INVX1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.02472</TD>
-<TD align=center>0.13405</TD>
-<TD align=center>0.59669</TD>
-</TR>
-<TR><TH rowspan=1>INVX2</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.01796</TD>
-<TD align=center>0.11019</TD>
-<TD align=center>0.56805</TD>
-</TR>
-<TR><TH rowspan=1>INVX4</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.01750</TD>
-<TD align=center>0.09611</TD>
-<TD align=center>0.55426</TD>
-</TR>
-<TR><TH rowspan=1>INVX8</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.02229</TD>
-<TD align=center>0.08833</TD>
-<TD align=center>0.54160</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>INVX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00378</TD>
-<TD align=center>0.00374</TD>
-<TD align=center>0.00402</TD>
-</TR>
-<TR><TH rowspan=2>INVX2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00646</TD>
-<TD align=center>0.00651</TD>
-<TD align=center>0.00719</TD>
-</TR>
-<TR><TH rowspan=2>INVX4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01252</TD>
-<TD align=center>0.01287</TD>
-<TD align=center>0.01420</TD>
-</TR>
-<TR><TH rowspan=2>INVX8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.02430</TD>
-<TD align=center>0.02520</TD>
-<TD align=center>0.02810</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>INVX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00065</TD>
-<TD align=center>-0.00070</TD>
-<TD align=center>-0.00058</TD>
-</TR>
-<TR><TH rowspan=2>INVX2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00216</TD>
-<TD align=center>-0.00221</TD>
-<TD align=center>-0.00186</TD>
-</TR>
-<TR><TH rowspan=2>INVX4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00433</TD>
-<TD align=center>-0.00427</TD>
-<TD align=center>-0.00347</TD>
-</TR>
-<TR><TH rowspan=2>INVX8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00886</TD>
-<TD align=center>-0.00856</TD>
-<TD align=center>-0.00659</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="DFF.html">Prev (DFF)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="NAND2.html">Next (NAND2)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/NAND2.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/NAND2.html
deleted file mode 100644
index 908443c..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/NAND2.html
+++ /dev/null
@@ -1,255 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>NAND2</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>NAND2X1</FONT></TH>
-<TD align=center>6.34920</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>NAND2X1</font></Th>
-<TD align=center>0.00264</TD>
-<TD align=center>0.00263</TD>
-<TD align=center>0.07872</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>NAND2X1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.01410</TD>
-<TD align=center>0.05241</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.03212</TD>
-<TD align=center>0.17679</TD>
-<TD align=center>0.78416</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<TD align=center>0.03670</TD>
-<TD align=center>0.18253</TD>
-<TD align=center>0.79194</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03621</TD>
-<TD align=center>0.17624</TD>
-<TD align=center>0.74739</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<TD align=center>0.03957</TD>
-<TD align=center>0.17452</TD>
-<TD align=center>0.70921</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>NAND2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00397</TD>
-<TD align=center>0.00390</TD>
-<TD align=center>0.00418</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00474</TD>
-<TD align=center>0.00469</TD>
-<TD align=center>0.00493</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>NAND2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00044</TD>
-<TD align=center>-0.00051</TD>
-<TD align=center>-0.00044</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>-0.00040</TD>
-<TD align=center>-0.00052</TD>
-<TD align=center>-0.00044</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>-0.00263</TD>
-<TD align=center>-0.00263</TD>
-<TD align=center>-0.00264</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00263</TD>
-<TD align=center>0.00265</TD>
-<TD align=center>0.00264</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>-0.00246</TD>
-<TD align=center>-0.00246</TD>
-<TD align=center>-0.00246</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00246</TD>
-<TD align=center>0.00247</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="INVx.html">Prev (INVx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="NOR2.html">Next (NOR2)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/NOR2.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/NOR2.html
deleted file mode 100644
index 024f8da..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/NOR2.html
+++ /dev/null
@@ -1,255 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>NOR2</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>NOR2X1</FONT></TH>
-<TD align=center>6.34920</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>NOR2X1</font></Th>
-<TD align=center>0.00256</TD>
-<TD align=center>0.00286</TD>
-<TD align=center>0.04354</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>NOR2X1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.01111</TD>
-<TD align=center>0.02620</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.06581</TD>
-<TD align=center>0.23014</TD>
-<TD align=center>0.77796</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<TD align=center>0.05421</TD>
-<TD align=center>0.21642</TD>
-<TD align=center>0.78347</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03190</TD>
-<TD align=center>0.11738</TD>
-<TD align=center>0.42595</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<TD align=center>0.02591</TD>
-<TD align=center>0.11051</TD>
-<TD align=center>0.41467</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>NOR2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00519</TD>
-<TD align=center>0.00511</TD>
-<TD align=center>0.00518</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00402</TD>
-<TD align=center>0.00391</TD>
-<TD align=center>0.00426</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>NOR2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00051</TD>
-<TD align=center>0.00035</TD>
-<TD align=center>0.00046</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>-0.00050</TD>
-<TD align=center>-0.00051</TD>
-<TD align=center>-0.00040</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>-0.00199</TD>
-<TD align=center>-0.00226</TD>
-<TD align=center>-0.00229</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00229</TD>
-<TD align=center>0.00229</TD>
-<TD align=center>0.00230</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>-0.00105</TD>
-<TD align=center>-0.00105</TD>
-<TD align=center>-0.00105</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00107</TD>
-<TD align=center>0.00106</TD>
-<TD align=center>0.00106</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="NAND2.html">Prev (NAND2)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="OAI21.html">Next (OAI21)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/OAI21.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/OAI21.html
deleted file mode 100644
index 610a2cc..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/OAI21.html
+++ /dev/null
@@ -1,419 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>OAI21</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=3><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A0</Th><Th>A1</Th><Th>B0</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>OAI21XL</FONT></TH>
-<TD align=center>8.30280</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=3>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A0</TH>
-<TH>A1</TH>
-<TH>B0</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>OAI21XL</font></Th>
-<TD align=center>0.00261</TD>
-<TD align=center>0.00269</TD>
-<TD align=center>0.00249</TD>
-<TD align=center>0.04156</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>OAI21XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.08001</TD>
-<TD align=center>0.22282</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>OAI21XL</TH>
-<Th><font>A0->Y (FR)</font></Th>
-<TD align=center>0.06949</TD>
-<TD align=center>0.22830</TD>
-<TD align=center>0.77758</TD>
-</TR>
-<TR>
-<Th><font>A1->Y (FR)</font></Th>
-<TD align=center>0.08414</TD>
-<TD align=center>0.24497</TD>
-<TD align=center>0.77512</TD>
-</TR>
-<TR>
-<Th><font>B0->Y (FR)</font></Th>
-<TD align=center>0.04086</TD>
-<TD align=center>0.16040</TD>
-<TD align=center>0.60790</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>OAI21XL</TH>
-<Th><font>A0->Y (RF)</font></Th>
-<TD align=center>0.04725</TD>
-<TD align=center>0.14717</TD>
-<TD align=center>0.47612</TD>
-</TR>
-<TR>
-<Th><font>A1->Y (RF)</font></Th>
-<TD align=center>0.05620</TD>
-<TD align=center>0.15477</TD>
-<TD align=center>0.48330</TD>
-</TR>
-<TR>
-<Th><font>B0->Y (RF)</font></Th>
-<TD align=center>0.04003</TD>
-<TD align=center>0.14613</TD>
-<TD align=center>0.52641</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>OAI21XL</TH>
-<Th><font>A0</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A0</font></Th>
-<TD align=center>0.00528</TD>
-<TD align=center>0.00520</TD>
-<TD align=center>0.00547</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00651</TD>
-<TD align=center>0.00642</TD>
-<TD align=center>0.00647</TD>
-</TR>
-<TR>
-<Th><font>B0</font></Th>
-<TD align=center>0.00496</TD>
-<TD align=center>0.00490</TD>
-<TD align=center>0.00538</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>OAI21XL</TH>
-<Th><font>A0</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A0</font></Th>
-<TD align=center>0.00033</TD>
-<TD align=center>0.00025</TD>
-<TD align=center>0.00028</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00137</TD>
-<TD align=center>0.00118</TD>
-<TD align=center>0.00121</TD>
-</TR>
-<TR>
-<Th><font>B0</font></Th>
-<TD align=center>0.00163</TD>
-<TD align=center>0.00153</TD>
-<TD align=center>0.00162</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A0 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>OAI21XL</TH>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>-0.00107</TD>
-<TD align=center>-0.00107</TD>
-<TD align=center>-0.00107</TD>
-</TR>
-<TR>
-<Th><font>(A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * !B0 * Y)</font></Th>
-<TD align=center>-0.00223</TD>
-<TD align=center>-0.00230</TD>
-<TD align=center>-0.00232</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>-0.00236</TD>
-<TD align=center>-0.00236</TD>
-<TD align=center>-0.00237</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A0 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>OAI21XL</TH>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00108</TD>
-<TD align=center>0.00108</TD>
-<TD align=center>0.00108</TD>
-</TR>
-<TR>
-<Th><font>(A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00231</TD>
-<TD align=center>0.00233</TD>
-<TD align=center>0.00232</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00237</TD>
-<TD align=center>0.00236</TD>
-<TD align=center>0.00237</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A1 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>OAI21XL</TH>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>-0.00192</TD>
-<TD align=center>-0.00220</TD>
-<TD align=center>-0.00221</TD>
-</TR>
-<TR>
-<Th><font>(A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * !B0 * Y)</font></Th>
-<TD align=center>-0.00219</TD>
-<TD align=center>-0.00227</TD>
-<TD align=center>-0.00228</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>-0.00231</TD>
-<TD align=center>-0.00232</TD>
-<TD align=center>-0.00231</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A1 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>OAI21XL</TH>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00221</TD>
-<TD align=center>0.00222</TD>
-<TD align=center>0.00222</TD>
-</TR>
-<TR>
-<Th><font>(A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00227</TD>
-<TD align=center>0.00229</TD>
-<TD align=center>0.00229</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00231</TD>
-<TD align=center>0.00232</TD>
-<TD align=center>0.00233</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B0 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OAI21XL</TH>
-<Th><font>(!A0 * !A1 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !A1 * Y)</font></Th>
-<TD align=center>-0.00226</TD>
-<TD align=center>-0.00226</TD>
-<TD align=center>-0.00227</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B0 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OAI21XL</TH>
-<Th><font>(!A0 * !A1 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !A1 * Y)</font></Th>
-<TD align=center>0.00226</TD>
-<TD align=center>0.00226</TD>
-<TD align=center>0.00227</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="NOR2.html">Prev (NOR2)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="OR2x.html">Next (OR2x)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/OR2x.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/OR2x.html
deleted file mode 100644
index 9df8d51..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/OR2x.html
+++ /dev/null
@@ -1,386 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>OR2x</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>OR2X1</FONT></TH>
-<TD align=center>8.30280</TD></TR>
-<TR><TH><FONT>OR2X2</FONT></TH>
-<TD align=center>10.25640</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>OR2X1</font></Th>
-<TD align=center>0.00290</TD>
-<TD align=center>0.00271</TD>
-<TD align=center>0.08181</TD>
-</TR>
-<TR><Th><font>OR2X2</font></Th>
-<TD align=center>0.00291</TD>
-<TD align=center>0.00270</TD>
-<TD align=center>0.16815</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>OR2X1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.01915</TD>
-<TD align=center>0.03017</TD>
-<TR><Th><font>OR2X2</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.02718</TD>
-<TD align=center>0.05637</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.07232</TD>
-<TD align=center>0.20521</TD>
-<TD align=center>0.60740</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.06292</TD>
-<TD align=center>0.19256</TD>
-<TD align=center>0.57629</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.07430</TD>
-<TD align=center>0.19204</TD>
-<TD align=center>0.64292</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.06445</TD>
-<TD align=center>0.18036</TD>
-<TD align=center>0.61481</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.11175</TD>
-<TD align=center>0.23707</TD>
-<TD align=center>0.65453</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.09402</TD>
-<TD align=center>0.21968</TD>
-<TD align=center>0.64261</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.12623</TD>
-<TD align=center>0.24980</TD>
-<TD align=center>0.71941</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.10866</TD>
-<TD align=center>0.23225</TD>
-<TD align=center>0.71476</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>OR2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00398</TD>
-<TD align=center>0.00360</TD>
-<TD align=center>0.00414</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00300</TD>
-<TD align=center>0.00284</TD>
-<TD align=center>0.00363</TD>
-</TR>
-<TR><TH rowspan=4>OR2X2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00658</TD>
-<TD align=center>0.00640</TD>
-<TD align=center>0.00690</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00554</TD>
-<TD align=center>0.00567</TD>
-<TD align=center>0.00638</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>OR2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00873</TD>
-<TD align=center>0.00833</TD>
-<TD align=center>0.00873</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00720</TD>
-<TD align=center>0.00714</TD>
-<TD align=center>0.00828</TD>
-</TR>
-<TR><TH rowspan=4>OR2X2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01057</TD>
-<TD align=center>0.01041</TD>
-<TD align=center>0.01072</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00903</TD>
-<TD align=center>0.00911</TD>
-<TD align=center>0.01022</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>-0.00201</TD>
-<TD align=center>-0.00228</TD>
-<TD align=center>-0.00229</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>-0.00201</TD>
-<TD align=center>-0.00228</TD>
-<TD align=center>-0.00229</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00229</TD>
-<TD align=center>0.00231</TD>
-<TD align=center>0.00231</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00229</TD>
-<TD align=center>0.00231</TD>
-<TD align=center>0.00231</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>-0.00106</TD>
-<TD align=center>-0.00107</TD>
-<TD align=center>-0.00106</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>-0.00106</TD>
-<TD align=center>-0.00107</TD>
-<TD align=center>-0.00107</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00107</TD>
-<TD align=center>0.00107</TD>
-<TD align=center>0.00107</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00108</TD>
-<TD align=center>0.00109</TD>
-<TD align=center>0.00107</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="OAI21.html">Prev (OAI21)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="TBUFI.html">Next (TBUFI)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TBUFI.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TBUFI.html
deleted file mode 100644
index a0d5c89..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TBUFI.html
+++ /dev/null
@@ -1,315 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>TBUFI</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>OE</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>-</font></Th><Th><font size=2>0</font></Th><Th><font size=2>HiZ</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>TBUFIX1</FONT></TH>
-<TD align=center>8.30280</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>OE</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>TBUFIX1</font></Th>
-<TD align=center>0.00283</TD>
-<TD align=center>0.00361</TD>
-<TD align=center>0.04335</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>TBUFIX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.01502</TD>
-<TD align=center>0.05241</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>TBUFIX1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.05259</TD>
-<TD align=center>0.21461</TD>
-<TD align=center>0.77858</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FR)</font></Th>
-<TD align=center>0.04460</TD>
-<TD align=center>0.09234</TD>
-<TD align=center>0.37219</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RR)</font></Th>
-<TD align=center>0.08620</TD>
-<TD align=center>0.23087</TD>
-<TD align=center>0.57003</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>TBUFIX1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03590</TD>
-<TD align=center>0.14036</TD>
-<TD align=center>0.51623</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FF)</font></Th>
-<TD align=center>0.04522</TD>
-<TD align=center>0.09233</TD>
-<TD align=center>0.37218</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RF)</font></Th>
-<TD align=center>0.03227</TD>
-<TD align=center>0.13220</TD>
-<TD align=center>0.46787</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00385</TD>
-<TD align=center>0.00375</TD>
-<TD align=center>0.00402</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00377</TD>
-<TD align=center>0.00342</TD>
-<TD align=center>0.00451</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00049</TD>
-<TD align=center>-0.00052</TD>
-<TD align=center>-0.00045</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00241</TD>
-<TD align=center>0.00205</TD>
-<TD align=center>0.00328</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>-0.00188</TD>
-<TD align=center>-0.00187</TD>
-<TD align=center>-0.00188</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>-0.00166</TD>
-<TD align=center>-0.00167</TD>
-<TD align=center>-0.00167</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00188</TD>
-<TD align=center>0.00187</TD>
-<TD align=center>0.00188</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00168</TD>
-<TD align=center>0.00167</TD>
-<TD align=center>0.00167</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for OE rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00144</TD>
-<TD align=center>0.00112</TD>
-<TD align=center>0.00235</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00129</TD>
-<TD align=center>0.00097</TD>
-<TD align=center>0.00220</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for OE falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00455</TD>
-<TD align=center>0.00432</TD>
-<TD align=center>0.00584</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00464</TD>
-<TD align=center>0.00446</TD>
-<TD align=center>0.00597</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="OR2x.html">Prev (OR2x)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="TIEHI.html">Next (TIEHI)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TIEHI.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TIEHI.html
deleted file mode 100644
index e51a7f8..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TIEHI.html
+++ /dev/null
@@ -1,48 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>TIEHI</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>TIEHI</FONT></TH>
-<TD align=center>4.39560</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>TIEHI</font></Th>
-<TD align=center>0.17278</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>TIEHI</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="TBUFI.html">Prev (TBUFI)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="TIELO.html">Next (TIELO)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TIELO.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TIELO.html
deleted file mode 100644
index 6bea183..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/TIELO.html
+++ /dev/null
@@ -1,48 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>TIELO</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>TIELO</FONT></TH>
-<TD align=center>4.39560</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>TIELO</font></Th>
-<TD align=center>0.36130</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>TIELO</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="TIEHI.html">Prev (TIEHI)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="XNOR2.html">Next (XNOR2)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/XNOR2.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/XNOR2.html
deleted file mode 100644
index 0b47d7e..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/XNOR2.html
+++ /dev/null
@@ -1,276 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>XNOR2</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>XNOR2XL</FONT></TH>
-<TD align=center>14.16360</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>XNOR2XL</font></Th>
-<TD align=center>0.00564</TD>
-<TD align=center>0.00477</TD>
-<TD align=center>0.04189</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>XNOR2XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.04935</TD>
-<TD align=center>0.08059</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>XNOR2XL</TH>
-<Th><font>A->Y (RR)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.10570</TD>
-<TD align=center>0.25054</TD>
-<TD align=center>0.58725</TD>
-</TR>
-<TR>
-<Th><font>A->Y (FR)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.06263</TD>
-<TD align=center>0.22196</TD>
-<TD align=center>0.77580</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.08637</TD>
-<TD align=center>0.23281</TD>
-<TD align=center>0.56930</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.08365</TD>
-<TD align=center>0.24577</TD>
-<TD align=center>0.78097</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>XNOR2XL</TH>
-<Th><font>A->Y (FF)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.09631</TD>
-<TD align=center>0.21247</TD>
-<TD align=center>0.53257</TD>
-</TR>
-<TR>
-<Th><font>A->Y (RF)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.04393</TD>
-<TD align=center>0.14130</TD>
-<TD align=center>0.46879</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.08697</TD>
-<TD align=center>0.20292</TD>
-<TD align=center>0.52309</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.05520</TD>
-<TD align=center>0.15328</TD>
-<TD align=center>0.48358</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>XNOR2XL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00374</TD>
-<TD align=center>0.00331</TD>
-<TD align=center>0.00425</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00911</TD>
-<TD align=center>0.00885</TD>
-<TD align=center>0.01063</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00147</TD>
-<TD align=center>0.00119</TD>
-<TD align=center>0.00232</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00969</TD>
-<TD align=center>0.00950</TD>
-<TD align=center>0.01112</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>XNOR2XL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.01110</TD>
-<TD align=center>0.01075</TD>
-<TD align=center>0.01211</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00253</TD>
-<TD align=center>0.00208</TD>
-<TD align=center>0.00325</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.01029</TD>
-<TD align=center>0.01023</TD>
-<TD align=center>0.01174</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00332</TD>
-<TD align=center>0.00280</TD>
-<TD align=center>0.00398</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="TIELO.html">Prev (TIELO)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="XOR2.html">Next (XOR2)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/XOR2.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/XOR2.html
deleted file mode 100644
index 44821da..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/XOR2.html
+++ /dev/null
@@ -1,276 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>XOR2</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>XOR2XL</FONT></TH>
-<TD align=center>14.16360</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>XOR2XL</font></Th>
-<TD align=center>0.00564</TD>
-<TD align=center>0.00481</TD>
-<TD align=center>0.04379</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>XOR2XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.04935</TD>
-<TD align=center>0.07727</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>XOR2XL</TH>
-<Th><font>A->Y (RR)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.09720</TD>
-<TD align=center>0.23746</TD>
-<TD align=center>0.57610</TD>
-</TR>
-<TR>
-<Th><font>A->Y (FR)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.07990</TD>
-<TD align=center>0.24272</TD>
-<TD align=center>0.79036</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.08785</TD>
-<TD align=center>0.23686</TD>
-<TD align=center>0.58791</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.07726</TD>
-<TD align=center>0.23660</TD>
-<TD align=center>0.77232</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>XOR2XL</TH>
-<Th><font>A->Y (FF)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.08544</TD>
-<TD align=center>0.20344</TD>
-<TD align=center>0.53176</TD>
-</TR>
-<TR>
-<Th><font>A->Y (RF)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.04947</TD>
-<TD align=center>0.15746</TD>
-<TD align=center>0.53890</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.08076</TD>
-<TD align=center>0.19940</TD>
-<TD align=center>0.52773</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.05329</TD>
-<TD align=center>0.15490</TD>
-<TD align=center>0.49738</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>XOR2XL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.01089</TD>
-<TD align=center>0.01065</TD>
-<TD align=center>0.01228</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00167</TD>
-<TD align=center>0.00097</TD>
-<TD align=center>0.00196</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.01079</TD>
-<TD align=center>0.01065</TD>
-<TD align=center>0.01236</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00129</TD>
-<TD align=center>0.00103</TD>
-<TD align=center>0.00217</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>XOR2XL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00208</TD>
-<TD align=center>0.00149</TD>
-<TD align=center>0.00268</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.01169</TD>
-<TD align=center>0.01153</TD>
-<TD align=center>0.01302</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00203</TD>
-<TD align=center>0.00151</TD>
-<TD align=center>0.00271</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.01040</TD>
-<TD align=center>0.01040</TD>
-<TD align=center>0.01196</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="XNOR2.html">Prev (XNOR2)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT></TD>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/celllist.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/celllist.html
deleted file mode 100644
index 3f203ef..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/celllist.html
+++ /dev/null
@@ -1,22 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><CENTER><H1>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Library</H1></CENTER><HR><BR>
-<TABLE BORDER=3 CELLSPACING=2 CELLPADDING=5  WIDTH=100%>
-<TR><TH>Cell Groups</th></TR>
-<TR><TH align=left><a href="ADDF.html" target=celldata>ADDF</a></TR>
-<TR><TH align=left><a href="AND2x.html" target=celldata>AND2x</a></TR>
-<TR><TH align=left><a href="ANT.html" target=celldata>ANT</a></TR>
-<TR><TH align=left><a href="AOI21.html" target=celldata>AOI21</a></TR>
-<TR><TH align=left><a href="BUFx.html" target=celldata>BUFx</a></TR>
-<TR><TH align=left><a href="DFFSR.html" target=celldata>DFFSR</a></TR>
-<TR><TH align=left><a href="DFF.html" target=celldata>DFF</a></TR>
-<TR><TH align=left><a href="INVx.html" target=celldata>INVx</a></TR>
-<TR><TH align=left><a href="NAND2.html" target=celldata>NAND2</a></TR>
-<TR><TH align=left><a href="NOR2.html" target=celldata>NOR2</a></TR>
-<TR><TH align=left><a href="OAI21.html" target=celldata>OAI21</a></TR>
-<TR><TH align=left><a href="OR2x.html" target=celldata>OR2x</a></TR>
-<TR><TH align=left><a href="TBUFI.html" target=celldata>TBUFI</a></TR>
-<TR><TH align=left><a href="TIEHI.html" target=celldata>TIEHI</a></TR>
-<TR><TH align=left><a href="TIELO.html" target=celldata>TIELO</a></TR>
-<TR><TH align=left><a href="XNOR2.html" target=celldata>XNOR2</a></TR>
-<TR><TH align=left><a href="XOR2.html" target=celldata>XOR2</a></TR>
-</TABLE>
-</BODY></HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/index.html b/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/index.html
deleted file mode 100644
index 551cedb..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs/index.html
+++ /dev/null
@@ -1,9 +0,0 @@
-<HTML>
-<HEAD>
-<TITLE>sky130_osu_sc_12T_hs_TT_1P8_25C.ccs Library Datasheet</TITLE>
-</HEAD>
-<FRAMESET COLS="150,700">
-<FRAME NAME="celllist" SRC="celllist.html" SCROLLING=YES>
-<FRAME NAME="celldata" SRC="ADDF.html" SCROLLING=YES>
-</FRAMESET>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.pdf b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.pdf
deleted file mode 100644
index 250e7f4..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.pdf
+++ /dev/null
Binary files differ
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.ps b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.ps
deleted file mode 100644
index 499edb9..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.ps
+++ /dev/null
@@ -1,13654 +0,0 @@
-%!PS
-%%Title: <Untitled>
-%%Creator: html2ps version 1.0 beta7
-%%EndComments
-save
-2000 dict begin
-/d {bind def} bind def
-/D {def} d
-/t true D
-/f false D
-/FL [/Times-Roman
-/Times-Italic
-/Times-Bold
-/Times-BoldItalic
-/Courier
-/Courier-Oblique
-/Courier-Bold
-/Courier-BoldOblique
-/Helvetica
-/Helvetica-Oblique
-/Helvetica-Bold
-/Helvetica-BoldOblique] D
-/WF t D
-/WI 0 D
-/F 1 D
-/IW 454 F div D
-/IL 672 F div D
-/PS 842 D
-/EF [0 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 2 2] D
-/EZ [11 9 19 17 15 13 12 11 11 11 11 11 11 11 11 11 11 11 11 11 11 11 8 8] D
-/Ey [0 0 2 2 2 2 2 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0] D
-/EG [-1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1] D
-/Tm [1 1 0.8 0.8 0.8 0.8 0.8 0.8 0 0 0 0 0 0 0.5 1 1 1 1 0 0 1.3 0 0] D
-/Bm [1 1 0.5 0.5 0.5 0.5 0.5 0.5 0 0 0 0 0 0 0.5 1 1 1 1 0 0 1 0 0] D
-/Lm [0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 2 0 0 2 0 0 0] D
-/Rm [0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0] D
-/EU [-1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0] D
-/NO t D
-/YY [[{()}1][{()}0][{()}2]] D
-/ZZ [[{()}1][{()}0][{()}2]] D
-/Ts EZ 0 get D
-/TU f D
-/Xp t D
-/AU f D
-/SN 0 D
-/Cf t D
-/Tp f D
-/Fe f D
-/TI 1 Ts mul D
-/Fm 14 D
-/xL 71 D
-/xR 71 D
-/yL 757 D
-/yR 757 D
-/Wl 454 F div D
-/Wr 454 F div D
-/hL 672 F div D
-/hR 672 F div D
-/FE {newpath Fm neg Fm M CP BB IW Fm add Fm L IW Fm add IL Fm add neg L CP BB
- Fm neg IL Fm add neg L closepath} D
-/LA {PM 0 eq{/IW Wl D /IL hL D}{/IW Wr D /IL hR D}ie /W IW D /LL W D /LS W D
- TU PM 0 eq and{IW 56 F div add SA{Sf div}if 0 translate}
- {PM 0 eq{xL yL}{xR yR}ie translate F SA{Sf mul}if dup scale
- CS CF FS Cf{CA CL get VC}if /Bb f D}ie 0 0 M
- TF not Tc or {Cf{gsave SA{1 Sf div dup scale}if Cb VC FE fill grestore}if}if}D
-/Pi 0 Ts mul D
-/SG [0.8 1 1] D
-/Ab 15 D
-/J 0 D
-/Tc f D
-/NH 6 D
-/Nf f D
-/Pa f D
-/LH 1.2 D
-/XR f D
-/Xr {/pN E D ( [p ) WB pN WB (] )WB} D
-/Db [16#FF 16#FF 16#FF] D
-/Dt [16#00 16#00 16#00] D
-/eA f D
-/Fi f D
-/bT f D
-/Lc t D
-/Dl [16#00 16#00 16#00] D
-/LX f D
-/Br 0.25 D
-/IA ([IMAGE]) D
-/DS {/PF f D()WB NL NP()pop RC ZF} D
-/Gb f D
-/Mb t D
-/Hc [16#00 16#00 16#00] D
-/Bl 3 D
-/MI -15.2 D
-/DX (DRAFT) D
-/Di 0 D
-/Tt 113.385826771654 D
-/Th {()2 Al()BR (
-      ) 0 1 -1 H()4 FZ Ti ES()EH (
-      ) 0 2 -1 H() ME 0 get join EH()Ea()BR()} D
-/tH {()0 1 -1 H (Table of Contents) EH()} D
-/FD 2 D
-/Dy 2 D
-/cD [16#F0 16#F0 16#F0] D
-/FW 0.6 D
-/FU [16#00 16#00 16#00] D
-/ET {/RM f D /A0 0 D /PN SN D /OU t D /Ou t D /W IW D /LL W D D1
- Ms not TP and{Ip}if /TF f D} D
-
-%-- End of variable part --
-/MySymbol 10 dict dup begin
- /FontType 3 D /FontMatrix [.001 0 0 .001 0 0 ] D /FontBBox [25 -10 600 600] D
- /Encoding 256 array D 0 1 255{Encoding exch /.notdef put}for
- Encoding (e) 0 get /euro put
- /Metrics 2 dict D Metrics begin
-  /.notdef 0 D
-  /euro 651 D
- end
- /BBox 2 dict D BBox begin
-  /.notdef [0 0 0 0] D
-  /euro [25 -10 600 600] D
- end
- /CharacterDefs 2 dict D CharacterDefs begin
-  /.notdef {} D
-  /euro{newpath 114 600 moveto 631 600 lineto 464 200 lineto 573 200 lineto
-   573 0 lineto -94 0 lineto 31 300 lineto -10 300 lineto closepath clip
-   50 setlinewidth newpath 656 300 moveto 381 300 275 0 360 arc stroke
-   -19 350 moveto 600 0 rlineto -19 250 moveto 600 0 rlineto stroke}d
- end
- /BuildChar{0 begin
-  /char E D /fontdict E D /charname fontdict /Encoding get char get D
-  fontdict begin
-   Metrics charname get 0 BBox charname get aload pop setcachedevice
-   CharacterDefs charname get exec
-  end
- end}D
- /BuildChar load 0 3 dict put /UniqueID 1 D
-end
-definefont pop
-
-/Cd {aload length 2 idiv dup dict begin {D} repeat currentdict end} D
-/EX {EC cvx exec} D
-/DU {} d
-/BB {pop pop}d
-/ie {ifelse} d
-/E {exch} d
-/M {moveto} d
-/R {rmoveto} d
-/L {lineto} d
-/RL {rlineto} d
-/CP {currentpoint} d
-/SW {stringwidth} d
-/GI {getinterval} d
-/PI {putinterval} d
-/Sg {setgray} d
-/LW {setlinewidth} d
-/S {dup () ne OU and{0 Co R AT 3 eq LB and HF not and A1 0 ne A2 0 ne or and
- {A2 0 32 A1 0 6 -1 roll awidthshow}{show}ie 0 Co neg R}{pop}ie
- OU PH 3 eq or{/Ms t D}if} D
-/U {OU{gsave CP currentfont /FontInfo get /UnderlinePosition get
- 0 E currentfont /FontMatrix get dtransform E pop add newpath M dup SW pop
- CJ 0 RL stroke grestore}if} D
-/B {OU Br 0 gt and{CP Ts neg Ts .33 mul R gsave 0 Sg
- CP newpath Ts Br mul 0 360 arc closepath UI 2 mod 0 eq{stroke}{fill}ie
- grestore M CP E Ts Br 1 add mul sub E BB /Ms t D}if}D
-/NP {Ms TP not or PA and OU and{TP{OR}if f1{mF k2 /mF E D /YC 0 D}if
- TP TU not PM 0 eq or and{showpage}if DU Ip TE not{LA}if 0.6 LW
- /CI 0 D /TP t D /Hs f D /hl 6 D /Hv 6 D /HI hi D /Ms f D}if Bs XO BO M} D
-/Np {LE sub CP E pop gt PL 0 eq and{NP}if}D
-/Ip {/PN PN 1 add D /Pn RM{1}{4}ie PN Ns D /PM PN SN sub 2 mod D} D
-/GP {E dup 3 -1 roll get PN 1 add 2 mod get dup type /integertype eq
- {get 0 get}{E pop}ie}d
-/Fc {dup 2 GP exec SW pop /S1 E D dup 1 GP exec SW pop /S2 E D 0 GP exec SW
- pop /S3 E D S1 0 gt{S2 2 mul S1 add S3 2 mul S1 add 2 copy lt{E}if pop}{0}ie
- S2 S3 add 2 copy lt{E}if pop IW .9 mul div dup 1 gt{1 E div}{pop 1}ie}D
-/OR {Df{Sd}if tp not{gsave SA{1 Sf div dup scale}if Fe{Cf{FU VC}if FW LW
- 1 setlinejoin FE stroke}if /YO {60 F div dup 40 gt{pop 40}if}D /cs CS D
- /cf CF D /CF 0 D /pf PF D /PF f D /Fn FN D /At AT D /AT 0 D /FN EF Hf 1 add
- get D Fz Fs FS ZZ Fc Fz mul Fs FS EU Hf 1 add get dup type /arraytype eq
- Cf and{VC}{pop 0 Sg}ie IW IL neg YO sub M ZZ 1 GP exec dup SW pop neg 0 R Sh
- 0 IL neg YO sub M ZZ 0 GP exec Sh ZZ 2 GP exec dup SW pop IW E sub 2 div
- IL neg YO sub M Sh Fz Fs FS NO{/AW IW Pn SW pop sub D AW 2 div IL neg YO sub
- S1 0 gt S2 AW .45 mul gt or S3 AW .45 mul gt or{Fz 2 mul sub}if M Pn Sh}if
- EU Hf get dup type /arraytype eq Cf and{VC}{pop 0 Sg}ie YY Fc /FN EF Hf get D
- Hz mul HS FS IW YO M YY 1 GP exec dup SW pop neg 0 R Sh 0 YO M YY 0 GP exec Sh
- YY 2 GP exec dup SW pop IW E sub 2 div YO M Sh /FN Fn D /AT At D t Pb XO SZ
- SL get neg R /PF pf D grestore /CF 0 D cs cf FS}if}D
-/Sh {dup () ne{CP Hz 4 div sub BB show CP CS add BB}{pop}ie}D
-/Pb {/OU E D /Ou OU D /PB t D 0 0 M Ba{/Sa save D /BP t D /Fl t D RC /PL 0 D
- /PH 0 D /W IW D /LE IL .7 mul D /EO 0 D SI ZF /YA 0 D /BO 0 D /C1 () D
- BA 0 Ts neg R Bb{Xl Yl Xh Yh}if Bb CP Sa restore M
- {/Yh E D /Xh E D /Yl E D /Xl E D}if /Fl t D}if
- BL /OU t D /HM f D /Ou t D /PB f D} D
-/Bs {/BP Ba not D}D
-/reencodeISO {
- dup dup findfont dup length dict begin{1 index /FID ne{D}{pop pop}ie}forall
- /Encoding ISOLatin1Encoding D currentdict end definefont} D
-/ISOLatin1Encoding [
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/space/exclam/quotedbl/numbersign/dollar/percent/ampersand/quoteright
-/parenleft/parenright/asterisk/plus/comma/hyphen/period/slash
-/zero/one/two/three/four/five/six/seven/eight/nine/colon/semicolon
-/less/equal/greater/question/at/A/B/C/D/E/F/G/H/I/J/K/L/M/N
-/O/P/Q/R/S/T/U/V/W/X/Y/Z/bracketleft/backslash/bracketright
-/asciicircum/underscore/quoteleft/a/b/c/d/e/f/g/h/i/j/k/l/m
-/n/o/p/q/r/s/t/u/v/w/x/y/z/braceleft/bar/braceright/asciitilde
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef/.notdef
-/.notdef/space/exclamdown/cent/sterling/currency/yen/brokenbar
-/section/dieresis/copyright/ordfeminine/guillemotleft/logicalnot
-/hyphen/registered/macron/degree/plusminus/twosuperior/threesuperior
-/acute/mu/paragraph/periodcentered/cedilla/onesuperior/ordmasculine
-/guillemotright/onequarter/onehalf/threequarters/questiondown
-/Agrave/Aacute/Acircumflex/Atilde/Adieresis/Aring/AE/Ccedilla
-/Egrave/Eacute/Ecircumflex/Edieresis/Igrave/Iacute/Icircumflex
-/Idieresis/Eth/Ntilde/Ograve/Oacute/Ocircumflex/Otilde/Odieresis
-/multiply/Oslash/Ugrave/Uacute/Ucircumflex/Udieresis/Yacute
-/Thorn/germandbls/agrave/aacute/acircumflex/atilde/adieresis
-/aring/ae/ccedilla/egrave/eacute/ecircumflex/edieresis/igrave
-/iacute/icircumflex/idieresis/eth/ntilde/ograve/oacute/ocircumflex
-/otilde/odieresis/divide/oslash/ugrave/uacute/ucircumflex/udieresis
-/yacute/thorn/ydieresis
-] D
-[128/backslash 129/parenleft 130/parenright 141/circumflex 142/tilde
-143/perthousand 144/dagger 145/daggerdbl 146/Ydieresis 147/scaron 148/Scaron
-149/oe 150/OE 151/guilsinglleft 152/guilsinglright 153/quotesinglbase
-154/quotedblbase 155/quotedblleft 156/quotedblright 157/endash 158/emdash
-159/trademark]
-aload length 2 idiv 1 1 3 -1 roll{pop ISOLatin1Encoding 3 1 roll put}for
-/colorimage where{pop}{
- /colorimage {
-  pop pop /Pr E D {/Cv Pr D /Gr Cv length 3 idiv string D 0 1 Gr length 1 sub
-   {Gr E dup /i E 3 mul D Cv i get 0.299 mul Cv i 1 add get 0.587 mul add
-    Cv i 2 add get 0.114 mul add cvi put}for Gr} image} D
-}ie
-/pdfmark where{pop}{userdict /pdfmark /cleartomark load put}ie
-WF{FL{reencodeISO D}forall}{4 1 FL length 1 sub{FL E get reencodeISO D}for}ie
-/Symbol dup dup findfont dup length dict begin
- {1 index /FID ne{D}{pop pop}ie}forall /Encoding [Encoding aload pop]
- dup 128 /therefore put D currentdict end definefont D
-
-/SF {/CS E D SZ SL CS put FO SL FN put /YI CS LH neg mul D dup ST cvs ( ) join
- CS ST cvs join C1 E join ( NF ) join /C1 E D CS NF /Wf WF FN 0 gt or D
- /BW Wf{( ) SW pop}{0}ie D}D
-/NF {/cS E D /cF E D cF 0 ge{FL cF get}{cF -1 eq{/Symbol}{/MySymbol}ie}ie
- findfont cS scalefont setfont} D
-/FS {CF or /CF E D FR SL CF put CF CF 0 ge{FN 4 mul add}if E SF} D
-/PC {SH /BP f D fin not GL not and{NL}if /HM t D /LL LS D} D
-/BS {/TX E D Wf{/fin f D /CW 0 D /LK 0 D /SC 0 D
- /RT TX D {RT ( ) search{/NW E D pop /RT E D /WH NW SW pop D CW WH add LL gt
- {TX SC LK SC sub 1 sub NN GI GL{SH cF cS OC
- 2 copy cS ne E cF ne or{NF}{pop pop}ie}{PC /CW WH BW add D}ie
- /SC LK D}
- {GL{JC}if
- /CW CW WH add BW add D /HM t D}ie /GL f D /Ph f D
- /LK LK NW length 1 add add D}{pop exit}ie}loop
- /fin t D TX SC LK SC sub GI SH RT () ne{GL not{CC}if}if
- /LC TX length D /WH RT SW pop D CW WH add Hy{HC SW pop add}if LL gt
- {RT GL{SH cF cS OC 2 copy cS ne E cF ne or{NF}{pop pop}ie
- Hy{/Ph t D}if /LL LS D}{NL /LL LS D SH}ie}
- {RT PC Hy{CC}if /Ph Ph Hy or D}ie RT () ne{/GL t D /HM t D}if}
- {TX SW pop LL le{TX SH}{/NW () D 0 2 TX length 1 sub
- {/CW E D TX 0 CW GI dup SW pop LL gt{pop NW SH /HM t D NL/LL W XO sub MR sub D
- /CW CW 2 sub NN D /TX TX CW TX length CW sub GI D TX BS exit}
- {/NW E D}ie}for}ie}ie /HM t D}D
-/CC {C0 length 0 gt{JC}if /C0 [C1 L1 YA YB Mf NS NB TB AF Bw] D
- /C1 () D /L0 L1 D /YA 0 D /YB 0 D /Mf 0 D /NS 0 D /NB 0 D}D
-/JC {C0 aload length 0 gt{pop pop pop NB add /NB E D NS add /NS E D
- dup Mf gt{/Mf E D}{pop}ie dup YB gt{/YB E D}{pop}ie
- dup YA gt{/YA E D}{pop}ie pop C1 join /C1 E D /C0 [] D}if}D
-/OC {C0 length 0 gt{C1 L1 L0 sub YA YB Mf NS NB TB AF Bw GL C0 aload pop
- /Bw E D /AF E D /TB E D /NB E D /NS E D /Mf E D /YB E D /YA E D /C0 [] D
- /L1 E D /C1 E D Ph{HC SH}if NL /GL E D /Bw E D /AF E D /TB E D /NB E D /NS E D
- /Mf E D /YB E D /YA E D /L1 E D /LL W L1 sub XO sub MR sub WH sub D /CW 0 D
- C1 E join /C1 E D}if}D
-/BT {/LB t D dup length string copy RS dup dup () ne E ( ) ne and
- {/CI 0 D /LS LL D /LL W L1 sub XO sub MR sub D BS}
- {dup ( ) eq{/GL f D}if dup () eq L1 0 eq or{pop}{SH /BP f D /Ph f D}ie}ie
- /LB f D} D
-/BL {CP E pop XO E M} D
-/NL {JC /GL f D /SK W XO sub MR sub L1 sub TB{Bw add}if D
- /YA LF{Mf HM Fl not and PF or{LH mul}if}{0 /LF t D}ie YA 2 copy lt{E}if pop D
- C1 () ne{/FB YB Mf SA{Sf mul}if 4 div 2 copy lt{E}if pop D}if Fl{/Ya YA D}if
- CP E pop YA sub YB sub LE neg lt Fl not and PB not and{NP}if NT TL BL
- OU PF not and PB or{/RE L1 TB{Bw sub}if
- W XO sub MR sub div YA YB add LE BO add div 2 copy lt{E}if pop D
- RE 1 gt{BL 1 RE div dup scale}if}if
- AT 2 le{SK AT mul 2 div YA neg R}if
- AT 3 eq{0 YA neg R TB{/NB NB 1 sub D /NS NS 1 sub D}if /NB NB 1 sub NN D
- /A3 NS 6 mul NB add D NS NB add 0 eq
-  {/A1 0 D /A2 0 D}
-  {NS 0 eq{/A1 SK NB div dup J gt{pop 0}if D /A2 0 D}{J A3 mul SK lt
-   {/A1 J D /A2 SK J NB mul sub NS div dup Ab gt{/A1 0 D pop 0}if D}
-   {/A1 SK A3 div D /A2 A1 6 mul D}ie}ie}ie /A1 A1 NN D /A2 A2 NN D}if
- AT 4 eq{0 YA neg R PH 2 le{PD 0 lt{/PD L1 D}if PD M1 gt{/M1 PD D}if
- L1 PD sub M2 gt{/M2 L1 PD sub D}if}{DV ID 1 sub get 0 ge{Lo 0 R}if}ie}if
- F0 cF ne Cs cS ne or{F0 Cs NF}if
- /ms Ms D /Ms f D CP FB sub
- C1 cvx exec XO EO sub L1 add TB{BW sub}if dup LM gt{/LM E D}{pop}ie
- PH 0 eq PH 4 eq or Ms and{HF not{/PO t D /AH t D}if
- BB CP YA add E AT 3 eq LB and{A1 sub}if TB{BW sub}if E BB}
- {pop pop}ie Ms HM PH 3 eq and or{/BP f D /Fl f D}if
- /Lo 0 D /L1 0 D /F0 cF D /Cs cS D BP not{0 YB NN neg R}if
- OU f1 and mF not and{k2 /f1 f D}if
- OU PF not and PB or{RE 1 gt{RE dup scale}if}if /Ms ms Ms or D
- /C1 AF{(Cp )}{()}ie D /YA 0 D /YB 0 D BL
- AT 4 eq LB not and PH 3 ge and
- {ID DV length lt{DV ID get dup 0 ge{DO E sub /Lo E D /L1 Lo D}{pop}ie
- /ID ID 1 add D}if}if /T t D CD{/LN LN 1 add D PD}if
- /PD -1 D /NS 0 D /NB 0 D /TB f D /Ph f D /Mf 0 D /HM f D} D
-/RS {/TM E D /CN 0 D TM{10 eq{TM CN ( ) PI}if /CN CN 1 add D}forall
- /CN 0 D /BK HM EN and{0}{1}ie D TM
- {dup 32 ne{TM CN 3 2 roll put /CN CN 1 add D /BK 0 D}
- {pop BK 0 eq{TM CN 32 put /CN CN 1 add D}if /BK 1 D}ie}forall
- TM 0 CN GI dup dup () ne E ( ) ne and
- {dup CN 1 sub get 32 eq{/EN f D}{/EN t D}ie}if} D
-/join {2 copy length E length add string dup 4 2 roll 2 index 0 3 index
- PI E length E PI}d
-/WR {(\n) search{dup () ne BP not or
- {Li 4 le CP E pop YI Li mul add LE add 0 lt and PL 0 eq and{NP}if
- SH NL pop /Li Li 1 sub D WR}{pop pop WR}ie}{SH}ie /CI 0 D /BP f D} D
-/SH {dup dup () ne E ( ) ne and PF or CS Mf gt and{/Mf CS D}if
- T not Wf and{( ) E join /T t D}if dup BP{/MF CS D}if
- AT 3 eq{2 copy length dup 0 gt{/NB E NB add D
- {( ) search{/NS NS 1 add D pop pop}{pop exit}ie}loop}{pop pop}ie}if
- CD PD 0 lt and{dup DC search{SW pop /PD E L1 add D pop pop}{pop}ie}if
- 0 Np dup SW pop L1 add /L1 E D dup () ne
- {C1 (\() join E join (\)) join AU AF and UF or Wf and{( U ) join}if
- sF{( s ) join}if ( S ) join
- /C1 E D dup length 1 sub get 32 eq /TB E D /Bw BW D}{pop pop}ie} D
-/BG {AI LG BC add add 0 eq} D
-/ON {OU{Ty AR AI NN get dup 1 add Ln Ns Ty 2 mod 0 eq{(.  )}{(\)  )}ie join
- dup SW pop neg 0 R CP E 0 lt{0 E M}{pop}ie CP BB show /Ms t D}if} D
-/Ln {AR AI 3 -1 roll put}D
-/SP {dup CI lt BP not and{dup CI sub 0 E R /CI E D}{pop}ie} D
-/BN {PF{WR /HM f D}{BT NL}ie} D
-/NN {dup 0 lt{pop 0}if} D
-/h {(h) HI ST cvs join cvx exec dup 1 get E Nf{0 get E join}{pop}ie} D
-/H {/fn FN D /Hi E 1 add D 1 sub /HL E D /H2 HL 2 add D /GS EZ H2 get D
- E Tm H2 get GS mul BE dup 0 gt{1 sub}{pop EG H2 get dup 0 lt{pop AT}if}ie NA
- WW Np /SL SL 1 add D /FN EF H2 get D GS Ey H2 get FS
- EU H2 get Sc Hs not HL Hl lt and Hs HL hl lt and or Hi 0 eq or
- {/HI Hi D /Hs t D /hl HL D /Hv HL D}if HL Hl lt{/hi Hi D}if
- Nf HI 0 gt and{(h) Hi ST cvs join cvx exec 0 get WB}if
- /HF t D /AH f D /PO f D} D
-/EH {Bm H2 get GS mul BE OA /SL SL 1 sub NN D /CF 0 D /FN fn D
- SZ SL get FR SL get FS /HF f D /GS Ts D ()Ec} D
-/P {E PF{WR}{PO{EP}{BN}ie Ts 4 mul Np AE not{Tm 0 get Ts mul neg SP}if
- dup 0 ge AH and{Pi Pd}if}ie 1 sub dup 0 lt{pop AV AL get}if /AT E D /PO t D} D
-/EP {PF{WR}{BN Ts 4 mul Np}ie AE not{Bm 0 get Ts mul neg SP}if
- /AT AV AL get D /PO f D} D
-/BE {E PO{EP}{BN}ie Ts 4 mul Np neg SP} D
-/HR {/Aw W EO sub D /RW E dup 0 gt{Aw mul}{neg}ie dup Aw gt{pop Aw}if D /RZ E D
- E BN Ts neg SP 1 sub 2 div Aw RW sub mul EO add CP E pop M PF{0 Ps neg R}if
- 0 Np OU{gsave RZ LW Cf{Hc VC}{0 Sg}ie CP BB RW 0 RL CP BB stroke grestore}if
- /CI 0 D /BP f D PF not{Ts neg SP}if /Ms t D} D
-/AD {I NL EG 14 get dup 0 lt{pop AT}if NA /AE t D Tm 14 get Ts mul neg SP
- Cf{EU 14 get dup -1 eq{pop CA CL get}if Sc}if} D
-/DA {BN ()ES OA /AE f D ()Ec Bm 14 get Ts mul neg SP} D
-/PR {/MW E D /Li E D Tm 1 get Ps mul BE 0 NA /FN Fp D /PF t D SI /SL SL 1 add D
- /CF 0 D Ps CS mul Ts div MW WC mul CS mul Ts div dup LL gt PL 0 eq and
- {LL div div}{pop}ie Ey 1 get FS CP E pop LE add YI neg div cvi dup Li lt
- AH and{4 lt YI Li mul 5 mul LE add 0 gt or PL 0 eq and{NP}if}{pop}ie
- EU 1 get Sc /GS Ps D}D
-/RP {WR NL () /PF f D SI /FN 0 D ES Bm 1 get Ps mul neg SP OA /GS Ts D} D
-/SI {/XO Lm 15 get BC NN mul Lm 16 get AI UI sub NN mul add
- Lm 17 get UI NN mul add Lm 20 get LG NN mul add Ts mul
- PF{Lm 1 get Ps mul add}if EO add D
- /MR Rm 15 get BC NN mul Rm 16 get AI UI sub NN mul add
- Rm 17 get UI NN mul add Rm 20 get LG NN mul add Ts mul
- PF{Rm 1 get Ps mul add}if D /LL W XO sub MR sub D} D
-/DT {/cC E D BN /LG LG 1 sub D SI /LG LG 1 add D WW 2 div Np BL} D
-/DD {WB Cc 0 eq cC 0 eq and L1 0 eq or Lm 20 get Ts mul L1 sub TB{BW add}if
- Ts 2 div lt or NL /LF E D SI BL /cC 0 D} D
-/DL {Dc LG Cc put /Cc E D BG{Tm 18 get Ts mul BE}{BN}ie /LG LG 1 add D BL} D
-/LD {BN LG 0 gt{/LG LG 1 sub D}if /Cc Dc LG get D SI
- BG{()Bm 18 get Ts mul BE}if BL} D
-/UL {BG{Tm 17 get Ts mul BE}{BN}ie NR AI NN 0 put /UI UI 1 add D
- /AI AI 1 add D SI BL} D
-/LU {BN /UI UI 1 sub D /AI AI 1 sub D SI BG{()Bm 17 get Ts mul BE}if BL} D
-/OL {E BG{Tm 16 get Ts mul BE}{BN}ie TR AI NN Ty put /Ty E D NR AI NN 1 put
- /AI AI 1 add D SI BL 1 Ln} D
-/LO {BN /AI AI 1 sub D /Ty TR AI get D SI BG{()Bm 16 get Ts mul BE}if BL} D
-/LI {E BN -1 SP /BP f D /CI 0 D 0 Np NR AI 1 sub NN get 1 eq
- {dup dup 0 gt E 4 le and{/Ty E D}{pop}ie
- /L1 L1 Ty AR AI NN get Ns SW pop XO sub dup 0 lt{pop 0}if add D ( ON )}
- {pop ( B )}ie C1 E join /C1 E D CS Mf gt{/Mf CS D}if BL} D
-/BQ {Tm 15 get Ts mul BE /BC BC 1 add D SI BL} D
-/QB {Bm 15 get Ts mul BE /BC BC 1 sub D SI BL} D
-/Al {E EP 1 sub dup 0 lt{pop AV AL get}if NA} D
-/Ea {EP OA} D
-/WB {PF{WR}{BT}ie} D
-/F1 {WB /FN 0 D CS 0 FS} D
-/F2 {WB /FN WI D CS 0 FS} D
-/HY {/Hy t D WB /Hy f D} D
-/YH {WB} D
-/A {/LT E D LT 1 eq{/RN E D}if /Lh E D WB /C1 C1 ( Cp ) join D
- Lc AF not and{Cl Sc}if /AF t D} D
-/EA {Lc AF and{Ec}{WB}ie TL Pa AF and Lh 0 ne and
- {( \() Lh join (\)) join /AF f D WB}if /AF f D} D
-/TL {C1 ( Tl ) apa /C1 E D} d
-/apa {AF OU and Lh 0 ne LT 1 eq or and{LT 1 eq{RN ( /) E ST cvs join}
- {(\() Lh join (\)) join}ie E join join}{pop}ie} d
-/Cp {/Xc CP /Yc E D D} D
-/SS {Cf{dup 0 ge{EU E get dup -1 eq{pop CA CL get}if}{pop CA CL get}ie Sc}
- {pop}ie SZ SL get /SL SL 1 add D} D
-/I {WB 8 SS 1 FS} D
-/EM {WB 8 SS /CF CF 1 xor D 0 FS} D
-/BD {WB 9 SS 2 FS} D
-/TT {WB 10 SS /FN Fp D 0 FS} D
-/KB {WB 11 SS /FN Fp D 2 FS} D
-/CT {WB 12 SS 1 FS} D
-/SM {WB 13 SS /FN Fp D 0 FS} D
-/Q {/QL QL 1 add D QO QL 2 mod get La get join WB} D
-/EQ {QC QL 2 mod get La get join WB /QL QL 1 sub D} D
-/RO {WB -1 SS /CF 0 D 0 FS} D
-/SY {WB -1 SS -1 FS} D
-/MY {WB -1 SS -2 FS} D
-/ES {WB /SL SL 1 sub NN D /CF 0 D /FN FO SL get D SZ SL get FR SL get FS ()Ec}D
-/FZ {3 sub 1.2 E exp GS mul E WB TL /C1 C1 ( Cp ) join D /SL SL 1 add D 0 FS} D
-/Ef {WB TL ()ES /C1 C1 ( Cp ) join D} D
-/BZ {dup /Bf E D FZ}D
-/Sc {dup -1 ne Cf and{/CL CL 1 add D dup 0 eq{pop [0 0 0]}if
- dup CA E CL E put VS ( VC ) join C1 E join /C1 E D}{pop}ie} D
-/Ec {WB Cf{/CL CL 1 sub NN D CA CL get VS ( VC ) join C1 E join /C1 E D}if} D
-/VS {dup type /arraytype eq{([) E {ST cvs join ( ) join}forall (]) join}if} D
-/VC {{255 div}forall setrgbcolor} D
-/Sl {dup type /integertype ne{Ds}if /La E D WB}d
-/UN {WB /UF t D} D
-/NU {WB /UF f D} D
-/SE {WB /sF t D} D
-/XE {WB /sF f D} D
-/sM {/C1 C1 ( k1 ) join D}d
-/eM {/C1 C1 ( k2 ) join D}d
-/k1 {/YC CP E pop Ts add D /mF t D /f1 t D}d
-/k2 {gsave 3 LW -9 CP E pop Ts 0.2 mul sub M -9 YC L stroke grestore /mF f D}d
-/Ac {/AC E D WB}d
-/Ca {eA{( \()join AC join(\) )join}if WB}d
-/s {OU{gsave 0 CS .25 mul R dup SW pop CJ 0 RL stroke grestore}if}D
-/CJ {AT 3 eq LB and{E dup dup length 1 sub A1 mul E
- {( ) search{pop pop E A2 add E}{pop exit}ie}loop 3 -1 roll add
- W CP pop sub 2 copy gt{E}if pop}if}D
-/So {/Co E D} D
-/SO {C1 Yo ST cvs join ( So ) join /C1 E D (j) SW pop 2 div Pd} D
-/Se {E WB CS E div Pd}D
-/Pd {dup type /stringtype eq{SW pop}if dup /L1 E L1 add D
- ST cvs ( 0 R ) join C1 E join /C1 E D} D
-/Sp {0.35 CO} D
-/Sb {-0.2 CO} D
-/CO {OV Io Yo put /Yo E CS mul Yo add D /Io Io 1 add D -1.5 Io mul 3 add FZ SO
- CS Yo add dup YA gt{/YA E D}{pop}ie
- Yo neg dup YB gt{/YB E D}{pop}ie} D
-/Es {ES /Io Io 1 sub NN D /Yo OV Io get D SO} D
-/SB {/N2 0 D 0 1 NI{/N E D{IX N2 get 0 lt{/N2 N2 1 add D}{exit}ie}loop
- /K WS N get FC N get mul D /NY AY N2 get D /BV NY array D
- 0 1 NY 1 sub{/TM K string D currentfile TM readhexstring pop pop BV E TM put}
- for BM N BV put /N2 N2 1 add D}for} D
-/IC [{/MA E D /MB 0 D}{2 div /MA E D /MB MA D}{/MB E CS sub D /MA CS D}
- {pop /MA YS AB mul D /MB 1 AB sub YS mul D}{pop /MA 0 D /MB 0 D}] D
-/IP {BV N get /N N 1 add D} D
-/II {/K E D IX K get 0 lt{/EC E D}if /TY E D
- TY 4 eq{/Y E D /X E D}if TY 3 eq{/AB E D}if
- /XW AX K get D /YW AY K get D /IS SG IT K get get D /XS XW IS mul D
- /YS YW IS mul D YS IC TY get exec /MA MA Fl not{3 add}if D} D
-/IM {II /ty TY D /xs XS D /ys YS D /ya YA D /yb YB D /ma MA D /mb MB D /k K D
- /ec EC D /BP f D /CI 0 D WB TL L1 xs add dup XO add MR add W gt
- {pop /ma ma Fl{3 add}if D NL /YA ma D /YB mb D /YS ys D /L1 xs D}
- {/L1 E D ma YA gt{/YA ma D}if mb YB gt{/YB mb D}if}ie /TB f D
- OU{CP E pop YS sub LE neg lt Fl not and PB not and{NP /YA ma D /YB mb D}if
- /BP f D ty ST cvs ( ) join IX k get 0 lt{(\() join ec join (\) ) join}if
- k ST cvs join ty 3 eq{AB ST cvs ( ) join E join}if
- ty 4 eq{X ST cvs ( ) join Y ST cvs join ( ) join E join}if C1 E join
- ( DI ) join FP 2 eq FP 1 eq AF and or{( FM ) join}if
- ( Il Cp ) apa /C1 E D /EN f D}if /HM t D /T f D} D
-/DI {II /Xc CP /Yc E D D /YN YW neg D /HM t D /CI 0 D /K2 IX K get D gsave
- TY 4 eq{OX X IS mul add OY FY add YS sub Y IS mul sub}
- {/FY YS D CP MB sub 2 copy /OY E D /OX E D}ie
- translate K2 0 ge{/DP AZ K2 get D /BV BM K2 get D XS YS scale /N 0 D XW YW DP
- [XW 0 0 YN 0 YW] {IP} FC K2 get 1 eq{image}{f 3 colorimage}ie}
- {EX}ie grestore XS 0 R /Ms t D} D
-/FM {gsave 0 Sg CP MB sub translate XS neg 0 M 0 YS RL XS 0 RL 0 YS neg RL
- XS neg 0 RL stroke grestore} D
-/NA {/AT E D /AL AL 1 add D AV AL AT put} D
-/OA {AL 0 gt{/AL AL 1 sub D /AT AV AL get D}if} D
-/D1 {/BR {CP E pop E BN Mb{CP E pop eq{0 YI R}if}{pop}ie} D
- /Sn {OU{C1 E ST cvs join ( Ld ) join /C1 E D}{pop}ie} D} D
-/D1 {/BR {BN} D /Sn {OU {C1 E ST cvs join ( Ld ) join /C1 E D} {pop} ie} D} D
-/TC {/TF t D /ML 0 D HN{SW pop dup ML gt{/ML E D}{pop}ie}forall NP /RM RM not D
- RC /OU Tc D Ep /PN 0 D Ms not TP and{Ip}if /W IW ML sub Ts sub D
- /A0 0 D TH{/BR {( ) join BT} D /Sn {pop} D /Au () D}if} D
-/TN {0 eq{E EA PF HF or not XR and{HN E get Xr}{pop}ie}
- {OU{Tn 0 ge{() BN}if /Tn E D}{pop}ie WB}ie} D
-/NT {OU LB not and Tn 0 ge and{PL 0 eq{Ms not{CS CF FS}if CP dup
- /y E YA sub D W 9 sub CS -1.8 mul XO L1 add 2 add{y M (.) show}for
- HN Tn get dup SW pop IW E sub y M show CP BB M}if /Tn -1 D}if} D
-/Ld {/DN E D HN DN Pn put [/View [/XYZ -4 Fl{PS}{CP YA add US E pop}ie null]
- /Dest DN ST cvs cvn /DEST pdfmark} D
-/C {ND 1 eq{1 sub}if TI mul /XO E D NL Nf not{pop()}if 0 3 -1 roll 1 A} D
-/OP {BP not{NP}if PN 2 mod 0 eq{/Ms t D NP}if}D
-/Ep {Xp PN 2 mod 0 eq and OU and{/Pn (-) D showpage /PM 1 D LA}if}D
-/Dg [73 86 88 76 67 68 77] D
-/Rd [0 [1 1 0][2 1 0][3 1 0][2 1 1][1 1 1][2 2 1][3 3 1][4 4 1][2 1 2]] D
-/Ns {/m E D /c E 32 mul D /j m 1000 idiv D /p j 12 add string D
- c 96 le m 0 gt and{c 32 le {/i 0 D /d 77 D /l 100 D /m m j 1000 mul sub D
-  j -1 1 {pop p i d c add put /i i 1 add D}for
-  4 -2 0 {/j E D /n m l idiv D /m m n l mul sub D /d Dg j get D
-   n 0 gt {/x Rd n get D x 0 get -1 1 {pop p i d c add put /i i 1 add D}for
-   p i x 1 get sub Dg x 2 get j add get c add put}if /l l 10 idiv D
-  }for p 0 i GI}
-  {/i ST length 1 sub D m {1 sub dup 0 ge{dup 26 mod c add 1 add
-   ST i 3 -1 roll put 26 idiv dup 0 eq{pop exit}if}if /i i 1 sub D}loop
-   ST i ST length i sub GI}ie}
- {m p cvs}ie} D
-/US {matrix currentmatrix matrix defaultmatrix matrix invertmatrix
- matrix concatmatrix transform} D
-/GB {Gb{US}if}D
-/Tl {/Rn E D Xc CP pop ne{
- [/Rect [Xc 1 sub Yc cS 0.25 mul sub GB CP E 1 add E cS 0.85 mul add GB]
-  /Subtype /Link /Border [0 0 Cf Lc and LX and AU or{0}{1}ie] Rn type
-  /nametype eq {/Dest Rn}{/Action [/Subtype /URI /URI Rn] Cd}ie
-  /ANN pdfmark}if} D
-/Il {/Rn E D [/Rect [Xc Yc GB Xc XS add Yc YS add GB] /Subtype /Link
- /Border [0 0 0] Rn type /nametype eq{/Dest Rn}
- {/Action [/Subtype /URI /URI Rn] Cd}ie /ANN pdfmark} D
-/XP {[{/Z Bz 2 div D Z 0 R Z Z RL Z neg Z RL Z neg Z neg RL Z Z neg RL
- Fi cH 1 eq and{fill}if} {Bz 0 RL 0 Bz RL Bz neg 0 RL 0 Bz neg RL
- Fi cH 1 eq and{fill}if} {0 -5 R Bz 0 RL 0 21 RL Bz neg 0 RL 0 -21 RL}]} D
-/MS {/Sm E D WB}D
-/O {BN()0 Sm BX} D
-/BX {/Bt E D Bt 2 lt{/Ch E D CS 0.8 mul}{11 mul}ie W XO sub MR sub
- 2 copy gt{E}if pop /HZ E D Bt 2 eq{Fi not{pop()}if ( )E join /Ft E D TT
- /PF t D /MW 1 D /Li 1 D /Fw Ft SW pop D Fw HZ gt{/HZ Fw 8 add D}if
- HZ ST cvs( )join}{WB Ch ST cvs( )join}ie L1 HZ add XO add MR add W gt{NL}if
- Bt 2 eq{Ft ES Fw neg HM{CS sub}if Pd}if Bt ST cvs join( Bx )join
- Bt 2 eq HM and{CS Pd}if C1 E join /C1 E D /L1 L1 HZ add D /T f D
- ( ) Pd /PF f D Bt 2 lt{YA CS .8 mul lt{/YA CS .8 mul D}if}
- {YB 5 lt{/YB 5 D}if YA 21 lt{/YA 21 D}if}ie /CI 0 D} D
-/Bx {dup 2 eq{E /Bz E D}{E /cH E D /Bz CS .8 mul D}ie
- OU {gsave 0 Sg XP E get exec stroke grestore}{pop}ie Bz 0 R /Ms t D}D
-/SD {FD 4 mul Dy add DZ NF newpath 0 0 M DX t charpath pathbbox
- 3 -1 roll sub /DY E D E dup /X1 E D sub WM mul WX DY mul add WM DG mul E div
- /DF E D /DR WX DF mul DY mul WM div 2 div D} d
-/Sd {gsave 0 IL Di mul neg translate IL IW atan Di 0 eq{neg}if rotate
- FD 4 mul Dy add DZ NF DR X1 sub DY 2 div neg M cD VC DX show grestore} d
-/Pt {/tp t D Tp{NP /Pn (TP) D 0 Tt neg R Th BN NP Ep ET RC ZF}if /tp f D} D
-/RC {/AI 0 D /LG 0 D /BC 0 D /UI 0 D /PF f D /Cc 0 D /cC 0 D /Dc 10 array D
- /NR [0 1 9{pop 0}for] D /La Ds D /AR 10 array D /TR 10 array D /AV 30 array D
- SI /AL -1 D /AT A0 D AT NA /OV 9 array D /Yo 0 D /Co 0 D /Io 0 D /Hy f D
- /Ph f D /CL -1 D Ct Sc}D
-/ZF {/FR [0 1 30{pop 0}for] D /SZ [0 1 30{pop 0}for] D /FO [0 1 30{pop 0}for] D
- /SL 0 D /CF 0 D /FN 0 D 0 Ts SF}D
-/QO [[(\234)(\233)(\253\240)(\232)(\273)(\253)][(')(`)(\253\240)(\231)(\273)(\253)]] D
-/QC [[(\234)(\234)(\240\273)(\233)(\253)(\273)][(')(')(\240\273)(`)(\253)(\273)]] D
-/Hf EF length 2 sub D
-/Hz EZ Hf get D
-/HS Ey Hf get D
-/Fz EZ Hf 1 add get D
-/Fs Ey Hf 1 add get D
-/LE IL D
-/Ps EZ 1 get D
-/Fp EF 1 get D
-/XO 0 D
-/YI 0 D
-/CI 0 D
-/FP 0 D
-/WW Ts 7 mul D
-/Mf 0 D
-/YA 0 D
-/YB 0 D
-/Cs Ts D
-/GS Ts D
-/F0 0 D
-/NS 0 D
-/NB 0 D
-/N 0 D
-/C0 [] D
-/C1 () D
-/Lo 0 D
-/L1 0 D
-/LM 0 D
-/PH 0 D
-/EC 0 D
-/Lh 0 D
-/LT 0 D
-/CH 1 string D
-/ST 16 string D
-/CA 9 array D
-/HC (\255) D
-/HM f D
-/PF f D
-/EN f D
-/TB f D
-/UF f D
-/sF f D
-/AE f D
-/AF f D
-/BP t D
-/CD f D
-/PA t D
-/GL f D
-/T t D
-/HF f D
-/AH f D
-/SA f D
-/PB f D
-/f1 f D
-/mF f D
-/OX 0 D
-/OY 0 D
-/FY 0 D
-/EO 0 D
-/FB 0 D
-/PL 0 D
-/Bw 0 D
-/PD -1 D
-/TP f D
-/tp f D
-/TH t D
-/Ty 4 D
-/Tn -1 D
-/Fl t D
-/LB t D
-/PM 1 D
-/Ms f D
-/Ba f D
-/Bb f D
-/Hl 3 D
-/hl 6 D
-/Hv 6 D
-/Hs f D
-/HI 0 D
-/hi 0 D
-/PO t D
-/TE f D
-/LF t D
-/BO 0 D
-/Sm 1 D
-/Bf 3 D
-/A1 0 D
-/A2 0 D
-/Ds 1 D
-/QL -1 D
-/Cb Db D
-/Ct Dt D
-/Cl Dl D
-[/Creator (html2ps version 1.0 beta7) /Author () /Keywords () /Subject ()
- /Title (<Untitled>) /DOCINFO pdfmark
-/ND 20 D
-/HN [1 1 21{pop (??)}for] D
-/h0 [()(Table of Contents)] D
-/h1 [(1\240\240)(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Library)] D
-/Hr [20]D
-/HV [1]D
-/Cn [0]D
-Hr length 0 gt{[/PageMode /UseOutlines /DOCVIEW pdfmark}if
-/Hn 1 D
-0 1 Hr length 1 sub{
- /Bn E D [Cn Bn get dup 0 gt{/Count E HV Bn get Bl ge{neg}if}{pop}ie
- /Dest Hr Bn get dup abs ST cvs cvn E 0 ge{(h)Hn ST cvs join cvx exec
- dup 1 get E Nf{0 get E join}{pop}ie /Hn Hn 1 add D}{()}ie
- /Title E dup length 255 gt{0 255 getinterval}if /OUT pdfmark}for
-ZF /FN Fp D Ps 0 FS /WC Wf{( )}{<A1A1>}ie SW pop D
-ET RC ZF
-/Df f D
-/TS {
- tables E get /table E D
- table aload pop /rdesc E D /cdesc E D /tdesc E D
- tdesc aload pop /capalg E D /caption E D /rules E D /frame E D /nfoot E D
-  /nhead E D /ncol E D /nrow E D /border E D /twid E D /units E D /talign E D
-  /flow E D /clear E D /tclass E D pop pop
- /w W D /eps 0.1 D /OU f D /PL 1 D
- /FN EF 21 get D EZ 21 get Ey 21 get FS
- 0 1 1{
-  /pass E D
-  0 1 nrow{
-   /irow E D
-   /cells rdesc irow get 6 get D
-   0 1 ncol{
-    /icol E D
-    /cell cells icol get D
-    cell 0 ne{
-     cell aload pop /ang E D /CB E D pop pop pop
-     /DV E D /bot E D /top E D /right E D /left E D /nowrap E D /valign E D
-     /dp E D /align E D /rspan E D /cspan E D /cclass E D /ctype E D /cmax E D
-     /cmin E D /proc E D
-     rspan 0 eq{/rspan nrow irow sub 1 add D}if
-     cspan 0 eq{/cspan ncol icol sub 1 add D}if
-     pass 0 eq cspan 1 eq and pass 1 eq cspan 1 gt and or{
-      /W 1e5 D /LL W D /PH 1 D
-      ctype 1 eq{() BD}if
-      RC align NA
-      AT 4 eq{/CD t D /DC dp D /LN 0 D /M1 0 D /M2 0 D}{/CD f D}ie
-      0 0 M /LM 0 D proc exec BN
-      AT 4 eq{
-       LN array astore cell 15 3 -1 roll put
-       cdesc icol get dup dup 5 get M1 lt{5 M1 put}{5 get /M1 E D}ie
-       dup 6 get M2 lt{6 M2 put}{6 get /M2 E D}ie
-       /LM M1 M2 add D
-      }if
-      /CD f D
-      ang 0 ne{/LM CP E pop neg D}if
-      /thiswid LM left add right add eps add D
-      /oldmin 0 D /oldmax 0 D
-      0 1 cspan 1 sub{
-       icol add cdesc E get dup 2 get /oldmax E oldmax add D
-       1 get /oldmin E oldmin add D
-      }for
-      thiswid oldmax ge{
-       0 1 cspan 1 sub{
-        icol add cdesc E get dup 2 E 2 get oldmax 0 eq
-         {pop thiswid cspan div}{thiswid mul oldmax div}ie
-        put
-       }for
-      }if
-      nowrap 1 eq{
-       thiswid oldmin ge{
-        0 1 cspan 1 sub{
-         icol add cdesc E get dup 1 E 1 get oldmin 0 eq
-          {pop thiswid cspan div}{thiswid mul oldmin div}ie
-         put
-        }for
-       }if
-      }{
-       /W 0 D /LL W D /PH 2 D
-       ctype 1 eq{() ES () BD}if
-       0 0 M /LM 0 D RC proc exec BN
-       /thiswid LM left add right add eps add D
-       thiswid oldmin ge{
-        0 1 cspan 1 sub{
-         icol add cdesc E get dup 1 E 1 get oldmin 0 eq
-          {pop thiswid cspan div}{thiswid mul oldmin div}ie
-         put
-        }for
-       }if
-      }ie
-      ctype 1 eq{() ES}if
-     }if
-    }if
-   }for
-  }for
- }for
- /tmin 0 D /tmax 0 D
- 0 1 ncol{
-  cdesc E get dup 1 get E 2 get 2 copy gt{pop dup}if
-  tmax add /tmax E D tmin add /tmin E D
- }for
- twid 0 lt{twid neg IW gt{IW neg}{twid}ie /twid E D}if
- tdesc 0 twid neg tmin 2 copy lt{E}if pop put
- tdesc 1 twid neg tmax 2 copy lt{E}if pop put
- /W w D /LL W D /OU t D /PH 0 D /PL 0 D
-} D
-/PT {
- /PL PL 1 add D
- tables E get /table E D Tm 21 get Ts mul BE
- PL 2 ge{save}if
- /SL SL 1 add D /FN EF 21 get D EZ 21 get Ey 21 get FS
- table aload pop /rdesc E D /cdesc E D /tdesc E D
- tdesc aload pop /capalg E D /caption E D /rules E D /frame E D /nfoot E D
-  /nhead E D /ncol E D /nrow E D /border E D /twid E D /units E D /talign E D
-  /flow E D /clear E D /tclass E D /tmax E D /tmin E D
- /w W D /xo XO D /mr MR D /ll LL D /lg LG D /ai AI D /bc BC D /nr NR D /ar AR D
- /tr TR D /ui UI D /ph PH D /a0 A0 D /pf PF D /at AT D /av AV D /al AL D
- /Le LE D /la La D
- talign 0 lt{/talign AL 0 gt{AV AL get}{A0 2 le{A0}{0}ie}ie D}if
- ph 1 eq ph 2 eq or{
-  NL ph 1 eq{tmax}{tmin}ie dup XO add LM gt{/LM E XO add D}{pop}ie LM E
- }{
-  /PH 3 D /LE 1e5 D RC %ZF
-  border 0 gt{/border 1 D}if
-  /twidth 0 D /avail W xo sub D
-  twid 0 eq{0 1 ncol{cdesc E get dup 2 get E 3 get dup 0 gt{div neg dup twid lt
-   {/twid E D}{pop}ie}{pop pop}ie}for}if
-  /twid twid dup 0 lt{neg avail 2 copy gt{E}if pop}{avail mul}ie D
-  /OK t D 0 1 ncol{cdesc E get dup 1 get E 3 get twid mul gt{/OK f D}if}for
-  0 1 ncol{
-   cdesc E get dup 1 get /colmin E D dup 3 get /cwid E twid mul D dup
-   tmax avail le{2 get}if
-   tmin avail le tmax avail gt and{
-    dup 2 get E 1 get dup 3 1 roll sub avail tmin sub mul tmax tmin sub div add
-   }if
-   tmin avail gt{1 get}if
-   0 E colmin cwid lt OK and{pop cwid}if dup /twidth E twidth add D put
-  }for
-  /OU f D CP
-  tmin twid le{
-   0 1 ncol{cdesc E get dup 0 get twidth div twid mul 0 E put}for
-   /twidth twid D
-  }if
-  CP printcap CP E pop sub /caphig E D pop
-  0 1 1{
-   /pass E D
-   0 1 nrow{
-    /irow E D
-    /cells rdesc irow get 6 get D
-    0 1 ncol{
-     /icol E D
-     /cell cells icol get D
-     cell 0 ne{
-      cell aload pop /ang E D /CB E D pop pop pop
-      /DV E D /bot E D /top E D /right E D /left E D /nowrap E D /valign E D
-      /dp E D /align E D /rspan E D /cspan E D /cclass E D /ctype E D /cmax E D
-      /cmin E D /proc E D
-      rspan 0 eq{/rspan nrow irow sub 1 add D}if
-      cspan 0 eq{/cspan ncol icol sub 1 add D}if
-      /W 0 D
-      0 1 cspan 1 sub{icol add cdesc E get 0 get /W E W add D}for
-      pass 0 eq rspan 1 eq and pass 1 eq rspan 1 gt and or{
-       ctype 1 eq{() BD}if
-       /W W left sub right sub D /XO 0 D /EO 0 D SI
-       /A0 align D RC align NA
-       AT 4 eq{
-        /DC dp D /DO 0 D /ID 1 D
-        0 1 DV length 1 sub{DV E get dup DO gt{/DO E D}{pop}ie}for
-        /Lo DO DV 0 get sub D /L1 Lo D
-       }if
-       0 0 M /BP t D /Fl t D /MF 0 D /FB 0 D
-       proc exec T not{/CI 0 D}if BN 0 FB neg R MF 0 eq{/MF CS D}if
-       CP /thishig E neg bot add top add CI add D pop
-       ang 0 ne{/thishig LM bot add top add D}if
-       cell 16 MF put cell 17 Ya put cell 18 thishig put
-       valign 4 eq{
-        /below thishig Ya sub D
-        rdesc irow get dup dup 4 get Ya lt
-         {4 Ya put}{4 get /Ya E D}ie
-        dup 5 get below lt{5 below put}{5 get /below E D}ie
-        /thishig Ya below add D
-       }if
-       ctype 1 eq{()ES}if
-       /oldhig 0 D
-       0 1 rspan 1 sub{
-        irow add rdesc E get 0 get /oldhig E oldhig add D
-       }for
-       thishig oldhig ge{
-        0 1 rspan 1 sub{
-         irow add rdesc E get dup 0 E 0 get oldhig 0 eq
-          {pop thishig rspan div}{thishig mul oldhig div}ie
-         put
-        }for
-       }if
-      }if
-     }if
-    }for
-   }for
-  }for M RC %ZF
-  /thight 0 D /racc 0 D /maxh 0 D /brk 0 D /rbeg nhead nfoot add D
-  0 1 nrow{
-   rdesc E get dup 0 get dup /thight E thight add D
-   brk 0 eq{/racc E D}{/racc E racc add D}ie
-   racc maxh gt{/maxh racc D}if 2 get /brk E D
-  }for
-  ph 3 ge{thight caphig add E}if
-  ph 0 eq ph 4 eq or{
-   /PH 4 D /LE Le D /OU Ou D /yoff 0 D /headsz 0 D
-   0 1 nhead 1 sub{rdesc E get 0 get headsz add /headsz E D}for
-   /footsz 0 D
-   0 1 nfoot 1 sub{rdesc E nhead add get 0 get footsz add /footsz E D}for
-   /ahig LE BO add MI add D /maxh maxh headsz add footsz add D
-   /thight thight headsz add footsz add D
-   tmin avail gt maxh ahig gt or
-    {/Sf avail tmin div dup ahig maxh div gt{pop ahig maxh div}if D /SA t D}
-    {/Sf 1 D}ie
-   tclass 1 eq thight LE 15 sub gt and
-    {/SA t D LE 15 sub thight div dup Sf lt{/Sf E D}{pop}ie}if
-   SA{Sf Sf scale /ll ll Sf div D /xo xo Sf div D /LE LE Sf div D
-    /mr mr Sf div D /BO BO Sf div D /ahig ahig Sf div D}if
-   nhead nfoot add getwid
-   LE CP E pop add capalg 0 eq{caphig sub}if
-   bT{f}{dup thight lt thight ahig lt and}ie
-   E headsz sub footsz sub rwid lt or{NP}if
-   capalg 0 eq{printcap -8 SP}if
-   CP /ycur E D pop
-   printhead
-   rbeg 1 nrow{/row E D row
-    getwid
-    ycur yoff add rwid sub footsz sub LE add 0 lt
-    {nfoot 0 gt{printfoot}if Tf NP /rbeg irow1 D
-     Ba{MI /MI MI SA{Sf div}if D MI SP /MI E D}if
-     CP /ycur E D pop /yoff 0 D printhead}if
-    irow1 printrow
-   }for
-   printfoot /row row 1 add D Tf
-   0 ycur yoff add M
-   capalg 1 eq{/EO 0 D SI -3 SP printcap}if
-   Sf 1 lt{1 Sf div dup scale /ll ll Sf mul D /xo xo Sf mul D /LE LE Sf mul D
-    /mr mr Sf mul D /BO BO Sf mul D /SA f D}if
-   /EO 0 D
-  }if
- }ie
- /W w D /XO xo D /MR mr D /LL ll D /LG lg D /AI ai D /BC bc D /NR nr D /AR ar D
- /TR tr D /UI ui D /PH ph D /A0 a0 D /PF pf D /AT at D /AV av D /AL al D
- /La la D
- /SL SL 1 sub NN D /CF 0 D /FN 0 D SZ SL get FR SL get FS Wf not{()F2}if
- PL 2 ge{Ms E restore Ms or /Ms E D PH 1 eq PH 2 eq or
-  {/LM E D}if PH 3 ge{/CI 0 D NL 0 E neg R}if
- }if
- /PL PL 1 sub D /CI 0 D /BP f D /PO f D () Bm 21 get Ts mul BE BL %CF CS SF
-} D
-/printcap{
- capalg 0 ge{
-  SA{/W w Sf div D}
-   {talign 1 eq{/XO xo ll twidth sub 2 div add D}if
-    talign 2 eq{/XO xo ll twidth sub add D}if
-    /W XO twidth add D
-   }ie /XO xo D /LL W XO sub MR sub D
-  /PA f D /Fl capalg 0 eq D
-  1 NA BL caption exec BN OA /PA t D
- }if
-} D
-/getwid{
- /irow1 E D
- /irow2 irow1 D
- /rwid 0 D
- {rdesc irow2 get dup 0 get rwid add /rwid E D 2 get 0 eq
-  {exit}{/irow2 irow2 1 add D}ie
- }loop
-} D
-/printrow{
- /xoff ll twidth PL 2 ge{Sf div}if sub talign mul 2 div D
- /xleft xoff xo add D
- /irow E D
- /cells rdesc irow get 6 get D
- 0 1 ncol{
-  /icol E D
-  /cell cells icol get D
-  cell 0 ne{
-   cell aload pop /ang E D /CB E D /cvsize E D /above E D /fontsz E D
-   /DV E D /bot E D /top E D /right E D /left E D /nowrap E D /valign E D
-   /dp E D /align E D /rspan E D /cspan E D /cclass E D /ctype E D /cmax E D
-   /cmin E D /proc E D
-   rspan 0 eq{/rspan nrow irow sub 1 add D}if
-   cspan 0 eq{/cspan ncol icol sub 1 add D}if
-   /width 0 D
-   0 1 cspan 1 sub{icol add cdesc E get 0 get /width E width add D}for
-   /rhight rdesc irow get 0 get D
-   /hight rhight D
-   1 1 rspan 1 sub{irow add rdesc E get 0 get /hight E hight add D}for
-   /W xo xoff add width add right sub D
-   ang 0 ne{/W xo xoff add hight add right sub D}if
-   /EO xo xoff add left add D SI
-   Cf{
-    gsave CB VC xo xoff add ycur yoff add M
-    0 hight neg RL width 0 RL 0 hight RL width neg 0 RL fill
-    grestore
-   }if
-   ctype 1 eq{() BD}if
-   /A0 align D RC
-   AT 4 eq{
-    /DC dp D /ID 1 D /DO cdesc icol get 5 get D /Lo DO DV 0 get sub D /L1 Lo D
-   }if
-   ang 0 ne{
-    gsave ang 90 eq
-     {xoff ycur add hight cvsize sub 2 div sub ycur hight sub xoff sub}
-     {xoff ycur sub width add hight cvsize sub 2 div add ycur xoff add}ie
-    translate ang rotate
-   }if
-   valign 3 le{0 ycur yoff add top sub
-    hight cvsize sub valign 1 sub mul 2 div sub M}
-   {0 ycur yoff add top sub above add rdesc irow get 4 get sub M}ie
-   /PA f D /BP t D /Fl t D
-   BL proc exec BN
-   ang 0 ne{grestore}if
-   /PA t D
-   ctype 1 eq{() ES}if
-  }if
-  /xoff xoff cdesc icol get 0 get add D
- }for
- /yoff yoff rhight sub D
-} D
-/printhead {0 1 nhead 1 sub{printrow}for} D
-/printfoot {nhead 1 nhead nfoot add 1 sub{printrow}for} D
-/Tf {
- OU{rules 2 ge{/yoff 0 D
-   gsave 0 Sg
-   [0 1 nhead 1 sub{}for rbeg 1 row 1 sub{}for nhead 1 nhead nfoot add 1 sub{}for]{
-    /irow E D
-    /xoff ll twidth PL 2 ge{Sf div}if sub talign mul 2 div D
-    /cells rdesc irow get 6 get D
-    0 1 ncol{
-     /icol E D
-     /cell cells icol get D
-     cell 0 ne{
-      /rspan cell 6 get D
-      /cspan cell 5 get D
-      rspan 0 eq{/rspan nrow irow sub 1 add D}if
-      cspan 0 eq{/cspan ncol icol sub 1 add D}if
-      /width 0 D
-      0 1 cspan 1 sub{icol add cdesc E get 0 get /width E width add D}for
-      /rhight rdesc irow get 0 get D
-      /hight rhight D
-      1 1 rspan 1 sub{irow add rdesc E get 0 get /hight E hight add D}for
-      xo xoff add width add ycur yoff add M
-      0 hight neg icol cspan add 1 sub ncol lt
-       {cdesc icol 1 add get 4 get dup rules 3 le{1 eq}{pop t}ie
-        {1 eq{0.8}{0.3}ie
-        LW RL CP stroke M}{pop R}ie}{R}ie
-      irow nhead nfoot add 1 sub ne nfoot 0 eq or
-       {irow rspan add 1 sub nrow lt
-       {rdesc irow rspan add get 3 get}{nfoot 0 eq{0}{1}ie}ie
-       dup rules 2 mod 0 eq{1 eq}{pop t}ie
-       {1 eq irow rspan add nhead eq or irow rspan add row eq nfoot 0 gt and or
-        {0.8}{0.3}ie LW width neg 0 RL CP stroke M}{pop}ie}if
-     }if
-     /xoff xoff cdesc icol get 0 get add D
-    }for
-    /yoff yoff rhight sub D
-   }forall
-   grestore
-   /Ms t D
-  }if
-  frame 1 gt{
-   gsave
-   1 LW 0 Sg
-   xleft ycur M CP BB
-   0 yoff frame 5 eq frame 7 ge or{RL}{R}ie
-   twidth 0 frame 3 eq frame 4 eq or frame 8 ge or{RL}{R}ie CP BB
-   0 yoff neg frame 6 ge{RL}{R}ie
-   twidth neg 0 frame 2 eq frame 4 eq or frame 8 ge or{RL}{R}ie
-   closepath stroke
-   grestore
-   /Ms t D
-  }if
- }if
-} D
-/tables [[[0 0 0 0 0 -1 0 1 3 19 0 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Groups)} 0 0 1 0 1 1 1 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 1 A(ADDFx)1 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 2 1 A(ADDHx)2 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 3 1 A(AND2x)3 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 4 1 A(ANT)4 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 5 1 A(AOI21)5 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 6 1 A(BUFx)6 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 7 1 A(DFFSRx)7 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 8 1 A(DFFx)8 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 9 1 A(INVx)9 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 10 1 A(NAND2x)10 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 11 1 A(NOR2x)11 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 12 1 A(OAI21)12 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 13 1 A(OR2x)13 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 14 1 A(TBUFIx)14 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 15 1 A(TIEHI)15 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 16 1 A(TIELO)16 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 17 1 A(TNBUFIx)17 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 18 1 A(XNOR2)18 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()0 19 1 A(XOR2)19 0 TN TL()Ec /AF f D()} 0 0 1 0 1 1 0 (.) 2 0 6 6 6 6 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(ADDFx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CI)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CO)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CON)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(S)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)2 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(46.88640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(46.88640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 6 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CI)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CO)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CON)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(S)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02103)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02095)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01602)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20714)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08870)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20587)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02100)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02092)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01603)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14330)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08986)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14508)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61322)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.82681)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51524)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.72883)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13473)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.44978)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.80982)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.42705)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.71745)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12912)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45364)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.85607)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->CO \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02984)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17528)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.81409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13818)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.42690)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.50292)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13095)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.40817)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.44806)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.43087)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.55106)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->CO \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03452)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19147)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.81629)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17554)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55649)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.19041)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15562)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51785)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.09477)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15254)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53172)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.17536)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->CO \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02387)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63173)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17427)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51056)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.75991)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15449)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.68843)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15124)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48569)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.74682)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->CO \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02593)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14057)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60077)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79049)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11635)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25639)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.75550)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11256)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26084)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12873)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27992)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78937)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11005)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25101)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.75436)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10570)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25514)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78051)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08172)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17529)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48847)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07713)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17286)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50496)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07611)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17960)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54057)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07876)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17287)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48892)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07449)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17039)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50536)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07313)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17721)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54101)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25174)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.98578)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25847)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60541)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.95845)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22676)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57178)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.96649)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07612)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20626)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64296)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24333)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55646)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.67449)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25070)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56499)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.66760)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->S \201-R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21829)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52916)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.65773)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07767)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21444)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.62693)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20867)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49684)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.57462)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20614)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47446)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.50423)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20241)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49900)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.61834)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08790)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22750)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.69282)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19994)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45454)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.29874)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19729)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.43449)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.25024)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI->S \201-F\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19356)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45662)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.34407)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22529)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.65182)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00404)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00424)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00591)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00626)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00622)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00744)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00660)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00690)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00866)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00358)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00331)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00403)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00506)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00578)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00570)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00678)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01793)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02030)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01845)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01893)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02056)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01618)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01689)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01878)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01759)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01761)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01836)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01735)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01770)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01856)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01508)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01684)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01859)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01861)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01901)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01840)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01864)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01907)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01614)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01656)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01741)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01753)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01789)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01733)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01755)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01793)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01506)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01546)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01627)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00455)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00427)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00471)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00617)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00601)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00641)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00657)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00671)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00748)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00350)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00322)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00361)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00513)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00495)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00529)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00549)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00633)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01755)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01793)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02026)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01845)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01892)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02051)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01618)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01689)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01869)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01757)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01761)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01838)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01735)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01771)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01857)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01508)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01684)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03925)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03919)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03982)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03461)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03397)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03648)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03119)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03201)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDFXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03781)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03761)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03815)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03320)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03020)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02984)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03072)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(ADDHx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CO)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CON)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(S)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)20 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDHX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(27.83880)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDHXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(27.83880)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CO)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CON)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(S)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDHX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01022)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01124)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21513)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09900)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22002)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDHXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01022)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01124)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12748)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10142)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12985)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDHX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.70979)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.81980)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ADDHXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48792)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08747)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21800)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.65753)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09059)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21558)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.65061)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09012)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23057)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09326)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22829)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.62857)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07588)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20790)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.67087)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08142)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21487)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68424)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07641)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21022)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61803)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CO \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08175)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21697)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63154)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11954)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20823)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.42042)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07716)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22648)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41274)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09569)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24732)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77965)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10739)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19489)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.40269)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06892)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21901)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76655)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11038)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19234)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.39689)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08743)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24035)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78138)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11327)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22958)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04976)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15446)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52907)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11242)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23643)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59580)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05782)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15790)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51345)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->CON \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10289)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21709)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54559)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53198)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10220)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57662)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->CON \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05435)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15529)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41522)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.83676)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->S \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16081)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48435)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.84464)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10505)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.40739)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.75512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16076)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50271)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.94187)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(-)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03161)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17778)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.83144)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09897)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.40677)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->S \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15607)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.44083)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.40324)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10710)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.35766)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15602)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45548)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.46888)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(-)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03710)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19839)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.82232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11269)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47752)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.11665)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->S \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15218)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.39969)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.40013)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13126)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49869)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.13812)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15509)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.39679)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.39261)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(-)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13447)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->S \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10983)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.54911)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->S \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14388)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.35592)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.02646)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12832)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.43625)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.56617)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->S \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14684)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.35324)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.02046)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CON->S \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(-)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02561)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59714)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00788)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00766)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00816)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00703)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00675)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00707)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00611)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00675)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00518)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00566)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01220)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01299)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01322)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01481)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01097)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01068)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01184)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01151)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01160)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01299)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00788)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00826)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01101)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01105)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01170)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00703)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00673)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00719)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01241)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01234)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01257)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00639)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00672)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00999)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00995)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01053)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01139)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01129)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01142)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01339)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00158)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00164)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00206)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01299)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01314)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01461)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00281)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00274)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00307)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01097)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01068)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01184)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00034)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00035)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00059)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01152)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01159)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01297)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00157)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00144)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00164)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01222)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01362)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00161)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00176)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00250)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01300)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01324)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01505)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00284)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00287)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00344)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01099)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01069)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01190)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00066)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01152)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01161)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01306)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00159)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00149)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00174)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00789)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00766)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00818)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01103)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01118)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01186)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00703)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00675)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00707)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01243)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01289)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ADDHXL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00641)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00609)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00674)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01002)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01053)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00517)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00567)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01141)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01132)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01148)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(AND2x)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)38 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 6 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(15.38460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(21.24540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(27.10620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(32.96700)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21489)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00554)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00566)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.40494)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00568)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.73894)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00561)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00570)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.02063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.28454)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00427)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00439)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14698)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.34131)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54504)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54480)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.95176)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.08283)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.35873)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.62063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2X8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.76569)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.15842)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AND2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21889)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.34890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06730)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59193)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07130)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18468)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.58696)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07580)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18804)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.62999)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07980)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18604)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.62135)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10211)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21718)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.71274)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10610)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21414)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.69864)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12828)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24488)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77439)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24199)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.75478)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27304)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.83089)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15876)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27049)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.80750)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07495)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20419)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59666)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07906)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20322)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59062)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05913)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17904)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59709)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06330)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18493)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61196)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06571)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17984)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.62348)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07003)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63503)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08751)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20097)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.67893)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09173)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68837)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11321)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22660)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.72107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11708)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23066)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.72865)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13680)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25033)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.75867)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14070)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25424)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76527)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06483)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19163)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.58863)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06973)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19815)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 25 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00574)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00542)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00846)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00583)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00514)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00699)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01187)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01179)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01434)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01197)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01166)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01319)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X4)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02533)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02548)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02781)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02541)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02514)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02714)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X6)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04037)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04190)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04049)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03931)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04124)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X8)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05638)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05332)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05604)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05652)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05331)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05527)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00421)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00390)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00572)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00431)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00372)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00489)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 25 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01507)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01552)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01979)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01676)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01697)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02086)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01939)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02041)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02435)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02111)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02149)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02529)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X4)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03125)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03188)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03623)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03279)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03635)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X6)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04333)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04370)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04851)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04471)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04395)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04781)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X8)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05850)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05509)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06079)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05965)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05524)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05921)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01166)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01183)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01442)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01294)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00588)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00588)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00588)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00431)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00434)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00431)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00589)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00589)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00589)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00586)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00588)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00592)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00588)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00589)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00431)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00434)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00431)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00408)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00408)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00554)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00554)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00554)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00554)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00410)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00410)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00408)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(ANT)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 2 0 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)52 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ANT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.59340)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ANT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07064)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(ANT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(411233.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(822466.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ANT)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(-0.00210)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01903)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10740)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(ANT)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(0.53534)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.46357)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(AOI21)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 5 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(A1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)60 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AOI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(A1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AOI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00527)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00544)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00528)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09827)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(AOI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12797)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07572)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23362)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77687)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06595)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21632)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.74180)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05575)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21066)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04615)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14027)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.46247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49521)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03017)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13024)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48669)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01348)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01334)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01146)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01128)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01141)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01029)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01010)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01101)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00259)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00232)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00257)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00144)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00149)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00123)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00484)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00511)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00523)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00525)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00524)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00523)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00525)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00523)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00519)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00524)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00527)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00524)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00527)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00523)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00505)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00518)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00519)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00518)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00561)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00561)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00513)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00518)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00521)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00519)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00561)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * A1 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * A1 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00242)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00244)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00242)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(AOI21XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * A1 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * A1 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(BUFx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 3 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)76 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 6 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(9.52380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(18.31500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(24.17580)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(30.03660)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(9.52380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00562)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21617)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00563)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77020)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00566)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.08806)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00569)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.35608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00440)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14976)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27614)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27614)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54504)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.69034)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.08283)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.96647)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.62063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.24261)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.15843)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(BUFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17817)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17817)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05391)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16631)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55716)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX2)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05873)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16437)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59291)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX4)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07765)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18536)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.66494)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX6)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20502)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.71907)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX8)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11451)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22604)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76496)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFXL)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06071)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18546)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56627)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05668)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17570)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59480)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX2)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06361)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17813)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63010)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX4)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08553)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19973)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68938)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX6)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.73661)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFX8)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13451)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24886)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77241)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(BUFXL)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06296)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18978)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59178)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00534)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00799)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01141)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01140)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01400)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02454)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02760)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03838)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03887)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04151)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05285)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05562)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00403)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00367)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01435)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01476)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01911)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01866)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01933)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02339)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03033)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03076)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03468)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04240)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04192)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04629)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFX8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05752)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05338)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05813)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(BUFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01123)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01134)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01406)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(DFFSRx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 6 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 4 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(D)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(RN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(SN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CK)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Q)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(QN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(R)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(R)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(IQ)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(IQN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)86 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(69.59700)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(69.59700)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 6 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 4 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(D)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(RN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(SN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CK)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Q)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(QN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00539)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00539)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01144)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01577)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20813)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20126)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00539)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00538)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01143)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01577)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14406)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13887)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.93911)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.30165)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFSRXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.84114)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.20368)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25835)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50091)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.39249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19967)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.92988)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20857)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45487)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.35341)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19609)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45816)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.49199)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26457)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.36165)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03849)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21291)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.90685)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21548)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47924)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.32315)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20276)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48210)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.45867)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28194)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51562)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.41243)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02532)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14983)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.69669)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->Q \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19547)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45311)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.47194)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.29204)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.54829)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.40297)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02871)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16083)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68734)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->Q \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48594)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.46190)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25155)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37392)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76762)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->QN \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16535)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.31174)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.82741)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25606)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.38735)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76324)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->QN \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16971)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.32546)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.82283)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21731)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.32981)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16735)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28394)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59692)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN->QN \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15491)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.73532)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21671)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.33151)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16724)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28649)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN->QN \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15457)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.70885)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06005)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06025)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.07965)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18085)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27008)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06141)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.08048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18701)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18015)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26870)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.11089)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16708)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.48460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13884)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18155)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50084)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.11409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16798)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.48505)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13904)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17930)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49908)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06005)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06025)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.07965)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18085)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27008)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06141)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.06000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.08048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18701)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18015)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26870)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.11089)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16708)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.48460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13884)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18155)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50084)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.11409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16798)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.48505)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13904)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17930)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49908)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13601)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22497)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02501)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.14216)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17775)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.36283)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19487)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49527)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13533)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22360)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02501)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.14233)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.35516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19487)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48270)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 13 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13601)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22497)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02501)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.14216)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17782)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.36283)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.14575)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17775)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.36669)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19487)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49257)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16097)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49527)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13533)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22360)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02501)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.14233)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17538)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.35516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.14344)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.17520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.35807)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19487)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47708)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15062)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18719)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.48270)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(RN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12279)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15721)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(RN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12494)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15721)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(RN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12279)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15721)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(RN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12033)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15393)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04677)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13100)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01796)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02944)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.07858)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03364)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04362)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12466)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.07866)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03764)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04677)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13100)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01796)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02944)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.07858)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(recovery)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03364)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04362)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12466)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(removal)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01479)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.07866)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14736)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18997)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14490)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18669)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14736)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18997)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(SN \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13988)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18341)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11276)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13903)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10918)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13665)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24130)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11823)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15393)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24130)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11823)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15393)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01803)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01687)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01595)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03327)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03241)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03019)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03342)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16859)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03742)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03641)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03326)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01650)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01502)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03173)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03080)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02925)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02680)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.11669)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03587)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03481)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03231)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01907)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01787)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03342)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16859)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03919)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03824)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03577)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01758)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01670)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01581)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02680)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.11669)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03769)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03706)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03669)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01906)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01788)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16302)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03917)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03825)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03587)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01757)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01670)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01596)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02621)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.11248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03768)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03707)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03659)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01796)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01681)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01583)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03319)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03236)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03021)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.16298)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03735)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03637)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03326)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01642)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01521)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01497)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(RN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03166)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03075)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02932)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00295)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02621)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.11245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(SN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03580)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03476)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 21 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00492)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00511)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02269)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02207)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02291)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00909)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00853)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00951)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00908)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00852)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00951)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00915)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00859)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00957)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00492)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00511)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02269)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02207)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02291)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00909)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00852)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00951)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00908)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00852)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00951)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00915)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00859)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00957)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 21 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00511)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03421)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03384)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03457)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01468)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01442)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01552)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01473)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01446)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01461)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01435)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01546)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00511)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * SN * Q * !QN\202 + \201!CK * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03383)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03456)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01467)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01441)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01551)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01472)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01445)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01555)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01434)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01544)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00413)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00371)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00671)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01809)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01739)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02013)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00414)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00371)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00672)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01809)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01740)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02013)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01417)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01426)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01886)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03058)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03029)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03437)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * SN * !Q * QN\202 + \201!CK * !D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01417)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01425)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01886)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03058)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03029)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03437)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01163)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01163)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01159)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01188)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01191)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01132)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01150)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01148)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00751)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00701)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00804)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01163)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01163)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01157)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01186)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01189)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01131)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01150)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01147)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00752)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00702)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00805)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01163)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01169)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01191)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01199)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01192)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01148)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01155)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01148)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02351)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02309)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02372)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * RN * Q * !QN\202 + \201!CK * D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01163)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01169)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01162)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201CK * !RN * !Q * QN\202 + \201!CK * !D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01190)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01197)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01190)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01147)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01155)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01148)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * !D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02350)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02309)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02372)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 21 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00140)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00190)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00095)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00927)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00810)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00917)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00801)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01039)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00164)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00214)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00080)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00619)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00507)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01041)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 10 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00140)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00190)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00095)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00926)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00809)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01047)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00916)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00799)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01038)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00164)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00214)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00080)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00619)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00507)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01041)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 29 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRX1)} 0 0 1 0 1 14 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04984)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05318)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02056)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02509)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03534)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03924)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03924)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04834)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04799)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05558)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02332)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02337)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02713)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02702)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFSRXL)} 0 0 1 0 1 14 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04985)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05317)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * RN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02056)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02509)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03534)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03924)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !RN * !SN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03924)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04833)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04798)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05558)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * SN * !Q * QN\202 + \201!D * !RN * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02332)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02337)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * RN * !SN * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02711)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02701)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03509)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(DFFx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(D)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CK)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Q)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(QN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(R)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(R)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(IQ)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(IQN)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)120 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(48.35160)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(48.35160)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(D)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(CK)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Q)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(QN)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01534)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20918)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20182)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01532)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14089)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13671)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.86271)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.10200)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(DFFXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76474)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17393)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.40456)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.29734)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03386)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19930)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.93098)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18243)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.42976)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.24969)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03916)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21426)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.90870)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23648)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.46656)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.36560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02521)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.69595)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24776)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.33822)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(QN->Q \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02866)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15910)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.67676)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20670)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.32498)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.71793)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.34053)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.71364)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13628)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53877)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK->QN \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13753)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24024)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51382)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03476)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03836)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.05349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10641)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10750)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20693)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03713)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.03836)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.05349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10504)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10648)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20644)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.09356)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.15677)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.46450)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11352)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47898)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(hold)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.09158)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.15470)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.46424)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(setup)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201R\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11352)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47898)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07332)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11635)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06973)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11635)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Check)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Ref Pin\201trans\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Reference Slew Rate\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB( first )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( mid )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB( last 
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16257)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18014)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08766)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16211)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17686)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(min_pulse_width)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK \201\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08766)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13428)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.97290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01498)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01376)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01310)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01348)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01220)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01244)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01667)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01546)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01275)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01523)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01429)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01666)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01548)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01307)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01522)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01430)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01358)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01493)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01371)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01313)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01341)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01214)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01222)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00459)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00507)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00511)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01507)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01622)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00459)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00507)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00511)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01561)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01508)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01623)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03008)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02967)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03083)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(CK)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!CK * Q * !QN\202 + \201!CK * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03008)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02968)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03084)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00144)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00193)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00094)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00177)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00070)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00144)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00193)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00094)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00177)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00226)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00070)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFX1)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02033)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02045)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02499)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04344)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04278)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04628)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04395)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04361)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05192)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02330)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02336)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(DFFXL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02033)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02045)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02499)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04345)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04279)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04629)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * Q * !QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04396)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04362)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05193)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!D * !Q * QN\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02330)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02336)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(INVx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 3 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)142 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 8 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.59340)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX10)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(32.96700)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(9.52380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX3)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(15.38460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(21.24540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(27.10620)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.59340)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00541)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20944)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX10)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05069)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.61470)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01041)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.40624)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX3)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01552)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57956)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02055)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.75827)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03075)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.07089)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04077)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.35745)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00417)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14208)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13807)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX10)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.38067)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.68898)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27614)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53780)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX3)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.80670)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.07559)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX6)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.82840)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.61339)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVX8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.10454)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.15119)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INVXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08908)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17073)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02902)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16864)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78475)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX10)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04372)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13990)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79797)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX2)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02369)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77741)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX3)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02611)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14071)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78645)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX4)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02731)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13589)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78252)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX6)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03138)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13351)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78482)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX8)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03722)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13544)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVXL)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03374)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18501)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78728)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02216)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13150)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61302)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX10)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03501)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10157)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56859)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX2)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01838)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11192)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60461)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX3)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01993)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10630)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.60557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX4)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02015)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10019)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59684)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX6)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09765)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.58645)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVX8)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03005)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09850)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57761)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(INVXL)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02512)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13956)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59533)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00755)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00783)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00868)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX10)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06639)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08076)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01358)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01436)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01630)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX3)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02069)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02159)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02480)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02676)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02804)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03960)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04849)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05268)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05577)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06454)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00585)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00594)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00647)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 17 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00172)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00164)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00124)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX10)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02215)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02411)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01775)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00534)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00497)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00404)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX3)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00712)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00664)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00502)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00995)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00774)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX6)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01615)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01504)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01139)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVX8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.02036)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01986)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.01473)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(INVXL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00122)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00117)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00098)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(NAND2x)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)152 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(9.52380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(9.52380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00542)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19418)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00418)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00417)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13987)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13783)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53780)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NAND2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08898)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.34147)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02931)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.75259)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03447)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17096)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76216)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03399)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18455)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78096)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04009)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79239)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03041)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16198)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.73235)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03417)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16026)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.70253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03456)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17714)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.73603)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03800)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.70191)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00807)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00827)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00914)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01010)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01021)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01102)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00619)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00625)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00674)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00768)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00756)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00814)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00124)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00120)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00089)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00115)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00124)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00100)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00093)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00096)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00076)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00088)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00095)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00081)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00577)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00576)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00576)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00421)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00423)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00421)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00577)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00580)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00576)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00421)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00424)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00421)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00535)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00538)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00536)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00391)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00392)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00391)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00538)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00539)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00537)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NAND2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00393)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00394)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00392)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(NOR2x)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)166 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(9.52380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(9.52380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00541)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10845)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00444)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07457)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09537)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.26890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(NOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17073)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05878)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77810)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04513)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19938)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76853)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06735)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23581)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77612)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05537)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22193)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77782)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02935)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11599)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.42298)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02348)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10779)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41118)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03178)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12212)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.41427)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02643)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11489)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.40349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01105)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01094)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01122)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00816)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00822)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00939)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00807)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00798)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00813)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00623)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00606)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00686)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00102)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00082)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00114)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00129)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00126)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00085)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00066)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00049)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00073)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00087)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00087)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00462)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00508)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00514)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00333)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00361)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00365)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00514)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00518)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00514)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00365)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00368)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00365)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00242)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00244)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00242)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00180)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00182)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00180)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(NOR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00183)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00184)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00182)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(OAI21)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 6 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(A1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)180 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OAI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(A1)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B0)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OAI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00550)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00463)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10696)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OAI21XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11412)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.43963)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05841)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21306)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78025)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07591)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23263)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79417)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04146)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17617)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68287)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 4 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04256)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14382)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50183)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05003)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15013)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50319)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03368)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14386)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55080)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01113)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01106)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01200)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01404)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01385)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01404)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00964)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00964)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01038)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 5 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00027)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00007)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00026)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00261)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00225)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00362)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00355)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00381)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00243)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00243)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00495)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00514)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00519)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A1 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00529)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00526)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00455)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00506)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00495)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00513)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00521)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00522)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00521)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 6 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * B0 * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00507)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00508)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00513)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00513)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !B0 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00521)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00524)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00522)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A0 * !A1 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !A1 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00434)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00433)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00434)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OAI21XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!A0 * !A1 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A0 * !A1 * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00434)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00436)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00434)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(OR2x)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(x)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)196 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 5 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(15.38460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(21.24540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(32.96700)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21563)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00574)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.40513)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00576)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00559)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.74440)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00581)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.32253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00448)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00426)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14509)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 6 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16802)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.28337)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X2)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24067)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X4)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.38597)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(1.09007)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2X8)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.67658)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(2.16566)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(OR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11391)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18560)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06340)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18425)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59282)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05580)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17071)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56454)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06879)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17957)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.61824)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06073)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16784)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59253)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08811)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19822)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68704)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07981)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18854)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.66548)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12499)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23726)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78777)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11649)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22879)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77131)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07021)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20202)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.59273)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06325)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19012)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56612)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09777)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22363)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.66600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07946)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20622)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64572)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.11331)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23448)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.70067)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09518)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21665)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.68564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15547)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27729)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77780)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13741)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.25809)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76997)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.24576)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.36920)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.90351)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22782)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.34928)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.90107)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10805)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23820)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.64985)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09013)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22262)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.63756)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 21 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00812)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00754)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00918)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00600)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00587)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00854)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01425)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01198)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01481)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X4)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02738)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02782)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02955)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02509)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02631)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X8)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05584)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05504)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05778)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05351)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05361)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05776)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00598)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00648)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00464)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00446)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00610)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 21 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01785)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01746)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01880)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01460)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01503)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01868)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02336)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01899)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01969)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02302)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X4)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03538)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03348)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03491)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03212)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03062)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03417)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X8)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07022)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05792)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05840)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06706)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05473)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05722)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01354)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01319)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01128)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01148)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01372)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00466)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00466)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00467)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00467)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00510)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00335)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00363)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00367)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00517)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00517)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00517)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00516)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00517)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201B * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00367)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00370)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00367)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00243)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00243)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00243)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00245)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00244)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00185)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00185)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00183)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 11 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X1)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X2)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X4)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2X8)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00247)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00249)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(OR2XL)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00186)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00187)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00185)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(TBUFIx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(OE)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(-)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(HiZ)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)210 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(OE)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00724)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10993)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00445)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00564)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07547)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14110)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.53780)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TBUFIXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09246)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.34147)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04369)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19805)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76880)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04484)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09276)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37291)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07557)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20319)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55248)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05379)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22037)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78021)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04816)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09850)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37272)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08501)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22770)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56431)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03003)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13278)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51159)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04535)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09341)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37293)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02849)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.12608)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.47365)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03442)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14152)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50038)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04875)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09853)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37272)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03337)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13507)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45951)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00775)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00781)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00881)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00789)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00768)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01141)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00594)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00646)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00562)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00772)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00131)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00131)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00095)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00528)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00915)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00088)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00090)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00070)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00369)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00342)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00591)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00353)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00356)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00354)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00308)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00310)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00308)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00276)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00279)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00276)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00402)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00357)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00360)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00357)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00308)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00310)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00308)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00278)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00280)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00278)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00319)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00297)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00718)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00283)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00260)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00684)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00195)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00449)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00193)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00170)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00424)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00871)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00869)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01354)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00885)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00894)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00688)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00671)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00967)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00701)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00690)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00984)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(TIEHI)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIEHI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.59340)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIEHI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51657)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIEHI)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(TIELO)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIELO)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(6.59340)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIELO)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.83146)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TIELO)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(TNBUFIx)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 4 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(OE)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(-)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(HiZ)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)232 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 2 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TNBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TNBUFIXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(12.45420)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(OE)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TNBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00573)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00900)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10997)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TNBUFIXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00444)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00675)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07556)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 3 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TNBUFIX1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22832)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.27614)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(TNBUFIXL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14689)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.17816)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04387)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19815)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.76896)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02537)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07865)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05584)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21299)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77737)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05414)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22048)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.78072)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02632)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07892)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37433)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06458)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23459)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77806)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 7 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIX1)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02969)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.13265)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51156)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02520)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07859)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37403)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04978)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15251)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.45491)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIXL)} 0 0 1 0 1 3 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.03401)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14139)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50059)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02614)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07890)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.37433)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05683)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.16574)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.44962)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00793)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00799)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00899)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01942)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02004)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02565)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00613)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00583)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00665)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01446)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01472)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01813)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00156)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00154)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00118)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01713)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01782)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02301)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00112)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00113)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00094)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(OE)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01277)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01314)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01623)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00346)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00347)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00303)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00305)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00303)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00255)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00257)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00255)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00227)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00346)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00349)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00347)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00306)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00308)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00306)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00255)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00257)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00255)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201OE * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00230)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00229)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00634)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00704)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00237)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00622)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00686)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00228)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00450)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00500)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00440)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00487)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(-0.00217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 4 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIX1)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01466)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01539)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02090)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01446)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01515)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02069)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(TNBUFIXL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201A * !Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01099)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01128)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01465)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(\201!A * Y\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01084)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01115)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01447)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(XNOR2)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 5 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)246 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XNOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(21.24540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XNOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01135)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01039)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10699)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XNOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.46432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.81393)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XNOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.09380)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22362)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.57017)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05519)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20843)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77199)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07517)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20568)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55154)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07605)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23177)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79032)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XNOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08543)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19842)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52390)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04215)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14020)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49213)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07749)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.19096)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.51768)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.05206)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.15146)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50548)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XNOR2XL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00763)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00721)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01050)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01839)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01842)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02405)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00234)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00217)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00596)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02063)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02061)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02558)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XNOR2XL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02336)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02725)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00529)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00480)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00865)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02160)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02189)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02658)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00667)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00597)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00978)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 1 1 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()0 1 -1 H()+3 Bf add FZ(XOR2)Ef()EH()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()}0 0 0 0 1 1 0(.)0 0 5 5 5 5 0 0 0 0 Db 0]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()} 0 0 1 0 1 1 0 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()0 5 -1 H()EM(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 )EA()ES()EH()} 0 0 1 0 1 1 2 (.) 2 0 5 5 5 5 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0 1 5 2 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(INPUT)Ef()} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB()3 FZ(OUTPUT)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(1)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()2 FZ(0)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 0 0 0 0 0 1 1 {()} -1]
- [[0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB()+2 Bf add FZ()BD(Truth Table)ES()Ef(
-)256 PT()} 0 0 1 0 1 1 0 (.) 1 0 0 0 0 0 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 0.6 1 1 1 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 0 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Area)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(21.24540)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Pin Cap\201pf\202 )} 0 0 1 0 2 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-[{()1 Sl()WB(Max Cap\201pf\202 )} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(A)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(B)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Y)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01130)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.01043)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.10688)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 2 3 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Leakage\201nW\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB(Min.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Avg)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Max.)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [[{()1 Sl()WB()3 FZ(XOR2XL)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.46432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.72699)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.08856)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.21591)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.56736)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.06794)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.22152)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.77640)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07789)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.20767)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.55369)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FR\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07412)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.23052)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.79220)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 5 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Timing Arc\201Dir\202)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Delay\201ns\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(First)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Last
-)BR()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XOR2XL)} 0 0 1 0 1 4 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07410)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18614)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50390)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04051)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14514)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.52004)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201FF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.07154)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.18435)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.50444)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B->Y \201RF\202)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.04872)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.14671)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.49026)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XOR2XL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02221)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02239)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02775)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00323)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00201)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00547)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02262)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02290)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02811)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00194)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00167)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00544)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-[[0 0 0 0 0 -1 0 1 1 9 5 0 0 9 5 {()} -1]
- [[0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0][0 0 0 0 0 0 0]]
- [[0 0 1 0 0 0 [[{()1 Sl()WB(Cell Name)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Input)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(When)} 0 0 1 0 1 2 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(Power\201pJ\202)} 0 0 1 0 3 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-0
-0
-]]
-[0 0 0 0 0 0 [0
-0
-0
-[{()1 Sl()WB(first)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(mid)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(last)} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [[{()1 Sl()WB(XOR2XL)} 0 0 1 0 1 8 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00409)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00340)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00743)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02432)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02477)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02941)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00424)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00347)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00738)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 1 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.00000)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-[0 0 0 0 0 0 [0
-[{()1 Sl()WB()3 FZ(B)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB()3 FZ(!A)Ef()} 0 0 1 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02194)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02254)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-[{()1 Sl()WB(0.02736)} 0 0 0 0 1 1 1 (.) 2 0 4 4 4 4 0 0 0 0 Db 0 ]
-]]
-]]
-] D
-0 1 264{TS}for RC ZF
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/celllist.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-Pt
-
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/celllist.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-NP RC ZF
-()1 Sl()WB 0 Sn()2 Al()0 1 0 H(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs)WB 20 Sn( Library)EH()Ea()2 1 1 HR()BR()0 PT(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ADDFx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 1 Sn()1 PT(
-)2 1 1 HR()BR()3 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)4 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)5 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)6 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CO rising :)ES()Ef(
-)7 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CO falling :)ES()Ef(
-)8 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CON rising :)ES()Ef(
-)9 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CON falling :)ES()Ef(
-)10 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to S rising :)ES()Ef(
-)11 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to S falling :)ES()Ef(
-)12 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CO rising :)ES()Ef(
-)13 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CO falling :)ES()Ef(
-)14 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CON rising :)ES()Ef(
-)15 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CON falling :)ES()Ef(
-)16 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to S rising :)ES()Ef(
-)17 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to S falling :)ES()Ef(
-)18 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ADDHx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 2 Sn()19 PT(
-)2 1 1 HR()BR()21 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)22 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)23 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)24 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CO rising :)ES()Ef(
-)25 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CO falling :)ES()Ef(
-)26 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CON rising \201conditional\202:)ES()Ef(
-)27 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to CON falling \201conditional\202:)ES()Ef(
-)28 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to S rising \201conditional\202:)ES()Ef(
-)29 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to S falling \201conditional\202:)ES()Ef(
-)30 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CO rising :)ES()Ef(
-)31 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CO falling :)ES()Ef(
-)32 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CON rising \201conditional\202:)ES()Ef(
-)33 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to CON falling \201conditional\202:)ES()Ef(
-)34 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to S rising \201conditional\202:)ES()Ef(
-)35 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to S falling \201conditional\202:)ES()Ef(
-)36 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/AND2x.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 3 Sn()37 PT(
-)2 1 1 HR()BR()39 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)40 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)41 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)42 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)43 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)44 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)45 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)46 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)47 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)48 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B rising \201conditional\202:)ES()Ef(
-)49 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B falling \201conditional\202:)ES()Ef(
-)50 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ANT.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 4 Sn()51 PT(
-)2 1 1 HR()BR()53 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)54 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)55 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)56 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Passive Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising :)ES()Ef(
-)57 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling :)ES()Ef(
-)58 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/AOI21.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 5 Sn()59 PT(
-)2 1 1 HR()BR()61 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)62 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)63 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)64 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)65 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)66 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)67 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)68 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A0 rising \201conditional\202:)ES()Ef(
-)69 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A0 falling \201conditional\202:)ES()Ef(
-)70 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A1 rising \201conditional\202:)ES()Ef(
-)71 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A1 falling \201conditional\202:)ES()Ef(
-)72 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B0 rising \201conditional\202:)ES()Ef(
-)73 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B0 falling \201conditional\202:)ES()Ef(
-)74 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/BUFx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 6 Sn()75 PT(
-)2 1 1 HR()BR()77 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)78 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)79 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)80 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)81 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)82 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)83 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)84 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/DFFSRx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 7 Sn()85 PT(
-)2 1 1 HR()BR()87 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)88 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)89 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)90 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Q rising :)ES()Ef(
-)91 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Q falling :)ES()Ef(
-)92 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to QN rising :)ES()Ef(
-)93 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to QN falling :)ES()Ef(
-)94 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Constraint Information)ES()Ef(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D rising :)ES()Ef(
-)95 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D falling :)ES()Ef(
-)96 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D rising \201conditional\202:)ES()Ef(
-)97 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D falling \201conditional\202:)ES()Ef(
-)98 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for RN rising :)ES()Ef(
-)99 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for RN rising \201conditional\202:)ES()Ef(
-)100 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for RN falling \201conditional\202:)ES()Ef(
-)101 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for SN rising :)ES()Ef(
-)102 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for SN rising \201conditional\202:)ES()Ef(
-)103 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for SN falling \201conditional\202:)ES()Ef(
-)104 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for CK rising \201conditional\202:)ES()Ef(
-)105 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for CK falling \201conditional\202:)ES()Ef(
-)106 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Q rising :)ES()Ef(
-)107 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Q falling :)ES()Ef(
-)108 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to QN rising :)ES()Ef(
-)109 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to QN falling :)ES()Ef(
-)110 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for D rising \201conditional\202:)ES()Ef(
-)111 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for D falling \201conditional\202:)ES()Ef(
-)112 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for RN rising \201conditional\202:)ES()Ef(
-)113 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for RN falling \201conditional\202:)ES()Ef(
-)114 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for SN rising \201conditional\202:)ES()Ef(
-)115 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for SN falling \201conditional\202:)ES()Ef(
-)116 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for CK rising \201conditional\202:)ES()Ef(
-)117 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for CK falling \201conditional\202:)ES()Ef(
-)118 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/DFFx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 8 Sn()119 PT(
-)2 1 1 HR()BR()121 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)122 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)123 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)124 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Q rising :)ES()Ef(
-)125 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Q falling :)ES()Ef(
-)126 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to QN rising :)ES()Ef(
-)127 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to QN falling :)ES()Ef(
-)128 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Constraint Information)ES()Ef(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D rising :)ES()Ef(
-)129 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for D falling :)ES()Ef(
-)130 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for CK rising \201conditional\202:)ES()Ef(
-)131 PT(
-)BR()BR()3 FZ()BD(Constraints\201ns\202 for CK falling \201conditional\202:)ES()Ef(
-)132 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Q rising :)ES()Ef(
-)133 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Q falling :)ES()Ef(
-)134 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to QN rising :)ES()Ef(
-)135 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to QN falling :)ES()Ef(
-)136 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for D rising \201conditional\202:)ES()Ef(
-)137 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for D falling \201conditional\202:)ES()Ef(
-)138 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for CK rising \201conditional\202:)ES()Ef(
-)139 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for CK falling \201conditional\202:)ES()Ef(
-)140 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/INVx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 9 Sn()141 PT(
-)2 1 1 HR()BR()143 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)144 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)145 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)146 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)147 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)148 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)149 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)150 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/NAND2x.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 10 Sn()151 PT(
-)2 1 1 HR()BR()153 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)154 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)155 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)156 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)157 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)158 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)159 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)160 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)161 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)162 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B rising \201conditional\202:)ES()Ef(
-)163 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B falling \201conditional\202:)ES()Ef(
-)164 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/NOR2x.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 11 Sn()165 PT(
-)2 1 1 HR()BR()167 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)168 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)169 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)170 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)171 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)172 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)173 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)174 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)175 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)176 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B rising \201conditional\202:)ES()Ef(
-)177 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B falling \201conditional\202:)ES()Ef(
-)178 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/OAI21.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 12 Sn()179 PT(
-)2 1 1 HR()BR()181 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)182 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)183 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)184 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)185 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)186 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)187 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)188 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A0 rising \201conditional\202:)ES()Ef(
-)189 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A0 falling \201conditional\202:)ES()Ef(
-)190 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A1 rising \201conditional\202:)ES()Ef(
-)191 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A1 falling \201conditional\202:)ES()Ef(
-)192 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B0 rising \201conditional\202:)ES()Ef(
-)193 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B0 falling \201conditional\202:)ES()Ef(
-)194 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/OR2x.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 13 Sn()195 PT(
-)2 1 1 HR()BR()197 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)198 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)199 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)200 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)201 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)202 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)203 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)204 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)205 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)206 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B rising \201conditional\202:)ES()Ef(
-)207 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for B falling \201conditional\202:)ES()Ef(
-)208 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TBUFIx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 14 Sn()209 PT(
-)2 1 1 HR()BR()211 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)212 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)213 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)214 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)215 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)216 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)217 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)218 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)219 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)220 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for OE rising \201conditional\202:)ES()Ef(
-)221 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for OE falling \201conditional\202:)ES()Ef(
-)222 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TIEHI.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 15 Sn()223 PT(
-)2 1 1 HR()BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)224 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)225 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)226 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TIELO.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 16 Sn()227 PT(
-)2 1 1 HR()BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)228 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)229 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)230 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TNBUFIx.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 17 Sn()231 PT(
-)2 1 1 HR()BR()233 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)234 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)235 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)236 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising :)ES()Ef(
-)237 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling :)ES()Ef(
-)238 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising :)ES()Ef(
-)239 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling :)ES()Ef(
-)240 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A rising \201conditional\202:)ES()Ef(
-)241 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for A falling \201conditional\202:)ES()Ef(
-)242 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for OE rising \201conditional\202:)ES()Ef(
-)243 PT(
-)BR()BR()3 FZ()BD(Passive power\201pJ\202 for OE falling \201conditional\202:)ES()Ef(
-)244 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/XNOR2.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 18 Sn()245 PT(
-)2 1 1 HR()BR()247 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)248 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)249 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)250 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising \201conditional\202:)ES()Ef(
-)251 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling \201conditional\202:)ES()Ef(
-)252 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising \201conditional\202:)ES()Ef(
-)253 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling \201conditional\202:)ES()Ef(
-)254 PT(
-)BR(
-)WB NL
-/Cb Db D /Ct [16#00 16#00 16#00] D /Cl [16#00 16#00 16#FF] D /CL -1 D Ct Sc
-DS
-/Ba f D /BO 0 D Bs
-/UR (/import/okita1/tdene/final_final/osugooglelib/char/liberate/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/XOR2.html) D
-/Ti (<Untitled>) D
-/Au () D
-/Df f D
-/ME [()] D
-
-0 BO R
-()1 Sl()WB 19 Sn()255 PT(
-)2 1 1 HR()BR()257 PT(
-)BR()+2 Bf add FZ()BD(Footprint)ES()Ef(
-)258 PT(
-)BR()BR()+2 Bf add FZ()BD(Pin Capacitance Information)ES()Ef(
-)259 PT(
-)BR()BR()+2 Bf add FZ()BD(Leakage Information)ES()Ef(
-)260 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Delay Information)ES()Ef(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y rising \201conditional\202:)ES()Ef(
-)261 PT(
-)BR()BR()3 FZ()BD(Delay\201ns\202 to Y falling \201conditional\202:)ES()Ef(
-)262 PT(
-)BR()WB NL NP(
-)BR()+2 Bf add FZ()BD(Power Information)ES()Ef(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y rising \201conditional\202:)ES()Ef(
-)263 PT(
-)BR()BR()3 FZ()BD(Internal switching power\201pJ\202 to Y falling \201conditional\202:)ES()Ef(
-)264 PT(
-)BR(
-)WB NL /BO 0 D TC /Ba f D Bs /AU f D /UR () D RC ZF
- tH WB
-ND 1 gt{Ts 3 mul Np 0()0 C()BD(<Untitled>)ES()0 1 TN()EA()BN}if
-1 NH le{20(1\240\240)1 C(sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Library)20 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 1()0 C()BD(<Untitled>)ES()1 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 2()0 C()BD(<Untitled>)ES()2 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 3()0 C()BD(<Untitled>)ES()3 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 4()0 C()BD(<Untitled>)ES()4 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 5()0 C()BD(<Untitled>)ES()5 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 6()0 C()BD(<Untitled>)ES()6 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 7()0 C()BD(<Untitled>)ES()7 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 8()0 C()BD(<Untitled>)ES()8 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 9()0 C()BD(<Untitled>)ES()9 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 10()0 C()BD(<Untitled>)ES()10 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 11()0 C()BD(<Untitled>)ES()11 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 12()0 C()BD(<Untitled>)ES()12 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 13()0 C()BD(<Untitled>)ES()13 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 14()0 C()BD(<Untitled>)ES()14 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 15()0 C()BD(<Untitled>)ES()15 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 16()0 C()BD(<Untitled>)ES()16 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 17()0 C()BD(<Untitled>)ES()17 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 18()0 C()BD(<Untitled>)ES()18 1 TN()EA()BN}if
-ND 1 gt{Ts 3 mul Np 19()0 C()BD(<Untitled>)ES()19 1 TN()EA()BN}if
-
-/TE t D NP /OU t D TU PM 1 eq and{/Pn () D showpage}if end restore
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ADDFx.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ADDFx.html
deleted file mode 100644
index ff3e379..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ADDFx.html
+++ /dev/null
@@ -1,668 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>ADDFx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=3><font size=3>INPUT</font></TH>
-<TH colspan=3><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>CI</Th><Th>CO</Th><Th>CON</Th><Th>S</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>ADDFX1</FONT></TH>
-<TD align=center>46.88640</TD></TR>
-<TR><TH><FONT>ADDFXL</FONT></TH>
-<TD align=center>46.88640</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=3>Pin Cap(pf) </TH>
-<TH colspan=3>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>CI</TH>
-<TH>CO</TH>
-<TH>CON</TH>
-<TH>S</TH>
-</TR>
-<TR><Th><font>ADDFX1</font></Th>
-<TD align=center>0.02103</TD>
-<TD align=center>0.02095</TD>
-<TD align=center>0.01602</TD>
-<TD align=center>0.20714</TD>
-<TD align=center>0.08870</TD>
-<TD align=center>0.20587</TD>
-</TR>
-<TR><Th><font>ADDFXL</font></Th>
-<TD align=center>0.02100</TD>
-<TD align=center>0.02092</TD>
-<TD align=center>0.01603</TD>
-<TD align=center>0.14330</TD>
-<TD align=center>0.08986</TD>
-<TD align=center>0.14508</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>ADDFX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.61322</TD>
-<TD align=center>0.82681</TD>
-<TR><Th><font>ADDFXL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.51524</TD>
-<TD align=center>0.72883</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CO rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDFX1</TH>
-<Th><font>A->CO (RR)</font></Th>
-<TD align=center>0.13473</TD>
-<TD align=center>0.44978</TD>
-<TD align=center>1.80982</TD>
-</TR>
-<TR>
-<Th><font>B->CO (RR)</font></Th>
-<TD align=center>0.12764</TD>
-<TD align=center>0.42705</TD>
-<TD align=center>1.71745</TD>
-</TR>
-<TR>
-<Th><font>CI->CO (RR)</font></Th>
-<TD align=center>0.12912</TD>
-<TD align=center>0.45364</TD>
-<TD align=center>1.85607</TD>
-</TR>
-<TR>
-<Th><font>CON->CO (FR)</font></Th>
-<TD align=center>0.02984</TD>
-<TD align=center>0.17528</TD>
-<TD align=center>0.81409</TD>
-</TR>
-<TR><TH rowspan=4>ADDFXL</TH>
-<Th><font>A->CO (RR)</font></Th>
-<TD align=center>0.13818</TD>
-<TD align=center>0.42690</TD>
-<TD align=center>1.50292</TD>
-</TR>
-<TR>
-<Th><font>B->CO (RR)</font></Th>
-<TD align=center>0.13095</TD>
-<TD align=center>0.40817</TD>
-<TD align=center>1.44806</TD>
-</TR>
-<TR>
-<Th><font>CI->CO (RR)</font></Th>
-<TD align=center>0.13253</TD>
-<TD align=center>0.43087</TD>
-<TD align=center>1.55106</TD>
-</TR>
-<TR>
-<Th><font>CON->CO (FR)</font></Th>
-<TD align=center>0.03452</TD>
-<TD align=center>0.19147</TD>
-<TD align=center>0.81629</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CO falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDFX1</TH>
-<Th><font>A->CO (FF)</font></Th>
-<TD align=center>0.17554</TD>
-<TD align=center>0.55649</TD>
-<TD align=center>2.19041</TD>
-</TR>
-<TR>
-<Th><font>B->CO (FF)</font></Th>
-<TD align=center>0.15562</TD>
-<TD align=center>0.51785</TD>
-<TD align=center>2.09477</TD>
-</TR>
-<TR>
-<Th><font>CI->CO (FF)</font></Th>
-<TD align=center>0.15254</TD>
-<TD align=center>0.53172</TD>
-<TD align=center>2.17536</TD>
-</TR>
-<TR>
-<Th><font>CON->CO (RF)</font></Th>
-<TD align=center>0.02387</TD>
-<TD align=center>0.13640</TD>
-<TD align=center>0.63173</TD>
-</TR>
-<TR><TH rowspan=4>ADDFXL</TH>
-<Th><font>A->CO (FF)</font></Th>
-<TD align=center>0.17427</TD>
-<TD align=center>0.51056</TD>
-<TD align=center>1.75991</TD>
-</TR>
-<TR>
-<Th><font>B->CO (FF)</font></Th>
-<TD align=center>0.15449</TD>
-<TD align=center>0.47432</TD>
-<TD align=center>1.68843</TD>
-</TR>
-<TR>
-<Th><font>CI->CO (FF)</font></Th>
-<TD align=center>0.15124</TD>
-<TD align=center>0.48569</TD>
-<TD align=center>1.74682</TD>
-</TR>
-<TR>
-<Th><font>CON->CO (RF)</font></Th>
-<TD align=center>0.02593</TD>
-<TD align=center>0.14057</TD>
-<TD align=center>0.60077</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CON rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A->CON (FR)</font></Th>
-<TD align=center>0.13557</TD>
-<TD align=center>0.28556</TD>
-<TD align=center>0.79049</TD>
-</TR>
-<TR>
-<Th><font>B->CON (FR)</font></Th>
-<TD align=center>0.11635</TD>
-<TD align=center>0.25639</TD>
-<TD align=center>0.75550</TD>
-</TR>
-<TR>
-<Th><font>CI->CON (FR)</font></Th>
-<TD align=center>0.11256</TD>
-<TD align=center>0.26084</TD>
-<TD align=center>0.78162</TD>
-</TR>
-<TR><TH rowspan=3>ADDFXL</TH>
-<Th><font>A->CON (FR)</font></Th>
-<TD align=center>0.12873</TD>
-<TD align=center>0.27992</TD>
-<TD align=center>0.78937</TD>
-</TR>
-<TR>
-<Th><font>B->CON (FR)</font></Th>
-<TD align=center>0.11005</TD>
-<TD align=center>0.25101</TD>
-<TD align=center>0.75436</TD>
-</TR>
-<TR>
-<Th><font>CI->CON (FR)</font></Th>
-<TD align=center>0.10570</TD>
-<TD align=center>0.25514</TD>
-<TD align=center>0.78051</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CON falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A->CON (RF)</font></Th>
-<TD align=center>0.08172</TD>
-<TD align=center>0.17529</TD>
-<TD align=center>0.48847</TD>
-</TR>
-<TR>
-<Th><font>B->CON (RF)</font></Th>
-<TD align=center>0.07713</TD>
-<TD align=center>0.17286</TD>
-<TD align=center>0.50496</TD>
-</TR>
-<TR>
-<Th><font>CI->CON (RF)</font></Th>
-<TD align=center>0.07611</TD>
-<TD align=center>0.17960</TD>
-<TD align=center>0.54057</TD>
-</TR>
-<TR><TH rowspan=3>ADDFXL</TH>
-<Th><font>A->CON (RF)</font></Th>
-<TD align=center>0.07876</TD>
-<TD align=center>0.17287</TD>
-<TD align=center>0.48892</TD>
-</TR>
-<TR>
-<Th><font>B->CON (RF)</font></Th>
-<TD align=center>0.07449</TD>
-<TD align=center>0.17039</TD>
-<TD align=center>0.50536</TD>
-</TR>
-<TR>
-<Th><font>CI->CON (RF)</font></Th>
-<TD align=center>0.07313</TD>
-<TD align=center>0.17721</TD>
-<TD align=center>0.54101</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to S rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDFX1</TH>
-<Th><font>A->S (-R)</font></Th>
-<TD align=center>0.25174</TD>
-<TD align=center>0.59928</TD>
-<TD align=center>1.98578</TD>
-</TR>
-<TR>
-<Th><font>B->S (-R)</font></Th>
-<TD align=center>0.25847</TD>
-<TD align=center>0.60541</TD>
-<TD align=center>1.95845</TD>
-</TR>
-<TR>
-<Th><font>CI->S (-R)</font></Th>
-<TD align=center>0.22676</TD>
-<TD align=center>0.57178</TD>
-<TD align=center>1.96649</TD>
-</TR>
-<TR>
-<Th><font>CON->S (RR)</font></Th>
-<TD align=center>0.07612</TD>
-<TD align=center>0.20626</TD>
-<TD align=center>0.64296</TD>
-</TR>
-<TR><TH rowspan=4>ADDFXL</TH>
-<Th><font>A->S (-R)</font></Th>
-<TD align=center>0.24333</TD>
-<TD align=center>0.55646</TD>
-<TD align=center>1.67449</TD>
-</TR>
-<TR>
-<Th><font>B->S (-R)</font></Th>
-<TD align=center>0.25070</TD>
-<TD align=center>0.56499</TD>
-<TD align=center>1.66760</TD>
-</TR>
-<TR>
-<Th><font>CI->S (-R)</font></Th>
-<TD align=center>0.21829</TD>
-<TD align=center>0.52916</TD>
-<TD align=center>1.65773</TD>
-</TR>
-<TR>
-<Th><font>CON->S (RR)</font></Th>
-<TD align=center>0.07767</TD>
-<TD align=center>0.21444</TD>
-<TD align=center>0.62693</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to S falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDFX1</TH>
-<Th><font>A->S (-F)</font></Th>
-<TD align=center>0.20867</TD>
-<TD align=center>0.49684</TD>
-<TD align=center>1.57462</TD>
-</TR>
-<TR>
-<Th><font>B->S (-F)</font></Th>
-<TD align=center>0.20614</TD>
-<TD align=center>0.47446</TD>
-<TD align=center>1.50423</TD>
-</TR>
-<TR>
-<Th><font>CI->S (-F)</font></Th>
-<TD align=center>0.20241</TD>
-<TD align=center>0.49900</TD>
-<TD align=center>1.61834</TD>
-</TR>
-<TR>
-<Th><font>CON->S (FF)</font></Th>
-<TD align=center>0.08790</TD>
-<TD align=center>0.22750</TD>
-<TD align=center>0.69282</TD>
-</TR>
-<TR><TH rowspan=4>ADDFXL</TH>
-<Th><font>A->S (-F)</font></Th>
-<TD align=center>0.19994</TD>
-<TD align=center>0.45454</TD>
-<TD align=center>1.29874</TD>
-</TR>
-<TR>
-<Th><font>B->S (-F)</font></Th>
-<TD align=center>0.19729</TD>
-<TD align=center>0.43449</TD>
-<TD align=center>1.25024</TD>
-</TR>
-<TR>
-<Th><font>CI->S (-F)</font></Th>
-<TD align=center>0.19356</TD>
-<TD align=center>0.45662</TD>
-<TD align=center>1.34407</TD>
-</TR>
-<TR>
-<Th><font>CON->S (FF)</font></Th>
-<TD align=center>0.08608</TD>
-<TD align=center>0.22529</TD>
-<TD align=center>0.65182</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CO rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00404</TD>
-<TD align=center>0.00424</TD>
-<TD align=center>0.00591</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00626</TD>
-<TD align=center>0.00622</TD>
-<TD align=center>0.00744</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00660</TD>
-<TD align=center>0.00690</TD>
-<TD align=center>0.00866</TD>
-</TR>
-<TR><TH rowspan=3>ADDFXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00358</TD>
-<TD align=center>0.00331</TD>
-<TD align=center>0.00403</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00520</TD>
-<TD align=center>0.00506</TD>
-<TD align=center>0.00578</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00553</TD>
-<TD align=center>0.00570</TD>
-<TD align=center>0.00678</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CO falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.01754</TD>
-<TD align=center>0.01793</TD>
-<TD align=center>0.02030</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01845</TD>
-<TD align=center>0.01893</TD>
-<TD align=center>0.02056</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.01618</TD>
-<TD align=center>0.01689</TD>
-<TD align=center>0.01878</TD>
-</TR>
-<TR><TH rowspan=3>ADDFXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.01759</TD>
-<TD align=center>0.01761</TD>
-<TD align=center>0.01836</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01735</TD>
-<TD align=center>0.01770</TD>
-<TD align=center>0.01856</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.01508</TD>
-<TD align=center>0.01564</TD>
-<TD align=center>0.01684</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CON rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.01859</TD>
-<TD align=center>0.01861</TD>
-<TD align=center>0.01901</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01840</TD>
-<TD align=center>0.01864</TD>
-<TD align=center>0.01907</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.01614</TD>
-<TD align=center>0.01656</TD>
-<TD align=center>0.01741</TD>
-</TR>
-<TR><TH rowspan=3>ADDFXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.01754</TD>
-<TD align=center>0.01753</TD>
-<TD align=center>0.01789</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01733</TD>
-<TD align=center>0.01755</TD>
-<TD align=center>0.01793</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.01506</TD>
-<TD align=center>0.01546</TD>
-<TD align=center>0.01627</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CON falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00455</TD>
-<TD align=center>0.00427</TD>
-<TD align=center>0.00471</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00617</TD>
-<TD align=center>0.00601</TD>
-<TD align=center>0.00641</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00657</TD>
-<TD align=center>0.00671</TD>
-<TD align=center>0.00748</TD>
-</TR>
-<TR><TH rowspan=3>ADDFXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00350</TD>
-<TD align=center>0.00322</TD>
-<TD align=center>0.00361</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00513</TD>
-<TD align=center>0.00495</TD>
-<TD align=center>0.00529</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.00549</TD>
-<TD align=center>0.00564</TD>
-<TD align=center>0.00633</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to S rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.01755</TD>
-<TD align=center>0.01793</TD>
-<TD align=center>0.02026</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01845</TD>
-<TD align=center>0.01892</TD>
-<TD align=center>0.02051</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.01618</TD>
-<TD align=center>0.01689</TD>
-<TD align=center>0.01869</TD>
-</TR>
-<TR><TH rowspan=3>ADDFXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.01757</TD>
-<TD align=center>0.01761</TD>
-<TD align=center>0.01838</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01735</TD>
-<TD align=center>0.01771</TD>
-<TD align=center>0.01857</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.01508</TD>
-<TD align=center>0.01565</TD>
-<TD align=center>0.01684</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to S falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=3>ADDFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.03925</TD>
-<TD align=center>0.03919</TD>
-<TD align=center>0.03982</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.03461</TD>
-<TD align=center>0.03397</TD>
-<TD align=center>0.03648</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.03162</TD>
-<TD align=center>0.03119</TD>
-<TD align=center>0.03201</TD>
-</TR>
-<TR><TH rowspan=3>ADDFXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.03781</TD>
-<TD align=center>0.03761</TD>
-<TD align=center>0.03815</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.03320</TD>
-<TD align=center>0.03253</TD>
-<TD align=center>0.03516</TD>
-</TR>
-<TR>
-<Th><font>CI</font></Th>
-<TD align=center>0.03020</TD>
-<TD align=center>0.02984</TD>
-<TD align=center>0.03072</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT></TD>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="ADDHx.html">Next (ADDHx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ADDHx.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ADDHx.html
deleted file mode 100644
index f444a5c..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ADDHx.html
+++ /dev/null
@@ -1,1033 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>ADDHx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=3><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>CO</Th><Th>CON</Th><Th>S</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>ADDHX1</FONT></TH>
-<TD align=center>27.83880</TD></TR>
-<TR><TH><FONT>ADDHXL</FONT></TH>
-<TD align=center>27.83880</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=3>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>CO</TH>
-<TH>CON</TH>
-<TH>S</TH>
-</TR>
-<TR><Th><font>ADDHX1</font></Th>
-<TD align=center>0.01022</TD>
-<TD align=center>0.01124</TD>
-<TD align=center>0.21513</TD>
-<TD align=center>0.09900</TD>
-<TD align=center>0.22002</TD>
-</TR>
-<TR><Th><font>ADDHXL</font></Th>
-<TD align=center>0.01022</TD>
-<TD align=center>0.01124</TD>
-<TD align=center>0.12748</TD>
-<TD align=center>0.10142</TD>
-<TD align=center>0.12985</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>ADDHX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.70979</TD>
-<TD align=center>0.81980</TD>
-<TR><Th><font>ADDHXL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.48792</TD>
-<TD align=center>0.64349</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CO rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>ADDHX1</TH>
-<Th><font>A->CO (RR)</font></Th>
-<TD align=center>0.08747</TD>
-<TD align=center>0.21800</TD>
-<TD align=center>0.65753</TD>
-</TR>
-<TR>
-<Th><font>B->CO (RR)</font></Th>
-<TD align=center>0.09059</TD>
-<TD align=center>0.21558</TD>
-<TD align=center>0.65061</TD>
-</TR>
-<TR><TH rowspan=2>ADDHXL</TH>
-<Th><font>A->CO (RR)</font></Th>
-<TD align=center>0.09012</TD>
-<TD align=center>0.23057</TD>
-<TD align=center>0.63402</TD>
-</TR>
-<TR>
-<Th><font>B->CO (RR)</font></Th>
-<TD align=center>0.09326</TD>
-<TD align=center>0.22829</TD>
-<TD align=center>0.62857</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CO falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>ADDHX1</TH>
-<Th><font>A->CO (FF)</font></Th>
-<TD align=center>0.07588</TD>
-<TD align=center>0.20790</TD>
-<TD align=center>0.67087</TD>
-</TR>
-<TR>
-<Th><font>B->CO (FF)</font></Th>
-<TD align=center>0.08142</TD>
-<TD align=center>0.21487</TD>
-<TD align=center>0.68424</TD>
-</TR>
-<TR><TH rowspan=2>ADDHXL</TH>
-<Th><font>A->CO (FF)</font></Th>
-<TD align=center>0.07641</TD>
-<TD align=center>0.21022</TD>
-<TD align=center>0.61803</TD>
-</TR>
-<TR>
-<Th><font>B->CO (FF)</font></Th>
-<TD align=center>0.08175</TD>
-<TD align=center>0.21697</TD>
-<TD align=center>0.63154</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CON rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDHX1</TH>
-<Th><font>A->CON (RR)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.11954</TD>
-<TD align=center>0.20823</TD>
-<TD align=center>0.42042</TD>
-</TR>
-<TR>
-<Th><font>A->CON (FR)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.07716</TD>
-<TD align=center>0.22648</TD>
-<TD align=center>0.76479</TD>
-</TR>
-<TR>
-<Th><font>B->CON (RR)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.12247</TD>
-<TD align=center>0.20543</TD>
-<TD align=center>0.41274</TD>
-</TR>
-<TR>
-<Th><font>B->CON (FR)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.09569</TD>
-<TD align=center>0.24732</TD>
-<TD align=center>0.77965</TD>
-</TR>
-<TR><TH rowspan=4>ADDHXL</TH>
-<Th><font>A->CON (RR)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.10739</TD>
-<TD align=center>0.19489</TD>
-<TD align=center>0.40269</TD>
-</TR>
-<TR>
-<Th><font>A->CON (FR)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.06892</TD>
-<TD align=center>0.21901</TD>
-<TD align=center>0.76655</TD>
-</TR>
-<TR>
-<Th><font>B->CON (RR)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.11038</TD>
-<TD align=center>0.19234</TD>
-<TD align=center>0.39689</TD>
-</TR>
-<TR>
-<Th><font>B->CON (FR)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.08743</TD>
-<TD align=center>0.24035</TD>
-<TD align=center>0.78138</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to CON falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>ADDHX1</TH>
-<Th><font>A->CON (FF)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.11327</TD>
-<TD align=center>0.22958</TD>
-<TD align=center>0.56565</TD>
-</TR>
-<TR>
-<Th><font>A->CON (RF)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.04976</TD>
-<TD align=center>0.15446</TD>
-<TD align=center>0.52907</TD>
-</TR>
-<TR>
-<Th><font>B->CON (FF)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.11242</TD>
-<TD align=center>0.23643</TD>
-<TD align=center>0.59580</TD>
-</TR>
-<TR>
-<Th><font>B->CON (RF)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.05782</TD>
-<TD align=center>0.15790</TD>
-<TD align=center>0.51345</TD>
-</TR>
-<TR><TH rowspan=4>ADDHXL</TH>
-<Th><font>A->CON (FF)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.10289</TD>
-<TD align=center>0.21709</TD>
-<TD align=center>0.54559</TD>
-</TR>
-<TR>
-<Th><font>A->CON (RF)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.04620</TD>
-<TD align=center>0.15162</TD>
-<TD align=center>0.53198</TD>
-</TR>
-<TR>
-<Th><font>B->CON (FF)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.10220</TD>
-<TD align=center>0.22432</TD>
-<TD align=center>0.57662</TD>
-</TR>
-<TR>
-<Th><font>B->CON (RF)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.05435</TD>
-<TD align=center>0.15529</TD>
-<TD align=center>0.51620</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to S rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=5>ADDHX1</TH>
-<Th><font>A->S (RR)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.09711</TD>
-<TD align=center>0.41522</TD>
-<TD align=center>1.83676</TD>
-</TR>
-<TR>
-<Th><font>A->S (FR)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.16081</TD>
-<TD align=center>0.48435</TD>
-<TD align=center>1.84464</TD>
-</TR>
-<TR>
-<Th><font>B->S (RR)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.10505</TD>
-<TD align=center>0.40739</TD>
-<TD align=center>1.75512</TD>
-</TR>
-<TR>
-<Th><font>B->S (FR)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.16076</TD>
-<TD align=center>0.50271</TD>
-<TD align=center>1.94187</TD>
-</TR>
-<TR>
-<Th><font>CON->S (FR)</font></Th>
-<Th><font>-</font></Th>
-<TD align=center>0.03161</TD>
-<TD align=center>0.17778</TD>
-<TD align=center>0.83144</TD>
-</TR>
-<TR><TH rowspan=5>ADDHXL</TH>
-<Th><font>A->S (RR)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.09897</TD>
-<TD align=center>0.37890</TD>
-<TD align=center>1.40677</TD>
-</TR>
-<TR>
-<Th><font>A->S (FR)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.15607</TD>
-<TD align=center>0.44083</TD>
-<TD align=center>1.40324</TD>
-</TR>
-<TR>
-<Th><font>B->S (RR)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.10710</TD>
-<TD align=center>0.37500</TD>
-<TD align=center>1.35766</TD>
-</TR>
-<TR>
-<Th><font>B->S (FR)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.15602</TD>
-<TD align=center>0.45548</TD>
-<TD align=center>1.46888</TD>
-</TR>
-<TR>
-<Th><font>CON->S (FR)</font></Th>
-<Th><font>-</font></Th>
-<TD align=center>0.03710</TD>
-<TD align=center>0.19839</TD>
-<TD align=center>0.82232</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to S falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=5>ADDHX1</TH>
-<Th><font>A->S (FF)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.11269</TD>
-<TD align=center>0.47752</TD>
-<TD align=center>2.11665</TD>
-</TR>
-<TR>
-<Th><font>A->S (RF)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.15218</TD>
-<TD align=center>0.39969</TD>
-<TD align=center>1.40013</TD>
-</TR>
-<TR>
-<Th><font>B->S (FF)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.13126</TD>
-<TD align=center>0.49869</TD>
-<TD align=center>2.13812</TD>
-</TR>
-<TR>
-<Th><font>B->S (RF)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.15509</TD>
-<TD align=center>0.39679</TD>
-<TD align=center>1.39261</TD>
-</TR>
-<TR>
-<Th><font>CON->S (RF)</font></Th>
-<Th><font>-</font></Th>
-<TD align=center>0.02225</TD>
-<TD align=center>0.13447</TD>
-<TD align=center>0.63608</TD>
-</TR>
-<TR><TH rowspan=5>ADDHXL</TH>
-<Th><font>A->S (FF)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.10983</TD>
-<TD align=center>0.41540</TD>
-<TD align=center>1.54911</TD>
-</TR>
-<TR>
-<Th><font>A->S (RF)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.14388</TD>
-<TD align=center>0.35592</TD>
-<TD align=center>1.02646</TD>
-</TR>
-<TR>
-<Th><font>B->S (FF)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.12832</TD>
-<TD align=center>0.43625</TD>
-<TD align=center>1.56617</TD>
-</TR>
-<TR>
-<Th><font>B->S (RF)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.14684</TD>
-<TD align=center>0.35324</TD>
-<TD align=center>1.02046</TD>
-</TR>
-<TR>
-<Th><font>CON->S (RF)</font></Th>
-<Th><font>-</font></Th>
-<TD align=center>0.02561</TD>
-<TD align=center>0.14275</TD>
-<TD align=center>0.59714</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CO rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>ADDHX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00788</TD>
-<TD align=center>0.00766</TD>
-<TD align=center>0.00816</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00703</TD>
-<TD align=center>0.00675</TD>
-<TD align=center>0.00707</TD>
-</TR>
-<TR><TH rowspan=4>ADDHXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00640</TD>
-<TD align=center>0.00611</TD>
-<TD align=center>0.00675</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00555</TD>
-<TD align=center>0.00518</TD>
-<TD align=center>0.00566</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CO falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>ADDHX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01245</TD>
-<TD align=center>0.01220</TD>
-<TD align=center>0.01349</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01299</TD>
-<TD align=center>0.01322</TD>
-<TD align=center>0.01481</TD>
-</TR>
-<TR><TH rowspan=4>ADDHXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01097</TD>
-<TD align=center>0.01068</TD>
-<TD align=center>0.01184</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01151</TD>
-<TD align=center>0.01160</TD>
-<TD align=center>0.01299</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CON rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>ADDHX1</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00788</TD>
-<TD align=center>0.00764</TD>
-<TD align=center>0.00826</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.01101</TD>
-<TD align=center>0.01105</TD>
-<TD align=center>0.01170</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00703</TD>
-<TD align=center>0.00673</TD>
-<TD align=center>0.00719</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.01241</TD>
-<TD align=center>0.01234</TD>
-<TD align=center>0.01257</TD>
-</TR>
-<TR><TH rowspan=8>ADDHXL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00639</TD>
-<TD align=center>0.00608</TD>
-<TD align=center>0.00672</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00999</TD>
-<TD align=center>0.00995</TD>
-<TD align=center>0.01053</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00555</TD>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00565</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.01139</TD>
-<TD align=center>0.01129</TD>
-<TD align=center>0.01142</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to CON falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>ADDHX1</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.01245</TD>
-<TD align=center>0.01221</TD>
-<TD align=center>0.01339</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00158</TD>
-<TD align=center>0.00164</TD>
-<TD align=center>0.00206</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.01299</TD>
-<TD align=center>0.01314</TD>
-<TD align=center>0.01461</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00281</TD>
-<TD align=center>0.00274</TD>
-<TD align=center>0.00307</TD>
-</TR>
-<TR><TH rowspan=8>ADDHXL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.01097</TD>
-<TD align=center>0.01068</TD>
-<TD align=center>0.01184</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00034</TD>
-<TD align=center>0.00035</TD>
-<TD align=center>0.00059</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.01152</TD>
-<TD align=center>0.01159</TD>
-<TD align=center>0.01297</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00157</TD>
-<TD align=center>0.00144</TD>
-<TD align=center>0.00164</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to S rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>ADDHX1</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.01246</TD>
-<TD align=center>0.01222</TD>
-<TD align=center>0.01362</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00161</TD>
-<TD align=center>0.00176</TD>
-<TD align=center>0.00250</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.01300</TD>
-<TD align=center>0.01324</TD>
-<TD align=center>0.01505</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00284</TD>
-<TD align=center>0.00287</TD>
-<TD align=center>0.00344</TD>
-</TR>
-<TR><TH rowspan=8>ADDHXL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.01099</TD>
-<TD align=center>0.01069</TD>
-<TD align=center>0.01190</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00036</TD>
-<TD align=center>0.00036</TD>
-<TD align=center>0.00066</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.01152</TD>
-<TD align=center>0.01161</TD>
-<TD align=center>0.01306</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00159</TD>
-<TD align=center>0.00149</TD>
-<TD align=center>0.00174</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to S falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>ADDHX1</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00789</TD>
-<TD align=center>0.00766</TD>
-<TD align=center>0.00818</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.01103</TD>
-<TD align=center>0.01118</TD>
-<TD align=center>0.01186</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00703</TD>
-<TD align=center>0.00675</TD>
-<TD align=center>0.00707</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.01243</TD>
-<TD align=center>0.01249</TD>
-<TD align=center>0.01289</TD>
-</TR>
-<TR><TH rowspan=8>ADDHXL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00641</TD>
-<TD align=center>0.00609</TD>
-<TD align=center>0.00674</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.01000</TD>
-<TD align=center>0.01002</TD>
-<TD align=center>0.01053</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00556</TD>
-<TD align=center>0.00517</TD>
-<TD align=center>0.00567</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.01141</TD>
-<TD align=center>0.01132</TD>
-<TD align=center>0.01148</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="ADDFx.html">Prev (ADDFx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="AND2x.html">Next (AND2x)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/AND2x.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/AND2x.html
deleted file mode 100644
index 0d51ffe..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/AND2x.html
+++ /dev/null
@@ -1,910 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>AND2x</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>AND2X1</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-<TR><TH><FONT>AND2X2</FONT></TH>
-<TD align=center>15.38460</TD></TR>
-<TR><TH><FONT>AND2X4</FONT></TH>
-<TD align=center>21.24540</TD></TR>
-<TR><TH><FONT>AND2X6</FONT></TH>
-<TD align=center>27.10620</TD></TR>
-<TR><TH><FONT>AND2X8</FONT></TH>
-<TD align=center>32.96700</TD></TR>
-<TR><TH><FONT>AND2XL</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>AND2X1</font></Th>
-<TD align=center>0.00553</TD>
-<TD align=center>0.00565</TD>
-<TD align=center>0.21489</TD>
-</TR>
-<TR><Th><font>AND2X2</font></Th>
-<TD align=center>0.00554</TD>
-<TD align=center>0.00566</TD>
-<TD align=center>0.40494</TD>
-</TR>
-<TR><Th><font>AND2X4</font></Th>
-<TD align=center>0.00556</TD>
-<TD align=center>0.00568</TD>
-<TD align=center>0.73894</TD>
-</TR>
-<TR><Th><font>AND2X6</font></Th>
-<TD align=center>0.00561</TD>
-<TD align=center>0.00570</TD>
-<TD align=center>1.02063</TD>
-</TR>
-<TR><Th><font>AND2X8</font></Th>
-<TD align=center>0.00560</TD>
-<TD align=center>0.00573</TD>
-<TD align=center>1.28454</TD>
-</TR>
-<TR><Th><font>AND2XL</font></Th>
-<TD align=center>0.00427</TD>
-<TD align=center>0.00439</TD>
-<TD align=center>0.14698</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>AND2X1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.34131</TD>
-<TD align=center>0.54504</TD>
-<TR><Th><font>AND2X2</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.54480</TD>
-<TD align=center>0.55227</TD>
-<TR><Th><font>AND2X4</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.95176</TD>
-<TD align=center>1.08283</TD>
-<TR><Th><font>AND2X6</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>1.35873</TD>
-<TD align=center>1.62063</TD>
-<TR><Th><font>AND2X8</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>1.76569</TD>
-<TD align=center>2.15842</TD>
-<TR><Th><font>AND2XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.21889</TD>
-<TD align=center>0.34890</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.06730</TD>
-<TD align=center>0.18512</TD>
-<TD align=center>0.59193</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.07130</TD>
-<TD align=center>0.18468</TD>
-<TD align=center>0.58696</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.07580</TD>
-<TD align=center>0.18804</TD>
-<TD align=center>0.62999</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.07980</TD>
-<TD align=center>0.18604</TD>
-<TD align=center>0.62135</TD>
-</TR>
-<TR><TH rowspan=2>AND2X4</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.10211</TD>
-<TD align=center>0.21718</TD>
-<TD align=center>0.71274</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.10610</TD>
-<TD align=center>0.21414</TD>
-<TD align=center>0.69864</TD>
-</TR>
-<TR><TH rowspan=2>AND2X6</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.12828</TD>
-<TD align=center>0.24488</TD>
-<TD align=center>0.77439</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.13217</TD>
-<TD align=center>0.24199</TD>
-<TD align=center>0.75478</TD>
-</TR>
-<TR><TH rowspan=2>AND2X8</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.15479</TD>
-<TD align=center>0.27304</TD>
-<TD align=center>0.83089</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.15876</TD>
-<TD align=center>0.27049</TD>
-<TD align=center>0.80750</TD>
-</TR>
-<TR><TH rowspan=2>AND2XL</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.07495</TD>
-<TD align=center>0.20419</TD>
-<TD align=center>0.59666</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.07906</TD>
-<TD align=center>0.20322</TD>
-<TD align=center>0.59062</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.05913</TD>
-<TD align=center>0.17904</TD>
-<TD align=center>0.59709</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.06330</TD>
-<TD align=center>0.18493</TD>
-<TD align=center>0.61196</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.06571</TD>
-<TD align=center>0.17984</TD>
-<TD align=center>0.62348</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.07003</TD>
-<TD align=center>0.18510</TD>
-<TD align=center>0.63503</TD>
-</TR>
-<TR><TH rowspan=2>AND2X4</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.08751</TD>
-<TD align=center>0.20097</TD>
-<TD align=center>0.67893</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.09173</TD>
-<TD align=center>0.20500</TD>
-<TD align=center>0.68837</TD>
-</TR>
-<TR><TH rowspan=2>AND2X6</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.11321</TD>
-<TD align=center>0.22660</TD>
-<TD align=center>0.72107</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.11708</TD>
-<TD align=center>0.23066</TD>
-<TD align=center>0.72865</TD>
-</TR>
-<TR><TH rowspan=2>AND2X8</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.13680</TD>
-<TD align=center>0.25033</TD>
-<TD align=center>0.75867</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.14070</TD>
-<TD align=center>0.25424</TD>
-<TD align=center>0.76527</TD>
-</TR>
-<TR><TH rowspan=2>AND2XL</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.06483</TD>
-<TD align=center>0.19163</TD>
-<TD align=center>0.58863</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.06973</TD>
-<TD align=center>0.19815</TD>
-<TD align=center>0.60432</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>AND2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00574</TD>
-<TD align=center>0.00542</TD>
-<TD align=center>0.00846</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00583</TD>
-<TD align=center>0.00514</TD>
-<TD align=center>0.00699</TD>
-</TR>
-<TR><TH rowspan=4>AND2X2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01187</TD>
-<TD align=center>0.01179</TD>
-<TD align=center>0.01434</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01197</TD>
-<TD align=center>0.01166</TD>
-<TD align=center>0.01319</TD>
-</TR>
-<TR><TH rowspan=4>AND2X4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.02533</TD>
-<TD align=center>0.02548</TD>
-<TD align=center>0.02781</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.02541</TD>
-<TD align=center>0.02514</TD>
-<TD align=center>0.02714</TD>
-</TR>
-<TR><TH rowspan=4>AND2X6</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.04037</TD>
-<TD align=center>0.03935</TD>
-<TD align=center>0.04190</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.04049</TD>
-<TD align=center>0.03931</TD>
-<TD align=center>0.04124</TD>
-</TR>
-<TR><TH rowspan=4>AND2X8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.05638</TD>
-<TD align=center>0.05332</TD>
-<TD align=center>0.05604</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.05652</TD>
-<TD align=center>0.05331</TD>
-<TD align=center>0.05527</TD>
-</TR>
-<TR><TH rowspan=4>AND2XL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00421</TD>
-<TD align=center>0.00390</TD>
-<TD align=center>0.00572</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00431</TD>
-<TD align=center>0.00372</TD>
-<TD align=center>0.00489</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>AND2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01507</TD>
-<TD align=center>0.01552</TD>
-<TD align=center>0.01979</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01676</TD>
-<TD align=center>0.01697</TD>
-<TD align=center>0.02086</TD>
-</TR>
-<TR><TH rowspan=4>AND2X2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01939</TD>
-<TD align=center>0.02041</TD>
-<TD align=center>0.02435</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.02111</TD>
-<TD align=center>0.02149</TD>
-<TD align=center>0.02529</TD>
-</TR>
-<TR><TH rowspan=4>AND2X4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.03125</TD>
-<TD align=center>0.03188</TD>
-<TD align=center>0.03623</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.03279</TD>
-<TD align=center>0.03295</TD>
-<TD align=center>0.03635</TD>
-</TR>
-<TR><TH rowspan=4>AND2X6</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.04333</TD>
-<TD align=center>0.04370</TD>
-<TD align=center>0.04851</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.04471</TD>
-<TD align=center>0.04395</TD>
-<TD align=center>0.04781</TD>
-</TR>
-<TR><TH rowspan=4>AND2X8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.05850</TD>
-<TD align=center>0.05509</TD>
-<TD align=center>0.06079</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.05965</TD>
-<TD align=center>0.05524</TD>
-<TD align=center>0.05921</TD>
-</TR>
-<TR><TH rowspan=4>AND2XL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01166</TD>
-<TD align=center>0.01183</TD>
-<TD align=center>0.01442</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01294</TD>
-<TD align=center>0.01295</TD>
-<TD align=center>0.01540</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>-0.00586</TD>
-<TD align=center>-0.00585</TD>
-<TD align=center>-0.00586</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>-0.00585</TD>
-<TD align=center>-0.00585</TD>
-<TD align=center>-0.00586</TD>
-</TR>
-<TR><TH rowspan=2>AND2X4</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>-0.00585</TD>
-<TD align=center>-0.00585</TD>
-<TD align=center>-0.00586</TD>
-</TR>
-<TR><TH rowspan=2>AND2X6</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>-0.00588</TD>
-<TD align=center>-0.00588</TD>
-<TD align=center>-0.00588</TD>
-</TR>
-<TR><TH rowspan=2>AND2X8</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>-0.00585</TD>
-<TD align=center>-0.00585</TD>
-<TD align=center>-0.00585</TD>
-</TR>
-<TR><TH rowspan=2>AND2XL</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>-0.00431</TD>
-<TD align=center>-0.00434</TD>
-<TD align=center>-0.00431</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00586</TD>
-<TD align=center>0.00589</TD>
-<TD align=center>0.00586</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00585</TD>
-<TD align=center>0.00589</TD>
-<TD align=center>0.00586</TD>
-</TR>
-<TR><TH rowspan=2>AND2X4</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00585</TD>
-<TD align=center>0.00589</TD>
-<TD align=center>0.00586</TD>
-</TR>
-<TR><TH rowspan=2>AND2X6</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00588</TD>
-<TD align=center>0.00592</TD>
-<TD align=center>0.00588</TD>
-</TR>
-<TR><TH rowspan=2>AND2X8</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00585</TD>
-<TD align=center>0.00589</TD>
-<TD align=center>0.00585</TD>
-</TR>
-<TR><TH rowspan=2>AND2XL</TH>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * !Y)</font></Th>
-<TD align=center>0.00431</TD>
-<TD align=center>0.00434</TD>
-<TD align=center>0.00431</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>-0.00553</TD>
-<TD align=center>-0.00555</TD>
-<TD align=center>-0.00553</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>-0.00553</TD>
-<TD align=center>-0.00555</TD>
-<TD align=center>-0.00553</TD>
-</TR>
-<TR><TH rowspan=2>AND2X4</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>-0.00553</TD>
-<TD align=center>-0.00555</TD>
-<TD align=center>-0.00553</TD>
-</TR>
-<TR><TH rowspan=2>AND2X6</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>-0.00553</TD>
-<TD align=center>-0.00555</TD>
-<TD align=center>-0.00553</TD>
-</TR>
-<TR><TH rowspan=2>AND2X8</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>-0.00553</TD>
-<TD align=center>-0.00555</TD>
-<TD align=center>-0.00553</TD>
-</TR>
-<TR><TH rowspan=2>AND2XL</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>-0.00408</TD>
-<TD align=center>-0.00409</TD>
-<TD align=center>-0.00408</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AND2X1</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00555</TD>
-<TD align=center>0.00556</TD>
-<TD align=center>0.00554</TD>
-</TR>
-<TR><TH rowspan=2>AND2X2</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00555</TD>
-<TD align=center>0.00556</TD>
-<TD align=center>0.00554</TD>
-</TR>
-<TR><TH rowspan=2>AND2X4</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00555</TD>
-<TD align=center>0.00556</TD>
-<TD align=center>0.00554</TD>
-</TR>
-<TR><TH rowspan=2>AND2X6</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00555</TD>
-<TD align=center>0.00556</TD>
-<TD align=center>0.00554</TD>
-</TR>
-<TR><TH rowspan=2>AND2X8</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00555</TD>
-<TD align=center>0.00556</TD>
-<TD align=center>0.00553</TD>
-</TR>
-<TR><TH rowspan=2>AND2XL</TH>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * !Y)</font></Th>
-<TD align=center>0.00410</TD>
-<TD align=center>0.00410</TD>
-<TD align=center>0.00408</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="ADDHx.html">Prev (ADDHx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="ANT.html">Next (ANT)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ANT.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ANT.html
deleted file mode 100644
index b630cb1..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/ANT.html
+++ /dev/null
@@ -1,95 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>ANT</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
-<TR><Th>A</Th></TR>
-<TR><Th><font size=2>x</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>ANT</FONT></TH>
-<TD align=center>6.59340</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Pin Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-</TR>
-<TR><Th><font>ANT</font></Th>
-<TD align=center>0.07064</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>ANT</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>411233.00000</TD>
-<TD align=center>822466.00000</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Passive Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>ANT</TH>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<TD align=center>-0.00210</TD>
-<TD align=center>0.01903</TD>
-<TD align=center>0.10740</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>ANT</TH>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<TD align=center>0.53534</TD>
-<TD align=center>0.46357</TD>
-<TD align=center>0.15420</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="AND2x.html">Prev (AND2x)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="AOI21.html">Next (AOI21)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/AOI21.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/AOI21.html
deleted file mode 100644
index 0b0f01f..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/AOI21.html
+++ /dev/null
@@ -1,418 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>AOI21</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=3><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A0</Th><Th>A1</Th><Th>B0</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>AOI21XL</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=3>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A0</TH>
-<TH>A1</TH>
-<TH>B0</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>AOI21XL</font></Th>
-<TD align=center>0.00527</TD>
-<TD align=center>0.00544</TD>
-<TD align=center>0.00528</TD>
-<TD align=center>0.09827</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>AOI21XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.12797</TD>
-<TD align=center>0.26890</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>AOI21XL</TH>
-<Th><font>A0->Y (FR)</font></Th>
-<TD align=center>0.07572</TD>
-<TD align=center>0.23362</TD>
-<TD align=center>0.77687</TD>
-</TR>
-<TR>
-<Th><font>A1->Y (FR)</font></Th>
-<TD align=center>0.06595</TD>
-<TD align=center>0.21632</TD>
-<TD align=center>0.74180</TD>
-</TR>
-<TR>
-<Th><font>B0->Y (FR)</font></Th>
-<TD align=center>0.05575</TD>
-<TD align=center>0.21066</TD>
-<TD align=center>0.76935</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>AOI21XL</TH>
-<Th><font>A0->Y (RF)</font></Th>
-<TD align=center>0.04615</TD>
-<TD align=center>0.14027</TD>
-<TD align=center>0.46247</TD>
-</TR>
-<TR>
-<Th><font>A1->Y (RF)</font></Th>
-<TD align=center>0.04226</TD>
-<TD align=center>0.14245</TD>
-<TD align=center>0.49521</TD>
-</TR>
-<TR>
-<Th><font>B0->Y (RF)</font></Th>
-<TD align=center>0.03017</TD>
-<TD align=center>0.13024</TD>
-<TD align=center>0.48669</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>AOI21XL</TH>
-<Th><font>A0</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A0</font></Th>
-<TD align=center>0.01348</TD>
-<TD align=center>0.01334</TD>
-<TD align=center>0.01349</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.01146</TD>
-<TD align=center>0.01128</TD>
-<TD align=center>0.01141</TD>
-</TR>
-<TR>
-<Th><font>B0</font></Th>
-<TD align=center>0.01029</TD>
-<TD align=center>0.01010</TD>
-<TD align=center>0.01101</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>AOI21XL</TH>
-<Th><font>A0</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A0</font></Th>
-<TD align=center>0.00259</TD>
-<TD align=center>0.00221</TD>
-<TD align=center>0.00232</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00265</TD>
-<TD align=center>0.00230</TD>
-<TD align=center>0.00257</TD>
-</TR>
-<TR>
-<Th><font>B0</font></Th>
-<TD align=center>-0.00144</TD>
-<TD align=center>-0.00149</TD>
-<TD align=center>-0.00123</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A0 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>AOI21XL</TH>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>-0.00484</TD>
-<TD align=center>-0.00511</TD>
-<TD align=center>-0.00515</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * B0 * !Y)</font></Th>
-<TD align=center>-0.00523</TD>
-<TD align=center>-0.00525</TD>
-<TD align=center>-0.00524</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>-0.00523</TD>
-<TD align=center>-0.00525</TD>
-<TD align=center>-0.00523</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A0 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>AOI21XL</TH>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00515</TD>
-<TD align=center>0.00519</TD>
-<TD align=center>0.00515</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00524</TD>
-<TD align=center>0.00527</TD>
-<TD align=center>0.00524</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00526</TD>
-<TD align=center>0.00527</TD>
-<TD align=center>0.00523</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A1 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>AOI21XL</TH>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>-0.00479</TD>
-<TD align=center>-0.00505</TD>
-<TD align=center>-0.00510</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * B0 * !Y)</font></Th>
-<TD align=center>-0.00518</TD>
-<TD align=center>-0.00519</TD>
-<TD align=center>-0.00518</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>-0.00560</TD>
-<TD align=center>-0.00561</TD>
-<TD align=center>-0.00561</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A1 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>AOI21XL</TH>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00510</TD>
-<TD align=center>0.00513</TD>
-<TD align=center>0.00510</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00518</TD>
-<TD align=center>0.00521</TD>
-<TD align=center>0.00519</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00560</TD>
-<TD align=center>0.00564</TD>
-<TD align=center>0.00561</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B0 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AOI21XL</TH>
-<Th><font>(A0 * A1 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * A1 * !Y)</font></Th>
-<TD align=center>-0.00242</TD>
-<TD align=center>-0.00244</TD>
-<TD align=center>-0.00242</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B0 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>AOI21XL</TH>
-<Th><font>(A0 * A1 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * A1 * !Y)</font></Th>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00248</TD>
-<TD align=center>0.00245</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="ANT.html">Prev (ANT)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="BUFx.html">Next (BUFx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/BUFx.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/BUFx.html
deleted file mode 100644
index 01a2305..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/BUFx.html
+++ /dev/null
@@ -1,366 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>BUFx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>BUFX1</FONT></TH>
-<TD align=center>9.52380</TD></TR>
-<TR><TH><FONT>BUFX2</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-<TR><TH><FONT>BUFX4</FONT></TH>
-<TD align=center>18.31500</TD></TR>
-<TR><TH><FONT>BUFX6</FONT></TH>
-<TD align=center>24.17580</TD></TR>
-<TR><TH><FONT>BUFX8</FONT></TH>
-<TD align=center>30.03660</TD></TR>
-<TR><TH><FONT>BUFXL</FONT></TH>
-<TD align=center>9.52380</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>BUFX1</font></Th>
-<TD align=center>0.00562</TD>
-<TD align=center>0.21617</TD>
-</TR>
-<TR><Th><font>BUFX2</font></Th>
-<TD align=center>0.00563</TD>
-<TD align=center>0.41640</TD>
-</TR>
-<TR><Th><font>BUFX4</font></Th>
-<TD align=center>0.00565</TD>
-<TD align=center>0.77020</TD>
-</TR>
-<TR><Th><font>BUFX6</font></Th>
-<TD align=center>0.00566</TD>
-<TD align=center>1.08806</TD>
-</TR>
-<TR><Th><font>BUFX8</font></Th>
-<TD align=center>0.00569</TD>
-<TD align=center>1.35608</TD>
-</TR>
-<TR><Th><font>BUFXL</font></Th>
-<TD align=center>0.00440</TD>
-<TD align=center>0.14976</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>BUFX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.27614</TD>
-<TD align=center>0.27614</TD>
-<TR><Th><font>BUFX2</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.41420</TD>
-<TD align=center>0.54504</TD>
-<TR><Th><font>BUFX4</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.69034</TD>
-<TD align=center>1.08283</TD>
-<TR><Th><font>BUFX6</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.96647</TD>
-<TD align=center>1.62063</TD>
-<TR><Th><font>BUFX8</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>1.24261</TD>
-<TD align=center>2.15843</TD>
-<TR><Th><font>BUFXL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.17817</TD>
-<TD align=center>0.17817</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>BUFX1</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.05391</TD>
-<TD align=center>0.16631</TD>
-<TD align=center>0.55716</TD>
-</TR>
-<TR><TH rowspan=1>BUFX2</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.05873</TD>
-<TD align=center>0.16437</TD>
-<TD align=center>0.59291</TD>
-</TR>
-<TR><TH rowspan=1>BUFX4</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.07765</TD>
-<TD align=center>0.18536</TD>
-<TD align=center>0.66494</TD>
-</TR>
-<TR><TH rowspan=1>BUFX6</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.09555</TD>
-<TD align=center>0.20502</TD>
-<TD align=center>0.71907</TD>
-</TR>
-<TR><TH rowspan=1>BUFX8</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.11451</TD>
-<TD align=center>0.22604</TD>
-<TD align=center>0.76496</TD>
-</TR>
-<TR><TH rowspan=1>BUFXL</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.06071</TD>
-<TD align=center>0.18546</TD>
-<TD align=center>0.56627</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>BUFX1</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.05668</TD>
-<TD align=center>0.17570</TD>
-<TD align=center>0.59480</TD>
-</TR>
-<TR><TH rowspan=1>BUFX2</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.06361</TD>
-<TD align=center>0.17813</TD>
-<TD align=center>0.63010</TD>
-</TR>
-<TR><TH rowspan=1>BUFX4</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.08553</TD>
-<TD align=center>0.19973</TD>
-<TD align=center>0.68938</TD>
-</TR>
-<TR><TH rowspan=1>BUFX6</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.11048</TD>
-<TD align=center>0.22515</TD>
-<TD align=center>0.73661</TD>
-</TR>
-<TR><TH rowspan=1>BUFX8</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.13451</TD>
-<TD align=center>0.24886</TD>
-<TD align=center>0.77241</TD>
-</TR>
-<TR><TH rowspan=1>BUFXL</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.06296</TD>
-<TD align=center>0.18978</TD>
-<TD align=center>0.59178</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>BUFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00534</TD>
-<TD align=center>0.00500</TD>
-<TD align=center>0.00799</TD>
-</TR>
-<TR><TH rowspan=2>BUFX2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01141</TD>
-<TD align=center>0.01140</TD>
-<TD align=center>0.01400</TD>
-</TR>
-<TR><TH rowspan=2>BUFX4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.02454</TD>
-<TD align=center>0.02515</TD>
-<TD align=center>0.02760</TD>
-</TR>
-<TR><TH rowspan=2>BUFX6</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.03838</TD>
-<TD align=center>0.03887</TD>
-<TD align=center>0.04151</TD>
-</TR>
-<TR><TH rowspan=2>BUFX8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.05285</TD>
-<TD align=center>0.05246</TD>
-<TD align=center>0.05562</TD>
-</TR>
-<TR><TH rowspan=2>BUFXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00403</TD>
-<TD align=center>0.00367</TD>
-<TD align=center>0.00555</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>BUFX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01435</TD>
-<TD align=center>0.01476</TD>
-<TD align=center>0.01911</TD>
-</TR>
-<TR><TH rowspan=2>BUFX2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01866</TD>
-<TD align=center>0.01933</TD>
-<TD align=center>0.02339</TD>
-</TR>
-<TR><TH rowspan=2>BUFX4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.03033</TD>
-<TD align=center>0.03076</TD>
-<TD align=center>0.03468</TD>
-</TR>
-<TR><TH rowspan=2>BUFX6</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.04240</TD>
-<TD align=center>0.04192</TD>
-<TD align=center>0.04629</TD>
-</TR>
-<TR><TH rowspan=2>BUFX8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.05752</TD>
-<TD align=center>0.05338</TD>
-<TD align=center>0.05813</TD>
-</TR>
-<TR><TH rowspan=2>BUFXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01123</TD>
-<TD align=center>0.01134</TD>
-<TD align=center>0.01406</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="AOI21.html">Prev (AOI21)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="DFFSRx.html">Next (DFFSRx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/DFFSRx.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/DFFSRx.html
deleted file mode 100644
index 719b9a0..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/DFFSRx.html
+++ /dev/null
@@ -1,1903 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>DFFSRx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=4><font size=3>INPUT</font></TH>
-<TH colspan=2><font size=3>OUTPUT</font></TH>
-<TR><Th>D</Th><Th>RN</Th><Th>SN</Th><Th>CK</Th><Th>Q</Th><Th>QN</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>R</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>R</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>IQ</font></Th><Th><font size=2>IQN</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>DFFSRX1</FONT></TH>
-<TD align=center>69.59700</TD></TR>
-<TR><TH><FONT>DFFSRXL</FONT></TH>
-<TD align=center>69.59700</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=4>Pin Cap(pf) </TH>
-<TH colspan=2>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>D</TH>
-<TH>RN</TH>
-<TH>SN</TH>
-<TH>CK</TH>
-<TH>Q</TH>
-<TH>QN</TH>
-</TR>
-<TR><Th><font>DFFSRX1</font></Th>
-<TD align=center>0.00539</TD>
-<TD align=center>0.00539</TD>
-<TD align=center>0.01144</TD>
-<TD align=center>0.01577</TD>
-<TD align=center>0.20813</TD>
-<TD align=center>0.20126</TD>
-</TR>
-<TR><Th><font>DFFSRXL</font></Th>
-<TD align=center>0.00539</TD>
-<TD align=center>0.00538</TD>
-<TD align=center>0.01143</TD>
-<TD align=center>0.01577</TD>
-<TD align=center>0.14406</TD>
-<TD align=center>0.13887</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>DFFSRX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.93911</TD>
-<TD align=center>1.30165</TD>
-<TR><Th><font>DFFSRXL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.84114</TD>
-<TD align=center>1.20368</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Q rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>CK->Q (RR)</font></Th>
-<TD align=center>0.25835</TD>
-<TD align=center>0.50091</TD>
-<TD align=center>1.39249</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (FR)</font></Th>
-<TD align=center>0.03409</TD>
-<TD align=center>0.19967</TD>
-<TD align=center>0.92988</TD>
-</TR>
-<TR>
-<Th><font>RN->Q (RR)</font></Th>
-<TD align=center>0.20857</TD>
-<TD align=center>0.45487</TD>
-<TD align=center>1.35341</TD>
-</TR>
-<TR>
-<Th><font>SN->Q (FR)</font></Th>
-<TD align=center>0.19609</TD>
-<TD align=center>0.45816</TD>
-<TD align=center>1.49199</TD>
-</TR>
-<TR><TH rowspan=4>DFFSRXL</TH>
-<Th><font>CK->Q (RR)</font></Th>
-<TD align=center>0.26457</TD>
-<TD align=center>0.52432</TD>
-<TD align=center>1.36165</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (FR)</font></Th>
-<TD align=center>0.03849</TD>
-<TD align=center>0.21291</TD>
-<TD align=center>0.90685</TD>
-</TR>
-<TR>
-<Th><font>RN->Q (RR)</font></Th>
-<TD align=center>0.21548</TD>
-<TD align=center>0.47924</TD>
-<TD align=center>1.32315</TD>
-</TR>
-<TR>
-<Th><font>SN->Q (FR)</font></Th>
-<TD align=center>0.20276</TD>
-<TD align=center>0.48210</TD>
-<TD align=center>1.45867</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Q falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>DFFSRX1</TH>
-<Th><font>CK->Q (RF)</font></Th>
-<TD align=center>0.28194</TD>
-<TD align=center>0.51562</TD>
-<TD align=center>1.41243</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (RF)</font></Th>
-<TD align=center>0.02532</TD>
-<TD align=center>0.14983</TD>
-<TD align=center>0.69669</TD>
-</TR>
-<TR>
-<Th><font>RN->Q (FF)</font></Th>
-<TD align=center>0.19547</TD>
-<TD align=center>0.45311</TD>
-<TD align=center>1.47194</TD>
-</TR>
-<TR><TH rowspan=3>DFFSRXL</TH>
-<Th><font>CK->Q (RF)</font></Th>
-<TD align=center>0.29204</TD>
-<TD align=center>0.54829</TD>
-<TD align=center>1.40297</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (RF)</font></Th>
-<TD align=center>0.02871</TD>
-<TD align=center>0.16083</TD>
-<TD align=center>0.68734</TD>
-</TR>
-<TR>
-<Th><font>RN->Q (FF)</font></Th>
-<TD align=center>0.20540</TD>
-<TD align=center>0.48594</TD>
-<TD align=center>1.46190</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to QN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>CK->QN (RR)</font></Th>
-<TD align=center>0.25155</TD>
-<TD align=center>0.37392</TD>
-<TD align=center>0.76762</TD>
-</TR>
-<TR>
-<Th><font>RN->QN (FR)</font></Th>
-<TD align=center>0.16535</TD>
-<TD align=center>0.31174</TD>
-<TD align=center>0.82741</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>CK->QN (RR)</font></Th>
-<TD align=center>0.25606</TD>
-<TD align=center>0.38735</TD>
-<TD align=center>0.76324</TD>
-</TR>
-<TR>
-<Th><font>RN->QN (FR)</font></Th>
-<TD align=center>0.16971</TD>
-<TD align=center>0.32546</TD>
-<TD align=center>0.82283</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to QN falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>DFFSRX1</TH>
-<Th><font>CK->QN (RF)</font></Th>
-<TD align=center>0.21731</TD>
-<TD align=center>0.32981</TD>
-<TD align=center>0.63573</TD>
-</TR>
-<TR>
-<Th><font>RN->QN (RF)</font></Th>
-<TD align=center>0.16735</TD>
-<TD align=center>0.28394</TD>
-<TD align=center>0.59692</TD>
-</TR>
-<TR>
-<Th><font>SN->QN (FF)</font></Th>
-<TD align=center>0.15491</TD>
-<TD align=center>0.28711</TD>
-<TD align=center>0.73532</TD>
-</TR>
-<TR><TH rowspan=3>DFFSRXL</TH>
-<Th><font>CK->QN (RF)</font></Th>
-<TD align=center>0.21671</TD>
-<TD align=center>0.33151</TD>
-<TD align=center>0.61245</TD>
-</TR>
-<TR>
-<Th><font>RN->QN (RF)</font></Th>
-<TD align=center>0.16724</TD>
-<TD align=center>0.28649</TD>
-<TD align=center>0.57500</TD>
-</TR>
-<TR>
-<Th><font>SN->QN (FF)</font></Th>
-<TD align=center>0.15457</TD>
-<TD align=center>0.28935</TD>
-<TD align=center>0.70885</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Constraint Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.06005</TD>
-<TD align=center>-0.06025</TD>
-<TD align=center>-0.07965</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.18764</TD>
-<TD align=center>0.18085</TD>
-<TD align=center>0.27008</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.06141</TD>
-<TD align=center>-0.06000</TD>
-<TD align=center>-0.08048</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.18701</TD>
-<TD align=center>0.18015</TD>
-<TD align=center>0.26870</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.11089</TD>
-<TD align=center>-0.16708</TD>
-<TD align=center>-0.48460</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.13884</TD>
-<TD align=center>0.18155</TD>
-<TD align=center>0.50084</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.11409</TD>
-<TD align=center>-0.16798</TD>
-<TD align=center>-0.48505</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.13904</TD>
-<TD align=center>0.17930</TD>
-<TD align=center>0.49908</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.06005</TD>
-<TD align=center>-0.06025</TD>
-<TD align=center>-0.07965</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.18764</TD>
-<TD align=center>0.18085</TD>
-<TD align=center>0.27008</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.06141</TD>
-<TD align=center>-0.06000</TD>
-<TD align=center>-0.08048</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.18701</TD>
-<TD align=center>0.18015</TD>
-<TD align=center>0.26870</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.11089</TD>
-<TD align=center>-0.16708</TD>
-<TD align=center>-0.48460</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.13884</TD>
-<TD align=center>0.18155</TD>
-<TD align=center>0.50084</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.11409</TD>
-<TD align=center>-0.16798</TD>
-<TD align=center>-0.48505</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.13904</TD>
-<TD align=center>0.17930</TD>
-<TD align=center>0.49908</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for RN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.13754</TD>
-<TD align=center>0.13601</TD>
-<TD align=center>0.22497</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.01711</TD>
-<TD align=center>-0.01928</TD>
-<TD align=center>-0.02501</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.14216</TD>
-<TD align=center>-0.17775</TD>
-<TD align=center>-0.36283</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.16162</TD>
-<TD align=center>0.19487</TD>
-<TD align=center>0.49527</TD>
-</TR>
-<TR><TH rowspan=4>DFFSRXL</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.13600</TD>
-<TD align=center>0.13533</TD>
-<TD align=center>0.22360</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.01711</TD>
-<TD align=center>-0.01928</TD>
-<TD align=center>-0.02501</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.14233</TD>
-<TD align=center>-0.17520</TD>
-<TD align=center>-0.35516</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.16162</TD>
-<TD align=center>0.19487</TD>
-<TD align=center>0.48270</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for RN rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=6>DFFSRX1</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.13754</TD>
-<TD align=center>0.13601</TD>
-<TD align=center>0.22497</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.01711</TD>
-<TD align=center>-0.01928</TD>
-<TD align=center>-0.02501</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.14216</TD>
-<TD align=center>-0.17782</TD>
-<TD align=center>-0.36283</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.14575</TD>
-<TD align=center>-0.17775</TD>
-<TD align=center>-0.36669</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.16162</TD>
-<TD align=center>0.19487</TD>
-<TD align=center>0.49257</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.16097</TD>
-<TD align=center>0.19225</TD>
-<TD align=center>0.49527</TD>
-</TR>
-<TR><TH rowspan=6>DFFSRXL</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.13600</TD>
-<TD align=center>0.13533</TD>
-<TD align=center>0.22360</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.01711</TD>
-<TD align=center>-0.01928</TD>
-<TD align=center>-0.02501</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.14233</TD>
-<TD align=center>-0.17538</TD>
-<TD align=center>-0.35516</TD>
-</TR>
-<TR>
-<Th><font>hold</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>-0.14344</TD>
-<TD align=center>-0.17520</TD>
-<TD align=center>-0.35807</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.16162</TD>
-<TD align=center>0.19487</TD>
-<TD align=center>0.47708</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>SN (R)</font></Th>
-<TD align=center>0.15062</TD>
-<TD align=center>0.18719</TD>
-<TD align=center>0.48270</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for RN falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>RN ()</font></Th>
-<TD align=center>0.12279</TD>
-<TD align=center>0.15721</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>RN ()</font></Th>
-<TD align=center>0.12494</TD>
-<TD align=center>0.15721</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>RN ()</font></Th>
-<TD align=center>0.12279</TD>
-<TD align=center>0.15721</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>RN ()</font></Th>
-<TD align=center>0.12033</TD>
-<TD align=center>0.15393</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for SN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.03764</TD>
-<TD align=center>0.04677</TD>
-<TD align=center>0.13100</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.01796</TD>
-<TD align=center>-0.02944</TD>
-<TD align=center>-0.07858</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.03364</TD>
-<TD align=center>0.04362</TD>
-<TD align=center>0.12466</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.01479</TD>
-<TD align=center>-0.03000</TD>
-<TD align=center>-0.07866</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for SN rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.03764</TD>
-<TD align=center>0.04677</TD>
-<TD align=center>0.13100</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.01796</TD>
-<TD align=center>-0.02944</TD>
-<TD align=center>-0.07858</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>recovery</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.03364</TD>
-<TD align=center>0.04362</TD>
-<TD align=center>0.12466</TD>
-</TR>
-<TR>
-<Th><font>removal</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.01479</TD>
-<TD align=center>-0.03000</TD>
-<TD align=center>-0.07866</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for SN falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>SN ()</font></Th>
-<TD align=center>0.14736</TD>
-<TD align=center>0.18997</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>SN ()</font></Th>
-<TD align=center>0.14490</TD>
-<TD align=center>0.18669</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>SN ()</font></Th>
-<TD align=center>0.14736</TD>
-<TD align=center>0.18997</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>SN ()</font></Th>
-<TD align=center>0.13988</TD>
-<TD align=center>0.18341</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for CK rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.11276</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.13903</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.10918</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.13665</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for CK falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFSRX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.24130</TD>
-<TD align=center>0.25221</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.11823</TD>
-<TD align=center>0.15393</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR><TH rowspan=2>DFFSRXL</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.24130</TD>
-<TD align=center>0.25221</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.11823</TD>
-<TD align=center>0.15393</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Q rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01803</TD>
-<TD align=center>0.01687</TD>
-<TD align=center>0.01595</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.03327</TD>
-<TD align=center>0.03241</TD>
-<TD align=center>0.03019</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>-0.00295</TD>
-<TD align=center>-0.03342</TD>
-<TD align=center>-0.16859</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>0.03742</TD>
-<TD align=center>0.03641</TD>
-<TD align=center>0.03326</TD>
-</TR>
-<TR><TH rowspan=5>DFFSRXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01650</TD>
-<TD align=center>0.01526</TD>
-<TD align=center>0.01502</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.03173</TD>
-<TD align=center>0.03080</TD>
-<TD align=center>0.02925</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>-0.00295</TD>
-<TD align=center>-0.02680</TD>
-<TD align=center>-0.11669</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>0.03587</TD>
-<TD align=center>0.03481</TD>
-<TD align=center>0.03231</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Q falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01907</TD>
-<TD align=center>0.01787</TD>
-<TD align=center>0.01510</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>-0.00295</TD>
-<TD align=center>-0.03342</TD>
-<TD align=center>-0.16859</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.03919</TD>
-<TD align=center>0.03824</TD>
-<TD align=center>0.03577</TD>
-</TR>
-<TR><TH rowspan=4>DFFSRXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01758</TD>
-<TD align=center>0.01670</TD>
-<TD align=center>0.01581</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>-0.00295</TD>
-<TD align=center>-0.02680</TD>
-<TD align=center>-0.11669</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.03769</TD>
-<TD align=center>0.03706</TD>
-<TD align=center>0.03669</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to QN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01906</TD>
-<TD align=center>0.01788</TD>
-<TD align=center>0.01526</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>-0.00295</TD>
-<TD align=center>-0.03275</TD>
-<TD align=center>-0.16302</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.03917</TD>
-<TD align=center>0.03825</TD>
-<TD align=center>0.03587</TD>
-</TR>
-<TR><TH rowspan=4>DFFSRXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01757</TD>
-<TD align=center>0.01670</TD>
-<TD align=center>0.01596</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>-0.00295</TD>
-<TD align=center>-0.02621</TD>
-<TD align=center>-0.11248</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.03768</TD>
-<TD align=center>0.03707</TD>
-<TD align=center>0.03659</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to QN falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01796</TD>
-<TD align=center>0.01681</TD>
-<TD align=center>0.01583</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.03319</TD>
-<TD align=center>0.03236</TD>
-<TD align=center>0.03021</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>-0.00295</TD>
-<TD align=center>-0.03275</TD>
-<TD align=center>-0.16298</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>0.03735</TD>
-<TD align=center>0.03637</TD>
-<TD align=center>0.03326</TD>
-</TR>
-<TR><TH rowspan=5>DFFSRXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01642</TD>
-<TD align=center>0.01521</TD>
-<TD align=center>0.01497</TD>
-</TR>
-<TR>
-<Th><font>RN</font></Th>
-<TD align=center>0.03166</TD>
-<TD align=center>0.03075</TD>
-<TD align=center>0.02932</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>-0.00295</TD>
-<TD align=center>-0.02621</TD>
-<TD align=center>-0.11245</TD>
-</TR>
-<TR>
-<Th><font>SN</font></Th>
-<TD align=center>0.03580</TD>
-<TD align=center>0.03476</TD>
-<TD align=center>0.03230</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for D rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=10>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>-0.00492</TD>
-<TD align=center>-0.00510</TD>
-<TD align=center>-0.00511</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.02269</TD>
-<TD align=center>0.02207</TD>
-<TD align=center>0.02291</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00909</TD>
-<TD align=center>0.00853</TD>
-<TD align=center>0.00951</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00908</TD>
-<TD align=center>0.00852</TD>
-<TD align=center>0.00951</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00915</TD>
-<TD align=center>0.00859</TD>
-<TD align=center>0.00957</TD>
-</TR>
-<TR><TH rowspan=10>DFFSRXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>-0.00492</TD>
-<TD align=center>-0.00510</TD>
-<TD align=center>-0.00511</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.02269</TD>
-<TD align=center>0.02207</TD>
-<TD align=center>0.02291</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00909</TD>
-<TD align=center>0.00852</TD>
-<TD align=center>0.00951</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00908</TD>
-<TD align=center>0.00852</TD>
-<TD align=center>0.00951</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00915</TD>
-<TD align=center>0.00859</TD>
-<TD align=center>0.00957</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for D falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=10>DFFSRX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00512</TD>
-<TD align=center>0.00512</TD>
-<TD align=center>0.00511</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.03421</TD>
-<TD align=center>0.03384</TD>
-<TD align=center>0.03457</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.01468</TD>
-<TD align=center>0.01442</TD>
-<TD align=center>0.01552</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.01473</TD>
-<TD align=center>0.01446</TD>
-<TD align=center>0.01556</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.01461</TD>
-<TD align=center>0.01435</TD>
-<TD align=center>0.01546</TD>
-</TR>
-<TR><TH rowspan=10>DFFSRXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00512</TD>
-<TD align=center>0.00512</TD>
-<TD align=center>0.00511</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.03420</TD>
-<TD align=center>0.03383</TD>
-<TD align=center>0.03456</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.01467</TD>
-<TD align=center>0.01441</TD>
-<TD align=center>0.01551</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.01472</TD>
-<TD align=center>0.01445</TD>
-<TD align=center>0.01555</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.01460</TD>
-<TD align=center>0.01434</TD>
-<TD align=center>0.01544</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for RN rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00413</TD>
-<TD align=center>0.00371</TD>
-<TD align=center>0.00671</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.01809</TD>
-<TD align=center>0.01739</TD>
-<TD align=center>0.02013</TD>
-</TR>
-<TR><TH rowspan=4>DFFSRXL</TH>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00414</TD>
-<TD align=center>0.00371</TD>
-<TD align=center>0.00672</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.01809</TD>
-<TD align=center>0.01740</TD>
-<TD align=center>0.02013</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for RN falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFSRX1</TH>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.01417</TD>
-<TD align=center>0.01426</TD>
-<TD align=center>0.01886</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.03058</TD>
-<TD align=center>0.03029</TD>
-<TD align=center>0.03437</TD>
-</TR>
-<TR><TH rowspan=4>DFFSRXL</TH>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)</font></Th>
-<TD align=center>0.01417</TD>
-<TD align=center>0.01425</TD>
-<TD align=center>0.01886</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * SN * !Q * QN)</font></Th>
-<TD align=center>0.03058</TD>
-<TD align=center>0.03029</TD>
-<TD align=center>0.03437</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for SN rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>DFFSRX1</TH>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>-0.01163</TD>
-<TD align=center>-0.01163</TD>
-<TD align=center>-0.01162</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.01159</TD>
-<TD align=center>-0.01188</TD>
-<TD align=center>-0.01191</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.01132</TD>
-<TD align=center>-0.01150</TD>
-<TD align=center>-0.01148</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00751</TD>
-<TD align=center>0.00701</TD>
-<TD align=center>0.00804</TD>
-</TR>
-<TR><TH rowspan=8>DFFSRXL</TH>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>-0.01163</TD>
-<TD align=center>-0.01163</TD>
-<TD align=center>-0.01162</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.01157</TD>
-<TD align=center>-0.01186</TD>
-<TD align=center>-0.01189</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.01131</TD>
-<TD align=center>-0.01150</TD>
-<TD align=center>-0.01147</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00752</TD>
-<TD align=center>0.00702</TD>
-<TD align=center>0.00805</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for SN falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>DFFSRX1</TH>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.01163</TD>
-<TD align=center>0.01169</TD>
-<TD align=center>0.01162</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.01191</TD>
-<TD align=center>0.01199</TD>
-<TD align=center>0.01192</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.01148</TD>
-<TD align=center>0.01155</TD>
-<TD align=center>0.01148</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.02351</TD>
-<TD align=center>0.02309</TD>
-<TD align=center>0.02372</TD>
-</TR>
-<TR><TH rowspan=8>DFFSRXL</TH>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)</font></Th>
-<TD align=center>0.01163</TD>
-<TD align=center>0.01169</TD>
-<TD align=center>0.01162</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.01190</TD>
-<TD align=center>0.01197</TD>
-<TD align=center>0.01190</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.01147</TD>
-<TD align=center>0.01155</TD>
-<TD align=center>0.01148</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * !D * RN * Q * !QN)</font></Th>
-<TD align=center>0.02350</TD>
-<TD align=center>0.02309</TD>
-<TD align=center>0.02372</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for CK rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=10>DFFSRX1</TH>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>-0.00140</TD>
-<TD align=center>-0.00190</TD>
-<TD align=center>0.00095</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00927</TD>
-<TD align=center>0.00810</TD>
-<TD align=center>0.01048</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00917</TD>
-<TD align=center>0.00801</TD>
-<TD align=center>0.01039</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.00164</TD>
-<TD align=center>-0.00214</TD>
-<TD align=center>0.00080</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00619</TD>
-<TD align=center>0.00507</TD>
-<TD align=center>0.01041</TD>
-</TR>
-<TR><TH rowspan=10>DFFSRXL</TH>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>-0.00140</TD>
-<TD align=center>-0.00190</TD>
-<TD align=center>0.00095</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00926</TD>
-<TD align=center>0.00809</TD>
-<TD align=center>0.01047</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00916</TD>
-<TD align=center>0.00799</TD>
-<TD align=center>0.01038</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>-0.00164</TD>
-<TD align=center>-0.00214</TD>
-<TD align=center>0.00080</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00619</TD>
-<TD align=center>0.00507</TD>
-<TD align=center>0.01041</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for CK falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=14>DFFSRX1</TH>
-<Th><font>(D * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.05048</TD>
-<TD align=center>0.04984</TD>
-<TD align=center>0.05318</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.02048</TD>
-<TD align=center>0.02056</TD>
-<TD align=center>0.02509</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.03557</TD>
-<TD align=center>0.03534</TD>
-<TD align=center>0.03924</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.03564</TD>
-<TD align=center>0.03543</TD>
-<TD align=center>0.03924</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * Q * !QN)</font></Th>
-<TD align=center>0.04834</TD>
-<TD align=center>0.04799</TD>
-<TD align=center>0.05558</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.02332</TD>
-<TD align=center>0.02337</TD>
-<TD align=center>0.02754</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.02713</TD>
-<TD align=center>0.02702</TD>
-<TD align=center>0.03510</TD>
-</TR>
-<TR><TH rowspan=14>DFFSRXL</TH>
-<Th><font>(D * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.05048</TD>
-<TD align=center>0.04985</TD>
-<TD align=center>0.05317</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * RN * Q * !QN)</font></Th>
-<TD align=center>0.02048</TD>
-<TD align=center>0.02056</TD>
-<TD align=center>0.02509</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * SN * !Q * QN)</font></Th>
-<TD align=center>0.03557</TD>
-<TD align=center>0.03534</TD>
-<TD align=center>0.03924</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !RN * !SN * !Q * QN)</font></Th>
-<TD align=center>0.03564</TD>
-<TD align=center>0.03543</TD>
-<TD align=center>0.03924</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * Q * !QN)</font></Th>
-<TD align=center>0.04833</TD>
-<TD align=center>0.04798</TD>
-<TD align=center>0.05558</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)</font></Th>
-<TD align=center>0.02332</TD>
-<TD align=center>0.02337</TD>
-<TD align=center>0.02754</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * RN * !SN * Q * !QN)</font></Th>
-<TD align=center>0.02711</TD>
-<TD align=center>0.02701</TD>
-<TD align=center>0.03509</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="BUFx.html">Prev (BUFx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="DFFx.html">Next (DFFx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/DFFx.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/DFFx.html
deleted file mode 100644
index aa1f788..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/DFFx.html
+++ /dev/null
@@ -1,731 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>DFFx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=2><font size=3>OUTPUT</font></TH>
-<TR><Th>D</Th><Th>CK</Th><Th>Q</Th><Th>QN</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>R</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>R</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>x</font></Th><Th><font size=2>IQ</font></Th><Th><font size=2>IQN</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>DFFX1</FONT></TH>
-<TD align=center>48.35160</TD></TR>
-<TR><TH><FONT>DFFXL</FONT></TH>
-<TD align=center>48.35160</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=2>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>D</TH>
-<TH>CK</TH>
-<TH>Q</TH>
-<TH>QN</TH>
-</TR>
-<TR><Th><font>DFFX1</font></Th>
-<TD align=center>0.00557</TD>
-<TD align=center>0.01534</TD>
-<TD align=center>0.20918</TD>
-<TD align=center>0.20182</TD>
-</TR>
-<TR><Th><font>DFFXL</font></Th>
-<TD align=center>0.00557</TD>
-<TD align=center>0.01532</TD>
-<TD align=center>0.14089</TD>
-<TD align=center>0.13671</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>DFFX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.86271</TD>
-<TD align=center>1.10200</TD>
-<TR><Th><font>DFFXL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.76474</TD>
-<TD align=center>1.00402</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Q rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK->Q (RR)</font></Th>
-<TD align=center>0.17393</TD>
-<TD align=center>0.40456</TD>
-<TD align=center>1.29734</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (FR)</font></Th>
-<TD align=center>0.03386</TD>
-<TD align=center>0.19930</TD>
-<TD align=center>0.93098</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>CK->Q (RR)</font></Th>
-<TD align=center>0.18243</TD>
-<TD align=center>0.42976</TD>
-<TD align=center>1.24969</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (FR)</font></Th>
-<TD align=center>0.03916</TD>
-<TD align=center>0.21426</TD>
-<TD align=center>0.90870</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Q falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK->Q (RF)</font></Th>
-<TD align=center>0.23648</TD>
-<TD align=center>0.46656</TD>
-<TD align=center>1.36560</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (RF)</font></Th>
-<TD align=center>0.02521</TD>
-<TD align=center>0.14935</TD>
-<TD align=center>0.69595</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>CK->Q (RF)</font></Th>
-<TD align=center>0.24776</TD>
-<TD align=center>0.49935</TD>
-<TD align=center>1.33822</TD>
-</TR>
-<TR>
-<Th><font>QN->Q (RF)</font></Th>
-<TD align=center>0.02866</TD>
-<TD align=center>0.15910</TD>
-<TD align=center>0.67676</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to QN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>DFFX1</TH>
-<Th><font>CK->QN (RR)</font></Th>
-<TD align=center>0.20670</TD>
-<TD align=center>0.32498</TD>
-<TD align=center>0.71793</TD>
-</TR>
-<TR><TH rowspan=1>DFFXL</TH>
-<Th><font>CK->QN (RR)</font></Th>
-<TD align=center>0.21225</TD>
-<TD align=center>0.34053</TD>
-<TD align=center>0.71364</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to QN falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>DFFX1</TH>
-<Th><font>CK->QN (RF)</font></Th>
-<TD align=center>0.13628</TD>
-<TD align=center>0.23608</TD>
-<TD align=center>0.53877</TD>
-</TR>
-<TR><TH rowspan=1>DFFXL</TH>
-<Th><font>CK->QN (RF)</font></Th>
-<TD align=center>0.13753</TD>
-<TD align=center>0.24024</TD>
-<TD align=center>0.51382</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Constraint Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.03476</TD>
-<TD align=center>-0.03836</TD>
-<TD align=center>-0.05349</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.10641</TD>
-<TD align=center>0.10750</TD>
-<TD align=center>0.20693</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.03713</TD>
-<TD align=center>-0.03836</TD>
-<TD align=center>-0.05349</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.10504</TD>
-<TD align=center>0.10648</TD>
-<TD align=center>0.20644</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for D falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.09356</TD>
-<TD align=center>-0.15677</TD>
-<TD align=center>-0.46450</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.11352</TD>
-<TD align=center>0.16520</TD>
-<TD align=center>0.47898</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>hold</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>-0.09158</TD>
-<TD align=center>-0.15470</TD>
-<TD align=center>-0.46424</TD>
-</TR>
-<TR>
-<Th><font>setup</font></Th>
-<Th><font>CK (R)</font></Th>
-<TD align=center>0.11352</TD>
-<TD align=center>0.16520</TD>
-<TD align=center>0.47898</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for CK rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.07332</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.11635</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.06973</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.11635</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Constraints(ns) for CK falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Check</TH><TH rowspan=2>Ref Pin(trans)</TH><TH colspan=3>Reference Slew Rate(ns)</TH></TR><TR><TH> first </TH><TH> mid </TH><TH> last </TH></TR>
-<BR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.16257</TD>
-<TD align=center>0.18014</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.08766</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.16211</TD>
-<TD align=center>0.17686</TD>
-<TD align=center>0.97290</TD>
-</TR>
-<TR>
-<Th><font>min_pulse_width</font></Th>
-<Th><font>CK ()</font></Th>
-<TD align=center>0.08766</TD>
-<TD align=center>0.13428</TD>
-<TD align=center>0.97290</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Q rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01498</TD>
-<TD align=center>0.01376</TD>
-<TD align=center>0.01310</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01348</TD>
-<TD align=center>0.01220</TD>
-<TD align=center>0.01244</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Q falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01667</TD>
-<TD align=center>0.01546</TD>
-<TD align=center>0.01275</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01523</TD>
-<TD align=center>0.01429</TD>
-<TD align=center>0.01349</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to QN rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01666</TD>
-<TD align=center>0.01548</TD>
-<TD align=center>0.01307</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01522</TD>
-<TD align=center>0.01430</TD>
-<TD align=center>0.01358</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to QN falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01493</TD>
-<TD align=center>0.01371</TD>
-<TD align=center>0.01313</TD>
-</TR>
-<TR><TH rowspan=2>DFFXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.01341</TD>
-<TD align=center>0.01214</TD>
-<TD align=center>0.01222</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for D rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>-0.00459</TD>
-<TD align=center>-0.00507</TD>
-<TD align=center>-0.00511</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.01560</TD>
-<TD align=center>0.01507</TD>
-<TD align=center>0.01622</TD>
-</TR>
-<TR><TH rowspan=4>DFFXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>-0.00459</TD>
-<TD align=center>-0.00507</TD>
-<TD align=center>-0.00511</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.01561</TD>
-<TD align=center>0.01508</TD>
-<TD align=center>0.01623</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for D falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFX1</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00512</TD>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00512</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.03008</TD>
-<TD align=center>0.02967</TD>
-<TD align=center>0.03083</TD>
-</TR>
-<TR><TH rowspan=4>DFFXL</TH>
-<Th><font>CK</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>CK</font></Th>
-<TD align=center>0.00512</TD>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00512</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!CK * Q * !QN) + (!CK * !Q * QN)</font></Th>
-<TD align=center>0.03008</TD>
-<TD align=center>0.02968</TD>
-<TD align=center>0.03084</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for CK rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>DFFX1</TH>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>-0.00144</TD>
-<TD align=center>-0.00193</TD>
-<TD align=center>0.00094</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>-0.00177</TD>
-<TD align=center>-0.00226</TD>
-<TD align=center>0.00070</TD>
-</TR>
-<TR><TH rowspan=4>DFFXL</TH>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>-0.00144</TD>
-<TD align=center>-0.00193</TD>
-<TD align=center>0.00094</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>-0.00177</TD>
-<TD align=center>-0.00226</TD>
-<TD align=center>0.00070</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for CK falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>DFFX1</TH>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.02033</TD>
-<TD align=center>0.02045</TD>
-<TD align=center>0.02499</TD>
-</TR>
-<TR>
-<Th><font>(D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !Q * QN)</font></Th>
-<TD align=center>0.04344</TD>
-<TD align=center>0.04278</TD>
-<TD align=center>0.04628</TD>
-</TR>
-<TR>
-<Th><font>(!D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * Q * !QN)</font></Th>
-<TD align=center>0.04395</TD>
-<TD align=center>0.04361</TD>
-<TD align=center>0.05192</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.02330</TD>
-<TD align=center>0.02336</TD>
-<TD align=center>0.02754</TD>
-</TR>
-<TR><TH rowspan=8>DFFXL</TH>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * Q * !QN)</font></Th>
-<TD align=center>0.02033</TD>
-<TD align=center>0.02045</TD>
-<TD align=center>0.02499</TD>
-</TR>
-<TR>
-<Th><font>(D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(D * !Q * QN)</font></Th>
-<TD align=center>0.04345</TD>
-<TD align=center>0.04279</TD>
-<TD align=center>0.04629</TD>
-</TR>
-<TR>
-<Th><font>(!D * Q * !QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * Q * !QN)</font></Th>
-<TD align=center>0.04396</TD>
-<TD align=center>0.04362</TD>
-<TD align=center>0.05193</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!D * !Q * QN)</font></Th>
-<TD align=center>0.02330</TD>
-<TD align=center>0.02336</TD>
-<TD align=center>0.02754</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="DFFSRx.html">Prev (DFFSRx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="INVx.html">Next (INVx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/INVx.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/INVx.html
deleted file mode 100644
index 3d3f4bc..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/INVx.html
+++ /dev/null
@@ -1,458 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>INVx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>INVX1</FONT></TH>
-<TD align=center>6.59340</TD></TR>
-<TR><TH><FONT>INVX10</FONT></TH>
-<TD align=center>32.96700</TD></TR>
-<TR><TH><FONT>INVX2</FONT></TH>
-<TD align=center>9.52380</TD></TR>
-<TR><TH><FONT>INVX3</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-<TR><TH><FONT>INVX4</FONT></TH>
-<TD align=center>15.38460</TD></TR>
-<TR><TH><FONT>INVX6</FONT></TH>
-<TD align=center>21.24540</TD></TR>
-<TR><TH><FONT>INVX8</FONT></TH>
-<TD align=center>27.10620</TD></TR>
-<TR><TH><FONT>INVXL</FONT></TH>
-<TD align=center>6.59340</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>INVX1</font></Th>
-<TD align=center>0.00541</TD>
-<TD align=center>0.20944</TD>
-</TR>
-<TR><Th><font>INVX10</font></Th>
-<TD align=center>0.05069</TD>
-<TD align=center>1.61470</TD>
-</TR>
-<TR><Th><font>INVX2</font></Th>
-<TD align=center>0.01041</TD>
-<TD align=center>0.40624</TD>
-</TR>
-<TR><Th><font>INVX3</font></Th>
-<TD align=center>0.01552</TD>
-<TD align=center>0.57956</TD>
-</TR>
-<TR><Th><font>INVX4</font></Th>
-<TD align=center>0.02055</TD>
-<TD align=center>0.75827</TD>
-</TR>
-<TR><Th><font>INVX6</font></Th>
-<TD align=center>0.03075</TD>
-<TD align=center>1.07089</TD>
-</TR>
-<TR><Th><font>INVX8</font></Th>
-<TD align=center>0.04077</TD>
-<TD align=center>1.35745</TD>
-</TR>
-<TR><Th><font>INVXL</font></Th>
-<TD align=center>0.00417</TD>
-<TD align=center>0.14208</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>INVX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.13807</TD>
-<TD align=center>0.26890</TD>
-<TR><Th><font>INVX10</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>1.38067</TD>
-<TD align=center>2.68898</TD>
-<TR><Th><font>INVX2</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.27614</TD>
-<TD align=center>0.53780</TD>
-<TR><Th><font>INVX3</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.41420</TD>
-<TD align=center>0.80670</TD>
-<TR><Th><font>INVX4</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.55227</TD>
-<TD align=center>1.07559</TD>
-<TR><Th><font>INVX6</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.82840</TD>
-<TD align=center>1.61339</TD>
-<TR><Th><font>INVX8</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>1.10454</TD>
-<TD align=center>2.15119</TD>
-<TR><Th><font>INVXL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.08908</TD>
-<TD align=center>0.17073</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>INVX1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.02902</TD>
-<TD align=center>0.16864</TD>
-<TD align=center>0.78475</TD>
-</TR>
-<TR><TH rowspan=1>INVX10</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.04372</TD>
-<TD align=center>0.13990</TD>
-<TD align=center>0.79797</TD>
-</TR>
-<TR><TH rowspan=1>INVX2</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.02369</TD>
-<TD align=center>0.14585</TD>
-<TD align=center>0.77741</TD>
-</TR>
-<TR><TH rowspan=1>INVX3</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.02611</TD>
-<TD align=center>0.14071</TD>
-<TD align=center>0.78645</TD>
-</TR>
-<TR><TH rowspan=1>INVX4</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.02731</TD>
-<TD align=center>0.13589</TD>
-<TD align=center>0.78252</TD>
-</TR>
-<TR><TH rowspan=1>INVX6</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.03138</TD>
-<TD align=center>0.13351</TD>
-<TD align=center>0.78482</TD>
-</TR>
-<TR><TH rowspan=1>INVX8</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.03722</TD>
-<TD align=center>0.13544</TD>
-<TD align=center>0.79290</TD>
-</TR>
-<TR><TH rowspan=1>INVXL</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.03374</TD>
-<TD align=center>0.18501</TD>
-<TD align=center>0.78728</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=1>INVX1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.02216</TD>
-<TD align=center>0.13150</TD>
-<TD align=center>0.61302</TD>
-</TR>
-<TR><TH rowspan=1>INVX10</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03501</TD>
-<TD align=center>0.10157</TD>
-<TD align=center>0.56859</TD>
-</TR>
-<TR><TH rowspan=1>INVX2</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.01838</TD>
-<TD align=center>0.11192</TD>
-<TD align=center>0.60461</TD>
-</TR>
-<TR><TH rowspan=1>INVX3</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.01993</TD>
-<TD align=center>0.10630</TD>
-<TD align=center>0.60557</TD>
-</TR>
-<TR><TH rowspan=1>INVX4</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.02015</TD>
-<TD align=center>0.10019</TD>
-<TD align=center>0.59684</TD>
-</TR>
-<TR><TH rowspan=1>INVX6</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.02543</TD>
-<TD align=center>0.09765</TD>
-<TD align=center>0.58645</TD>
-</TR>
-<TR><TH rowspan=1>INVX8</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03005</TD>
-<TD align=center>0.09850</TD>
-<TD align=center>0.57761</TD>
-</TR>
-<TR><TH rowspan=1>INVXL</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.02512</TD>
-<TD align=center>0.13956</TD>
-<TD align=center>0.59533</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>INVX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00755</TD>
-<TD align=center>0.00783</TD>
-<TD align=center>0.00868</TD>
-</TR>
-<TR><TH rowspan=2>INVX10</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.06639</TD>
-<TD align=center>0.07036</TD>
-<TD align=center>0.08076</TD>
-</TR>
-<TR><TH rowspan=2>INVX2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01358</TD>
-<TD align=center>0.01436</TD>
-<TD align=center>0.01630</TD>
-</TR>
-<TR><TH rowspan=2>INVX3</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.02069</TD>
-<TD align=center>0.02159</TD>
-<TD align=center>0.02480</TD>
-</TR>
-<TR><TH rowspan=2>INVX4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.02676</TD>
-<TD align=center>0.02804</TD>
-<TD align=center>0.03228</TD>
-</TR>
-<TR><TH rowspan=2>INVX6</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.03960</TD>
-<TD align=center>0.04221</TD>
-<TD align=center>0.04849</TD>
-</TR>
-<TR><TH rowspan=2>INVX8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.05268</TD>
-<TD align=center>0.05577</TD>
-<TD align=center>0.06454</TD>
-</TR>
-<TR><TH rowspan=2>INVXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00585</TD>
-<TD align=center>0.00594</TD>
-<TD align=center>0.00647</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>INVX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00172</TD>
-<TD align=center>-0.00164</TD>
-<TD align=center>-0.00124</TD>
-</TR>
-<TR><TH rowspan=2>INVX10</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.02215</TD>
-<TD align=center>-0.02411</TD>
-<TD align=center>-0.01775</TD>
-</TR>
-<TR><TH rowspan=2>INVX2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00534</TD>
-<TD align=center>-0.00497</TD>
-<TD align=center>-0.00404</TD>
-</TR>
-<TR><TH rowspan=2>INVX3</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00712</TD>
-<TD align=center>-0.00664</TD>
-<TD align=center>-0.00502</TD>
-</TR>
-<TR><TH rowspan=2>INVX4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.01063</TD>
-<TD align=center>-0.00995</TD>
-<TD align=center>-0.00774</TD>
-</TR>
-<TR><TH rowspan=2>INVX6</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.01615</TD>
-<TD align=center>-0.01504</TD>
-<TD align=center>-0.01139</TD>
-</TR>
-<TR><TH rowspan=2>INVX8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.02036</TD>
-<TD align=center>-0.01986</TD>
-<TD align=center>-0.01473</TD>
-</TR>
-<TR><TH rowspan=2>INVXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00122</TD>
-<TD align=center>-0.00117</TD>
-<TD align=center>-0.00098</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="DFFx.html">Prev (DFFx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="NAND2x.html">Next (NAND2x)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/NAND2x.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/NAND2x.html
deleted file mode 100644
index bba46ac..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/NAND2x.html
+++ /dev/null
@@ -1,386 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>NAND2x</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>NAND2X1</FONT></TH>
-<TD align=center>9.52380</TD></TR>
-<TR><TH><FONT>NAND2XL</FONT></TH>
-<TD align=center>9.52380</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>NAND2X1</font></Th>
-<TD align=center>0.00543</TD>
-<TD align=center>0.00542</TD>
-<TD align=center>0.19418</TD>
-</TR>
-<TR><Th><font>NAND2XL</font></Th>
-<TD align=center>0.00418</TD>
-<TD align=center>0.00417</TD>
-<TD align=center>0.13987</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>NAND2X1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.13783</TD>
-<TD align=center>0.53780</TD>
-<TR><Th><font>NAND2XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.08898</TD>
-<TD align=center>0.34147</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.02931</TD>
-<TD align=center>0.16515</TD>
-<TD align=center>0.75259</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<TD align=center>0.03447</TD>
-<TD align=center>0.17096</TD>
-<TD align=center>0.76216</TD>
-</TR>
-<TR><TH rowspan=2>NAND2XL</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.03399</TD>
-<TD align=center>0.18455</TD>
-<TD align=center>0.78096</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<TD align=center>0.04009</TD>
-<TD align=center>0.19107</TD>
-<TD align=center>0.79239</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03041</TD>
-<TD align=center>0.16198</TD>
-<TD align=center>0.73235</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<TD align=center>0.03417</TD>
-<TD align=center>0.16026</TD>
-<TD align=center>0.70253</TD>
-</TR>
-<TR><TH rowspan=2>NAND2XL</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03456</TD>
-<TD align=center>0.17714</TD>
-<TD align=center>0.73603</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<TD align=center>0.03800</TD>
-<TD align=center>0.17432</TD>
-<TD align=center>0.70191</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>NAND2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00807</TD>
-<TD align=center>0.00827</TD>
-<TD align=center>0.00914</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01010</TD>
-<TD align=center>0.01021</TD>
-<TD align=center>0.01102</TD>
-</TR>
-<TR><TH rowspan=4>NAND2XL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00619</TD>
-<TD align=center>0.00625</TD>
-<TD align=center>0.00674</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00768</TD>
-<TD align=center>0.00756</TD>
-<TD align=center>0.00814</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>NAND2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00124</TD>
-<TD align=center>-0.00120</TD>
-<TD align=center>-0.00089</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>-0.00115</TD>
-<TD align=center>-0.00124</TD>
-<TD align=center>-0.00100</TD>
-</TR>
-<TR><TH rowspan=4>NAND2XL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00093</TD>
-<TD align=center>-0.00096</TD>
-<TD align=center>-0.00076</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>-0.00088</TD>
-<TD align=center>-0.00095</TD>
-<TD align=center>-0.00081</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>-0.00577</TD>
-<TD align=center>-0.00576</TD>
-<TD align=center>-0.00576</TD>
-</TR>
-<TR><TH rowspan=2>NAND2XL</TH>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>-0.00421</TD>
-<TD align=center>-0.00423</TD>
-<TD align=center>-0.00421</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00577</TD>
-<TD align=center>0.00580</TD>
-<TD align=center>0.00576</TD>
-</TR>
-<TR><TH rowspan=2>NAND2XL</TH>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!B * Y)</font></Th>
-<TD align=center>0.00421</TD>
-<TD align=center>0.00424</TD>
-<TD align=center>0.00421</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>-0.00535</TD>
-<TD align=center>-0.00538</TD>
-<TD align=center>-0.00536</TD>
-</TR>
-<TR><TH rowspan=2>NAND2XL</TH>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>-0.00391</TD>
-<TD align=center>-0.00392</TD>
-<TD align=center>-0.00391</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NAND2X1</TH>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00538</TD>
-<TD align=center>0.00539</TD>
-<TD align=center>0.00537</TD>
-</TR>
-<TR><TH rowspan=2>NAND2XL</TH>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00393</TD>
-<TD align=center>0.00394</TD>
-<TD align=center>0.00392</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="INVx.html">Prev (INVx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="NOR2x.html">Next (NOR2x)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/NOR2x.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/NOR2x.html
deleted file mode 100644
index 83c5772..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/NOR2x.html
+++ /dev/null
@@ -1,386 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>NOR2x</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>NOR2X1</FONT></TH>
-<TD align=center>9.52380</TD></TR>
-<TR><TH><FONT>NOR2XL</FONT></TH>
-<TD align=center>9.52380</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>NOR2X1</font></Th>
-<TD align=center>0.00541</TD>
-<TD align=center>0.00573</TD>
-<TD align=center>0.10845</TD>
-</TR>
-<TR><Th><font>NOR2XL</font></Th>
-<TD align=center>0.00409</TD>
-<TD align=center>0.00444</TD>
-<TD align=center>0.07457</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>NOR2X1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.09537</TD>
-<TD align=center>0.26890</TD>
-<TR><Th><font>NOR2XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.06565</TD>
-<TD align=center>0.17073</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.05878</TD>
-<TD align=center>0.21500</TD>
-<TD align=center>0.77810</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<TD align=center>0.04513</TD>
-<TD align=center>0.19938</TD>
-<TD align=center>0.76853</TD>
-</TR>
-<TR><TH rowspan=2>NOR2XL</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.06735</TD>
-<TD align=center>0.23581</TD>
-<TD align=center>0.77612</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<TD align=center>0.05537</TD>
-<TD align=center>0.22193</TD>
-<TD align=center>0.77782</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.02935</TD>
-<TD align=center>0.11599</TD>
-<TD align=center>0.42298</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<TD align=center>0.02348</TD>
-<TD align=center>0.10779</TD>
-<TD align=center>0.41118</TD>
-</TR>
-<TR><TH rowspan=2>NOR2XL</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03178</TD>
-<TD align=center>0.12212</TD>
-<TD align=center>0.41427</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<TD align=center>0.02643</TD>
-<TD align=center>0.11489</TD>
-<TD align=center>0.40349</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>NOR2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01105</TD>
-<TD align=center>0.01094</TD>
-<TD align=center>0.01122</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00816</TD>
-<TD align=center>0.00822</TD>
-<TD align=center>0.00939</TD>
-</TR>
-<TR><TH rowspan=4>NOR2XL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00807</TD>
-<TD align=center>0.00798</TD>
-<TD align=center>0.00813</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00623</TD>
-<TD align=center>0.00606</TD>
-<TD align=center>0.00686</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>NOR2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00102</TD>
-<TD align=center>0.00082</TD>
-<TD align=center>0.00114</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>-0.00129</TD>
-<TD align=center>-0.00126</TD>
-<TD align=center>-0.00085</TD>
-</TR>
-<TR><TH rowspan=4>NOR2XL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00066</TD>
-<TD align=center>0.00049</TD>
-<TD align=center>0.00073</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>-0.00087</TD>
-<TD align=center>-0.00087</TD>
-<TD align=center>-0.00063</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>-0.00462</TD>
-<TD align=center>-0.00508</TD>
-<TD align=center>-0.00514</TD>
-</TR>
-<TR><TH rowspan=2>NOR2XL</TH>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>-0.00333</TD>
-<TD align=center>-0.00361</TD>
-<TD align=center>-0.00365</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00514</TD>
-<TD align=center>0.00518</TD>
-<TD align=center>0.00514</TD>
-</TR>
-<TR><TH rowspan=2>NOR2XL</TH>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * !Y)</font></Th>
-<TD align=center>0.00365</TD>
-<TD align=center>0.00368</TD>
-<TD align=center>0.00365</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>-0.00242</TD>
-<TD align=center>-0.00244</TD>
-<TD align=center>-0.00242</TD>
-</TR>
-<TR><TH rowspan=2>NOR2XL</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>-0.00180</TD>
-<TD align=center>-0.00182</TD>
-<TD align=center>-0.00180</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>NOR2X1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00246</TD>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00245</TD>
-</TR>
-<TR><TH rowspan=2>NOR2XL</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00183</TD>
-<TD align=center>0.00184</TD>
-<TD align=center>0.00182</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="NAND2x.html">Prev (NAND2x)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="OAI21.html">Next (OAI21)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/OAI21.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/OAI21.html
deleted file mode 100644
index 230240f..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/OAI21.html
+++ /dev/null
@@ -1,419 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>OAI21</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=3><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A0</Th><Th>A1</Th><Th>B0</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>OAI21XL</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=3>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A0</TH>
-<TH>A1</TH>
-<TH>B0</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>OAI21XL</font></Th>
-<TD align=center>0.00550</TD>
-<TD align=center>0.00556</TD>
-<TD align=center>0.00463</TD>
-<TD align=center>0.10696</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>OAI21XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.11412</TD>
-<TD align=center>0.43963</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>OAI21XL</TH>
-<Th><font>A0->Y (FR)</font></Th>
-<TD align=center>0.05841</TD>
-<TD align=center>0.21306</TD>
-<TD align=center>0.78025</TD>
-</TR>
-<TR>
-<Th><font>A1->Y (FR)</font></Th>
-<TD align=center>0.07591</TD>
-<TD align=center>0.23263</TD>
-<TD align=center>0.79417</TD>
-</TR>
-<TR>
-<Th><font>B0->Y (FR)</font></Th>
-<TD align=center>0.04146</TD>
-<TD align=center>0.17617</TD>
-<TD align=center>0.68287</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>OAI21XL</TH>
-<Th><font>A0->Y (RF)</font></Th>
-<TD align=center>0.04256</TD>
-<TD align=center>0.14382</TD>
-<TD align=center>0.50183</TD>
-</TR>
-<TR>
-<Th><font>A1->Y (RF)</font></Th>
-<TD align=center>0.05003</TD>
-<TD align=center>0.15013</TD>
-<TD align=center>0.50319</TD>
-</TR>
-<TR>
-<Th><font>B0->Y (RF)</font></Th>
-<TD align=center>0.03368</TD>
-<TD align=center>0.14386</TD>
-<TD align=center>0.55080</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>OAI21XL</TH>
-<Th><font>A0</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A0</font></Th>
-<TD align=center>0.01113</TD>
-<TD align=center>0.01106</TD>
-<TD align=center>0.01200</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.01404</TD>
-<TD align=center>0.01385</TD>
-<TD align=center>0.01404</TD>
-</TR>
-<TR>
-<Th><font>B0</font></Th>
-<TD align=center>0.00964</TD>
-<TD align=center>0.00964</TD>
-<TD align=center>0.01038</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=5>OAI21XL</TH>
-<Th><font>A0</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A0</font></Th>
-<TD align=center>0.00027</TD>
-<TD align=center>0.00007</TD>
-<TD align=center>0.00026</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A1</font></Th>
-<TD align=center>0.00261</TD>
-<TD align=center>0.00225</TD>
-<TD align=center>0.00237</TD>
-</TR>
-<TR>
-<Th><font>B0</font></Th>
-<TD align=center>0.00362</TD>
-<TD align=center>0.00355</TD>
-<TD align=center>0.00381</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A0 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>OAI21XL</TH>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>-0.00243</TD>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00243</TD>
-</TR>
-<TR>
-<Th><font>(A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * !B0 * Y)</font></Th>
-<TD align=center>-0.00495</TD>
-<TD align=center>-0.00514</TD>
-<TD align=center>-0.00515</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>-0.00526</TD>
-<TD align=center>-0.00526</TD>
-<TD align=center>-0.00526</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A0 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>OAI21XL</TH>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * B0 * !Y)</font></Th>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00248</TD>
-<TD align=center>0.00245</TD>
-</TR>
-<TR>
-<Th><font>(A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00515</TD>
-<TD align=center>0.00519</TD>
-<TD align=center>0.00515</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A1 * !B0 * Y)</font></Th>
-<TD align=center>0.00526</TD>
-<TD align=center>0.00529</TD>
-<TD align=center>0.00526</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A1 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>OAI21XL</TH>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>-0.00455</TD>
-<TD align=center>-0.00500</TD>
-<TD align=center>-0.00506</TD>
-</TR>
-<TR>
-<Th><font>(A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * !B0 * Y)</font></Th>
-<TD align=center>-0.00495</TD>
-<TD align=center>-0.00510</TD>
-<TD align=center>-0.00513</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>-0.00521</TD>
-<TD align=center>-0.00522</TD>
-<TD align=center>-0.00521</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A1 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=6>OAI21XL</TH>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * B0 * !Y)</font></Th>
-<TD align=center>0.00507</TD>
-<TD align=center>0.00510</TD>
-<TD align=center>0.00508</TD>
-</TR>
-<TR>
-<Th><font>(A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00513</TD>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00513</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !B0 * Y)</font></Th>
-<TD align=center>0.00521</TD>
-<TD align=center>0.00524</TD>
-<TD align=center>0.00522</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B0 rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OAI21XL</TH>
-<Th><font>(!A0 * !A1 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !A1 * Y)</font></Th>
-<TD align=center>-0.00434</TD>
-<TD align=center>-0.00433</TD>
-<TD align=center>-0.00434</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B0 falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OAI21XL</TH>
-<Th><font>(!A0 * !A1 * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A0 * !A1 * Y)</font></Th>
-<TD align=center>0.00434</TD>
-<TD align=center>0.00436</TD>
-<TD align=center>0.00434</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="NOR2x.html">Prev (NOR2x)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="OR2x.html">Next (OR2x)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/OR2x.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/OR2x.html
deleted file mode 100644
index 3f35b02..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/OR2x.html
+++ /dev/null
@@ -1,779 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>OR2x</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>x</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>OR2X1</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-<TR><TH><FONT>OR2X2</FONT></TH>
-<TD align=center>15.38460</TD></TR>
-<TR><TH><FONT>OR2X4</FONT></TH>
-<TD align=center>21.24540</TD></TR>
-<TR><TH><FONT>OR2X8</FONT></TH>
-<TD align=center>32.96700</TD></TR>
-<TR><TH><FONT>OR2XL</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>OR2X1</font></Th>
-<TD align=center>0.00573</TD>
-<TD align=center>0.00556</TD>
-<TD align=center>0.21563</TD>
-</TR>
-<TR><Th><font>OR2X2</font></Th>
-<TD align=center>0.00574</TD>
-<TD align=center>0.00557</TD>
-<TD align=center>0.40513</TD>
-</TR>
-<TR><Th><font>OR2X4</font></Th>
-<TD align=center>0.00576</TD>
-<TD align=center>0.00559</TD>
-<TD align=center>0.74440</TD>
-</TR>
-<TR><Th><font>OR2X8</font></Th>
-<TD align=center>0.00581</TD>
-<TD align=center>0.00564</TD>
-<TD align=center>1.32253</TD>
-</TR>
-<TR><Th><font>OR2XL</font></Th>
-<TD align=center>0.00448</TD>
-<TD align=center>0.00426</TD>
-<TD align=center>0.14509</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>OR2X1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.16802</TD>
-<TD align=center>0.28337</TD>
-<TR><Th><font>OR2X2</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.24067</TD>
-<TD align=center>0.55227</TD>
-<TR><Th><font>OR2X4</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.38597</TD>
-<TD align=center>1.09007</TD>
-<TR><Th><font>OR2X8</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.67658</TD>
-<TD align=center>2.16566</TD>
-<TR><Th><font>OR2XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.11391</TD>
-<TD align=center>0.18560</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.06340</TD>
-<TD align=center>0.18425</TD>
-<TD align=center>0.59282</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.05580</TD>
-<TD align=center>0.17071</TD>
-<TD align=center>0.56454</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.06879</TD>
-<TD align=center>0.17957</TD>
-<TD align=center>0.61824</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.06073</TD>
-<TD align=center>0.16784</TD>
-<TD align=center>0.59253</TD>
-</TR>
-<TR><TH rowspan=2>OR2X4</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.08811</TD>
-<TD align=center>0.19822</TD>
-<TD align=center>0.68704</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.07981</TD>
-<TD align=center>0.18854</TD>
-<TD align=center>0.66548</TD>
-</TR>
-<TR><TH rowspan=2>OR2X8</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.12499</TD>
-<TD align=center>0.23726</TD>
-<TD align=center>0.78777</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.11649</TD>
-<TD align=center>0.22879</TD>
-<TD align=center>0.77131</TD>
-</TR>
-<TR><TH rowspan=2>OR2XL</TH>
-<Th><font>A->Y (RR)</font></Th>
-<TD align=center>0.07021</TD>
-<TD align=center>0.20202</TD>
-<TD align=center>0.59273</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<TD align=center>0.06325</TD>
-<TD align=center>0.19012</TD>
-<TD align=center>0.56612</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.09777</TD>
-<TD align=center>0.22363</TD>
-<TD align=center>0.66600</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.07946</TD>
-<TD align=center>0.20622</TD>
-<TD align=center>0.64572</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.11331</TD>
-<TD align=center>0.23448</TD>
-<TD align=center>0.70067</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.09518</TD>
-<TD align=center>0.21665</TD>
-<TD align=center>0.68564</TD>
-</TR>
-<TR><TH rowspan=2>OR2X4</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.15547</TD>
-<TD align=center>0.27729</TD>
-<TD align=center>0.77780</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.13741</TD>
-<TD align=center>0.25809</TD>
-<TD align=center>0.76997</TD>
-</TR>
-<TR><TH rowspan=2>OR2X8</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.24576</TD>
-<TD align=center>0.36920</TD>
-<TD align=center>0.90351</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.22782</TD>
-<TD align=center>0.34928</TD>
-<TD align=center>0.90107</TD>
-</TR>
-<TR><TH rowspan=2>OR2XL</TH>
-<Th><font>A->Y (FF)</font></Th>
-<TD align=center>0.10805</TD>
-<TD align=center>0.23820</TD>
-<TD align=center>0.64985</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<TD align=center>0.09013</TD>
-<TD align=center>0.22262</TD>
-<TD align=center>0.63756</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>OR2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00812</TD>
-<TD align=center>0.00754</TD>
-<TD align=center>0.00918</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00600</TD>
-<TD align=center>0.00587</TD>
-<TD align=center>0.00854</TD>
-</TR>
-<TR><TH rowspan=4>OR2X2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01425</TD>
-<TD align=center>0.01402</TD>
-<TD align=center>0.01557</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01198</TD>
-<TD align=center>0.01237</TD>
-<TD align=center>0.01481</TD>
-</TR>
-<TR><TH rowspan=4>OR2X4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.02738</TD>
-<TD align=center>0.02782</TD>
-<TD align=center>0.02955</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.02509</TD>
-<TD align=center>0.02631</TD>
-<TD align=center>0.02890</TD>
-</TR>
-<TR><TH rowspan=4>OR2X8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.05584</TD>
-<TD align=center>0.05504</TD>
-<TD align=center>0.05778</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.05351</TD>
-<TD align=center>0.05361</TD>
-<TD align=center>0.05776</TD>
-</TR>
-<TR><TH rowspan=4>OR2XL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00598</TD>
-<TD align=center>0.00543</TD>
-<TD align=center>0.00648</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00464</TD>
-<TD align=center>0.00446</TD>
-<TD align=center>0.00610</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>OR2X1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01785</TD>
-<TD align=center>0.01746</TD>
-<TD align=center>0.01880</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01460</TD>
-<TD align=center>0.01503</TD>
-<TD align=center>0.01868</TD>
-</TR>
-<TR><TH rowspan=4>OR2X2</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.02230</TD>
-<TD align=center>0.02217</TD>
-<TD align=center>0.02336</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01899</TD>
-<TD align=center>0.01969</TD>
-<TD align=center>0.02302</TD>
-</TR>
-<TR><TH rowspan=4>OR2X4</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.03538</TD>
-<TD align=center>0.03348</TD>
-<TD align=center>0.03491</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.03212</TD>
-<TD align=center>0.03062</TD>
-<TD align=center>0.03417</TD>
-</TR>
-<TR><TH rowspan=4>OR2X8</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.07022</TD>
-<TD align=center>0.05792</TD>
-<TD align=center>0.05840</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.06706</TD>
-<TD align=center>0.05473</TD>
-<TD align=center>0.05722</TD>
-</TR>
-<TR><TH rowspan=4>OR2XL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.01354</TD>
-<TD align=center>0.01319</TD>
-<TD align=center>0.01405</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<TD align=center>0.01128</TD>
-<TD align=center>0.01148</TD>
-<TD align=center>0.01372</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>-0.00466</TD>
-<TD align=center>-0.00510</TD>
-<TD align=center>-0.00516</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>-0.00466</TD>
-<TD align=center>-0.00510</TD>
-<TD align=center>-0.00516</TD>
-</TR>
-<TR><TH rowspan=2>OR2X4</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>-0.00467</TD>
-<TD align=center>-0.00510</TD>
-<TD align=center>-0.00516</TD>
-</TR>
-<TR><TH rowspan=2>OR2X8</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>-0.00467</TD>
-<TD align=center>-0.00510</TD>
-<TD align=center>-0.00516</TD>
-</TR>
-<TR><TH rowspan=2>OR2XL</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>-0.00335</TD>
-<TD align=center>-0.00363</TD>
-<TD align=center>-0.00367</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00520</TD>
-<TD align=center>0.00517</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00520</TD>
-<TD align=center>0.00517</TD>
-</TR>
-<TR><TH rowspan=2>OR2X4</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00520</TD>
-<TD align=center>0.00517</TD>
-</TR>
-<TR><TH rowspan=2>OR2X8</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00516</TD>
-<TD align=center>0.00520</TD>
-<TD align=center>0.00517</TD>
-</TR>
-<TR><TH rowspan=2>OR2XL</TH>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(B * Y)</font></Th>
-<TD align=center>0.00367</TD>
-<TD align=center>0.00370</TD>
-<TD align=center>0.00367</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00243</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00243</TD>
-</TR>
-<TR><TH rowspan=2>OR2X4</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00243</TD>
-</TR>
-<TR><TH rowspan=2>OR2X8</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00245</TD>
-<TD align=center>-0.00244</TD>
-</TR>
-<TR><TH rowspan=2>OR2XL</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>-0.00185</TD>
-<TD align=center>-0.00185</TD>
-<TD align=center>-0.00183</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for B falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=2>OR2X1</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00249</TD>
-<TD align=center>0.00246</TD>
-</TR>
-<TR><TH rowspan=2>OR2X2</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00249</TD>
-<TD align=center>0.00246</TD>
-</TR>
-<TR><TH rowspan=2>OR2X4</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00249</TD>
-<TD align=center>0.00246</TD>
-</TR>
-<TR><TH rowspan=2>OR2X8</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00247</TD>
-<TD align=center>0.00249</TD>
-<TD align=center>0.00246</TD>
-</TR>
-<TR><TH rowspan=2>OR2XL</TH>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * Y)</font></Th>
-<TD align=center>0.00186</TD>
-<TD align=center>0.00187</TD>
-<TD align=center>0.00185</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="OAI21.html">Prev (OAI21)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="TBUFIx.html">Next (TBUFIx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TBUFIx.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TBUFIx.html
deleted file mode 100644
index fdb7a6f..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TBUFIx.html
+++ /dev/null
@@ -1,506 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>TBUFIx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>OE</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>-</font></Th><Th><font size=2>0</font></Th><Th><font size=2>HiZ</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>TBUFIX1</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-<TR><TH><FONT>TBUFIXL</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>OE</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>TBUFIX1</font></Th>
-<TD align=center>0.00573</TD>
-<TD align=center>0.00724</TD>
-<TD align=center>0.10993</TD>
-</TR>
-<TR><Th><font>TBUFIXL</font></Th>
-<TD align=center>0.00445</TD>
-<TD align=center>0.00564</TD>
-<TD align=center>0.07547</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>TBUFIX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.14110</TD>
-<TD align=center>0.53780</TD>
-<TR><Th><font>TBUFIXL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.09246</TD>
-<TD align=center>0.34147</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>TBUFIX1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.04369</TD>
-<TD align=center>0.19805</TD>
-<TD align=center>0.76880</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FR)</font></Th>
-<TD align=center>0.04484</TD>
-<TD align=center>0.09276</TD>
-<TD align=center>0.37291</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RR)</font></Th>
-<TD align=center>0.07557</TD>
-<TD align=center>0.20319</TD>
-<TD align=center>0.55248</TD>
-</TR>
-<TR><TH rowspan=3>TBUFIXL</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.05379</TD>
-<TD align=center>0.22037</TD>
-<TD align=center>0.78021</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FR)</font></Th>
-<TD align=center>0.04816</TD>
-<TD align=center>0.09850</TD>
-<TD align=center>0.37272</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RR)</font></Th>
-<TD align=center>0.08501</TD>
-<TD align=center>0.22770</TD>
-<TD align=center>0.56431</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>TBUFIX1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03003</TD>
-<TD align=center>0.13278</TD>
-<TD align=center>0.51159</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FF)</font></Th>
-<TD align=center>0.04535</TD>
-<TD align=center>0.09341</TD>
-<TD align=center>0.37293</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RF)</font></Th>
-<TD align=center>0.02849</TD>
-<TD align=center>0.12608</TD>
-<TD align=center>0.47365</TD>
-</TR>
-<TR><TH rowspan=3>TBUFIXL</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03442</TD>
-<TD align=center>0.14152</TD>
-<TD align=center>0.50038</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FF)</font></Th>
-<TD align=center>0.04875</TD>
-<TD align=center>0.09853</TD>
-<TD align=center>0.37272</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RF)</font></Th>
-<TD align=center>0.03337</TD>
-<TD align=center>0.13507</TD>
-<TD align=center>0.45951</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00775</TD>
-<TD align=center>0.00781</TD>
-<TD align=center>0.00881</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00789</TD>
-<TD align=center>0.00768</TD>
-<TD align=center>0.01141</TD>
-</TR>
-<TR><TH rowspan=4>TBUFIXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00594</TD>
-<TD align=center>0.00565</TD>
-<TD align=center>0.00646</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00562</TD>
-<TD align=center>0.00540</TD>
-<TD align=center>0.00772</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00131</TD>
-<TD align=center>-0.00131</TD>
-<TD align=center>-0.00095</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00528</TD>
-<TD align=center>0.00500</TD>
-<TD align=center>0.00915</TD>
-</TR>
-<TR><TH rowspan=4>TBUFIXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00088</TD>
-<TD align=center>-0.00090</TD>
-<TD align=center>-0.00070</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00369</TD>
-<TD align=center>0.00342</TD>
-<TD align=center>0.00591</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>-0.00402</TD>
-<TD align=center>-0.00405</TD>
-<TD align=center>-0.00402</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>-0.00353</TD>
-<TD align=center>-0.00356</TD>
-<TD align=center>-0.00354</TD>
-</TR>
-<TR><TH rowspan=4>TBUFIXL</TH>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>-0.00308</TD>
-<TD align=center>-0.00310</TD>
-<TD align=center>-0.00308</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>-0.00276</TD>
-<TD align=center>-0.00279</TD>
-<TD align=center>-0.00276</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00402</TD>
-<TD align=center>0.00405</TD>
-<TD align=center>0.00402</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00357</TD>
-<TD align=center>0.00360</TD>
-<TD align=center>0.00357</TD>
-</TR>
-<TR><TH rowspan=4>TBUFIXL</TH>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * Y)</font></Th>
-<TD align=center>0.00308</TD>
-<TD align=center>0.00310</TD>
-<TD align=center>0.00308</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!OE * !Y)</font></Th>
-<TD align=center>0.00278</TD>
-<TD align=center>0.00280</TD>
-<TD align=center>0.00278</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for OE rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00319</TD>
-<TD align=center>0.00297</TD>
-<TD align=center>0.00718</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00283</TD>
-<TD align=center>0.00260</TD>
-<TD align=center>0.00684</TD>
-</TR>
-<TR><TH rowspan=4>TBUFIXL</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00217</TD>
-<TD align=center>0.00195</TD>
-<TD align=center>0.00449</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00193</TD>
-<TD align=center>0.00170</TD>
-<TD align=center>0.00424</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for OE falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TBUFIX1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00871</TD>
-<TD align=center>0.00869</TD>
-<TD align=center>0.01354</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00885</TD>
-<TD align=center>0.00894</TD>
-<TD align=center>0.01380</TD>
-</TR>
-<TR><TH rowspan=4>TBUFIXL</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00688</TD>
-<TD align=center>0.00671</TD>
-<TD align=center>0.00967</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00701</TD>
-<TD align=center>0.00690</TD>
-<TD align=center>0.00984</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="OR2x.html">Prev (OR2x)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="TIEHI.html">Next (TIEHI)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TIEHI.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TIEHI.html
deleted file mode 100644
index 714d4f9..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TIEHI.html
+++ /dev/null
@@ -1,48 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>TIEHI</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>TIEHI</FONT></TH>
-<TD align=center>6.59340</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>TIEHI</font></Th>
-<TD align=center>0.51657</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>TIEHI</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="TBUFIx.html">Prev (TBUFIx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="TIELO.html">Next (TIELO)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TIELO.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TIELO.html
deleted file mode 100644
index 7ded8b3..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TIELO.html
+++ /dev/null
@@ -1,48 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>TIELO</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>TIELO</FONT></TH>
-<TD align=center>6.59340</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>TIELO</font></Th>
-<TD align=center>0.83146</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>TIELO</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="TIEHI.html">Prev (TIEHI)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="TNBUFIx.html">Next (TNBUFIx)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TNBUFIx.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TNBUFIx.html
deleted file mode 100644
index 401766e..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/TNBUFIx.html
+++ /dev/null
@@ -1,506 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>TNBUFIx</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>OE</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>-</font></Th><Th><font size=2>1</font></Th><Th><font size=2>HiZ</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>TNBUFIX1</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-<TR><TH><FONT>TNBUFIXL</FONT></TH>
-<TD align=center>12.45420</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>OE</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>TNBUFIX1</font></Th>
-<TD align=center>0.00573</TD>
-<TD align=center>0.00900</TD>
-<TD align=center>0.10997</TD>
-</TR>
-<TR><Th><font>TNBUFIXL</font></Th>
-<TD align=center>0.00444</TD>
-<TD align=center>0.00675</TD>
-<TD align=center>0.07556</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>TNBUFIX1</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.22832</TD>
-<TD align=center>0.27614</TD>
-<TR><Th><font>TNBUFIXL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.14689</TD>
-<TD align=center>0.17816</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>TNBUFIX1</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.04387</TD>
-<TD align=center>0.19815</TD>
-<TD align=center>0.76896</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RR)</font></Th>
-<TD align=center>0.02537</TD>
-<TD align=center>0.07865</TD>
-<TD align=center>0.37405</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FR)</font></Th>
-<TD align=center>0.05584</TD>
-<TD align=center>0.21299</TD>
-<TD align=center>0.77737</TD>
-</TR>
-<TR><TH rowspan=3>TNBUFIXL</TH>
-<Th><font>A->Y (FR)</font></Th>
-<TD align=center>0.05414</TD>
-<TD align=center>0.22048</TD>
-<TD align=center>0.78072</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RR)</font></Th>
-<TD align=center>0.02632</TD>
-<TD align=center>0.07892</TD>
-<TD align=center>0.37433</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FR)</font></Th>
-<TD align=center>0.06458</TD>
-<TD align=center>0.23459</TD>
-<TD align=center>0.77806</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=3>TNBUFIX1</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.02969</TD>
-<TD align=center>0.13265</TD>
-<TD align=center>0.51156</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RF)</font></Th>
-<TD align=center>0.02520</TD>
-<TD align=center>0.07859</TD>
-<TD align=center>0.37403</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FF)</font></Th>
-<TD align=center>0.04978</TD>
-<TD align=center>0.15251</TD>
-<TD align=center>0.45491</TD>
-</TR>
-<TR><TH rowspan=3>TNBUFIXL</TH>
-<Th><font>A->Y (RF)</font></Th>
-<TD align=center>0.03401</TD>
-<TD align=center>0.14139</TD>
-<TD align=center>0.50059</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (RF)</font></Th>
-<TD align=center>0.02614</TD>
-<TD align=center>0.07890</TD>
-<TD align=center>0.37433</TD>
-</TR>
-<TR>
-<Th><font>OE->Y (FF)</font></Th>
-<TD align=center>0.05683</TD>
-<TD align=center>0.16574</TD>
-<TD align=center>0.44962</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TNBUFIX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00793</TD>
-<TD align=center>0.00799</TD>
-<TD align=center>0.00899</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.01942</TD>
-<TD align=center>0.02004</TD>
-<TD align=center>0.02565</TD>
-</TR>
-<TR><TH rowspan=4>TNBUFIXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>0.00613</TD>
-<TD align=center>0.00583</TD>
-<TD align=center>0.00665</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.01446</TD>
-<TD align=center>0.01472</TD>
-<TD align=center>0.01813</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling :</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TNBUFIX1</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00156</TD>
-<TD align=center>-0.00154</TD>
-<TD align=center>-0.00118</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.01713</TD>
-<TD align=center>0.01782</TD>
-<TD align=center>0.02301</TD>
-</TR>
-<TR><TH rowspan=4>TNBUFIXL</TH>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<TD align=center>-0.00112</TD>
-<TD align=center>-0.00113</TD>
-<TD align=center>-0.00094</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>OE</font></Th>
-<TD align=center>0.01277</TD>
-<TD align=center>0.01314</TD>
-<TD align=center>0.01623</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TNBUFIX1</TH>
-<Th><font>(OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(OE * Y)</font></Th>
-<TD align=center>-0.00346</TD>
-<TD align=center>-0.00349</TD>
-<TD align=center>-0.00347</TD>
-</TR>
-<TR>
-<Th><font>(OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(OE * !Y)</font></Th>
-<TD align=center>-0.00303</TD>
-<TD align=center>-0.00305</TD>
-<TD align=center>-0.00303</TD>
-</TR>
-<TR><TH rowspan=4>TNBUFIXL</TH>
-<Th><font>(OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(OE * Y)</font></Th>
-<TD align=center>-0.00255</TD>
-<TD align=center>-0.00257</TD>
-<TD align=center>-0.00255</TD>
-</TR>
-<TR>
-<Th><font>(OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(OE * !Y)</font></Th>
-<TD align=center>-0.00227</TD>
-<TD align=center>-0.00229</TD>
-<TD align=center>-0.00227</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for A falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TNBUFIX1</TH>
-<Th><font>(OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(OE * Y)</font></Th>
-<TD align=center>0.00346</TD>
-<TD align=center>0.00349</TD>
-<TD align=center>0.00347</TD>
-</TR>
-<TR>
-<Th><font>(OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(OE * !Y)</font></Th>
-<TD align=center>0.00306</TD>
-<TD align=center>0.00308</TD>
-<TD align=center>0.00306</TD>
-</TR>
-<TR><TH rowspan=4>TNBUFIXL</TH>
-<Th><font>(OE * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(OE * Y)</font></Th>
-<TD align=center>0.00255</TD>
-<TD align=center>0.00257</TD>
-<TD align=center>0.00255</TD>
-</TR>
-<TR>
-<Th><font>(OE * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(OE * !Y)</font></Th>
-<TD align=center>0.00229</TD>
-<TD align=center>0.00230</TD>
-<TD align=center>0.00229</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for OE rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TNBUFIX1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>-0.00634</TD>
-<TD align=center>-0.00704</TD>
-<TD align=center>-0.00237</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>-0.00622</TD>
-<TD align=center>-0.00686</TD>
-<TD align=center>-0.00228</TD>
-</TR>
-<TR><TH rowspan=4>TNBUFIXL</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>-0.00450</TD>
-<TD align=center>-0.00500</TD>
-<TD align=center>-0.00221</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>-0.00440</TD>
-<TD align=center>-0.00487</TD>
-<TD align=center>-0.00217</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Passive power(pJ) for OE falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH rowspan=2>When</TH>
-</TH><TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=4>TNBUFIX1</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.01466</TD>
-<TD align=center>0.01539</TD>
-<TD align=center>0.02090</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.01446</TD>
-<TD align=center>0.01515</TD>
-<TD align=center>0.02069</TD>
-</TR>
-<TR><TH rowspan=4>TNBUFIXL</TH>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(A * !Y)</font></Th>
-<TD align=center>0.01099</TD>
-<TD align=center>0.01128</TD>
-<TD align=center>0.01465</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>(!A * Y)</font></Th>
-<TD align=center>0.01084</TD>
-<TD align=center>0.01115</TD>
-<TD align=center>0.01447</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="TIELO.html">Prev (TIELO)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="XNOR2.html">Next (XNOR2)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/XNOR2.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/XNOR2.html
deleted file mode 100644
index 957f245..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/XNOR2.html
+++ /dev/null
@@ -1,276 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>XNOR2</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>XNOR2XL</FONT></TH>
-<TD align=center>21.24540</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>XNOR2XL</font></Th>
-<TD align=center>0.01135</TD>
-<TD align=center>0.01039</TD>
-<TD align=center>0.10699</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>XNOR2XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.46432</TD>
-<TD align=center>0.81393</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>XNOR2XL</TH>
-<Th><font>A->Y (RR)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.09380</TD>
-<TD align=center>0.22362</TD>
-<TD align=center>0.57017</TD>
-</TR>
-<TR>
-<Th><font>A->Y (FR)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.05519</TD>
-<TD align=center>0.20843</TD>
-<TD align=center>0.77199</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.07517</TD>
-<TD align=center>0.20568</TD>
-<TD align=center>0.55154</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.07605</TD>
-<TD align=center>0.23177</TD>
-<TD align=center>0.79032</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>XNOR2XL</TH>
-<Th><font>A->Y (FF)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.08543</TD>
-<TD align=center>0.19842</TD>
-<TD align=center>0.52390</TD>
-</TR>
-<TR>
-<Th><font>A->Y (RF)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.04215</TD>
-<TD align=center>0.14020</TD>
-<TD align=center>0.49213</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.07749</TD>
-<TD align=center>0.19096</TD>
-<TD align=center>0.51768</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.05206</TD>
-<TD align=center>0.15146</TD>
-<TD align=center>0.50548</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>XNOR2XL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00763</TD>
-<TD align=center>0.00721</TD>
-<TD align=center>0.01050</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.01839</TD>
-<TD align=center>0.01842</TD>
-<TD align=center>0.02405</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00234</TD>
-<TD align=center>0.00217</TD>
-<TD align=center>0.00596</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.02063</TD>
-<TD align=center>0.02061</TD>
-<TD align=center>0.02558</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>XNOR2XL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.02336</TD>
-<TD align=center>0.02290</TD>
-<TD align=center>0.02725</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00529</TD>
-<TD align=center>0.00480</TD>
-<TD align=center>0.00865</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.02160</TD>
-<TD align=center>0.02189</TD>
-<TD align=center>0.02658</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00667</TD>
-<TD align=center>0.00597</TD>
-<TD align=center>0.00978</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="TNBUFIx.html">Prev (TNBUFIx)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="XOR2.html">Next (XOR2)</A></em></TD></TABLE>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/XOR2.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/XOR2.html
deleted file mode 100644
index 0e4945d..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/XOR2.html
+++ /dev/null
@@ -1,276 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
-<TR align=center><th align=left><H1><font size=+3>XOR2</font></H1></th>
-<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Cell Library: Process , Voltage 1.80, Temp 25.00 </A></em></h5></th></TR>
-</TABLE>
-<HR>
-<BR>
-<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
-<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
-<TR valign=middle><TH colspan=2><font size=3>INPUT</font></TH>
-<TH colspan=1><font size=3>OUTPUT</font></TH>
-<TR><Th>A</Th><Th>B</Th><Th>Y</Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
-<TR><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th><Th><font size=2>1</font></Th></TR>
-<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th><Th><font size=2>0</font></Th></TR>
-</TABLE>
-</TR></TABLE>
-<BR>
-<FONT SIZE=+2><B>Footprint</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
-<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
-<TR><TH><FONT>XOR2XL</FONT></TH>
-<TD align=center>21.24540</TD></TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH>
-<TH colspan=2>Pin Cap(pf) </TH>
-<TH colspan=1>Max Cap(pf) </TH>
-</TR>
-<TR>
-<TH>A</TH>
-<TH>B</TH>
-<TH>Y</TH>
-</TR>
-<TR><Th><font>XOR2XL</font></Th>
-<TD align=center>0.01130</TD>
-<TD align=center>0.01043</TD>
-<TD align=center>0.10688</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT SIZE=+2><B>Leakage Information</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
-</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
-<TR><Th><font>XOR2XL</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.46432</TD>
-<TD align=center>0.72699</TD>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Delay Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>XOR2XL</TH>
-<Th><font>A->Y (RR)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.08856</TD>
-<TD align=center>0.21591</TD>
-<TD align=center>0.56736</TD>
-</TR>
-<TR>
-<Th><font>A->Y (FR)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.06794</TD>
-<TD align=center>0.22152</TD>
-<TD align=center>0.77640</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RR)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.07789</TD>
-<TD align=center>0.20767</TD>
-<TD align=center>0.55369</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FR)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.07412</TD>
-<TD align=center>0.23052</TD>
-<TD align=center>0.79220</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Delay(ns) to Y falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
-<BR>
-<TR><TH rowspan=4>XOR2XL</TH>
-<Th><font>A->Y (FF)</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.07410</TD>
-<TD align=center>0.18614</TD>
-<TD align=center>0.50390</TD>
-</TR>
-<TR>
-<Th><font>A->Y (RF)</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.04051</TD>
-<TD align=center>0.14514</TD>
-<TD align=center>0.52004</TD>
-</TR>
-<TR>
-<Th><font>B->Y (FF)</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.07154</TD>
-<TD align=center>0.18435</TD>
-<TD align=center>0.50444</TD>
-</TR>
-<TR>
-<Th><font>B->Y (RF)</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.04872</TD>
-<TD align=center>0.14671</TD>
-<TD align=center>0.49026</TD>
-</TR>
-</TABLE>
-<BR>
-<!--NewPage-->
-<BR>
-<FONT SIZE=+2><B>Power Information</B></FONT>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y rising (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>XOR2XL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.02221</TD>
-<TD align=center>0.02239</TD>
-<TD align=center>0.02775</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00323</TD>
-<TD align=center>0.00201</TD>
-<TD align=center>0.00547</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.02262</TD>
-<TD align=center>0.02290</TD>
-<TD align=center>0.02811</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00194</TD>
-<TD align=center>0.00167</TD>
-<TD align=center>0.00544</TD>
-</TR>
-</TABLE>
-<BR>
-<BR>
-<FONT><B>Internal switching power(pJ) to Y falling (conditional):</B></FONT>
-<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
-<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
-<TH rowspan=2>When</TH>
-<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
-<TR><TH rowspan=8>XOR2XL</TH>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>B</font></Th>
-<TD align=center>0.00409</TD>
-<TD align=center>0.00340</TD>
-<TD align=center>0.00743</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>A</font></Th>
-<Th><font>!B</font></Th>
-<TD align=center>0.02432</TD>
-<TD align=center>0.02477</TD>
-<TD align=center>0.02941</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>A</font></Th>
-<TD align=center>0.00424</TD>
-<TD align=center>0.00347</TD>
-<TD align=center>0.00738</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-<TD align=center>0.00000</TD>
-</TR>
-<TR>
-<Th><font>B</font></Th>
-<Th><font>!A</font></Th>
-<TD align=center>0.02194</TD>
-<TD align=center>0.02254</TD>
-<TD align=center>0.02736</TD>
-</TR>
-</TABLE>
-<BR>
-<DIV class=NOPRINT>
-<HR>
-<TABLE BORDER=0 WIDTH=100%>
-<TR>
-<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="XNOR2.html">Prev (XNOR2)</A></em></TD></TABLE>
-<TD ALIGN=RIGHT></TD>
-</TR></TABLE>
-</DIV>
-</BODY>
-</HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/celllist.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/celllist.html
deleted file mode 100644
index 9bcc7fb..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/celllist.html
+++ /dev/null
@@ -1,24 +0,0 @@
-<HTML><BODY TEXT="#000000"  LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><CENTER><H1>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Library</H1></CENTER><HR><BR>
-<TABLE BORDER=3 CELLSPACING=2 CELLPADDING=5  WIDTH=100%>
-<TR><TH>Cell Groups</th></TR>
-<TR><TH align=left><a href="ADDFx.html" target=celldata>ADDFx</a></TR>
-<TR><TH align=left><a href="ADDHx.html" target=celldata>ADDHx</a></TR>
-<TR><TH align=left><a href="AND2x.html" target=celldata>AND2x</a></TR>
-<TR><TH align=left><a href="ANT.html" target=celldata>ANT</a></TR>
-<TR><TH align=left><a href="AOI21.html" target=celldata>AOI21</a></TR>
-<TR><TH align=left><a href="BUFx.html" target=celldata>BUFx</a></TR>
-<TR><TH align=left><a href="DFFSRx.html" target=celldata>DFFSRx</a></TR>
-<TR><TH align=left><a href="DFFx.html" target=celldata>DFFx</a></TR>
-<TR><TH align=left><a href="INVx.html" target=celldata>INVx</a></TR>
-<TR><TH align=left><a href="NAND2x.html" target=celldata>NAND2x</a></TR>
-<TR><TH align=left><a href="NOR2x.html" target=celldata>NOR2x</a></TR>
-<TR><TH align=left><a href="OAI21.html" target=celldata>OAI21</a></TR>
-<TR><TH align=left><a href="OR2x.html" target=celldata>OR2x</a></TR>
-<TR><TH align=left><a href="TBUFIx.html" target=celldata>TBUFIx</a></TR>
-<TR><TH align=left><a href="TIEHI.html" target=celldata>TIEHI</a></TR>
-<TR><TH align=left><a href="TIELO.html" target=celldata>TIELO</a></TR>
-<TR><TH align=left><a href="TNBUFIx.html" target=celldata>TNBUFIx</a></TR>
-<TR><TH align=left><a href="XNOR2.html" target=celldata>XNOR2</a></TR>
-<TR><TH align=left><a href="XOR2.html" target=celldata>XOR2</a></TR>
-</TABLE>
-</BODY></HTML>
diff --git a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/index.html b/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/index.html
deleted file mode 100644
index 1dc722c..0000000
--- a/outputs/DATASHEET/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs/index.html
+++ /dev/null
@@ -1,9 +0,0 @@
-<HTML>
-<HEAD>
-<TITLE>sky130_osu_sc_18T_hs_TT_1P8_25C.ccs Library Datasheet</TITLE>
-</HEAD>
-<FRAMESET COLS="150,700">
-<FRAME NAME="celllist" SRC="celllist.html" SCROLLING=YES>
-<FRAME NAME="celldata" SRC="ADDFx.html" SCROLLING=YES>
-</FRAMESET>
-</HTML>
diff --git a/outputs/VERILOG/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.v b/outputs/VERILOG/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.v
deleted file mode 100644
index 0a17e67..0000000
--- a/outputs/VERILOG/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.v
+++ /dev/null
@@ -1,1060 +0,0 @@
-// Verilog for library /import/okita1/tdene/final_final/osugooglelib/char/liberate/VERILOG/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs created by Liberate 19.2.1.215 on Tue Sep 22 08:37:00 CDT 2020 for SDF version 2.1
-
-// type: ADDF 
-`timescale 1ns/10ps
-`celldefine
-module ADDFX1 (CO, CON, S, A, B, CI);
-	output CO, CON, S;
-	input A, B, CI;
-
-	// Function
-	wire A__bar, B__bar, CI__bar;
-	wire int_fwire_0, int_fwire_1, int_fwire_2;
-	wire int_fwire_3, int_fwire_4, int_fwire_5;
-	wire int_fwire_6, int_fwire_7, int_fwire_8;
-	wire int_fwire_9;
-
-	and (int_fwire_0, B, CI);
-	and (int_fwire_1, A, CI);
-	and (int_fwire_2, A, B);
-	or (CO, int_fwire_2, int_fwire_1, int_fwire_0);
-	not (CI__bar, CI);
-	not (B__bar, B);
-	and (int_fwire_3, B__bar, CI__bar);
-	not (A__bar, A);
-	and (int_fwire_4, A__bar, CI__bar);
-	and (int_fwire_5, A__bar, B__bar);
-	or (CON, int_fwire_5, int_fwire_4, int_fwire_3);
-	and (int_fwire_6, A__bar, B__bar, CI);
-	and (int_fwire_7, A__bar, B, CI__bar);
-	and (int_fwire_8, A, B__bar, CI__bar);
-	and (int_fwire_9, A, B, CI);
-	or (S, int_fwire_9, int_fwire_8, int_fwire_7, int_fwire_6);
-
-	// Timing
-	specify
-		if ((B & ~CI))
-			(A => CO) = 0;
-		if ((~B & CI))
-			(A => CO) = 0;
-		ifnone (A => CO) = 0;
-		if ((A & ~CI))
-			(B => CO) = 0;
-		if ((~A & CI))
-			(B => CO) = 0;
-		ifnone (B => CO) = 0;
-		if ((A & ~B))
-			(CI => CO) = 0;
-		if ((~A & B))
-			(CI => CO) = 0;
-		ifnone (CI => CO) = 0;
-		if ((B & ~CI))
-			(A => CON) = 0;
-		if ((~B & CI))
-			(A => CON) = 0;
-		ifnone (A => CON) = 0;
-		if ((A & ~CI))
-			(B => CON) = 0;
-		if ((~A & CI))
-			(B => CON) = 0;
-		ifnone (B => CON) = 0;
-		if ((A & ~B))
-			(CI => CON) = 0;
-		if ((~A & B))
-			(CI => CON) = 0;
-		ifnone (CI => CON) = 0;
-		if ((B & CI))
-			(A => S) = 0;
-		if ((~B & ~CI))
-			(A => S) = 0;
-		ifnone (A => S) = 0;
-		if ((B & ~CI))
-			(A => S) = 0;
-		if ((~B & CI))
-			(A => S) = 0;
-		if ((A & CI))
-			(B => S) = 0;
-		if ((~A & ~CI))
-			(B => S) = 0;
-		ifnone (B => S) = 0;
-		if ((A & ~CI))
-			(B => S) = 0;
-		if ((~A & CI))
-			(B => S) = 0;
-		if ((A & B))
-			(CI => S) = 0;
-		if ((~A & ~B))
-			(CI => S) = 0;
-		ifnone (CI => S) = 0;
-		if ((A & ~B))
-			(CI => S) = 0;
-		if ((~A & B))
-			(CI => S) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AND2 
-`timescale 1ns/10ps
-`celldefine
-module AND2X1 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	and (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AND2 
-`timescale 1ns/10ps
-`celldefine
-module AND2X2 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	and (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: ANT 
-`timescale 1ns/10ps
-`celldefine
-module ANT (A);
-	input A;
-	// Timing
-	specify
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AOI21 
-`timescale 1ns/10ps
-`celldefine
-module AOI21XL (Y, A0, A1, B0);
-	output Y;
-	input A0, A1, B0;
-
-	// Function
-	wire A0__bar, A1__bar, B0__bar;
-	wire int_fwire_0, int_fwire_1;
-
-	not (B0__bar, B0);
-	not (A1__bar, A1);
-	and (int_fwire_0, A1__bar, B0__bar);
-	not (A0__bar, A0);
-	and (int_fwire_1, A0__bar, B0__bar);
-	or (Y, int_fwire_1, int_fwire_0);
-
-	// Timing
-	specify
-		(A0 => Y) = 0;
-		(A1 => Y) = 0;
-		if ((A0 & ~A1))
-			(B0 => Y) = 0;
-		if ((~A0 & A1))
-			(B0 => Y) = 0;
-		if ((~A0 & ~A1))
-			(B0 => Y) = 0;
-		ifnone (B0 => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFX1 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFX2 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFX4 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: DFFSR 
-`timescale 1ns/10ps
-`celldefine
-module DFFSRX1 (Q, QN, D, RN, SN, CK);
-	output Q, QN;
-	input D, RN, SN, CK;
-	reg notifier;
-	wire delayed_D, delayed_RN, delayed_SN, delayed_CK;
-
-	// Function
-	wire int_fwire_IQ, int_fwire_IQN, int_fwire_r;
-	wire int_fwire_s, xcr_0;
-
-	not (int_fwire_s, delayed_SN);
-	not (int_fwire_r, delayed_RN);
-	altos_dff_sr_err (xcr_0, delayed_CK, delayed_D, int_fwire_s, int_fwire_r);
-	altos_dff_sr_0 (int_fwire_IQ, notifier, delayed_CK, delayed_D, int_fwire_s, int_fwire_r, xcr_0);
-	buf (Q, int_fwire_IQ);
-	not (int_fwire_IQN, int_fwire_IQ);
-	buf (QN, int_fwire_IQN);
-
-	// Timing
-
-	// Additional timing wires
-	wire adacond0, adacond1, adacond2;
-	wire adacond3, adacond4, adacond5;
-	wire adacond6, adacond7, adacond8;
-	wire CK__bar, D__bar;
-
-
-	// Additional timing gates
-	and (adacond0, RN, SN);
-	and (adacond1, D, SN);
-	and (adacond2, CK, SN);
-	not (CK__bar, CK);
-	and (adacond3, CK__bar, SN);
-	not (D__bar, D);
-	and (adacond4, D__bar, RN);
-	and (adacond5, CK, RN);
-	and (adacond6, CK__bar, RN);
-	and (adacond7, D, RN, SN);
-	and (adacond8, D__bar, RN, SN);
-
-	specify
-		if ((CK & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((CK & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & D & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & D & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & ~D & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & ~D & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		ifnone (negedge RN => (Q+:1'b0)) = 0;
-		if ((CK & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		if ((~CK & D & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		if ((~CK & ~D & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		ifnone (posedge RN => (Q+:1'b1)) = 0;
-		if ((CK & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		if ((~CK & D & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		if ((~CK & ~D & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		ifnone (negedge SN => (Q+:1'b1)) = 0;
-		(posedge CK => (Q+:D)) = 0;
-		if ((CK & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		if ((~CK & D & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		if ((~CK & ~D & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		ifnone (posedge RN => (QN-:1'b1)) = 0;
-		if ((CK & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((CK & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & D & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & D & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & ~D & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & ~D & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		ifnone (negedge RN => (QN-:1'b0)) = 0;
-		if ((CK & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		if ((~CK & D & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		if ((~CK & ~D & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		ifnone (negedge SN => (QN-:1'b1)) = 0;
-		(posedge CK => (QN-:D)) = 0;
-		$setuphold (posedge CK &&& adacond0, posedge D &&& adacond0, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK &&& adacond0, negedge D &&& adacond0, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, posedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, negedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge SN &&& CK, posedge RN &&& CK, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$setuphold (posedge SN &&& ~CK, posedge RN &&& ~CK, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$setuphold (posedge SN, posedge RN, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$recovery (posedge RN &&& adacond1, posedge CK &&& adacond1, 0, notifier);
-		$recovery (posedge RN, posedge CK, 0, notifier);
-		$hold (posedge CK &&& adacond1, posedge RN &&& adacond1, 0, notifier);
-		$hold (posedge CK, posedge RN, 0, notifier);
-		$recovery (posedge SN &&& adacond4, posedge CK &&& adacond4, 0, notifier);
-		$recovery (posedge SN, posedge CK, 0, notifier);
-		$hold (posedge CK &&& adacond4, posedge SN &&& adacond4, 0, notifier);
-		$hold (posedge CK, posedge SN, 0, notifier);
-		$width (negedge RN &&& adacond2, 0, 0, notifier);
-		$width (negedge RN &&& adacond3, 0, 0, notifier);
-		$width (negedge SN &&& adacond5, 0, 0, notifier);
-		$width (negedge SN &&& adacond6, 0, 0, notifier);
-		$width (posedge CK &&& adacond7, 0, 0, notifier);
-		$width (negedge CK &&& adacond7, 0, 0, notifier);
-		$width (posedge CK &&& adacond8, 0, 0, notifier);
-		$width (negedge CK &&& adacond8, 0, 0, notifier);
-	endspecify
-endmodule
-`endcelldefine
-
-// type: DFF 
-`timescale 1ns/10ps
-`celldefine
-module DFFX1 (Q, QN, D, CK);
-	output Q, QN;
-	input D, CK;
-	reg notifier;
-	wire delayed_D, delayed_CK;
-
-	// Function
-	wire int_fwire_IQ, int_fwire_IQN, xcr_0;
-
-	altos_dff_err (xcr_0, delayed_CK, delayed_D);
-	altos_dff (int_fwire_IQ, notifier, delayed_CK, delayed_D, xcr_0);
-	buf (Q, int_fwire_IQ);
-	not (int_fwire_IQN, int_fwire_IQ);
-	buf (QN, int_fwire_IQN);
-
-	// Timing
-	specify
-		(posedge CK => (Q+:D)) = 0;
-		(posedge CK => (QN-:D)) = 0;
-		$setuphold (posedge CK, posedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, negedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$width (posedge CK &&& D, 0, 0, notifier);
-		$width (negedge CK &&& D, 0, 0, notifier);
-		$width (posedge CK &&& ~D, 0, 0, notifier);
-		$width (negedge CK &&& ~D, 0, 0, notifier);
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX1 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX2 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX4 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX8 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: NAND2 
-`timescale 1ns/10ps
-`celldefine
-module NAND2X1 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar;
-
-	not (B__bar, B);
-	not (A__bar, A);
-	or (Y, A__bar, B__bar);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: NOR2 
-`timescale 1ns/10ps
-`celldefine
-module NOR2X1 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar;
-
-	not (B__bar, B);
-	not (A__bar, A);
-	and (Y, A__bar, B__bar);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OAI21 
-`timescale 1ns/10ps
-`celldefine
-module OAI21XL (Y, A0, A1, B0);
-	output Y;
-	input A0, A1, B0;
-
-	// Function
-	wire A0__bar, A1__bar, B0__bar;
-	wire int_fwire_0;
-
-	not (B0__bar, B0);
-	not (A1__bar, A1);
-	not (A0__bar, A0);
-	and (int_fwire_0, A0__bar, A1__bar);
-	or (Y, int_fwire_0, B0__bar);
-
-	// Timing
-	specify
-		(A0 => Y) = 0;
-		(A1 => Y) = 0;
-		if ((A0 & A1))
-			(B0 => Y) = 0;
-		if ((A0 & ~A1))
-			(B0 => Y) = 0;
-		if ((~A0 & A1))
-			(B0 => Y) = 0;
-		ifnone (B0 => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OR2 
-`timescale 1ns/10ps
-`celldefine
-module OR2X1 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	or (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OR2 
-`timescale 1ns/10ps
-`celldefine
-module OR2X2 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	or (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TBUFI 
-`timescale 1ns/10ps
-`celldefine
-module TBUFIX1 (Y, A, OE);
-	output Y;
-	input A, OE;
-
-	// Function
-	notif1 (Y, A, OE);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(OE => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TIEHI 
-`timescale 1ns/10ps
-`celldefine
-module TIEHI (Y);
-	output Y;
-
-	// Function
-	buf (Y, 1'b1);
-
-	// Timing
-	specify
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TIELO 
-`timescale 1ns/10ps
-`celldefine
-module TIELO (Y);
-	output Y;
-
-	// Function
-	buf (Y, 1'b0);
-
-	// Timing
-	specify
-	endspecify
-endmodule
-`endcelldefine
-
-// type: XNOR2 
-`timescale 1ns/10ps
-`celldefine
-module XNOR2XL (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar, int_fwire_0;
-	wire int_fwire_1;
-
-	not (B__bar, B);
-	not (A__bar, A);
-	and (int_fwire_0, A__bar, B__bar);
-	and (int_fwire_1, A, B);
-	or (Y, int_fwire_1, int_fwire_0);
-
-	// Timing
-	specify
-		if (B)
-			(A => Y) = 0;
-		if (~B)
-			(A => Y) = 0;
-		if (A)
-			(B => Y) = 0;
-		if (~A)
-			(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: XOR2 
-`timescale 1ns/10ps
-`celldefine
-module XOR2XL (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar, int_fwire_0;
-	wire int_fwire_1;
-
-	not (A__bar, A);
-	and (int_fwire_0, A__bar, B);
-	not (B__bar, B);
-	and (int_fwire_1, A, B__bar);
-	or (Y, int_fwire_1, int_fwire_0);
-
-	// Timing
-	specify
-		if (~B)
-			(A => Y) = 0;
-		if (B)
-			(A => Y) = 0;
-		if (~A)
-			(B => Y) = 0;
-		if (A)
-			(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-
-`ifdef _udp_def_altos_latch_
-`else
-`define _udp_def_altos_latch_
-primitive altos_latch (q, v, clk, d);
-	output q;
-	reg q;
-	input v, clk, d;
-
-	table
-		* ? ? : ? : x;
-		? 1 0 : ? : 0;
-		? 1 1 : ? : 1;
-		? x 0 : 0 : -;
-		? x 1 : 1 : -;
-		? 0 ? : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_err_
-`else
-`define _udp_def_altos_dff_err_
-primitive altos_dff_err (q, clk, d);
-	output q;
-	reg q;
-	input clk, d;
-
-	table
-		(0x) ? : ? : 0;
-		(1x) ? : ? : 1;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_
-`else
-`define _udp_def_altos_dff_
-primitive altos_dff (q, v, clk, d, xcr);
-	output q;
-	reg q;
-	input v, clk, d, xcr;
-
-	table
-		*  ?   ? ? : ? : x;
-		? (x1) 0 0 : ? : 0;
-		? (x1) 1 0 : ? : 1;
-		? (x1) 0 1 : 0 : 0;
-		? (x1) 1 1 : 1 : 1;
-		? (x1) ? x : ? : -;
-		? (bx) 0 ? : 0 : -;
-		? (bx) 1 ? : 1 : -;
-		? (x0) b ? : ? : -;
-		? (x0) ? x : ? : -;
-		? (01) 0 ? : ? : 0;
-		? (01) 1 ? : ? : 1;
-		? (10) ? ? : ? : -;
-		?  b   * ? : ? : -;
-		?  ?   ? * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_r_err_
-`else
-`define _udp_def_altos_dff_r_err_
-primitive altos_dff_r_err (q, clk, d, r);
-	output q;
-	reg q;
-	input clk, d, r;
-
-	table
-		 ?   0 (0x) : ? : -;
-		 ?   0 (x0) : ? : -;
-		(0x) ?  0   : ? : 0;
-		(0x) 0  x   : ? : 0;
-		(1x) ?  0   : ? : 1;
-		(1x) 0  x   : ? : 1;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_r_
-`else
-`define _udp_def_altos_dff_r_
-primitive altos_dff_r (q, v, clk, d, r, xcr);
-	output q;
-	reg q;
-	input v, clk, d, r, xcr;
-
-	table
-		*  ?   ?  ?   ? : ? : x;
-		?  ?   ?  1   ? : ? : 0;
-		?  b   ? (1?) ? : 0 : -;
-		?  x   0 (1?) ? : 0 : -;
-		?  ?   ? (10) ? : ? : -;
-		?  ?   ? (x0) ? : ? : -;
-		?  ?   ? (0x) ? : 0 : -;
-		? (x1) 0  ?   0 : ? : 0;
-		? (x1) 1  0   0 : ? : 1;
-		? (x1) 0  ?   1 : 0 : 0;
-		? (x1) 1  0   1 : 1 : 1;
-		? (x1) ?  ?   x : ? : -;
-		? (bx) 0  ?   ? : 0 : -;
-		? (bx) 1  0   ? : 1 : -;
-		? (x0) 0  ?   ? : ? : -;
-		? (x0) 1  0   ? : ? : -;
-		? (x0) ?  0   x : ? : -;
-		? (01) 0  ?   ? : ? : 0;
-		? (01) 1  0   ? : ? : 1;
-		? (10) ?  ?   ? : ? : -;
-		?  b   *  ?   ? : ? : -;
-		?  ?   ?  ?   * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_s_err_
-`else
-`define _udp_def_altos_dff_s_err_
-primitive altos_dff_s_err (q, clk, d, s);
-	output q;
-	reg q;
-	input clk, d, s;
-
-	table
-		 ?   1 (0x) : ? : -;
-		 ?   1 (x0) : ? : -;
-		(0x) ?  0   : ? : 0;
-		(0x) 1  x   : ? : 0;
-		(1x) ?  0   : ? : 1;
-		(1x) 1  x   : ? : 1;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_s_
-`else
-`define _udp_def_altos_dff_s_
-primitive altos_dff_s (q, v, clk, d, s, xcr);
-	output q;
-	reg q;
-	input v, clk, d, s, xcr;
-
-	table
-		*  ?   ?  ?   ? : ? : x;
-		?  ?   ?  1   ? : ? : 1;
-		?  b   ? (1?) ? : 1 : -;
-		?  x   1 (1?) ? : 1 : -;
-		?  ?   ? (10) ? : ? : -;
-		?  ?   ? (x0) ? : ? : -;
-		?  ?   ? (0x) ? : 1 : -;
-		? (x1) 0  0   0 : ? : 0;
-		? (x1) 1  ?   0 : ? : 1;
-		? (x1) 1  ?   1 : 1 : 1;
-		? (x1) 0  0   1 : 0 : 0;
-		? (x1) ?  ?   x : ? : -;
-		? (bx) 1  ?   ? : 1 : -;
-		? (bx) 0  0   ? : 0 : -;
-		? (x0) 1  ?   ? : ? : -;
-		? (x0) 0  0   ? : ? : -;
-		? (x0) ?  0   x : ? : -;
-		? (01) 1  ?   ? : ? : 1;
-		? (01) 0  0   ? : ? : 0;
-		? (10) ?  ?   ? : ? : -;
-		?  b   *  ?   ? : ? : -;
-		?  ?   ?  ?   * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_sr_err_
-`else
-`define _udp_def_altos_dff_sr_err_
-primitive altos_dff_sr_err (q, clk, d, s, r);
-	output q;
-	reg q;
-	input clk, d, s, r;
-
-	table
-		 ?   1 (0x)  ?   : ? : -;
-		 ?   0  ?   (0x) : ? : -;
-		 ?   0  ?   (x0) : ? : -;
-		(0x) ?  0    0   : ? : 0;
-		(0x) 1  x    0   : ? : 0;
-		(0x) 0  0    x   : ? : 0;
-		(1x) ?  0    0   : ? : 1;
-		(1x) 1  x    0   : ? : 1;
-		(1x) 0  0    x   : ? : 1;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_sr_0
-`else
-`define _udp_def_altos_dff_sr_0
-primitive altos_dff_sr_0 (q, v, clk, d, s, r, xcr);
-	output q;
-	reg q;
-	input v, clk, d, s, r, xcr;
-
-	table
-	//	v,  clk, d, s, r : q' : q;
-
-		*  ?   ?   ?   ?   ? : ? : x;
-		?  ?   ?   ?   1   ? : ? : 0;
-		?  ?   ?   1   0   ? : ? : 1;
-		?  b   ? (1?)  0   ? : 1 : -;
-		?  x   1 (1?)  0   ? : 1 : -;
-		?  ?   ? (10)  0   ? : ? : -;
-		?  ?   ? (x0)  0   ? : ? : -;
-		?  ?   ? (0x)  0   ? : 1 : -;
-		?  b   ?  0   (1?) ? : 0 : -;
-		?  x   0  0   (1?) ? : 0 : -;
-		?  ?   ?  0   (10) ? : ? : -;
-		?  ?   ?  0   (x0) ? : ? : -;
-		?  ?   ?  0   (0x) ? : 0 : -;
-		? (x1) 0  0    ?   0 : ? : 0;
-		? (x1) 1  ?    0   0 : ? : 1;
-		? (x1) 0  0    ?   1 : 0 : 0;
-		? (x1) 1  ?    0   1 : 1 : 1;
-		? (x1) ?  ?    0   x : ? : -;
-		? (x1) ?  0    ?   x : ? : -;
-		? (1x) 0  0    ?   ? : 0 : -;
-		? (1x) 1  ?    0   ? : 1 : -;
-		? (x0) 0  0    ?   ? : ? : -;
-		? (x0) 1  ?    0   ? : ? : -;
-		? (x0) ?  0    0   x : ? : -;
-		? (0x) 0  0    ?   ? : 0 : -;
-		? (0x) 1  ?    0   ? : 1 : -;
-		? (01) 0  0    ?   ? : ? : 0;
-		? (01) 1  ?    0   ? : ? : 1;
-		? (10) ?  0    ?   ? : ? : -;
-		? (10) ?  ?    0   ? : ? : -;
-		?  b   *  0    ?   ? : ? : -;
-		?  b   *  ?    0   ? : ? : -;
-		?  ?   ?  ?    ?   * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_sr_1
-`else
-`define _udp_def_altos_dff_sr_1
-primitive altos_dff_sr_1 (q, v, clk, d, s, r, xcr);
-	output q;
-	reg q;
-	input v, clk, d, s, r, xcr;
-
-	table
-	//	v,  clk, d, s, r : q' : q;
-
-		*  ?   ?   ?   ?   ? : ? : x;
-		?  ?   ?   0   1   ? : ? : 0;
-		?  ?   ?   1   ?   ? : ? : 1;
-		?  b   ? (1?)  0   ? : 1 : -;
-		?  x   1 (1?)  0   ? : 1 : -;
-		?  ?   ? (10)  0   ? : ? : -;
-		?  ?   ? (x0)  0   ? : ? : -;
-		?  ?   ? (0x)  0   ? : 1 : -;
-		?  b   ?  0   (1?) ? : 0 : -;
-		?  x   0  0   (1?) ? : 0 : -;
-		?  ?   ?  0   (10) ? : ? : -;
-		?  ?   ?  0   (x0) ? : ? : -;
-		?  ?   ?  0   (0x) ? : 0 : -;
-		? (x1) 0  0    ?   0 : ? : 0;
-		? (x1) 1  ?    0   0 : ? : 1;
-		? (x1) 0  0    ?   1 : 0 : 0;
-		? (x1) 1  ?    0   1 : 1 : 1;
-		? (x1) ?  ?    0   x : ? : -;
-		? (x1) ?  0    ?   x : ? : -;
-		? (1x) 0  0    ?   ? : 0 : -;
-		? (1x) 1  ?    0   ? : 1 : -;
-		? (x0) 0  0    ?   ? : ? : -;
-		? (x0) 1  ?    0   ? : ? : -;
-		? (x0) ?  0    0   x : ? : -;
-		? (0x) 0  0    ?   ? : 0 : -;
-		? (0x) 1  ?    0   ? : 1 : -;
-		? (01) 0  0    ?   ? : ? : 0;
-		? (01) 1  ?    0   ? : ? : 1;
-		? (10) ?  0    ?   ? : ? : -;
-		? (10) ?  ?    0   ? : ? : -;
-		?  b   *  0    ?   ? : ? : -;
-		?  b   *  ?    0   ? : ? : -;
-		?  ?   ?  ?    ?   * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_latch_r_
-`else
-`define _udp_def_altos_latch_r_
-primitive altos_latch_r (q, v, clk, d, r);
-	output q;
-	reg q;
-	input v, clk, d, r;
-
-	table
-		* ? ? ? : ? : x;
-		? ? ? 1 : ? : 0;
-		? 0 ? 0 : ? : -;
-		? 0 ? x : 0 : -;
-		? 1 0 0 : ? : 0;
-		? 1 0 x : ? : 0;
-		? 1 1 0 : ? : 1;
-		? x 0 0 : 0 : -;
-		? x 0 x : 0 : -;
-		? x 1 0 : 1 : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_latch_s_
-`else
-`define _udp_def_altos_latch_s_
-primitive altos_latch_s (q, v, clk, d, s);
-	output q;
-	reg q;
-	input v, clk, d, s;
-
-	table
-		* ? ? ? : ? : x;
-		? ? ? 1 : ? : 1;
-		? 0 ? 0 : ? : -;
-		? 0 ? x : 1 : -;
-		? 1 1 0 : ? : 1;
-		? 1 1 x : ? : 1;
-		? 1 0 0 : ? : 0;
-		? x 1 0 : 1 : -;
-		? x 1 x : 1 : -;
-		? x 0 0 : 0 : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_latch_sr_0
-`else
-`define _udp_def_altos_latch_sr_0
-primitive altos_latch_sr_0 (q, v, clk, d, s, r);
-	output q;
-	reg q;
-	input v, clk, d, s, r;
-
-	table
-		* ? ? ? ? : ? : x;
-		? 1 1 ? 0 : ? : 1;
-		? 1 0 0 ? : ? : 0;
-		? ? ? 1 0 : ? : 1;
-		? ? ? ? 1 : ? : 0;
-		? 0 * ? ? : ? : -;
-		? 0 ? * 0 : 1 : 1;
-		? 0 ? 0 * : 0 : 0;
-		? * 1 ? 0 : 1 : 1;
-		? * 0 0 ? : 0 : 0;
-		? ? 1 * 0 : 1 : 1;
-		? ? 0 0 * : 0 : 0;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_latch_sr_1
-`else
-`define _udp_def_altos_latch_sr_1
-primitive altos_latch_sr_1 (q, v, clk, d, s, r);
-	output q;
-	reg q;
-	input v, clk, d, s, r;
-
-	table
-		* ? ? ? ? : ? : x;
-		? 1 1 ? 0 : ? : 1;
-		? 1 0 0 ? : ? : 0;
-		? ? ? 1 ? : ? : 1;
-		? ? ? 0 1 : ? : 0;
-		? 0 * ? ? : ? : -;
-		? 0 ? * 0 : 1 : 1;
-		? 0 ? 0 * : 0 : 0;
-		? * 1 ? 0 : 1 : 1;
-		? * 0 0 ? : 0 : 0;
-		? ? 1 * 0 : 1 : 1;
-		? ? 0 0 * : 0 : 0;
-	endtable
-endprimitive
-`endif
diff --git a/outputs/VERILOG/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.v b/outputs/VERILOG/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.v
deleted file mode 100644
index 8254337..0000000
--- a/outputs/VERILOG/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.v
+++ /dev/null
@@ -1,1744 +0,0 @@
-// Verilog for library /import/okita1/tdene/final_final/osugooglelib/char/liberate/VERILOG/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs created by Liberate 19.2.1.215 on Tue Sep 22 03:49:39 CDT 2020 for SDF version 2.1
-
-// type: ADDF 
-`timescale 1ns/10ps
-`celldefine
-module ADDFX1 (CO, CON, S, A, B, CI);
-	output CO, CON, S;
-	input A, B, CI;
-
-	// Function
-	wire A__bar, B__bar, CI__bar;
-	wire int_fwire_0, int_fwire_1, int_fwire_2;
-	wire int_fwire_3, int_fwire_4, int_fwire_5;
-	wire int_fwire_6, int_fwire_7, int_fwire_8;
-	wire int_fwire_9;
-
-	and (int_fwire_0, B, CI);
-	and (int_fwire_1, A, CI);
-	and (int_fwire_2, A, B);
-	or (CO, int_fwire_2, int_fwire_1, int_fwire_0);
-	not (CI__bar, CI);
-	not (B__bar, B);
-	and (int_fwire_3, B__bar, CI__bar);
-	not (A__bar, A);
-	and (int_fwire_4, A__bar, CI__bar);
-	and (int_fwire_5, A__bar, B__bar);
-	or (CON, int_fwire_5, int_fwire_4, int_fwire_3);
-	and (int_fwire_6, A__bar, B__bar, CI);
-	and (int_fwire_7, A__bar, B, CI__bar);
-	and (int_fwire_8, A, B__bar, CI__bar);
-	and (int_fwire_9, A, B, CI);
-	or (S, int_fwire_9, int_fwire_8, int_fwire_7, int_fwire_6);
-
-	// Timing
-	specify
-		if ((B & ~CI))
-			(A => CO) = 0;
-		if ((~B & CI))
-			(A => CO) = 0;
-		ifnone (A => CO) = 0;
-		if ((A & ~CI))
-			(B => CO) = 0;
-		if ((~A & CI))
-			(B => CO) = 0;
-		ifnone (B => CO) = 0;
-		if ((A & ~B))
-			(CI => CO) = 0;
-		if ((~A & B))
-			(CI => CO) = 0;
-		ifnone (CI => CO) = 0;
-		if ((B & ~CI))
-			(A => CON) = 0;
-		if ((~B & CI))
-			(A => CON) = 0;
-		ifnone (A => CON) = 0;
-		if ((A & ~CI))
-			(B => CON) = 0;
-		if ((~A & CI))
-			(B => CON) = 0;
-		ifnone (B => CON) = 0;
-		if ((A & ~B))
-			(CI => CON) = 0;
-		if ((~A & B))
-			(CI => CON) = 0;
-		ifnone (CI => CON) = 0;
-		if ((B & CI))
-			(A => S) = 0;
-		if ((~B & ~CI))
-			(A => S) = 0;
-		ifnone (A => S) = 0;
-		if ((B & ~CI))
-			(A => S) = 0;
-		if ((~B & CI))
-			(A => S) = 0;
-		if ((A & CI))
-			(B => S) = 0;
-		if ((~A & ~CI))
-			(B => S) = 0;
-		ifnone (B => S) = 0;
-		if ((A & ~CI))
-			(B => S) = 0;
-		if ((~A & CI))
-			(B => S) = 0;
-		if ((A & B))
-			(CI => S) = 0;
-		if ((~A & ~B))
-			(CI => S) = 0;
-		ifnone (CI => S) = 0;
-		if ((A & ~B))
-			(CI => S) = 0;
-		if ((~A & B))
-			(CI => S) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: ADDF 
-`timescale 1ns/10ps
-`celldefine
-module ADDFXL (CO, CON, S, A, B, CI);
-	output CO, CON, S;
-	input A, B, CI;
-
-	// Function
-	wire A__bar, B__bar, CI__bar;
-	wire int_fwire_0, int_fwire_1, int_fwire_2;
-	wire int_fwire_3, int_fwire_4, int_fwire_5;
-	wire int_fwire_6, int_fwire_7, int_fwire_8;
-	wire int_fwire_9;
-
-	and (int_fwire_0, B, CI);
-	and (int_fwire_1, A, CI);
-	and (int_fwire_2, A, B);
-	or (CO, int_fwire_2, int_fwire_1, int_fwire_0);
-	not (CI__bar, CI);
-	not (B__bar, B);
-	and (int_fwire_3, B__bar, CI__bar);
-	not (A__bar, A);
-	and (int_fwire_4, A__bar, CI__bar);
-	and (int_fwire_5, A__bar, B__bar);
-	or (CON, int_fwire_5, int_fwire_4, int_fwire_3);
-	and (int_fwire_6, A__bar, B__bar, CI);
-	and (int_fwire_7, A__bar, B, CI__bar);
-	and (int_fwire_8, A, B__bar, CI__bar);
-	and (int_fwire_9, A, B, CI);
-	or (S, int_fwire_9, int_fwire_8, int_fwire_7, int_fwire_6);
-
-	// Timing
-	specify
-		if ((B & ~CI))
-			(A => CO) = 0;
-		if ((~B & CI))
-			(A => CO) = 0;
-		ifnone (A => CO) = 0;
-		if ((A & ~CI))
-			(B => CO) = 0;
-		if ((~A & CI))
-			(B => CO) = 0;
-		ifnone (B => CO) = 0;
-		if ((A & ~B))
-			(CI => CO) = 0;
-		if ((~A & B))
-			(CI => CO) = 0;
-		ifnone (CI => CO) = 0;
-		if ((B & ~CI))
-			(A => CON) = 0;
-		if ((~B & CI))
-			(A => CON) = 0;
-		ifnone (A => CON) = 0;
-		if ((A & ~CI))
-			(B => CON) = 0;
-		if ((~A & CI))
-			(B => CON) = 0;
-		ifnone (B => CON) = 0;
-		if ((A & ~B))
-			(CI => CON) = 0;
-		if ((~A & B))
-			(CI => CON) = 0;
-		ifnone (CI => CON) = 0;
-		if ((B & CI))
-			(A => S) = 0;
-		if ((~B & ~CI))
-			(A => S) = 0;
-		ifnone (A => S) = 0;
-		if ((B & ~CI))
-			(A => S) = 0;
-		if ((~B & CI))
-			(A => S) = 0;
-		if ((A & CI))
-			(B => S) = 0;
-		if ((~A & ~CI))
-			(B => S) = 0;
-		ifnone (B => S) = 0;
-		if ((A & ~CI))
-			(B => S) = 0;
-		if ((~A & CI))
-			(B => S) = 0;
-		if ((A & B))
-			(CI => S) = 0;
-		if ((~A & ~B))
-			(CI => S) = 0;
-		ifnone (CI => S) = 0;
-		if ((A & ~B))
-			(CI => S) = 0;
-		if ((~A & B))
-			(CI => S) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: ADDH 
-`timescale 1ns/10ps
-`celldefine
-module ADDHX1 (CO, CON, S, A, B);
-	output CO, CON, S;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar, int_fwire_0;
-	wire int_fwire_1, int_fwire_2;
-
-	and (CO, A, B);
-	not (B__bar, B);
-	not (A__bar, A);
-	and (int_fwire_0, A__bar, B__bar);
-	or (CON, CO, int_fwire_0);
-	and (int_fwire_1, A__bar, B);
-	and (int_fwire_2, A, B__bar);
-	or (S, int_fwire_2, int_fwire_1);
-
-	// Timing
-	specify
-		(A => CO) = 0;
-		(B => CO) = 0;
-		if (B)
-			(A => CON) = 0;
-		if (~B)
-			(A => CON) = 0;
-		if (A)
-			(B => CON) = 0;
-		if (~A)
-			(B => CON) = 0;
-		if (~B)
-			(A => S) = 0;
-		if (B)
-			(A => S) = 0;
-		if (~A)
-			(B => S) = 0;
-		if (A)
-			(B => S) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: ADDH 
-`timescale 1ns/10ps
-`celldefine
-module ADDHXL (CO, CON, S, A, B);
-	output CO, CON, S;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar, int_fwire_0;
-	wire int_fwire_1, int_fwire_2;
-
-	and (CO, A, B);
-	not (B__bar, B);
-	not (A__bar, A);
-	and (int_fwire_0, A__bar, B__bar);
-	or (CON, CO, int_fwire_0);
-	and (int_fwire_1, A__bar, B);
-	and (int_fwire_2, A, B__bar);
-	or (S, int_fwire_2, int_fwire_1);
-
-	// Timing
-	specify
-		(A => CO) = 0;
-		(B => CO) = 0;
-		if (B)
-			(A => CON) = 0;
-		if (~B)
-			(A => CON) = 0;
-		if (A)
-			(B => CON) = 0;
-		if (~A)
-			(B => CON) = 0;
-		if (~B)
-			(A => S) = 0;
-		if (B)
-			(A => S) = 0;
-		if (~A)
-			(B => S) = 0;
-		if (A)
-			(B => S) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AND2 
-`timescale 1ns/10ps
-`celldefine
-module AND2X1 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	and (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AND2 
-`timescale 1ns/10ps
-`celldefine
-module AND2X2 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	and (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AND2 
-`timescale 1ns/10ps
-`celldefine
-module AND2X4 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	and (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AND2 
-`timescale 1ns/10ps
-`celldefine
-module AND2X6 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	and (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AND2 
-`timescale 1ns/10ps
-`celldefine
-module AND2X8 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	and (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AND2 
-`timescale 1ns/10ps
-`celldefine
-module AND2XL (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	and (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: ANT 
-`timescale 1ns/10ps
-`celldefine
-module ANT (A);
-	input A;
-	// Timing
-	specify
-	endspecify
-endmodule
-`endcelldefine
-
-// type: AOI21 
-`timescale 1ns/10ps
-`celldefine
-module AOI21XL (Y, A0, A1, B0);
-	output Y;
-	input A0, A1, B0;
-
-	// Function
-	wire A0__bar, A1__bar, B0__bar;
-	wire int_fwire_0, int_fwire_1;
-
-	not (B0__bar, B0);
-	not (A1__bar, A1);
-	and (int_fwire_0, A1__bar, B0__bar);
-	not (A0__bar, A0);
-	and (int_fwire_1, A0__bar, B0__bar);
-	or (Y, int_fwire_1, int_fwire_0);
-
-	// Timing
-	specify
-		(A0 => Y) = 0;
-		(A1 => Y) = 0;
-		if ((A0 & ~A1))
-			(B0 => Y) = 0;
-		if ((~A0 & A1))
-			(B0 => Y) = 0;
-		if ((~A0 & ~A1))
-			(B0 => Y) = 0;
-		ifnone (B0 => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFX1 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFX2 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFX4 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFX6 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFX8 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: BUF 
-`timescale 1ns/10ps
-`celldefine
-module BUFXL (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	buf (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: DFFSR 
-`timescale 1ns/10ps
-`celldefine
-module DFFSRX1 (Q, QN, D, RN, SN, CK);
-	output Q, QN;
-	input D, RN, SN, CK;
-	reg notifier;
-	wire delayed_D, delayed_RN, delayed_SN, delayed_CK;
-
-	// Function
-	wire int_fwire_IQ, int_fwire_IQN, int_fwire_r;
-	wire int_fwire_s, xcr_0;
-
-	not (int_fwire_s, delayed_SN);
-	not (int_fwire_r, delayed_RN);
-	altos_dff_sr_err (xcr_0, delayed_CK, delayed_D, int_fwire_s, int_fwire_r);
-	altos_dff_sr_0 (int_fwire_IQ, notifier, delayed_CK, delayed_D, int_fwire_s, int_fwire_r, xcr_0);
-	buf (Q, int_fwire_IQ);
-	not (int_fwire_IQN, int_fwire_IQ);
-	buf (QN, int_fwire_IQN);
-
-	// Timing
-
-	// Additional timing wires
-	wire adacond0, adacond1, adacond2;
-	wire adacond3, adacond4, adacond5;
-	wire adacond6, adacond7, adacond8;
-	wire CK__bar, D__bar;
-
-
-	// Additional timing gates
-	and (adacond0, RN, SN);
-	and (adacond1, D, SN);
-	and (adacond2, CK, SN);
-	not (CK__bar, CK);
-	and (adacond3, CK__bar, SN);
-	not (D__bar, D);
-	and (adacond4, D__bar, RN);
-	and (adacond5, CK, RN);
-	and (adacond6, CK__bar, RN);
-	and (adacond7, D, RN, SN);
-	and (adacond8, D__bar, RN, SN);
-
-	specify
-		if ((CK & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((CK & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & D & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & D & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & ~D & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & ~D & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		ifnone (negedge RN => (Q+:1'b0)) = 0;
-		if ((CK & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		if ((~CK & D & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		if ((~CK & ~D & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		ifnone (posedge RN => (Q+:1'b1)) = 0;
-		if ((CK & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		if ((~CK & D & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		if ((~CK & ~D & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		ifnone (negedge SN => (Q+:1'b1)) = 0;
-		(posedge CK => (Q+:D)) = 0;
-		if ((CK & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		if ((~CK & D & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		if ((~CK & ~D & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		ifnone (posedge RN => (QN-:1'b1)) = 0;
-		if ((CK & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((CK & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & D & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & D & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & ~D & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & ~D & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		ifnone (negedge RN => (QN-:1'b0)) = 0;
-		if ((CK & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		if ((~CK & D & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		if ((~CK & ~D & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		ifnone (negedge SN => (QN-:1'b1)) = 0;
-		(posedge CK => (QN-:D)) = 0;
-		$setuphold (posedge CK &&& adacond0, posedge D &&& adacond0, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK &&& adacond0, negedge D &&& adacond0, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, posedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, negedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge SN &&& CK, posedge RN &&& CK, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$setuphold (posedge SN &&& ~CK, posedge RN &&& ~CK, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$setuphold (posedge SN, posedge RN, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$recovery (posedge RN &&& adacond1, posedge CK &&& adacond1, 0, notifier);
-		$recovery (posedge RN, posedge CK, 0, notifier);
-		$hold (posedge CK &&& adacond1, posedge RN &&& adacond1, 0, notifier);
-		$hold (posedge CK, posedge RN, 0, notifier);
-		$recovery (posedge SN &&& adacond4, posedge CK &&& adacond4, 0, notifier);
-		$recovery (posedge SN, posedge CK, 0, notifier);
-		$hold (posedge CK &&& adacond4, posedge SN &&& adacond4, 0, notifier);
-		$hold (posedge CK, posedge SN, 0, notifier);
-		$width (negedge RN &&& adacond2, 0, 0, notifier);
-		$width (negedge RN &&& adacond3, 0, 0, notifier);
-		$width (negedge SN &&& adacond5, 0, 0, notifier);
-		$width (negedge SN &&& adacond6, 0, 0, notifier);
-		$width (posedge CK &&& adacond7, 0, 0, notifier);
-		$width (negedge CK &&& adacond7, 0, 0, notifier);
-		$width (posedge CK &&& adacond8, 0, 0, notifier);
-		$width (negedge CK &&& adacond8, 0, 0, notifier);
-	endspecify
-endmodule
-`endcelldefine
-
-// type: DFFSR 
-`timescale 1ns/10ps
-`celldefine
-module DFFSRXL (Q, QN, D, RN, SN, CK);
-	output Q, QN;
-	input D, RN, SN, CK;
-	reg notifier;
-	wire delayed_D, delayed_RN, delayed_SN, delayed_CK;
-
-	// Function
-	wire int_fwire_IQ, int_fwire_IQN, int_fwire_r;
-	wire int_fwire_s, xcr_0;
-
-	not (int_fwire_s, delayed_SN);
-	not (int_fwire_r, delayed_RN);
-	altos_dff_sr_err (xcr_0, delayed_CK, delayed_D, int_fwire_s, int_fwire_r);
-	altos_dff_sr_0 (int_fwire_IQ, notifier, delayed_CK, delayed_D, int_fwire_s, int_fwire_r, xcr_0);
-	buf (Q, int_fwire_IQ);
-	not (int_fwire_IQN, int_fwire_IQ);
-	buf (QN, int_fwire_IQN);
-
-	// Timing
-
-	// Additional timing wires
-	wire adacond0, adacond1, adacond2;
-	wire adacond3, adacond4, adacond5;
-	wire adacond6, adacond7, adacond8;
-	wire CK__bar, D__bar;
-
-
-	// Additional timing gates
-	and (adacond0, RN, SN);
-	and (adacond1, D, SN);
-	and (adacond2, CK, SN);
-	not (CK__bar, CK);
-	and (adacond3, CK__bar, SN);
-	not (D__bar, D);
-	and (adacond4, D__bar, RN);
-	and (adacond5, CK, RN);
-	and (adacond6, CK__bar, RN);
-	and (adacond7, D, RN, SN);
-	and (adacond8, D__bar, RN, SN);
-
-	specify
-		if ((CK & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((CK & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & D & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & D & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & ~D & SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		if ((~CK & ~D & ~SN))
-			(negedge RN => (Q+:1'b0)) = 0;
-		ifnone (negedge RN => (Q+:1'b0)) = 0;
-		if ((CK & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		if ((~CK & D & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		if ((~CK & ~D & ~SN))
-			(posedge RN => (Q+:1'b1)) = 0;
-		ifnone (posedge RN => (Q+:1'b1)) = 0;
-		if ((CK & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		if ((~CK & D & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		if ((~CK & ~D & RN))
-			(negedge SN => (Q+:1'b1)) = 0;
-		ifnone (negedge SN => (Q+:1'b1)) = 0;
-		(posedge CK => (Q+:D)) = 0;
-		if ((CK & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		if ((~CK & D & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		if ((~CK & ~D & ~SN))
-			(posedge RN => (QN-:1'b1)) = 0;
-		ifnone (posedge RN => (QN-:1'b1)) = 0;
-		if ((CK & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((CK & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & D & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & D & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & ~D & SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		if ((~CK & ~D & ~SN))
-			(negedge RN => (QN-:1'b0)) = 0;
-		ifnone (negedge RN => (QN-:1'b0)) = 0;
-		if ((CK & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		if ((~CK & D & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		if ((~CK & ~D & RN))
-			(negedge SN => (QN-:1'b1)) = 0;
-		ifnone (negedge SN => (QN-:1'b1)) = 0;
-		(posedge CK => (QN-:D)) = 0;
-		$setuphold (posedge CK &&& adacond0, posedge D &&& adacond0, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK &&& adacond0, negedge D &&& adacond0, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, posedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, negedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge SN &&& CK, posedge RN &&& CK, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$setuphold (posedge SN &&& ~CK, posedge RN &&& ~CK, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$setuphold (posedge SN, posedge RN, 0, 0, notifier,,, delayed_SN, delayed_RN);
-		$recovery (posedge RN &&& adacond1, posedge CK &&& adacond1, 0, notifier);
-		$recovery (posedge RN, posedge CK, 0, notifier);
-		$hold (posedge CK &&& adacond1, posedge RN &&& adacond1, 0, notifier);
-		$hold (posedge CK, posedge RN, 0, notifier);
-		$recovery (posedge SN &&& adacond4, posedge CK &&& adacond4, 0, notifier);
-		$recovery (posedge SN, posedge CK, 0, notifier);
-		$hold (posedge CK &&& adacond4, posedge SN &&& adacond4, 0, notifier);
-		$hold (posedge CK, posedge SN, 0, notifier);
-		$width (negedge RN &&& adacond2, 0, 0, notifier);
-		$width (negedge RN &&& adacond3, 0, 0, notifier);
-		$width (negedge SN &&& adacond5, 0, 0, notifier);
-		$width (negedge SN &&& adacond6, 0, 0, notifier);
-		$width (posedge CK &&& adacond7, 0, 0, notifier);
-		$width (negedge CK &&& adacond7, 0, 0, notifier);
-		$width (posedge CK &&& adacond8, 0, 0, notifier);
-		$width (negedge CK &&& adacond8, 0, 0, notifier);
-	endspecify
-endmodule
-`endcelldefine
-
-// type: DFF 
-`timescale 1ns/10ps
-`celldefine
-module DFFX1 (Q, QN, D, CK);
-	output Q, QN;
-	input D, CK;
-	reg notifier;
-	wire delayed_D, delayed_CK;
-
-	// Function
-	wire int_fwire_IQ, int_fwire_IQN, xcr_0;
-
-	altos_dff_err (xcr_0, delayed_CK, delayed_D);
-	altos_dff (int_fwire_IQ, notifier, delayed_CK, delayed_D, xcr_0);
-	buf (Q, int_fwire_IQ);
-	not (int_fwire_IQN, int_fwire_IQ);
-	buf (QN, int_fwire_IQN);
-
-	// Timing
-	specify
-		(posedge CK => (Q+:D)) = 0;
-		(posedge CK => (QN-:D)) = 0;
-		$setuphold (posedge CK, posedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, negedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$width (posedge CK &&& D, 0, 0, notifier);
-		$width (negedge CK &&& D, 0, 0, notifier);
-		$width (posedge CK &&& ~D, 0, 0, notifier);
-		$width (negedge CK &&& ~D, 0, 0, notifier);
-	endspecify
-endmodule
-`endcelldefine
-
-// type: DFF 
-`timescale 1ns/10ps
-`celldefine
-module DFFXL (Q, QN, D, CK);
-	output Q, QN;
-	input D, CK;
-	reg notifier;
-	wire delayed_D, delayed_CK;
-
-	// Function
-	wire int_fwire_IQ, int_fwire_IQN, xcr_0;
-
-	altos_dff_err (xcr_0, delayed_CK, delayed_D);
-	altos_dff (int_fwire_IQ, notifier, delayed_CK, delayed_D, xcr_0);
-	buf (Q, int_fwire_IQ);
-	not (int_fwire_IQN, int_fwire_IQ);
-	buf (QN, int_fwire_IQN);
-
-	// Timing
-	specify
-		(posedge CK => (Q+:D)) = 0;
-		(posedge CK => (QN-:D)) = 0;
-		$setuphold (posedge CK, posedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$setuphold (posedge CK, negedge D, 0, 0, notifier,,, delayed_CK, delayed_D);
-		$width (posedge CK &&& D, 0, 0, notifier);
-		$width (negedge CK &&& D, 0, 0, notifier);
-		$width (posedge CK &&& ~D, 0, 0, notifier);
-		$width (negedge CK &&& ~D, 0, 0, notifier);
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX1 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX10 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX2 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX3 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX4 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX6 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVX8 (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: INV 
-`timescale 1ns/10ps
-`celldefine
-module INVXL (Y, A);
-	output Y;
-	input A;
-
-	// Function
-	not (Y, A);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: NAND2 
-`timescale 1ns/10ps
-`celldefine
-module NAND2X1 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar;
-
-	not (B__bar, B);
-	not (A__bar, A);
-	or (Y, A__bar, B__bar);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: NAND2 
-`timescale 1ns/10ps
-`celldefine
-module NAND2XL (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar;
-
-	not (B__bar, B);
-	not (A__bar, A);
-	or (Y, A__bar, B__bar);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: NOR2 
-`timescale 1ns/10ps
-`celldefine
-module NOR2X1 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar;
-
-	not (B__bar, B);
-	not (A__bar, A);
-	and (Y, A__bar, B__bar);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: NOR2 
-`timescale 1ns/10ps
-`celldefine
-module NOR2XL (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar;
-
-	not (B__bar, B);
-	not (A__bar, A);
-	and (Y, A__bar, B__bar);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OAI21 
-`timescale 1ns/10ps
-`celldefine
-module OAI21XL (Y, A0, A1, B0);
-	output Y;
-	input A0, A1, B0;
-
-	// Function
-	wire A0__bar, A1__bar, B0__bar;
-	wire int_fwire_0;
-
-	not (B0__bar, B0);
-	not (A1__bar, A1);
-	not (A0__bar, A0);
-	and (int_fwire_0, A0__bar, A1__bar);
-	or (Y, int_fwire_0, B0__bar);
-
-	// Timing
-	specify
-		(A0 => Y) = 0;
-		(A1 => Y) = 0;
-		if ((A0 & A1))
-			(B0 => Y) = 0;
-		if ((A0 & ~A1))
-			(B0 => Y) = 0;
-		if ((~A0 & A1))
-			(B0 => Y) = 0;
-		ifnone (B0 => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OR2 
-`timescale 1ns/10ps
-`celldefine
-module OR2X1 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	or (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OR2 
-`timescale 1ns/10ps
-`celldefine
-module OR2X2 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	or (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OR2 
-`timescale 1ns/10ps
-`celldefine
-module OR2X4 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	or (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OR2 
-`timescale 1ns/10ps
-`celldefine
-module OR2X8 (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	or (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: OR2 
-`timescale 1ns/10ps
-`celldefine
-module OR2XL (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	or (Y, A, B);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TBUFI 
-`timescale 1ns/10ps
-`celldefine
-module TBUFIX1 (Y, A, OE);
-	output Y;
-	input A, OE;
-
-	// Function
-	notif1 (Y, A, OE);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(OE => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TBUFI 
-`timescale 1ns/10ps
-`celldefine
-module TBUFIXL (Y, A, OE);
-	output Y;
-	input A, OE;
-
-	// Function
-	notif1 (Y, A, OE);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(OE => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TIEHI 
-`timescale 1ns/10ps
-`celldefine
-module TIEHI (Y);
-	output Y;
-
-	// Function
-	buf (Y, 1'b1);
-
-	// Timing
-	specify
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TIELO 
-`timescale 1ns/10ps
-`celldefine
-module TIELO (Y);
-	output Y;
-
-	// Function
-	buf (Y, 1'b0);
-
-	// Timing
-	specify
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TNBUFI 
-`timescale 1ns/10ps
-`celldefine
-module TNBUFIX1 (Y, A, OE);
-	output Y;
-	input A, OE;
-
-	// Function
-	notif0 (Y, A, OE);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(OE => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: TNBUFI 
-`timescale 1ns/10ps
-`celldefine
-module TNBUFIXL (Y, A, OE);
-	output Y;
-	input A, OE;
-
-	// Function
-	notif0 (Y, A, OE);
-
-	// Timing
-	specify
-		(A => Y) = 0;
-		(OE => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: XNOR2 
-`timescale 1ns/10ps
-`celldefine
-module XNOR2XL (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar, int_fwire_0;
-	wire int_fwire_1;
-
-	not (B__bar, B);
-	not (A__bar, A);
-	and (int_fwire_0, A__bar, B__bar);
-	and (int_fwire_1, A, B);
-	or (Y, int_fwire_1, int_fwire_0);
-
-	// Timing
-	specify
-		if (B)
-			(A => Y) = 0;
-		if (~B)
-			(A => Y) = 0;
-		if (A)
-			(B => Y) = 0;
-		if (~A)
-			(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-// type: XOR2 
-`timescale 1ns/10ps
-`celldefine
-module XOR2XL (Y, A, B);
-	output Y;
-	input A, B;
-
-	// Function
-	wire A__bar, B__bar, int_fwire_0;
-	wire int_fwire_1;
-
-	not (A__bar, A);
-	and (int_fwire_0, A__bar, B);
-	not (B__bar, B);
-	and (int_fwire_1, A, B__bar);
-	or (Y, int_fwire_1, int_fwire_0);
-
-	// Timing
-	specify
-		if (~B)
-			(A => Y) = 0;
-		if (B)
-			(A => Y) = 0;
-		if (~A)
-			(B => Y) = 0;
-		if (A)
-			(B => Y) = 0;
-	endspecify
-endmodule
-`endcelldefine
-
-
-`ifdef _udp_def_altos_latch_
-`else
-`define _udp_def_altos_latch_
-primitive altos_latch (q, v, clk, d);
-	output q;
-	reg q;
-	input v, clk, d;
-
-	table
-		* ? ? : ? : x;
-		? 1 0 : ? : 0;
-		? 1 1 : ? : 1;
-		? x 0 : 0 : -;
-		? x 1 : 1 : -;
-		? 0 ? : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_err_
-`else
-`define _udp_def_altos_dff_err_
-primitive altos_dff_err (q, clk, d);
-	output q;
-	reg q;
-	input clk, d;
-
-	table
-		(0x) ? : ? : 0;
-		(1x) ? : ? : 1;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_
-`else
-`define _udp_def_altos_dff_
-primitive altos_dff (q, v, clk, d, xcr);
-	output q;
-	reg q;
-	input v, clk, d, xcr;
-
-	table
-		*  ?   ? ? : ? : x;
-		? (x1) 0 0 : ? : 0;
-		? (x1) 1 0 : ? : 1;
-		? (x1) 0 1 : 0 : 0;
-		? (x1) 1 1 : 1 : 1;
-		? (x1) ? x : ? : -;
-		? (bx) 0 ? : 0 : -;
-		? (bx) 1 ? : 1 : -;
-		? (x0) b ? : ? : -;
-		? (x0) ? x : ? : -;
-		? (01) 0 ? : ? : 0;
-		? (01) 1 ? : ? : 1;
-		? (10) ? ? : ? : -;
-		?  b   * ? : ? : -;
-		?  ?   ? * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_r_err_
-`else
-`define _udp_def_altos_dff_r_err_
-primitive altos_dff_r_err (q, clk, d, r);
-	output q;
-	reg q;
-	input clk, d, r;
-
-	table
-		 ?   0 (0x) : ? : -;
-		 ?   0 (x0) : ? : -;
-		(0x) ?  0   : ? : 0;
-		(0x) 0  x   : ? : 0;
-		(1x) ?  0   : ? : 1;
-		(1x) 0  x   : ? : 1;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_r_
-`else
-`define _udp_def_altos_dff_r_
-primitive altos_dff_r (q, v, clk, d, r, xcr);
-	output q;
-	reg q;
-	input v, clk, d, r, xcr;
-
-	table
-		*  ?   ?  ?   ? : ? : x;
-		?  ?   ?  1   ? : ? : 0;
-		?  b   ? (1?) ? : 0 : -;
-		?  x   0 (1?) ? : 0 : -;
-		?  ?   ? (10) ? : ? : -;
-		?  ?   ? (x0) ? : ? : -;
-		?  ?   ? (0x) ? : 0 : -;
-		? (x1) 0  ?   0 : ? : 0;
-		? (x1) 1  0   0 : ? : 1;
-		? (x1) 0  ?   1 : 0 : 0;
-		? (x1) 1  0   1 : 1 : 1;
-		? (x1) ?  ?   x : ? : -;
-		? (bx) 0  ?   ? : 0 : -;
-		? (bx) 1  0   ? : 1 : -;
-		? (x0) 0  ?   ? : ? : -;
-		? (x0) 1  0   ? : ? : -;
-		? (x0) ?  0   x : ? : -;
-		? (01) 0  ?   ? : ? : 0;
-		? (01) 1  0   ? : ? : 1;
-		? (10) ?  ?   ? : ? : -;
-		?  b   *  ?   ? : ? : -;
-		?  ?   ?  ?   * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_s_err_
-`else
-`define _udp_def_altos_dff_s_err_
-primitive altos_dff_s_err (q, clk, d, s);
-	output q;
-	reg q;
-	input clk, d, s;
-
-	table
-		 ?   1 (0x) : ? : -;
-		 ?   1 (x0) : ? : -;
-		(0x) ?  0   : ? : 0;
-		(0x) 1  x   : ? : 0;
-		(1x) ?  0   : ? : 1;
-		(1x) 1  x   : ? : 1;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_s_
-`else
-`define _udp_def_altos_dff_s_
-primitive altos_dff_s (q, v, clk, d, s, xcr);
-	output q;
-	reg q;
-	input v, clk, d, s, xcr;
-
-	table
-		*  ?   ?  ?   ? : ? : x;
-		?  ?   ?  1   ? : ? : 1;
-		?  b   ? (1?) ? : 1 : -;
-		?  x   1 (1?) ? : 1 : -;
-		?  ?   ? (10) ? : ? : -;
-		?  ?   ? (x0) ? : ? : -;
-		?  ?   ? (0x) ? : 1 : -;
-		? (x1) 0  0   0 : ? : 0;
-		? (x1) 1  ?   0 : ? : 1;
-		? (x1) 1  ?   1 : 1 : 1;
-		? (x1) 0  0   1 : 0 : 0;
-		? (x1) ?  ?   x : ? : -;
-		? (bx) 1  ?   ? : 1 : -;
-		? (bx) 0  0   ? : 0 : -;
-		? (x0) 1  ?   ? : ? : -;
-		? (x0) 0  0   ? : ? : -;
-		? (x0) ?  0   x : ? : -;
-		? (01) 1  ?   ? : ? : 1;
-		? (01) 0  0   ? : ? : 0;
-		? (10) ?  ?   ? : ? : -;
-		?  b   *  ?   ? : ? : -;
-		?  ?   ?  ?   * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_sr_err_
-`else
-`define _udp_def_altos_dff_sr_err_
-primitive altos_dff_sr_err (q, clk, d, s, r);
-	output q;
-	reg q;
-	input clk, d, s, r;
-
-	table
-		 ?   1 (0x)  ?   : ? : -;
-		 ?   0  ?   (0x) : ? : -;
-		 ?   0  ?   (x0) : ? : -;
-		(0x) ?  0    0   : ? : 0;
-		(0x) 1  x    0   : ? : 0;
-		(0x) 0  0    x   : ? : 0;
-		(1x) ?  0    0   : ? : 1;
-		(1x) 1  x    0   : ? : 1;
-		(1x) 0  0    x   : ? : 1;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_sr_0
-`else
-`define _udp_def_altos_dff_sr_0
-primitive altos_dff_sr_0 (q, v, clk, d, s, r, xcr);
-	output q;
-	reg q;
-	input v, clk, d, s, r, xcr;
-
-	table
-	//	v,  clk, d, s, r : q' : q;
-
-		*  ?   ?   ?   ?   ? : ? : x;
-		?  ?   ?   ?   1   ? : ? : 0;
-		?  ?   ?   1   0   ? : ? : 1;
-		?  b   ? (1?)  0   ? : 1 : -;
-		?  x   1 (1?)  0   ? : 1 : -;
-		?  ?   ? (10)  0   ? : ? : -;
-		?  ?   ? (x0)  0   ? : ? : -;
-		?  ?   ? (0x)  0   ? : 1 : -;
-		?  b   ?  0   (1?) ? : 0 : -;
-		?  x   0  0   (1?) ? : 0 : -;
-		?  ?   ?  0   (10) ? : ? : -;
-		?  ?   ?  0   (x0) ? : ? : -;
-		?  ?   ?  0   (0x) ? : 0 : -;
-		? (x1) 0  0    ?   0 : ? : 0;
-		? (x1) 1  ?    0   0 : ? : 1;
-		? (x1) 0  0    ?   1 : 0 : 0;
-		? (x1) 1  ?    0   1 : 1 : 1;
-		? (x1) ?  ?    0   x : ? : -;
-		? (x1) ?  0    ?   x : ? : -;
-		? (1x) 0  0    ?   ? : 0 : -;
-		? (1x) 1  ?    0   ? : 1 : -;
-		? (x0) 0  0    ?   ? : ? : -;
-		? (x0) 1  ?    0   ? : ? : -;
-		? (x0) ?  0    0   x : ? : -;
-		? (0x) 0  0    ?   ? : 0 : -;
-		? (0x) 1  ?    0   ? : 1 : -;
-		? (01) 0  0    ?   ? : ? : 0;
-		? (01) 1  ?    0   ? : ? : 1;
-		? (10) ?  0    ?   ? : ? : -;
-		? (10) ?  ?    0   ? : ? : -;
-		?  b   *  0    ?   ? : ? : -;
-		?  b   *  ?    0   ? : ? : -;
-		?  ?   ?  ?    ?   * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_dff_sr_1
-`else
-`define _udp_def_altos_dff_sr_1
-primitive altos_dff_sr_1 (q, v, clk, d, s, r, xcr);
-	output q;
-	reg q;
-	input v, clk, d, s, r, xcr;
-
-	table
-	//	v,  clk, d, s, r : q' : q;
-
-		*  ?   ?   ?   ?   ? : ? : x;
-		?  ?   ?   0   1   ? : ? : 0;
-		?  ?   ?   1   ?   ? : ? : 1;
-		?  b   ? (1?)  0   ? : 1 : -;
-		?  x   1 (1?)  0   ? : 1 : -;
-		?  ?   ? (10)  0   ? : ? : -;
-		?  ?   ? (x0)  0   ? : ? : -;
-		?  ?   ? (0x)  0   ? : 1 : -;
-		?  b   ?  0   (1?) ? : 0 : -;
-		?  x   0  0   (1?) ? : 0 : -;
-		?  ?   ?  0   (10) ? : ? : -;
-		?  ?   ?  0   (x0) ? : ? : -;
-		?  ?   ?  0   (0x) ? : 0 : -;
-		? (x1) 0  0    ?   0 : ? : 0;
-		? (x1) 1  ?    0   0 : ? : 1;
-		? (x1) 0  0    ?   1 : 0 : 0;
-		? (x1) 1  ?    0   1 : 1 : 1;
-		? (x1) ?  ?    0   x : ? : -;
-		? (x1) ?  0    ?   x : ? : -;
-		? (1x) 0  0    ?   ? : 0 : -;
-		? (1x) 1  ?    0   ? : 1 : -;
-		? (x0) 0  0    ?   ? : ? : -;
-		? (x0) 1  ?    0   ? : ? : -;
-		? (x0) ?  0    0   x : ? : -;
-		? (0x) 0  0    ?   ? : 0 : -;
-		? (0x) 1  ?    0   ? : 1 : -;
-		? (01) 0  0    ?   ? : ? : 0;
-		? (01) 1  ?    0   ? : ? : 1;
-		? (10) ?  0    ?   ? : ? : -;
-		? (10) ?  ?    0   ? : ? : -;
-		?  b   *  0    ?   ? : ? : -;
-		?  b   *  ?    0   ? : ? : -;
-		?  ?   ?  ?    ?   * : ? : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_latch_r_
-`else
-`define _udp_def_altos_latch_r_
-primitive altos_latch_r (q, v, clk, d, r);
-	output q;
-	reg q;
-	input v, clk, d, r;
-
-	table
-		* ? ? ? : ? : x;
-		? ? ? 1 : ? : 0;
-		? 0 ? 0 : ? : -;
-		? 0 ? x : 0 : -;
-		? 1 0 0 : ? : 0;
-		? 1 0 x : ? : 0;
-		? 1 1 0 : ? : 1;
-		? x 0 0 : 0 : -;
-		? x 0 x : 0 : -;
-		? x 1 0 : 1 : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_latch_s_
-`else
-`define _udp_def_altos_latch_s_
-primitive altos_latch_s (q, v, clk, d, s);
-	output q;
-	reg q;
-	input v, clk, d, s;
-
-	table
-		* ? ? ? : ? : x;
-		? ? ? 1 : ? : 1;
-		? 0 ? 0 : ? : -;
-		? 0 ? x : 1 : -;
-		? 1 1 0 : ? : 1;
-		? 1 1 x : ? : 1;
-		? 1 0 0 : ? : 0;
-		? x 1 0 : 1 : -;
-		? x 1 x : 1 : -;
-		? x 0 0 : 0 : -;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_latch_sr_0
-`else
-`define _udp_def_altos_latch_sr_0
-primitive altos_latch_sr_0 (q, v, clk, d, s, r);
-	output q;
-	reg q;
-	input v, clk, d, s, r;
-
-	table
-		* ? ? ? ? : ? : x;
-		? 1 1 ? 0 : ? : 1;
-		? 1 0 0 ? : ? : 0;
-		? ? ? 1 0 : ? : 1;
-		? ? ? ? 1 : ? : 0;
-		? 0 * ? ? : ? : -;
-		? 0 ? * 0 : 1 : 1;
-		? 0 ? 0 * : 0 : 0;
-		? * 1 ? 0 : 1 : 1;
-		? * 0 0 ? : 0 : 0;
-		? ? 1 * 0 : 1 : 1;
-		? ? 0 0 * : 0 : 0;
-	endtable
-endprimitive
-`endif
-
-`ifdef _udp_def_altos_latch_sr_1
-`else
-`define _udp_def_altos_latch_sr_1
-primitive altos_latch_sr_1 (q, v, clk, d, s, r);
-	output q;
-	reg q;
-	input v, clk, d, s, r;
-
-	table
-		* ? ? ? ? : ? : x;
-		? 1 1 ? 0 : ? : 1;
-		? 1 0 0 ? : ? : 0;
-		? ? ? 1 ? : ? : 1;
-		? ? ? 0 1 : ? : 0;
-		? 0 * ? ? : ? : -;
-		? 0 ? * 0 : 1 : 1;
-		? 0 ? 0 * : 0 : 0;
-		? * 1 ? 0 : 1 : 1;
-		? * 0 0 ? : 0 : 0;
-		? ? 1 * 0 : 1 : 1;
-		? ? 0 0 * : 0 : 0;
-	endtable
-endprimitive
-`endif
diff --git a/outputs/sky130_osu_sc_12T_hs.lef b/outputs/sky130_osu_sc_12T_hs.lef
deleted file mode 100644
index 5bfe558..0000000
--- a/outputs/sky130_osu_sc_12T_hs.lef
+++ /dev/null
@@ -1,1548 +0,0 @@
-VERSION 5.6 ;
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-
-MACRO ADDFX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ADDFX1 0 0 ;
-  SIZE 7.04 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 7.04 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 7.04 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.01 1.735 5.3 1.965 ;
-        RECT 0.34 1.765 5.3 1.935 ;
-        RECT 2.35 1.735 2.64 1.965 ;
-        RECT 0.34 1.735 0.63 1.965 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.12 2.475 4.41 2.705 ;
-        RECT 0.34 2.51 4.41 2.675 ;
-        RECT 4.06 2.505 4.41 2.675 ;
-        RECT 0.34 2.505 3.67 2.675 ;
-        RECT 2.83 2.475 3.12 2.705 ;
-        RECT 2.16 2.475 2.45 2.705 ;
-        RECT 0.34 2.475 0.63 2.705 ;
-    END
-  END B
-  PIN CI
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.6 2.105 4.89 2.335 ;
-        RECT 0.4 2.135 4.89 2.305 ;
-        RECT 3.27 2.105 3.56 2.335 ;
-        RECT 1.18 2.105 1.47 2.335 ;
-    END
-  END CI
-  PIN CO
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.605 2.845 6.895 3.075 ;
-        RECT 6.495 2.875 6.895 3.045 ;
-    END
-  END CO
-  PIN CON
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.995 1.365 6.285 1.595 ;
-        RECT 1.52 1.395 6.285 1.565 ;
-        RECT 3.825 1.365 4.115 1.595 ;
-        RECT 1.52 1.365 1.81 1.595 ;
-    END
-  END CON
-  PIN S
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.655 3.22 5.945 3.45 ;
-        RECT 5.545 3.25 5.945 3.42 ;
-    END
-  END S
-END ADDFX1
-
-MACRO AND2X1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AND2X1 0 0 ;
-  SIZE 1.87 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.87 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.875 0.525 3.045 ;
-        RECT 0.125 2.845 0.415 3.075 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.475 1.095 2.705 ;
-        RECT 0.7 2.505 1.095 2.675 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.105 1.695 2.335 ;
-        RECT 1.405 0.995 1.695 1.225 ;
-        RECT 1.465 0.995 1.635 2.335 ;
-    END
-  END Y
-END AND2X1
-
-MACRO AND2X2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AND2X2 0 0 ;
-  SIZE 2.31 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.31 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 2.31 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.875 0.525 3.045 ;
-        RECT 0.125 2.845 0.415 3.075 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.475 1.095 2.705 ;
-        RECT 0.7 2.505 1.095 2.675 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.105 1.695 2.335 ;
-        RECT 1.405 0.995 1.695 1.225 ;
-        RECT 1.465 0.995 1.635 2.335 ;
-    END
-  END Y
-END AND2X2
-
-MACRO ANT
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ANT 0 0 ;
-  SIZE 0.99 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.99 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.105 0.54 2.335 ;
-    END
-  END A
-END ANT
-
-MACRO ANTFILL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ANTFILL 0 0 ;
-  SIZE 0.99 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.99 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.115 0.54 2.345 ;
-    END
-  END A
-END ANTFILL
-
-MACRO AOI21XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AOI21XL 0 0 ;
-  SIZE 1.87 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.87 4.44 ;
-    END
-  END vdd
-  PIN A0
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.24 2.875 0.64 3.045 ;
-        RECT 0.24 2.845 0.53 3.075 ;
-    END
-  END A0
-  PIN A1
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.58 2.505 0.98 2.675 ;
-        RECT 0.58 2.475 0.87 2.705 ;
-    END
-  END A1
-  PIN B0
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.02 2.105 1.31 2.335 ;
-        RECT 0.91 2.135 1.31 2.305 ;
-    END
-  END B0
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 1.735 1.695 1.965 ;
-        RECT 1.465 1.025 1.635 1.965 ;
-        RECT 0.905 1.025 1.635 1.195 ;
-        RECT 0.905 0.995 1.195 1.225 ;
-    END
-  END Y
-END AOI21XL
-
-MACRO BUFX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFX1 0 0 ;
-  SIZE 1.43 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.43 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 2.845 0.78 3.075 ;
-        RECT 0.32 2.875 0.78 3.045 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.975 2.475 1.265 2.705 ;
-        RECT 0.975 0.995 1.265 1.225 ;
-        RECT 1.035 0.995 1.205 2.705 ;
-    END
-  END Y
-END BUFX1
-
-MACRO BUFX2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFX2 0 0 ;
-  SIZE 1.87 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.87 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 2.845 0.78 3.075 ;
-        RECT 0.32 2.875 0.78 3.045 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.975 2.475 1.265 2.705 ;
-        RECT 0.975 0.995 1.265 1.225 ;
-        RECT 1.035 0.995 1.205 2.705 ;
-    END
-  END Y
-END BUFX2
-
-MACRO BUFX4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFX4 0 0 ;
-  SIZE 2.75 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.75 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 2.75 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 2.845 0.78 3.075 ;
-        RECT 0.32 2.875 0.78 3.045 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.835 2.475 2.125 2.705 ;
-        RECT 1.835 0.995 2.125 1.225 ;
-        RECT 1.895 0.995 2.065 2.705 ;
-        RECT 0.975 2.505 2.125 2.675 ;
-        RECT 0.975 1.025 2.125 1.195 ;
-        RECT 0.975 2.475 1.265 2.705 ;
-        RECT 0.975 0.995 1.265 1.225 ;
-        RECT 1.035 0.995 1.205 2.705 ;
-    END
-  END Y
-END BUFX4
-
-MACRO DFFSRX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DFFSRX1 0 0 ;
-  SIZE 10.45 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 10.45 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 10.45 4.44 ;
-    END
-  END vdd
-  PIN CK
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.735 2.105 7.025 2.335 ;
-        RECT 3.51 2.135 7.025 2.305 ;
-        RECT 5.74 2.105 6.03 2.335 ;
-        RECT 3.51 2.105 3.8 2.335 ;
-    END
-  END CK
-  PIN D
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.15 1.765 3.55 1.935 ;
-        RECT 3.15 1.735 3.44 1.965 ;
-    END
-  END D
-  PIN Q
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 9.995 2.845 10.285 3.075 ;
-        RECT 9.885 2.875 10.285 3.045 ;
-    END
-  END Q
-  PIN QN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 9.135 2.475 9.425 2.705 ;
-        RECT 9.02 2.505 9.425 2.675 ;
-    END
-  END QN
-  PIN RN
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.875 0.635 3.045 ;
-        RECT 0.175 2.845 0.465 3.075 ;
-    END
-  END RN
-  PIN SN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 7.79 2.475 8.08 2.705 ;
-        RECT 1.565 2.505 8.08 2.675 ;
-        RECT 1.565 2.475 1.855 2.705 ;
-    END
-  END SN
-  OBS
-    LAYER met1 ;
-      RECT 9.235 1.705 9.525 1.935 ;
-      RECT 6.22 1.705 6.51 1.935 ;
-      RECT 6.22 1.735 9.525 1.905 ;
-      RECT 8.715 0.995 9.005 1.225 ;
-      RECT 1.085 0.995 1.375 1.225 ;
-      RECT 1.085 1.025 9.005 1.195 ;
-      RECT 7.45 1.365 7.74 1.595 ;
-      RECT 4.92 1.365 5.21 1.595 ;
-      RECT 4.92 1.395 7.74 1.565 ;
-      RECT 4.49 1.365 4.78 1.595 ;
-      RECT 1.565 1.365 1.855 1.595 ;
-      RECT 1.565 1.395 4.78 1.565 ;
-  END
-END DFFSRX1
-
-MACRO DFFX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DFFX1 0 0 ;
-  SIZE 7.26 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 7.26 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 7.26 4.44 ;
-    END
-  END vdd
-  PIN CK
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.43 2.105 4.72 2.335 ;
-        RECT 1.205 2.135 4.72 2.305 ;
-        RECT 3.435 2.105 3.725 2.335 ;
-        RECT 1.205 2.105 1.495 2.335 ;
-    END
-  END CK
-  PIN D
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.845 1.765 1.245 1.935 ;
-        RECT 0.845 1.735 1.135 1.965 ;
-    END
-  END D
-  PIN Q
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.83 2.845 7.12 3.075 ;
-        RECT 6.715 2.875 7.12 3.045 ;
-    END
-  END Q
-  PIN QN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.97 2.475 6.26 2.705 ;
-        RECT 5.86 2.505 6.26 2.675 ;
-    END
-  END QN
-  OBS
-    LAYER met1 ;
-      RECT 6.07 1.705 6.36 1.935 ;
-      RECT 3.915 1.705 4.205 1.935 ;
-      RECT 3.915 1.735 6.36 1.905 ;
-      RECT 5.03 1.365 5.32 1.595 ;
-      RECT 2.615 1.365 2.905 1.595 ;
-      RECT 2.615 1.395 5.32 1.565 ;
-      RECT 2.185 1.365 2.475 1.595 ;
-      RECT 0.14 1.365 0.43 1.595 ;
-      RECT 0.14 1.395 2.475 1.565 ;
-  END
-END DFFX1
-
-MACRO FILLX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX1 0 0 ;
-  SIZE 0.11 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.11 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.11 4.44 ;
-    END
-  END vdd
-END FILLX1
-
-MACRO FILLX16
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX16 0 0 ;
-  SIZE 1.76 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.76 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.76 4.44 ;
-    END
-  END vdd
-END FILLX16
-
-MACRO FILLX2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX2 0 0 ;
-  SIZE 0.22 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.22 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.22 4.44 ;
-    END
-  END vdd
-END FILLX2
-
-MACRO FILLX32
-  CLASS CORE ;
-  ORIGIN 0 -0.58 ;
-  FOREIGN FILLX32 0 0.58 ;
-  SIZE 3.52 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0.58 3.52 0.885 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.715 3.52 5.02 ;
-    END
-  END vdd
-END FILLX32
-
-MACRO FILLX4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX4 0 0 ;
-  SIZE 0.44 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.44 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.44 4.44 ;
-    END
-  END vdd
-END FILLX4
-
-MACRO FILLX8
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX8 0 0 ;
-  SIZE 0.88 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.88 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.88 4.44 ;
-    END
-  END vdd
-END FILLX8
-
-MACRO INVX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX1 0 0 ;
-  SIZE 0.99 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.99 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.875 0.635 3.045 ;
-        RECT 0.175 2.845 0.465 3.075 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.475 0.835 2.705 ;
-        RECT 0.545 0.995 0.835 1.225 ;
-        RECT 0.605 0.995 0.775 2.705 ;
-    END
-  END Y
-END INVX1
-
-MACRO INVX2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX2 0 0 ;
-  SIZE 1.43 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.43 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.875 0.635 3.045 ;
-        RECT 0.175 2.845 0.465 3.075 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.475 0.835 2.705 ;
-        RECT 0.545 0.995 0.835 1.225 ;
-        RECT 0.605 0.995 0.775 2.705 ;
-    END
-  END Y
-END INVX2
-
-MACRO INVX4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX4 0 0 ;
-  SIZE 2.31 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.31 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 2.31 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.875 0.635 3.045 ;
-        RECT 0.175 2.845 0.465 3.075 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 0.995 1.695 1.225 ;
-        RECT 1.465 0.995 1.635 2.705 ;
-        RECT 0.545 2.505 1.695 2.675 ;
-        RECT 0.545 1.025 1.695 1.195 ;
-        RECT 0.545 2.475 0.835 2.705 ;
-        RECT 0.545 0.995 0.835 1.225 ;
-        RECT 0.605 0.995 0.775 2.705 ;
-    END
-  END Y
-END INVX4
-
-MACRO INVX8
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX8 0 0 ;
-  SIZE 4.07 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.07 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 4.07 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.875 0.635 3.045 ;
-        RECT 0.175 2.845 0.465 3.075 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.125 2.475 3.415 2.705 ;
-        RECT 3.125 0.995 3.415 1.225 ;
-        RECT 3.185 0.995 3.355 2.705 ;
-        RECT 0.545 2.505 3.415 2.675 ;
-        RECT 0.545 1.025 3.415 1.195 ;
-        RECT 2.265 2.475 2.555 2.705 ;
-        RECT 2.265 0.995 2.555 1.225 ;
-        RECT 2.325 0.995 2.495 2.705 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 0.995 1.695 1.225 ;
-        RECT 1.465 0.995 1.635 2.705 ;
-        RECT 0.545 2.475 0.835 2.705 ;
-        RECT 0.545 0.995 0.835 1.225 ;
-        RECT 0.605 0.995 0.775 2.705 ;
-    END
-  END Y
-END INVX8
-
-MACRO NAND2X1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN NAND2X1 0 0 ;
-  SIZE 1.43 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.43 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.875 0.575 3.045 ;
-        RECT 0.175 2.845 0.465 3.075 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.915 2.475 1.205 2.705 ;
-        RECT 0.805 2.505 1.205 2.675 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.105 0.835 2.335 ;
-        RECT 0.605 1.025 0.775 2.335 ;
-        RECT 0.115 1.025 0.775 1.195 ;
-        RECT 0.115 0.995 0.405 1.225 ;
-    END
-  END Y
-END NAND2X1
-
-MACRO NOR2X1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN NOR2X1 0 0 ;
-  SIZE 1.43 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.43 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.845 2.845 1.135 3.075 ;
-        RECT 0.74 2.875 1.135 3.045 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.505 2.475 0.795 2.705 ;
-        RECT 0.395 2.505 0.795 2.675 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 0.995 0.835 1.225 ;
-        RECT 0.115 2.135 0.775 2.305 ;
-        RECT 0.605 0.995 0.775 2.305 ;
-        RECT 0.115 2.105 0.405 2.335 ;
-    END
-  END Y
-END NOR2X1
-
-MACRO OAI21XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OAI21XL 0 0 ;
-  SIZE 1.87 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.87 4.44 ;
-    END
-  END vdd
-  PIN A0
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.27 2.875 0.67 3.045 ;
-        RECT 0.27 2.845 0.56 3.075 ;
-    END
-  END A0
-  PIN A1
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.75 2.505 1.15 2.675 ;
-        RECT 0.75 2.475 1.04 2.705 ;
-    END
-  END A1
-  PIN B0
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.055 2.105 1.345 2.335 ;
-        RECT 0.945 2.135 1.345 2.305 ;
-    END
-  END B0
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 0.995 1.695 1.225 ;
-        RECT 1.395 1.735 1.685 1.965 ;
-        RECT 1.465 0.995 1.635 1.965 ;
-    END
-  END Y
-END OAI21XL
-
-MACRO OR2X1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OR2X1 0 0 ;
-  SIZE 1.87 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.87 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.845 1.095 3.075 ;
-        RECT 0.7 2.875 1.095 3.045 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.505 0.525 2.675 ;
-        RECT 0.125 2.475 0.415 2.705 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.105 1.695 2.335 ;
-        RECT 1.405 0.995 1.695 1.225 ;
-        RECT 1.465 0.995 1.635 2.335 ;
-    END
-  END Y
-END OR2X1
-
-MACRO OR2X2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OR2X2 0 0 ;
-  SIZE 2.31 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.31 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 2.31 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.845 1.095 3.075 ;
-        RECT 0.7 2.875 1.095 3.045 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.505 0.525 2.675 ;
-        RECT 0.125 2.475 0.415 2.705 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.105 1.695 2.335 ;
-        RECT 1.405 0.995 1.695 1.225 ;
-        RECT 1.465 0.995 1.635 2.335 ;
-    END
-  END Y
-END OR2X2
-
-MACRO TBUFIX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TBUFIX1 0 0 ;
-  SIZE 1.87 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 1.87 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.995 2.845 1.285 3.075 ;
-        RECT 0.885 2.875 1.285 3.045 ;
-    END
-  END A
-  PIN OE
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.505 0.945 2.675 ;
-        RECT 0.545 2.475 0.835 2.705 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 2.705 ;
-    END
-  END OE
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.335 2.105 1.625 2.335 ;
-        RECT 1.335 0.995 1.625 1.225 ;
-        RECT 1.395 0.995 1.565 2.335 ;
-    END
-  END Y
-END TBUFIX1
-
-MACRO TIEHI
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TIEHI 0 0 ;
-  SIZE 0.99 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.99 4.44 ;
-    END
-  END vdd
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.47 2.475 0.835 2.705 ;
-    END
-  END Y
-END TIEHI
-
-MACRO TIELO
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TIELO 0 0 ;
-  SIZE 0.99 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 0.99 4.44 ;
-    END
-  END vdd
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.47 1.365 0.835 1.595 ;
-    END
-  END Y
-END TIELO
-
-MACRO XNOR2XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN XNOR2XL 0 0 ;
-  SIZE 3.19 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.19 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 3.19 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2 0.995 2.29 1.225 ;
-        RECT 0.7 1.025 2.29 1.195 ;
-        RECT 0.7 0.995 0.99 1.225 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.385 1.365 2.675 1.595 ;
-        RECT 2.275 1.395 2.675 1.565 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.28 2.845 1.57 3.075 ;
-        RECT 1.28 1.365 1.57 1.595 ;
-        RECT 1.34 1.365 1.51 3.075 ;
-    END
-  END Y
-END XNOR2XL
-
-MACRO XOR2XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN XOR2XL 0 0 ;
-  SIZE 3.19 BY 4.44 ;
-  SYMMETRY X Y ;
-  SITE 12T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.19 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 4.135 3.19 4.44 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2 2.845 2.29 3.075 ;
-        RECT 0.94 2.875 2.29 3.045 ;
-        RECT 0.94 2.845 1.23 3.075 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.385 2.475 2.675 2.705 ;
-        RECT 2.275 2.505 2.675 2.675 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.42 0.895 1.71 1.125 ;
-        RECT 1.28 2.105 1.57 2.335 ;
-        RECT 1.34 0.925 1.51 2.335 ;
-    END
-  END Y
-END XOR2XL
-
-END LIBRARY
diff --git a/outputs/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.db b/outputs/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.db
deleted file mode 100644
index 7bff13a..0000000
--- a/outputs/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.db
+++ /dev/null
Binary files differ
diff --git a/outputs/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.lib b/outputs/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.lib
deleted file mode 100644
index 5e6acb4..0000000
--- a/outputs/sky130_osu_sc_12T_hs_TT_1P8_25C.ccs.lib
+++ /dev/null
@@ -1,90270 +0,0 @@
-library (sky130_osu_sc_12T_hs_TT_1P8_25C.ccs) {
-  /* Models written by Liberate 19.2.1.215 from Cadence Design Systems, Inc. on Tue Sep 22 08:36:55 CDT 2020 */
-  comment : "";
-  date : "$Date: Tue Sep 22 08:22:57 2020 $";
-  revision : "1.0";
-  delay_model : table_lookup;
-  capacitive_load_unit (1,pf);
-  current_unit : "1mA";
-  leakage_power_unit : "1nW";
-  pulling_resistance_unit : "1kohm";
-  time_unit : "1ns";
-  voltage_unit : "1V";
-  voltage_map (VDD, 1.8);
-  voltage_map (GND, 0);
-  voltage_map (VSS, 0);
-  default_cell_leakage_power : 0;
-  default_fanout_load : 1;
-  default_max_transition : 0.72957;
-  default_output_pin_cap : 0;
-  in_place_swap_mode : match_footprint;
-  input_threshold_pct_fall : 50;
-  input_threshold_pct_rise : 50;
-  nom_process : 1;
-  nom_temperature : 25;
-  nom_voltage : 1.8;
-  output_threshold_pct_fall : 50;
-  output_threshold_pct_rise : 50;
-  slew_derate_from_library : 1;
-  slew_lower_threshold_pct_fall : 20;
-  slew_lower_threshold_pct_rise : 20;
-  slew_upper_threshold_pct_fall : 80;
-  slew_upper_threshold_pct_rise : 80;
-  operating_conditions (PVT_1P8V_25C) {
-    process : 1;
-    temperature : 25;
-    voltage : 1.8;
-  }
-  default_operating_conditions : PVT_1P8V_25C;
-  output_current_template (ccs_template) {
-    variable_1 : input_net_transition;
-    variable_2 : total_output_net_capacitance;
-    variable_3 : time;
-  }
-  lu_table_template (constraint_template) {
-    variable_1 : constrained_pin_transition;
-    variable_2 : related_pin_transition;
-    index_1 ("0.1, 2.5, 5");
-    index_2 ("0.1, 0.9, 1.8");
-  }
-  lu_table_template (delay_template) {
-    variable_1 : input_net_transition;
-    variable_2 : total_output_net_capacitance;
-    index_1 ("0.1, 0.5, 1.2, 3, 4, 5");
-    index_2 ("0.06, 0.24, 0.48, 0.9, 1.2, 1.8");
-  }
-  lu_table_template (mpw_constraint_template) {
-    variable_1 : constrained_pin_transition;
-    index_1 ("0.1, 2.5, 5");
-  }
-  power_lut_template (passive_power_template) {
-    variable_1 : input_transition_time;
-    index_1 ("0.1, 0.5, 1.2, 3, 4, 5");
-  }
-  power_lut_template (power_template) {
-    variable_1 : input_transition_time;
-    variable_2 : total_output_net_capacitance;
-    index_1 ("0.1, 0.5, 1.2, 3, 4, 5");
-    index_2 ("0.06, 0.24, 0.48, 0.9, 1.2, 1.8");
-  }
-  lu_table_template (receiver_cap_power_template) {
-    variable_1 : input_net_transition;
-    index_1 ("0.1, 0.5, 1.2, 3, 4, 5");
-  }
-  define(char_when, receiver_capacitance, string);
-  define(is_propagating, receiver_capacitance, string);
-  cell (ADDFX1) {
-    area : 31.2576;
-    cell_footprint : "ADDF";
-    cell_leakage_power : 0.0674339;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * CI * CO * !CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0441974;
-      when : "(A * B * CI * CO * !CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0574095;
-      when : "(A * B * !CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0707837;
-      when : "(A * !B * CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0699097;
-      when : "(A * !B * !CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0842941;
-      when : "(!A * B * CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0842809;
-      when : "(!A * B * !CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0703963;
-      when : "(!A * !B * CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !CI * !CO * CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0581999;
-      when : "(!A * !B * !CI * !CO * CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0674339;
-      related_pg_pin : VDD;
-    }
-    pin (CO) {
-      direction : output;
-      function : "(A * B) + (A * CI) + (B * CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0928528;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.15105, 0.193161, 0.279843, 0.460742, 0.841262, 1.64384", \
-            "0.153852, 0.195944, 0.282646, 0.463389, 0.843951, 1.6464", \
-            "0.160931, 0.203184, 0.289707, 0.47069, 0.851268, 1.65344", \
-            "0.178375, 0.220897, 0.307938, 0.488903, 0.869619, 1.67196", \
-            "0.21408, 0.259688, 0.349339, 0.532445, 0.91379, 1.7167", \
-            "0.258095, 0.314855, 0.422471, 0.625411, 1.01696, 1.82236" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.043101, 0.0654494, 0.112603, 0.211473, 0.418227, 0.855308", \
-            "0.0430689, 0.0655047, 0.112436, 0.211361, 0.417972, 0.855294", \
-            "0.0430253, 0.0654166, 0.112339, 0.211259, 0.417783, 0.855115", \
-            "0.0429341, 0.0652943, 0.112218, 0.211185, 0.417806, 0.855218", \
-            "0.0455363, 0.0670432, 0.112536, 0.210958, 0.41775, 0.854933", \
-            "0.0533919, 0.0751182, 0.120446, 0.215183, 0.418018, 0.854887" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.209963, 0.264168, 0.375668, 0.608294, 1.09643, 2.12771", \
-            "0.214537, 0.268964, 0.380699, 0.613598, 1.10164, 2.13304", \
-            "0.226081, 0.280738, 0.392866, 0.626001, 1.11408, 2.14585", \
-            "0.251717, 0.306316, 0.418633, 0.651907, 1.14072, 2.17272", \
-            "0.310536, 0.365726, 0.478091, 0.711554, 1.20101, 2.23318", \
-            "0.424326, 0.489013, 0.613553, 0.85308, 1.34482, 2.37762" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0473954, 0.0707869, 0.118596, 0.216621, 0.421853, 0.855528", \
-            "0.0473979, 0.0707243, 0.118501, 0.2165, 0.421941, 0.855518", \
-            "0.0473934, 0.0706129, 0.118339, 0.216363, 0.421389, 0.855455", \
-            "0.0473622, 0.070665, 0.118334, 0.216526, 0.42118, 0.855421", \
-            "0.0479809, 0.0707111, 0.118299, 0.216512, 0.421851, 0.855475", \
-            "0.0550048, 0.0778082, 0.123462, 0.21793, 0.421834, 0.855523" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.10492, 0.117901, 0.126992, 0.132655, 0.136949, 0.144929, 0.152012, 0.158656, 0.165174, 0.171684, 0.182373, 0.191698, 0.200462, 0.206403, 0.213941, 0.220522, 0.227126, 0.239817, 0.25043, 0.261776, 0.278379");
-            values ( \
-              "0.00431186, 0.0193121, 0.0329343, 0.0399947, 0.0450143, 0.0524948, 0.0573261, 0.0596513, 0.0598438, 0.0554996, 0.0355925, 0.0223845, 0.0140986, 0.0100913, 0.00655936, 0.00451741, 0.00306863, 0.00148089, 0.00083736, 0.000486301, 0.000378002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.120085, 0.127527, 0.132647, 0.136475, 0.15375, 0.16324, 0.168472, 0.178936, 0.183063, 0.190894, 0.195552, 0.200922, 0.210875, 0.2208, 0.237749, 0.242866, 0.25141, 0.259123, 0.264208, 0.272647, 0.276275, 0.283198, 0.29313, 0.300611, 0.305585, 0.314963, 0.323904, 0.336964, 0.34714");
-            values ( \
-              "0.00787139, 0.012969, 0.0181075, 0.0228969, 0.0471588, 0.0587932, 0.0644171, 0.0737734, 0.0766366, 0.0807695, 0.0824011, 0.0834428, 0.0827144, 0.0756261, 0.0494781, 0.0423904, 0.032235, 0.0248478, 0.0207208, 0.0151532, 0.0132002, 0.0100931, 0.00681507, 0.0050876, 0.00421051, 0.00302281, 0.00223573, 0.00142077, 0.00107201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.153686, 0.17423, 0.207678, 0.232277, 0.252191, 0.270154, 0.287334, 0.304518, 0.321578, 0.362969, 0.378834, 0.403172, 0.430009, 0.462927, 0.506156, 0.518875");
-            values ( \
-              "0.0102109, 0.0238834, 0.0598352, 0.0816766, 0.0941833, 0.100681, 0.103129, 0.100921, 0.0913258, 0.0500212, 0.0373363, 0.0227195, 0.0127027, 0.005964, 0.00211994, 0.00165159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.224302, 0.258759, 0.310281, 0.341372, 0.365863, 0.38699, 0.402544, 0.42024, 0.436035, 0.452525, 0.468378, 0.492204, 0.500861, 0.517255, 0.535459, 0.602334, 0.644258, 0.667228, 0.691704, 0.712867, 0.741085, 0.771349, 0.820022, 0.884918, 0.92512");
-            values ( \
-              "0.0165882, 0.0265255, 0.0624894, 0.081716, 0.0940546, 0.102618, 0.107437, 0.111542, 0.113763, 0.115147, 0.115467, 0.11403, 0.112574, 0.10828, 0.100511, 0.0620349, 0.0412318, 0.032214, 0.0244343, 0.0190879, 0.0135555, 0.00933364, 0.00504098, 0.00211759, 0.00142976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.341578, 0.437498, 0.537534, 0.568857, 0.609474, 0.646873, 0.692772, 0.719266, 0.751913, 0.785253, 0.831099, 0.849017, 0.880588, 0.912918, 0.977467, 1.00945, 1.10757, 1.16541, 1.19063, 1.21771, 1.25135, 1.29489, 1.33131, 1.37206, 1.42976, 1.48855, 1.5334, 1.62311, 1.73933, 1.85555, 1.97176");
-            values ( \
-              "0.00415861, 0.0287182, 0.0675502, 0.0787111, 0.0916431, 0.101503, 0.111071, 0.115429, 0.119739, 0.122664, 0.124586, 0.124536, 0.123551, 0.120669, 0.108326, 0.0990381, 0.0683645, 0.0519227, 0.0456719, 0.0395843, 0.0329854, 0.0256673, 0.0207364, 0.0162996, 0.0113637, 0.00788122, 0.00598189, 0.00329279, 0.00154771, 0.0006676, 0.000342207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.694424, 0.816444, 0.981333, 1.08391, 1.18162, 1.29545, 1.38721, 1.47215, 1.52115, 1.61915, 1.65122, 1.71535, 1.78245, 1.89866, 1.97647, 2.19514, 2.35493, 2.45922, 2.56394, 2.65531, 2.77153, 2.86074, 2.95801, 3.07422, 3.19044, 3.42287, 3.6553, 3.88774");
-            values ( \
-              "0.0245808, 0.0302312, 0.0634048, 0.0824521, 0.0981859, 0.112685, 0.120971, 0.126014, 0.127838, 0.129301, 0.129114, 0.127749, 0.124488, 0.113423, 0.102665, 0.0686538, 0.0470099, 0.0358759, 0.0268445, 0.0206999, 0.0147387, 0.0112531, 0.00838597, 0.0058943, 0.00408089, 0.00196408, 0.000937259, 0.000442505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.122213, 0.130181, 0.1382, 0.144764, 0.150365, 0.158346, 0.165421, 0.172059, 0.178569, 0.185071, 0.195739, 0.199748, 0.205066, 0.213833, 0.219763, 0.227291, 0.23378, 0.240497, 0.253267, 0.263768, 0.274976, 0.283712, 0.30756, 0.340022");
-            values ( \
-              "0.0151643, 0.0177466, 0.0296948, 0.0384464, 0.0449171, 0.0525919, 0.0573014, 0.0597835, 0.0598526, 0.0556221, 0.035644, 0.0292893, 0.0224087, 0.0141044, 0.0100986, 0.00656587, 0.00454704, 0.00306713, 0.00147195, 0.00083893, 0.000487718, 0.000544942, 0.000203754, 5.38547e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.12904, 0.14456, 0.18183, 0.193713, 0.20432, 0.214358, 0.224286, 0.234207, 0.251071, 0.264752, 0.277709, 0.296503, 0.313979, 0.337104, 0.341264");
-            values ( \
-              "0.00658063, 0.0160057, 0.0640968, 0.0748055, 0.0807168, 0.0836458, 0.0827141, 0.0758233, 0.0496314, 0.0323026, 0.0206456, 0.0101252, 0.00508865, 0.00224847, 0.00206293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.163034, 0.182427, 0.187682, 0.221548, 0.246078, 0.265951, 0.283883, 0.301036, 0.318192, 0.335037, 0.370788, 0.3924, 0.420386, 0.445945, 0.475933, 0.494856, 0.518988, 0.522629");
-            values ( \
-              "0.00706598, 0.0188351, 0.0235447, 0.0600568, 0.0818774, 0.0943478, 0.100861, 0.103271, 0.101065, 0.0915646, 0.0554406, 0.0374232, 0.0211132, 0.0120544, 0.00604932, 0.00387077, 0.0021569, 0.00205934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.217477, 0.271556, 0.333899, 0.363034, 0.379122, 0.399721, 0.415801, 0.433507, 0.449276, 0.465757, 0.481599, 0.505203, 0.51406, 0.530442, 0.548638, 0.615507, 0.65738, 0.680252, 0.704798, 0.726034, 0.75435, 0.784464, 0.832921, 0.897531, 0.941667");
-            values ( \
-              "0.00145583, 0.0260251, 0.0691324, 0.0862309, 0.0940953, 0.102434, 0.107514, 0.111576, 0.11385, 0.115195, 0.115564, 0.11411, 0.112665, 0.108325, 0.100579, 0.0620471, 0.0412551, 0.0322654, 0.0244444, 0.0190767, 0.0135378, 0.00933956, 0.00506001, 0.00212694, 0.00136768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.391701, 0.451949, 0.510338, 0.572104, 0.620105, 0.660345, 0.678894, 0.707636, 0.732646, 0.765266, 0.798593, 0.84377, 0.862317, 0.893869, 0.926183, 0.990703, 1.02272, 1.1171, 1.17619, 1.20376, 1.23424, 1.26667, 1.30892, 1.34289, 1.38421, 1.44234, 1.46806, 1.50276, 1.54904, 1.6416, 1.75781, 1.87403, 1.99024");
-            values ( \
-              "0.0231384, 0.029187, 0.0520685, 0.0752965, 0.0909367, 0.101626, 0.105751, 0.111437, 0.115554, 0.11982, 0.12277, 0.124634, 0.124623, 0.123593, 0.120739, 0.108342, 0.0990722, 0.0694746, 0.0525795, 0.0457139, 0.0389017, 0.0325834, 0.0255656, 0.020958, 0.0163862, 0.0114245, 0.00974528, 0.0078472, 0.00587253, 0.00319314, 0.00147722, 0.000659516, 0.000314323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.692995, 0.83005, 0.993591, 1.09713, 1.20647, 1.29129, 1.34001, 1.40048, 1.51669, 1.57074, 1.6428, 1.70787, 1.79587, 1.91209, 1.98986, 2.2088, 2.36831, 2.47182, 2.5771, 2.66906, 2.78527, 2.87425, 2.97118, 3.08739, 3.20361, 3.43604, 3.66847, 3.9009");
-            values ( \
-              "0.018556, 0.0302496, 0.0631884, 0.0824378, 0.0998743, 0.110769, 0.115858, 0.120974, 0.127335, 0.128774, 0.129305, 0.128375, 0.124526, 0.113429, 0.102672, 0.068612, 0.0470134, 0.0359516, 0.0268612, 0.0206774, 0.0147218, 0.0112479, 0.00839062, 0.00589791, 0.00408292, 0.0019648, 0.00093731, 0.000442202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.151422, 0.159536, 0.174262, 0.180307, 0.187487, 0.190959, 0.198049, 0.20468, 0.211187, 0.217687, 0.221188, 0.228354, 0.232361, 0.237677, 0.242951, 0.246439, 0.252369, 0.259897, 0.266293, 0.269662, 0.273128, 0.286018, 0.296401, 0.307453, 0.316064, 0.339454, 0.370763");
-            values ( \
-              "0.00577446, 0.0133972, 0.0344289, 0.0419461, 0.0495347, 0.0525696, 0.0573631, 0.05978, 0.0599093, 0.0556171, 0.0495926, 0.0356469, 0.0292953, 0.0224126, 0.0170557, 0.0141091, 0.010101, 0.00656723, 0.00457259, 0.00372224, 0.00306323, 0.00145919, 0.000837965, 0.000489895, 0.00054592, 0.000213134, 5.63107e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.16212, 0.173681, 0.177992, 0.214603, 0.22648, 0.237065, 0.24709, 0.257007, 0.266918, 0.283759, 0.29744, 0.310428, 0.329176, 0.346663, 0.369537, 0.376436");
-            values ( \
-              "0.00674977, 0.0125687, 0.0169134, 0.0643103, 0.0749206, 0.0808741, 0.0837053, 0.0828285, 0.0758431, 0.049678, 0.0323254, 0.0206343, 0.010134, 0.0050897, 0.00226789, 0.00195467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.223896, 0.254132, 0.262156, 0.27018, 0.272328, 0.278771, 0.283067, 0.287362, 0.291658, 0.295954, 0.298647, 0.302686, 0.306726, 0.312112, 0.316566, 0.319208, 0.323535, 0.326982, 0.332018, 0.33697, 0.343514, 0.346787, 0.347782, 0.350767, 0.352757, 0.354747, 0.356737, 0.358728, 0.361291, 0.363855, 0.368983, 0.375315, 0.381646, 0.399948, 0.40488, 0.411301, 0.417722, 0.428572, 0.432127, 0.439046, 0.444338, 0.453294, 0.458373, 0.463451, 0.466213, 0.471737, 0.478421, 0.486264, 0.490185, 0.496273");
-            values ( \
-              "0.0557422, 0.060077, 0.0679412, 0.0752398, 0.0769689, 0.0818677, 0.0848107, 0.0876165, 0.0902852, 0.0928167, 0.0943338, 0.0960994, 0.0976547, 0.0994931, 0.100838, 0.101512, 0.10242, 0.102969, 0.102842, 0.102595, 0.102084, 0.101749, 0.101532, 0.100759, 0.0999632, 0.099057, 0.0980408, 0.0969146, 0.0952094, 0.0933831, 0.0893674, 0.0835346, 0.0773773, 0.0585638, 0.053867, 0.0482254, 0.0429608, 0.0347794, 0.0322868, 0.0281741, 0.0253393, 0.0209581, 0.0186748, 0.0166259, 0.0156872, 0.0139316, 0.0120417, 0.0101075, 0.00924634, 0.00804237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.248627, 0.295228, 0.367599, 0.412768, 0.433504, 0.449419, 0.467109, 0.482876, 0.499347, 0.515181, 0.538448, 0.563998, 0.582192, 0.649057, 0.690896, 0.738295, 0.759578, 0.787956, 0.834076, 0.866286, 0.921224");
-            values ( \
-              "0.00476128, 0.0201816, 0.0692452, 0.0941764, 0.102557, 0.107583, 0.111638, 0.113913, 0.115253, 0.115618, 0.1142, 0.108367, 0.100609, 0.062063, 0.0412759, 0.0244472, 0.0190661, 0.0135309, 0.00764262, 0.00507606, 0.00256363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.399843, 0.48558, 0.584809, 0.614864, 0.653648, 0.693356, 0.739464, 0.765625, 0.797654, 0.83151, 0.876164, 0.895206, 0.926744, 0.959045, 1.02354, 1.05557, 1.15063, 1.20946, 1.23657, 1.26638, 1.29905, 1.34155, 1.37598, 1.41719, 1.47522, 1.53532, 1.58131, 1.67331, 1.78952, 1.90574, 2.02195");
-            values ( \
-              "0.00730198, 0.0294722, 0.0681046, 0.078807, 0.0912176, 0.101742, 0.111331, 0.115611, 0.119848, 0.122812, 0.124703, 0.124657, 0.123659, 0.120763, 0.108388, 0.0990765, 0.0692804, 0.0524553, 0.0457096, 0.0390377, 0.03267, 0.0255864, 0.0209161, 0.016374, 0.0114125, 0.00785439, 0.00589518, 0.00321215, 0.0014914, 0.000660432, 0.000320159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.684353, 0.862139, 1.0241, 1.12941, 1.23138, 1.31745, 1.37865, 1.43323, 1.54238, 1.56739, 1.61741, 1.69718, 1.8134, 1.85871, 1.91147, 1.97036, 2.08658, 2.2383, 2.34809, 2.39175, 2.45453, 2.52457, 2.61506, 2.69165, 2.77532, 2.89154, 2.94549, 3.01701, 3.11237, 3.22858, 3.3448, 3.46101, 3.69345, 3.92588");
-            values ( \
-              "0.00752435, 0.0300881, 0.0627175, 0.0823419, 0.0987071, 0.110029, 0.116396, 0.120999, 0.12706, 0.127927, 0.128987, 0.129182, 0.125489, 0.122336, 0.11729, 0.110045, 0.0927651, 0.0690439, 0.0535933, 0.0481476, 0.0409976, 0.0340352, 0.0264891, 0.0213096, 0.0167094, 0.0118005, 0.010036, 0.00808282, 0.00603903, 0.00420552, 0.0029318, 0.00203113, 0.000975815, 0.00046703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.230667, 0.233496, 0.238036, 0.24349, 0.252144, 0.258498, 0.26204, 0.269125, 0.274469, 0.277018, 0.282116, 0.286693, 0.290123, 0.2966, 0.308547, 0.312183, 0.318237, 0.325921, 0.331293, 0.338846, 0.345301, 0.348609, 0.356556, 0.365586, 0.375989, 0.386934, 0.395196, 0.398252");
-            values ( \
-              "0.00708788, 0.00883237, 0.0131013, 0.0202908, 0.0331215, 0.0411652, 0.0451696, 0.052047, 0.0559676, 0.0574256, 0.0594868, 0.0602165, 0.0598794, 0.0556987, 0.0335707, 0.0280364, 0.0206746, 0.0136694, 0.0101094, 0.00656486, 0.00454246, 0.00372673, 0.00241668, 0.00140703, 0.000802344, 0.000494012, 0.000543498, 0.000500246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.244468, 0.263678, 0.279029, 0.293719, 0.305548, 0.316106, 0.326113, 0.336018, 0.345916, 0.36272, 0.376409, 0.389468, 0.406363, 0.417394, 0.42614, 0.45015, 0.46426");
-            values ( \
-              "0.00184423, 0.0257361, 0.0475985, 0.064616, 0.0751956, 0.0810075, 0.0838618, 0.0828803, 0.0759261, 0.0497467, 0.0323546, 0.0205989, 0.0108748, 0.00702221, 0.00498731, 0.00214476, 0.00154016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.273707, 0.290033, 0.297823, 0.334259, 0.35887, 0.378697, 0.396597, 0.413729, 0.430719, 0.43884, 0.505012, 0.53352, 0.55405, 0.586525, 0.614198");
-            values ( \
-              "0.00750356, 0.0148574, 0.0213029, 0.0603419, 0.0820851, 0.0945318, 0.100984, 0.103394, 0.101189, 0.0976359, 0.0374041, 0.0208754, 0.0133342, 0.00634473, 0.0035335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.333246, 0.37877, 0.397705, 0.446927, 0.465394, 0.496556, 0.511855, 0.526019, 0.534073, 0.544667, 0.558358, 0.569774, 0.582201, 0.594465, 0.618993, 0.626766, 0.642313, 0.661427, 0.728502, 0.75529, 0.769988, 0.791912, 0.817252, 0.839305, 0.868709, 0.897342, 0.91279, 0.943685, 1.00548, 1.04216");
-            values ( \
-              "0.0052741, 0.0226592, 0.0350892, 0.0692483, 0.0805836, 0.0962139, 0.102248, 0.106854, 0.109017, 0.11131, 0.113465, 0.114652, 0.115412, 0.11562, 0.114031, 0.112737, 0.108677, 0.100632, 0.0619445, 0.048001, 0.0413355, 0.0326705, 0.0245237, 0.0189532, 0.0132749, 0.00932715, 0.00769107, 0.00519568, 0.00227039, 0.00159231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.467444, 0.564992, 0.663168, 0.694824, 0.733638, 0.773114, 0.818656, 0.845419, 0.876947, 0.9113, 0.955117, 0.974997, 1.00653, 1.03883, 1.10333, 1.13535, 1.23098, 1.28961, 1.31636, 1.34564, 1.37849, 1.42119, 1.45599, 1.49711, 1.55508, 1.61492, 1.66069, 1.75223, 1.86845, 1.98467, 2.10088");
-            values ( \
-              "0.00305406, 0.0292748, 0.067526, 0.0788241, 0.0912432, 0.101709, 0.111204, 0.115591, 0.119782, 0.1228, 0.124689, 0.12465, 0.123661, 0.12076, 0.108395, 0.0990765, 0.0691175, 0.0523547, 0.0457016, 0.0391404, 0.0327388, 0.0255985, 0.020878, 0.0163672, 0.0113985, 0.00785423, 0.00591856, 0.00322176, 0.00150835, 0.000655648, 0.000330458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.779557, 0.941455, 1.18665, 1.27615, 1.35343, 1.39203, 1.4435, 1.51266, 1.62888, 1.68295, 1.75504, 1.77666, 1.8199, 1.90801, 2.02423, 2.10194, 2.32152, 2.43774, 2.48039, 2.58214, 2.68868, 2.78194, 2.89816, 2.98662, 3.08277, 3.19898, 3.3152, 3.43141, 3.54763, 3.78006, 4.01249");
-            values ( \
-              "0.0112821, 0.0300284, 0.0784042, 0.0934698, 0.104643, 0.109447, 0.115007, 0.12099, 0.127348, 0.128791, 0.129333, 0.129173, 0.128406, 0.124546, 0.113448, 0.102694, 0.0685255, 0.0522533, 0.0470183, 0.0361207, 0.0268998, 0.0206296, 0.0146825, 0.0112388, 0.00840421, 0.00590332, 0.00409062, 0.00287054, 0.00196966, 0.00094084, 0.000445111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.401672, 0.412734, 0.417373, 0.424134, 0.437895, 0.443817, 0.452509, 0.460107, 0.467156, 0.47401, 0.480852, 0.494295, 0.49995, 0.503879, 0.51255, 0.51775, 0.525066, 0.532047, 0.540938, 0.548036, 0.558841, 0.56519");
-            values ( \
-              "0.00359258, 0.0074296, 0.0110159, 0.0180601, 0.0347966, 0.0408915, 0.0486527, 0.0536552, 0.0565435, 0.0571436, 0.0537223, 0.0309128, 0.0233996, 0.0192953, 0.0122177, 0.00925915, 0.00614944, 0.00414023, 0.00253248, 0.00171989, 0.000922326, 0.000731656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.421294, 0.440682, 0.444963, 0.469185, 0.477248, 0.487014, 0.491207, 0.502183, 0.505621, 0.509124, 0.512486, 0.517063, 0.522586, 0.532052, 0.535401, 0.549933, 0.562795, 0.572892, 0.580989, 0.588972, 0.59466, 0.605239, 0.612906, 0.618145, 0.626523, 0.635442, 0.650006, 0.673433, 0.686268, 0.727413");
-            values ( \
-              "0.000939081, 0.0160899, 0.0205406, 0.0507029, 0.0595109, 0.0685333, 0.0718347, 0.0783391, 0.0797158, 0.0806516, 0.0813324, 0.0818714, 0.081238, 0.0755472, 0.0712008, 0.0489425, 0.0327999, 0.0233338, 0.017357, 0.0128618, 0.0103443, 0.00680281, 0.00506745, 0.00415452, 0.00311619, 0.00228492, 0.00137865, 0.000585536, 0.000355024, 9.62329e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.468052, 0.488286, 0.522375, 0.530343, 0.547164, 0.567145, 0.58512, 0.602297, 0.61889, 0.627073, 0.637612, 0.671695, 0.69361, 0.708774, 0.721548, 0.732593, 0.74732, 0.759967, 0.77698, 0.796038, 0.819528, 0.847303, 0.849851");
-            values ( \
-              "0.0113374, 0.0232886, 0.0591678, 0.0670303, 0.0814112, 0.0940126, 0.100709, 0.103135, 0.101208, 0.0977128, 0.0900451, 0.0558039, 0.0374098, 0.0276482, 0.0211325, 0.0166602, 0.0120099, 0.00899368, 0.00606792, 0.00387159, 0.00219071, 0.00112532, 0.00107741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.542669, 0.578007, 0.628611, 0.638337, 0.657788, 0.687121, 0.700466, 0.714815, 0.729592, 0.740884, 0.753104, 0.769511, 0.785377, 0.809069, 0.817802, 0.834168, 0.852352, 0.919223, 0.945806, 0.961018, 0.983731, 1.0084, 1.02976, 1.05824, 1.08009, 1.08811, 1.10414, 1.13621, 1.20035, 1.28947, 1.39901");
-            values ( \
-              "0.0137746, 0.0282765, 0.0636542, 0.0698891, 0.0817072, 0.0963001, 0.101623, 0.106416, 0.11025, 0.112405, 0.114036, 0.115373, 0.115707, 0.114223, 0.112767, 0.108421, 0.100639, 0.0620595, 0.0481905, 0.0412854, 0.032344, 0.0244604, 0.0190587, 0.0135028, 0.0103181, 0.00934382, 0.00765098, 0.00508661, 0.00214711, 0.000584333, 0.000109935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.67626, 0.75713, 0.857084, 0.886389, 0.924472, 0.96497, 1.01069, 1.03725, 1.06872, 1.10322, 1.1469, 1.1668, 1.19834, 1.23063, 1.29512, 1.32715, 1.4239, 1.48214, 1.50817, 1.5364, 1.56962, 1.61271, 1.64827, 1.68922, 1.74704, 1.80638, 1.85171, 1.94236, 2.05858, 2.17479, 2.29101");
-            values ( \
-              "0.00956037, 0.0294152, 0.068312, 0.0787478, 0.0909622, 0.101726, 0.111253, 0.115633, 0.119786, 0.122842, 0.12469, 0.124678, 0.12366, 0.120783, 0.108388, 0.0990883, 0.0687645, 0.0521709, 0.0457062, 0.0393678, 0.0328506, 0.0256455, 0.0208245, 0.0163274, 0.0113941, 0.00787805, 0.00594, 0.00326558, 0.00151752, 0.00067083, 0.000326421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.99853, 1.13509, 1.29725, 1.40115, 1.50175, 1.61214, 1.68389, 1.74838, 1.83944, 1.95566, 1.99686, 2.04791, 2.10058, 2.20592, 2.31572, 2.58713, 2.6733, 2.77412, 2.87963, 2.97748, 3.09369, 3.18039, 3.27388, 3.3901, 3.50631, 3.62253, 3.73875, 3.97118, 4.20361");
-            values ( \
-              "0.0187053, 0.0302789, 0.0629444, 0.082301, 0.0985178, 0.112568, 0.119354, 0.123881, 0.12792, 0.129328, 0.12879, 0.127285, 0.124552, 0.114751, 0.0994581, 0.0579753, 0.0469814, 0.0361338, 0.0270521, 0.0204804, 0.0145202, 0.0112263, 0.00846722, 0.00590229, 0.00413451, 0.00285747, 0.00200346, 0.000969263, 0.000470519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.751569, 0.78932, 0.801413, 0.811982, 0.848336, 0.857563, 0.865941, 0.873898, 0.881858, 0.907789, 0.917617, 0.928273, 0.939847, 0.963196, 0.967187");
-            values ( \
-              "0.000621755, 0.00244608, 0.00659913, 0.012465, 0.0396012, 0.0447725, 0.0480479, 0.0496999, 0.0479954, 0.0169709, 0.0102895, 0.00589894, 0.00309032, 0.000905399, 0.000868073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.81699, 0.834953, 0.839696, 0.847172, 0.864777, 0.88392, 0.895835, 0.90399, 0.911191, 0.922849, 0.934107, 0.945347, 0.953204, 0.966372, 0.975724, 0.984126, 0.997213, 1.00381, 1.01078, 1.02092, 1.02827, 1.0406, 1.05081, 1.06344, 1.08651, 1.08764");
-            values ( \
-              "0.00716867, 0.0112163, 0.0139222, 0.0192534, 0.0351125, 0.0510054, 0.0597724, 0.0649188, 0.0686758, 0.0728481, 0.0739817, 0.0695976, 0.060427, 0.0428809, 0.0325234, 0.0249156, 0.0158121, 0.0124293, 0.00958966, 0.00650487, 0.00495871, 0.00324819, 0.00229435, 0.00148391, 0.000654894, 0.000643982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.865953, 0.898289, 0.904063, 0.960578, 0.969926, 0.988622, 0.999644, 1.01198, 1.02869, 1.03422, 1.04142, 1.0486, 1.0577, 1.0676, 1.11013, 1.13055, 1.14026, 1.15305, 1.16448, 1.17972, 1.19203, 1.20553, 1.21596, 1.2409, 1.27744");
-            values ( \
-              "0.00481515, 0.0168523, 0.0203761, 0.0648595, 0.0714892, 0.0828325, 0.088119, 0.0926446, 0.0962434, 0.0967345, 0.0968035, 0.0959098, 0.0930962, 0.0870883, 0.0482182, 0.0331219, 0.0273281, 0.0210058, 0.0164775, 0.0117661, 0.00891955, 0.00655588, 0.00514663, 0.00292631, 0.00130833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.961299, 1.01062, 1.09743, 1.13888, 1.16599, 1.18428, 1.20461, 1.21582, 1.23335, 1.24524, 1.2662, 1.2787, 1.29538, 1.31246, 1.38484, 1.41499, 1.4324, 1.45698, 1.47963, 1.50982, 1.52997, 1.55241, 1.58234, 1.64219, 1.69494");
-            values ( \
-              "0.00768862, 0.0224368, 0.0744246, 0.094429, 0.103981, 0.108495, 0.111716, 0.112834, 0.113653, 0.113565, 0.11152, 0.108679, 0.102657, 0.0941074, 0.0530298, 0.039073, 0.0324297, 0.0245269, 0.0188323, 0.0130933, 0.0101888, 0.00770971, 0.00529616, 0.00236828, 0.00135286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.11919, 1.21149, 1.33401, 1.3904, 1.4227, 1.45922, 1.48481, 1.51571, 1.54738, 1.5611, 1.58853, 1.62469, 1.68807, 1.71392, 1.7347, 1.75761, 1.79348, 1.87677, 1.92443, 1.95836, 2.01591, 2.06679, 2.11391, 2.17674, 2.2141, 2.2561, 2.31211, 2.42412, 2.54033, 2.65655, 2.77276");
-            values ( \
-              "0.00647259, 0.0276996, 0.0747568, 0.0929264, 0.101356, 0.109211, 0.113784, 0.118261, 0.121635, 0.122681, 0.124132, 0.124579, 0.120902, 0.116993, 0.112746, 0.107034, 0.0965542, 0.0703118, 0.0564053, 0.0476551, 0.0350322, 0.0262684, 0.0199272, 0.0135731, 0.010794, 0.00831065, 0.00581405, 0.00277506, 0.00125954, 0.000584053, 0.000255833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.51345, 1.65754, 1.78419, 1.89172, 1.99044, 2.07753, 2.16631, 2.20104, 2.27049, 2.30022, 2.35967, 2.43, 2.54622, 2.59154, 2.6443, 2.70318, 2.8194, 2.97111, 3.08088, 3.12455, 3.18734, 3.25738, 3.34786, 3.42444, 3.5081, 3.62432, 3.67827, 3.74978, 3.84514, 3.96136, 4.07757, 4.19379, 4.42622, 4.65865");
-            values ( \
-              "0.0350113, 0.0425182, 0.0680236, 0.0873376, 0.102454, 0.113048, 0.121022, 0.123372, 0.126885, 0.127895, 0.12912, 0.129157, 0.125508, 0.122353, 0.117276, 0.110064, 0.0927526, 0.0690565, 0.0535885, 0.0481583, 0.0409924, 0.0340415, 0.0264848, 0.0213063, 0.0167151, 0.0117966, 0.010033, 0.00808016, 0.00604231, 0.0042027, 0.00293473, 0.0020283, 0.000973074, 0.000464404" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0075897, 0.00760218, 0.00761548, 0.00762661, 0.00763401, 0.0076383", \
-            "0.00920015, 0.00921255, 0.00922718, 0.00924036, 0.00924968, 0.0092552", \
-            "0.0101246, 0.0101351, 0.0101492, 0.0101636, 0.0101746, 0.0101815", \
-            "0.0106302, 0.0106363, 0.0106458, 0.0106573, 0.0106675, 0.0106745", \
-            "0.0108952, 0.0108901, 0.0108852, 0.0108827, 0.0108831, 0.0108848", \
-            "0.0110931, 0.0110748, 0.0110494, 0.0110218, 0.0110003, 0.0109876" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0104845, 0.0104963, 0.010511, 0.010525, 0.0105345, 0.0105406", \
-            "0.010602, 0.0106046, 0.0106109, 0.0106193, 0.0106265, 0.0106313", \
-            "0.0101806, 0.010155, 0.0101247, 0.010098, 0.0100797, 0.0100689", \
-            "0.00999363, 0.00992905, 0.00984643, 0.0097635, 0.00969754, 0.00965492", \
-            "0.0103252, 0.0101683, 0.0099791, 0.00978551, 0.00963763, 0.00954458", \
-            "0.0103964, 0.010418, 0.0104642, 0.0101744, 0.00984382, 0.00962271" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.152672, 0.162858, 0.170994, 0.186259, 0.201554, 0.217686, 0.22493, 0.231992, 0.236713, 0.239265, 0.259174, 0.26553, 0.272395, 0.276919");
-            values ( \
-              "-0.00720007, -0.0111447, -0.017639, -0.0325529, -0.0436683, -0.0525053, -0.0547758, -0.0555267, -0.0531202, -0.0505572, -0.0137935, -0.0075306, -0.00374936, -0.00251343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.174554, 0.178572, 0.186425, 0.198122, 0.215291, 0.233471, 0.247895, 0.260463, 0.271942, 0.279726, 0.285624, 0.289284, 0.29332, 0.297542, 0.302332, 0.308166, 0.321414, 0.329451, 0.337284, 0.343324, 0.349959, 0.35512, 0.365442, 0.374907");
-            values ( \
-              "-0.0085967, -0.00973563, -0.0130719, -0.0212757, -0.0382578, -0.0525888, -0.0619158, -0.0693206, -0.0744795, -0.0770829, -0.0783493, -0.078468, -0.0782416, -0.0765957, -0.0731344, -0.0649356, -0.0388372, -0.0257182, -0.0165005, -0.0113407, -0.0074573, -0.0053929, -0.00262675, -0.00153216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.236159, 0.260253, 0.271537, 0.282674, 0.285927, 0.289181, 0.298941, 0.310808, 0.320789, 0.330044, 0.340831, 0.350371, 0.357201, 0.367147, 0.371762, 0.376929, 0.380161, 0.383393, 0.386626, 0.39309, 0.397633, 0.401563, 0.403804, 0.406045, 0.408285, 0.410526, 0.412733, 0.414939, 0.417146, 0.419352, 0.423766, 0.428179, 0.432592, 0.437005, 0.437996, 0.440968, 0.44394, 0.445798, 0.451373, 0.466013, 0.472485, 0.478778, 0.48324, 0.485604, 0.487968, 0.491524, 0.49508, 0.500131, 0.505182, 0.509475");
-            values ( \
-              "-0.0256509, -0.0279761, -0.0359686, -0.0442515, -0.0465487, -0.0488088, -0.0549671, -0.0620224, -0.0676273, -0.0725333, -0.0779173, -0.0824348, -0.0853644, -0.0892596, -0.0909254, -0.0926658, -0.0936379, -0.094537, -0.0953083, -0.0967415, -0.0976615, -0.0983995, -0.0985655, -0.0986313, -0.0985971, -0.0984627, -0.0982303, -0.0979024, -0.0974787, -0.0969594, -0.0956337, -0.0939253, -0.0918343, -0.0893606, -0.0885913, -0.0851532, -0.0813207, -0.0787327, -0.0708161, -0.0494016, -0.0413192, -0.0342983, -0.0296605, -0.0273922, -0.025278, -0.0225386, -0.0200224, -0.016948, -0.014093, -0.0118386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.307341, 0.372898, 0.448737, 0.486534, 0.517954, 0.551475, 0.580905, 0.599422, 0.615927, 0.639245, 0.649028, 0.665339, 0.681393, 0.7135, 0.7277, 0.760705, 0.779856, 0.800256, 0.827403, 0.842822, 0.863025, 0.889961, 0.941818, 1.00203");
-            values ( \
-              "-0.00123486, -0.024832, -0.0584348, -0.0732688, -0.0842017, -0.0943281, -0.102117, -0.106435, -0.109764, -0.113377, -0.114385, -0.11519, -0.114324, -0.103363, -0.0921816, -0.062098, -0.0468208, -0.0336294, -0.0208973, -0.0157261, -0.0107497, -0.00634414, -0.00204917, -0.000534014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.518936, 0.621157, 0.785693, 0.930046, 0.985159, 1.03566, 1.1035, 1.13661, 1.16784, 1.23127, 1.29472, 1.42714, 1.48515, 1.51202, 1.55008, 1.59782, 1.66147, 1.76747, 1.89177");
-            values ( \
-              "-0.00624897, -0.0245885, -0.0650832, -0.0948363, -0.104475, -0.112069, -0.120036, -0.122796, -0.124515, -0.123949, -0.110944, -0.0493991, -0.0306232, -0.0241872, -0.0171013, -0.0109341, -0.00582503, -0.00184413, -0.000438949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.955897, 1.15331, 1.55625, 1.67447, 1.84637, 2.03916, 2.1531, 2.26491, 2.39351, 2.43774, 2.48168, 2.53032, 2.71509, 2.83261, 2.93257, 3.00592, 3.11536, 3.18798, 3.31657, 3.44516, 3.70234");
-            values ( \
-              "-0.00885983, -0.0250161, -0.075632, -0.088759, -0.105472, -0.120101, -0.126186, -0.129724, -0.128892, -0.126263, -0.121565, -0.113116, -0.0678595, -0.0439321, -0.0292285, -0.0212774, -0.0130619, -0.0093359, -0.00504328, -0.00267964, -0.000744042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.168738, 0.183019, 0.19466, 0.206855, 0.21655, 0.224984, 0.232682, 0.239927, 0.246989, 0.251705, 0.254261, 0.268938, 0.27415, 0.280511, 0.287453, 0.295388, 0.305368, 0.316943, 0.388286");
-            values ( \
-              "-0.00535205, -0.0146464, -0.0270056, -0.0368695, -0.0434312, -0.0487418, -0.0522924, -0.0549724, -0.0553368, -0.0532971, -0.050404, -0.0216025, -0.0137961, -0.00751936, -0.00374847, -0.00155482, -0.000506362, -0.00014867, -7.06116e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.188672, 0.205859, 0.214211, 0.230598, 0.24872, 0.263106, 0.27568, 0.28715, 0.294928, 0.300822, 0.3045, 0.308516, 0.312742, 0.317533, 0.319469, 0.323342, 0.336615, 0.344641, 0.352466, 0.358507, 0.365146, 0.370312, 0.380642, 0.393536, 0.405467, 0.452344");
-            values ( \
-              "-0.00277624, -0.016435, -0.022295, -0.0383764, -0.0526301, -0.0620412, -0.0693271, -0.0744828, -0.0770892, -0.0783588, -0.0785333, -0.0782483, -0.0766476, -0.0731269, -0.0708638, -0.0649596, -0.0388387, -0.0257348, -0.0164904, -0.0113603, -0.00747443, -0.00537296, -0.00264141, -0.00111546, -0.000528352, -0.000133224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.236592, 0.275045, 0.298, 0.318339, 0.334932, 0.351645, 0.374167, 0.39238, 0.398835, 0.411744, 0.416995, 0.425953, 0.434776, 0.452421, 0.459368, 0.481421, 0.496284, 0.510489, 0.524881, 0.533466, 0.550636, 0.578714, 0.608169, 0.639384");
-            values ( \
-              "-0.00490189, -0.0271677, -0.0441777, -0.0574114, -0.0669602, -0.0757326, -0.0860583, -0.0925854, -0.0944613, -0.0973354, -0.0981227, -0.0988304, -0.0982375, -0.089608, -0.0815739, -0.0497288, -0.032157, -0.0202107, -0.0122096, -0.00895172, -0.00465361, -0.00145404, -0.000445253, -0.000156705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.351744, 0.384782, 0.401892, 0.474361, 0.514552, 0.558198, 0.592848, 0.631443, 0.656962, 0.679677, 0.696888, 0.729253, 0.795653, 0.824551, 0.837977, 0.856992, 0.880967, 0.912934, 0.965905, 1.02797");
-            values ( \
-              "-0.0213698, -0.0236381, -0.0303261, -0.0626773, -0.0778814, -0.0919151, -0.101307, -0.109837, -0.113667, -0.115201, -0.114379, -0.1032, -0.0465856, -0.0289433, -0.0228377, -0.0161325, -0.0102665, -0.00541652, -0.00171028, -0.00040621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.530771, 0.637497, 0.802278, 0.853183, 0.945998, 1.00398, 1.05204, 1.08774, 1.11985, 1.18432, 1.24778, 1.3112, 1.40473, 1.44362, 1.50158, 1.52846, 1.56652, 1.61429, 1.67797, 1.78397, 1.90828");
-            values ( \
-              "-0.00500079, -0.0245416, -0.0651532, -0.0763075, -0.0947776, -0.104889, -0.112086, -0.116587, -0.120052, -0.124517, -0.12397, -0.110958, -0.0659323, -0.049387, -0.0306312, -0.0241902, -0.0171037, -0.0109332, -0.00582132, -0.00184384, -0.00043794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.958087, 1.16933, 1.56919, 1.69046, 1.86236, 2.05516, 2.16906, 2.2809, 2.4102, 2.45419, 2.49792, 2.54631, 2.73107, 2.84916, 2.94793, 3.02043, 3.13184, 3.20573, 3.33432, 3.46291, 3.72009");
-            values ( \
-              "-0.00664074, -0.0250218, -0.0752544, -0.0887833, -0.105497, -0.120077, -0.126209, -0.129748, -0.128844, -0.126228, -0.121531, -0.113117, -0.0678584, -0.0438338, -0.0293035, -0.0214177, -0.0130312, -0.00926002, -0.0049995, -0.00265787, -0.000738798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.205575, 0.212552, 0.215256, 0.220664, 0.223379, 0.22881, 0.232052, 0.238536, 0.244239, 0.253934, 0.262367, 0.270065, 0.277309, 0.284371, 0.289096, 0.291643, 0.295397, 0.301991, 0.303429, 0.306304, 0.308819, 0.311548, 0.315185, 0.317904, 0.318888, 0.320855, 0.324789, 0.332657, 0.337058");
-            values ( \
-              "-0.0072437, -0.0101365, -0.0113025, -0.0152742, -0.0177462, -0.0237157, -0.0268218, -0.0324051, -0.0366948, -0.0436113, -0.0485817, -0.0524532, -0.0548282, -0.0554795, -0.0531621, -0.0505189, -0.0442197, -0.0299988, -0.0270459, -0.0216484, -0.017552, -0.0137929, -0.00979885, -0.00752648, -0.00682718, -0.00561715, -0.00375004, -0.00159164, -0.00110855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.236479, 0.250984, 0.255504, 0.261181, 0.264703, 0.268225, 0.271153, 0.27701, 0.283104, 0.289436, 0.300618, 0.305673, 0.310728, 0.314357, 0.319173, 0.324613, 0.327434, 0.329806, 0.335441, 0.338152, 0.340114, 0.343047, 0.345961, 0.347029, 0.348098, 0.349167, 0.350235, 0.351434, 0.353832, 0.355031, 0.356439, 0.356909, 0.359725, 0.362469, 0.367884, 0.373092, 0.375008, 0.377624, 0.382094, 0.385568, 0.38772, 0.389872, 0.391384, 0.394409, 0.395922, 0.398376");
-            values ( \
-              "-0.0196425, -0.0222824, -0.0261872, -0.0322065, -0.0354979, -0.0386495, -0.041135, -0.0458726, -0.0504564, -0.054899, -0.0623048, -0.0652807, -0.0680816, -0.0699641, -0.0722074, -0.0745013, -0.0755469, -0.076332, -0.0779267, -0.0785086, -0.0785391, -0.078379, -0.0779762, -0.0776618, -0.0772692, -0.0767983, -0.076249, -0.0755372, -0.0738145, -0.0728037, -0.0712478, -0.0706742, -0.0662037, -0.0612878, -0.0509025, -0.0405066, -0.0369484, -0.0325192, -0.0256954, -0.0208929, -0.0185227, -0.0163586, -0.014978, -0.0124878, -0.0113781, -0.0097598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.283652, 0.302799, 0.307525, 0.312251, 0.32198, 0.329173, 0.336366, 0.339672, 0.342978, 0.352897, 0.364596, 0.37703, 0.389582, 0.396822, 0.404636, 0.412155, 0.421493, 0.425128, 0.430299, 0.436772, 0.443245, 0.449717, 0.454914, 0.457152, 0.45939, 0.461627, 0.463865, 0.466069, 0.468273, 0.470477, 0.472681, 0.47709, 0.481498, 0.485906, 0.490314, 0.491309, 0.494291, 0.499128, 0.504692, 0.519303, 0.525775, 0.532067, 0.53653, 0.538895, 0.54126, 0.544814, 0.548368, 0.553417, 0.558465, 0.562756");
-            values ( \
-              "-0.0151344, -0.0214817, -0.0242716, -0.0272749, -0.0341304, -0.0393703, -0.0447562, -0.0470732, -0.0493435, -0.0555874, -0.0624817, -0.0694147, -0.0758799, -0.0793988, -0.0830384, -0.0862055, -0.0897994, -0.0911002, -0.0928335, -0.0946969, -0.0961936, -0.0975471, -0.0985301, -0.0986926, -0.0987549, -0.0987172, -0.0985794, -0.0983436, -0.0980121, -0.0975849, -0.0970619, -0.095729, -0.0940132, -0.0919146, -0.0894331, -0.0886581, -0.0851973, -0.0787535, -0.0708375, -0.0494324, -0.0413406, -0.0343154, -0.0296709, -0.0273998, -0.0252843, -0.0225443, -0.0200277, -0.0169528, -0.0140973, -0.0118427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.386843, 0.423607, 0.522686, 0.564271, 0.596308, 0.63454, 0.669511, 0.693612, 0.718875, 0.734926, 0.767026, 0.833367, 0.853754, 0.880875, 0.903047, 0.94349, 0.995776, 1.05599");
-            values ( \
-              "-0.0164038, -0.0240053, -0.0667784, -0.0819419, -0.091977, -0.102244, -0.109873, -0.113561, -0.115262, -0.11439, -0.103396, -0.0468177, -0.0336298, -0.0209037, -0.0138873, -0.00633386, -0.00202925, -0.000521877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.611578, 0.704263, 0.805327, 0.883395, 0.986065, 1.0475, 1.0896, 1.17064, 1.22187, 1.27208, 1.28514, 1.31126, 1.35187, 1.44187, 1.49373, 1.53121, 1.59594, 1.65414, 1.70086, 1.79431, 1.91542");
-            values ( \
-              "-0.0208191, -0.0312488, -0.0569377, -0.0746776, -0.0951682, -0.105774, -0.112024, -0.121208, -0.124495, -0.124661, -0.123851, -0.120785, -0.109356, -0.0659533, -0.0445733, -0.032643, -0.0183204, -0.0106432, -0.00678658, -0.00251881, -0.000643029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("1.06745, 1.20816, 1.61381, 1.80071, 1.90067, 2.09341, 2.20757, 2.31914, 2.44774, 2.49356, 2.53675, 2.58457, 2.76931, 2.88876, 2.98463, 3.05503, 3.17127, 3.24826, 3.37685, 3.50544, 3.76262");
-            values ( \
-              "-0.0224299, -0.0251105, -0.0760293, -0.0961577, -0.105482, -0.120112, -0.126197, -0.129725, -0.128902, -0.126141, -0.121456, -0.113117, -0.067861, -0.0435976, -0.029494, -0.0217628, -0.0129616, -0.00907311, -0.00489842, -0.00260127, -0.000721945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.2943, 0.304995, 0.319088, 0.340918, 0.353219, 0.364308, 0.367839, 0.372545, 0.376074, 0.377999, 0.382394, 0.390421, 0.396271, 0.400377, 0.405885");
-            values ( \
-              "-0.00772115, -0.0133497, -0.02709, -0.043793, -0.0509873, -0.0556536, -0.055449, -0.0542784, -0.0527278, -0.050815, -0.04375, -0.0269217, -0.0167907, -0.0115394, -0.00673429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.31535, 0.335679, 0.355166, 0.358361, 0.373267, 0.381422, 0.387606, 0.39379, 0.400623, 0.40582, 0.41322, 0.416849, 0.423472, 0.425131, 0.427122, 0.429332, 0.432269, 0.433002, 0.435134, 0.437265, 0.439776, 0.442287, 0.443541, 0.447302, 0.452318, 0.460722, 0.463416, 0.467456, 0.472358, 0.475481, 0.477555, 0.480426, 0.485776");
-            values ( \
-              "-0.00506033, -0.0203265, -0.0385453, -0.0411398, -0.0527209, -0.0583569, -0.0622251, -0.0658122, -0.0694966, -0.0719857, -0.0750789, -0.0762918, -0.0780495, -0.0783805, -0.0786228, -0.0785336, -0.0781486, -0.078005, -0.0772554, -0.0762111, -0.0746029, -0.0725859, -0.0712059, -0.0653749, -0.0560216, -0.03937, -0.0345865, -0.0281543, -0.0213189, -0.01777, -0.0157367, -0.0133164, -0.00926449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.375872, 0.396797, 0.403576, 0.412021, 0.420467, 0.425333, 0.430198, 0.434976, 0.444532, 0.454752, 0.467434, 0.480074, 0.487795, 0.492754, 0.499417, 0.508505, 0.515604, 0.520676, 0.523984, 0.527293, 0.53391, 0.539673, 0.542127, 0.544364, 0.546601, 0.548838, 0.551075, 0.55328, 0.555485, 0.557689, 0.559894, 0.564303, 0.568713, 0.573122, 0.577531, 0.578521, 0.581491, 0.586318, 0.591889, 0.606524, 0.612992, 0.619282, 0.623742, 0.626105, 0.628468, 0.632023, 0.635578, 0.640627, 0.645676, 0.649968");
-            values ( \
-              "-0.0231693, -0.0255742, -0.0299969, -0.0362137, -0.0426588, -0.0460721, -0.0493601, -0.0523931, -0.0582443, -0.0641829, -0.0711031, -0.0774823, -0.0811718, -0.0834431, -0.0862194, -0.089732, -0.0922213, -0.0937835, -0.0946949, -0.0954711, -0.0969211, -0.0980727, -0.0985318, -0.0986944, -0.0987568, -0.0987192, -0.0985814, -0.0983454, -0.0980137, -0.0975863, -0.0970632, -0.0957301, -0.0940142, -0.0919156, -0.0894343, -0.088661, -0.0852136, -0.0787851, -0.070859, -0.0494218, -0.041335, -0.0343115, -0.0296719, -0.0274026, -0.0252874, -0.0225467, -0.0200295, -0.016954, -0.014098, -0.0118428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.474053, 0.510527, 0.518299, 0.526071, 0.573658, 0.592353, 0.604113, 0.626253, 0.644958, 0.659161, 0.666894, 0.677417, 0.684074, 0.696405, 0.714075, 0.729817, 0.744097, 0.750713, 0.757329, 0.769966, 0.782603, 0.790421, 0.79433, 0.798239, 0.802147, 0.806056, 0.810236, 0.814416, 0.818596, 0.822776, 0.830868, 0.838959, 0.847051, 0.855142, 0.85693, 0.859613, 0.86766, 0.901159, 0.909498, 0.918533, 0.92939, 0.937236, 0.943839, 0.950443, 0.953799, 0.963869, 0.968623, 0.978131, 0.984883, 0.990877");
-            values ( \
-              "-0.0172101, -0.0235355, -0.0266347, -0.0298817, -0.0515358, -0.059429, -0.0641477, -0.0727187, -0.0794509, -0.0842707, -0.0867496, -0.0899844, -0.0919481, -0.0953897, -0.10007, -0.104071, -0.107388, -0.108728, -0.109968, -0.111943, -0.113773, -0.114833, -0.114998, -0.115047, -0.114982, -0.114803, -0.11448, -0.114029, -0.113449, -0.112742, -0.111007, -0.108792, -0.106096, -0.102919, -0.101953, -0.0999442, -0.0932527, -0.0627709, -0.0555042, -0.0486235, -0.0411318, -0.0361053, -0.0321308, -0.0285406, -0.0269703, -0.0226356, -0.0208806, -0.0175566, -0.0153467, -0.0134897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.680943, 0.762829, 0.945638, 1.04626, 1.12234, 1.1775, 1.26049, 1.30972, 1.35798, 1.37296, 1.40292, 1.43967, 1.52965, 1.5813, 1.61905, 1.68425, 1.74118, 1.78689, 1.87832, 1.99887");
-            values ( \
-              "-0.0139601, -0.0245389, -0.0691578, -0.0899417, -0.103704, -0.112063, -0.121397, -0.124511, -0.124754, -0.123863, -0.120113, -0.109349, -0.0659555, -0.0446506, -0.0326248, -0.0182295, -0.0107191, -0.00690291, -0.00263069, -0.00067549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.13263, 1.29715, 1.69669, 1.81763, 1.9895, 2.11809, 2.18224, 2.29639, 2.40797, 2.53656, 2.58227, 2.62551, 2.67339, 2.85813, 2.97745, 3.07361, 3.14422, 3.25997, 3.33664, 3.46523, 3.59382, 3.851");
-            values ( \
-              "-0.0155016, -0.025161, -0.075329, -0.0887944, -0.105502, -0.115785, -0.120099, -0.126216, -0.129743, -0.128888, -0.126153, -0.121464, -0.113119, -0.0678598, -0.0436205, -0.0294735, -0.0217286, -0.0129675, -0.00909298, -0.00490746, -0.00260803, -0.000724717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.493455, 0.500465, 0.511371, 0.531036, 0.546965, 0.555526, 0.563311, 0.570617, 0.577728, 0.581278, 0.585034, 0.604929, 0.61132, 0.618498, 0.626702, 0.631921");
-            values ( \
-              "-0.00441072, -0.00666465, -0.012689, -0.0310051, -0.0428101, -0.0479942, -0.051916, -0.0544353, -0.0551198, -0.0537055, -0.0503165, -0.0138442, -0.00754355, -0.00365554, -0.00148556, -0.000965524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.522802, 0.535023, 0.542035, 0.549353, 0.562212, 0.580366, 0.594725, 0.607741, 0.617581, 0.625532, 0.630686, 0.634967, 0.638802, 0.642843, 0.646804, 0.651098, 0.667636, 0.676146, 0.684354, 0.690304, 0.696601, 0.701463, 0.711188, 0.724062, 0.729907");
-            values ( \
-              "-0.00846585, -0.0143836, -0.0192553, -0.0256739, -0.0384756, -0.0527008, -0.0622623, -0.0694405, -0.0739131, -0.0767189, -0.0780008, -0.0785383, -0.078416, -0.077381, -0.0751425, -0.0708759, -0.0400814, -0.0259941, -0.0162664, -0.0112943, -0.00759657, -0.00554807, -0.0028749, -0.00119028, -0.000889147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.568933, 0.610341, 0.637003, 0.656027, 0.676554, 0.700328, 0.724119, 0.730734, 0.743963, 0.757819, 0.766636, 0.784271, 0.791203, 0.81326, 0.828115, 0.842314, 0.856703, 0.865286, 0.882452, 0.910341, 0.939747, 0.970895");
-            values ( \
-              "-0.00335867, -0.0296668, -0.049305, -0.0609808, -0.0723822, -0.08381, -0.092679, -0.0945751, -0.0975126, -0.0989338, -0.0983094, -0.0896727, -0.0816206, -0.0497339, -0.0321589, -0.0202244, -0.0122201, -0.00894745, -0.00466141, -0.00146153, -0.000456687, -0.000151574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.669882, 0.720727, 0.739154, 0.798863, 0.842561, 0.877661, 0.907389, 0.950938, 0.964191, 0.989518, 0.997281, 1.01281, 1.02964, 1.062, 1.07452, 1.10894, 1.1284, 1.14409, 1.1573, 1.17073, 1.18974, 1.21372, 1.24568, 1.29865, 1.36078");
-            values ( \
-              "-0.00769572, -0.02472, -0.0323253, -0.0593169, -0.0761758, -0.0879173, -0.0965054, -0.107195, -0.109809, -0.113666, -0.114418, -0.115226, -0.114353, -0.103221, -0.0934479, -0.0621037, -0.0465982, -0.0361731, -0.028932, -0.0228482, -0.0161218, -0.0102566, -0.00542635, -0.00170061, -0.000415045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.905078, 0.972188, 1.15709, 1.25372, 1.32926, 1.3856, 1.45357, 1.51791, 1.58137, 1.64478, 1.77718, 1.83515, 1.90008, 1.94784, 2.01152, 2.10022");
-            values ( \
-              "-0.0220147, -0.0249676, -0.0699711, -0.0898857, -0.10357, -0.112117, -0.120093, -0.124583, -0.123984, -0.111, -0.0493932, -0.030632, -0.0171045, -0.0109341, -0.00582198, -0.00249341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.38556, 1.56371, 1.73913, 1.91239, 2.02635, 2.19823, 2.32682, 2.39098, 2.5051, 2.61672, 2.74531, 2.79122, 2.83437, 2.88214, 3.10674, 3.18644, 3.28209, 3.35233, 3.46894, 3.54615, 3.67474, 3.80333, 4.06051");
-            values ( \
-              "-0.024126, -0.0320594, -0.0551269, -0.0761268, -0.088785, -0.105495, -0.115778, -0.120094, -0.126208, -0.129738, -0.128886, -0.126134, -0.121447, -0.113117, -0.0589704, -0.0435783, -0.0295071, -0.0217904, -0.0129552, -0.00906039, -0.00488967, -0.00259827, -0.0007219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.945738, 0.958261, 0.971736, 0.981184, 0.986693, 1.01347, 1.02338, 1.03235, 1.04068, 1.04873, 1.05275, 1.0569, 1.06106, 1.07194, 1.07934, 1.08593, 1.09564, 1.10599, 1.11378");
-            values ( \
-              "-0.00318672, -0.00556062, -0.0104705, -0.0163839, -0.0209818, -0.0368803, -0.041688, -0.045189, -0.0479679, -0.0488128, -0.0481583, -0.0453954, -0.0403124, -0.0215361, -0.0119543, -0.0065959, -0.00259045, -0.000870019, -0.000451905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.991041, 1.00882, 1.0127, 1.01768, 1.02613, 1.03338, 1.0356, 1.04225, 1.04832, 1.05439, 1.05845, 1.06251, 1.07062, 1.07762, 1.08462, 1.09376, 1.09853, 1.10233, 1.10459, 1.10888, 1.10908, 1.11114, 1.11278, 1.11442, 1.11524, 1.11737, 1.12024, 1.12147, 1.12245, 1.12344, 1.12541, 1.1264, 1.12785, 1.1293, 1.1322, 1.13445, 1.1367, 1.13895, 1.14357, 1.14951, 1.15145, 1.15592, 1.15985, 1.16266, 1.16483, 1.16699, 1.17007, 1.17161, 1.17315, 1.17558");
-            values ( \
-              "-0.0134465, -0.0153606, -0.0175221, -0.0206605, -0.0268084, -0.0323516, -0.0339497, -0.0385814, -0.0425992, -0.0464134, -0.0488145, -0.0511428, -0.0555809, -0.0591761, -0.0625546, -0.0665151, -0.0683337, -0.0696575, -0.0703612, -0.0714942, -0.0715828, -0.0720763, -0.0723918, -0.0725878, -0.0726531, -0.0727056, -0.0725943, -0.0724089, -0.0721711, -0.0718615, -0.0710272, -0.0705023, -0.0694439, -0.0682405, -0.0653991, -0.062621, -0.0596128, -0.0563745, -0.0489891, -0.0383584, -0.0351831, -0.028478, -0.0231139, -0.0196991, -0.0175307, -0.0155435, -0.0130399, -0.0119139, -0.0108717, -0.00939158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.98951, 1.04401, 1.06645, 1.08146, 1.09313, 1.09709, 1.12173, 1.14471, 1.15482, 1.16025, 1.16499, 1.17602, 1.18339, 1.18689, 1.19031, 1.19658, 1.20126, 1.20459, 1.20902, 1.21591, 1.22234, 1.22405, 1.22747, 1.2343, 1.24113, 1.25478, 1.25941, 1.26851, 1.2783, 1.29284, 1.30078, 1.30712, 1.3135, 1.32181, 1.3241, 1.32866, 1.33589, 1.34612, 1.3505, 1.35927, 1.3768, 1.38373");
-            values ( \
-              "-0.00187263, -0.00430527, -0.0121142, -0.0187723, -0.0250591, -0.0274495, -0.0435743, -0.0566538, -0.0621938, -0.0648898, -0.0673597, -0.072712, -0.0761552, -0.0777204, -0.0792463, -0.0818437, -0.0837108, -0.0849669, -0.0865682, -0.0888957, -0.0908451, -0.0913314, -0.0922556, -0.0938828, -0.0951755, -0.0963382, -0.0959322, -0.0936667, -0.0871098, -0.0688286, -0.0573037, -0.0487003, -0.0408396, -0.031772, -0.029645, -0.0255934, -0.02018, -0.0141442, -0.01215, -0.00883999, -0.00456839, -0.00379029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.14841, 1.20461, 1.22495, 1.27732, 1.31282, 1.34726, 1.38295, 1.42556, 1.46078, 1.48401, 1.51039, 1.52649, 1.55869, 1.62513, 1.64553, 1.67263, 1.6881, 1.70837, 1.7354, 1.78724, 1.8474");
-            values ( \
-              "-0.00752553, -0.0189262, -0.0265156, -0.0505722, -0.0653199, -0.078191, -0.089792, -0.101467, -0.109244, -0.112913, -0.114829, -0.114009, -0.103154, -0.0467613, -0.0336032, -0.0209075, -0.0157218, -0.010736, -0.00632634, -0.00204587, -0.000534362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.42486, 1.51286, 1.6523, 1.80598, 1.86023, 1.91956, 1.95165, 2.01611, 2.07955, 2.14297, 2.27538, 2.33334, 2.39828, 2.44604, 2.50973, 2.61567, 2.73991");
-            values ( \
-              "-0.0299786, -0.0348608, -0.0692752, -0.0998776, -0.108701, -0.116635, -0.120057, -0.124555, -0.123965, -0.110986, -0.0493896, -0.0306316, -0.0171041, -0.0109336, -0.00582162, -0.00184531, -0.00043865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.7947, 2.00361, 2.41019, 2.52471, 2.6966, 2.88939, 3.00337, 3.11512, 3.24371, 3.28986, 3.3329, 3.38055, 3.60524, 3.68514, 3.78017, 3.84996, 3.96759, 4.04546, 4.17406, 4.30265, 4.55983");
-            values ( \
-              "-0.00700724, -0.0250119, -0.0760556, -0.0887653, -0.105478, -0.120095, -0.126192, -0.129728, -0.128889, -0.126111, -0.121428, -0.113114, -0.0589487, -0.0435282, -0.0295481, -0.0218642, -0.0129409, -0.00902109, -0.00486893, -0.00258618, -0.000718089" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00696604, 0.00697731, 0.00698978, 0.00700059, 0.00700803, 0.00701235", \
-            "0.00785524, 0.00786304, 0.00787291, 0.0078824, 0.00788939, 0.00789365", \
-            "0.0083313, 0.00833545, 0.00834164, 0.00834861, 0.00835445, 0.0083583", \
-            "0.00860278, 0.00860416, 0.00860666, 0.00861031, 0.00861417, 0.00861716", \
-            "0.00875028, 0.00875064, 0.00875132, 0.00875251, 0.00875428, 0.00875613", \
-            "0.00884396, 0.00884418, 0.00884452, 0.00884498, 0.0088456, 0.00884644" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00907405, 0.00908453, 0.009098, 0.00911013, 0.00911878, 0.0091239", \
-            "0.00985516, 0.0098641, 0.0098755, 0.00988624, 0.00989404, 0.00989862", \
-            "0.0103379, 0.0103454, 0.0103545, 0.010363, 0.0103691, 0.0103727", \
-            "0.0108146, 0.0108154, 0.0108171, 0.0108192, 0.0108209, 0.010822", \
-            "0.0112847, 0.0112287, 0.011162, 0.0111004, 0.011055, 0.0110269", \
-            "0.0118511, 0.0117331, 0.0115351, 0.0113415, 0.011197, 0.01111" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.156266, 0.200149, 0.290429, 0.478684, 0.876146, 1.71508", \
-            "0.159529, 0.203357, 0.293692, 0.482355, 0.879751, 1.71854", \
-            "0.166246, 0.21037, 0.300918, 0.48954, 0.886999, 1.72588", \
-            "0.18236, 0.226699, 0.317406, 0.50628, 0.904147, 1.74326", \
-            "0.215627, 0.2628, 0.355953, 0.54665, 0.945143, 1.78485", \
-            "0.256872, 0.31491, 0.425221, 0.633861, 1.04162, 1.88367" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0443862, 0.0673407, 0.115064, 0.216349, 0.42841, 0.876011", \
-            "0.0443162, 0.0674306, 0.115278, 0.216069, 0.428348, 0.875984", \
-            "0.0442673, 0.0673066, 0.115063, 0.216051, 0.428355, 0.87599", \
-            "0.0442563, 0.0672441, 0.11503, 0.216176, 0.428321, 0.875983", \
-            "0.0467562, 0.0691385, 0.115409, 0.216029, 0.428335, 0.875981", \
-            "0.0543785, 0.0770811, 0.123132, 0.220052, 0.428586, 0.875912" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.194409, 0.244618, 0.34771, 0.56329, 1.01523, 1.97183", \
-            "0.198915, 0.249382, 0.35281, 0.568245, 1.02052, 1.97711", \
-            "0.210137, 0.260664, 0.364116, 0.580125, 1.03215, 1.98926", \
-            "0.235683, 0.286169, 0.389848, 0.606036, 1.0585, 2.01596", \
-            "0.296481, 0.347536, 0.451925, 0.668247, 1.12132, 2.07898", \
-            "0.411664, 0.473346, 0.592183, 0.817233, 1.27307, 2.2305" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0447188, 0.066899, 0.112616, 0.207067, 0.405749, 0.823174", \
-            "0.0448115, 0.0668783, 0.112588, 0.207273, 0.405553, 0.823145", \
-            "0.0447452, 0.066898, 0.112751, 0.207148, 0.405888, 0.823169", \
-            "0.0447465, 0.0669366, 0.112741, 0.207062, 0.4059, 0.823164", \
-            "0.0456105, 0.0673318, 0.112588, 0.206992, 0.405667, 0.823115", \
-            "0.0534793, 0.0751137, 0.118408, 0.208209, 0.405217, 0.823088" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.118412, 0.131345, 0.133936, 0.136528, 0.139119, 0.14171, 0.14378, 0.145849, 0.147918, 0.149987, 0.151817, 0.153647, 0.155477, 0.157307, 0.159023, 0.16074, 0.162457, 0.164173, 0.165854, 0.167535, 0.169216, 0.170897, 0.172576, 0.174255, 0.175934, 0.177613, 0.177828, 0.179118, 0.181699, 0.18428, 0.186126, 0.187972, 0.189817, 0.191382, 0.192947, 0.194512, 0.196077, 0.20095, 0.203779, 0.206607, 0.209436, 0.214253, 0.215675, 0.217098, 0.220582, 0.221744, 0.22344, 0.226833, 0.22853, 0.231493");
-            values ( \
-              "0.0279877, 0.0321636, 0.0353642, 0.0383897, 0.0412399, 0.043915, 0.0459253, 0.0478239, 0.0496107, 0.0512859, 0.0526114, 0.0538268, 0.0549322, 0.0559275, 0.0564119, 0.056796, 0.0570798, 0.0572632, 0.0573455, 0.0573316, 0.0572214, 0.057015, 0.0565109, 0.05583, 0.0549723, 0.053938, 0.0537518, 0.0519298, 0.0475369, 0.0426337, 0.0388196, 0.0355259, 0.0324913, 0.0302017, 0.0280448, 0.0260206, 0.024129, 0.0187911, 0.0161794, 0.0139505, 0.0119935, 0.00915535, 0.00840593, 0.00771842, 0.00635992, 0.00595559, 0.00543033, 0.00449157, 0.00407807, 0.0034416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.123612, 0.13324, 0.137757, 0.159189, 0.174401, 0.186659, 0.197613, 0.207973, 0.218203, 0.228425, 0.246267, 0.261851, 0.27733, 0.288677, 0.297365, 0.306176, 0.319868, 0.340421");
-            values ( \
-              "0.00729415, 0.0133912, 0.0177769, 0.0456337, 0.0622761, 0.0724032, 0.0781965, 0.0810397, 0.0804229, 0.0740876, 0.0479075, 0.029494, 0.0171217, 0.0112479, 0.00805013, 0.00571556, 0.0033459, 0.00169723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.155956, 0.181814, 0.215893, 0.232016, 0.24126, 0.259747, 0.273411, 0.28033, 0.288605, 0.297408, 0.30157, 0.305643, 0.313789, 0.318985, 0.325721, 0.331222, 0.339642, 0.368471, 0.390442, 0.405903, 0.418692, 0.429692, 0.44072, 0.456522, 0.463365, 0.477051, 0.496232, 0.518817, 0.544002, 0.574595, 0.614849");
-            values ( \
-              "0.0031882, 0.0238972, 0.0582171, 0.0722262, 0.0790464, 0.0900821, 0.0955508, 0.0975779, 0.0992146, 0.100195, 0.100306, 0.100189, 0.0991632, 0.0977322, 0.0947057, 0.0911107, 0.083947, 0.0552892, 0.0371814, 0.027316, 0.0208622, 0.0164718, 0.0129404, 0.00906289, 0.00777167, 0.00566786, 0.00362311, 0.0021156, 0.00116898, 0.000563588, 0.000226679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.230093, 0.269438, 0.333769, 0.354966, 0.381099, 0.38868, 0.405328, 0.415544, 0.425052, 0.439959, 0.453191, 0.462721, 0.474573, 0.486236, 0.502655, 0.519331, 0.55268, 0.580115, 0.631105, 0.660931, 0.675884, 0.705789, 0.719392, 0.736636, 0.756222, 0.783503, 0.79446, 0.816373, 0.860199, 0.936115, 1.03313");
-            values ( \
-              "0.0123559, 0.0254023, 0.0665906, 0.0784864, 0.0907906, 0.0938911, 0.099947, 0.103113, 0.105695, 0.108949, 0.111043, 0.112101, 0.112844, 0.113075, 0.11242, 0.110384, 0.0999915, 0.0853992, 0.0566583, 0.0424609, 0.0363608, 0.0261382, 0.0223976, 0.0183258, 0.0145086, 0.0103974, 0.00908622, 0.00691911, 0.00393918, 0.00138438, 0.000330608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.406013, 0.467861, 0.556198, 0.620484, 0.640514, 0.675417, 0.714496, 0.750157, 0.771241, 0.795355, 0.818141, 0.863714, 0.883642, 0.91618, 0.949283, 1.01536, 1.07073, 1.17026, 1.23463, 1.25941, 1.29244, 1.33554, 1.37068, 1.41193, 1.47007, 1.49559, 1.52988, 1.57559, 1.66701, 1.7861, 1.9052, 2.0243");
-            values ( \
-              "0.0290848, 0.0309799, 0.0631086, 0.0837466, 0.0894541, 0.0982402, 0.106205, 0.111881, 0.114595, 0.117117, 0.118971, 0.121016, 0.121211, 0.120481, 0.118098, 0.106557, 0.0909623, 0.0609323, 0.0444461, 0.0390208, 0.0325869, 0.0255334, 0.0208136, 0.0162664, 0.0113895, 0.00973057, 0.0078627, 0.00589046, 0.00325229, 0.0014595, 0.000655121, 0.000290484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.704393, 0.856188, 1.0409, 1.13236, 1.25211, 1.35591, 1.40649, 1.45037, 1.52304, 1.58883, 1.70792, 1.75198, 1.80371, 1.85681, 1.96299, 2.07659, 2.32082, 2.43554, 2.55178, 2.64737, 2.73229, 2.84551, 2.9399, 2.98183, 3.06569, 3.18479, 3.30388, 3.42298, 3.54207, 3.78026, 4.01846");
-            values ( \
-              "0.0153761, 0.02868, 0.0630429, 0.0788506, 0.0964526, 0.108585, 0.113329, 0.116812, 0.121309, 0.124052, 0.125967, 0.125632, 0.124436, 0.122084, 0.113148, 0.0981543, 0.0614879, 0.0467557, 0.0345799, 0.0266266, 0.0209434, 0.015015, 0.0113567, 0.0099911, 0.00777028, 0.00536435, 0.00372674, 0.00254902, 0.00177458, 0.000844482, 0.00040404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.120719, 0.129573, 0.131198, 0.138042, 0.14531, 0.155606, 0.163854, 0.171158, 0.178015, 0.184731, 0.19144, 0.203528, 0.209822, 0.213355, 0.217725, 0.223552, 0.227959, 0.23531, 0.242189, 0.247469, 0.258041, 0.265186, 0.271253, 0.280121");
-            values ( \
-              "0.00558031, 0.0111691, 0.0127273, 0.0215246, 0.0319899, 0.0435173, 0.0510033, 0.0554122, 0.0579469, 0.0580085, 0.0543034, 0.0329891, 0.0241986, 0.0203577, 0.0162158, 0.0118189, 0.00928299, 0.00611187, 0.00411386, 0.00306194, 0.00170511, 0.00114812, 0.000854086, 0.000632474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.132673, 0.144526, 0.149155, 0.188065, 0.200359, 0.211333, 0.221706, 0.231945, 0.242177, 0.260054, 0.275609, 0.291609, 0.306969, 0.327087, 0.347513, 0.354542");
-            values ( \
-              "0.00607083, 0.0108157, 0.0150922, 0.0621141, 0.0721707, 0.0781462, 0.0808904, 0.0804255, 0.0739918, 0.0478549, 0.0294877, 0.0167963, 0.00946066, 0.00432414, 0.00203099, 0.0017623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.162496, 0.1886, 0.254885, 0.275539, 0.294126, 0.303423, 0.320037, 0.329354, 0.338159, 0.345963, 0.401966, 0.418663, 0.444527, 0.470724, 0.509337, 0.533405");
-            values ( \
-              "0.00586172, 0.0174615, 0.0787139, 0.0908616, 0.0974646, 0.0993485, 0.100153, 0.0987149, 0.0954949, 0.0904155, 0.0388643, 0.0279266, 0.0161059, 0.00898381, 0.00368754, 0.00241792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.255419, 0.285618, 0.348511, 0.372273, 0.395204, 0.407332, 0.43083, 0.453318, 0.467446, 0.475332, 0.486597, 0.500529, 0.516927, 0.533579, 0.56522, 0.576452, 0.639452, 0.665902, 0.695346, 0.718462, 0.733702, 0.750549, 0.770268, 0.797688, 0.808787, 0.830986, 0.875383, 0.948731, 1.04441");
-            values ( \
-              "0.0222777, 0.0269352, 0.0671859, 0.0802944, 0.0909443, 0.0958219, 0.103637, 0.108984, 0.111229, 0.112079, 0.112908, 0.113176, 0.112536, 0.110433, 0.100771, 0.0952892, 0.0598168, 0.0465646, 0.0343868, 0.0266387, 0.0224029, 0.0184161, 0.0145596, 0.0104151, 0.00908732, 0.00689359, 0.00389526, 0.0014264, 0.000350769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.413953, 0.473262, 0.571794, 0.610245, 0.660152, 0.709624, 0.73612, 0.76431, 0.799348, 0.832405, 0.881572, 0.898063, 0.930509, 0.963541, 1.02961, 1.08498, 1.18449, 1.24475, 1.30671, 1.34979, 1.38491, 1.42616, 1.4843, 1.54413, 1.58985, 1.68129, 1.80038, 1.91948, 2.03857");
-            values ( \
-              "0.0251121, 0.0280284, 0.0636165, 0.0763011, 0.0909476, 0.10261, 0.107551, 0.111906, 0.116164, 0.118997, 0.12112, 0.121226, 0.120507, 0.118103, 0.106581, 0.090977, 0.0609481, 0.0453878, 0.0325789, 0.0255357, 0.0208178, 0.0162648, 0.0113922, 0.00786338, 0.00588872, 0.00325245, 0.00145811, 0.000655941, 0.000289445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.685879, 0.870224, 1.12549, 1.19809, 1.31209, 1.39995, 1.46397, 1.53723, 1.60246, 1.72155, 1.76559, 1.81733, 1.87043, 1.97662, 2.01476, 2.09021, 2.33444, 2.44915, 2.56539, 2.66099, 2.7459, 2.85913, 2.95351, 2.99545, 3.07931, 3.19841, 3.3175, 3.4366, 3.55569, 3.67479, 3.79388, 4.03207");
-            values ( \
-              "0.00752539, 0.028757, 0.0754898, 0.0870016, 0.10227, 0.11154, 0.116823, 0.121346, 0.124062, 0.125971, 0.125635, 0.124442, 0.122085, 0.11315, 0.108545, 0.0981576, 0.0614846, 0.046752, 0.034576, 0.0266307, 0.0209477, 0.0150106, 0.0113611, 0.00998651, 0.00777484, 0.0053597, 0.00373138, 0.00254432, 0.00177929, 0.00119603, 0.000849267, 0.000408886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.154415, 0.158835, 0.162705, 0.163675, 0.165617, 0.170362, 0.177552, 0.184155, 0.187778, 0.195023, 0.197913, 0.201767, 0.203278, 0.206301, 0.210128, 0.216841, 0.223552, 0.226803, 0.232065, 0.235654, 0.241945, 0.245469, 0.249825, 0.255632, 0.260088, 0.262718, 0.267456, 0.274325, 0.277827, 0.279578, 0.28308, 0.290084, 0.297199, 0.303279, 0.309552, 0.317043, 0.328169");
-            values ( \
-              "0.00609366, 0.00881466, 0.0120961, 0.0134061, 0.0154892, 0.021894, 0.0320485, 0.0398905, 0.0436731, 0.0502339, 0.0523433, 0.0547008, 0.0554791, 0.0567677, 0.0578512, 0.0580734, 0.0542188, 0.0491836, 0.0392501, 0.0329598, 0.024191, 0.0203581, 0.0162288, 0.0118392, 0.00927518, 0.00801243, 0.00610054, 0.00410889, 0.00336558, 0.00306256, 0.00250993, 0.00171186, 0.00115618, 0.000856128, 0.000663988, 0.000509209, 0.000457085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.169851, 0.220617, 0.232885, 0.243829, 0.254184, 0.26441, 0.274629, 0.292463, 0.30602, 0.324097, 0.33934, 0.359928, 0.380678, 0.390287");
-            values ( \
-              "0.00279852, 0.0623637, 0.0724408, 0.0782634, 0.0810488, 0.0804658, 0.0740841, 0.0479222, 0.0315256, 0.0167688, 0.00948687, 0.00425698, 0.00198239, 0.00161961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.20961, 0.228726, 0.262496, 0.287815, 0.308378, 0.326916, 0.344563, 0.352665, 0.362093, 0.370888, 0.384184, 0.434893, 0.463931, 0.482082, 0.509557, 0.548307, 0.560633");
-            values ( \
-              "0.0121616, 0.024476, 0.0584305, 0.0792003, 0.0911231, 0.0977013, 0.100319, 0.100249, 0.0988374, 0.0955403, 0.0858553, 0.038717, 0.021428, 0.0144893, 0.00783149, 0.00317926, 0.00262812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.28425, 0.318233, 0.381184, 0.401022, 0.428222, 0.438098, 0.465771, 0.487428, 0.507991, 0.519397, 0.533208, 0.549605, 0.566257, 0.597336, 0.610224, 0.678416, 0.707798, 0.722561, 0.752086, 0.766249, 0.784193, 0.803559, 0.830625, 0.862817, 0.905738, 0.979482, 1.07244");
-            values ( \
-              "0.0168542, 0.0268766, 0.0671401, 0.0782743, 0.0911036, 0.0950683, 0.104248, 0.10923, 0.112102, 0.112902, 0.1132, 0.112522, 0.110452, 0.100991, 0.0947023, 0.056341, 0.0423911, 0.0364965, 0.0262476, 0.0223643, 0.01815, 0.0144555, 0.0103405, 0.00693494, 0.00403248, 0.00143885, 0.000399438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.428793, 0.506042, 0.594194, 0.63549, 0.682495, 0.73146, 0.771592, 0.79715, 0.831971, 0.865247, 0.913762, 0.930912, 0.963359, 0.996388, 1.06245, 1.11786, 1.21729, 1.2776, 1.33966, 1.38267, 1.41772, 1.45898, 1.51714, 1.57701, 1.62278, 1.7143, 1.8334, 1.95249, 2.07159");
-            values ( \
-              "0.0128127, 0.0280131, 0.0599718, 0.0738543, 0.0880803, 0.100293, 0.107989, 0.111904, 0.116133, 0.118993, 0.1211, 0.121221, 0.120499, 0.1181, 0.106578, 0.0909664, 0.0609615, 0.0453872, 0.0325613, 0.0255322, 0.0208234, 0.0162671, 0.0113934, 0.00786201, 0.00588547, 0.00324931, 0.00145617, 0.000655605, 0.000288772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.817395, 0.965772, 1.09079, 1.18687, 1.30246, 1.40108, 1.45258, 1.49725, 1.56994, 1.6357, 1.75479, 1.79885, 1.85058, 1.90367, 2.00986, 2.04801, 2.12346, 2.36769, 2.4824, 2.59864, 2.69424, 2.77915, 2.89238, 2.98677, 3.0287, 3.11257, 3.23166, 3.35076, 3.46985, 3.58895, 3.82714, 4.06533");
-            values ( \
-              "0.0337774, 0.0402069, 0.0636278, 0.080128, 0.0969214, 0.108413, 0.113274, 0.116809, 0.12132, 0.124047, 0.125975, 0.12564, 0.124431, 0.122091, 0.113143, 0.108551, 0.0981495, 0.0614905, 0.0467577, 0.0345815, 0.0266249, 0.0209423, 0.0150158, 0.0113557, 0.00999157, 0.00776964, 0.00536471, 0.00372631, 0.0025493, 0.00177431, 0.000844363, 0.000404052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.230912, 0.24339, 0.253207, 0.258573, 0.265204, 0.273413, 0.280705, 0.287553, 0.294263, 0.300966, 0.30424, 0.312969, 0.319288, 0.322875, 0.327341, 0.333295, 0.33981, 0.344639, 0.351591, 0.357056, 0.360735, 0.367888, 0.374881, 0.3808, 0.394351, 0.417152");
-            values ( \
-              "0.00148207, 0.0160447, 0.0298234, 0.0365629, 0.0437572, 0.0510619, 0.0555512, 0.0579575, 0.0581005, 0.054286, 0.0491644, 0.0331297, 0.0242661, 0.0203621, 0.0161365, 0.0116735, 0.00815316, 0.00617988, 0.00413893, 0.0030498, 0.00247447, 0.00167451, 0.00113747, 0.000854715, 0.000513929, 0.000352569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.250026, 0.257556, 0.262414, 0.26765, 0.283347, 0.298537, 0.310779, 0.321709, 0.332055, 0.342275, 0.352487, 0.36987, 0.375324, 0.383633, 0.39085, 0.396159, 0.402019, 0.410922, 0.41712, 0.424941, 0.430544, 0.437835, 0.447555, 0.458492, 0.468744, 0.481011, 0.483445");
-            values ( \
-              "0.00861615, 0.0138951, 0.0186811, 0.0251691, 0.0461645, 0.062441, 0.0726359, 0.0782669, 0.0811812, 0.0804374, 0.0741752, 0.0485548, 0.0412504, 0.0317449, 0.0249575, 0.0207106, 0.0167398, 0.0120274, 0.00951128, 0.00702356, 0.00565117, 0.0042519, 0.00291462, 0.00198955, 0.00142287, 0.000949501, 0.000885855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.303169, 0.327607, 0.336447, 0.34529, 0.349713, 0.358559, 0.366238, 0.373917, 0.381595, 0.386757, 0.391635, 0.396513, 0.400895, 0.405278, 0.411328, 0.415573, 0.417802, 0.42226, 0.425707, 0.42957, 0.432055, 0.434161, 0.436267, 0.438373, 0.440479, 0.442664, 0.444848, 0.447032, 0.449216, 0.452964, 0.456712, 0.460118, 0.46512, 0.473843, 0.488457, 0.498838, 0.502298, 0.507472, 0.516862, 0.521077, 0.528297, 0.533884, 0.543425, 0.549229, 0.555032, 0.563199, 0.569846, 0.577694, 0.583296, 0.588329");
-            values ( \
-              "0.0414763, 0.0458447, 0.054511, 0.062632, 0.0664882, 0.0737892, 0.0793653, 0.0842689, 0.0886385, 0.0912754, 0.0933462, 0.0951602, 0.096571, 0.0977745, 0.0988995, 0.0995202, 0.0997903, 0.100216, 0.10028, 0.100152, 0.0999279, 0.0996475, 0.099286, 0.0988436, 0.0983201, 0.0976467, 0.0968678, 0.0959832, 0.094993, 0.0929775, 0.0906088, 0.0878959, 0.0833123, 0.0747105, 0.0596527, 0.0502668, 0.0473358, 0.0431998, 0.0359671, 0.0329889, 0.0286311, 0.0255913, 0.0209003, 0.0183321, 0.0160867, 0.0135126, 0.0117095, 0.0098209, 0.0085971, 0.00758576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.353166, 0.395493, 0.459181, 0.482194, 0.506015, 0.517797, 0.543949, 0.551851, 0.568082, 0.584693, 0.595896, 0.611365, 0.627809, 0.644491, 0.674295, 0.690966, 0.740491, 0.782407, 0.805952, 0.832861, 0.858276, 0.876411, 0.906735, 0.937141, 0.960629, 1.00761, 1.09088, 1.19558");
-            values ( \
-              "0.00901678, 0.0260978, 0.0668785, 0.0796451, 0.0907726, 0.0955413, 0.104117, 0.106231, 0.109611, 0.111872, 0.112776, 0.11314, 0.112505, 0.110408, 0.101525, 0.0933736, 0.0650501, 0.0439963, 0.034537, 0.0255568, 0.0190907, 0.0154266, 0.0106258, 0.00730675, 0.00545459, 0.00295523, 0.000922767, 0.000181124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.522195, 0.584602, 0.671419, 0.72281, 0.773266, 0.817349, 0.865714, 0.895618, 0.943765, 0.961463, 0.996859, 1.00928, 1.03412, 1.07487, 1.14087, 1.19657, 1.29536, 1.36028, 1.41868, 1.46131, 1.49593, 1.5373, 1.59554, 1.65569, 1.70171, 1.79374, 1.91283, 2.03193, 2.15102");
-            values ( \
-              "0.0225029, 0.0280154, 0.0595055, 0.0766633, 0.0914261, 0.101875, 0.110474, 0.114488, 0.118997, 0.12004, 0.121155, 0.121207, 0.120784, 0.118098, 0.106588, 0.0908949, 0.0610799, 0.0444339, 0.0324693, 0.0255081, 0.0208555, 0.0162875, 0.011398, 0.00785064, 0.00587148, 0.00322672, 0.00144925, 0.000649202, 0.000289199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.790959, 0.982189, 1.23738, 1.34852, 1.42386, 1.47982, 1.53122, 1.5758, 1.64849, 1.71425, 1.83334, 1.8774, 1.92913, 1.98223, 2.08841, 2.12656, 2.20201, 2.44624, 2.56095, 2.6772, 2.77279, 2.8577, 2.97093, 3.06532, 3.10725, 3.19112, 3.31021, 3.42931, 3.5484, 3.6675, 3.90569, 4.14388");
-            values ( \
-              "0.00617801, 0.0288011, 0.0755236, 0.0925508, 0.102264, 0.108448, 0.11327, 0.116824, 0.121309, 0.124061, 0.125963, 0.125629, 0.124443, 0.12208, 0.113153, 0.108541, 0.0981592, 0.0614843, 0.0467523, 0.0345767, 0.0266295, 0.020946, 0.0150121, 0.0113592, 0.00998831, 0.00777268, 0.00536169, 0.00372918, 0.00254649, 0.001777, 0.000846874, 0.000406383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.407664, 0.416785, 0.420176, 0.437555, 0.444505, 0.45341, 0.461226, 0.468491, 0.475554, 0.482607, 0.485014, 0.496732, 0.502927, 0.507195, 0.511489, 0.516128, 0.524753, 0.531678, 0.537991, 0.548445, 0.555393, 0.561243, 0.57477, 0.594581");
-            values ( \
-              "0.00661323, 0.010458, 0.0133451, 0.0332671, 0.0400271, 0.0473462, 0.052108, 0.0548294, 0.055469, 0.0523674, 0.049214, 0.029746, 0.0219904, 0.0177592, 0.0142167, 0.0111376, 0.00695704, 0.00471037, 0.00332149, 0.00187987, 0.00130197, 0.000970537, 0.000575232, 0.000419157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.443733, 0.464596, 0.470722, 0.473785, 0.47989, 0.482715, 0.486337, 0.488148, 0.489543, 0.493729, 0.499434, 0.505829, 0.507209, 0.512345, 0.514931, 0.515793, 0.517108, 0.518424, 0.519739, 0.521055, 0.522348, 0.523641, 0.524935, 0.526228, 0.528815, 0.531402, 0.533989, 0.537076, 0.538078, 0.538578, 0.542082, 0.55378, 0.557524, 0.561024, 0.565528, 0.568297, 0.573574, 0.576056, 0.578581, 0.582413, 0.585028, 0.587891, 0.591002, 0.594113, 0.595668, 0.600268, 0.604, 0.608399, 0.610598, 0.614631");
-            values ( \
-              "0.0369268, 0.0421795, 0.0488444, 0.0520388, 0.0581313, 0.0607851, 0.0639655, 0.0654687, 0.0664356, 0.0692068, 0.0724377, 0.0761843, 0.0764988, 0.0781665, 0.0788706, 0.0790655, 0.079178, 0.0792232, 0.0792011, 0.0791119, 0.0789586, 0.0787403, 0.0784569, 0.0781086, 0.0771826, 0.0759737, 0.0744819, 0.0723285, 0.0712702, 0.0706278, 0.0658103, 0.048433, 0.0434986, 0.039201, 0.0341188, 0.0312574, 0.0261778, 0.0240095, 0.0220116, 0.0192334, 0.0174986, 0.015722, 0.0139667, 0.0124116, 0.0117004, 0.00987983, 0.00859501, 0.00727312, 0.00668364, 0.00571859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.469418, 0.490272, 0.53414, 0.556649, 0.57236, 0.586837, 0.599307, 0.611743, 0.626286, 0.635107, 0.644814, 0.702445, 0.729975, 0.750976, 0.777427, 0.817712, 0.821961");
-            values ( \
-              "0.0117107, 0.0218667, 0.0644691, 0.0816284, 0.0904284, 0.0960732, 0.098956, 0.100051, 0.0985917, 0.095384, 0.0888628, 0.0363275, 0.0206578, 0.0131016, 0.00721941, 0.00282006, 0.00265419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.560606, 0.597533, 0.647567, 0.656945, 0.681994, 0.694146, 0.714406, 0.73893, 0.755482, 0.774269, 0.785836, 0.799484, 0.815879, 0.832531, 0.863055, 0.877576, 0.933714, 0.971754, 1.00445, 1.02664, 1.04531, 1.06584, 1.09494, 1.12448, 1.14699, 1.19203, 1.27078, 1.37364");
-            values ( \
-              "0.026342, 0.0352316, 0.0674258, 0.0726719, 0.0855697, 0.0909756, 0.0987525, 0.106087, 0.10958, 0.112102, 0.112938, 0.113197, 0.112546, 0.110445, 0.10124, 0.0941451, 0.0621989, 0.0434137, 0.0307552, 0.0240186, 0.0193562, 0.0151345, 0.0106409, 0.00738845, 0.00555727, 0.00311682, 0.0010318, 0.000228919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.724483, 0.800042, 0.868409, 0.920314, 0.968253, 1.01765, 1.04061, 1.06427, 1.09942, 1.13237, 1.1803, 1.19803, 1.23048, 1.2635, 1.32955, 1.385, 1.48436, 1.54472, 1.57372, 1.60686, 1.64981, 1.68479, 1.72608, 1.78425, 1.84416, 1.88996, 1.98156, 2.10065, 2.21975, 2.33884");
-            values ( \
-              "0.0273222, 0.0375532, 0.0624643, 0.0794384, 0.0930978, 0.104214, 0.10832, 0.111909, 0.116178, 0.118991, 0.121095, 0.121216, 0.120503, 0.118094, 0.106584, 0.0909627, 0.0609783, 0.0453843, 0.0390065, 0.0325436, 0.0255311, 0.0208302, 0.0162677, 0.0113963, 0.00786205, 0.00588162, 0.00324771, 0.00145342, 0.000656374, 0.000287132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.980473, 1.17148, 1.42662, 1.52829, 1.61314, 1.66911, 1.72051, 1.7651, 1.83778, 1.90354, 2.02264, 2.06669, 2.11843, 2.17152, 2.27771, 2.31585, 2.3913, 2.63553, 2.75025, 2.86649, 2.96208, 3.047, 3.16022, 3.25461, 3.29655, 3.38041, 3.49951, 3.6186, 3.7377, 3.85679, 4.09498, 4.33317");
-            values ( \
-              "0.00623286, 0.0287873, 0.0755054, 0.0912261, 0.102271, 0.10844, 0.113279, 0.116816, 0.121317, 0.124053, 0.125972, 0.125637, 0.124436, 0.122088, 0.113146, 0.108548, 0.0981526, 0.061489, 0.0467565, 0.0345805, 0.0266258, 0.0209428, 0.0150152, 0.0113562, 0.00999114, 0.00776991, 0.00536438, 0.00372654, 0.00254906, 0.00177448, 0.000844471, 0.000404094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.777085, 0.798406, 0.805471, 0.836093, 0.846982, 0.856365, 0.864919, 0.87306, 0.881206, 0.889355, 0.89878, 0.907676, 0.919452, 0.930664, 0.940263, 0.947285, 0.954358, 0.966454, 0.98145");
-            values ( \
-              "0.000784213, 0.00651366, 0.00973639, 0.032399, 0.0390444, 0.0439181, 0.0470049, 0.0485243, 0.0469664, 0.0381379, 0.0250294, 0.0166094, 0.00918531, 0.0050211, 0.0029983, 0.00211246, 0.00143622, 0.000840783, 0.000649687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.811996, 0.831835, 0.836199, 0.84829, 0.863263, 0.882862, 0.897905, 0.910931, 0.922903, 0.934484, 0.946044, 0.953081, 0.967313, 0.976826, 0.985436, 0.996207, 1.01021, 1.0197, 1.02719, 1.03858, 1.04881, 1.07046, 1.08543, 1.08787");
-            values ( \
-              "0.00664853, 0.00999105, 0.0123519, 0.0209425, 0.0342112, 0.0497861, 0.0599475, 0.0668182, 0.0707818, 0.0720046, 0.0680224, 0.0606277, 0.0424048, 0.0321243, 0.0245572, 0.0170441, 0.0103397, 0.00725011, 0.00547088, 0.00356822, 0.00249907, 0.00122805, 0.000736309, 0.000713387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.857541, 0.89729, 0.919631, 0.951407, 0.97088, 0.993954, 1.01446, 1.02021, 1.03325, 1.04263, 1.05182, 1.06111, 1.06803, 1.07728, 1.10587, 1.12873, 1.14551, 1.15763, 1.1792, 1.19526, 1.20545, 1.22436, 1.24306, 1.26219, 1.28652");
-            values ( \
-              "0.00148961, 0.016131, 0.0315825, 0.0562786, 0.0695109, 0.0823201, 0.0900806, 0.0916198, 0.0939768, 0.0945412, 0.0939847, 0.0915385, 0.0880659, 0.0812421, 0.0547489, 0.036655, 0.0263946, 0.0205373, 0.0129552, 0.00905572, 0.007213, 0.00468383, 0.00306468, 0.00196454, 0.00115212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.954887, 1.01412, 1.03519, 1.07309, 1.10618, 1.13389, 1.15272, 1.17289, 1.18723, 1.20045, 1.21585, 1.22769, 1.2396, 1.24627, 1.25516, 1.27294, 1.28022, 1.28994, 1.31088, 1.35289, 1.37838, 1.40403, 1.42196, 1.44805, 1.47028, 1.48896, 1.50952, 1.53864, 1.55131, 1.56822, 1.59076, 1.63585, 1.71932, 1.82187");
-            values ( \
-              "0.00238717, 0.022698, 0.0341476, 0.0565187, 0.0743044, 0.0870615, 0.0944196, 0.101009, 0.104763, 0.107483, 0.109739, 0.110657, 0.111223, 0.111315, 0.111144, 0.109607, 0.108377, 0.106063, 0.0983704, 0.075818, 0.0614989, 0.0485124, 0.0405719, 0.0307411, 0.0239621, 0.0193157, 0.0151386, 0.0106121, 0.00908669, 0.00737299, 0.00555902, 0.00310521, 0.000957857, 0.000206605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.13551, 1.22296, 1.31752, 1.36183, 1.40301, 1.45401, 1.49279, 1.51596, 1.55111, 1.58411, 1.6319, 1.64965, 1.68219, 1.7153, 1.78139, 1.83675, 1.93633, 1.99655, 2.05843, 2.10158, 2.13675, 2.17799, 2.23613, 2.29591, 2.3416, 2.43298, 2.55207, 2.67117, 2.79026");
-            values ( \
-              "0.00898071, 0.0270831, 0.0613849, 0.0761152, 0.0884231, 0.100974, 0.108277, 0.111776, 0.116088, 0.118902, 0.121044, 0.121156, 0.120474, 0.118055, 0.106569, 0.0909767, 0.0609354, 0.0453855, 0.0325936, 0.0255365, 0.0208108, 0.016263, 0.0113894, 0.00786281, 0.00589221, 0.00325361, 0.00146084, 0.000655009, 0.000291209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.45097, 1.62648, 1.80265, 1.88986, 1.96674, 2.0677, 2.1219, 2.17425, 2.21966, 2.29236, 2.35809, 2.47719, 2.52121, 2.57295, 2.62605, 2.73226, 2.84583, 3.09006, 3.20477, 3.32102, 3.41661, 3.50152, 3.61475, 3.70914, 3.75107, 3.83494, 3.95403, 4.07313, 4.19222, 4.31132, 4.54951, 4.7877");
-            values ( \
-              "0.00921116, 0.0288949, 0.0617347, 0.0769799, 0.0889411, 0.10229, 0.10828, 0.113225, 0.116835, 0.12133, 0.124066, 0.125977, 0.125642, 0.124443, 0.12209, 0.113149, 0.0981561, 0.0614873, 0.0467548, 0.0345788, 0.0266277, 0.0209446, 0.0150134, 0.0113579, 0.00998945, 0.00777163, 0.00536272, 0.00372822, 0.00254741, 0.00177613, 0.000846098, 0.000405699" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00756524, 0.0075795, 0.00759471, 0.00760737, 0.00761584, 0.00762068", \
-            "0.00917691, 0.0091915, 0.00920864, 0.00922402, 0.00923486, 0.00924127", \
-            "0.0101178, 0.0101298, 0.0101459, 0.0101623, 0.0101749, 0.0101827", \
-            "0.0106549, 0.0106619, 0.0106728, 0.0106857, 0.0106972, 0.010705", \
-            "0.0109736, 0.0109715, 0.0109708, 0.0109727, 0.0109769, 0.0109811", \
-            "0.0111807, 0.0111626, 0.0111377, 0.011112, 0.0110924, 0.0110817" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0104561, 0.0104691, 0.0104854, 0.0105005, 0.0105114, 0.0105179", \
-            "0.0107676, 0.0107778, 0.0107925, 0.010808, 0.0108199, 0.0108271", \
-            "0.0104125, 0.010393, 0.0103714, 0.0103529, 0.0103403, 0.0103329", \
-            "0.0101805, 0.0101128, 0.0100333, 0.00995552, 0.00989575, 0.00985684", \
-            "0.010422, 0.0102604, 0.0100591, 0.00986543, 0.00971341, 0.00962332", \
-            "0.0105441, 0.0105079, 0.010513, 0.0102394, 0.00990815, 0.00969338" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.141888, 0.154721, 0.165998, 0.186653, 0.194609, 0.201856, 0.208667, 0.215309, 0.221942, 0.222744, 0.235202, 0.240102, 0.246632, 0.251066, 0.254558, 0.261541, 0.269639, 0.279079, 0.353252");
-            values ( \
-              "-0.00608749, -0.0155875, -0.0285791, -0.0461381, -0.0515794, -0.0557431, -0.0583511, -0.058933, -0.0537925, -0.0525263, -0.0244929, -0.0159486, -0.00844644, -0.00536817, -0.00371317, -0.00171032, -0.000677772, -0.000209785, -4.90764e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.162019, 0.175415, 0.176559, 0.178849, 0.183427, 0.187489, 0.195463, 0.207318, 0.216481, 0.230051, 0.241865, 0.252672, 0.262881, 0.272891, 0.282889, 0.284334, 0.286261, 0.290113, 0.295743, 0.299381, 0.304231, 0.308653, 0.310439, 0.314012, 0.320624, 0.32293, 0.325364, 0.328608, 0.335097, 0.342787");
-            values ( \
-              "-0.00992335, -0.0172019, -0.0179236, -0.0197836, -0.0236858, -0.0280452, -0.0369543, -0.0480382, -0.0556433, -0.0660682, -0.0735444, -0.0791498, -0.0823947, -0.082674, -0.0747855, -0.0728092, -0.0693521, -0.0613494, -0.0488092, -0.0411767, -0.0320799, -0.0250798, -0.0226058, -0.018265, -0.0120341, -0.0103826, -0.00886649, -0.00716056, -0.00462278, -0.00293932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.215994, 0.237177, 0.241564, 0.256451, 0.258967, 0.264001, 0.271094, 0.280248, 0.284825, 0.292457, 0.305177, 0.315917, 0.320994, 0.326143, 0.330164, 0.337354, 0.34814, 0.355519, 0.361196, 0.365514, 0.367939, 0.37279, 0.374933, 0.377076, 0.379219, 0.381362, 0.383463, 0.385565, 0.387667, 0.389769, 0.392714, 0.395659, 0.398604, 0.401548, 0.404599, 0.407649, 0.414607, 0.416926, 0.435413, 0.440722, 0.442845, 0.447092, 0.451685, 0.455773, 0.456591, 0.459861, 0.463131, 0.468181, 0.473232, 0.477467");
-            values ( \
-              "-0.0262205, -0.0283842, -0.0316614, -0.0441773, -0.0461384, -0.0499728, -0.0551779, -0.0615511, -0.0645925, -0.0693831, -0.076976, -0.0830026, -0.085681, -0.0882665, -0.0901909, -0.0932506, -0.0971914, -0.0996649, -0.101181, -0.102115, -0.102557, -0.10326, -0.103306, -0.103279, -0.103177, -0.103003, -0.10276, -0.102447, -0.102062, -0.101607, -0.100643, -0.0994326, -0.0979757, -0.0962723, -0.0937495, -0.0907571, -0.081519, -0.0782352, -0.0499385, -0.0428616, -0.0402492, -0.0353443, -0.0304985, -0.0264011, -0.0256228, -0.0229927, -0.0205668, -0.0173445, -0.014364, -0.012051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.276225, 0.351624, 0.407353, 0.459653, 0.501108, 0.528086, 0.552776, 0.583486, 0.602834, 0.611454, 0.628695, 0.644235, 0.666674, 0.706636, 0.736323, 0.754673, 0.765247, 0.786396, 0.797886, 0.815363, 0.838666, 0.885273, 0.898258");
-            values ( \
-              "-4.01386e-05, -0.0314399, -0.0598509, -0.0822073, -0.0967439, -0.104532, -0.110862, -0.116936, -0.119275, -0.119671, -0.1194, -0.116562, -0.104938, -0.0672084, -0.0428754, -0.0313318, -0.0260693, -0.0175487, -0.0141318, -0.0100923, -0.00639892, -0.00230994, -0.00193733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.468392, 0.558877, 0.737768, 0.867199, 0.956871, 1.02199, 1.08405, 1.14529, 1.20648, 1.33684, 1.39334, 1.45752, 1.5683, 1.63493");
-            values ( \
-              "-0.010183, -0.0246575, -0.0731444, -0.101469, -0.116851, -0.125013, -0.129287, -0.1283, -0.114067, -0.0500995, -0.0311072, -0.0173154, -0.00588113, -0.00328218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.849829, 1.03998, 1.40496, 1.55484, 1.70234, 1.80712, 1.91532, 1.97828, 2.10126, 2.15091, 2.22873, 2.27844, 2.36129, 2.54263, 2.65133, 2.76475, 2.84795, 2.98595, 3.0966, 3.21959, 3.34257, 3.58853");
-            values ( \
-              "-0.00939046, -0.0257812, -0.0765938, -0.0947858, -0.110171, -0.119291, -0.126886, -0.130334, -0.134527, -0.134911, -0.133125, -0.129514, -0.116023, -0.0690325, -0.0458516, -0.0286356, -0.0197959, -0.0105293, -0.00620767, -0.00338323, -0.00185005, -0.000546675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.154949, 0.165405, 0.168772, 0.173221, 0.181464, 0.189709, 0.193044, 0.202214, 0.217478, 0.2243, 0.230952, 0.238388, 0.250901, 0.257852, 0.264088, 0.267273, 0.271521, 0.275833");
-            values ( \
-              "-0.00673699, -0.0115854, -0.0143612, -0.0184251, -0.0287653, -0.0354977, -0.0389656, -0.0461708, -0.0558156, -0.0580937, -0.0590203, -0.0525089, -0.0243983, -0.0131008, -0.00703635, -0.00506578, -0.00322282, -0.00220023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.178398, 0.182818, 0.189802, 0.191786, 0.195755, 0.202664, 0.21458, 0.231679, 0.245229, 0.257052, 0.267856, 0.278062, 0.28807, 0.298066, 0.29951, 0.305285, 0.314557, 0.31941, 0.323826, 0.32918, 0.335794, 0.340537, 0.343785, 0.35028, 0.362632, 0.364686");
-            values ( \
-              "-0.0105537, -0.0125357, -0.0161316, -0.0183148, -0.02097, -0.0281023, -0.0411146, -0.0555013, -0.0662435, -0.0734413, -0.0792902, -0.0823098, -0.0827903, -0.0747035, -0.0728312, -0.0613742, -0.0411743, -0.0320779, -0.0250837, -0.018274, -0.0120355, -0.00886667, -0.0071584, -0.00461968, -0.00191654, -0.00173797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.220684, 0.252051, 0.281781, 0.332903, 0.352634, 0.370747, 0.388063, 0.400874, 0.413384, 0.422883, 0.460065, 0.475058, 0.490882, 0.502619, 0.516033, 0.542862, 0.552502");
-            values ( \
-              "-0.00775585, -0.0279203, -0.0520475, -0.0841632, -0.0930965, -0.0997374, -0.102931, -0.103344, -0.0995761, -0.0915311, -0.0380805, -0.0233284, -0.0133106, -0.00862606, -0.0051757, -0.00168078, -0.00143659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.300614, 0.361188, 0.422745, 0.456555, 0.498741, 0.516472, 0.54363, 0.568197, 0.584254, 0.599937, 0.615603, 0.623327, 0.633624, 0.649202, 0.680356, 0.726335, 0.745246, 0.765141, 0.773955, 0.791583, 0.813649, 0.827094, 0.853986, 0.905288, 0.963966");
-            values ( \
-              "-0.00433498, -0.0287212, -0.0596123, -0.0745769, -0.0907761, -0.0965578, -0.104424, -0.110741, -0.114258, -0.117035, -0.118992, -0.11957, -0.119841, -0.118717, -0.106474, -0.0634078, -0.0476098, -0.0342154, -0.029338, -0.0213297, -0.0140991, -0.0108774, -0.00636527, -0.0020552, -0.000545366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.467041, 0.576325, 0.737469, 0.879851, 0.93422, 0.97301, 1.03817, 1.10014, 1.16136, 1.22253, 1.30728, 1.35225, 1.39217, 1.44536, 1.47609, 1.51632, 1.56995, 1.66743, 1.78555");
-            values ( \
-              "-0.00379373, -0.0250614, -0.0691217, -0.100829, -0.110862, -0.116922, -0.125056, -0.129336, -0.12832, -0.114096, -0.0705757, -0.050356, -0.0361664, -0.0225427, -0.016918, -0.0115489, -0.00682073, -0.00236809, -0.000630146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.974782, 1.16618, 1.30802, 1.47945, 1.62319, 1.71884, 1.86257, 1.99492, 2.1179, 2.16491, 2.20495, 2.24509, 2.3077, 2.3777, 2.55894, 2.6668, 2.78197, 2.86642, 2.91939, 2.99938, 3.10604, 3.22902, 3.352, 3.59797");
-            values ( \
-              "-0.0320968, -0.0410737, -0.0616186, -0.0839258, -0.100524, -0.110191, -0.122268, -0.13036, -0.134542, -0.134928, -0.13451, -0.133142, -0.128126, -0.116001, -0.0690326, -0.0460059, -0.0285246, -0.0196017, -0.0154317, -0.0106709, -0.00642111, -0.0035013, -0.00191519, -0.000566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.195958, 0.203226, 0.204267, 0.206034, 0.207801, 0.208323, 0.209887, 0.210929, 0.213015, 0.214057, 0.215059, 0.218063, 0.221766, 0.225468, 0.229591, 0.238759, 0.241415, 0.243846, 0.246721, 0.249596, 0.253223, 0.256984, 0.257936, 0.258888, 0.259839, 0.260791, 0.262451, 0.264112, 0.265772, 0.267432, 0.269092, 0.270752, 0.272411, 0.274071, 0.274472, 0.274872, 0.275941, 0.278077, 0.285172, 0.287341, 0.288719, 0.290249, 0.291934, 0.29316, 0.294385, 0.295426, 0.296467, 0.297508, 0.298549, 0.300434");
-            values ( \
-              "-0.0121709, -0.0128124, -0.0136058, -0.0150853, -0.016733, -0.0172774, -0.0190018, -0.0203909, -0.0230255, -0.024271, -0.0254016, -0.0286106, -0.0320659, -0.03535, -0.038805, -0.0460572, -0.0479961, -0.0497211, -0.0515788, -0.0532887, -0.0553275, -0.0573171, -0.0575549, -0.0577476, -0.0578951, -0.0579974, -0.058068, -0.0580012, -0.057797, -0.0574555, -0.0567837, -0.0558975, -0.0547968, -0.0534816, -0.0530517, -0.0524738, -0.0504748, -0.0458368, -0.0289291, -0.0244262, -0.0218204, -0.0190455, -0.0161516, -0.0144535, -0.0128923, -0.0116936, -0.0105807, -0.00955348, -0.00861206, -0.00710515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.21598, 0.234901, 0.251106, 0.268175, 0.281745, 0.293559, 0.304366, 0.314575, 0.324584, 0.334582, 0.336028, 0.351071, 0.360344, 0.36571, 0.377052, 0.386774, 0.399119, 0.412076, 0.424813, 0.46943");
-            values ( \
-              "-0.00877174, -0.02326, -0.0407951, -0.0557301, -0.0659802, -0.0736265, -0.0790751, -0.0824703, -0.08261, -0.0748546, -0.0728027, -0.0411862, -0.02508, -0.0182578, -0.00886767, -0.00462699, -0.00192168, -0.000790592, -0.000388754, -0.00012211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.254424, 0.271488, 0.279363, 0.293186, 0.319004, 0.336223, 0.347554, 0.370216, 0.390004, 0.40814, 0.425476, 0.438328, 0.44247, 0.450755, 0.460319, 0.488442, 0.497516, 0.502928, 0.512511, 0.523463, 0.528337, 0.540073, 0.553486, 0.578206");
-            values ( \
-              "-0.00853237, -0.0166346, -0.0210016, -0.0306404, -0.0514406, -0.0635603, -0.0707407, -0.0838524, -0.0930571, -0.0995215, -0.102933, -0.103179, -0.102345, -0.099486, -0.091547, -0.0496822, -0.038038, -0.0319736, -0.0233135, -0.0158131, -0.0133004, -0.00862671, -0.00517528, -0.00195636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.35715, 0.389537, 0.460536, 0.512866, 0.55432, 0.581303, 0.624338, 0.656029, 0.673025, 0.687048, 0.715095, 0.77837, 0.804912, 0.833924, 0.858877, 0.875111, 0.90758, 0.938203");
-            values ( \
-              "-0.0211922, -0.0246959, -0.0599206, -0.0822888, -0.0968223, -0.104605, -0.114831, -0.119307, -0.119899, -0.118637, -0.1086, -0.0511574, -0.0329983, -0.0196165, -0.0122068, -0.00893285, -0.00457849, -0.00277463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.545584, 0.611028, 0.78874, 0.919103, 0.97147, 1.01018, 1.07535, 1.13733, 1.19858, 1.25977, 1.34293, 1.40321, 1.43923, 1.49461, 1.52572, 1.57047, 1.62587, 1.73008, 1.85306");
-            values ( \
-              "-0.0238337, -0.0243502, -0.0724524, -0.101097, -0.110739, -0.116866, -0.124943, -0.129312, -0.128238, -0.114105, -0.0713091, -0.0450797, -0.0331491, -0.020117, -0.0150399, -0.0097862, -0.00570562, -0.00175831, -0.000477653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.927221, 1.09304, 1.46862, 1.59117, 1.75543, 1.89938, 2.03171, 2.15469, 2.20212, 2.2819, 2.34452, 2.41452, 2.59576, 2.70362, 2.81879, 2.90325, 3.0362, 3.14285, 3.26583, 3.38881, 3.63478");
-            values ( \
-              "-0.0145529, -0.0257695, -0.0779341, -0.0928576, -0.110161, -0.122261, -0.130353, -0.13454, -0.134925, -0.13314, -0.128125, -0.116001, -0.0690325, -0.0460061, -0.0285244, -0.0196015, -0.0106711, -0.00642138, -0.00350149, -0.00191525, -0.000566001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.279392, 0.289315, 0.296976, 0.311825, 0.325807, 0.341027, 0.347841, 0.354485, 0.361919, 0.378972, 0.385584, 0.390755, 0.394954, 0.398599");
-            values ( \
-              "-0.00758696, -0.0116627, -0.0187444, -0.0349012, -0.0462117, -0.0558217, -0.0582321, -0.0590079, -0.0525302, -0.0164075, -0.00863431, -0.005086, -0.00325273, -0.00238004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.300979, 0.314298, 0.315419, 0.317661, 0.322146, 0.326456, 0.334523, 0.338434, 0.346257, 0.355536, 0.369121, 0.380943, 0.391756, 0.401968, 0.411981, 0.421982, 0.422463, 0.423425, 0.425349, 0.429197, 0.434835, 0.43605, 0.438479, 0.443337, 0.446857, 0.447748, 0.449531, 0.453096, 0.459715, 0.462024, 0.462837, 0.464463, 0.467714, 0.474217, 0.484022");
-            values ( \
-              "-0.00952164, -0.0169931, -0.0176856, -0.019482, -0.0232925, -0.027839, -0.0368912, -0.040551, -0.047874, -0.055545, -0.0660323, -0.0734798, -0.0791369, -0.0823481, -0.082676, -0.0747527, -0.0742358, -0.0728013, -0.0693509, -0.0613647, -0.0488084, -0.0462265, -0.0411659, -0.0320586, -0.0263699, -0.0250771, -0.0226085, -0.0182762, -0.0120359, -0.010383, -0.00984898, -0.00886396, -0.0071552, -0.00461504, -0.0024721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.336688, 0.376607, 0.418226, 0.456836, 0.476613, 0.494747, 0.512081, 0.524926, 0.53737, 0.546922, 0.584085, 0.593647, 0.610143, 0.626569, 0.639835, 0.666366, 0.679475");
-            values ( \
-              "-0.0013491, -0.0282462, -0.0605495, -0.0839344, -0.0930078, -0.0995875, -0.102884, -0.103237, -0.0995256, -0.0915111, -0.0381025, -0.027958, -0.0157543, -0.00865138, -0.00523563, -0.00171583, -0.00137463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.456316, 0.499072, 0.545135, 0.572926, 0.614951, 0.652045, 0.685718, 0.705923, 0.728942, 0.742948, 0.756954, 0.770961, 0.775697, 0.783576, 0.793006, 0.806879, 0.857217, 0.875901, 0.894964, 0.903196, 0.922401, 0.937409");
-            values ( \
-              "-0.0310741, -0.0353955, -0.0585875, -0.0709213, -0.0877719, -0.0998001, -0.109002, -0.113579, -0.118151, -0.119424, -0.119671, -0.118949, -0.118356, -0.116277, -0.112468, -0.103494, -0.057501, -0.0431164, -0.0308778, -0.0267673, -0.0189558, -0.0142748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.639202, 0.718142, 0.881754, 0.975801, 1.03925, 1.09763, 1.16282, 1.22483, 1.28608, 1.34728, 1.47702, 1.51694, 1.57011, 1.60085, 1.6411, 1.69476, 1.79215, 1.91091");
-            values ( \
-              "-0.0241389, -0.0291966, -0.0737467, -0.0947714, -0.107264, -0.116844, -0.124938, -0.1293, -0.12824, -0.114095, -0.0503727, -0.0361876, -0.0225696, -0.016894, -0.0115235, -0.00684084, -0.00234701, -0.000647728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.01995, 1.18113, 1.56047, 1.67927, 1.8437, 1.98744, 2.1198, 2.24278, 2.28984, 2.32986, 2.36996, 2.43258, 2.50258, 2.68382, 2.79168, 2.90685, 2.9913, 3.12426, 3.23091, 3.35389, 3.47688, 3.72284");
-            values ( \
-              "-0.0157226, -0.0257671, -0.0784164, -0.0928611, -0.110181, -0.122262, -0.130355, -0.134541, -0.134926, -0.134508, -0.13314, -0.128125, -0.116001, -0.0690327, -0.0460062, -0.0285245, -0.0196016, -0.010671, -0.0064213, -0.00350144, -0.00191522, -0.000565988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.482308, 0.494669, 0.500015, 0.503547, 0.510151, 0.512296, 0.516443, 0.523533, 0.524546, 0.526573, 0.534023, 0.542189, 0.549565, 0.556482, 0.5632, 0.56991, 0.570669, 0.573705, 0.581712, 0.584764, 0.588773, 0.591359, 0.594969, 0.599736, 0.606093, 0.612484");
-            values ( \
-              "-0.00540164, -0.0101436, -0.0136226, -0.0166887, -0.0232465, -0.0265285, -0.0297536, -0.0365904, -0.0369537, -0.0390046, -0.0448973, -0.0506171, -0.0548884, -0.0575487, -0.0583873, -0.0533217, -0.0521962, -0.0462791, -0.027527, -0.0214341, -0.0149973, -0.0117337, -0.00820053, -0.00502651, -0.00251413, -0.00133318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.513581, 0.53052, 0.557628, 0.575578, 0.587993, 0.600144, 0.608128, 0.614967, 0.620429, 0.630438, 0.655092, 0.663056, 0.672642, 0.679821, 0.689394, 0.702095, 0.715202, 0.758765");
-            values ( \
-              "-0.0176862, -0.0226582, -0.0496803, -0.0639729, -0.0722635, -0.0785982, -0.0814738, -0.0826824, -0.082193, -0.0747661, -0.0267708, -0.0167214, -0.00908059, -0.0056472, -0.00291064, -0.00119272, -0.000517097, -0.000132364" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.552462, 0.59059, 0.615828, 0.644233, 0.666808, 0.68653, 0.701976, 0.710106, 0.720503, 0.730699, 0.738901, 0.754134, 0.797164, 0.810515, 0.821537, 0.833941, 0.84378, 0.86346, 0.892747, 0.92227");
-            values ( \
-              "-0.00502589, -0.0313214, -0.0519383, -0.0710849, -0.0840056, -0.093205, -0.0987914, -0.100966, -0.102801, -0.103391, -0.102462, -0.0944475, -0.0343696, -0.0220857, -0.0149454, -0.00951413, -0.00655592, -0.00301974, -0.000859928, -0.000294281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.642196, 0.690131, 0.757531, 0.789545, 0.833602, 0.851217, 0.878455, 0.902952, 0.919074, 0.934113, 0.949151, 0.960436, 0.972204, 0.98392, 1.00735, 1.01683, 1.06713, 1.0924, 1.11751, 1.13796, 1.16256, 1.18199, 1.22083, 1.2499");
-            values ( \
-              "-0.00853897, -0.0262064, -0.0596658, -0.0738713, -0.090842, -0.0965878, -0.104474, -0.11077, -0.114292, -0.116969, -0.118893, -0.119703, -0.119771, -0.118713, -0.11123, -0.104948, -0.057837, -0.038686, -0.0249878, -0.0170843, -0.010688, -0.00729731, -0.00323755, -0.00204254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.814402, 0.91106, 1.07946, 1.15643, 1.21931, 1.30859, 1.3737, 1.43575, 1.49698, 1.55816, 1.6429, 1.68788, 1.7278, 1.78098, 1.81172, 1.85195, 1.90559, 2.00302, 2.12177");
-            values ( \
-              "-0.00775907, -0.0248651, -0.0706194, -0.0883212, -0.101513, -0.116926, -0.124985, -0.129354, -0.128268, -0.114125, -0.0705413, -0.0503841, -0.0361946, -0.022572, -0.01689, -0.0115212, -0.00684669, -0.00234268, -0.00065131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.3054, 1.49077, 1.62497, 1.80682, 1.96752, 2.05536, 2.19908, 2.33143, 2.45442, 2.50138, 2.54144, 2.58159, 2.6442, 2.7142, 2.89544, 3.0033, 3.11846, 3.20292, 3.25589, 3.33588, 3.44254, 3.56552, 3.68851, 3.93447");
-            values ( \
-              "-0.0310197, -0.0393299, -0.0589409, -0.0827959, -0.101362, -0.110193, -0.122271, -0.130363, -0.134545, -0.13493, -0.134513, -0.133144, -0.128129, -0.116003, -0.0690335, -0.0460063, -0.028525, -0.0196021, -0.0154319, -0.0106707, -0.00642092, -0.00350113, -0.00191513, -0.000565998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.941075, 0.955208, 0.961163, 0.980503, 1.00025, 1.01332, 1.02789, 1.03177, 1.03565, 1.04356, 1.04727, 1.0562, 1.06172, 1.06642, 1.07215");
-            values ( \
-              "-0.00762855, -0.00844105, -0.0111254, -0.0246738, -0.0369919, -0.044055, -0.0507591, -0.0503864, -0.0496878, -0.0471931, -0.0418962, -0.0253302, -0.0165791, -0.011058, -0.00655164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.961906, 0.996216, 1.00426, 1.01957, 1.04005, 1.05577, 1.06927, 1.08143, 1.09278, 1.1038, 1.11593, 1.14071, 1.14887, 1.15494, 1.16153, 1.16665, 1.17688, 1.18981, 1.1932");
-            values ( \
-              "-3.72974e-05, -0.0157093, -0.0207384, -0.0331722, -0.0475462, -0.0574836, -0.0648873, -0.0707552, -0.0744326, -0.0756507, -0.0682203, -0.0255325, -0.0161133, -0.0111476, -0.00738992, -0.00531675, -0.00267851, -0.00111664, -0.00101278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("1.03449, 1.05832, 1.06623, 1.13555, 1.1599, 1.18146, 1.20645, 1.21578, 1.22921, 1.23565, 1.24854, 1.254, 1.29345, 1.30414, 1.32072, 1.33257, 1.34836, 1.37585, 1.38216");
-            values ( \
-              "-0.0122965, -0.0171041, -0.0208247, -0.0654079, -0.078561, -0.0883046, -0.0966749, -0.0985982, -0.0998193, -0.0993265, -0.0944117, -0.0897327, -0.035613, -0.0252655, -0.0142059, -0.00923732, -0.00505263, -0.00160721, -0.001456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.12568, 1.18235, 1.21131, 1.28407, 1.33727, 1.35444, 1.39121, 1.42486, 1.4413, 1.45669, 1.48474, 1.49435, 1.50691, 1.52077, 1.58982, 1.61996, 1.64198, 1.66742, 1.68791, 1.72889, 1.74164");
-            values ( \
-              "-0.00518697, -0.0210353, -0.0335394, -0.0691599, -0.0901429, -0.0956402, -0.106359, -0.114133, -0.117051, -0.118785, -0.118926, -0.117309, -0.113114, -0.10481, -0.043171, -0.0257184, -0.0170989, -0.0105283, -0.00702425, -0.0029704, -0.00248844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.32711, 1.42076, 1.58123, 1.71413, 1.75676, 1.81538, 1.88041, 1.94241, 2.00361, 2.06476, 2.1495, 2.19445, 2.23437, 2.28758, 2.31829, 2.3585, 2.41211, 2.50942, 2.62814");
-            values ( \
-              "-0.00787768, -0.0257788, -0.0695741, -0.0992974, -0.107458, -0.117053, -0.125095, -0.129427, -0.128333, -0.114156, -0.0705548, -0.0503904, -0.036195, -0.0225655, -0.0168939, -0.011527, -0.00684726, -0.00235028, -0.000649612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.73745, 1.90032, 2.13243, 2.26472, 2.41715, 2.5626, 2.64023, 2.70623, 2.82921, 2.89731, 2.96462, 3.0876, 3.11595, 3.1462, 3.20669, 3.22184, 3.40249, 3.51012, 3.56218, 3.6258, 3.71064, 3.763, 3.84208, 3.94753, 4.07051, 4.19349, 4.31647, 4.43945");
-            values ( \
-              "-0.0152397, -0.0258173, -0.0589416, -0.0765296, -0.095021, -0.110175, -0.11709, -0.122248, -0.129888, -0.132815, -0.134572, -0.133259, -0.131537, -0.128769, -0.119227, -0.115934, -0.0690217, -0.0460405, -0.0372701, -0.0284812, -0.0195522, -0.015437, -0.0107224, -0.00647848, -0.0035463, -0.00192685, -0.00104286, -0.000563546" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00694502, 0.00695588, 0.00696808, 0.00697868, 0.00698603, 0.00699019", \
-            "0.00783705, 0.00784474, 0.00785463, 0.00786403, 0.007871, 0.00787525", \
-            "0.00832394, 0.00832843, 0.008335, 0.00834223, 0.00834824, 0.00835217", \
-            "0.00860556, 0.00860724, 0.00861019, 0.00861432, 0.00861854, 0.00862174", \
-            "0.008765, 0.00876546, 0.00876631, 0.00876775, 0.00876984, 0.00877194", \
-            "0.00886515, 0.00886561, 0.0088663, 0.00886713, 0.00886812, 0.00886927" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00905513, 0.00906897, 0.00908295, 0.00909593, 0.00910544, 0.00911095", \
-            "0.00988448, 0.00989414, 0.00990666, 0.00991871, 0.00992757, 0.0099329", \
-            "0.0104207, 0.0104308, 0.0104433, 0.0104553, 0.010464, 0.0104693", \
-            "0.0108176, 0.0108078, 0.0107973, 0.010789, 0.0107836, 0.0107807", \
-            "0.0112357, 0.0111659, 0.0110811, 0.0110013, 0.0109414, 0.0109043", \
-            "0.0118401, 0.0117046, 0.0114732, 0.0112478, 0.0110767, 0.0109703" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.156266, 0.200149, 0.290429, 0.478684, 0.876146, 1.71508", \
-            "0.159529, 0.203357, 0.293692, 0.482355, 0.879751, 1.71854", \
-            "0.166246, 0.21037, 0.300918, 0.48954, 0.886999, 1.72588", \
-            "0.18236, 0.226699, 0.317406, 0.50628, 0.904147, 1.74326", \
-            "0.215627, 0.2628, 0.355953, 0.54665, 0.945143, 1.78485", \
-            "0.256872, 0.31491, 0.425221, 0.633861, 1.04162, 1.88367" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0443862, 0.0673407, 0.115064, 0.216349, 0.42841, 0.876011", \
-            "0.0443162, 0.0674306, 0.115278, 0.216069, 0.428348, 0.875984", \
-            "0.0442673, 0.0673066, 0.115063, 0.216051, 0.428355, 0.87599", \
-            "0.0442563, 0.0672441, 0.11503, 0.216176, 0.428321, 0.875983", \
-            "0.0467562, 0.0691385, 0.115409, 0.216029, 0.428335, 0.875981", \
-            "0.0543785, 0.0770811, 0.123132, 0.220052, 0.428586, 0.875912" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.209963, 0.264168, 0.375668, 0.608294, 1.09643, 2.12771", \
-            "0.214537, 0.268964, 0.380699, 0.613598, 1.10164, 2.13304", \
-            "0.226081, 0.280738, 0.392866, 0.626001, 1.11408, 2.14585", \
-            "0.251717, 0.306316, 0.418633, 0.651907, 1.14072, 2.17272", \
-            "0.310536, 0.365726, 0.478091, 0.711554, 1.20101, 2.23318", \
-            "0.424326, 0.489013, 0.613553, 0.85308, 1.34482, 2.37762" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0473954, 0.0707869, 0.118596, 0.216621, 0.421853, 0.855528", \
-            "0.0473979, 0.0707243, 0.118501, 0.2165, 0.421941, 0.855518", \
-            "0.0473934, 0.0706129, 0.118339, 0.216363, 0.421389, 0.855455", \
-            "0.0473622, 0.070665, 0.118334, 0.216526, 0.42118, 0.855421", \
-            "0.0479809, 0.0707111, 0.118299, 0.216512, 0.421851, 0.855475", \
-            "0.0550048, 0.0778082, 0.123462, 0.21793, 0.421834, 0.855523" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.118412, 0.131345, 0.133936, 0.136528, 0.139119, 0.14171, 0.14378, 0.145849, 0.147918, 0.149987, 0.151817, 0.153647, 0.155477, 0.157307, 0.159023, 0.16074, 0.162457, 0.164173, 0.165854, 0.167535, 0.169216, 0.170897, 0.172576, 0.174255, 0.175934, 0.177613, 0.177828, 0.179118, 0.181699, 0.18428, 0.186126, 0.187972, 0.189817, 0.191382, 0.192947, 0.194512, 0.196077, 0.20095, 0.203779, 0.206607, 0.209436, 0.214253, 0.215675, 0.217098, 0.220582, 0.221744, 0.22344, 0.226833, 0.22853, 0.231493");
-            values ( \
-              "0.0279877, 0.0321636, 0.0353642, 0.0383897, 0.0412399, 0.043915, 0.0459253, 0.0478239, 0.0496107, 0.0512859, 0.0526114, 0.0538268, 0.0549322, 0.0559275, 0.0564119, 0.056796, 0.0570798, 0.0572632, 0.0573455, 0.0573316, 0.0572214, 0.057015, 0.0565109, 0.05583, 0.0549723, 0.053938, 0.0537518, 0.0519298, 0.0475369, 0.0426337, 0.0388196, 0.0355259, 0.0324913, 0.0302017, 0.0280448, 0.0260206, 0.024129, 0.0187911, 0.0161794, 0.0139505, 0.0119935, 0.00915535, 0.00840593, 0.00771842, 0.00635992, 0.00595559, 0.00543033, 0.00449157, 0.00407807, 0.0034416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.123612, 0.13324, 0.137757, 0.159189, 0.174401, 0.186659, 0.197613, 0.207973, 0.218203, 0.228425, 0.246267, 0.261851, 0.27733, 0.288677, 0.297365, 0.306176, 0.319868, 0.340421");
-            values ( \
-              "0.00729415, 0.0133912, 0.0177769, 0.0456337, 0.0622761, 0.0724032, 0.0781965, 0.0810397, 0.0804229, 0.0740876, 0.0479075, 0.029494, 0.0171217, 0.0112479, 0.00805013, 0.00571556, 0.0033459, 0.00169723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.155956, 0.181814, 0.215893, 0.232016, 0.24126, 0.259747, 0.273411, 0.28033, 0.288605, 0.297408, 0.30157, 0.305643, 0.313789, 0.318985, 0.325721, 0.331222, 0.339642, 0.368471, 0.390442, 0.405903, 0.418692, 0.429692, 0.44072, 0.456522, 0.463365, 0.477051, 0.496232, 0.518817, 0.544002, 0.574595, 0.614849");
-            values ( \
-              "0.0031882, 0.0238972, 0.0582171, 0.0722262, 0.0790464, 0.0900821, 0.0955508, 0.0975779, 0.0992146, 0.100195, 0.100306, 0.100189, 0.0991632, 0.0977322, 0.0947057, 0.0911107, 0.083947, 0.0552892, 0.0371814, 0.027316, 0.0208622, 0.0164718, 0.0129404, 0.00906289, 0.00777167, 0.00566786, 0.00362311, 0.0021156, 0.00116898, 0.000563588, 0.000226679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.230093, 0.269438, 0.333769, 0.354966, 0.381099, 0.38868, 0.405328, 0.415544, 0.425052, 0.439959, 0.453191, 0.462721, 0.474573, 0.486236, 0.502655, 0.519331, 0.55268, 0.580115, 0.631105, 0.660931, 0.675884, 0.705789, 0.719392, 0.736636, 0.756222, 0.783503, 0.79446, 0.816373, 0.860199, 0.936115, 1.03313");
-            values ( \
-              "0.0123559, 0.0254023, 0.0665906, 0.0784864, 0.0907906, 0.0938911, 0.099947, 0.103113, 0.105695, 0.108949, 0.111043, 0.112101, 0.112844, 0.113075, 0.11242, 0.110384, 0.0999915, 0.0853992, 0.0566583, 0.0424609, 0.0363608, 0.0261382, 0.0223976, 0.0183258, 0.0145086, 0.0103974, 0.00908622, 0.00691911, 0.00393918, 0.00138438, 0.000330608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.406013, 0.467861, 0.556198, 0.620484, 0.640514, 0.675417, 0.714496, 0.750157, 0.771241, 0.795355, 0.818141, 0.863714, 0.883642, 0.91618, 0.949283, 1.01536, 1.07073, 1.17026, 1.23463, 1.25941, 1.29244, 1.33554, 1.37068, 1.41193, 1.47007, 1.49559, 1.52988, 1.57559, 1.66701, 1.7861, 1.9052, 2.0243");
-            values ( \
-              "0.0290848, 0.0309799, 0.0631086, 0.0837466, 0.0894541, 0.0982402, 0.106205, 0.111881, 0.114595, 0.117117, 0.118971, 0.121016, 0.121211, 0.120481, 0.118098, 0.106557, 0.0909623, 0.0609323, 0.0444461, 0.0390208, 0.0325869, 0.0255334, 0.0208136, 0.0162664, 0.0113895, 0.00973057, 0.0078627, 0.00589046, 0.00325229, 0.0014595, 0.000655121, 0.000290484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.704393, 0.856188, 1.0409, 1.13236, 1.25211, 1.35591, 1.40649, 1.45037, 1.52304, 1.58883, 1.70792, 1.75198, 1.80371, 1.85681, 1.96299, 2.07659, 2.32082, 2.43554, 2.55178, 2.64737, 2.73229, 2.84551, 2.9399, 2.98183, 3.06569, 3.18479, 3.30388, 3.42298, 3.54207, 3.78026, 4.01846");
-            values ( \
-              "0.0153761, 0.02868, 0.0630429, 0.0788506, 0.0964526, 0.108585, 0.113329, 0.116812, 0.121309, 0.124052, 0.125967, 0.125632, 0.124436, 0.122084, 0.113148, 0.0981543, 0.0614879, 0.0467557, 0.0345799, 0.0266266, 0.0209434, 0.015015, 0.0113567, 0.0099911, 0.00777028, 0.00536435, 0.00372674, 0.00254902, 0.00177458, 0.000844482, 0.00040404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.120719, 0.129573, 0.131198, 0.138042, 0.14531, 0.155606, 0.163854, 0.171158, 0.178015, 0.184731, 0.19144, 0.203528, 0.209822, 0.213355, 0.217725, 0.223552, 0.227959, 0.23531, 0.242189, 0.247469, 0.258041, 0.265186, 0.271253, 0.280121");
-            values ( \
-              "0.00558031, 0.0111691, 0.0127273, 0.0215246, 0.0319899, 0.0435173, 0.0510033, 0.0554122, 0.0579469, 0.0580085, 0.0543034, 0.0329891, 0.0241986, 0.0203577, 0.0162158, 0.0118189, 0.00928299, 0.00611187, 0.00411386, 0.00306194, 0.00170511, 0.00114812, 0.000854086, 0.000632474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.132673, 0.144526, 0.149155, 0.188065, 0.200359, 0.211333, 0.221706, 0.231945, 0.242177, 0.260054, 0.275609, 0.291609, 0.306969, 0.327087, 0.347513, 0.354542");
-            values ( \
-              "0.00607083, 0.0108157, 0.0150922, 0.0621141, 0.0721707, 0.0781462, 0.0808904, 0.0804255, 0.0739918, 0.0478549, 0.0294877, 0.0167963, 0.00946066, 0.00432414, 0.00203099, 0.0017623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.162496, 0.1886, 0.254885, 0.275539, 0.294126, 0.303423, 0.320037, 0.329354, 0.338159, 0.345963, 0.401966, 0.418663, 0.444527, 0.470724, 0.509337, 0.533405");
-            values ( \
-              "0.00586172, 0.0174615, 0.0787139, 0.0908616, 0.0974646, 0.0993485, 0.100153, 0.0987149, 0.0954949, 0.0904155, 0.0388643, 0.0279266, 0.0161059, 0.00898381, 0.00368754, 0.00241792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.255419, 0.285618, 0.348511, 0.372273, 0.395204, 0.407332, 0.43083, 0.453318, 0.467446, 0.475332, 0.486597, 0.500529, 0.516927, 0.533579, 0.56522, 0.576452, 0.639452, 0.665902, 0.695346, 0.718462, 0.733702, 0.750549, 0.770268, 0.797688, 0.808787, 0.830986, 0.875383, 0.948731, 1.04441");
-            values ( \
-              "0.0222777, 0.0269352, 0.0671859, 0.0802944, 0.0909443, 0.0958219, 0.103637, 0.108984, 0.111229, 0.112079, 0.112908, 0.113176, 0.112536, 0.110433, 0.100771, 0.0952892, 0.0598168, 0.0465646, 0.0343868, 0.0266387, 0.0224029, 0.0184161, 0.0145596, 0.0104151, 0.00908732, 0.00689359, 0.00389526, 0.0014264, 0.000350769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.413953, 0.473262, 0.571794, 0.610245, 0.660152, 0.709624, 0.73612, 0.76431, 0.799348, 0.832405, 0.881572, 0.898063, 0.930509, 0.963541, 1.02961, 1.08498, 1.18449, 1.24475, 1.30671, 1.34979, 1.38491, 1.42616, 1.4843, 1.54413, 1.58985, 1.68129, 1.80038, 1.91948, 2.03857");
-            values ( \
-              "0.0251121, 0.0280284, 0.0636165, 0.0763011, 0.0909476, 0.10261, 0.107551, 0.111906, 0.116164, 0.118997, 0.12112, 0.121226, 0.120507, 0.118103, 0.106581, 0.090977, 0.0609481, 0.0453878, 0.0325789, 0.0255357, 0.0208178, 0.0162648, 0.0113922, 0.00786338, 0.00588872, 0.00325245, 0.00145811, 0.000655941, 0.000289445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.685879, 0.870224, 1.12549, 1.19809, 1.31209, 1.39995, 1.46397, 1.53723, 1.60246, 1.72155, 1.76559, 1.81733, 1.87043, 1.97662, 2.01476, 2.09021, 2.33444, 2.44915, 2.56539, 2.66099, 2.7459, 2.85913, 2.95351, 2.99545, 3.07931, 3.19841, 3.3175, 3.4366, 3.55569, 3.67479, 3.79388, 4.03207");
-            values ( \
-              "0.00752539, 0.028757, 0.0754898, 0.0870016, 0.10227, 0.11154, 0.116823, 0.121346, 0.124062, 0.125971, 0.125635, 0.124442, 0.122085, 0.11315, 0.108545, 0.0981576, 0.0614846, 0.046752, 0.034576, 0.0266307, 0.0209477, 0.0150106, 0.0113611, 0.00998651, 0.00777484, 0.0053597, 0.00373138, 0.00254432, 0.00177929, 0.00119603, 0.000849267, 0.000408886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.154415, 0.158835, 0.162705, 0.163675, 0.165617, 0.170362, 0.177552, 0.184155, 0.187778, 0.195023, 0.197913, 0.201767, 0.203278, 0.206301, 0.210128, 0.216841, 0.223552, 0.226803, 0.232065, 0.235654, 0.241945, 0.245469, 0.249825, 0.255632, 0.260088, 0.262718, 0.267456, 0.274325, 0.277827, 0.279578, 0.28308, 0.290084, 0.297199, 0.303279, 0.309552, 0.317043, 0.328169");
-            values ( \
-              "0.00609366, 0.00881466, 0.0120961, 0.0134061, 0.0154892, 0.021894, 0.0320485, 0.0398905, 0.0436731, 0.0502339, 0.0523433, 0.0547008, 0.0554791, 0.0567677, 0.0578512, 0.0580734, 0.0542188, 0.0491836, 0.0392501, 0.0329598, 0.024191, 0.0203581, 0.0162288, 0.0118392, 0.00927518, 0.00801243, 0.00610054, 0.00410889, 0.00336558, 0.00306256, 0.00250993, 0.00171186, 0.00115618, 0.000856128, 0.000663988, 0.000509209, 0.000457085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.169851, 0.220617, 0.232885, 0.243829, 0.254184, 0.26441, 0.274629, 0.292463, 0.30602, 0.324097, 0.33934, 0.359928, 0.380678, 0.390287");
-            values ( \
-              "0.00279852, 0.0623637, 0.0724408, 0.0782634, 0.0810488, 0.0804658, 0.0740841, 0.0479222, 0.0315256, 0.0167688, 0.00948687, 0.00425698, 0.00198239, 0.00161961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.20961, 0.228726, 0.262496, 0.287815, 0.308378, 0.326916, 0.344563, 0.352665, 0.362093, 0.370888, 0.384184, 0.434893, 0.463931, 0.482082, 0.509557, 0.548307, 0.560633");
-            values ( \
-              "0.0121616, 0.024476, 0.0584305, 0.0792003, 0.0911231, 0.0977013, 0.100319, 0.100249, 0.0988374, 0.0955403, 0.0858553, 0.038717, 0.021428, 0.0144893, 0.00783149, 0.00317926, 0.00262812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.28425, 0.318233, 0.381184, 0.401022, 0.428222, 0.438098, 0.465771, 0.487428, 0.507991, 0.519397, 0.533208, 0.549605, 0.566257, 0.597336, 0.610224, 0.678416, 0.707798, 0.722561, 0.752086, 0.766249, 0.784193, 0.803559, 0.830625, 0.862817, 0.905738, 0.979482, 1.07244");
-            values ( \
-              "0.0168542, 0.0268766, 0.0671401, 0.0782743, 0.0911036, 0.0950683, 0.104248, 0.10923, 0.112102, 0.112902, 0.1132, 0.112522, 0.110452, 0.100991, 0.0947023, 0.056341, 0.0423911, 0.0364965, 0.0262476, 0.0223643, 0.01815, 0.0144555, 0.0103405, 0.00693494, 0.00403248, 0.00143885, 0.000399438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.428793, 0.506042, 0.594194, 0.63549, 0.682495, 0.73146, 0.771592, 0.79715, 0.831971, 0.865247, 0.913762, 0.930912, 0.963359, 0.996388, 1.06245, 1.11786, 1.21729, 1.2776, 1.33966, 1.38267, 1.41772, 1.45898, 1.51714, 1.57701, 1.62278, 1.7143, 1.8334, 1.95249, 2.07159");
-            values ( \
-              "0.0128127, 0.0280131, 0.0599718, 0.0738543, 0.0880803, 0.100293, 0.107989, 0.111904, 0.116133, 0.118993, 0.1211, 0.121221, 0.120499, 0.1181, 0.106578, 0.0909664, 0.0609615, 0.0453872, 0.0325613, 0.0255322, 0.0208234, 0.0162671, 0.0113934, 0.00786201, 0.00588547, 0.00324931, 0.00145617, 0.000655605, 0.000288772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.817395, 0.965772, 1.09079, 1.18687, 1.30246, 1.40108, 1.45258, 1.49725, 1.56994, 1.6357, 1.75479, 1.79885, 1.85058, 1.90367, 2.00986, 2.04801, 2.12346, 2.36769, 2.4824, 2.59864, 2.69424, 2.77915, 2.89238, 2.98677, 3.0287, 3.11257, 3.23166, 3.35076, 3.46985, 3.58895, 3.82714, 4.06533");
-            values ( \
-              "0.0337774, 0.0402069, 0.0636278, 0.080128, 0.0969214, 0.108413, 0.113274, 0.116809, 0.12132, 0.124047, 0.125975, 0.12564, 0.124431, 0.122091, 0.113143, 0.108551, 0.0981495, 0.0614905, 0.0467577, 0.0345815, 0.0266249, 0.0209423, 0.0150158, 0.0113557, 0.00999157, 0.00776964, 0.00536471, 0.00372631, 0.0025493, 0.00177431, 0.000844363, 0.000404052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.230912, 0.24339, 0.253207, 0.258573, 0.265204, 0.273413, 0.280705, 0.287553, 0.294263, 0.300966, 0.30424, 0.312969, 0.319288, 0.322875, 0.327341, 0.333295, 0.33981, 0.344639, 0.351591, 0.357056, 0.360735, 0.367888, 0.374881, 0.3808, 0.394351, 0.417152");
-            values ( \
-              "0.00148207, 0.0160447, 0.0298234, 0.0365629, 0.0437572, 0.0510619, 0.0555512, 0.0579575, 0.0581005, 0.054286, 0.0491644, 0.0331297, 0.0242661, 0.0203621, 0.0161365, 0.0116735, 0.00815316, 0.00617988, 0.00413893, 0.0030498, 0.00247447, 0.00167451, 0.00113747, 0.000854715, 0.000513929, 0.000352569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.250026, 0.257556, 0.262414, 0.26765, 0.283347, 0.298537, 0.310779, 0.321709, 0.332055, 0.342275, 0.352487, 0.36987, 0.375324, 0.383633, 0.39085, 0.396159, 0.402019, 0.410922, 0.41712, 0.424941, 0.430544, 0.437835, 0.447555, 0.458492, 0.468744, 0.481011, 0.483445");
-            values ( \
-              "0.00861615, 0.0138951, 0.0186811, 0.0251691, 0.0461645, 0.062441, 0.0726359, 0.0782669, 0.0811812, 0.0804374, 0.0741752, 0.0485548, 0.0412504, 0.0317449, 0.0249575, 0.0207106, 0.0167398, 0.0120274, 0.00951128, 0.00702356, 0.00565117, 0.0042519, 0.00291462, 0.00198955, 0.00142287, 0.000949501, 0.000885855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.303169, 0.327607, 0.336447, 0.34529, 0.349713, 0.358559, 0.366238, 0.373917, 0.381595, 0.386757, 0.391635, 0.396513, 0.400895, 0.405278, 0.411328, 0.415573, 0.417802, 0.42226, 0.425707, 0.42957, 0.432055, 0.434161, 0.436267, 0.438373, 0.440479, 0.442664, 0.444848, 0.447032, 0.449216, 0.452964, 0.456712, 0.460118, 0.46512, 0.473843, 0.488457, 0.498838, 0.502298, 0.507472, 0.516862, 0.521077, 0.528297, 0.533884, 0.543425, 0.549229, 0.555032, 0.563199, 0.569846, 0.577694, 0.583296, 0.588329");
-            values ( \
-              "0.0414763, 0.0458447, 0.054511, 0.062632, 0.0664882, 0.0737892, 0.0793653, 0.0842689, 0.0886385, 0.0912754, 0.0933462, 0.0951602, 0.096571, 0.0977745, 0.0988995, 0.0995202, 0.0997903, 0.100216, 0.10028, 0.100152, 0.0999279, 0.0996475, 0.099286, 0.0988436, 0.0983201, 0.0976467, 0.0968678, 0.0959832, 0.094993, 0.0929775, 0.0906088, 0.0878959, 0.0833123, 0.0747105, 0.0596527, 0.0502668, 0.0473358, 0.0431998, 0.0359671, 0.0329889, 0.0286311, 0.0255913, 0.0209003, 0.0183321, 0.0160867, 0.0135126, 0.0117095, 0.0098209, 0.0085971, 0.00758576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.353166, 0.395493, 0.459181, 0.482194, 0.506015, 0.517797, 0.543949, 0.551851, 0.568082, 0.584693, 0.595896, 0.611365, 0.627809, 0.644491, 0.674295, 0.690966, 0.740491, 0.782407, 0.805952, 0.832861, 0.858276, 0.876411, 0.906735, 0.937141, 0.960629, 1.00761, 1.09088, 1.19558");
-            values ( \
-              "0.00901678, 0.0260978, 0.0668785, 0.0796451, 0.0907726, 0.0955413, 0.104117, 0.106231, 0.109611, 0.111872, 0.112776, 0.11314, 0.112505, 0.110408, 0.101525, 0.0933736, 0.0650501, 0.0439963, 0.034537, 0.0255568, 0.0190907, 0.0154266, 0.0106258, 0.00730675, 0.00545459, 0.00295523, 0.000922767, 0.000181124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.522195, 0.584602, 0.671419, 0.72281, 0.773266, 0.817349, 0.865714, 0.895618, 0.943765, 0.961463, 0.996859, 1.00928, 1.03412, 1.07487, 1.14087, 1.19657, 1.29536, 1.36028, 1.41868, 1.46131, 1.49593, 1.5373, 1.59554, 1.65569, 1.70171, 1.79374, 1.91283, 2.03193, 2.15102");
-            values ( \
-              "0.0225029, 0.0280154, 0.0595055, 0.0766633, 0.0914261, 0.101875, 0.110474, 0.114488, 0.118997, 0.12004, 0.121155, 0.121207, 0.120784, 0.118098, 0.106588, 0.0908949, 0.0610799, 0.0444339, 0.0324693, 0.0255081, 0.0208555, 0.0162875, 0.011398, 0.00785064, 0.00587148, 0.00322672, 0.00144925, 0.000649202, 0.000289199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.790959, 0.982189, 1.23738, 1.34852, 1.42386, 1.47982, 1.53122, 1.5758, 1.64849, 1.71425, 1.83334, 1.8774, 1.92913, 1.98223, 2.08841, 2.12656, 2.20201, 2.44624, 2.56095, 2.6772, 2.77279, 2.8577, 2.97093, 3.06532, 3.10725, 3.19112, 3.31021, 3.42931, 3.5484, 3.6675, 3.90569, 4.14388");
-            values ( \
-              "0.00617801, 0.0288011, 0.0755236, 0.0925508, 0.102264, 0.108448, 0.11327, 0.116824, 0.121309, 0.124061, 0.125963, 0.125629, 0.124443, 0.12208, 0.113153, 0.108541, 0.0981592, 0.0614843, 0.0467523, 0.0345767, 0.0266295, 0.020946, 0.0150121, 0.0113592, 0.00998831, 0.00777268, 0.00536169, 0.00372918, 0.00254649, 0.001777, 0.000846874, 0.000406383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.407664, 0.416785, 0.420176, 0.437555, 0.444505, 0.45341, 0.461226, 0.468491, 0.475554, 0.482607, 0.485014, 0.496732, 0.502927, 0.507195, 0.511489, 0.516128, 0.524753, 0.531678, 0.537991, 0.548445, 0.555393, 0.561243, 0.57477, 0.594581");
-            values ( \
-              "0.00661323, 0.010458, 0.0133451, 0.0332671, 0.0400271, 0.0473462, 0.052108, 0.0548294, 0.055469, 0.0523674, 0.049214, 0.029746, 0.0219904, 0.0177592, 0.0142167, 0.0111376, 0.00695704, 0.00471037, 0.00332149, 0.00187987, 0.00130197, 0.000970537, 0.000575232, 0.000419157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.443733, 0.464596, 0.470722, 0.473785, 0.47989, 0.482715, 0.486337, 0.488148, 0.489543, 0.493729, 0.499434, 0.505829, 0.507209, 0.512345, 0.514931, 0.515793, 0.517108, 0.518424, 0.519739, 0.521055, 0.522348, 0.523641, 0.524935, 0.526228, 0.528815, 0.531402, 0.533989, 0.537076, 0.538078, 0.538578, 0.542082, 0.55378, 0.557524, 0.561024, 0.565528, 0.568297, 0.573574, 0.576056, 0.578581, 0.582413, 0.585028, 0.587891, 0.591002, 0.594113, 0.595668, 0.600268, 0.604, 0.608399, 0.610598, 0.614631");
-            values ( \
-              "0.0369268, 0.0421795, 0.0488444, 0.0520388, 0.0581313, 0.0607851, 0.0639655, 0.0654687, 0.0664356, 0.0692068, 0.0724377, 0.0761843, 0.0764988, 0.0781665, 0.0788706, 0.0790655, 0.079178, 0.0792232, 0.0792011, 0.0791119, 0.0789586, 0.0787403, 0.0784569, 0.0781086, 0.0771826, 0.0759737, 0.0744819, 0.0723285, 0.0712702, 0.0706278, 0.0658103, 0.048433, 0.0434986, 0.039201, 0.0341188, 0.0312574, 0.0261778, 0.0240095, 0.0220116, 0.0192334, 0.0174986, 0.015722, 0.0139667, 0.0124116, 0.0117004, 0.00987983, 0.00859501, 0.00727312, 0.00668364, 0.00571859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.469418, 0.490272, 0.53414, 0.556649, 0.57236, 0.586837, 0.599307, 0.611743, 0.626286, 0.635107, 0.644814, 0.702445, 0.729975, 0.750976, 0.777427, 0.817712, 0.821961");
-            values ( \
-              "0.0117107, 0.0218667, 0.0644691, 0.0816284, 0.0904284, 0.0960732, 0.098956, 0.100051, 0.0985917, 0.095384, 0.0888628, 0.0363275, 0.0206578, 0.0131016, 0.00721941, 0.00282006, 0.00265419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.560606, 0.597533, 0.647567, 0.656945, 0.681994, 0.694146, 0.714406, 0.73893, 0.755482, 0.774269, 0.785836, 0.799484, 0.815879, 0.832531, 0.863055, 0.877576, 0.933714, 0.971754, 1.00445, 1.02664, 1.04531, 1.06584, 1.09494, 1.12448, 1.14699, 1.19203, 1.27078, 1.37364");
-            values ( \
-              "0.026342, 0.0352316, 0.0674258, 0.0726719, 0.0855697, 0.0909756, 0.0987525, 0.106087, 0.10958, 0.112102, 0.112938, 0.113197, 0.112546, 0.110445, 0.10124, 0.0941451, 0.0621989, 0.0434137, 0.0307552, 0.0240186, 0.0193562, 0.0151345, 0.0106409, 0.00738845, 0.00555727, 0.00311682, 0.0010318, 0.000228919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.724483, 0.800042, 0.868409, 0.920314, 0.968253, 1.01765, 1.04061, 1.06427, 1.09942, 1.13237, 1.1803, 1.19803, 1.23048, 1.2635, 1.32955, 1.385, 1.48436, 1.54472, 1.57372, 1.60686, 1.64981, 1.68479, 1.72608, 1.78425, 1.84416, 1.88996, 1.98156, 2.10065, 2.21975, 2.33884");
-            values ( \
-              "0.0273222, 0.0375532, 0.0624643, 0.0794384, 0.0930978, 0.104214, 0.10832, 0.111909, 0.116178, 0.118991, 0.121095, 0.121216, 0.120503, 0.118094, 0.106584, 0.0909627, 0.0609783, 0.0453843, 0.0390065, 0.0325436, 0.0255311, 0.0208302, 0.0162677, 0.0113963, 0.00786205, 0.00588162, 0.00324771, 0.00145342, 0.000656374, 0.000287132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.980473, 1.17148, 1.42662, 1.52829, 1.61314, 1.66911, 1.72051, 1.7651, 1.83778, 1.90354, 2.02264, 2.06669, 2.11843, 2.17152, 2.27771, 2.31585, 2.3913, 2.63553, 2.75025, 2.86649, 2.96208, 3.047, 3.16022, 3.25461, 3.29655, 3.38041, 3.49951, 3.6186, 3.7377, 3.85679, 4.09498, 4.33317");
-            values ( \
-              "0.00623286, 0.0287873, 0.0755054, 0.0912261, 0.102271, 0.10844, 0.113279, 0.116816, 0.121317, 0.124053, 0.125972, 0.125637, 0.124436, 0.122088, 0.113146, 0.108548, 0.0981526, 0.061489, 0.0467565, 0.0345805, 0.0266258, 0.0209428, 0.0150152, 0.0113562, 0.00999114, 0.00776991, 0.00536438, 0.00372654, 0.00254906, 0.00177448, 0.000844471, 0.000404094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.777085, 0.798406, 0.805471, 0.836093, 0.846982, 0.856365, 0.864919, 0.87306, 0.881206, 0.889355, 0.89878, 0.907676, 0.919452, 0.930664, 0.940263, 0.947285, 0.954358, 0.966454, 0.98145");
-            values ( \
-              "0.000784213, 0.00651366, 0.00973639, 0.032399, 0.0390444, 0.0439181, 0.0470049, 0.0485243, 0.0469664, 0.0381379, 0.0250294, 0.0166094, 0.00918531, 0.0050211, 0.0029983, 0.00211246, 0.00143622, 0.000840783, 0.000649687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.811996, 0.831835, 0.836199, 0.84829, 0.863263, 0.882862, 0.897905, 0.910931, 0.922903, 0.934484, 0.946044, 0.953081, 0.967313, 0.976826, 0.985436, 0.996207, 1.01021, 1.0197, 1.02719, 1.03858, 1.04881, 1.07046, 1.08543, 1.08787");
-            values ( \
-              "0.00664853, 0.00999105, 0.0123519, 0.0209425, 0.0342112, 0.0497861, 0.0599475, 0.0668182, 0.0707818, 0.0720046, 0.0680224, 0.0606277, 0.0424048, 0.0321243, 0.0245572, 0.0170441, 0.0103397, 0.00725011, 0.00547088, 0.00356822, 0.00249907, 0.00122805, 0.000736309, 0.000713387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.857541, 0.89729, 0.919631, 0.951407, 0.97088, 0.993954, 1.01446, 1.02021, 1.03325, 1.04263, 1.05182, 1.06111, 1.06803, 1.07728, 1.10587, 1.12873, 1.14551, 1.15763, 1.1792, 1.19526, 1.20545, 1.22436, 1.24306, 1.26219, 1.28652");
-            values ( \
-              "0.00148961, 0.016131, 0.0315825, 0.0562786, 0.0695109, 0.0823201, 0.0900806, 0.0916198, 0.0939768, 0.0945412, 0.0939847, 0.0915385, 0.0880659, 0.0812421, 0.0547489, 0.036655, 0.0263946, 0.0205373, 0.0129552, 0.00905572, 0.007213, 0.00468383, 0.00306468, 0.00196454, 0.00115212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.954887, 1.01412, 1.03519, 1.07309, 1.10618, 1.13389, 1.15272, 1.17289, 1.18723, 1.20045, 1.21585, 1.22769, 1.2396, 1.24627, 1.25516, 1.27294, 1.28022, 1.28994, 1.31088, 1.35289, 1.37838, 1.40403, 1.42196, 1.44805, 1.47028, 1.48896, 1.50952, 1.53864, 1.55131, 1.56822, 1.59076, 1.63585, 1.71932, 1.82187");
-            values ( \
-              "0.00238717, 0.022698, 0.0341476, 0.0565187, 0.0743044, 0.0870615, 0.0944196, 0.101009, 0.104763, 0.107483, 0.109739, 0.110657, 0.111223, 0.111315, 0.111144, 0.109607, 0.108377, 0.106063, 0.0983704, 0.075818, 0.0614989, 0.0485124, 0.0405719, 0.0307411, 0.0239621, 0.0193157, 0.0151386, 0.0106121, 0.00908669, 0.00737299, 0.00555902, 0.00310521, 0.000957857, 0.000206605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.13551, 1.22296, 1.31752, 1.36183, 1.40301, 1.45401, 1.49279, 1.51596, 1.55111, 1.58411, 1.6319, 1.64965, 1.68219, 1.7153, 1.78139, 1.83675, 1.93633, 1.99655, 2.05843, 2.10158, 2.13675, 2.17799, 2.23613, 2.29591, 2.3416, 2.43298, 2.55207, 2.67117, 2.79026");
-            values ( \
-              "0.00898071, 0.0270831, 0.0613849, 0.0761152, 0.0884231, 0.100974, 0.108277, 0.111776, 0.116088, 0.118902, 0.121044, 0.121156, 0.120474, 0.118055, 0.106569, 0.0909767, 0.0609354, 0.0453855, 0.0325936, 0.0255365, 0.0208108, 0.016263, 0.0113894, 0.00786281, 0.00589221, 0.00325361, 0.00146084, 0.000655009, 0.000291209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.45097, 1.62648, 1.80265, 1.88986, 1.96674, 2.0677, 2.1219, 2.17425, 2.21966, 2.29236, 2.35809, 2.47719, 2.52121, 2.57295, 2.62605, 2.73226, 2.84583, 3.09006, 3.20477, 3.32102, 3.41661, 3.50152, 3.61475, 3.70914, 3.75107, 3.83494, 3.95403, 4.07313, 4.19222, 4.31132, 4.54951, 4.7877");
-            values ( \
-              "0.00921116, 0.0288949, 0.0617347, 0.0769799, 0.0889411, 0.10229, 0.10828, 0.113225, 0.116835, 0.12133, 0.124066, 0.125977, 0.125642, 0.124443, 0.12209, 0.113149, 0.0981561, 0.0614873, 0.0467548, 0.0345788, 0.0266277, 0.0209446, 0.0150134, 0.0113579, 0.00998945, 0.00777163, 0.00536272, 0.00372822, 0.00254741, 0.00177613, 0.000846098, 0.000405699" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00756524, 0.0075795, 0.00759471, 0.00760737, 0.00761584, 0.00762068", \
-            "0.00917691, 0.0091915, 0.00920864, 0.00922402, 0.00923486, 0.00924127", \
-            "0.0101178, 0.0101298, 0.0101459, 0.0101623, 0.0101749, 0.0101827", \
-            "0.0106549, 0.0106619, 0.0106728, 0.0106857, 0.0106972, 0.010705", \
-            "0.0109736, 0.0109715, 0.0109708, 0.0109727, 0.0109769, 0.0109811", \
-            "0.0111807, 0.0111626, 0.0111377, 0.011112, 0.0110924, 0.0110817" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0104561, 0.0104691, 0.0104854, 0.0105005, 0.0105114, 0.0105179", \
-            "0.0107676, 0.0107778, 0.0107925, 0.010808, 0.0108199, 0.0108271", \
-            "0.0104125, 0.010393, 0.0103714, 0.0103529, 0.0103403, 0.0103329", \
-            "0.0101805, 0.0101128, 0.0100333, 0.00995552, 0.00989575, 0.00985684", \
-            "0.010422, 0.0102604, 0.0100591, 0.00986543, 0.00971341, 0.00962332", \
-            "0.0105441, 0.0105079, 0.010513, 0.0102394, 0.00990815, 0.00969338" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.152672, 0.162858, 0.170994, 0.186259, 0.201554, 0.217686, 0.22493, 0.231992, 0.236713, 0.239265, 0.259174, 0.26553, 0.272395, 0.276919");
-            values ( \
-              "-0.00720007, -0.0111447, -0.017639, -0.0325529, -0.0436683, -0.0525053, -0.0547758, -0.0555267, -0.0531202, -0.0505572, -0.0137935, -0.0075306, -0.00374936, -0.00251343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.174554, 0.178572, 0.186425, 0.198122, 0.215291, 0.233471, 0.247895, 0.260463, 0.271942, 0.279726, 0.285624, 0.289284, 0.29332, 0.297542, 0.302332, 0.308166, 0.321414, 0.329451, 0.337284, 0.343324, 0.349959, 0.35512, 0.365442, 0.374907");
-            values ( \
-              "-0.0085967, -0.00973563, -0.0130719, -0.0212757, -0.0382578, -0.0525888, -0.0619158, -0.0693206, -0.0744795, -0.0770829, -0.0783493, -0.078468, -0.0782416, -0.0765957, -0.0731344, -0.0649356, -0.0388372, -0.0257182, -0.0165005, -0.0113407, -0.0074573, -0.0053929, -0.00262675, -0.00153216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.236159, 0.260253, 0.271537, 0.282674, 0.285927, 0.289181, 0.298941, 0.310808, 0.320789, 0.330044, 0.340831, 0.350371, 0.357201, 0.367147, 0.371762, 0.376929, 0.380161, 0.383393, 0.386626, 0.39309, 0.397633, 0.401563, 0.403804, 0.406045, 0.408285, 0.410526, 0.412733, 0.414939, 0.417146, 0.419352, 0.423766, 0.428179, 0.432592, 0.437005, 0.437996, 0.440968, 0.44394, 0.445798, 0.451373, 0.466013, 0.472485, 0.478778, 0.48324, 0.485604, 0.487968, 0.491524, 0.49508, 0.500131, 0.505182, 0.509475");
-            values ( \
-              "-0.0256509, -0.0279761, -0.0359686, -0.0442515, -0.0465487, -0.0488088, -0.0549671, -0.0620224, -0.0676273, -0.0725333, -0.0779173, -0.0824348, -0.0853644, -0.0892596, -0.0909254, -0.0926658, -0.0936379, -0.094537, -0.0953083, -0.0967415, -0.0976615, -0.0983995, -0.0985655, -0.0986313, -0.0985971, -0.0984627, -0.0982303, -0.0979024, -0.0974787, -0.0969594, -0.0956337, -0.0939253, -0.0918343, -0.0893606, -0.0885913, -0.0851532, -0.0813207, -0.0787327, -0.0708161, -0.0494016, -0.0413192, -0.0342983, -0.0296605, -0.0273922, -0.025278, -0.0225386, -0.0200224, -0.016948, -0.014093, -0.0118386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.307341, 0.372898, 0.448737, 0.486534, 0.517954, 0.551475, 0.580905, 0.599422, 0.615927, 0.639245, 0.649028, 0.665339, 0.681393, 0.7135, 0.7277, 0.760705, 0.779856, 0.800256, 0.827403, 0.842822, 0.863025, 0.889961, 0.941818, 1.00203");
-            values ( \
-              "-0.00123486, -0.024832, -0.0584348, -0.0732688, -0.0842017, -0.0943281, -0.102117, -0.106435, -0.109764, -0.113377, -0.114385, -0.11519, -0.114324, -0.103363, -0.0921816, -0.062098, -0.0468208, -0.0336294, -0.0208973, -0.0157261, -0.0107497, -0.00634414, -0.00204917, -0.000534014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.518936, 0.621157, 0.785693, 0.930046, 0.985159, 1.03566, 1.1035, 1.13661, 1.16784, 1.23127, 1.29472, 1.42714, 1.48515, 1.51202, 1.55008, 1.59782, 1.66147, 1.76747, 1.89177");
-            values ( \
-              "-0.00624897, -0.0245885, -0.0650832, -0.0948363, -0.104475, -0.112069, -0.120036, -0.122796, -0.124515, -0.123949, -0.110944, -0.0493991, -0.0306232, -0.0241872, -0.0171013, -0.0109341, -0.00582503, -0.00184413, -0.000438949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.955897, 1.15331, 1.55625, 1.67447, 1.84637, 2.03916, 2.1531, 2.26491, 2.39351, 2.43774, 2.48168, 2.53032, 2.71509, 2.83261, 2.93257, 3.00592, 3.11536, 3.18798, 3.31657, 3.44516, 3.70234");
-            values ( \
-              "-0.00885983, -0.0250161, -0.075632, -0.088759, -0.105472, -0.120101, -0.126186, -0.129724, -0.128892, -0.126263, -0.121565, -0.113116, -0.0678595, -0.0439321, -0.0292285, -0.0212774, -0.0130619, -0.0093359, -0.00504328, -0.00267964, -0.000744042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.168738, 0.183019, 0.19466, 0.206855, 0.21655, 0.224984, 0.232682, 0.239927, 0.246989, 0.251705, 0.254261, 0.268938, 0.27415, 0.280511, 0.287453, 0.295388, 0.305368, 0.316943, 0.388286");
-            values ( \
-              "-0.00535205, -0.0146464, -0.0270056, -0.0368695, -0.0434312, -0.0487418, -0.0522924, -0.0549724, -0.0553368, -0.0532971, -0.050404, -0.0216025, -0.0137961, -0.00751936, -0.00374847, -0.00155482, -0.000506362, -0.00014867, -7.06116e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.188672, 0.205859, 0.214211, 0.230598, 0.24872, 0.263106, 0.27568, 0.28715, 0.294928, 0.300822, 0.3045, 0.308516, 0.312742, 0.317533, 0.319469, 0.323342, 0.336615, 0.344641, 0.352466, 0.358507, 0.365146, 0.370312, 0.380642, 0.393536, 0.405467, 0.452344");
-            values ( \
-              "-0.00277624, -0.016435, -0.022295, -0.0383764, -0.0526301, -0.0620412, -0.0693271, -0.0744828, -0.0770892, -0.0783588, -0.0785333, -0.0782483, -0.0766476, -0.0731269, -0.0708638, -0.0649596, -0.0388387, -0.0257348, -0.0164904, -0.0113603, -0.00747443, -0.00537296, -0.00264141, -0.00111546, -0.000528352, -0.000133224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.236592, 0.275045, 0.298, 0.318339, 0.334932, 0.351645, 0.374167, 0.39238, 0.398835, 0.411744, 0.416995, 0.425953, 0.434776, 0.452421, 0.459368, 0.481421, 0.496284, 0.510489, 0.524881, 0.533466, 0.550636, 0.578714, 0.608169, 0.639384");
-            values ( \
-              "-0.00490189, -0.0271677, -0.0441777, -0.0574114, -0.0669602, -0.0757326, -0.0860583, -0.0925854, -0.0944613, -0.0973354, -0.0981227, -0.0988304, -0.0982375, -0.089608, -0.0815739, -0.0497288, -0.032157, -0.0202107, -0.0122096, -0.00895172, -0.00465361, -0.00145404, -0.000445253, -0.000156705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.351744, 0.384782, 0.401892, 0.474361, 0.514552, 0.558198, 0.592848, 0.631443, 0.656962, 0.679677, 0.696888, 0.729253, 0.795653, 0.824551, 0.837977, 0.856992, 0.880967, 0.912934, 0.965905, 1.02797");
-            values ( \
-              "-0.0213698, -0.0236381, -0.0303261, -0.0626773, -0.0778814, -0.0919151, -0.101307, -0.109837, -0.113667, -0.115201, -0.114379, -0.1032, -0.0465856, -0.0289433, -0.0228377, -0.0161325, -0.0102665, -0.00541652, -0.00171028, -0.00040621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.530771, 0.637497, 0.802278, 0.853183, 0.945998, 1.00398, 1.05204, 1.08774, 1.11985, 1.18432, 1.24778, 1.3112, 1.40473, 1.44362, 1.50158, 1.52846, 1.56652, 1.61429, 1.67797, 1.78397, 1.90828");
-            values ( \
-              "-0.00500079, -0.0245416, -0.0651532, -0.0763075, -0.0947776, -0.104889, -0.112086, -0.116587, -0.120052, -0.124517, -0.12397, -0.110958, -0.0659323, -0.049387, -0.0306312, -0.0241902, -0.0171037, -0.0109332, -0.00582132, -0.00184384, -0.00043794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.958087, 1.16933, 1.56919, 1.69046, 1.86236, 2.05516, 2.16906, 2.2809, 2.4102, 2.45419, 2.49792, 2.54631, 2.73107, 2.84916, 2.94793, 3.02043, 3.13184, 3.20573, 3.33432, 3.46291, 3.72009");
-            values ( \
-              "-0.00664074, -0.0250218, -0.0752544, -0.0887833, -0.105497, -0.120077, -0.126209, -0.129748, -0.128844, -0.126228, -0.121531, -0.113117, -0.0678584, -0.0438338, -0.0293035, -0.0214177, -0.0130312, -0.00926002, -0.0049995, -0.00265787, -0.000738798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.205575, 0.212552, 0.215256, 0.220664, 0.223379, 0.22881, 0.232052, 0.238536, 0.244239, 0.253934, 0.262367, 0.270065, 0.277309, 0.284371, 0.289096, 0.291643, 0.295397, 0.301991, 0.303429, 0.306304, 0.308819, 0.311548, 0.315185, 0.317904, 0.318888, 0.320855, 0.324789, 0.332657, 0.337058");
-            values ( \
-              "-0.0072437, -0.0101365, -0.0113025, -0.0152742, -0.0177462, -0.0237157, -0.0268218, -0.0324051, -0.0366948, -0.0436113, -0.0485817, -0.0524532, -0.0548282, -0.0554795, -0.0531621, -0.0505189, -0.0442197, -0.0299988, -0.0270459, -0.0216484, -0.017552, -0.0137929, -0.00979885, -0.00752648, -0.00682718, -0.00561715, -0.00375004, -0.00159164, -0.00110855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.236479, 0.250984, 0.255504, 0.261181, 0.264703, 0.268225, 0.271153, 0.27701, 0.283104, 0.289436, 0.300618, 0.305673, 0.310728, 0.314357, 0.319173, 0.324613, 0.327434, 0.329806, 0.335441, 0.338152, 0.340114, 0.343047, 0.345961, 0.347029, 0.348098, 0.349167, 0.350235, 0.351434, 0.353832, 0.355031, 0.356439, 0.356909, 0.359725, 0.362469, 0.367884, 0.373092, 0.375008, 0.377624, 0.382094, 0.385568, 0.38772, 0.389872, 0.391384, 0.394409, 0.395922, 0.398376");
-            values ( \
-              "-0.0196425, -0.0222824, -0.0261872, -0.0322065, -0.0354979, -0.0386495, -0.041135, -0.0458726, -0.0504564, -0.054899, -0.0623048, -0.0652807, -0.0680816, -0.0699641, -0.0722074, -0.0745013, -0.0755469, -0.076332, -0.0779267, -0.0785086, -0.0785391, -0.078379, -0.0779762, -0.0776618, -0.0772692, -0.0767983, -0.076249, -0.0755372, -0.0738145, -0.0728037, -0.0712478, -0.0706742, -0.0662037, -0.0612878, -0.0509025, -0.0405066, -0.0369484, -0.0325192, -0.0256954, -0.0208929, -0.0185227, -0.0163586, -0.014978, -0.0124878, -0.0113781, -0.0097598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.283652, 0.302799, 0.307525, 0.312251, 0.32198, 0.329173, 0.336366, 0.339672, 0.342978, 0.352897, 0.364596, 0.37703, 0.389582, 0.396822, 0.404636, 0.412155, 0.421493, 0.425128, 0.430299, 0.436772, 0.443245, 0.449717, 0.454914, 0.457152, 0.45939, 0.461627, 0.463865, 0.466069, 0.468273, 0.470477, 0.472681, 0.47709, 0.481498, 0.485906, 0.490314, 0.491309, 0.494291, 0.499128, 0.504692, 0.519303, 0.525775, 0.532067, 0.53653, 0.538895, 0.54126, 0.544814, 0.548368, 0.553417, 0.558465, 0.562756");
-            values ( \
-              "-0.0151344, -0.0214817, -0.0242716, -0.0272749, -0.0341304, -0.0393703, -0.0447562, -0.0470732, -0.0493435, -0.0555874, -0.0624817, -0.0694147, -0.0758799, -0.0793988, -0.0830384, -0.0862055, -0.0897994, -0.0911002, -0.0928335, -0.0946969, -0.0961936, -0.0975471, -0.0985301, -0.0986926, -0.0987549, -0.0987172, -0.0985794, -0.0983436, -0.0980121, -0.0975849, -0.0970619, -0.095729, -0.0940132, -0.0919146, -0.0894331, -0.0886581, -0.0851973, -0.0787535, -0.0708375, -0.0494324, -0.0413406, -0.0343154, -0.0296709, -0.0273998, -0.0252843, -0.0225443, -0.0200277, -0.0169528, -0.0140973, -0.0118427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.386843, 0.423607, 0.522686, 0.564271, 0.596308, 0.63454, 0.669511, 0.693612, 0.718875, 0.734926, 0.767026, 0.833367, 0.853754, 0.880875, 0.903047, 0.94349, 0.995776, 1.05599");
-            values ( \
-              "-0.0164038, -0.0240053, -0.0667784, -0.0819419, -0.091977, -0.102244, -0.109873, -0.113561, -0.115262, -0.11439, -0.103396, -0.0468177, -0.0336298, -0.0209037, -0.0138873, -0.00633386, -0.00202925, -0.000521877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.611578, 0.704263, 0.805327, 0.883395, 0.986065, 1.0475, 1.0896, 1.17064, 1.22187, 1.27208, 1.28514, 1.31126, 1.35187, 1.44187, 1.49373, 1.53121, 1.59594, 1.65414, 1.70086, 1.79431, 1.91542");
-            values ( \
-              "-0.0208191, -0.0312488, -0.0569377, -0.0746776, -0.0951682, -0.105774, -0.112024, -0.121208, -0.124495, -0.124661, -0.123851, -0.120785, -0.109356, -0.0659533, -0.0445733, -0.032643, -0.0183204, -0.0106432, -0.00678658, -0.00251881, -0.000643029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("1.06745, 1.20816, 1.61381, 1.80071, 1.90067, 2.09341, 2.20757, 2.31914, 2.44774, 2.49356, 2.53675, 2.58457, 2.76931, 2.88876, 2.98463, 3.05503, 3.17127, 3.24826, 3.37685, 3.50544, 3.76262");
-            values ( \
-              "-0.0224299, -0.0251105, -0.0760293, -0.0961577, -0.105482, -0.120112, -0.126197, -0.129725, -0.128902, -0.126141, -0.121456, -0.113117, -0.067861, -0.0435976, -0.029494, -0.0217628, -0.0129616, -0.00907311, -0.00489842, -0.00260127, -0.000721945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.2943, 0.304995, 0.319088, 0.340918, 0.353219, 0.364308, 0.367839, 0.372545, 0.376074, 0.377999, 0.382394, 0.390421, 0.396271, 0.400377, 0.405885");
-            values ( \
-              "-0.00772115, -0.0133497, -0.02709, -0.043793, -0.0509873, -0.0556536, -0.055449, -0.0542784, -0.0527278, -0.050815, -0.04375, -0.0269217, -0.0167907, -0.0115394, -0.00673429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.31535, 0.335679, 0.355166, 0.358361, 0.373267, 0.381422, 0.387606, 0.39379, 0.400623, 0.40582, 0.41322, 0.416849, 0.423472, 0.425131, 0.427122, 0.429332, 0.432269, 0.433002, 0.435134, 0.437265, 0.439776, 0.442287, 0.443541, 0.447302, 0.452318, 0.460722, 0.463416, 0.467456, 0.472358, 0.475481, 0.477555, 0.480426, 0.485776");
-            values ( \
-              "-0.00506033, -0.0203265, -0.0385453, -0.0411398, -0.0527209, -0.0583569, -0.0622251, -0.0658122, -0.0694966, -0.0719857, -0.0750789, -0.0762918, -0.0780495, -0.0783805, -0.0786228, -0.0785336, -0.0781486, -0.078005, -0.0772554, -0.0762111, -0.0746029, -0.0725859, -0.0712059, -0.0653749, -0.0560216, -0.03937, -0.0345865, -0.0281543, -0.0213189, -0.01777, -0.0157367, -0.0133164, -0.00926449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.375872, 0.396797, 0.403576, 0.412021, 0.420467, 0.425333, 0.430198, 0.434976, 0.444532, 0.454752, 0.467434, 0.480074, 0.487795, 0.492754, 0.499417, 0.508505, 0.515604, 0.520676, 0.523984, 0.527293, 0.53391, 0.539673, 0.542127, 0.544364, 0.546601, 0.548838, 0.551075, 0.55328, 0.555485, 0.557689, 0.559894, 0.564303, 0.568713, 0.573122, 0.577531, 0.578521, 0.581491, 0.586318, 0.591889, 0.606524, 0.612992, 0.619282, 0.623742, 0.626105, 0.628468, 0.632023, 0.635578, 0.640627, 0.645676, 0.649968");
-            values ( \
-              "-0.0231693, -0.0255742, -0.0299969, -0.0362137, -0.0426588, -0.0460721, -0.0493601, -0.0523931, -0.0582443, -0.0641829, -0.0711031, -0.0774823, -0.0811718, -0.0834431, -0.0862194, -0.089732, -0.0922213, -0.0937835, -0.0946949, -0.0954711, -0.0969211, -0.0980727, -0.0985318, -0.0986944, -0.0987568, -0.0987192, -0.0985814, -0.0983454, -0.0980137, -0.0975863, -0.0970632, -0.0957301, -0.0940142, -0.0919156, -0.0894343, -0.088661, -0.0852136, -0.0787851, -0.070859, -0.0494218, -0.041335, -0.0343115, -0.0296719, -0.0274026, -0.0252874, -0.0225467, -0.0200295, -0.016954, -0.014098, -0.0118428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.474053, 0.510527, 0.518299, 0.526071, 0.573658, 0.592353, 0.604113, 0.626253, 0.644958, 0.659161, 0.666894, 0.677417, 0.684074, 0.696405, 0.714075, 0.729817, 0.744097, 0.750713, 0.757329, 0.769966, 0.782603, 0.790421, 0.79433, 0.798239, 0.802147, 0.806056, 0.810236, 0.814416, 0.818596, 0.822776, 0.830868, 0.838959, 0.847051, 0.855142, 0.85693, 0.859613, 0.86766, 0.901159, 0.909498, 0.918533, 0.92939, 0.937236, 0.943839, 0.950443, 0.953799, 0.963869, 0.968623, 0.978131, 0.984883, 0.990877");
-            values ( \
-              "-0.0172101, -0.0235355, -0.0266347, -0.0298817, -0.0515358, -0.059429, -0.0641477, -0.0727187, -0.0794509, -0.0842707, -0.0867496, -0.0899844, -0.0919481, -0.0953897, -0.10007, -0.104071, -0.107388, -0.108728, -0.109968, -0.111943, -0.113773, -0.114833, -0.114998, -0.115047, -0.114982, -0.114803, -0.11448, -0.114029, -0.113449, -0.112742, -0.111007, -0.108792, -0.106096, -0.102919, -0.101953, -0.0999442, -0.0932527, -0.0627709, -0.0555042, -0.0486235, -0.0411318, -0.0361053, -0.0321308, -0.0285406, -0.0269703, -0.0226356, -0.0208806, -0.0175566, -0.0153467, -0.0134897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.680943, 0.762829, 0.945638, 1.04626, 1.12234, 1.1775, 1.26049, 1.30972, 1.35798, 1.37296, 1.40292, 1.43967, 1.52965, 1.5813, 1.61905, 1.68425, 1.74118, 1.78689, 1.87832, 1.99887");
-            values ( \
-              "-0.0139601, -0.0245389, -0.0691578, -0.0899417, -0.103704, -0.112063, -0.121397, -0.124511, -0.124754, -0.123863, -0.120113, -0.109349, -0.0659555, -0.0446506, -0.0326248, -0.0182295, -0.0107191, -0.00690291, -0.00263069, -0.00067549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.13263, 1.29715, 1.69669, 1.81763, 1.9895, 2.11809, 2.18224, 2.29639, 2.40797, 2.53656, 2.58227, 2.62551, 2.67339, 2.85813, 2.97745, 3.07361, 3.14422, 3.25997, 3.33664, 3.46523, 3.59382, 3.851");
-            values ( \
-              "-0.0155016, -0.025161, -0.075329, -0.0887944, -0.105502, -0.115785, -0.120099, -0.126216, -0.129743, -0.128888, -0.126153, -0.121464, -0.113119, -0.0678598, -0.0436205, -0.0294735, -0.0217286, -0.0129675, -0.00909298, -0.00490746, -0.00260803, -0.000724717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.493455, 0.500465, 0.511371, 0.531036, 0.546965, 0.555526, 0.563311, 0.570617, 0.577728, 0.581278, 0.585034, 0.604929, 0.61132, 0.618498, 0.626702, 0.631921");
-            values ( \
-              "-0.00441072, -0.00666465, -0.012689, -0.0310051, -0.0428101, -0.0479942, -0.051916, -0.0544353, -0.0551198, -0.0537055, -0.0503165, -0.0138442, -0.00754355, -0.00365554, -0.00148556, -0.000965524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.522802, 0.535023, 0.542035, 0.549353, 0.562212, 0.580366, 0.594725, 0.607741, 0.617581, 0.625532, 0.630686, 0.634967, 0.638802, 0.642843, 0.646804, 0.651098, 0.667636, 0.676146, 0.684354, 0.690304, 0.696601, 0.701463, 0.711188, 0.724062, 0.729907");
-            values ( \
-              "-0.00846585, -0.0143836, -0.0192553, -0.0256739, -0.0384756, -0.0527008, -0.0622623, -0.0694405, -0.0739131, -0.0767189, -0.0780008, -0.0785383, -0.078416, -0.077381, -0.0751425, -0.0708759, -0.0400814, -0.0259941, -0.0162664, -0.0112943, -0.00759657, -0.00554807, -0.0028749, -0.00119028, -0.000889147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.568933, 0.610341, 0.637003, 0.656027, 0.676554, 0.700328, 0.724119, 0.730734, 0.743963, 0.757819, 0.766636, 0.784271, 0.791203, 0.81326, 0.828115, 0.842314, 0.856703, 0.865286, 0.882452, 0.910341, 0.939747, 0.970895");
-            values ( \
-              "-0.00335867, -0.0296668, -0.049305, -0.0609808, -0.0723822, -0.08381, -0.092679, -0.0945751, -0.0975126, -0.0989338, -0.0983094, -0.0896727, -0.0816206, -0.0497339, -0.0321589, -0.0202244, -0.0122201, -0.00894745, -0.00466141, -0.00146153, -0.000456687, -0.000151574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.669882, 0.720727, 0.739154, 0.798863, 0.842561, 0.877661, 0.907389, 0.950938, 0.964191, 0.989518, 0.997281, 1.01281, 1.02964, 1.062, 1.07452, 1.10894, 1.1284, 1.14409, 1.1573, 1.17073, 1.18974, 1.21372, 1.24568, 1.29865, 1.36078");
-            values ( \
-              "-0.00769572, -0.02472, -0.0323253, -0.0593169, -0.0761758, -0.0879173, -0.0965054, -0.107195, -0.109809, -0.113666, -0.114418, -0.115226, -0.114353, -0.103221, -0.0934479, -0.0621037, -0.0465982, -0.0361731, -0.028932, -0.0228482, -0.0161218, -0.0102566, -0.00542635, -0.00170061, -0.000415045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.905078, 0.972188, 1.15709, 1.25372, 1.32926, 1.3856, 1.45357, 1.51791, 1.58137, 1.64478, 1.77718, 1.83515, 1.90008, 1.94784, 2.01152, 2.10022");
-            values ( \
-              "-0.0220147, -0.0249676, -0.0699711, -0.0898857, -0.10357, -0.112117, -0.120093, -0.124583, -0.123984, -0.111, -0.0493932, -0.030632, -0.0171045, -0.0109341, -0.00582198, -0.00249341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.38556, 1.56371, 1.73913, 1.91239, 2.02635, 2.19823, 2.32682, 2.39098, 2.5051, 2.61672, 2.74531, 2.79122, 2.83437, 2.88214, 3.10674, 3.18644, 3.28209, 3.35233, 3.46894, 3.54615, 3.67474, 3.80333, 4.06051");
-            values ( \
-              "-0.024126, -0.0320594, -0.0551269, -0.0761268, -0.088785, -0.105495, -0.115778, -0.120094, -0.126208, -0.129738, -0.128886, -0.126134, -0.121447, -0.113117, -0.0589704, -0.0435783, -0.0295071, -0.0217904, -0.0129552, -0.00906039, -0.00488967, -0.00259827, -0.0007219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.945738, 0.958261, 0.971736, 0.981184, 0.986693, 1.01347, 1.02338, 1.03235, 1.04068, 1.04873, 1.05275, 1.0569, 1.06106, 1.07194, 1.07934, 1.08593, 1.09564, 1.10599, 1.11378");
-            values ( \
-              "-0.00318672, -0.00556062, -0.0104705, -0.0163839, -0.0209818, -0.0368803, -0.041688, -0.045189, -0.0479679, -0.0488128, -0.0481583, -0.0453954, -0.0403124, -0.0215361, -0.0119543, -0.0065959, -0.00259045, -0.000870019, -0.000451905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.991041, 1.00882, 1.0127, 1.01768, 1.02613, 1.03338, 1.0356, 1.04225, 1.04832, 1.05439, 1.05845, 1.06251, 1.07062, 1.07762, 1.08462, 1.09376, 1.09853, 1.10233, 1.10459, 1.10888, 1.10908, 1.11114, 1.11278, 1.11442, 1.11524, 1.11737, 1.12024, 1.12147, 1.12245, 1.12344, 1.12541, 1.1264, 1.12785, 1.1293, 1.1322, 1.13445, 1.1367, 1.13895, 1.14357, 1.14951, 1.15145, 1.15592, 1.15985, 1.16266, 1.16483, 1.16699, 1.17007, 1.17161, 1.17315, 1.17558");
-            values ( \
-              "-0.0134465, -0.0153606, -0.0175221, -0.0206605, -0.0268084, -0.0323516, -0.0339497, -0.0385814, -0.0425992, -0.0464134, -0.0488145, -0.0511428, -0.0555809, -0.0591761, -0.0625546, -0.0665151, -0.0683337, -0.0696575, -0.0703612, -0.0714942, -0.0715828, -0.0720763, -0.0723918, -0.0725878, -0.0726531, -0.0727056, -0.0725943, -0.0724089, -0.0721711, -0.0718615, -0.0710272, -0.0705023, -0.0694439, -0.0682405, -0.0653991, -0.062621, -0.0596128, -0.0563745, -0.0489891, -0.0383584, -0.0351831, -0.028478, -0.0231139, -0.0196991, -0.0175307, -0.0155435, -0.0130399, -0.0119139, -0.0108717, -0.00939158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.98951, 1.04401, 1.06645, 1.08146, 1.09313, 1.09709, 1.12173, 1.14471, 1.15482, 1.16025, 1.16499, 1.17602, 1.18339, 1.18689, 1.19031, 1.19658, 1.20126, 1.20459, 1.20902, 1.21591, 1.22234, 1.22405, 1.22747, 1.2343, 1.24113, 1.25478, 1.25941, 1.26851, 1.2783, 1.29284, 1.30078, 1.30712, 1.3135, 1.32181, 1.3241, 1.32866, 1.33589, 1.34612, 1.3505, 1.35927, 1.3768, 1.38373");
-            values ( \
-              "-0.00187263, -0.00430527, -0.0121142, -0.0187723, -0.0250591, -0.0274495, -0.0435743, -0.0566538, -0.0621938, -0.0648898, -0.0673597, -0.072712, -0.0761552, -0.0777204, -0.0792463, -0.0818437, -0.0837108, -0.0849669, -0.0865682, -0.0888957, -0.0908451, -0.0913314, -0.0922556, -0.0938828, -0.0951755, -0.0963382, -0.0959322, -0.0936667, -0.0871098, -0.0688286, -0.0573037, -0.0487003, -0.0408396, -0.031772, -0.029645, -0.0255934, -0.02018, -0.0141442, -0.01215, -0.00883999, -0.00456839, -0.00379029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.14841, 1.20461, 1.22495, 1.27732, 1.31282, 1.34726, 1.38295, 1.42556, 1.46078, 1.48401, 1.51039, 1.52649, 1.55869, 1.62513, 1.64553, 1.67263, 1.6881, 1.70837, 1.7354, 1.78724, 1.8474");
-            values ( \
-              "-0.00752553, -0.0189262, -0.0265156, -0.0505722, -0.0653199, -0.078191, -0.089792, -0.101467, -0.109244, -0.112913, -0.114829, -0.114009, -0.103154, -0.0467613, -0.0336032, -0.0209075, -0.0157218, -0.010736, -0.00632634, -0.00204587, -0.000534362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.42486, 1.51286, 1.6523, 1.80598, 1.86023, 1.91956, 1.95165, 2.01611, 2.07955, 2.14297, 2.27538, 2.33334, 2.39828, 2.44604, 2.50973, 2.61567, 2.73991");
-            values ( \
-              "-0.0299786, -0.0348608, -0.0692752, -0.0998776, -0.108701, -0.116635, -0.120057, -0.124555, -0.123965, -0.110986, -0.0493896, -0.0306316, -0.0171041, -0.0109336, -0.00582162, -0.00184531, -0.00043865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.7947, 2.00361, 2.41019, 2.52471, 2.6966, 2.88939, 3.00337, 3.11512, 3.24371, 3.28986, 3.3329, 3.38055, 3.60524, 3.68514, 3.78017, 3.84996, 3.96759, 4.04546, 4.17406, 4.30265, 4.55983");
-            values ( \
-              "-0.00700724, -0.0250119, -0.0760556, -0.0887653, -0.105478, -0.120095, -0.126192, -0.129728, -0.128889, -0.126111, -0.121428, -0.113114, -0.0589487, -0.0435282, -0.0295481, -0.0218642, -0.0129409, -0.00902109, -0.00486893, -0.00258618, -0.000718089" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00696604, 0.00697731, 0.00698978, 0.00700059, 0.00700803, 0.00701235", \
-            "0.00785524, 0.00786304, 0.00787291, 0.0078824, 0.00788939, 0.00789365", \
-            "0.0083313, 0.00833545, 0.00834164, 0.00834861, 0.00835445, 0.0083583", \
-            "0.00860278, 0.00860416, 0.00860666, 0.00861031, 0.00861417, 0.00861716", \
-            "0.00875028, 0.00875064, 0.00875132, 0.00875251, 0.00875428, 0.00875613", \
-            "0.00884396, 0.00884418, 0.00884452, 0.00884498, 0.0088456, 0.00884644" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00907405, 0.00908453, 0.009098, 0.00911013, 0.00911878, 0.0091239", \
-            "0.00985516, 0.0098641, 0.0098755, 0.00988624, 0.00989404, 0.00989862", \
-            "0.0103379, 0.0103454, 0.0103545, 0.010363, 0.0103691, 0.0103727", \
-            "0.0108146, 0.0108154, 0.0108171, 0.0108192, 0.0108209, 0.010822", \
-            "0.0112847, 0.0112287, 0.011162, 0.0111004, 0.011055, 0.0110269", \
-            "0.0118511, 0.0117331, 0.0115351, 0.0113415, 0.011197, 0.01111" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.15512, 0.19726, 0.284216, 0.465165, 0.845926, 1.64823", \
-            "0.158701, 0.201169, 0.288052, 0.469102, 0.849603, 1.65226", \
-            "0.167369, 0.209948, 0.297094, 0.478381, 0.859143, 1.66154", \
-            "0.188467, 0.231084, 0.318439, 0.500022, 0.881305, 1.68418", \
-            "0.235817, 0.281835, 0.370996, 0.553591, 0.934734, 1.73768", \
-            "0.291924, 0.352319, 0.465646, 0.67401, 1.06473, 1.86834" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.043223, 0.0655994, 0.112617, 0.211501, 0.418048, 0.855381", \
-            "0.043135, 0.0654034, 0.112441, 0.211281, 0.418024, 0.855117", \
-            "0.0430807, 0.0653305, 0.112332, 0.211191, 0.417811, 0.855256", \
-            "0.0429907, 0.0653216, 0.112288, 0.211179, 0.417756, 0.855098", \
-            "0.0457113, 0.0667156, 0.112835, 0.21095, 0.417818, 0.854925", \
-            "0.0550522, 0.0771861, 0.121729, 0.215477, 0.418289, 0.854969" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.191151, 0.243448, 0.350471, 0.572516, 1.03818, 2.02046", \
-            "0.195786, 0.248355, 0.355597, 0.577922, 1.04339, 2.02602", \
-            "0.207216, 0.259943, 0.367163, 0.590372, 1.05644, 2.03888", \
-            "0.233498, 0.286079, 0.393653, 0.61672, 1.08312, 2.06637", \
-            "0.295118, 0.348151, 0.456066, 0.678983, 1.14573, 2.12934", \
-            "0.407164, 0.470766, 0.594205, 0.826597, 1.29673, 2.28036" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0470723, 0.0709515, 0.118617, 0.215585, 0.416377, 0.837341", \
-            "0.0470379, 0.0707739, 0.118526, 0.215492, 0.416511, 0.837291", \
-            "0.047048, 0.0708997, 0.118571, 0.215366, 0.416213, 0.837321", \
-            "0.0469188, 0.0707931, 0.118314, 0.215512, 0.416491, 0.837282", \
-            "0.0474329, 0.0707957, 0.118107, 0.215202, 0.416372, 0.837234", \
-            "0.0529666, 0.0760968, 0.123134, 0.216918, 0.4158, 0.837238" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.116338, 0.125804, 0.131193, 0.133715, 0.136237, 0.138759, 0.14128, 0.143291, 0.145301, 0.147311, 0.149322, 0.151098, 0.152873, 0.154649, 0.156425, 0.158091, 0.159756, 0.161422, 0.163088, 0.164721, 0.166354, 0.167986, 0.169619, 0.17125, 0.172881, 0.174512, 0.176143, 0.176374, 0.176835, 0.177758, 0.179603, 0.184537, 0.186404, 0.187026, 0.188995, 0.192279, 0.194908, 0.196222, 0.199042, 0.201287, 0.203951, 0.207172, 0.210196, 0.212267, 0.213647, 0.217275, 0.220153, 0.221822, 0.225158, 0.229115");
-            values ( \
-              "0.0249643, 0.025049, 0.0329769, 0.0362957, 0.0394348, 0.0423943, 0.0451742, 0.0472617, 0.049235, 0.0510941, 0.0528391, 0.0542179, 0.0554831, 0.0566349, 0.0576731, 0.0581603, 0.0585405, 0.0588137, 0.0589799, 0.0590388, 0.0589949, 0.0588482, 0.0585986, 0.0580193, 0.0572465, 0.0562803, 0.0551207, 0.0549072, 0.0542929, 0.0527884, 0.0494389, 0.0396214, 0.0360544, 0.0349278, 0.0318306, 0.0271475, 0.0238321, 0.0223188, 0.0192829, 0.0170228, 0.0148111, 0.012422, 0.01045, 0.00924381, 0.00850211, 0.00693398, 0.00589513, 0.00536434, 0.00443433, 0.00354545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.136884, 0.153228, 0.156088, 0.159942, 0.162367, 0.167215, 0.171101, 0.174986, 0.177576, 0.182756, 0.1843, 0.187387, 0.190475, 0.194922, 0.197641, 0.201719, 0.204993, 0.20595, 0.207864, 0.208821, 0.210335, 0.211848, 0.213361, 0.214874, 0.217364, 0.219853, 0.222342, 0.22534, 0.226358, 0.228392, 0.241561, 0.243941, 0.24632, 0.248556, 0.250792, 0.253028, 0.255264, 0.260483, 0.263998, 0.267513, 0.271028, 0.274412, 0.278249, 0.279874, 0.282042, 0.283125, 0.28746, 0.291795, 0.297231, 0.301743");
-            values ( \
-              "0.0373707, 0.0408757, 0.0447855, 0.0498343, 0.0528802, 0.058671, 0.0627766, 0.066545, 0.0689088, 0.0733329, 0.0745731, 0.0766101, 0.0783342, 0.0806471, 0.0816594, 0.0827235, 0.0835016, 0.0834885, 0.0833466, 0.0832178, 0.0829353, 0.0825563, 0.0820808, 0.0815089, 0.0803187, 0.0788444, 0.0770861, 0.0745887, 0.0733693, 0.0703756, 0.0495278, 0.0461873, 0.0430046, 0.0401578, 0.0374503, 0.0348822, 0.0324534, 0.0271546, 0.0239727, 0.0212206, 0.0187085, 0.0165394, 0.0141898, 0.0132809, 0.0121775, 0.0116618, 0.00992485, 0.00839954, 0.00681776, 0.00561159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.150872, 0.174813, 0.236444, 0.256366, 0.274331, 0.291512, 0.308697, 0.325832, 0.37892, 0.399005, 0.421389, 0.448157, 0.476234, 0.511405");
-            values ( \
-              "0.0069054, 0.0203775, 0.0816523, 0.0941617, 0.100676, 0.103115, 0.100922, 0.0912553, 0.0404856, 0.0271582, 0.0168528, 0.00922533, 0.00485275, 0.00244216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.207964, 0.256336, 0.271723, 0.325511, 0.345919, 0.370775, 0.391941, 0.40747, 0.425171, 0.440968, 0.457462, 0.473315, 0.497353, 0.5058, 0.522196, 0.540398, 0.60727, 0.633809, 0.649196, 0.672175, 0.696643, 0.717799, 0.746007, 0.768169, 0.792513, 0.824973, 0.889892, 0.906769");
-            values ( \
-              "0.0049315, 0.0219597, 0.0317353, 0.0690273, 0.0814708, 0.0939747, 0.102621, 0.107378, 0.111548, 0.113711, 0.115166, 0.115428, 0.114034, 0.112541, 0.108313, 0.10048, 0.0620694, 0.0481945, 0.0412626, 0.0322414, 0.0244035, 0.0190593, 0.013588, 0.0103091, 0.00761259, 0.00506935, 0.00208713, 0.0018092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.359457, 0.443674, 0.543566, 0.573498, 0.613341, 0.651734, 0.698138, 0.724038, 0.756943, 0.789995, 0.836281, 0.853729, 0.885291, 0.917618, 0.982152, 1.01416, 1.10887, 1.16786, 1.19524, 1.22544, 1.25798, 1.30034, 1.33451, 1.37579, 1.43389, 1.45956, 1.49419, 1.54036, 1.63269, 1.74991, 1.86712, 1.98433");
-            values ( \
-              "0.00803634, 0.0294014, 0.0682296, 0.0788649, 0.0915586, 0.101683, 0.111319, 0.115485, 0.119882, 0.12269, 0.124673, 0.124541, 0.123619, 0.120659, 0.108376, 0.0990053, 0.0694282, 0.0524696, 0.0456557, 0.0389043, 0.0326626, 0.0255225, 0.02089, 0.0164259, 0.0113706, 0.00969645, 0.00780368, 0.00592587, 0.00315526, 0.00151703, 0.000605014, 0.000354906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.640795, 0.820369, 0.979553, 1.08851, 1.15457, 1.26701, 1.3771, 1.42118, 1.47505, 1.52576, 1.6272, 1.65585, 1.71314, 1.78711, 1.90432, 1.9792, 2.22755, 2.33176, 2.41829, 2.48501, 2.57481, 2.64852, 2.73288, 2.85009, 2.97651, 3.07285, 3.19006, 3.42448, 3.65891, 3.89333");
-            values ( \
-              "0.00715667, 0.0300792, 0.0621399, 0.082454, 0.0933921, 0.108971, 0.119866, 0.123011, 0.125861, 0.127875, 0.129235, 0.12916, 0.127906, 0.12454, 0.113246, 0.102901, 0.0645156, 0.050433, 0.0404333, 0.0339031, 0.0263885, 0.0213984, 0.0167958, 0.0117797, 0.00803442, 0.0060372, 0.00413956, 0.00197052, 0.000924482, 0.000423342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.118945, 0.125652, 0.128837, 0.136321, 0.151767, 0.163306, 0.168834, 0.173502, 0.177039, 0.183558, 0.190076, 0.200919, 0.210133, 0.218769, 0.224795, 0.232379, 0.238972, 0.245487, 0.258261, 0.268871, 0.280179, 0.282461");
-            values ( \
-              "0.00490881, 0.00718275, 0.0100528, 0.0191026, 0.0406393, 0.0524024, 0.0562903, 0.0585559, 0.0596044, 0.0597565, 0.0555126, 0.0353179, 0.0223327, 0.0141645, 0.0100931, 0.00654426, 0.00450125, 0.00307746, 0.00147849, 0.000832777, 0.000489823, 0.000474665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.139429, 0.148917, 0.152674, 0.16983, 0.187103, 0.198941, 0.209523, 0.219547, 0.229468, 0.239381, 0.256218, 0.26991, 0.282933, 0.291199, 0.301658, 0.319168, 0.342424, 0.352074");
-            values ( \
-              "0.00849838, 0.0155351, 0.0199118, 0.0439365, 0.0644949, 0.074989, 0.0808944, 0.0836934, 0.0827908, 0.0758113, 0.0496775, 0.032319, 0.020609, 0.0151631, 0.0101328, 0.00508604, 0.00223779, 0.00181098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.169399, 0.18775, 0.198081, 0.221431, 0.227085, 0.238392, 0.251593, 0.271461, 0.289393, 0.306547, 0.323705, 0.340614, 0.364059, 0.37629, 0.391552, 0.397924, 0.404655, 0.413158, 0.425922, 0.436855, 0.451431, 0.464248, 0.469998, 0.481498, 0.500469, 0.524713, 0.546435");
-            values ( \
-              "0.00756076, 0.0186856, 0.0286116, 0.05441, 0.0601235, 0.0710884, 0.0818941, 0.0943745, 0.100841, 0.103275, 0.101034, 0.0915178, 0.0675302, 0.0554663, 0.0421979, 0.0374085, 0.0327728, 0.0276076, 0.0210979, 0.0166728, 0.0120743, 0.00899759, 0.00791166, 0.00603558, 0.00387157, 0.00213805, 0.00133695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.239651, 0.278442, 0.329853, 0.339896, 0.359982, 0.385012, 0.406134, 0.421636, 0.439307, 0.455088, 0.47156, 0.4874, 0.511012, 0.519856, 0.536236, 0.554433, 0.621305, 0.647864, 0.663176, 0.686042, 0.710595, 0.73184, 0.760167, 0.782195, 0.806416, 0.83871, 0.903299, 0.995891, 1.10994");
-            values ( \
-              "0.0113432, 0.026958, 0.062943, 0.0693539, 0.0816038, 0.0941976, 0.102807, 0.107552, 0.111695, 0.113856, 0.115282, 0.115544, 0.114166, 0.112631, 0.10837, 0.10054, 0.0620576, 0.048198, 0.0412658, 0.032279, 0.0244326, 0.0190637, 0.0135458, 0.0103177, 0.00763362, 0.00507137, 0.00211745, 0.000546881, 8.5486e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.409495, 0.47994, 0.541299, 0.586949, 0.626386, 0.648125, 0.684531, 0.712721, 0.738253, 0.770682, 0.804235, 0.849243, 0.867968, 0.899523, 0.931838, 0.996363, 1.02837, 1.1228, 1.18187, 1.20943, 1.23987, 1.27232, 1.31458, 1.34857, 1.38989, 1.44802, 1.50842, 1.55469, 1.64722, 1.76443, 1.88165, 1.99886");
-            values ( \
-              "0.0286721, 0.0375738, 0.0618934, 0.078473, 0.091109, 0.0971309, 0.105708, 0.111326, 0.115511, 0.11979, 0.122737, 0.124633, 0.124595, 0.123597, 0.120715, 0.108351, 0.0990572, 0.0694763, 0.0525603, 0.0457009, 0.0388953, 0.0325981, 0.0255545, 0.0209443, 0.0163968, 0.0114123, 0.00783594, 0.00588477, 0.00318248, 0.00147842, 0.000638207, 0.000319271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.708187, 0.835891, 0.999718, 1.10311, 1.17182, 1.27738, 1.362, 1.40642, 1.4766, 1.54043, 1.65764, 1.69624, 1.74769, 1.80174, 1.90985, 2.01158, 2.19347, 2.35961, 2.45485, 2.5637, 2.67024, 2.74639, 2.8636, 2.99235, 3.0906, 3.20781, 3.44223, 3.67666, 3.91108");
-            values ( \
-              "0.0221017, 0.030267, 0.0632502, 0.0824694, 0.0938216, 0.108479, 0.117366, 0.120942, 0.125349, 0.127836, 0.1293, 0.128803, 0.127275, 0.124538, 0.114378, 0.10024, 0.0717617, 0.0487971, 0.0381823, 0.028367, 0.0209792, 0.0168148, 0.0118413, 0.00802791, 0.00594873, 0.00412416, 0.00197711, 0.000941798, 0.00044581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.156064, 0.158076, 0.163561, 0.170008, 0.18414, 0.189429, 0.197417, 0.204495, 0.211135, 0.217646, 0.224149, 0.234864, 0.244156, 0.252883, 0.258839, 0.266382, 0.272895, 0.279555, 0.292591, 0.303124, 0.3143, 0.332467");
-            values ( \
-              "0.00549859, 0.00612924, 0.0108054, 0.018614, 0.0386945, 0.0449317, 0.052496, 0.0573441, 0.0597169, 0.0599048, 0.0555706, 0.0355549, 0.0223892, 0.0141249, 0.010102, 0.00656405, 0.00453328, 0.00307405, 0.00145254, 0.000822911, 0.000490349, 0.000367706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.174393, 0.178439, 0.18477, 0.191935, 0.206718, 0.221377, 0.233193, 0.243762, 0.253774, 0.263684, 0.273588, 0.290405, 0.304093, 0.317132, 0.325128, 0.334073, 0.345089, 0.353819, 0.359991, 0.368882, 0.3782, 0.392022, 0.400333");
-            values ( \
-              "0.00883735, 0.0112557, 0.0174256, 0.0264695, 0.0474239, 0.0646712, 0.0750443, 0.0810441, 0.0837362, 0.0829207, 0.0758244, 0.0497222, 0.0323438, 0.0206073, 0.0153147, 0.0108617, 0.0070184, 0.00498757, 0.00395297, 0.00290101, 0.00211773, 0.00130392, 0.00103895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.20331, 0.228649, 0.261525, 0.272566, 0.285978, 0.305817, 0.323728, 0.340865, 0.358006, 0.374756, 0.41061, 0.425849, 0.447082, 0.4601, 0.471237, 0.486085, 0.498615, 0.515465, 0.534199, 0.558109, 0.585903, 0.620731, 0.667832");
-            values ( \
-              "0.00279178, 0.0248556, 0.0602986, 0.0710425, 0.0820299, 0.0945008, 0.100942, 0.103375, 0.101111, 0.0916954, 0.0554359, 0.0421969, 0.0277994, 0.0211452, 0.0166369, 0.0119571, 0.00898161, 0.00608348, 0.00391111, 0.00219129, 0.00112357, 0.000474981, 0.000155541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.268651, 0.313358, 0.373007, 0.398497, 0.419815, 0.44069, 0.456432, 0.474093, 0.48987, 0.506334, 0.522166, 0.545475, 0.554606, 0.570977, 0.58917, 0.65604, 0.697871, 0.720659, 0.745268, 0.766571, 0.794975, 0.824958, 0.873228, 0.937588, 0.98001");
-            values ( \
-              "0.00612864, 0.0271103, 0.0684135, 0.0836405, 0.0942851, 0.102716, 0.107645, 0.111702, 0.113947, 0.115297, 0.115633, 0.114219, 0.112711, 0.108383, 0.100604, 0.0620538, 0.0412696, 0.0323052, 0.0244551, 0.0190696, 0.013517, 0.0093392, 0.00507132, 0.00214033, 0.00140304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.424731, 0.493184, 0.551356, 0.612976, 0.661559, 0.701122, 0.747296, 0.773397, 0.805534, 0.83929, 0.884164, 0.90299, 0.93453, 0.966833, 1.03133, 1.06336, 1.1585, 1.2173, 1.24437, 1.27411, 1.3068, 1.34933, 1.38381, 1.42501, 1.48304, 1.50863, 1.5431, 1.58906, 1.68099, 1.7982, 1.91541, 2.03262");
-            values ( \
-              "0.0167116, 0.0293257, 0.052233, 0.0754187, 0.0912036, 0.10169, 0.111296, 0.115632, 0.119818, 0.122834, 0.124668, 0.124677, 0.123625, 0.120783, 0.108359, 0.0990898, 0.0692442, 0.0524531, 0.0457148, 0.0390561, 0.0326732, 0.0255923, 0.0209141, 0.0163692, 0.0114137, 0.00974236, 0.00785547, 0.00589731, 0.0032147, 0.00148189, 0.000650856, 0.000313828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.711555, 0.869934, 1.03675, 1.11528, 1.20433, 1.29491, 1.3699, 1.44129, 1.5585, 1.60966, 1.67788, 1.70533, 1.76023, 1.83664, 1.95386, 2.02863, 2.27723, 2.38128, 2.46748, 2.53391, 2.6241, 2.69819, 2.78245, 2.89966, 2.95365, 3.02564, 3.12162, 3.23883, 3.35605, 3.47326, 3.70768, 3.9421");
-            values ( \
-              "0.0122836, 0.0299469, 0.0635486, 0.078431, 0.0933594, 0.106315, 0.114803, 0.12095, 0.127402, 0.128772, 0.129311, 0.129187, 0.128051, 0.124553, 0.113295, 0.102957, 0.0645197, 0.0504007, 0.0404956, 0.0339227, 0.026433, 0.0214168, 0.0167563, 0.0118071, 0.01004, 0.00807461, 0.00601559, 0.004181, 0.00290033, 0.00200772, 0.000959686, 0.000457619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.240335, 0.248819, 0.253326, 0.262248, 0.2684, 0.272169, 0.280119, 0.287186, 0.293812, 0.300312, 0.306805, 0.317365, 0.32141, 0.326762, 0.335616, 0.341486, 0.34898, 0.355603, 0.362171, 0.375581, 0.386248, 0.397214, 0.399281");
-            values ( \
-              "0.00693658, 0.0138356, 0.0197221, 0.0330139, 0.0408054, 0.0451144, 0.0527, 0.0574415, 0.0598581, 0.0599542, 0.0556581, 0.0358429, 0.0293883, 0.0224493, 0.0140603, 0.0101192, 0.00659619, 0.00450285, 0.00308989, 0.00142846, 0.000792901, 0.000504444, 0.000489787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.256428, 0.261333, 0.267275, 0.27473, 0.289342, 0.304002, 0.315818, 0.326386, 0.336396, 0.346305, 0.356206, 0.373022, 0.386708, 0.399742, 0.407739, 0.416688, 0.427701, 0.436426, 0.442593, 0.45133, 0.460553, 0.474336, 0.478534");
-            values ( \
-              "0.0087042, 0.0114515, 0.0172355, 0.0266551, 0.0474274, 0.0646858, 0.075037, 0.0810696, 0.0837383, 0.0829486, 0.075825, 0.0497307, 0.0323505, 0.0206105, 0.0153154, 0.0108603, 0.00701904, 0.00498933, 0.00395718, 0.00291977, 0.00213749, 0.00131684, 0.00118089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.285618, 0.311316, 0.344273, 0.355357, 0.368745, 0.388591, 0.406505, 0.423643, 0.440684, 0.451233, 0.458912, 0.493508, 0.507738, 0.52232, 0.531433, 0.543257, 0.553182, 0.564281, 0.580006, 0.596207, 0.608601, 0.631751, 0.658301, 0.691103, 0.732326");
-            values ( \
-              "0.00255008, 0.0247039, 0.0602771, 0.0709667, 0.0820354, 0.0944335, 0.100977, 0.103332, 0.101186, 0.0961097, 0.0901877, 0.0553094, 0.0428596, 0.032361, 0.0268889, 0.0209672, 0.0169354, 0.013257, 0.00926497, 0.00638338, 0.00477921, 0.00273799, 0.00144179, 0.000645119, 0.000239445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.345894, 0.395927, 0.437086, 0.457762, 0.47469, 0.507864, 0.524767, 0.539553, 0.557183, 0.57141, 0.582397, 0.592024, 0.605298, 0.629845, 0.6376, 0.65311, 0.672258, 0.739342, 0.76614, 0.780811, 0.802693, 0.828069, 0.850158, 0.879611, 0.90047, 0.908178, 0.923594, 0.954427, 1.01609, 1.10593, 1.21583");
-            values ( \
-              "0.00320407, 0.0264989, 0.0554691, 0.0693197, 0.0796602, 0.0964298, 0.102994, 0.107636, 0.111643, 0.113768, 0.114794, 0.115409, 0.115615, 0.114059, 0.112728, 0.108714, 0.100618, 0.0619417, 0.0479892, 0.0413386, 0.0326879, 0.0245274, 0.0189479, 0.0132622, 0.0102567, 0.00932553, 0.00769387, 0.00520086, 0.00227791, 0.000613915, 0.000112294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.495973, 0.577073, 0.675466, 0.706436, 0.745266, 0.784839, 0.830493, 0.857115, 0.88882, 0.922986, 0.967112, 0.986678, 1.01821, 1.05051, 1.115, 1.14703, 1.24271, 1.30132, 1.32804, 1.35726, 1.39013, 1.43285, 1.4677, 1.50881, 1.56676, 1.62658, 1.67233, 1.76382, 1.88103, 1.99825, 2.11546");
-            values ( \
-              "0.00941588, 0.0294606, 0.0677997, 0.0788413, 0.0912641, 0.10175, 0.111258, 0.115619, 0.119824, 0.122818, 0.124705, 0.124661, 0.123669, 0.120767, 0.108397, 0.0990763, 0.0690978, 0.0523448, 0.0457027, 0.0391542, 0.0327435, 0.0256025, 0.0208767, 0.0163631, 0.0114, 0.00785793, 0.00591714, 0.00322656, 0.00149536, 0.000648918, 0.000320895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.807326, 0.955041, 1.11853, 1.19948, 1.32688, 1.40321, 1.45163, 1.5254, 1.64261, 1.69347, 1.76129, 1.78931, 1.84537, 1.92061, 2.03782, 2.11255, 2.36125, 2.46524, 2.5513, 2.61761, 2.70795, 2.78221, 2.86642, 2.98363, 3.03755, 3.10942, 3.20526, 3.32247, 3.43968, 3.55689, 3.79131, 4.02574");
-            values ( \
-              "0.0150154, 0.0302682, 0.0631826, 0.078506, 0.0992721, 0.109287, 0.114602, 0.121003, 0.127424, 0.128785, 0.129343, 0.129199, 0.128043, 0.12456, 0.113311, 0.102976, 0.0645162, 0.050392, 0.0405129, 0.0339398, 0.0264428, 0.0214154, 0.0167491, 0.0118094, 0.0100445, 0.0080817, 0.00601667, 0.00418855, 0.00289876, 0.00201337, 0.000964413, 0.0004619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.42049, 0.436059, 0.43924, 0.445088, 0.45737, 0.473887, 0.481519, 0.488575, 0.495424, 0.502262, 0.507271, 0.513249, 0.522729, 0.531596, 0.53769, 0.545367, 0.55261, 0.55853, 0.570701, 0.58138, 0.612629");
-            values ( \
-              "0.00110172, 0.00890037, 0.0114704, 0.0173075, 0.0320682, 0.0482869, 0.05353, 0.0565388, 0.0572358, 0.0537968, 0.0460439, 0.0345509, 0.0218049, 0.0138358, 0.00996212, 0.00646481, 0.00428441, 0.00308471, 0.00154514, 0.000859599, 0.000391148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.444293, 0.464877, 0.505302, 0.518349, 0.524039, 0.534295, 0.544368, 0.554432, 0.585482, 0.599412, 0.614995, 0.634905, 0.659563, 0.672695");
-            values ( \
-              "0.00329362, 0.0180209, 0.065459, 0.0755941, 0.0787856, 0.0821536, 0.0818379, 0.075135, 0.031846, 0.0195556, 0.0108674, 0.00499929, 0.00209771, 0.00154102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.468809, 0.507388, 0.554418, 0.569175, 0.589253, 0.60729, 0.624506, 0.641664, 0.652156, 0.715889, 0.744279, 0.765203, 0.780941, 0.797218, 0.821558");
-            values ( \
-              "0.00343498, 0.0184743, 0.0684126, 0.0806515, 0.0937545, 0.100291, 0.103094, 0.100861, 0.0959225, 0.0374421, 0.0209602, 0.013278, 0.00927506, 0.0063778, 0.00388785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.574822, 0.606458, 0.643468, 0.659509, 0.688199, 0.704352, 0.724832, 0.740877, 0.758331, 0.774267, 0.790642, 0.806526, 0.829277, 0.838938, 0.855294, 0.873485, 0.940361, 0.966953, 0.98214, 1.00482, 1.02951, 1.05091, 1.07943, 1.10924, 1.12525, 1.15726, 1.22129, 1.31046, 1.42006");
-            values ( \
-              "0.027671, 0.0332061, 0.0594247, 0.0700149, 0.0867691, 0.0946093, 0.102842, 0.107858, 0.111833, 0.114095, 0.115407, 0.115742, 0.114358, 0.112789, 0.108432, 0.100652, 0.0620531, 0.0481863, 0.0412873, 0.0323588, 0.0244651, 0.0190549, 0.0134912, 0.00934239, 0.00765356, 0.00509103, 0.00215334, 0.000584853, 0.000110443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.733407, 0.801739, 0.860344, 0.907267, 0.945247, 0.967525, 1.0039, 1.032, 1.05818, 1.08971, 1.12409, 1.16787, 1.18776, 1.2193, 1.2516, 1.31609, 1.34811, 1.44241, 1.50149, 1.5291, 1.55963, 1.59204, 1.63426, 1.66817, 1.7095, 1.76763, 1.82806, 1.87435, 1.96693, 2.08414, 2.20135, 2.31856");
-            values ( \
-              "0.0316259, 0.0383894, 0.0615948, 0.0786629, 0.0908535, 0.0970899, 0.105688, 0.111273, 0.115611, 0.11975, 0.122826, 0.12466, 0.124674, 0.123635, 0.120785, 0.108371, 0.0990975, 0.0695066, 0.0526025, 0.0457242, 0.038898, 0.0325834, 0.0255685, 0.0209649, 0.0163915, 0.011427, 0.00784698, 0.00587376, 0.00319107, 0.0014671, 0.000647941, 0.000308662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.973518, 1.15571, 1.31724, 1.40079, 1.4854, 1.58042, 1.63502, 1.70709, 1.76645, 1.84561, 1.89209, 1.94164, 1.99067, 2.08871, 2.12203, 2.18867, 2.26366, 2.38087, 2.53033, 2.63854, 2.68458, 2.75089, 2.81986, 2.90922, 2.9836, 3.06778, 3.18499, 3.23886, 3.31066, 3.4064, 3.52361, 3.64082, 3.75803, 3.99245, 4.22688");
-            values ( \
-              "0.0066607, 0.0300422, 0.0626014, 0.0784179, 0.0927016, 0.1063, 0.11269, 0.119464, 0.12365, 0.127408, 0.128668, 0.129276, 0.129147, 0.126419, 0.124525, 0.118978, 0.110062, 0.0926148, 0.0692566, 0.0539717, 0.0482252, 0.0406773, 0.0338689, 0.0264288, 0.0213951, 0.0167619, 0.0117927, 0.0100316, 0.00807152, 0.00603169, 0.00417904, 0.0029119, 0.00200294, 0.00095365, 0.000451088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.799933, 0.815716, 0.826757, 0.829774, 0.83581, 0.842761, 0.845176, 0.848397, 0.860418, 0.866498, 0.877081, 0.881798, 0.891406, 0.90007, 0.908245, 0.916378, 0.924502, 0.929946, 0.93384, 0.940146, 0.946888, 0.952533, 0.954392, 0.958112, 0.963173, 0.970848, 0.973845, 0.977014, 0.981238, 0.989686, 0.999538, 1.01423, 1.02027");
-            values ( \
-              "0.0012716, 0.00251079, 0.00476227, 0.00569695, 0.00782751, 0.0113193, 0.0128337, 0.0150869, 0.024301, 0.0284806, 0.0351984, 0.0379673, 0.0430903, 0.0466169, 0.0484937, 0.0472444, 0.0381431, 0.0300405, 0.0252215, 0.0189194, 0.0135957, 0.010246, 0.00925205, 0.00763075, 0.00578822, 0.00386166, 0.00327425, 0.00277759, 0.00223198, 0.00142351, 0.000914088, 0.000701436, 0.000589446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.80755, 0.855194, 0.86415, 0.871331, 0.880182, 0.920058, 0.935511, 0.94868, 0.960624, 0.972105, 0.983556, 1.01065, 1.02123, 1.03523, 1.04292, 1.05318, 1.06064, 1.06972, 1.09066, 1.10192, 1.10279");
-            values ( \
-              "0.000921676, 0.00476117, 0.00800231, 0.0113403, 0.0165725, 0.0480162, 0.0588738, 0.0665613, 0.0712303, 0.0729031, 0.0689063, 0.0359147, 0.0258388, 0.0159435, 0.01206, 0.00821441, 0.00621499, 0.00451923, 0.00217478, 0.00145082, 0.0014131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.895705, 0.934353, 0.944255, 0.954115, 1.0118, 1.03479, 1.04371, 1.05479, 1.07352, 1.08449, 1.09169, 1.10429, 1.11078, 1.12062, 1.15302, 1.1681, 1.18349, 1.19606, 1.21577, 1.22441, 1.24163, 1.25449, 1.27543, 1.30158, 1.33306, 1.37341");
-            values ( \
-              "0.00374445, 0.0143793, 0.0196997, 0.0261522, 0.0689832, 0.0830064, 0.0872608, 0.0915455, 0.0958379, 0.0963261, 0.0954505, 0.0911699, 0.0867719, 0.0786127, 0.0484008, 0.0368754, 0.0273034, 0.0211122, 0.0137869, 0.0114901, 0.00779865, 0.00579931, 0.0035501, 0.00189549, 0.000893756, 0.000333251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.940165, 1.02496, 1.04722, 1.0527, 1.06368, 1.08416, 1.12768, 1.16123, 1.17674, 1.19863, 1.20753, 1.21136, 1.2151, 1.2231, 1.22772, 1.23697, 1.24958, 1.26647, 1.28255, 1.30714, 1.31533, 1.33169, 1.35022, 1.39153, 1.39234, 1.40379, 1.41688, 1.44305, 1.45334, 1.45948, 1.46766, 1.48403, 1.50718, 1.51378, 1.52699, 1.54708, 1.57574, 1.58803, 1.61259, 1.66172, 1.71618");
-            values ( \
-              "0.00130677, 0.0089, 0.0165843, 0.018831, 0.0240785, 0.0355061, 0.062125, 0.0809526, 0.0887121, 0.0979153, 0.101007, 0.102293, 0.103475, 0.10567, 0.106843, 0.108907, 0.111065, 0.113006, 0.113821, 0.112853, 0.111667, 0.107677, 0.100104, 0.0769014, 0.076326, 0.0696162, 0.0621744, 0.0485514, 0.0438074, 0.0411076, 0.0377102, 0.0315688, 0.0242871, 0.0225085, 0.0192786, 0.0151591, 0.0106553, 0.00915574, 0.00672531, 0.00354643, 0.00192169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.20344, 1.27931, 1.35912, 1.41305, 1.4554, 1.48808, 1.53589, 1.55686, 1.58374, 1.6089, 1.65646, 1.67267, 1.70425, 1.73658, 1.79792, 1.82104, 1.93914, 2.01425, 2.07458, 2.11834, 2.15521, 2.19584, 2.25344, 2.31191, 2.35648, 2.44562, 2.56283, 2.68004, 2.79726");
-            values ( \
-              "0.0241794, 0.0350156, 0.066378, 0.0851578, 0.0975051, 0.105213, 0.114231, 0.11732, 0.120502, 0.122623, 0.124571, 0.124546, 0.123545, 0.120706, 0.109139, 0.10276, 0.0659781, 0.0457054, 0.0330479, 0.0257142, 0.0207214, 0.016268, 0.011376, 0.00790842, 0.00598696, 0.00333128, 0.0015325, 0.000676592, 0.000322705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.55976, 1.69703, 1.84381, 1.93598, 2.03475, 2.06573, 2.12005, 2.19019, 2.25607, 2.34618, 2.46339, 2.50171, 2.5528, 2.60735, 2.71646, 2.81511, 3.00041, 3.10584, 3.17884, 3.25703, 3.36128, 3.4074, 3.48456, 3.56582, 3.6785, 3.72461, 3.81683, 3.93405, 4.05126, 4.16847, 4.28568, 4.40289, 4.5201, 4.75453");
-            values ( \
-              "0.0362256, 0.0412138, 0.0706631, 0.0870868, 0.10224, 0.106343, 0.112689, 0.119317, 0.123936, 0.127917, 0.129324, 0.128831, 0.127358, 0.124555, 0.114304, 0.10059, 0.0715771, 0.0563553, 0.047128, 0.0385097, 0.0290202, 0.025482, 0.0204694, 0.016129, 0.0115288, 0.0100215, 0.007582, 0.00526743, 0.00367076, 0.00253178, 0.00176449, 0.00120834, 0.000846581, 0.000407074" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00770199, 0.00773144, 0.00776507, 0.00779435, 0.00781439, 0.00782598", \
-            "0.00877202, 0.00880875, 0.00885556, 0.00890086, 0.00893441, 0.00895478", \
-            "0.00935588, 0.009392, 0.00944275, 0.00949806, 0.00954332, 0.00957259", \
-            "0.0097402, 0.00975891, 0.00979063, 0.0098326, 0.00987288, 0.00990233", \
-            "0.0100528, 0.0100463, 0.0100421, 0.0100466, 0.0100603, 0.0100756", \
-            "0.0103474, 0.0103198, 0.010279, 0.0102329, 0.0101977, 0.0101799" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00963864, 0.00965915, 0.00968577, 0.00971143, 0.00973029, 0.00974168", \
-            "0.00970249, 0.00969845, 0.00969618, 0.00969604, 0.00969705, 0.00969804", \
-            "0.00968369, 0.00963911, 0.00958308, 0.00952768, 0.00948531, 0.00945895", \
-            "0.00973901, 0.00966001, 0.00955111, 0.00943976, 0.00934625, 0.00928695", \
-            "0.010023, 0.00988959, 0.00969856, 0.00949259, 0.00933955, 0.00923696", \
-            "0.00942898, 0.00965479, 0.00998226, 0.00982495, 0.00953552, 0.00932273" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.137046, 0.162212, 0.173604, 0.182906, 0.191159, 0.198792, 0.206093, 0.213245, 0.220392, 0.222398, 0.239722, 0.246597, 0.25487, 0.260128");
-            values ( \
-              "-0.00207039, -0.0292682, -0.0387581, -0.0449124, -0.0493146, -0.0523836, -0.0543357, -0.0545162, -0.0501292, -0.0472252, -0.0150772, -0.00800511, -0.00355627, -0.00218936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.160383, 0.168095, 0.17324, 0.173858, 0.175092, 0.177561, 0.181713, 0.184417, 0.189825, 0.196183, 0.207064, 0.213406, 0.226089, 0.230166, 0.235279, 0.239911, 0.249174, 0.25145, 0.256002, 0.26241, 0.273159, 0.283905, 0.285275, 0.287102, 0.290755, 0.297304, 0.301442, 0.30696, 0.310119, 0.312503, 0.315681, 0.322037, 0.325228, 0.326346, 0.328581, 0.333052, 0.341993, 0.34838");
-            values ( \
-              "-0.0107259, -0.014948, -0.0180006, -0.0186677, -0.0197625, -0.0218015, -0.0258139, -0.0287414, -0.0348261, -0.0409946, -0.0498869, -0.0543951, -0.0623024, -0.0645052, -0.0670363, -0.0692296, -0.0729432, -0.0737707, -0.0751411, -0.0766556, -0.0769366, -0.0703851, -0.0686728, -0.0660108, -0.0596102, -0.0467808, -0.0389997, -0.0297429, -0.0251827, -0.0221092, -0.0184765, -0.0126524, -0.0104005, -0.0097028, -0.00843047, -0.00632418, -0.00346003, -0.00241461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.203816, 0.219241, 0.227017, 0.227875, 0.229593, 0.239023, 0.258932, 0.265765, 0.279431, 0.294753, 0.295227, 0.299658, 0.304721, 0.314847, 0.318496, 0.325793, 0.33932, 0.358516, 0.376787, 0.394732, 0.411653, 0.41357, 0.417405, 0.425074, 0.434282, 0.441038, 0.444719, 0.450383, 0.457599, 0.459082, 0.46205, 0.467984, 0.479853, 0.484189, 0.488688, 0.494686, 0.506682, 0.527387");
-            values ( \
-              "-0.0103944, -0.0179843, -0.0221872, -0.0229793, -0.0240403, -0.030662, -0.0465443, -0.0511358, -0.0599162, -0.0682571, -0.0685698, -0.0708446, -0.0733413, -0.0780937, -0.0797178, -0.0828446, -0.0881125, -0.094168, -0.0977398, -0.097579, -0.0892656, -0.0876515, -0.0832417, -0.0730287, -0.0595492, -0.0502532, -0.045496, -0.0387167, -0.0311345, -0.0297297, -0.027065, -0.0223173, -0.014853, -0.0127657, -0.0108886, -0.00877008, -0.00563252, -0.00254045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.316946, 0.357776, 0.372973, 0.38754, 0.396505, 0.410629, 0.425402, 0.435109, 0.449456, 0.47052, 0.482698, 0.493475, 0.502851, 0.512699, 0.528179, 0.536822, 0.545465, 0.561234, 0.580496, 0.592878, 0.598926, 0.607747, 0.613545, 0.617635, 0.621724, 0.625814, 0.629904, 0.633921, 0.637939, 0.641957, 0.645974, 0.653154, 0.660333, 0.667512, 0.674692, 0.676057, 0.680153, 0.691076, 0.731506, 0.737901, 0.742164, 0.750691, 0.756944, 0.768373, 0.775654, 0.778941, 0.788802, 0.793338, 0.802409, 0.814202");
-            values ( \
-              "-0.0296046, -0.0332507, -0.0405938, -0.0480075, -0.0522576, -0.0584894, -0.0645144, -0.0683209, -0.0737523, -0.0813194, -0.0854157, -0.0888692, -0.0917411, -0.0946024, -0.0987332, -0.100843, -0.102822, -0.106098, -0.109771, -0.111822, -0.112713, -0.113882, -0.114567, -0.11473, -0.114782, -0.114722, -0.11455, -0.114272, -0.113886, -0.113393, -0.112792, -0.111337, -0.109468, -0.107186, -0.104489, -0.103781, -0.101485, -0.0926625, -0.0565282, -0.0514296, -0.0482642, -0.042321, -0.0383136, -0.0315051, -0.0275868, -0.026096, -0.0219751, -0.0203431, -0.0172415, -0.0135326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.483548, 0.574461, 0.734603, 0.803216, 0.881501, 0.939611, 1.01398, 1.04524, 1.1089, 1.17159, 1.23427, 1.36575, 1.42356, 1.48812, 1.53562, 1.59895, 1.69035");
-            values ( \
-              "-0.00899602, -0.0256763, -0.0672654, -0.0824969, -0.097653, -0.107753, -0.118219, -0.121605, -0.126097, -0.125375, -0.11204, -0.0497077, -0.0307675, -0.01718, -0.0109845, -0.00585237, -0.00239013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.889935, 1.07524, 1.42236, 1.57845, 1.74586, 1.89237, 2.02712, 2.15542, 2.20014, 2.28142, 2.34496, 2.41574, 2.59862, 2.70638, 2.82391, 2.91001, 3.03884, 3.14219, 3.26729, 3.3924, 3.64261");
-            values ( \
-              "-0.0098107, -0.0262808, -0.0727806, -0.0911479, -0.108127, -0.120067, -0.128145, -0.13241, -0.132817, -0.131217, -0.126448, -0.11475, -0.0685238, -0.0458699, -0.0282668, -0.0193222, -0.0107565, -0.00660101, -0.00357046, -0.00193801, -0.000563326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.15527, 0.165158, 0.175343, 0.181221, 0.197974, 0.20622, 0.213847, 0.221143, 0.228291, 0.235434, 0.237443, 0.249487, 0.254769, 0.261635, 0.269882, 0.27714, 0.288823, 0.320827, 0.391427");
-            values ( \
-              "-0.00812223, -0.0152096, -0.0272661, -0.0328655, -0.0449558, -0.0493464, -0.0524249, -0.0543645, -0.0545539, -0.0501461, -0.0472401, -0.0232775, -0.0150662, -0.00800411, -0.00356418, -0.00167131, -0.000455208, -1.97949e-05, -3.99239e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.180456, 0.189499, 0.192789, 0.196079, 0.203692, 0.208511, 0.213575, 0.217627, 0.219653, 0.228717, 0.235672, 0.242627, 0.242982, 0.243455, 0.250086, 0.253905, 0.26027, 0.26637, 0.2715, 0.273607, 0.275629, 0.277652, 0.279674, 0.281697, 0.28372, 0.285742, 0.287714, 0.288371, 0.289685, 0.290999, 0.292314, 0.293628, 0.295097, 0.296565, 0.298034, 0.299502, 0.301643, 0.305923, 0.308063, 0.310376, 0.317189, 0.321204, 0.326189, 0.328877, 0.330976, 0.333074, 0.334564, 0.337545, 0.339035, 0.341356");
-            values ( \
-              "-0.0171596, -0.01936, -0.0220599, -0.0251945, -0.033478, -0.0385281, -0.0432123, -0.0466451, -0.0482566, -0.0544397, -0.0589366, -0.0632193, -0.0633169, -0.0635475, -0.0670454, -0.0687863, -0.0714503, -0.0737525, -0.0754191, -0.0760382, -0.0765274, -0.0769366, -0.0771437, -0.0772328, -0.0772039, -0.0770569, -0.0768001, -0.0766896, -0.0762911, -0.0757493, -0.0750643, -0.0742361, -0.073034, -0.0716627, -0.0701224, -0.0684129, -0.0654121, -0.0587464, -0.0550814, -0.0508374, -0.0378191, -0.0312068, -0.0238758, -0.0204093, -0.0181775, -0.01613, -0.0148001, -0.0123942, -0.0113183, -0.00980222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.220866, 0.239385, 0.252367, 0.281293, 0.314232, 0.333923, 0.354741, 0.373962, 0.392175, 0.410112, 0.42703, 0.465796, 0.483278, 0.499505, 0.510287, 0.52261, 0.544002, 0.572562, 0.605386");
-            values ( \
-              "-0.0116267, -0.0202559, -0.0290081, -0.0513883, -0.0704997, -0.0798039, -0.0881901, -0.0942358, -0.09779, -0.0976136, -0.0892911, -0.0386709, -0.0223736, -0.012791, -0.00869554, -0.00551466, -0.00238883, -0.000757993, -0.000224554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.309828, 0.372571, 0.426386, 0.469235, 0.501935, 0.543884, 0.577005, 0.61737, 0.629131, 0.645486, 0.661552, 0.690569, 0.706069, 0.755128, 0.78256, 0.813196, 0.836913, 0.852339, 0.883191, 0.936598, 0.995149");
-            values ( \
-              "-0.0075044, -0.0326184, -0.0585472, -0.0752876, -0.0865447, -0.0987409, -0.1062, -0.112996, -0.114153, -0.115025, -0.114113, -0.105091, -0.093637, -0.0504203, -0.032387, -0.0188705, -0.0121193, -0.00904841, -0.00486323, -0.0015262, -0.000397282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.495972, 0.589409, 0.751333, 0.827437, 0.893134, 0.972583, 1.02936, 1.0611, 1.12477, 1.18748, 1.25017, 1.38166, 1.43946, 1.50403, 1.55153, 1.61487, 1.70498");
-            values ( \
-              "-0.00829193, -0.0254535, -0.0674083, -0.0841679, -0.0968256, -0.110383, -0.118119, -0.121574, -0.126065, -0.125359, -0.112022, -0.0497038, -0.030767, -0.0171795, -0.0109839, -0.0058519, -0.00243886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.995754, 1.17876, 1.33352, 1.48702, 1.64478, 1.76252, 1.90884, 2.03394, 2.10446, 2.17183, 2.29693, 2.30893, 2.35006, 2.4049, 2.61461, 2.70095, 2.80886, 2.86551, 2.9448, 3.02419, 3.08452, 3.20517, 3.33028, 3.45538, 3.70559");
-            values ( \
-              "-0.0290583, -0.0379058, -0.0593724, -0.078568, -0.0964391, -0.108047, -0.119974, -0.127627, -0.130595, -0.132415, -0.131304, -0.130722, -0.127685, -0.120226, -0.0685993, -0.049966, -0.0323009, -0.0253445, -0.0178212, -0.0124387, -0.00940129, -0.00526144, -0.00284553, -0.00153228, -0.000440928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.191364, 0.19859, 0.206613, 0.21447, 0.221442, 0.235166, 0.243424, 0.251052, 0.258347, 0.265494, 0.272634, 0.274639, 0.286709, 0.291952, 0.298824, 0.303453, 0.307092, 0.314372, 0.322954");
-            values ( \
-              "-0.00895657, -0.0117968, -0.0199109, -0.0291395, -0.035414, -0.0450072, -0.0492465, -0.0525099, -0.054298, -0.0546464, -0.0500871, -0.0472547, -0.0232372, -0.0150859, -0.00800985, -0.00511688, -0.0035581, -0.00166462, -0.000774681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.21331, 0.226868, 0.237314, 0.245192, 0.24902, 0.256677, 0.266211, 0.280227, 0.292694, 0.304199, 0.315176, 0.32592, 0.336654, 0.338023, 0.3435, 0.354181, 0.359697, 0.365235, 0.368411, 0.374763, 0.379072, 0.385778, 0.39472, 0.397713");
-            values ( \
-              "-0.0110232, -0.0187877, -0.0287716, -0.0377894, -0.0408615, -0.0477278, -0.0543083, -0.0633306, -0.0691422, -0.0740371, -0.07657, -0.0771915, -0.0702808, -0.0687394, -0.0596561, -0.0390122, -0.0297613, -0.0221169, -0.0184886, -0.0126585, -0.00970669, -0.00632548, -0.00346179, -0.00296978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.252316, 0.263201, 0.274757, 0.289914, 0.318355, 0.347461, 0.358606, 0.379367, 0.392143, 0.403999, 0.411344, 0.426035, 0.436703, 0.447477, 0.459656, 0.466237, 0.495565, 0.505121, 0.520085, 0.530908, 0.536472, 0.549748, 0.564752, 0.584117");
-            values ( \
-              "-0.00974771, -0.0127911, -0.0186923, -0.0287213, -0.0509419, -0.0682035, -0.0737261, -0.0830429, -0.0880129, -0.0919863, -0.0940751, -0.0971706, -0.0981677, -0.0974216, -0.0927392, -0.0875045, -0.0479284, -0.0364663, -0.0227886, -0.0157778, -0.0129852, -0.00807607, -0.00459559, -0.00228216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.373288, 0.411853, 0.427707, 0.443438, 0.450545, 0.465002, 0.485268, 0.508212, 0.518641, 0.530755, 0.542869, 0.552263, 0.561658, 0.571962, 0.586584, 0.599536, 0.615269, 0.634535, 0.641564, 0.646926, 0.652963, 0.661771, 0.66756, 0.671647, 0.675734, 0.679821, 0.683908, 0.687924, 0.69194, 0.695955, 0.699971, 0.707138, 0.714306, 0.721473, 0.72864, 0.730014, 0.734136, 0.745127, 0.783283, 0.787476, 0.795863, 0.800056, 0.808443, 0.822501, 0.830132, 0.833376, 0.843111, 0.847605, 0.856592, 0.868175");
-            values ( \
-              "-0.0317563, -0.0334329, -0.0410959, -0.049123, -0.0524397, -0.0587791, -0.0669469, -0.0756155, -0.0793844, -0.0835585, -0.0875261, -0.0904609, -0.0932714, -0.0961572, -0.0999229, -0.102931, -0.106194, -0.109859, -0.11106, -0.111907, -0.112793, -0.113956, -0.114637, -0.114798, -0.114848, -0.114786, -0.114612, -0.114332, -0.113945, -0.11345, -0.112848, -0.111391, -0.109521, -0.107238, -0.104542, -0.103828, -0.101513, -0.0926239, -0.0583778, -0.0549052, -0.0484668, -0.0454716, -0.0398556, -0.0314184, -0.0273532, -0.0258918, -0.0218487, -0.0202389, -0.0171783, -0.0135462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.557449, 0.629785, 0.806754, 0.876046, 0.959665, 1.01182, 1.06776, 1.0995, 1.16298, 1.22583, 1.28849, 1.41997, 1.47778, 1.54234, 1.58983, 1.65316, 1.7586, 1.88229");
-            values ( \
-              "-0.0174398, -0.0261487, -0.0714767, -0.0863131, -0.102017, -0.110598, -0.118198, -0.121637, -0.126117, -0.125394, -0.112053, -0.0497108, -0.0307681, -0.0171805, -0.010985, -0.00585273, -0.00185805, -0.000442547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.983732, 1.13054, 1.38712, 1.49194, 1.68672, 1.80127, 1.94758, 2.07269, 2.14334, 2.21059, 2.3357, 2.3477, 2.38887, 2.44377, 2.65337, 2.73982, 2.84755, 2.90423, 2.98355, 3.06301, 3.1234, 3.24418, 3.36929, 3.49439, 3.7446");
-            values ( \
-              "-0.0192749, -0.0262982, -0.0613634, -0.0744602, -0.0968086, -0.10808, -0.120008, -0.127586, -0.130633, -0.132378, -0.131338, -0.130721, -0.12768, -0.120206, -0.0686006, -0.0499453, -0.0323119, -0.0253492, -0.0178239, -0.0124364, -0.00939583, -0.00525562, -0.00284157, -0.0015309, -0.00044088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.278971, 0.2825, 0.286756, 0.287493, 0.288968, 0.291918, 0.294648, 0.299901, 0.302468, 0.307602, 0.313833, 0.323108, 0.331334, 0.33894, 0.346215, 0.353343, 0.360465, 0.362477, 0.364778, 0.371014, 0.374447, 0.37744, 0.379785, 0.382619, 0.386627, 0.388512, 0.391213, 0.394814, 0.402017, 0.404018");
-            values ( \
-              "-0.00894667, -0.0105595, -0.0120884, -0.0134304, -0.0141167, -0.0170579, -0.0199523, -0.0267642, -0.0291361, -0.0340956, -0.0387084, -0.0452006, -0.0493316, -0.0527116, -0.0543991, -0.0548318, -0.0501497, -0.0473278, -0.043174, -0.0300522, -0.0233965, -0.018393, -0.0150641, -0.011689, -0.00801272, -0.00668709, -0.00513775, -0.00358498, -0.00169015, -0.00147881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.299917, 0.312394, 0.314611, 0.319045, 0.325106, 0.333141, 0.336827, 0.344199, 0.354011, 0.367941, 0.368025, 0.368191, 0.368523, 0.369188, 0.370519, 0.373179, 0.378499, 0.380445, 0.384337, 0.391945, 0.402889, 0.413621, 0.424344, 0.424802, 0.42572, 0.427556, 0.431226, 0.437735, 0.439107, 0.441851, 0.447338, 0.450523, 0.451325, 0.452927, 0.456132, 0.462541, 0.465659, 0.466753, 0.46894, 0.473315, 0.482064, 0.482209");
-            values ( \
-              "-0.0105388, -0.0174563, -0.0187385, -0.0226561, -0.0287108, -0.0379012, -0.0408685, -0.0475105, -0.0543733, -0.0633621, -0.0633366, -0.0633555, -0.0635062, -0.0638631, -0.0645714, -0.0659457, -0.0685267, -0.0694121, -0.0710869, -0.0739488, -0.0768283, -0.0770949, -0.0704937, -0.0699551, -0.0687617, -0.0660734, -0.0596059, -0.0468165, -0.0441783, -0.0390591, -0.0298278, -0.0252155, -0.0241479, -0.0221107, -0.0184469, -0.0125834, -0.0103886, -0.00970453, -0.00845793, -0.00638307, -0.00354162, -0.00351737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.34488, 0.376123, 0.406105, 0.440105, 0.461801, 0.484845, 0.505511, 0.514095, 0.525043, 0.534857, 0.538311, 0.54522, 0.551472, 0.594265, 0.60812, 0.62586");
-            values ( \
-              "-0.00689552, -0.0284682, -0.0515306, -0.0710622, -0.0811998, -0.0901144, -0.0963983, -0.0977157, -0.0981937, -0.0974731, -0.0964814, -0.0931997, -0.0884364, -0.0344849, -0.0220034, -0.011717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.441521, 0.480737, 0.552164, 0.592084, 0.630183, 0.669619, 0.702656, 0.721916, 0.743364, 0.754957, 0.771312, 0.787379, 0.816269, 0.832141, 0.871075, 0.898639, 0.92577, 0.946726, 0.967831, 0.984337, 1.01735, 1.07398, 1.13261");
-            values ( \
-              "-0.0129816, -0.0249151, -0.0584239, -0.0741354, -0.0872747, -0.0986733, -0.106123, -0.109753, -0.112984, -0.114169, -0.114995, -0.11413, -0.105136, -0.0934, -0.0583244, -0.0381121, -0.0239736, -0.0163452, -0.0110098, -0.00800697, -0.00411946, -0.0011588, -0.000324068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.626232, 0.716265, 0.875678, 0.944838, 1.02471, 1.09573, 1.15621, 1.18786, 1.25153, 1.31424, 1.37692, 1.50841, 1.56622, 1.63078, 1.67828, 1.74162, 1.82915");
-            values ( \
-              "-0.00955914, -0.0254638, -0.0668501, -0.0822479, -0.0977236, -0.109842, -0.11814, -0.121576, -0.126074, -0.125359, -0.112029, -0.0497044, -0.0307671, -0.0171797, -0.0109841, -0.00585186, -0.00253657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.12392, 1.29521, 1.46493, 1.67145, 1.85797, 1.95334, 2.03607, 2.16118, 2.23168, 2.29906, 2.42417, 2.43616, 2.47726, 2.53208, 2.82812, 2.93613, 3.07205, 3.21169, 3.33227, 3.45737, 3.48878");
-            values ( \
-              "-0.0304383, -0.0364082, -0.0599326, -0.0853302, -0.105107, -0.113624, -0.119987, -0.127617, -0.130608, -0.132404, -0.131317, -0.130723, -0.127688, -0.120236, -0.0499771, -0.0322952, -0.01782, -0.00940408, -0.00526484, -0.0028475, -0.00251761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.480325, 0.499381, 0.513578, 0.531669, 0.540012, 0.5477, 0.555012, 0.562165, 0.56931, 0.571273, 0.583447, 0.590789, 0.597344, 0.605115, 0.613996, 0.625172, 0.645165, 0.726558");
-            values ( \
-              "-0.000605987, -0.0154939, -0.0302645, -0.0442327, -0.0489297, -0.0521798, -0.0543351, -0.0545347, -0.0502122, -0.0473414, -0.0231171, -0.0124415, -0.00669722, -0.00309129, -0.00118884, -0.000354537, -4.96875e-05, -3.76831e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.50571, 0.526328, 0.542691, 0.551612, 0.563042, 0.5771, 0.589532, 0.601002, 0.611951, 0.62267, 0.633378, 0.634762, 0.650866, 0.661964, 0.671659, 0.682238, 0.690837, 0.702902, 0.716061, 0.743196, 0.788653");
-            values ( \
-              "-0.00303163, -0.0200612, -0.0374582, -0.0455655, -0.0542859, -0.0632073, -0.0694775, -0.0740797, -0.0769184, -0.077207, -0.0705378, -0.0688127, -0.0390939, -0.0220973, -0.0125015, -0.00641497, -0.00360418, -0.00154181, -0.000626994, -0.000167417, -9.65614e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.557837, 0.578716, 0.593344, 0.617097, 0.645968, 0.669378, 0.690169, 0.709228, 0.727446, 0.745344, 0.761485, 0.769394, 0.792636, 0.807451, 0.81442, 0.821645, 0.831881, 0.836266, 0.845035, 0.862575, 0.883358, 0.884381");
-            values ( \
-              "-0.0119401, -0.0228374, -0.0333834, -0.0518802, -0.0689976, -0.0802716, -0.0885351, -0.0945744, -0.0979895, -0.097834, -0.0900075, -0.0815344, -0.0488257, -0.0317338, -0.0254599, -0.0201029, -0.014068, -0.0121018, -0.00878704, -0.00455439, -0.00200119, -0.00195511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.669503, 0.70594, 0.71053, 0.731529, 0.748551, 0.762865, 0.777179, 0.791493, 0.822653, 0.835007, 0.846468, 0.859441, 0.868511, 0.883357, 0.898327, 0.915484, 0.932128, 0.936395, 0.944927, 0.949194, 0.957209, 0.965224, 0.96932, 0.973415, 0.97751, 0.981606, 0.98561, 0.989613, 0.993617, 0.997621, 1.00473, 1.01184, 1.01895, 1.02606, 1.02749, 1.03177, 1.04318, 1.07486, 1.0843, 1.09185, 1.10318, 1.11112, 1.12107, 1.12593, 1.1308, 1.13567, 1.1454, 1.15026, 1.15307, 1.16527");
-            values ( \
-              "-0.0306716, -0.0316224, -0.0337998, -0.044318, -0.0525624, -0.0589196, -0.0647071, -0.0702512, -0.0816076, -0.085674, -0.0892703, -0.0932227, -0.0958545, -0.0996627, -0.103167, -0.106796, -0.110025, -0.110769, -0.112136, -0.112758, -0.113802, -0.114692, -0.114852, -0.1149, -0.114837, -0.114662, -0.114383, -0.113998, -0.113506, -0.112908, -0.111463, -0.109609, -0.107345, -0.104672, -0.103931, -0.101519, -0.092266, -0.0637987, -0.0555616, -0.0496748, -0.0417528, -0.0367555, -0.0307843, -0.0282779, -0.0259514, -0.0238916, -0.0201383, -0.0184447, -0.0175575, -0.0139042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.844225, 0.92719, 1.08583, 1.15501, 1.23526, 1.306, 1.36653, 1.39817, 1.46183, 1.52453, 1.58721, 1.71869, 1.77649, 1.84106, 1.88856, 1.95189, 2.03858");
-            values ( \
-              "-0.0123484, -0.0256573, -0.0668509, -0.0822632, -0.0978064, -0.109868, -0.11817, -0.121604, -0.126095, -0.125375, -0.112038, -0.0497073, -0.0307675, -0.01718, -0.0109845, -0.00585228, -0.00256831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.24042, 1.43032, 1.72052, 1.90654, 2.04892, 2.17991, 2.2471, 2.3722, 2.44241, 2.51005, 2.63515, 2.64713, 2.68821, 2.74299, 2.95283, 3.03903, 3.14717, 3.20378, 3.28305, 3.38538, 3.51048, 3.63559, 3.76069, 4.0109");
-            values ( \
-              "-0.00885879, -0.0263569, -0.06573, -0.0880731, -0.103178, -0.114907, -0.119987, -0.127626, -0.130594, -0.132412, -0.131312, -0.130724, -0.127692, -0.120249, -0.0685982, -0.0499913, -0.0322877, -0.0253382, -0.0178182, -0.011168, -0.00612962, -0.00332635, -0.00178958, -0.000513485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.930019, 0.940444, 0.946599, 0.948777, 0.953131, 0.958629, 0.96049, 0.96421, 0.971713, 0.982063, 0.986182, 0.992574, 0.997245, 1.00675, 1.01534, 1.02337, 1.03117, 1.03895, 1.04043, 1.04213, 1.04504, 1.05293, 1.05653, 1.05964, 1.0638, 1.06686, 1.07038, 1.07507, 1.08446, 1.08835");
-            values ( \
-              "-0.00224846, -0.00476024, -0.00644272, -0.00745844, -0.00904177, -0.0119024, -0.0130322, -0.0156958, -0.0218931, -0.0290803, -0.0317665, -0.0357221, -0.0384746, -0.0434345, -0.0471042, -0.0495563, -0.0504418, -0.0468956, -0.0451259, -0.0427889, -0.037871, -0.0234712, -0.0179127, -0.013924, -0.00970717, -0.0073679, -0.00531435, -0.0033714, -0.00125446, -0.00092895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.96943, 0.982632, 0.999188, 1.01774, 1.03769, 1.05321, 1.06669, 1.07893, 1.09057, 1.10195, 1.11332, 1.14039, 1.14877, 1.16176, 1.17735, 1.18522");
-            values ( \
-              "-0.00596306, -0.00994695, -0.0186587, -0.0343518, -0.0486111, -0.057947, -0.0648589, -0.0694495, -0.0725776, -0.0725744, -0.0668202, -0.0246267, -0.0156671, -0.00724573, -0.00265198, -0.00172093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("1.03706, 1.06131, 1.06389, 1.06647, 1.0716, 1.10511, 1.11018, 1.12032, 1.12809, 1.13623, 1.14707, 1.16087, 1.16978, 1.18158, 1.1903, 1.19642, 1.20254, 1.22153, 1.22388, 1.22623, 1.22857, 1.23092, 1.23322, 1.23553, 1.23783, 1.24014, 1.24326, 1.24637, 1.24949, 1.2526, 1.25431, 1.2577, 1.25941, 1.26279, 1.26959, 1.27524, 1.27978, 1.28673, 1.29144, 1.29612, 1.29847, 1.30282, 1.30861, 1.3115, 1.3144, 1.31729, 1.32018, 1.32308, 1.32597, 1.33207");
-            values ( \
-              "-0.0103778, -0.0184961, -0.0198081, -0.021201, -0.0242294, -0.0471827, -0.0503956, -0.0565417, -0.0609785, -0.0653008, -0.0704405, -0.0767927, -0.0801896, -0.0843767, -0.0872217, -0.088915, -0.0904176, -0.0940979, -0.0942546, -0.0943455, -0.0943707, -0.09433, -0.0942259, -0.0940584, -0.0938273, -0.0935327, -0.0928405, -0.0919346, -0.0908152, -0.0894822, -0.088393, -0.0858675, -0.0844312, -0.0807928, -0.0729657, -0.0658664, -0.0598373, -0.0502432, -0.0443871, -0.0390283, -0.0364993, -0.0321016, -0.0265318, -0.0242112, -0.0220525, -0.0200988, -0.0182783, -0.016591, -0.0150369, -0.0121465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.08229, 1.15889, 1.17655, 1.20468, 1.28097, 1.31826, 1.36183, 1.40007, 1.43037, 1.46846, 1.48487, 1.50098, 1.53111, 1.60534, 1.62542, 1.65861, 1.68487, 1.70587, 1.7452, 1.74531");
-            values ( \
-              "-0.00289779, -0.0102725, -0.016174, -0.0277972, -0.0637901, -0.0780005, -0.0922107, -0.102157, -0.108433, -0.113892, -0.114587, -0.113945, -0.104197, -0.0427668, -0.0305976, -0.016865, -0.0103009, -0.00691149, -0.00301919, -0.00301528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.37924, 1.46608, 1.54078, 1.62555, 1.68128, 1.76477, 1.81222, 1.87287, 1.90448, 1.96808, 2.03073, 2.09336, 2.22481, 2.28263, 2.30933, 2.34717, 2.39466, 2.45797, 2.54605");
-            values ( \
-              "-0.0228088, -0.0339851, -0.0543436, -0.0747463, -0.0865173, -0.102179, -0.110026, -0.118327, -0.121724, -0.126208, -0.125441, -0.112099, -0.0497214, -0.0307695, -0.0242999, -0.0171819, -0.0109867, -0.00585397, -0.0025152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.82611, 1.96455, 2.23719, 2.43871, 2.57661, 2.75254, 2.87765, 2.94818, 3.01553, 3.14063, 3.1526, 3.19361, 3.24829, 3.54433, 3.65277, 3.78857, 3.89076, 4.01587, 4.14097, 4.23782");
-            values ( \
-              "-0.0299884, -0.030037, -0.067148, -0.0910995, -0.105326, -0.11999, -0.127628, -0.13061, -0.132414, -0.131316, -0.130728, -0.127703, -0.120282, -0.0500262, -0.0322698, -0.0178145, -0.0111727, -0.00613224, -0.00332779, -0.00213766" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00710227, 0.00711816, 0.00713592, 0.00715112, 0.00716151, 0.00716752", \
-            "0.00779916, 0.00781134, 0.00782658, 0.00784102, 0.00785173, 0.00785824", \
-            "0.0081573, 0.00816406, 0.00817384, 0.00818468, 0.00819374, 0.00819966", \
-            "0.00837785, 0.00837959, 0.0083833, 0.00838865, 0.00839429, 0.00839861", \
-            "0.00851298, 0.0085135, 0.00851443, 0.00851606, 0.00851851, 0.0085211", \
-            "0.00860728, 0.00860754, 0.00860797, 0.00860848, 0.0086092, 0.00861027" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00898929, 0.00900437, 0.00902206, 0.00903791, 0.00904958, 0.00905627", \
-            "0.00944892, 0.0094613, 0.00947574, 0.00948797, 0.00949567, 0.00950106", \
-            "0.0097556, 0.00976525, 0.00977611, 0.00978518, 0.009791, 0.00979386", \
-            "0.0100143, 0.0100149, 0.0100161, 0.0100174, 0.010018, 0.010018", \
-            "0.0104644, 0.0103938, 0.0103121, 0.0102395, 0.0101877, 0.0101558", \
-            "0.0108858, 0.0108966, 0.0107062, 0.0104947, 0.0103366, 0.0102438" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.137349, 0.17939, 0.265539, 0.445893, 0.825701, 1.62729", \
-            "0.140956, 0.183122, 0.269431, 0.449894, 0.829751, 1.63146", \
-            "0.148189, 0.19046, 0.277302, 0.457837, 0.837878, 1.63969", \
-            "0.165034, 0.207704, 0.294955, 0.47603, 0.856561, 1.65861", \
-            "0.195869, 0.243542, 0.335353, 0.519174, 0.900918, 1.70329", \
-            "0.228037, 0.288698, 0.401132, 0.60855, 1.00285, 1.80912" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0430309, 0.0653727, 0.112156, 0.211245, 0.418129, 0.855348", \
-            "0.0430372, 0.0653622, 0.112168, 0.211223, 0.418129, 0.855362", \
-            "0.0430238, 0.0653331, 0.112251, 0.211236, 0.41816, 0.855359", \
-            "0.0430592, 0.0653702, 0.112253, 0.211195, 0.418117, 0.855332", \
-            "0.0473736, 0.0689037, 0.113154, 0.21134, 0.418168, 0.855341", \
-            "0.0558708, 0.0782692, 0.123045, 0.217351, 0.418982, 0.855332" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.183461, 0.233876, 0.337345, 0.552862, 1.00545, 1.96191", \
-            "0.186738, 0.237183, 0.341113, 0.55697, 1.00981, 1.9664", \
-            "0.196214, 0.246973, 0.351077, 0.56757, 1.02064, 1.97744", \
-            "0.222071, 0.272593, 0.376739, 0.593247, 1.04672, 2.00436", \
-            "0.289774, 0.340259, 0.443527, 0.659193, 1.11259, 2.06998", \
-            "0.417469, 0.482336, 0.602721, 0.825407, 1.27686, 2.23209" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0446882, 0.0668316, 0.112597, 0.207004, 0.405419, 0.823163", \
-            "0.0446678, 0.0669587, 0.112632, 0.207049, 0.405424, 0.823138", \
-            "0.0446581, 0.0668908, 0.112525, 0.206916, 0.405417, 0.823145", \
-            "0.044533, 0.0668036, 0.112609, 0.207067, 0.405646, 0.823116", \
-            "0.045613, 0.0671962, 0.112511, 0.207208, 0.405315, 0.823142", \
-            "0.0577225, 0.0788154, 0.12022, 0.208349, 0.405201, 0.823133" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0943522, 0.0994494, 0.116883, 0.123303, 0.13123, 0.138293, 0.144936, 0.151456, 0.157969, 0.168647, 0.177964, 0.186618, 0.192486, 0.199868, 0.210561, 0.22271, 0.231882, 0.255934, 0.257262");
-            values ( \
-              "0.00919652, 0.0137325, 0.0381283, 0.0454072, 0.0527877, 0.0573002, 0.0597126, 0.0597237, 0.0555639, 0.0356452, 0.0224951, 0.0143012, 0.0103206, 0.00673886, 0.00357441, 0.0018155, 0.00108068, 0.000286581, 0.000280711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.114418, 0.129928, 0.139939, 0.154532, 0.166357, 0.176941, 0.186977, 0.196908, 0.206832, 0.22369, 0.237328, 0.250147, 0.268809, 0.285842, 0.300305, 0.312111, 0.327285");
-            values ( \
-              "0.019001, 0.0341888, 0.0482644, 0.064769, 0.0750586, 0.0807826, 0.0835949, 0.0827169, 0.0758785, 0.0496924, 0.032354, 0.0208304, 0.010311, 0.00521188, 0.00291581, 0.00188434, 0.00138134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.143535, 0.162081, 0.193927, 0.218251, 0.23806, 0.24317, 0.253389, 0.261103, 0.273103, 0.283304, 0.290184, 0.303945, 0.317231, 0.350023, 0.364347, 0.379372, 0.39597, 0.403754, 0.414525, 0.436602, 0.449715, 0.466906, 0.487506, 0.510114, 0.520367");
-            values ( \
-              "0.0108526, 0.0269085, 0.061133, 0.0823034, 0.0943907, 0.0964745, 0.100231, 0.101988, 0.10318, 0.102571, 0.100975, 0.0941345, 0.0818663, 0.0487469, 0.03731, 0.0275757, 0.0193846, 0.016474, 0.0129082, 0.00782439, 0.00585868, 0.00384017, 0.00241871, 0.00134165, 0.00116567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.205819, 0.230747, 0.246738, 0.306187, 0.351024, 0.382573, 0.409774, 0.433599, 0.453255, 0.469349, 0.485737, 0.511535, 0.538345, 0.604768, 0.625565, 0.649781, 0.682342, 0.703546, 0.722316, 0.748297, 0.777974, 0.817543, 0.887894, 0.978007");
-            values ( \
-              "0.00888032, 0.0197839, 0.0293552, 0.0702439, 0.0946409, 0.106299, 0.112767, 0.115303, 0.115525, 0.11478, 0.112394, 0.104599, 0.0907413, 0.0525785, 0.0427456, 0.0330213, 0.0228226, 0.0178008, 0.0142814, 0.0102951, 0.00709997, 0.00433936, 0.00159725, 0.00048558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.388479, 0.472447, 0.543305, 0.590571, 0.631304, 0.663837, 0.703492, 0.732802, 0.764817, 0.779223, 0.79843, 0.833227, 0.897527, 0.922555, 0.943359, 1.00219, 1.13307, 1.17532, 1.22477, 1.27556, 1.32258, 1.38528, 1.42276, 1.46487, 1.52103, 1.63334, 1.74931, 1.98125");
-            values ( \
-              "0.033144, 0.0489011, 0.0758401, 0.0910167, 0.102043, 0.108867, 0.115503, 0.119146, 0.122242, 0.123174, 0.123916, 0.124457, 0.120636, 0.116881, 0.112658, 0.0965094, 0.0564267, 0.0456421, 0.0350087, 0.0262682, 0.0199393, 0.0135889, 0.0108021, 0.00831128, 0.00580492, 0.00276734, 0.00125502, 0.000254087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.718025, 0.85681, 0.996095, 1.08403, 1.21364, 1.28533, 1.35897, 1.43916, 1.50445, 1.62042, 1.6629, 1.71357, 1.76584, 1.87038, 1.98221, 2.25046, 2.33861, 2.44197, 2.57758, 2.64154, 2.7575, 2.87666, 3.05576, 3.2877, 3.51963, 3.86754");
-            values ( \
-              "0.0349157, 0.0418697, 0.0698402, 0.0856285, 0.104988, 0.113262, 0.120082, 0.125115, 0.127848, 0.12918, 0.128636, 0.127255, 0.124443, 0.114875, 0.0993186, 0.0581984, 0.0469334, 0.03584, 0.0246038, 0.0206073, 0.0145364, 0.0101563, 0.00584644, 0.00280929, 0.00132552, 0.000521367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.10598, 0.127674, 0.137459, 0.145382, 0.152454, 0.159098, 0.165619, 0.172133, 0.175637, 0.182814, 0.186819, 0.192129, 0.197298, 0.20078, 0.206651, 0.214033, 0.220994, 0.224726, 0.230511, 0.23684, 0.245929, 0.257437, 0.26976, 0.295842, 0.318499");
-            values ( \
-              "0.00188443, 0.0337841, 0.045419, 0.0527329, 0.0573268, 0.0596669, 0.0597577, 0.0555198, 0.0495468, 0.0356366, 0.0293208, 0.0224919, 0.0172571, 0.0143016, 0.0103197, 0.00673775, 0.00444649, 0.00357528, 0.00256094, 0.00181822, 0.00108868, 0.000591502, 0.000291908, 0.000322093, 7.53491e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.128967, 0.138801, 0.154305, 0.16889, 0.180709, 0.191291, 0.201326, 0.211256, 0.221178, 0.238033, 0.251672, 0.264481, 0.28316, 0.300185, 0.326274, 0.338051");
-            values ( \
-              "0.0235361, 0.0263739, 0.0483067, 0.0648036, 0.0750775, 0.0807977, 0.0836059, 0.0827232, 0.0758842, 0.0496978, 0.032356, 0.0208392, 0.0103086, 0.00521222, 0.00189624, 0.00150091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.160467, 0.176689, 0.208462, 0.223956, 0.232773, 0.250405, 0.256923, 0.270467, 0.283527, 0.287608, 0.295772, 0.304704, 0.320075, 0.328601, 0.35614, 0.377394, 0.394752, 0.407149, 0.417212, 0.428361, 0.442685, 0.454726, 0.474739, 0.494496, 0.515915, 0.541736, 0.543085");
-            values ( \
-              "0.0162763, 0.0269093, 0.0610638, 0.0752795, 0.0822194, 0.0932486, 0.0962885, 0.100829, 0.102942, 0.103132, 0.10279, 0.101047, 0.0929164, 0.0851024, 0.0563602, 0.0383453, 0.0271263, 0.0209126, 0.0168266, 0.0131431, 0.00954853, 0.00725551, 0.00456562, 0.00285206, 0.0017141, 0.000916944, 0.000903026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.239724, 0.270305, 0.303873, 0.321142, 0.349891, 0.365929, 0.398005, 0.411674, 0.424161, 0.435891, 0.448355, 0.468147, 0.481471, 0.497589, 0.506562, 0.518526, 0.535255, 0.610091, 0.64042, 0.652412, 0.676396, 0.698083, 0.707104, 0.725146, 0.757801, 0.772647, 0.794866, 0.82449, 0.883739, 0.970521, 1.08034");
-            values ( \
-              "0.0314643, 0.0352441, 0.0588859, 0.0702761, 0.0868376, 0.0946336, 0.106517, 0.110125, 0.112664, 0.114247, 0.115274, 0.115604, 0.114977, 0.112991, 0.111106, 0.107536, 0.100353, 0.0576734, 0.0428482, 0.037777, 0.0290425, 0.0226731, 0.0204004, 0.0164682, 0.0110197, 0.00917319, 0.00695111, 0.00477603, 0.0021606, 0.000623181, 0.000108338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.386439, 0.440038, 0.496914, 0.558058, 0.613629, 0.631018, 0.662608, 0.69968, 0.718184, 0.747278, 0.778169, 0.796681, 0.821363, 0.848046, 0.901411, 0.933063, 0.975267, 0.992479, 1.13316, 1.18996, 1.24675, 1.29256, 1.33287, 1.3866, 1.43328, 1.45405, 1.4956, 1.5787, 1.69467, 1.81064, 1.92661");
-            values ( \
-              "0.0283307, 0.0305152, 0.0528474, 0.0757788, 0.093454, 0.0982572, 0.105643, 0.112557, 0.115382, 0.119193, 0.12203, 0.123218, 0.124225, 0.124349, 0.121803, 0.117615, 0.10845, 0.103748, 0.0604881, 0.0456329, 0.0336007, 0.0259393, 0.0204897, 0.0147568, 0.011112, 0.00972083, 0.0075352, 0.00436649, 0.00204688, 0.000898837, 0.000446985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.730368, 0.90661, 1.02171, 1.10273, 1.20334, 1.28695, 1.33958, 1.38538, 1.45565, 1.51948, 1.63545, 1.67792, 1.72859, 1.78086, 1.8854, 1.92283, 1.99723, 2.16799, 2.26548, 2.33641, 2.38808, 2.45698, 2.56061, 2.65656, 2.77253, 2.86012, 2.9548, 3.07077, 3.18674, 3.30271, 3.41868, 3.65061, 3.88255");
-            values ( \
-              "0.0294076, 0.0489938, 0.0718302, 0.0862056, 0.101515, 0.111865, 0.117156, 0.120861, 0.125272, 0.127774, 0.12926, 0.128713, 0.127182, 0.124518, 0.114803, 0.110037, 0.0992477, 0.0724672, 0.0582632, 0.0490824, 0.0430567, 0.0359029, 0.0269949, 0.0205463, 0.0145969, 0.0112372, 0.00844245, 0.00590573, 0.00412361, 0.00286821, 0.00199637, 0.000962529, 0.000463263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.140222, 0.160562, 0.170335, 0.178253, 0.185322, 0.191964, 0.198484, 0.204996, 0.21566, 0.224987, 0.233652, 0.246892, 0.25759, 0.278478, 0.288355");
-            values ( \
-              "0.00467654, 0.033852, 0.045465, 0.0527532, 0.057353, 0.0596771, 0.0597722, 0.055524, 0.0356677, 0.0224983, 0.0142945, 0.00673908, 0.0035715, 0.00110529, 0.000767627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.156715, 0.187258, 0.201797, 0.21237, 0.220263, 0.231962, 0.23867, 0.24408, 0.254019, 0.275527, 0.287756, 0.297512, 0.314193, 0.330574, 0.351542, 0.374127");
-            values ( \
-              "0.00615276, 0.0481989, 0.0648606, 0.0740236, 0.0789784, 0.0831251, 0.0836035, 0.0826778, 0.0758195, 0.0431868, 0.0291252, 0.0207163, 0.0110807, 0.00574429, 0.00248325, 0.00135219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.198261, 0.214961, 0.241726, 0.266165, 0.285999, 0.303911, 0.321059, 0.33821, 0.355323, 0.36679, 0.38506, 0.395373, 0.40873, 0.419743, 0.428219, 0.440522, 0.450866, 0.461945, 0.477077, 0.484557, 0.49444, 0.507314, 0.526753, 0.548072, 0.573348, 0.603649, 0.645516");
-            values ( \
-              "0.0276454, 0.0321349, 0.061153, 0.082187, 0.0945393, 0.100838, 0.103358, 0.101064, 0.0916782, 0.0802755, 0.0609307, 0.0513101, 0.0399764, 0.0322925, 0.0271822, 0.0209136, 0.0167265, 0.0131442, 0.00931622, 0.00786047, 0.00626409, 0.0046749, 0.00291756, 0.00178263, 0.000943019, 0.000485434, 0.000153356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.277753, 0.321067, 0.354534, 0.383152, 0.399333, 0.431696, 0.44452, 0.469301, 0.481659, 0.498647, 0.519014, 0.534022, 0.561187, 0.583949, 0.630737, 0.673562, 0.692452, 0.717639, 0.74838, 0.768031, 0.806426, 0.828624, 0.87302, 0.943724, 1.03209");
-            values ( \
-              "0.0327498, 0.0475269, 0.0702269, 0.0867856, 0.0945952, 0.106627, 0.110019, 0.114273, 0.115242, 0.115631, 0.114598, 0.11245, 0.103974, 0.0922438, 0.0647561, 0.0429267, 0.0351662, 0.0266073, 0.01861, 0.0146821, 0.00914665, 0.00693729, 0.00389614, 0.0014856, 0.000407346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.417646, 0.473737, 0.566118, 0.591732, 0.647241, 0.679636, 0.696074, 0.733144, 0.751843, 0.780556, 0.811062, 0.831896, 0.856964, 0.881681, 0.931113, 0.945746, 0.97501, 1.01452, 1.06657, 1.15028, 1.22352, 1.26057, 1.30292, 1.33901, 1.3793, 1.43301, 1.47231, 1.5121, 1.56514, 1.67124, 1.78721, 1.90317, 2.01914");
-            values ( \
-              "0.0256914, 0.0305037, 0.0665038, 0.0757559, 0.0934838, 0.101945, 0.105575, 0.112497, 0.115419, 0.119122, 0.122006, 0.123331, 0.124242, 0.124379, 0.12213, 0.120582, 0.116102, 0.106976, 0.0914861, 0.0653431, 0.0456802, 0.0375111, 0.029623, 0.024067, 0.0189734, 0.0136811, 0.010735, 0.00838, 0.0059873, 0.00297367, 0.00136264, 0.00062311, 0.000283101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.774084, 0.940711, 1.0548, 1.13621, 1.23431, 1.3195, 1.41898, 1.48926, 1.55307, 1.66904, 1.71152, 1.76219, 1.81446, 1.919, 2.03083, 2.29908, 2.38723, 2.49057, 2.59421, 2.69015, 2.80612, 2.89371, 2.9884, 3.10437, 3.22034, 3.3363, 3.45227, 3.68421, 3.91615");
-            values ( \
-              "0.0341207, 0.0490851, 0.0717235, 0.0861769, 0.101165, 0.111766, 0.120875, 0.125261, 0.127788, 0.129248, 0.128701, 0.127195, 0.124506, 0.114816, 0.0992602, 0.058251, 0.0469846, 0.0358909, 0.027007, 0.0205583, 0.0145849, 0.0112491, 0.0084543, 0.00589391, 0.00413542, 0.00285642, 0.00200814, 0.000974271, 0.000474974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.221489, 0.228201, 0.238741, 0.24442, 0.248554, 0.256489, 0.263562, 0.270209, 0.276732, 0.283248, 0.286745, 0.293959, 0.297954, 0.303254, 0.308398, 0.311891, 0.317784, 0.320412, 0.32519, 0.332166, 0.335886, 0.341599, 0.346867, 0.356499, 0.368471, 0.380526, 0.405643, 0.428039");
-            values ( \
-              "0.0165679, 0.0180977, 0.0335926, 0.0407593, 0.0453216, 0.0527221, 0.0572682, 0.0596696, 0.0597157, 0.0555329, 0.0495505, 0.0355819, 0.0292897, 0.0224749, 0.0172622, 0.0142959, 0.0103015, 0.00886824, 0.00671576, 0.00444151, 0.00354869, 0.00255739, 0.00195478, 0.0011091, 0.00060188, 0.000289991, 0.000335718, 6.96771e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.240983, 0.255863, 0.265858, 0.280452, 0.292275, 0.302858, 0.312894, 0.322825, 0.332748, 0.349605, 0.363247, 0.376068, 0.394726, 0.411775, 0.426263, 0.437418, 0.45276");
-            values ( \
-              "0.0213042, 0.0341913, 0.0482857, 0.0647627, 0.0750864, 0.0807664, 0.083621, 0.0826958, 0.0758993, 0.0496901, 0.03237, 0.0208283, 0.0103057, 0.00520702, 0.00291332, 0.00193857, 0.00140471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.2766, 0.295929, 0.320808, 0.34527, 0.365078, 0.382991, 0.400138, 0.417289, 0.434402, 0.445845, 0.464287, 0.474542, 0.487844, 0.498721, 0.50708, 0.519544, 0.530052, 0.541092, 0.556354, 0.563636, 0.573262, 0.585955, 0.605287, 0.626483, 0.651597, 0.681629, 0.723011");
-            values ( \
-              "0.0239331, 0.0341826, 0.0611415, 0.082226, 0.0945257, 0.100855, 0.103345, 0.101078, 0.0916646, 0.0803, 0.0607802, 0.0512334, 0.0399472, 0.0323646, 0.0273012, 0.0209449, 0.0166937, 0.0131198, 0.00927936, 0.00786548, 0.00630623, 0.00471656, 0.00296101, 0.00180509, 0.000967837, 0.000492112, 0.0001656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.354921, 0.403025, 0.43407, 0.478894, 0.506433, 0.532927, 0.548831, 0.563292, 0.578596, 0.596024, 0.613557, 0.639425, 0.666028, 0.732817, 0.753386, 0.777313, 0.803491, 0.831696, 0.850362, 0.886015, 0.905585, 0.944726, 1.01284, 1.04774");
-            values ( \
-              "0.0279349, 0.0492834, 0.0703622, 0.0947245, 0.105057, 0.11182, 0.114337, 0.115303, 0.115677, 0.114794, 0.112487, 0.10448, 0.0907342, 0.0525365, 0.0428137, 0.0331923, 0.0247302, 0.0177764, 0.0141969, 0.0091481, 0.00716271, 0.00433261, 0.00171431, 0.00122239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.507351, 0.56635, 0.61083, 0.671904, 0.714988, 0.741016, 0.776629, 0.792949, 0.832036, 0.85279, 0.876145, 0.898069, 0.930472, 0.961755, 1.01819, 1.04121, 1.06655, 1.09474, 1.14804, 1.22947, 1.28222, 1.30364, 1.35271, 1.40461, 1.45003, 1.5106, 1.55028, 1.59453, 1.65352, 1.76949, 1.88546, 2.00143, 2.1174");
-            values ( \
-              "0.0319737, 0.0352498, 0.0529069, 0.0757979, 0.0898698, 0.0972584, 0.105692, 0.108925, 0.115452, 0.118212, 0.120743, 0.122505, 0.124097, 0.12439, 0.121508, 0.118532, 0.113794, 0.106981, 0.0910558, 0.065655, 0.0509467, 0.045681, 0.0351525, 0.0261556, 0.0200385, 0.0138902, 0.0108453, 0.00823076, 0.00568135, 0.0026032, 0.00121198, 0.000533915, 0.000262423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.860565, 1.02027, 1.11549, 1.21568, 1.31213, 1.40889, 1.49925, 1.56944, 1.63335, 1.74931, 1.7918, 1.84246, 1.89473, 1.99927, 2.1111, 2.37935, 2.45028, 2.57086, 2.67449, 2.77043, 2.8864, 2.97399, 3.06868, 3.18465, 3.30061, 3.53255, 3.76449, 3.99643");
-            values ( \
-              "0.0374326, 0.0489022, 0.0679734, 0.086013, 0.100853, 0.112741, 0.120867, 0.125257, 0.12778, 0.129251, 0.128705, 0.127188, 0.124511, 0.114809, 0.0992525, 0.0582605, 0.0490854, 0.0359002, 0.0269971, 0.0205486, 0.0145948, 0.0112393, 0.00844449, 0.00590364, 0.00412565, 0.00199842, 0.000964597, 0.000465345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.385754, 0.387026, 0.393058, 0.396891, 0.402714, 0.412897, 0.421378, 0.433388, 0.441387, 0.44876, 0.455902, 0.463032, 0.467178, 0.478827, 0.486642, 0.491668, 0.497074, 0.502031, 0.509104, 0.517191, 0.520524, 0.532851, 0.544485, 0.556275, 0.562033");
-            values ( \
-              "0.0044792, 0.00482952, 0.00798923, 0.010898, 0.0165918, 0.0288183, 0.0367002, 0.0461946, 0.0512363, 0.054074, 0.0550399, 0.0520595, 0.0463632, 0.0275008, 0.0188822, 0.014587, 0.0109104, 0.00829446, 0.00547836, 0.00347701, 0.00291599, 0.00153255, 0.000858397, 0.00045809, 0.00042032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.418886, 0.435192, 0.440192, 0.44534, 0.456738, 0.461609, 0.462115, 0.46414, 0.466839, 0.471913, 0.47463, 0.479192, 0.48203, 0.485983, 0.488645, 0.491307, 0.493969, 0.496631, 0.498955, 0.501278, 0.503601, 0.505924, 0.507024, 0.508673, 0.510257, 0.51129, 0.512324, 0.513358, 0.514465, 0.51668, 0.517788, 0.519169, 0.523314, 0.534688, 0.536676, 0.540737, 0.545588, 0.550761, 0.554112, 0.558378, 0.559799, 0.561685, 0.565457, 0.568514, 0.570854, 0.574365, 0.579046, 0.583727, 0.589405, 0.595079");
-            values ( \
-              "0.0265115, 0.0300325, 0.0359362, 0.0417935, 0.0536189, 0.0585453, 0.0589137, 0.0608092, 0.0631949, 0.0673455, 0.0693905, 0.0724797, 0.0742564, 0.076545, 0.0776575, 0.0785369, 0.079183, 0.0795961, 0.0796198, 0.0795635, 0.079427, 0.0792104, 0.07908, 0.0786199, 0.0779857, 0.07747, 0.076874, 0.0761976, 0.0753544, 0.0733864, 0.0722616, 0.0706099, 0.0652472, 0.0483838, 0.0456459, 0.0405478, 0.0349934, 0.0294939, 0.0262319, 0.0227305, 0.0216409, 0.0203141, 0.0177478, 0.0157538, 0.0143385, 0.0124873, 0.0104944, 0.00875775, 0.0070256, 0.00548951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.455846, 0.471899, 0.520477, 0.532605, 0.545636, 0.55279, 0.567096, 0.57866, 0.588232, 0.605412, 0.613813, 0.62228, 0.626344, 0.652294, 0.662681, 0.679726, 0.695734, 0.707971, 0.71822, 0.729312, 0.745073, 0.755, 0.773374, 0.79308, 0.815181, 0.84111, 0.872552, 0.915856");
-            values ( \
-              "0.0214195, 0.0222039, 0.0699957, 0.0800394, 0.0889034, 0.0926583, 0.0987499, 0.10152, 0.102314, 0.10074, 0.0971607, 0.0913192, 0.0876803, 0.0609901, 0.0512249, 0.0373654, 0.0270892, 0.020922, 0.0167843, 0.0131488, 0.00921575, 0.00734639, 0.00479715, 0.00301104, 0.00176702, 0.000953812, 0.00044378, 0.000163255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.544182, 0.586355, 0.62477, 0.653581, 0.669683, 0.701887, 0.715323, 0.739722, 0.751991, 0.769487, 0.787044, 0.804475, 0.831082, 0.85551, 0.900423, 0.943979, 0.964047, 0.990803, 1.00688, 1.03364, 1.06393, 1.09441, 1.11801, 1.1652, 1.24171, 1.34224");
-            values ( \
-              "0.0285764, 0.0436976, 0.0699494, 0.0866489, 0.094435, 0.10646, 0.11002, 0.114198, 0.115173, 0.115578, 0.114785, 0.112429, 0.104198, 0.0916011, 0.065187, 0.042942, 0.0347315, 0.0257938, 0.0213923, 0.015564, 0.0107413, 0.00735539, 0.0054519, 0.00295094, 0.00100916, 0.000226672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.687994, 0.745555, 0.863683, 0.894997, 0.919762, 0.951618, 0.967724, 1.00492, 1.02383, 1.0523, 1.08255, 1.10492, 1.12947, 1.15367, 1.20207, 1.21774, 1.24907, 1.28652, 1.33867, 1.42271, 1.4798, 1.49551, 1.53177, 1.5732, 1.61093, 1.65311, 1.70934, 1.74563, 1.78253, 1.83173, 1.93014, 2.04611, 2.16208, 2.27805");
-            values ( \
-              "0.0243429, 0.0304177, 0.0757179, 0.086181, 0.0936245, 0.101934, 0.105484, 0.112444, 0.115414, 0.11908, 0.121966, 0.123383, 0.124242, 0.12438, 0.122209, 0.120583, 0.115703, 0.106971, 0.0914486, 0.065214, 0.0494942, 0.0456766, 0.0376751, 0.0299102, 0.0240761, 0.0187666, 0.0133186, 0.0106437, 0.00845958, 0.00619899, 0.00325124, 0.00149316, 0.000680792, 0.000311565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.03398, 1.17893, 1.29796, 1.41104, 1.4994, 1.53652, 1.59853, 1.64791, 1.69185, 1.76206, 1.82594, 1.94191, 1.98439, 2.03506, 2.08732, 2.19186, 2.3037, 2.54664, 2.63843, 2.70331, 2.77557, 2.87087, 2.95591, 3.06929, 3.16293, 3.26526, 3.38123, 3.4972, 3.61317, 3.72914, 3.96108, 4.19301");
-            values ( \
-              "0.0319285, 0.041937, 0.0659986, 0.0865009, 0.100072, 0.105128, 0.112426, 0.117313, 0.120867, 0.12526, 0.12778, 0.129251, 0.128706, 0.127187, 0.124513, 0.114807, 0.099251, 0.0618035, 0.0496206, 0.0420855, 0.0347541, 0.0267109, 0.0209743, 0.0150353, 0.0113595, 0.00833759, 0.00583964, 0.00406917, 0.00283805, 0.00196746, 0.000946217, 0.000453104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.765922, 0.782374, 0.786631, 0.793373, 0.797701, 0.802144, 0.806587, 0.81213, 0.817674, 0.821648, 0.824093, 0.826543, 0.828993, 0.83226, 0.835016, 0.83721, 0.838282, 0.840425, 0.843516, 0.846329, 0.848112, 0.849895, 0.851678, 0.852958, 0.854239, 0.85552, 0.8568, 0.858081, 0.859362, 0.860642, 0.863401, 0.866161, 0.867928, 0.869696, 0.871464, 0.874031, 0.876425, 0.878912, 0.882227, 0.885543, 0.8872, 0.888858, 0.892965, 0.895391, 0.897817, 0.900243, 0.901997, 0.905503, 0.907257, 0.912167");
-            values ( \
-              "0.0132154, 0.0138005, 0.0170764, 0.0225647, 0.0257648, 0.0286766, 0.0314868, 0.0348509, 0.0380569, 0.0402008, 0.0414478, 0.0425504, 0.0435273, 0.0446613, 0.0454762, 0.0460227, 0.0462487, 0.0466235, 0.0464894, 0.0462729, 0.0460892, 0.0458693, 0.0456133, 0.0451299, 0.0442207, 0.0431779, 0.0420016, 0.0406918, 0.0392485, 0.0376716, 0.0336966, 0.0298981, 0.0277624, 0.0257629, 0.0238997, 0.0214374, 0.0193983, 0.0171252, 0.0146587, 0.012481, 0.0114987, 0.0105874, 0.00856454, 0.0074805, 0.00659562, 0.00579941, 0.00528928, 0.00438781, 0.00399649, 0.00308354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.77434, 0.800295, 0.808849, 0.821131, 0.836151, 0.855928, 0.864712, 0.871135, 0.884469, 0.896747, 0.905611, 0.908527, 0.914333, 0.920355, 0.932382, 0.948899, 0.961795, 0.969403, 0.97507, 0.981623, 0.988224, 0.997714, 1.01026, 1.01962, 1.02865, 1.04007, 1.05373");
-            values ( \
-              "0.00115794, 0.00834395, 0.0125895, 0.0210228, 0.0339884, 0.0492397, 0.0551064, 0.0587586, 0.0650319, 0.0693477, 0.0708447, 0.0709181, 0.0701258, 0.0671339, 0.0539246, 0.0342722, 0.0229682, 0.0177254, 0.014529, 0.0114591, 0.00897354, 0.00625855, 0.00392317, 0.00286785, 0.00213642, 0.00146674, 0.000987494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.853041, 0.883507, 0.912171, 0.927214, 0.956611, 0.969909, 0.982765, 0.990165, 1.00496, 1.00912, 1.01743, 1.02764, 1.0369, 1.0469, 1.0612, 1.08322, 1.10459, 1.1213, 1.13342, 1.14361, 1.15485, 1.17077, 1.18079, 1.19932, 1.21591, 1.22917, 1.26058, 1.26396");
-            values ( \
-              "0.0129089, 0.02287, 0.0451315, 0.0560228, 0.074977, 0.0821254, 0.0876906, 0.0902134, 0.093708, 0.094241, 0.0948595, 0.0941827, 0.0917008, 0.0861214, 0.0738616, 0.0534386, 0.0366916, 0.0264939, 0.0206487, 0.0166594, 0.0130648, 0.00914737, 0.00730391, 0.00477377, 0.0032385, 0.00238581, 0.00117548, 0.00111053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.946164, 0.988828, 1.00509, 1.07771, 1.10926, 1.12542, 1.1483, 1.16123, 1.17259, 1.18312, 1.19766, 1.2073, 1.21574, 1.23306, 1.24784, 1.26019, 1.27191, 1.28472, 1.31034, 1.35463, 1.3903, 1.42279, 1.44802, 1.47563, 1.50807, 1.51918, 1.54478, 1.57403, 1.63254, 1.72078, 1.82802");
-            values ( \
-              "0.0137811, 0.0210512, 0.0297823, 0.0723322, 0.0879739, 0.0946984, 0.102504, 0.105951, 0.108354, 0.110037, 0.111599, 0.112161, 0.112393, 0.112142, 0.110751, 0.10833, 0.104742, 0.0993895, 0.0856544, 0.0603112, 0.0427423, 0.0301921, 0.0226657, 0.0163654, 0.0109953, 0.00958853, 0.00697348, 0.00480893, 0.00220885, 0.000615401, 0.000120036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.133, 1.1981, 1.28071, 1.31946, 1.36328, 1.40818, 1.44957, 1.48072, 1.50914, 1.54704, 1.56467, 1.59994, 1.61072, 1.63228, 1.67487, 1.73941, 1.77202, 1.86375, 1.92361, 1.95258, 1.98514, 2.02855, 2.06816, 2.11417, 2.17551, 2.21588, 2.2776, 2.35989, 2.47585, 2.59182, 2.70779");
-            values ( \
-              "0.0200604, 0.0283988, 0.060442, 0.074719, 0.0891423, 0.101385, 0.109948, 0.115088, 0.118813, 0.122295, 0.123324, 0.124275, 0.124244, 0.123742, 0.120517, 0.108234, 0.0988599, 0.07013, 0.0529652, 0.0457322, 0.0384838, 0.0302724, 0.0241089, 0.0183674, 0.0125918, 0.00980886, 0.00665628, 0.00392394, 0.00178968, 0.000831986, 0.000365694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.51377, 1.67351, 1.78933, 1.86883, 1.96472, 2.07313, 2.14143, 2.2198, 2.28666, 2.40262, 2.44511, 2.49577, 2.54804, 2.65258, 2.76442, 3.03266, 3.12082, 3.22417, 3.3278, 3.42374, 3.53971, 3.6273, 3.72199, 3.83796, 3.95393, 4.0699, 4.18587, 4.41781, 4.64974");
-            values ( \
-              "0.0373776, 0.0489048, 0.0718827, 0.0860011, 0.100733, 0.11389, 0.120047, 0.125081, 0.127798, 0.129227, 0.128682, 0.127207, 0.124489, 0.114829, 0.0992726, 0.0582418, 0.0469761, 0.0358825, 0.0270144, 0.0205653, 0.0145783, 0.0112558, 0.00846026, 0.0058879, 0.00414123, 0.00285063, 0.00201379, 0.000979761, 0.000480302" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00770057, 0.00772988, 0.00776211, 0.00778916, 0.00780719, 0.00781744", \
-            "0.0088231, 0.00885497, 0.00889254, 0.00892655, 0.00895043, 0.00896442", \
-            "0.00943118, 0.00945685, 0.00948974, 0.00952243, 0.00954737, 0.00956256", \
-            "0.00978716, 0.0098016, 0.00982293, 0.00984699, 0.00986675, 0.00988087", \
-            "0.0100421, 0.0100379, 0.0100362, 0.0100396, 0.0100468, 0.0100537", \
-            "0.010262, 0.0102377, 0.0102052, 0.010172, 0.0101482, 0.0101362" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00971795, 0.00974409, 0.00976968, 0.00978777, 0.00979809, 0.00980337", \
-            "0.00976546, 0.00977517, 0.00977809, 0.00978083, 0.00977625, 0.00977197", \
-            "0.00966557, 0.00962591, 0.00958013, 0.0095364, 0.0095065, 0.00948901", \
-            "0.00973309, 0.00962614, 0.00950157, 0.00939348, 0.00931945, 0.00927263", \
-            "0.0101843, 0.0099539, 0.00968579, 0.00944212, 0.00927007, 0.00917891", \
-            "0.00954266, 0.00961961, 0.00990519, 0.00980495, 0.00946814, 0.0092481" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.129866, 0.139378, 0.147097, 0.162028, 0.175907, 0.191104, 0.197912, 0.204553, 0.211988, 0.224441, 0.229347, 0.235875, 0.24381, 0.250802, 0.258298, 0.267986, 0.268333");
-            values ( \
-              "-0.00729158, -0.0116523, -0.0187296, -0.034982, -0.0462807, -0.055849, -0.0582944, -0.059011, -0.0525332, -0.0245035, -0.0159458, -0.00844265, -0.00370408, -0.00169947, -0.000721315, -0.000220073, -0.000219284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.150164, 0.165532, 0.170429, 0.188266, 0.218841, 0.230652, 0.24145, 0.251651, 0.261658, 0.272135, 0.273101, 0.288141, 0.297422, 0.302807, 0.309408, 0.314138, 0.317372, 0.32384, 0.336389, 0.349422, 0.361965, 0.406481");
-            values ( \
-              "-0.00328136, -0.0182146, -0.0219764, -0.0413089, -0.0663804, -0.0734395, -0.0793888, -0.0822753, -0.0828539, -0.0742257, -0.0728219, -0.041171, -0.0250697, -0.0182315, -0.0120198, -0.00886596, -0.00716533, -0.00463615, -0.001895, -0.000778398, -0.000391864, -0.0001213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.193194, 0.202646, 0.214126, 0.226413, 0.256302, 0.284798, 0.307434, 0.327169, 0.345284, 0.362607, 0.375282, 0.388107, 0.397427, 0.434721, 0.449476, 0.465336, 0.477545, 0.491497, 0.500301");
-            values ( \
-              "-0.0102741, -0.0139302, -0.0195407, -0.0276777, -0.0519771, -0.070925, -0.0840895, -0.0931461, -0.099653, -0.102965, -0.103289, -0.0994401, -0.0915706, -0.0379341, -0.0234367, -0.0133676, -0.0084824, -0.00501308, -0.00389768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.266537, 0.330593, 0.386379, 0.417752, 0.466949, 0.490588, 0.516073, 0.543902, 0.560556, 0.577006, 0.592256, 0.619641, 0.630962, 0.642937, 0.656172, 0.70028, 0.725147, 0.738765, 0.755277, 0.777292, 0.792463, 0.823142, 0.864047, 0.921284, 0.985168");
-            values ( \
-              "-0.00030162, -0.0266238, -0.054735, -0.0691409, -0.0887321, -0.0966194, -0.104019, -0.111162, -0.11463, -0.117511, -0.119169, -0.119293, -0.117321, -0.113044, -0.104989, -0.0633515, -0.0431805, -0.034369, -0.0257072, -0.0171237, -0.0128085, -0.00701462, -0.00299783, -0.000783795, -0.000216993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.493936, 0.560371, 0.705359, 0.778188, 0.858277, 0.91019, 0.947641, 1.01271, 1.07474, 1.13595, 1.19711, 1.28186, 1.32682, 1.36675, 1.41995, 1.45067, 1.49089, 1.54451, 1.6419, 1.76051");
-            values ( \
-              "-0.0266262, -0.0275457, -0.0674698, -0.0846252, -0.101604, -0.111106, -0.116993, -0.12505, -0.129387, -0.128305, -0.114133, -0.0705512, -0.0503814, -0.0361888, -0.0225626, -0.0168964, -0.0115289, -0.00684216, -0.00235098, -0.000646576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.840393, 1.0296, 1.40881, 1.52763, 1.69206, 1.83579, 1.96815, 2.09116, 2.13782, 2.17802, 2.21832, 2.28093, 2.35094, 2.53219, 2.64005, 2.75522, 2.83968, 2.97263, 3.07928, 3.20229, 3.3253, 3.57131");
-            values ( \
-              "-0.00956599, -0.0257804, -0.0784231, -0.0928691, -0.110185, -0.122263, -0.130354, -0.134538, -0.134923, -0.134509, -0.133137, -0.128122, -0.115998, -0.0690312, -0.0460054, -0.0285239, -0.0196011, -0.0106709, -0.00642136, -0.00350104, -0.00191477, -0.000565715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.143451, 0.153417, 0.161117, 0.175776, 0.189903, 0.20509, 0.211895, 0.218534, 0.225965, 0.24332, 0.249847, 0.257782, 0.26167");
-            values ( \
-              "-0.00730694, -0.0115552, -0.0186711, -0.0348492, -0.0463634, -0.0559208, -0.0582736, -0.0590737, -0.0525539, -0.0159501, -0.00844448, -0.00370403, -0.00258943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.162172, 0.172308, 0.177799, 0.179182, 0.181948, 0.187379, 0.190492, 0.196718, 0.2026, 0.213612, 0.219746, 0.232012, 0.233334, 0.235977, 0.240837, 0.245161, 0.253808, 0.255981, 0.260325, 0.266196, 0.27621, 0.28622, 0.287665, 0.289591, 0.293444, 0.299083, 0.302726, 0.307583, 0.311112, 0.312005, 0.313793, 0.317368, 0.323988, 0.326298, 0.328735, 0.331984, 0.338484, 0.343375");
-            values ( \
-              "-0.0088453, -0.0127774, -0.0157277, -0.0172618, -0.019091, -0.0241378, -0.0273381, -0.0345111, -0.0404082, -0.0504568, -0.0554675, -0.0649733, -0.0658171, -0.0677148, -0.0708261, -0.0735052, -0.0779801, -0.0789956, -0.0806453, -0.0823583, -0.0825214, -0.0747777, -0.0727386, -0.0693027, -0.0613099, -0.0487776, -0.0411402, -0.0320377, -0.0263495, -0.0250511, -0.0225842, -0.018247, -0.0120232, -0.0103706, -0.0088561, -0.00715293, -0.00461634, -0.00355356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.207646, 0.232299, 0.24364, 0.283733, 0.321564, 0.341318, 0.359438, 0.376764, 0.38946, 0.393747, 0.402267, 0.411586, 0.439745, 0.448916, 0.463596, 0.479469, 0.491832, 0.505962, 0.531961");
-            values ( \
-              "-0.00974316, -0.0222596, -0.0300729, -0.0611958, -0.0840257, -0.0931265, -0.0996227, -0.102958, -0.103273, -0.102378, -0.099433, -0.0915699, -0.0496123, -0.0378848, -0.0234542, -0.0133661, -0.00846127, -0.00493382, -0.00173617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.288383, 0.352259, 0.401068, 0.432597, 0.463903, 0.494017, 0.505405, 0.530983, 0.558619, 0.575392, 0.591846, 0.607095, 0.634353, 0.646061, 0.657912, 0.671014, 0.715122, 0.739978, 0.753606, 0.77013, 0.792162, 0.807291, 0.81749, 0.837888, 0.878683, 0.90253");
-            values ( \
-              "-0.00406633, -0.0296193, -0.0546639, -0.0691253, -0.0820291, -0.0928064, -0.0965762, -0.104005, -0.111104, -0.114622, -0.117487, -0.119167, -0.119288, -0.117239, -0.11299, -0.104973, -0.0633653, -0.0432029, -0.034385, -0.0257177, -0.0170987, -0.0128274, -0.0105487, -0.00704386, -0.00299564, -0.00208256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.516598, 0.605381, 0.743202, 0.831636, 0.924625, 0.962176, 1.02725, 1.08927, 1.15048, 1.21165, 1.34211, 1.3984, 1.46263, 1.51019, 1.57361, 1.66539");
-            values ( \
-              "-0.0266481, -0.0356666, -0.0733076, -0.0931803, -0.11113, -0.116947, -0.125094, -0.129347, -0.128349, -0.114095, -0.050051, -0.031144, -0.0173308, -0.011024, -0.00586113, -0.00230275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.852758, 1.04638, 1.2825, 1.44583, 1.54451, 1.70859, 1.85253, 1.8944, 1.98486, 2.10787, 2.1548, 2.19488, 2.23505, 2.29766, 2.36766, 2.54891, 2.65677, 2.70858, 2.77193, 2.85638, 2.90935, 2.98935, 3.096, 3.21901, 3.34202, 3.46503, 3.58803");
-            values ( \
-              "-0.00875774, -0.0257828, -0.0595204, -0.0810077, -0.0929046, -0.110186, -0.122284, -0.125153, -0.130374, -0.134527, -0.134928, -0.134511, -0.133142, -0.128126, -0.116001, -0.0690326, -0.0460058, -0.0372788, -0.0285245, -0.0196018, -0.0154318, -0.0106709, -0.00642096, -0.00350087, -0.00191458, -0.0010232, -0.000565615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.178919, 0.188416, 0.196112, 0.209757, 0.224888, 0.240067, 0.246871, 0.253508, 0.260939, 0.278295, 0.28482, 0.29275, 0.297797");
-            values ( \
-              "-0.00735102, -0.0117176, -0.0187627, -0.0339158, -0.04634, -0.0558941, -0.0583203, -0.0590473, -0.052557, -0.0159473, -0.00844369, -0.00370474, -0.0022573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.200845, 0.214592, 0.221819, 0.246282, 0.268216, 0.280028, 0.290834, 0.301042, 0.311052, 0.322498, 0.337542, 0.346823, 0.352204, 0.358809, 0.363542, 0.373254, 0.385821, 0.398873, 0.411403, 0.455941");
-            values ( \
-              "-0.00962329, -0.017552, -0.0238173, -0.0487793, -0.0661103, -0.07353, -0.0791837, -0.0823763, -0.0826942, -0.0727963, -0.041167, -0.0250645, -0.0182338, -0.0120208, -0.00886348, -0.00463168, -0.00189118, -0.00077548, -0.000392005, -0.000120752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.255527, 0.275315, 0.281551, 0.295875, 0.298458, 0.303623, 0.310773, 0.319907, 0.324474, 0.332076, 0.344747, 0.355459, 0.360634, 0.365776, 0.369768, 0.37691, 0.387622, 0.395062, 0.400866, 0.405181, 0.407562, 0.412324, 0.414465, 0.416607, 0.418749, 0.420891, 0.422992, 0.425093, 0.427194, 0.429296, 0.432272, 0.435248, 0.438225, 0.441201, 0.444185, 0.447169, 0.451807, 0.456445, 0.473857, 0.475978, 0.480221, 0.486584, 0.491188, 0.495286, 0.496105, 0.499383, 0.502661, 0.5077, 0.512739, 0.516963");
-            values ( \
-              "-0.0260871, -0.0274173, -0.0320636, -0.044185, -0.0461978, -0.0501312, -0.0553723, -0.0617251, -0.0647572, -0.0695249, -0.0770824, -0.0830885, -0.0858132, -0.0883881, -0.090293, -0.0933282, -0.0972377, -0.0997212, -0.101254, -0.102177, -0.102608, -0.103302, -0.10335, -0.103325, -0.103224, -0.10305, -0.102806, -0.102491, -0.102104, -0.101645, -0.100668, -0.0994407, -0.0979625, -0.0962336, -0.093752, -0.0908192, -0.0847427, -0.0782619, -0.0514749, -0.0484754, -0.0428845, -0.0353689, -0.0305068, -0.0263987, -0.0256187, -0.0229821, -0.020551, -0.0173374, -0.0143638, -0.0120559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.336964, 0.376894, 0.386266, 0.447583, 0.481327, 0.52351, 0.557929, 0.593055, 0.623468, 0.638125, 0.652551, 0.671787, 0.678386, 0.687184, 0.70478, 0.769642, 0.789586, 0.816141, 0.8381, 0.851479, 0.878238, 0.929559, 0.988357");
-            values ( \
-              "-0.0122412, -0.024983, -0.0288725, -0.059658, -0.0746725, -0.0908606, -0.101613, -0.110767, -0.116856, -0.118815, -0.119805, -0.119035, -0.117963, -0.115629, -0.106435, -0.0476266, -0.0342024, -0.0212761, -0.0140909, -0.0108968, -0.00638535, -0.00206903, -0.000539671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.508386, 0.603481, 0.753095, 0.82994, 0.909823, 0.962058, 0.999231, 1.0643, 1.12632, 1.18753, 1.2487, 1.33344, 1.3784, 1.41832, 1.47152, 1.50224, 1.54246, 1.59608, 1.69359, 1.81188");
-            values ( \
-              "-0.00767949, -0.0254906, -0.0664947, -0.0846678, -0.101608, -0.111168, -0.116977, -0.125069, -0.129375, -0.128326, -0.114122, -0.0705674, -0.0503699, -0.0361775, -0.0225512, -0.0169091, -0.0115411, -0.00683053, -0.00235887, -0.000636972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.997722, 1.19291, 1.47192, 1.61686, 1.74358, 1.88738, 2.01955, 2.14255, 2.19182, 2.24437, 2.26999, 2.32123, 2.40253, 2.58386, 2.69246, 2.80607, 2.88941, 2.9442, 3.02686, 3.13707, 3.26008, 3.38308, 3.6291");
-            values ( \
-              "-0.031151, -0.0413482, -0.0798774, -0.0971228, -0.110178, -0.122263, -0.130346, -0.134537, -0.134922, -0.134139, -0.133134, -0.129366, -0.116024, -0.0690346, -0.0458697, -0.0286241, -0.0197748, -0.0154414, -0.0105448, -0.00623088, -0.00339544, -0.00185664, -0.000548529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.26485, 0.28123, 0.291419, 0.311062, 0.319829, 0.327051, 0.333844, 0.340467, 0.347088, 0.3479, 0.36029, 0.36527, 0.371774, 0.379646, 0.385774, 0.393964, 0.403208, 0.478117");
-            values ( \
-              "-0.00175552, -0.0168245, -0.0288482, -0.0457208, -0.0518025, -0.0558635, -0.0584903, -0.0590052, -0.0538704, -0.0525742, -0.0246146, -0.0159099, -0.0084362, -0.00372073, -0.00189814, -0.0007314, -0.000238354, -5.19259e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.288771, 0.304538, 0.309599, 0.324529, 0.335418, 0.357631, 0.366867, 0.375568, 0.381855, 0.387862, 0.397863, 0.40786, 0.409313, 0.424346, 0.433634, 0.439045, 0.445633, 0.450351, 0.453573, 0.460016, 0.472635, 0.485688, 0.498177, 0.542787");
-            values ( \
-              "-0.00758206, -0.0200211, -0.0248131, -0.0408543, -0.0507631, -0.0678702, -0.0736796, -0.0781744, -0.0807371, -0.0824733, -0.082619, -0.074834, -0.0727821, -0.0411847, -0.0250611, -0.0181998, -0.0120138, -0.00886612, -0.00717347, -0.00464847, -0.00189138, -0.000775075, -0.000394654, -0.0001195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.323117, 0.361726, 0.392795, 0.443915, 0.463687, 0.481805, 0.499128, 0.511779, 0.524626, 0.533945, 0.562114, 0.571311, 0.58057, 0.596554, 0.603579, 0.614347, 0.628704, 0.654999, 0.683887, 0.714273");
-            values ( \
-              "-3.0917e-05, -0.0270376, -0.0521926, -0.0843061, -0.0928893, -0.0998816, -0.102737, -0.103511, -0.0996257, -0.0914162, -0.0494875, -0.0379454, -0.0279838, -0.016051, -0.0124688, -0.00837268, -0.00489539, -0.00158118, -0.000545226, -0.000132115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.42502, 0.46344, 0.476398, 0.52398, 0.556059, 0.587008, 0.601631, 0.628506, 0.664999, 0.681197, 0.698509, 0.714968, 0.730205, 0.757117, 0.769846, 0.786819, 0.794158, 0.836723, 0.857341, 0.872778, 0.88542, 0.898703, 0.917481, 0.925445, 0.941372, 0.973226, 1.02524, 1.08534");
-            values ( \
-              "-0.014193, -0.0245028, -0.0300551, -0.0545218, -0.0692439, -0.0820006, -0.0874939, -0.0965581, -0.106875, -0.11097, -0.11463, -0.11748, -0.119174, -0.119315, -0.117064, -0.109921, -0.104968, -0.064662, -0.0473886, -0.0367609, -0.0295504, -0.0232658, -0.0164103, -0.014098, -0.0103819, -0.0054363, -0.0017308, -0.000429607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.592023, 0.688553, 0.864176, 0.995741, 1.04799, 1.08606, 1.1512, 1.2132, 1.27443, 1.33561, 1.41957, 1.47424, 1.51658, 1.54092, 1.58332, 1.62977, 1.66655, 1.74011, 1.85427, 1.97728");
-            values ( \
-              "-0.00780397, -0.0248584, -0.0723962, -0.1013, -0.110896, -0.116956, -0.124973, -0.12938, -0.128248, -0.114149, -0.0708995, -0.0469014, -0.0327072, -0.0264925, -0.017801, -0.0114709, -0.00810185, -0.0037354, -0.00108584, -0.00020497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.0775, 1.26963, 1.55139, 1.6676, 1.83201, 1.97573, 2.10809, 2.23109, 2.27762, 2.31789, 2.35824, 2.42085, 2.49086, 2.6721, 2.77996, 2.89511, 2.97957, 3.11254, 3.2192, 3.3422, 3.46521, 3.71123");
-            values ( \
-              "-0.0291189, -0.0396625, -0.0787677, -0.0928708, -0.110187, -0.122265, -0.130357, -0.134552, -0.134931, -0.134518, -0.133144, -0.128129, -0.116003, -0.0690337, -0.0460064, -0.0285252, -0.019602, -0.0106706, -0.00642099, -0.0035005, -0.00191472, -0.000565813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.470629, 0.486086, 0.495924, 0.514233, 0.523108, 0.535294, 0.542671, 0.549579, 0.556299, 0.563769, 0.580516, 0.588111, 0.592784, 0.599014, 0.607111");
-            values ( \
-              "-0.00268256, -0.00869618, -0.0153835, -0.0340796, -0.041672, -0.0505402, -0.0548375, -0.0576338, -0.0583218, -0.0521903, -0.0169753, -0.00815175, -0.00503841, -0.00255479, -0.00103218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.494809, 0.506025, 0.513808, 0.527317, 0.547393, 0.570724, 0.59345, 0.603691, 0.613728, 0.625191, 0.640292, 0.649572, 0.654894, 0.666333, 0.676171, 0.68832");
-            values ( \
-              "-0.00620994, -0.00983236, -0.0136623, -0.0260674, -0.047213, -0.0658345, -0.0790435, -0.0820007, -0.0826231, -0.0726737, -0.0410458, -0.025014, -0.0182754, -0.00884216, -0.00458838, -0.00195731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.544218, 0.579723, 0.620303, 0.658016, 0.678036, 0.692488, 0.70353, 0.713431, 0.722001, 0.730401, 0.742656, 0.74827, 0.787625, 0.797229, 0.813848, 0.826416, 0.843173, 0.870611, 0.872024");
-            values ( \
-              "-0.00528083, -0.0296827, -0.0612423, -0.0840157, -0.0932449, -0.098471, -0.101369, -0.102958, -0.103414, -0.102497, -0.0969893, -0.0917088, -0.0354482, -0.0259337, -0.01448, -0.0091307, -0.00477423, -0.00150593, -0.00147415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.632483, 0.677535, 0.701353, 0.748295, 0.780576, 0.824338, 0.858717, 0.878464, 0.910181, 0.933326, 0.943619, 0.959267, 0.974692, 1.00554, 1.05611, 1.0834, 1.10909, 1.13013, 1.15169, 1.16868, 1.20268, 1.2588, 1.32077");
-            values ( \
-              "-0.00825799, -0.0247262, -0.0358526, -0.0596552, -0.0740205, -0.0908617, -0.101599, -0.107007, -0.114394, -0.118172, -0.119239, -0.119874, -0.118726, -0.106691, -0.0595411, -0.0386338, -0.0246792, -0.0166854, -0.0110687, -0.00792464, -0.003949, -0.00110047, -0.000277829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.837712, 0.904639, 1.08075, 1.16956, 1.2291, 1.29988, 1.36493, 1.42695, 1.48815, 1.5493, 1.6336, 1.67951, 1.73624, 1.80034, 1.84771, 1.91087, 2.01279, 2.13529");
-            values ( \
-              "-0.0214486, -0.0256437, -0.0732668, -0.0932263, -0.105182, -0.117018, -0.125084, -0.129405, -0.128329, -0.114143, -0.0707685, -0.0501817, -0.0310633, -0.0172922, -0.0110105, -0.00591277, -0.00188987, -0.000507614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.25889, 1.40825, 1.6331, 1.78169, 1.88257, 2.04655, 2.19049, 2.23234, 2.32282, 2.44583, 2.49273, 2.53282, 2.573, 2.63561, 2.70561, 2.88686, 2.99472, 3.04653, 3.10987, 3.19433, 3.2473, 3.32729, 3.43395, 3.55696, 3.67997, 3.80297, 3.92598");
-            values ( \
-              "-0.0246054, -0.028962, -0.0612381, -0.0807318, -0.092903, -0.110174, -0.122273, -0.125164, -0.130364, -0.13454, -0.134929, -0.134512, -0.133143, -0.128128, -0.116003, -0.069033, -0.046006, -0.0372789, -0.0285246, -0.0196022, -0.0154321, -0.0106711, -0.00642075, -0.00350101, -0.00191437, -0.00102335, -0.000565423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.937666, 0.953166, 0.955515, 0.957864, 0.959113, 0.961609, 0.962858, 0.965354, 0.967851, 0.970347, 0.972844, 0.976432, 0.98002, 0.983608, 0.988407, 0.993206, 0.996338, 1.0026, 1.00838, 1.01631, 1.02571, 1.03435, 1.03641, 1.03848, 1.04054, 1.04261, 1.04467, 1.04673, 1.04879, 1.05085, 1.05095, 1.05229, 1.05305, 1.05382, 1.05535, 1.05689, 1.05869, 1.06049, 1.06246, 1.06443, 1.06599, 1.06779, 1.07026, 1.07183, 1.07391, 1.07496, 1.076, 1.07761, 1.07922, 1.08079");
-            values ( \
-              "-0.00677489, -0.00731202, -0.00803606, -0.00882561, -0.00929695, -0.0103128, -0.0108573, -0.0120472, -0.013332, -0.0147118, -0.0161867, -0.0184726, -0.0205908, -0.0226566, -0.0253244, -0.0279254, -0.0295862, -0.0328235, -0.0357092, -0.0395167, -0.0437289, -0.0474768, -0.0474519, -0.0473538, -0.0471826, -0.0469383, -0.0466216, -0.0462321, -0.0457699, -0.0452348, -0.0451815, -0.0437375, -0.0427796, -0.0417571, -0.0394478, -0.0370201, -0.034018, -0.0308524, -0.0272331, -0.0239414, -0.0215198, -0.018837, -0.0153475, -0.0134393, -0.0113148, -0.0103473, -0.00944318, -0.00821649, -0.00705228, -0.0059767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.958478, 0.988628, 1.00029, 1.00646, 1.04622, 1.07745, 1.09021, 1.10201, 1.11338, 1.12473, 1.12569, 1.15229, 1.16152, 1.17132, 1.17828, 1.1914, 1.20331, 1.22186, 1.281");
-            values ( \
-              "-0.000679297, -0.0102686, -0.0147891, -0.0181034, -0.0438916, -0.0616366, -0.0676526, -0.0719843, -0.0734198, -0.0680091, -0.0669628, -0.0234955, -0.0139059, -0.00765368, -0.0049041, -0.00201662, -0.000938538, -0.000344025, -9.18228e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("1.01413, 1.05203, 1.06933, 1.08698, 1.1448, 1.17621, 1.19635, 1.21074, 1.22893, 1.23715, 1.24668, 1.26113, 1.26506, 1.30747, 1.32142, 1.33571, 1.34427, 1.36139, 1.37854");
-            values ( \
-              "-0.00232971, -0.0111604, -0.0179854, -0.027157, -0.0628901, -0.0796769, -0.0886169, -0.0936905, -0.0981384, -0.0991588, -0.0989931, -0.0930675, -0.0896428, -0.0324772, -0.0204715, -0.012338, -0.00902294, -0.004666, -0.00268721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.1247, 1.19136, 1.21541, 1.27095, 1.33678, 1.37572, 1.41629, 1.44944, 1.46472, 1.49596, 1.52725, 1.59222, 1.62068, 1.65257, 1.67619, 1.70769, 1.75964, 1.82034");
-            values ( \
-              "-0.00117663, -0.0211112, -0.0314336, -0.0596925, -0.0870972, -0.0997422, -0.110741, -0.117186, -0.118925, -0.118632, -0.106242, -0.0474683, -0.0293976, -0.0163712, -0.0104101, -0.00550647, -0.00174693, -0.000434443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.37834, 1.47654, 1.60272, 1.68615, 1.74825, 1.81901, 1.88404, 1.94605, 2.00724, 2.06838, 2.15312, 2.19808, 2.238, 2.29121, 2.32192, 2.36212, 2.41573, 2.51307, 2.63179");
-            values ( \
-              "-0.0269241, -0.039792, -0.0739521, -0.0927082, -0.105235, -0.117059, -0.125104, -0.129432, -0.12834, -0.114158, -0.0705579, -0.0503891, -0.0361932, -0.022563, -0.0168961, -0.0115294, -0.00684545, -0.00235149, -0.000647304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.73272, 1.90026, 2.28498, 2.39821, 2.56262, 2.70635, 2.8387, 2.96171, 3.00826, 3.04851, 3.08886, 3.15147, 3.22147, 3.40272, 3.51058, 3.62573, 3.71019, 3.84316, 3.94981, 4.07282, 4.19583, 4.44184");
-            values ( \
-              "-0.014074, -0.0258327, -0.0791376, -0.0928729, -0.11019, -0.122268, -0.13036, -0.134546, -0.13493, -0.134516, -0.133143, -0.128128, -0.116003, -0.0690332, -0.0460061, -0.0285249, -0.019602, -0.0106708, -0.00642089, -0.00350073, -0.00191457, -0.000565644" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00709848, 0.00711985, 0.00714407, 0.00716545, 0.00718033, 0.00718905", \
-            "0.00784263, 0.00786162, 0.007886, 0.00790976, 0.00792773, 0.00793882", \
-            "0.00825785, 0.00826942, 0.00828662, 0.00830616, 0.00832272, 0.00833376", \
-            "0.00852863, 0.0085313, 0.00853688, 0.00854584, 0.00855586, 0.00856387", \
-            "0.00870419, 0.0087019, 0.00869919, 0.00869764, 0.00869865, 0.00870134", \
-            "0.00881597, 0.0088114, 0.00880468, 0.00879639, 0.00878931, 0.00878523" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00918068, 0.0091894, 0.00921922, 0.00924822, 0.00927001, 0.00928304", \
-            "0.00976168, 0.00977222, 0.00978774, 0.00980479, 0.00981825, 0.00982645", \
-            "0.0101577, 0.0101459, 0.0101331, 0.010123, 0.0101168, 0.0101133", \
-            "0.0105505, 0.0105106, 0.0104608, 0.0104126, 0.0103761, 0.0103537", \
-            "0.0110121, 0.0109251, 0.0108147, 0.0107055, 0.0106191, 0.0105626", \
-            "0.0113498, 0.011384, 0.0112096, 0.0110017, 0.0108262, 0.0107082" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.15512, 0.19726, 0.284216, 0.465165, 0.845926, 1.64823", \
-            "0.158701, 0.201169, 0.288052, 0.469102, 0.849603, 1.65226", \
-            "0.167369, 0.209948, 0.297094, 0.478381, 0.859143, 1.66154", \
-            "0.188467, 0.231084, 0.318439, 0.500022, 0.881305, 1.68418", \
-            "0.235817, 0.281835, 0.370996, 0.553591, 0.934734, 1.73768", \
-            "0.291924, 0.352319, 0.465646, 0.67401, 1.06473, 1.86834" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.043223, 0.0655994, 0.112617, 0.211501, 0.418048, 0.855381", \
-            "0.043135, 0.0654034, 0.112441, 0.211281, 0.418024, 0.855117", \
-            "0.0430807, 0.0653305, 0.112332, 0.211191, 0.417811, 0.855256", \
-            "0.0429907, 0.0653216, 0.112288, 0.211179, 0.417756, 0.855098", \
-            "0.0457113, 0.0667156, 0.112835, 0.21095, 0.417818, 0.854925", \
-            "0.0550522, 0.0771861, 0.121729, 0.215477, 0.418289, 0.854969" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.191151, 0.243448, 0.350471, 0.572516, 1.03818, 2.02046", \
-            "0.195786, 0.248355, 0.355597, 0.577922, 1.04339, 2.02602", \
-            "0.207216, 0.259943, 0.367163, 0.590372, 1.05644, 2.03888", \
-            "0.233498, 0.286079, 0.393653, 0.61672, 1.08312, 2.06637", \
-            "0.295118, 0.348151, 0.456066, 0.678983, 1.14573, 2.12934", \
-            "0.407164, 0.470766, 0.594205, 0.826597, 1.29673, 2.28036" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0470723, 0.0709515, 0.118617, 0.215585, 0.416377, 0.837341", \
-            "0.0470379, 0.0707739, 0.118526, 0.215492, 0.416511, 0.837291", \
-            "0.047048, 0.0708997, 0.118571, 0.215366, 0.416213, 0.837321", \
-            "0.0469188, 0.0707931, 0.118314, 0.215512, 0.416491, 0.837282", \
-            "0.0474329, 0.0707957, 0.118107, 0.215202, 0.416372, 0.837234", \
-            "0.0529666, 0.0760968, 0.123134, 0.216918, 0.4158, 0.837238" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.116338, 0.125804, 0.131193, 0.133715, 0.136237, 0.138759, 0.14128, 0.143291, 0.145301, 0.147311, 0.149322, 0.151098, 0.152873, 0.154649, 0.156425, 0.158091, 0.159756, 0.161422, 0.163088, 0.164721, 0.166354, 0.167986, 0.169619, 0.17125, 0.172881, 0.174512, 0.176143, 0.176374, 0.176835, 0.177758, 0.179603, 0.184537, 0.186404, 0.187026, 0.188995, 0.192279, 0.194908, 0.196222, 0.199042, 0.201287, 0.203951, 0.207172, 0.210196, 0.212267, 0.213647, 0.217275, 0.220153, 0.221822, 0.225158, 0.229115");
-            values ( \
-              "0.0249643, 0.025049, 0.0329769, 0.0362957, 0.0394348, 0.0423943, 0.0451742, 0.0472617, 0.049235, 0.0510941, 0.0528391, 0.0542179, 0.0554831, 0.0566349, 0.0576731, 0.0581603, 0.0585405, 0.0588137, 0.0589799, 0.0590388, 0.0589949, 0.0588482, 0.0585986, 0.0580193, 0.0572465, 0.0562803, 0.0551207, 0.0549072, 0.0542929, 0.0527884, 0.0494389, 0.0396214, 0.0360544, 0.0349278, 0.0318306, 0.0271475, 0.0238321, 0.0223188, 0.0192829, 0.0170228, 0.0148111, 0.012422, 0.01045, 0.00924381, 0.00850211, 0.00693398, 0.00589513, 0.00536434, 0.00443433, 0.00354545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.136884, 0.153228, 0.156088, 0.159942, 0.162367, 0.167215, 0.171101, 0.174986, 0.177576, 0.182756, 0.1843, 0.187387, 0.190475, 0.194922, 0.197641, 0.201719, 0.204993, 0.20595, 0.207864, 0.208821, 0.210335, 0.211848, 0.213361, 0.214874, 0.217364, 0.219853, 0.222342, 0.22534, 0.226358, 0.228392, 0.241561, 0.243941, 0.24632, 0.248556, 0.250792, 0.253028, 0.255264, 0.260483, 0.263998, 0.267513, 0.271028, 0.274412, 0.278249, 0.279874, 0.282042, 0.283125, 0.28746, 0.291795, 0.297231, 0.301743");
-            values ( \
-              "0.0373707, 0.0408757, 0.0447855, 0.0498343, 0.0528802, 0.058671, 0.0627766, 0.066545, 0.0689088, 0.0733329, 0.0745731, 0.0766101, 0.0783342, 0.0806471, 0.0816594, 0.0827235, 0.0835016, 0.0834885, 0.0833466, 0.0832178, 0.0829353, 0.0825563, 0.0820808, 0.0815089, 0.0803187, 0.0788444, 0.0770861, 0.0745887, 0.0733693, 0.0703756, 0.0495278, 0.0461873, 0.0430046, 0.0401578, 0.0374503, 0.0348822, 0.0324534, 0.0271546, 0.0239727, 0.0212206, 0.0187085, 0.0165394, 0.0141898, 0.0132809, 0.0121775, 0.0116618, 0.00992485, 0.00839954, 0.00681776, 0.00561159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.150872, 0.174813, 0.236444, 0.256366, 0.274331, 0.291512, 0.308697, 0.325832, 0.37892, 0.399005, 0.421389, 0.448157, 0.476234, 0.511405");
-            values ( \
-              "0.0069054, 0.0203775, 0.0816523, 0.0941617, 0.100676, 0.103115, 0.100922, 0.0912553, 0.0404856, 0.0271582, 0.0168528, 0.00922533, 0.00485275, 0.00244216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.207964, 0.256336, 0.271723, 0.325511, 0.345919, 0.370775, 0.391941, 0.40747, 0.425171, 0.440968, 0.457462, 0.473315, 0.497353, 0.5058, 0.522196, 0.540398, 0.60727, 0.633809, 0.649196, 0.672175, 0.696643, 0.717799, 0.746007, 0.768169, 0.792513, 0.824973, 0.889892, 0.906769");
-            values ( \
-              "0.0049315, 0.0219597, 0.0317353, 0.0690273, 0.0814708, 0.0939747, 0.102621, 0.107378, 0.111548, 0.113711, 0.115166, 0.115428, 0.114034, 0.112541, 0.108313, 0.10048, 0.0620694, 0.0481945, 0.0412626, 0.0322414, 0.0244035, 0.0190593, 0.013588, 0.0103091, 0.00761259, 0.00506935, 0.00208713, 0.0018092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.359457, 0.443674, 0.543566, 0.573498, 0.613341, 0.651734, 0.698138, 0.724038, 0.756943, 0.789995, 0.836281, 0.853729, 0.885291, 0.917618, 0.982152, 1.01416, 1.10887, 1.16786, 1.19524, 1.22544, 1.25798, 1.30034, 1.33451, 1.37579, 1.43389, 1.45956, 1.49419, 1.54036, 1.63269, 1.74991, 1.86712, 1.98433");
-            values ( \
-              "0.00803634, 0.0294014, 0.0682296, 0.0788649, 0.0915586, 0.101683, 0.111319, 0.115485, 0.119882, 0.12269, 0.124673, 0.124541, 0.123619, 0.120659, 0.108376, 0.0990053, 0.0694282, 0.0524696, 0.0456557, 0.0389043, 0.0326626, 0.0255225, 0.02089, 0.0164259, 0.0113706, 0.00969645, 0.00780368, 0.00592587, 0.00315526, 0.00151703, 0.000605014, 0.000354906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.640795, 0.820369, 0.979553, 1.08851, 1.15457, 1.26701, 1.3771, 1.42118, 1.47505, 1.52576, 1.6272, 1.65585, 1.71314, 1.78711, 1.90432, 1.9792, 2.22755, 2.33176, 2.41829, 2.48501, 2.57481, 2.64852, 2.73288, 2.85009, 2.97651, 3.07285, 3.19006, 3.42448, 3.65891, 3.89333");
-            values ( \
-              "0.00715667, 0.0300792, 0.0621399, 0.082454, 0.0933921, 0.108971, 0.119866, 0.123011, 0.125861, 0.127875, 0.129235, 0.12916, 0.127906, 0.12454, 0.113246, 0.102901, 0.0645156, 0.050433, 0.0404333, 0.0339031, 0.0263885, 0.0213984, 0.0167958, 0.0117797, 0.00803442, 0.0060372, 0.00413956, 0.00197052, 0.000924482, 0.000423342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.118945, 0.125652, 0.128837, 0.136321, 0.151767, 0.163306, 0.168834, 0.173502, 0.177039, 0.183558, 0.190076, 0.200919, 0.210133, 0.218769, 0.224795, 0.232379, 0.238972, 0.245487, 0.258261, 0.268871, 0.280179, 0.282461");
-            values ( \
-              "0.00490881, 0.00718275, 0.0100528, 0.0191026, 0.0406393, 0.0524024, 0.0562903, 0.0585559, 0.0596044, 0.0597565, 0.0555126, 0.0353179, 0.0223327, 0.0141645, 0.0100931, 0.00654426, 0.00450125, 0.00307746, 0.00147849, 0.000832777, 0.000489823, 0.000474665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.139429, 0.148917, 0.152674, 0.16983, 0.187103, 0.198941, 0.209523, 0.219547, 0.229468, 0.239381, 0.256218, 0.26991, 0.282933, 0.291199, 0.301658, 0.319168, 0.342424, 0.352074");
-            values ( \
-              "0.00849838, 0.0155351, 0.0199118, 0.0439365, 0.0644949, 0.074989, 0.0808944, 0.0836934, 0.0827908, 0.0758113, 0.0496775, 0.032319, 0.020609, 0.0151631, 0.0101328, 0.00508604, 0.00223779, 0.00181098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.169399, 0.18775, 0.198081, 0.221431, 0.227085, 0.238392, 0.251593, 0.271461, 0.289393, 0.306547, 0.323705, 0.340614, 0.364059, 0.37629, 0.391552, 0.397924, 0.404655, 0.413158, 0.425922, 0.436855, 0.451431, 0.464248, 0.469998, 0.481498, 0.500469, 0.524713, 0.546435");
-            values ( \
-              "0.00756076, 0.0186856, 0.0286116, 0.05441, 0.0601235, 0.0710884, 0.0818941, 0.0943745, 0.100841, 0.103275, 0.101034, 0.0915178, 0.0675302, 0.0554663, 0.0421979, 0.0374085, 0.0327728, 0.0276076, 0.0210979, 0.0166728, 0.0120743, 0.00899759, 0.00791166, 0.00603558, 0.00387157, 0.00213805, 0.00133695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.239651, 0.278442, 0.329853, 0.339896, 0.359982, 0.385012, 0.406134, 0.421636, 0.439307, 0.455088, 0.47156, 0.4874, 0.511012, 0.519856, 0.536236, 0.554433, 0.621305, 0.647864, 0.663176, 0.686042, 0.710595, 0.73184, 0.760167, 0.782195, 0.806416, 0.83871, 0.903299, 0.995891, 1.10994");
-            values ( \
-              "0.0113432, 0.026958, 0.062943, 0.0693539, 0.0816038, 0.0941976, 0.102807, 0.107552, 0.111695, 0.113856, 0.115282, 0.115544, 0.114166, 0.112631, 0.10837, 0.10054, 0.0620576, 0.048198, 0.0412658, 0.032279, 0.0244326, 0.0190637, 0.0135458, 0.0103177, 0.00763362, 0.00507137, 0.00211745, 0.000546881, 8.5486e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.409495, 0.47994, 0.541299, 0.586949, 0.626386, 0.648125, 0.684531, 0.712721, 0.738253, 0.770682, 0.804235, 0.849243, 0.867968, 0.899523, 0.931838, 0.996363, 1.02837, 1.1228, 1.18187, 1.20943, 1.23987, 1.27232, 1.31458, 1.34857, 1.38989, 1.44802, 1.50842, 1.55469, 1.64722, 1.76443, 1.88165, 1.99886");
-            values ( \
-              "0.0286721, 0.0375738, 0.0618934, 0.078473, 0.091109, 0.0971309, 0.105708, 0.111326, 0.115511, 0.11979, 0.122737, 0.124633, 0.124595, 0.123597, 0.120715, 0.108351, 0.0990572, 0.0694763, 0.0525603, 0.0457009, 0.0388953, 0.0325981, 0.0255545, 0.0209443, 0.0163968, 0.0114123, 0.00783594, 0.00588477, 0.00318248, 0.00147842, 0.000638207, 0.000319271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.708187, 0.835891, 0.999718, 1.10311, 1.17182, 1.27738, 1.362, 1.40642, 1.4766, 1.54043, 1.65764, 1.69624, 1.74769, 1.80174, 1.90985, 2.01158, 2.19347, 2.35961, 2.45485, 2.5637, 2.67024, 2.74639, 2.8636, 2.99235, 3.0906, 3.20781, 3.44223, 3.67666, 3.91108");
-            values ( \
-              "0.0221017, 0.030267, 0.0632502, 0.0824694, 0.0938216, 0.108479, 0.117366, 0.120942, 0.125349, 0.127836, 0.1293, 0.128803, 0.127275, 0.124538, 0.114378, 0.10024, 0.0717617, 0.0487971, 0.0381823, 0.028367, 0.0209792, 0.0168148, 0.0118413, 0.00802791, 0.00594873, 0.00412416, 0.00197711, 0.000941798, 0.00044581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.156064, 0.158076, 0.163561, 0.170008, 0.18414, 0.189429, 0.197417, 0.204495, 0.211135, 0.217646, 0.224149, 0.234864, 0.244156, 0.252883, 0.258839, 0.266382, 0.272895, 0.279555, 0.292591, 0.303124, 0.3143, 0.332467");
-            values ( \
-              "0.00549859, 0.00612924, 0.0108054, 0.018614, 0.0386945, 0.0449317, 0.052496, 0.0573441, 0.0597169, 0.0599048, 0.0555706, 0.0355549, 0.0223892, 0.0141249, 0.010102, 0.00656405, 0.00453328, 0.00307405, 0.00145254, 0.000822911, 0.000490349, 0.000367706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.174393, 0.178439, 0.18477, 0.191935, 0.206718, 0.221377, 0.233193, 0.243762, 0.253774, 0.263684, 0.273588, 0.290405, 0.304093, 0.317132, 0.325128, 0.334073, 0.345089, 0.353819, 0.359991, 0.368882, 0.3782, 0.392022, 0.400333");
-            values ( \
-              "0.00883735, 0.0112557, 0.0174256, 0.0264695, 0.0474239, 0.0646712, 0.0750443, 0.0810441, 0.0837362, 0.0829207, 0.0758244, 0.0497222, 0.0323438, 0.0206073, 0.0153147, 0.0108617, 0.0070184, 0.00498757, 0.00395297, 0.00290101, 0.00211773, 0.00130392, 0.00103895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.20331, 0.228649, 0.261525, 0.272566, 0.285978, 0.305817, 0.323728, 0.340865, 0.358006, 0.374756, 0.41061, 0.425849, 0.447082, 0.4601, 0.471237, 0.486085, 0.498615, 0.515465, 0.534199, 0.558109, 0.585903, 0.620731, 0.667832");
-            values ( \
-              "0.00279178, 0.0248556, 0.0602986, 0.0710425, 0.0820299, 0.0945008, 0.100942, 0.103375, 0.101111, 0.0916954, 0.0554359, 0.0421969, 0.0277994, 0.0211452, 0.0166369, 0.0119571, 0.00898161, 0.00608348, 0.00391111, 0.00219129, 0.00112357, 0.000474981, 0.000155541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.268651, 0.313358, 0.373007, 0.398497, 0.419815, 0.44069, 0.456432, 0.474093, 0.48987, 0.506334, 0.522166, 0.545475, 0.554606, 0.570977, 0.58917, 0.65604, 0.697871, 0.720659, 0.745268, 0.766571, 0.794975, 0.824958, 0.873228, 0.937588, 0.98001");
-            values ( \
-              "0.00612864, 0.0271103, 0.0684135, 0.0836405, 0.0942851, 0.102716, 0.107645, 0.111702, 0.113947, 0.115297, 0.115633, 0.114219, 0.112711, 0.108383, 0.100604, 0.0620538, 0.0412696, 0.0323052, 0.0244551, 0.0190696, 0.013517, 0.0093392, 0.00507132, 0.00214033, 0.00140304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.424731, 0.493184, 0.551356, 0.612976, 0.661559, 0.701122, 0.747296, 0.773397, 0.805534, 0.83929, 0.884164, 0.90299, 0.93453, 0.966833, 1.03133, 1.06336, 1.1585, 1.2173, 1.24437, 1.27411, 1.3068, 1.34933, 1.38381, 1.42501, 1.48304, 1.50863, 1.5431, 1.58906, 1.68099, 1.7982, 1.91541, 2.03262");
-            values ( \
-              "0.0167116, 0.0293257, 0.052233, 0.0754187, 0.0912036, 0.10169, 0.111296, 0.115632, 0.119818, 0.122834, 0.124668, 0.124677, 0.123625, 0.120783, 0.108359, 0.0990898, 0.0692442, 0.0524531, 0.0457148, 0.0390561, 0.0326732, 0.0255923, 0.0209141, 0.0163692, 0.0114137, 0.00974236, 0.00785547, 0.00589731, 0.0032147, 0.00148189, 0.000650856, 0.000313828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.711555, 0.869934, 1.03675, 1.11528, 1.20433, 1.29491, 1.3699, 1.44129, 1.5585, 1.60966, 1.67788, 1.70533, 1.76023, 1.83664, 1.95386, 2.02863, 2.27723, 2.38128, 2.46748, 2.53391, 2.6241, 2.69819, 2.78245, 2.89966, 2.95365, 3.02564, 3.12162, 3.23883, 3.35605, 3.47326, 3.70768, 3.9421");
-            values ( \
-              "0.0122836, 0.0299469, 0.0635486, 0.078431, 0.0933594, 0.106315, 0.114803, 0.12095, 0.127402, 0.128772, 0.129311, 0.129187, 0.128051, 0.124553, 0.113295, 0.102957, 0.0645197, 0.0504007, 0.0404956, 0.0339227, 0.026433, 0.0214168, 0.0167563, 0.0118071, 0.01004, 0.00807461, 0.00601559, 0.004181, 0.00290033, 0.00200772, 0.000959686, 0.000457619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.240335, 0.248819, 0.253326, 0.262248, 0.2684, 0.272169, 0.280119, 0.287186, 0.293812, 0.300312, 0.306805, 0.317365, 0.32141, 0.326762, 0.335616, 0.341486, 0.34898, 0.355603, 0.362171, 0.375581, 0.386248, 0.397214, 0.399281");
-            values ( \
-              "0.00693658, 0.0138356, 0.0197221, 0.0330139, 0.0408054, 0.0451144, 0.0527, 0.0574415, 0.0598581, 0.0599542, 0.0556581, 0.0358429, 0.0293883, 0.0224493, 0.0140603, 0.0101192, 0.00659619, 0.00450285, 0.00308989, 0.00142846, 0.000792901, 0.000504444, 0.000489787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.256428, 0.261333, 0.267275, 0.27473, 0.289342, 0.304002, 0.315818, 0.326386, 0.336396, 0.346305, 0.356206, 0.373022, 0.386708, 0.399742, 0.407739, 0.416688, 0.427701, 0.436426, 0.442593, 0.45133, 0.460553, 0.474336, 0.478534");
-            values ( \
-              "0.0087042, 0.0114515, 0.0172355, 0.0266551, 0.0474274, 0.0646858, 0.075037, 0.0810696, 0.0837383, 0.0829486, 0.075825, 0.0497307, 0.0323505, 0.0206105, 0.0153154, 0.0108603, 0.00701904, 0.00498933, 0.00395718, 0.00291977, 0.00213749, 0.00131684, 0.00118089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.285618, 0.311316, 0.344273, 0.355357, 0.368745, 0.388591, 0.406505, 0.423643, 0.440684, 0.451233, 0.458912, 0.493508, 0.507738, 0.52232, 0.531433, 0.543257, 0.553182, 0.564281, 0.580006, 0.596207, 0.608601, 0.631751, 0.658301, 0.691103, 0.732326");
-            values ( \
-              "0.00255008, 0.0247039, 0.0602771, 0.0709667, 0.0820354, 0.0944335, 0.100977, 0.103332, 0.101186, 0.0961097, 0.0901877, 0.0553094, 0.0428596, 0.032361, 0.0268889, 0.0209672, 0.0169354, 0.013257, 0.00926497, 0.00638338, 0.00477921, 0.00273799, 0.00144179, 0.000645119, 0.000239445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.345894, 0.395927, 0.437086, 0.457762, 0.47469, 0.507864, 0.524767, 0.539553, 0.557183, 0.57141, 0.582397, 0.592024, 0.605298, 0.629845, 0.6376, 0.65311, 0.672258, 0.739342, 0.76614, 0.780811, 0.802693, 0.828069, 0.850158, 0.879611, 0.90047, 0.908178, 0.923594, 0.954427, 1.01609, 1.10593, 1.21583");
-            values ( \
-              "0.00320407, 0.0264989, 0.0554691, 0.0693197, 0.0796602, 0.0964298, 0.102994, 0.107636, 0.111643, 0.113768, 0.114794, 0.115409, 0.115615, 0.114059, 0.112728, 0.108714, 0.100618, 0.0619417, 0.0479892, 0.0413386, 0.0326879, 0.0245274, 0.0189479, 0.0132622, 0.0102567, 0.00932553, 0.00769387, 0.00520086, 0.00227791, 0.000613915, 0.000112294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.495973, 0.577073, 0.675466, 0.706436, 0.745266, 0.784839, 0.830493, 0.857115, 0.88882, 0.922986, 0.967112, 0.986678, 1.01821, 1.05051, 1.115, 1.14703, 1.24271, 1.30132, 1.32804, 1.35726, 1.39013, 1.43285, 1.4677, 1.50881, 1.56676, 1.62658, 1.67233, 1.76382, 1.88103, 1.99825, 2.11546");
-            values ( \
-              "0.00941588, 0.0294606, 0.0677997, 0.0788413, 0.0912641, 0.10175, 0.111258, 0.115619, 0.119824, 0.122818, 0.124705, 0.124661, 0.123669, 0.120767, 0.108397, 0.0990763, 0.0690978, 0.0523448, 0.0457027, 0.0391542, 0.0327435, 0.0256025, 0.0208767, 0.0163631, 0.0114, 0.00785793, 0.00591714, 0.00322656, 0.00149536, 0.000648918, 0.000320895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.807326, 0.955041, 1.11853, 1.19948, 1.32688, 1.40321, 1.45163, 1.5254, 1.64261, 1.69347, 1.76129, 1.78931, 1.84537, 1.92061, 2.03782, 2.11255, 2.36125, 2.46524, 2.5513, 2.61761, 2.70795, 2.78221, 2.86642, 2.98363, 3.03755, 3.10942, 3.20526, 3.32247, 3.43968, 3.55689, 3.79131, 4.02574");
-            values ( \
-              "0.0150154, 0.0302682, 0.0631826, 0.078506, 0.0992721, 0.109287, 0.114602, 0.121003, 0.127424, 0.128785, 0.129343, 0.129199, 0.128043, 0.12456, 0.113311, 0.102976, 0.0645162, 0.050392, 0.0405129, 0.0339398, 0.0264428, 0.0214154, 0.0167491, 0.0118094, 0.0100445, 0.0080817, 0.00601667, 0.00418855, 0.00289876, 0.00201337, 0.000964413, 0.0004619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.42049, 0.436059, 0.43924, 0.445088, 0.45737, 0.473887, 0.481519, 0.488575, 0.495424, 0.502262, 0.507271, 0.513249, 0.522729, 0.531596, 0.53769, 0.545367, 0.55261, 0.55853, 0.570701, 0.58138, 0.612629");
-            values ( \
-              "0.00110172, 0.00890037, 0.0114704, 0.0173075, 0.0320682, 0.0482869, 0.05353, 0.0565388, 0.0572358, 0.0537968, 0.0460439, 0.0345509, 0.0218049, 0.0138358, 0.00996212, 0.00646481, 0.00428441, 0.00308471, 0.00154514, 0.000859599, 0.000391148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.444293, 0.464877, 0.505302, 0.518349, 0.524039, 0.534295, 0.544368, 0.554432, 0.585482, 0.599412, 0.614995, 0.634905, 0.659563, 0.672695");
-            values ( \
-              "0.00329362, 0.0180209, 0.065459, 0.0755941, 0.0787856, 0.0821536, 0.0818379, 0.075135, 0.031846, 0.0195556, 0.0108674, 0.00499929, 0.00209771, 0.00154102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.468809, 0.507388, 0.554418, 0.569175, 0.589253, 0.60729, 0.624506, 0.641664, 0.652156, 0.715889, 0.744279, 0.765203, 0.780941, 0.797218, 0.821558");
-            values ( \
-              "0.00343498, 0.0184743, 0.0684126, 0.0806515, 0.0937545, 0.100291, 0.103094, 0.100861, 0.0959225, 0.0374421, 0.0209602, 0.013278, 0.00927506, 0.0063778, 0.00388785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.574822, 0.606458, 0.643468, 0.659509, 0.688199, 0.704352, 0.724832, 0.740877, 0.758331, 0.774267, 0.790642, 0.806526, 0.829277, 0.838938, 0.855294, 0.873485, 0.940361, 0.966953, 0.98214, 1.00482, 1.02951, 1.05091, 1.07943, 1.10924, 1.12525, 1.15726, 1.22129, 1.31046, 1.42006");
-            values ( \
-              "0.027671, 0.0332061, 0.0594247, 0.0700149, 0.0867691, 0.0946093, 0.102842, 0.107858, 0.111833, 0.114095, 0.115407, 0.115742, 0.114358, 0.112789, 0.108432, 0.100652, 0.0620531, 0.0481863, 0.0412873, 0.0323588, 0.0244651, 0.0190549, 0.0134912, 0.00934239, 0.00765356, 0.00509103, 0.00215334, 0.000584853, 0.000110443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.733407, 0.801739, 0.860344, 0.907267, 0.945247, 0.967525, 1.0039, 1.032, 1.05818, 1.08971, 1.12409, 1.16787, 1.18776, 1.2193, 1.2516, 1.31609, 1.34811, 1.44241, 1.50149, 1.5291, 1.55963, 1.59204, 1.63426, 1.66817, 1.7095, 1.76763, 1.82806, 1.87435, 1.96693, 2.08414, 2.20135, 2.31856");
-            values ( \
-              "0.0316259, 0.0383894, 0.0615948, 0.0786629, 0.0908535, 0.0970899, 0.105688, 0.111273, 0.115611, 0.11975, 0.122826, 0.12466, 0.124674, 0.123635, 0.120785, 0.108371, 0.0990975, 0.0695066, 0.0526025, 0.0457242, 0.038898, 0.0325834, 0.0255685, 0.0209649, 0.0163915, 0.011427, 0.00784698, 0.00587376, 0.00319107, 0.0014671, 0.000647941, 0.000308662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.973518, 1.15571, 1.31724, 1.40079, 1.4854, 1.58042, 1.63502, 1.70709, 1.76645, 1.84561, 1.89209, 1.94164, 1.99067, 2.08871, 2.12203, 2.18867, 2.26366, 2.38087, 2.53033, 2.63854, 2.68458, 2.75089, 2.81986, 2.90922, 2.9836, 3.06778, 3.18499, 3.23886, 3.31066, 3.4064, 3.52361, 3.64082, 3.75803, 3.99245, 4.22688");
-            values ( \
-              "0.0066607, 0.0300422, 0.0626014, 0.0784179, 0.0927016, 0.1063, 0.11269, 0.119464, 0.12365, 0.127408, 0.128668, 0.129276, 0.129147, 0.126419, 0.124525, 0.118978, 0.110062, 0.0926148, 0.0692566, 0.0539717, 0.0482252, 0.0406773, 0.0338689, 0.0264288, 0.0213951, 0.0167619, 0.0117927, 0.0100316, 0.00807152, 0.00603169, 0.00417904, 0.0029119, 0.00200294, 0.00095365, 0.000451088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.799933, 0.815716, 0.826757, 0.829774, 0.83581, 0.842761, 0.845176, 0.848397, 0.860418, 0.866498, 0.877081, 0.881798, 0.891406, 0.90007, 0.908245, 0.916378, 0.924502, 0.929946, 0.93384, 0.940146, 0.946888, 0.952533, 0.954392, 0.958112, 0.963173, 0.970848, 0.973845, 0.977014, 0.981238, 0.989686, 0.999538, 1.01423, 1.02027");
-            values ( \
-              "0.0012716, 0.00251079, 0.00476227, 0.00569695, 0.00782751, 0.0113193, 0.0128337, 0.0150869, 0.024301, 0.0284806, 0.0351984, 0.0379673, 0.0430903, 0.0466169, 0.0484937, 0.0472444, 0.0381431, 0.0300405, 0.0252215, 0.0189194, 0.0135957, 0.010246, 0.00925205, 0.00763075, 0.00578822, 0.00386166, 0.00327425, 0.00277759, 0.00223198, 0.00142351, 0.000914088, 0.000701436, 0.000589446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.80755, 0.855194, 0.86415, 0.871331, 0.880182, 0.920058, 0.935511, 0.94868, 0.960624, 0.972105, 0.983556, 1.01065, 1.02123, 1.03523, 1.04292, 1.05318, 1.06064, 1.06972, 1.09066, 1.10192, 1.10279");
-            values ( \
-              "0.000921676, 0.00476117, 0.00800231, 0.0113403, 0.0165725, 0.0480162, 0.0588738, 0.0665613, 0.0712303, 0.0729031, 0.0689063, 0.0359147, 0.0258388, 0.0159435, 0.01206, 0.00821441, 0.00621499, 0.00451923, 0.00217478, 0.00145082, 0.0014131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.895705, 0.934353, 0.944255, 0.954115, 1.0118, 1.03479, 1.04371, 1.05479, 1.07352, 1.08449, 1.09169, 1.10429, 1.11078, 1.12062, 1.15302, 1.1681, 1.18349, 1.19606, 1.21577, 1.22441, 1.24163, 1.25449, 1.27543, 1.30158, 1.33306, 1.37341");
-            values ( \
-              "0.00374445, 0.0143793, 0.0196997, 0.0261522, 0.0689832, 0.0830064, 0.0872608, 0.0915455, 0.0958379, 0.0963261, 0.0954505, 0.0911699, 0.0867719, 0.0786127, 0.0484008, 0.0368754, 0.0273034, 0.0211122, 0.0137869, 0.0114901, 0.00779865, 0.00579931, 0.0035501, 0.00189549, 0.000893756, 0.000333251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.940165, 1.02496, 1.04722, 1.0527, 1.06368, 1.08416, 1.12768, 1.16123, 1.17674, 1.19863, 1.20753, 1.21136, 1.2151, 1.2231, 1.22772, 1.23697, 1.24958, 1.26647, 1.28255, 1.30714, 1.31533, 1.33169, 1.35022, 1.39153, 1.39234, 1.40379, 1.41688, 1.44305, 1.45334, 1.45948, 1.46766, 1.48403, 1.50718, 1.51378, 1.52699, 1.54708, 1.57574, 1.58803, 1.61259, 1.66172, 1.71618");
-            values ( \
-              "0.00130677, 0.0089, 0.0165843, 0.018831, 0.0240785, 0.0355061, 0.062125, 0.0809526, 0.0887121, 0.0979153, 0.101007, 0.102293, 0.103475, 0.10567, 0.106843, 0.108907, 0.111065, 0.113006, 0.113821, 0.112853, 0.111667, 0.107677, 0.100104, 0.0769014, 0.076326, 0.0696162, 0.0621744, 0.0485514, 0.0438074, 0.0411076, 0.0377102, 0.0315688, 0.0242871, 0.0225085, 0.0192786, 0.0151591, 0.0106553, 0.00915574, 0.00672531, 0.00354643, 0.00192169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.20344, 1.27931, 1.35912, 1.41305, 1.4554, 1.48808, 1.53589, 1.55686, 1.58374, 1.6089, 1.65646, 1.67267, 1.70425, 1.73658, 1.79792, 1.82104, 1.93914, 2.01425, 2.07458, 2.11834, 2.15521, 2.19584, 2.25344, 2.31191, 2.35648, 2.44562, 2.56283, 2.68004, 2.79726");
-            values ( \
-              "0.0241794, 0.0350156, 0.066378, 0.0851578, 0.0975051, 0.105213, 0.114231, 0.11732, 0.120502, 0.122623, 0.124571, 0.124546, 0.123545, 0.120706, 0.109139, 0.10276, 0.0659781, 0.0457054, 0.0330479, 0.0257142, 0.0207214, 0.016268, 0.011376, 0.00790842, 0.00598696, 0.00333128, 0.0015325, 0.000676592, 0.000322705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.55976, 1.69703, 1.84381, 1.93598, 2.03475, 2.06573, 2.12005, 2.19019, 2.25607, 2.34618, 2.46339, 2.50171, 2.5528, 2.60735, 2.71646, 2.81511, 3.00041, 3.10584, 3.17884, 3.25703, 3.36128, 3.4074, 3.48456, 3.56582, 3.6785, 3.72461, 3.81683, 3.93405, 4.05126, 4.16847, 4.28568, 4.40289, 4.5201, 4.75453");
-            values ( \
-              "0.0362256, 0.0412138, 0.0706631, 0.0870868, 0.10224, 0.106343, 0.112689, 0.119317, 0.123936, 0.127917, 0.129324, 0.128831, 0.127358, 0.124555, 0.114304, 0.10059, 0.0715771, 0.0563553, 0.047128, 0.0385097, 0.0290202, 0.025482, 0.0204694, 0.016129, 0.0115288, 0.0100215, 0.007582, 0.00526743, 0.00367076, 0.00253178, 0.00176449, 0.00120834, 0.000846581, 0.000407074" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00770199, 0.00773144, 0.00776507, 0.00779435, 0.00781439, 0.00782598", \
-            "0.00877202, 0.00880875, 0.00885556, 0.00890086, 0.00893441, 0.00895478", \
-            "0.00935588, 0.009392, 0.00944275, 0.00949806, 0.00954332, 0.00957259", \
-            "0.0097402, 0.00975891, 0.00979063, 0.0098326, 0.00987288, 0.00990233", \
-            "0.0100528, 0.0100463, 0.0100421, 0.0100466, 0.0100603, 0.0100756", \
-            "0.0103474, 0.0103198, 0.010279, 0.0102329, 0.0101977, 0.0101799" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00963864, 0.00965915, 0.00968577, 0.00971143, 0.00973029, 0.00974168", \
-            "0.00970249, 0.00969845, 0.00969618, 0.00969604, 0.00969705, 0.00969804", \
-            "0.00968369, 0.00963911, 0.00958308, 0.00952768, 0.00948531, 0.00945895", \
-            "0.00973901, 0.00966001, 0.00955111, 0.00943976, 0.00934625, 0.00928695", \
-            "0.010023, 0.00988959, 0.00969856, 0.00949259, 0.00933955, 0.00923696", \
-            "0.00942898, 0.00965479, 0.00998226, 0.00982495, 0.00953552, 0.00932273" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.137046, 0.162212, 0.173604, 0.182906, 0.191159, 0.198792, 0.206093, 0.213245, 0.220392, 0.222398, 0.239722, 0.246597, 0.25487, 0.260128");
-            values ( \
-              "-0.00207039, -0.0292682, -0.0387581, -0.0449124, -0.0493146, -0.0523836, -0.0543357, -0.0545162, -0.0501292, -0.0472252, -0.0150772, -0.00800511, -0.00355627, -0.00218936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.160383, 0.168095, 0.17324, 0.173858, 0.175092, 0.177561, 0.181713, 0.184417, 0.189825, 0.196183, 0.207064, 0.213406, 0.226089, 0.230166, 0.235279, 0.239911, 0.249174, 0.25145, 0.256002, 0.26241, 0.273159, 0.283905, 0.285275, 0.287102, 0.290755, 0.297304, 0.301442, 0.30696, 0.310119, 0.312503, 0.315681, 0.322037, 0.325228, 0.326346, 0.328581, 0.333052, 0.341993, 0.34838");
-            values ( \
-              "-0.0107259, -0.014948, -0.0180006, -0.0186677, -0.0197625, -0.0218015, -0.0258139, -0.0287414, -0.0348261, -0.0409946, -0.0498869, -0.0543951, -0.0623024, -0.0645052, -0.0670363, -0.0692296, -0.0729432, -0.0737707, -0.0751411, -0.0766556, -0.0769366, -0.0703851, -0.0686728, -0.0660108, -0.0596102, -0.0467808, -0.0389997, -0.0297429, -0.0251827, -0.0221092, -0.0184765, -0.0126524, -0.0104005, -0.0097028, -0.00843047, -0.00632418, -0.00346003, -0.00241461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.203816, 0.219241, 0.227017, 0.227875, 0.229593, 0.239023, 0.258932, 0.265765, 0.279431, 0.294753, 0.295227, 0.299658, 0.304721, 0.314847, 0.318496, 0.325793, 0.33932, 0.358516, 0.376787, 0.394732, 0.411653, 0.41357, 0.417405, 0.425074, 0.434282, 0.441038, 0.444719, 0.450383, 0.457599, 0.459082, 0.46205, 0.467984, 0.479853, 0.484189, 0.488688, 0.494686, 0.506682, 0.527387");
-            values ( \
-              "-0.0103944, -0.0179843, -0.0221872, -0.0229793, -0.0240403, -0.030662, -0.0465443, -0.0511358, -0.0599162, -0.0682571, -0.0685698, -0.0708446, -0.0733413, -0.0780937, -0.0797178, -0.0828446, -0.0881125, -0.094168, -0.0977398, -0.097579, -0.0892656, -0.0876515, -0.0832417, -0.0730287, -0.0595492, -0.0502532, -0.045496, -0.0387167, -0.0311345, -0.0297297, -0.027065, -0.0223173, -0.014853, -0.0127657, -0.0108886, -0.00877008, -0.00563252, -0.00254045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.316946, 0.357776, 0.372973, 0.38754, 0.396505, 0.410629, 0.425402, 0.435109, 0.449456, 0.47052, 0.482698, 0.493475, 0.502851, 0.512699, 0.528179, 0.536822, 0.545465, 0.561234, 0.580496, 0.592878, 0.598926, 0.607747, 0.613545, 0.617635, 0.621724, 0.625814, 0.629904, 0.633921, 0.637939, 0.641957, 0.645974, 0.653154, 0.660333, 0.667512, 0.674692, 0.676057, 0.680153, 0.691076, 0.731506, 0.737901, 0.742164, 0.750691, 0.756944, 0.768373, 0.775654, 0.778941, 0.788802, 0.793338, 0.802409, 0.814202");
-            values ( \
-              "-0.0296046, -0.0332507, -0.0405938, -0.0480075, -0.0522576, -0.0584894, -0.0645144, -0.0683209, -0.0737523, -0.0813194, -0.0854157, -0.0888692, -0.0917411, -0.0946024, -0.0987332, -0.100843, -0.102822, -0.106098, -0.109771, -0.111822, -0.112713, -0.113882, -0.114567, -0.11473, -0.114782, -0.114722, -0.11455, -0.114272, -0.113886, -0.113393, -0.112792, -0.111337, -0.109468, -0.107186, -0.104489, -0.103781, -0.101485, -0.0926625, -0.0565282, -0.0514296, -0.0482642, -0.042321, -0.0383136, -0.0315051, -0.0275868, -0.026096, -0.0219751, -0.0203431, -0.0172415, -0.0135326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.483548, 0.574461, 0.734603, 0.803216, 0.881501, 0.939611, 1.01398, 1.04524, 1.1089, 1.17159, 1.23427, 1.36575, 1.42356, 1.48812, 1.53562, 1.59895, 1.69035");
-            values ( \
-              "-0.00899602, -0.0256763, -0.0672654, -0.0824969, -0.097653, -0.107753, -0.118219, -0.121605, -0.126097, -0.125375, -0.11204, -0.0497077, -0.0307675, -0.01718, -0.0109845, -0.00585237, -0.00239013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.889935, 1.07524, 1.42236, 1.57845, 1.74586, 1.89237, 2.02712, 2.15542, 2.20014, 2.28142, 2.34496, 2.41574, 2.59862, 2.70638, 2.82391, 2.91001, 3.03884, 3.14219, 3.26729, 3.3924, 3.64261");
-            values ( \
-              "-0.0098107, -0.0262808, -0.0727806, -0.0911479, -0.108127, -0.120067, -0.128145, -0.13241, -0.132817, -0.131217, -0.126448, -0.11475, -0.0685238, -0.0458699, -0.0282668, -0.0193222, -0.0107565, -0.00660101, -0.00357046, -0.00193801, -0.000563326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.15527, 0.165158, 0.175343, 0.181221, 0.197974, 0.20622, 0.213847, 0.221143, 0.228291, 0.235434, 0.237443, 0.249487, 0.254769, 0.261635, 0.269882, 0.27714, 0.288823, 0.320827, 0.391427");
-            values ( \
-              "-0.00812223, -0.0152096, -0.0272661, -0.0328655, -0.0449558, -0.0493464, -0.0524249, -0.0543645, -0.0545539, -0.0501461, -0.0472401, -0.0232775, -0.0150662, -0.00800411, -0.00356418, -0.00167131, -0.000455208, -1.97949e-05, -3.99239e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.180456, 0.189499, 0.192789, 0.196079, 0.203692, 0.208511, 0.213575, 0.217627, 0.219653, 0.228717, 0.235672, 0.242627, 0.242982, 0.243455, 0.250086, 0.253905, 0.26027, 0.26637, 0.2715, 0.273607, 0.275629, 0.277652, 0.279674, 0.281697, 0.28372, 0.285742, 0.287714, 0.288371, 0.289685, 0.290999, 0.292314, 0.293628, 0.295097, 0.296565, 0.298034, 0.299502, 0.301643, 0.305923, 0.308063, 0.310376, 0.317189, 0.321204, 0.326189, 0.328877, 0.330976, 0.333074, 0.334564, 0.337545, 0.339035, 0.341356");
-            values ( \
-              "-0.0171596, -0.01936, -0.0220599, -0.0251945, -0.033478, -0.0385281, -0.0432123, -0.0466451, -0.0482566, -0.0544397, -0.0589366, -0.0632193, -0.0633169, -0.0635475, -0.0670454, -0.0687863, -0.0714503, -0.0737525, -0.0754191, -0.0760382, -0.0765274, -0.0769366, -0.0771437, -0.0772328, -0.0772039, -0.0770569, -0.0768001, -0.0766896, -0.0762911, -0.0757493, -0.0750643, -0.0742361, -0.073034, -0.0716627, -0.0701224, -0.0684129, -0.0654121, -0.0587464, -0.0550814, -0.0508374, -0.0378191, -0.0312068, -0.0238758, -0.0204093, -0.0181775, -0.01613, -0.0148001, -0.0123942, -0.0113183, -0.00980222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.220866, 0.239385, 0.252367, 0.281293, 0.314232, 0.333923, 0.354741, 0.373962, 0.392175, 0.410112, 0.42703, 0.465796, 0.483278, 0.499505, 0.510287, 0.52261, 0.544002, 0.572562, 0.605386");
-            values ( \
-              "-0.0116267, -0.0202559, -0.0290081, -0.0513883, -0.0704997, -0.0798039, -0.0881901, -0.0942358, -0.09779, -0.0976136, -0.0892911, -0.0386709, -0.0223736, -0.012791, -0.00869554, -0.00551466, -0.00238883, -0.000757993, -0.000224554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.309828, 0.372571, 0.426386, 0.469235, 0.501935, 0.543884, 0.577005, 0.61737, 0.629131, 0.645486, 0.661552, 0.690569, 0.706069, 0.755128, 0.78256, 0.813196, 0.836913, 0.852339, 0.883191, 0.936598, 0.995149");
-            values ( \
-              "-0.0075044, -0.0326184, -0.0585472, -0.0752876, -0.0865447, -0.0987409, -0.1062, -0.112996, -0.114153, -0.115025, -0.114113, -0.105091, -0.093637, -0.0504203, -0.032387, -0.0188705, -0.0121193, -0.00904841, -0.00486323, -0.0015262, -0.000397282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.495972, 0.589409, 0.751333, 0.827437, 0.893134, 0.972583, 1.02936, 1.0611, 1.12477, 1.18748, 1.25017, 1.38166, 1.43946, 1.50403, 1.55153, 1.61487, 1.70498");
-            values ( \
-              "-0.00829193, -0.0254535, -0.0674083, -0.0841679, -0.0968256, -0.110383, -0.118119, -0.121574, -0.126065, -0.125359, -0.112022, -0.0497038, -0.030767, -0.0171795, -0.0109839, -0.0058519, -0.00243886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.995754, 1.17876, 1.33352, 1.48702, 1.64478, 1.76252, 1.90884, 2.03394, 2.10446, 2.17183, 2.29693, 2.30893, 2.35006, 2.4049, 2.61461, 2.70095, 2.80886, 2.86551, 2.9448, 3.02419, 3.08452, 3.20517, 3.33028, 3.45538, 3.70559");
-            values ( \
-              "-0.0290583, -0.0379058, -0.0593724, -0.078568, -0.0964391, -0.108047, -0.119974, -0.127627, -0.130595, -0.132415, -0.131304, -0.130722, -0.127685, -0.120226, -0.0685993, -0.049966, -0.0323009, -0.0253445, -0.0178212, -0.0124387, -0.00940129, -0.00526144, -0.00284553, -0.00153228, -0.000440928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.191364, 0.19859, 0.206613, 0.21447, 0.221442, 0.235166, 0.243424, 0.251052, 0.258347, 0.265494, 0.272634, 0.274639, 0.286709, 0.291952, 0.298824, 0.303453, 0.307092, 0.314372, 0.322954");
-            values ( \
-              "-0.00895657, -0.0117968, -0.0199109, -0.0291395, -0.035414, -0.0450072, -0.0492465, -0.0525099, -0.054298, -0.0546464, -0.0500871, -0.0472547, -0.0232372, -0.0150859, -0.00800985, -0.00511688, -0.0035581, -0.00166462, -0.000774681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.21331, 0.226868, 0.237314, 0.245192, 0.24902, 0.256677, 0.266211, 0.280227, 0.292694, 0.304199, 0.315176, 0.32592, 0.336654, 0.338023, 0.3435, 0.354181, 0.359697, 0.365235, 0.368411, 0.374763, 0.379072, 0.385778, 0.39472, 0.397713");
-            values ( \
-              "-0.0110232, -0.0187877, -0.0287716, -0.0377894, -0.0408615, -0.0477278, -0.0543083, -0.0633306, -0.0691422, -0.0740371, -0.07657, -0.0771915, -0.0702808, -0.0687394, -0.0596561, -0.0390122, -0.0297613, -0.0221169, -0.0184886, -0.0126585, -0.00970669, -0.00632548, -0.00346179, -0.00296978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.252316, 0.263201, 0.274757, 0.289914, 0.318355, 0.347461, 0.358606, 0.379367, 0.392143, 0.403999, 0.411344, 0.426035, 0.436703, 0.447477, 0.459656, 0.466237, 0.495565, 0.505121, 0.520085, 0.530908, 0.536472, 0.549748, 0.564752, 0.584117");
-            values ( \
-              "-0.00974771, -0.0127911, -0.0186923, -0.0287213, -0.0509419, -0.0682035, -0.0737261, -0.0830429, -0.0880129, -0.0919863, -0.0940751, -0.0971706, -0.0981677, -0.0974216, -0.0927392, -0.0875045, -0.0479284, -0.0364663, -0.0227886, -0.0157778, -0.0129852, -0.00807607, -0.00459559, -0.00228216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.373288, 0.411853, 0.427707, 0.443438, 0.450545, 0.465002, 0.485268, 0.508212, 0.518641, 0.530755, 0.542869, 0.552263, 0.561658, 0.571962, 0.586584, 0.599536, 0.615269, 0.634535, 0.641564, 0.646926, 0.652963, 0.661771, 0.66756, 0.671647, 0.675734, 0.679821, 0.683908, 0.687924, 0.69194, 0.695955, 0.699971, 0.707138, 0.714306, 0.721473, 0.72864, 0.730014, 0.734136, 0.745127, 0.783283, 0.787476, 0.795863, 0.800056, 0.808443, 0.822501, 0.830132, 0.833376, 0.843111, 0.847605, 0.856592, 0.868175");
-            values ( \
-              "-0.0317563, -0.0334329, -0.0410959, -0.049123, -0.0524397, -0.0587791, -0.0669469, -0.0756155, -0.0793844, -0.0835585, -0.0875261, -0.0904609, -0.0932714, -0.0961572, -0.0999229, -0.102931, -0.106194, -0.109859, -0.11106, -0.111907, -0.112793, -0.113956, -0.114637, -0.114798, -0.114848, -0.114786, -0.114612, -0.114332, -0.113945, -0.11345, -0.112848, -0.111391, -0.109521, -0.107238, -0.104542, -0.103828, -0.101513, -0.0926239, -0.0583778, -0.0549052, -0.0484668, -0.0454716, -0.0398556, -0.0314184, -0.0273532, -0.0258918, -0.0218487, -0.0202389, -0.0171783, -0.0135462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.557449, 0.629785, 0.806754, 0.876046, 0.959665, 1.01182, 1.06776, 1.0995, 1.16298, 1.22583, 1.28849, 1.41997, 1.47778, 1.54234, 1.58983, 1.65316, 1.7586, 1.88229");
-            values ( \
-              "-0.0174398, -0.0261487, -0.0714767, -0.0863131, -0.102017, -0.110598, -0.118198, -0.121637, -0.126117, -0.125394, -0.112053, -0.0497108, -0.0307681, -0.0171805, -0.010985, -0.00585273, -0.00185805, -0.000442547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.983732, 1.13054, 1.38712, 1.49194, 1.68672, 1.80127, 1.94758, 2.07269, 2.14334, 2.21059, 2.3357, 2.3477, 2.38887, 2.44377, 2.65337, 2.73982, 2.84755, 2.90423, 2.98355, 3.06301, 3.1234, 3.24418, 3.36929, 3.49439, 3.7446");
-            values ( \
-              "-0.0192749, -0.0262982, -0.0613634, -0.0744602, -0.0968086, -0.10808, -0.120008, -0.127586, -0.130633, -0.132378, -0.131338, -0.130721, -0.12768, -0.120206, -0.0686006, -0.0499453, -0.0323119, -0.0253492, -0.0178239, -0.0124364, -0.00939583, -0.00525562, -0.00284157, -0.0015309, -0.00044088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.278971, 0.2825, 0.286756, 0.287493, 0.288968, 0.291918, 0.294648, 0.299901, 0.302468, 0.307602, 0.313833, 0.323108, 0.331334, 0.33894, 0.346215, 0.353343, 0.360465, 0.362477, 0.364778, 0.371014, 0.374447, 0.37744, 0.379785, 0.382619, 0.386627, 0.388512, 0.391213, 0.394814, 0.402017, 0.404018");
-            values ( \
-              "-0.00894667, -0.0105595, -0.0120884, -0.0134304, -0.0141167, -0.0170579, -0.0199523, -0.0267642, -0.0291361, -0.0340956, -0.0387084, -0.0452006, -0.0493316, -0.0527116, -0.0543991, -0.0548318, -0.0501497, -0.0473278, -0.043174, -0.0300522, -0.0233965, -0.018393, -0.0150641, -0.011689, -0.00801272, -0.00668709, -0.00513775, -0.00358498, -0.00169015, -0.00147881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.299917, 0.312394, 0.314611, 0.319045, 0.325106, 0.333141, 0.336827, 0.344199, 0.354011, 0.367941, 0.368025, 0.368191, 0.368523, 0.369188, 0.370519, 0.373179, 0.378499, 0.380445, 0.384337, 0.391945, 0.402889, 0.413621, 0.424344, 0.424802, 0.42572, 0.427556, 0.431226, 0.437735, 0.439107, 0.441851, 0.447338, 0.450523, 0.451325, 0.452927, 0.456132, 0.462541, 0.465659, 0.466753, 0.46894, 0.473315, 0.482064, 0.482209");
-            values ( \
-              "-0.0105388, -0.0174563, -0.0187385, -0.0226561, -0.0287108, -0.0379012, -0.0408685, -0.0475105, -0.0543733, -0.0633621, -0.0633366, -0.0633555, -0.0635062, -0.0638631, -0.0645714, -0.0659457, -0.0685267, -0.0694121, -0.0710869, -0.0739488, -0.0768283, -0.0770949, -0.0704937, -0.0699551, -0.0687617, -0.0660734, -0.0596059, -0.0468165, -0.0441783, -0.0390591, -0.0298278, -0.0252155, -0.0241479, -0.0221107, -0.0184469, -0.0125834, -0.0103886, -0.00970453, -0.00845793, -0.00638307, -0.00354162, -0.00351737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.34488, 0.376123, 0.406105, 0.440105, 0.461801, 0.484845, 0.505511, 0.514095, 0.525043, 0.534857, 0.538311, 0.54522, 0.551472, 0.594265, 0.60812, 0.62586");
-            values ( \
-              "-0.00689552, -0.0284682, -0.0515306, -0.0710622, -0.0811998, -0.0901144, -0.0963983, -0.0977157, -0.0981937, -0.0974731, -0.0964814, -0.0931997, -0.0884364, -0.0344849, -0.0220034, -0.011717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.441521, 0.480737, 0.552164, 0.592084, 0.630183, 0.669619, 0.702656, 0.721916, 0.743364, 0.754957, 0.771312, 0.787379, 0.816269, 0.832141, 0.871075, 0.898639, 0.92577, 0.946726, 0.967831, 0.984337, 1.01735, 1.07398, 1.13261");
-            values ( \
-              "-0.0129816, -0.0249151, -0.0584239, -0.0741354, -0.0872747, -0.0986733, -0.106123, -0.109753, -0.112984, -0.114169, -0.114995, -0.11413, -0.105136, -0.0934, -0.0583244, -0.0381121, -0.0239736, -0.0163452, -0.0110098, -0.00800697, -0.00411946, -0.0011588, -0.000324068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.626232, 0.716265, 0.875678, 0.944838, 1.02471, 1.09573, 1.15621, 1.18786, 1.25153, 1.31424, 1.37692, 1.50841, 1.56622, 1.63078, 1.67828, 1.74162, 1.82915");
-            values ( \
-              "-0.00955914, -0.0254638, -0.0668501, -0.0822479, -0.0977236, -0.109842, -0.11814, -0.121576, -0.126074, -0.125359, -0.112029, -0.0497044, -0.0307671, -0.0171797, -0.0109841, -0.00585186, -0.00253657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.12392, 1.29521, 1.46493, 1.67145, 1.85797, 1.95334, 2.03607, 2.16118, 2.23168, 2.29906, 2.42417, 2.43616, 2.47726, 2.53208, 2.82812, 2.93613, 3.07205, 3.21169, 3.33227, 3.45737, 3.48878");
-            values ( \
-              "-0.0304383, -0.0364082, -0.0599326, -0.0853302, -0.105107, -0.113624, -0.119987, -0.127617, -0.130608, -0.132404, -0.131317, -0.130723, -0.127688, -0.120236, -0.0499771, -0.0322952, -0.01782, -0.00940408, -0.00526484, -0.0028475, -0.00251761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.480325, 0.499381, 0.513578, 0.531669, 0.540012, 0.5477, 0.555012, 0.562165, 0.56931, 0.571273, 0.583447, 0.590789, 0.597344, 0.605115, 0.613996, 0.625172, 0.645165, 0.726558");
-            values ( \
-              "-0.000605987, -0.0154939, -0.0302645, -0.0442327, -0.0489297, -0.0521798, -0.0543351, -0.0545347, -0.0502122, -0.0473414, -0.0231171, -0.0124415, -0.00669722, -0.00309129, -0.00118884, -0.000354537, -4.96875e-05, -3.76831e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.50571, 0.526328, 0.542691, 0.551612, 0.563042, 0.5771, 0.589532, 0.601002, 0.611951, 0.62267, 0.633378, 0.634762, 0.650866, 0.661964, 0.671659, 0.682238, 0.690837, 0.702902, 0.716061, 0.743196, 0.788653");
-            values ( \
-              "-0.00303163, -0.0200612, -0.0374582, -0.0455655, -0.0542859, -0.0632073, -0.0694775, -0.0740797, -0.0769184, -0.077207, -0.0705378, -0.0688127, -0.0390939, -0.0220973, -0.0125015, -0.00641497, -0.00360418, -0.00154181, -0.000626994, -0.000167417, -9.65614e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.557837, 0.578716, 0.593344, 0.617097, 0.645968, 0.669378, 0.690169, 0.709228, 0.727446, 0.745344, 0.761485, 0.769394, 0.792636, 0.807451, 0.81442, 0.821645, 0.831881, 0.836266, 0.845035, 0.862575, 0.883358, 0.884381");
-            values ( \
-              "-0.0119401, -0.0228374, -0.0333834, -0.0518802, -0.0689976, -0.0802716, -0.0885351, -0.0945744, -0.0979895, -0.097834, -0.0900075, -0.0815344, -0.0488257, -0.0317338, -0.0254599, -0.0201029, -0.014068, -0.0121018, -0.00878704, -0.00455439, -0.00200119, -0.00195511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.669503, 0.70594, 0.71053, 0.731529, 0.748551, 0.762865, 0.777179, 0.791493, 0.822653, 0.835007, 0.846468, 0.859441, 0.868511, 0.883357, 0.898327, 0.915484, 0.932128, 0.936395, 0.944927, 0.949194, 0.957209, 0.965224, 0.96932, 0.973415, 0.97751, 0.981606, 0.98561, 0.989613, 0.993617, 0.997621, 1.00473, 1.01184, 1.01895, 1.02606, 1.02749, 1.03177, 1.04318, 1.07486, 1.0843, 1.09185, 1.10318, 1.11112, 1.12107, 1.12593, 1.1308, 1.13567, 1.1454, 1.15026, 1.15307, 1.16527");
-            values ( \
-              "-0.0306716, -0.0316224, -0.0337998, -0.044318, -0.0525624, -0.0589196, -0.0647071, -0.0702512, -0.0816076, -0.085674, -0.0892703, -0.0932227, -0.0958545, -0.0996627, -0.103167, -0.106796, -0.110025, -0.110769, -0.112136, -0.112758, -0.113802, -0.114692, -0.114852, -0.1149, -0.114837, -0.114662, -0.114383, -0.113998, -0.113506, -0.112908, -0.111463, -0.109609, -0.107345, -0.104672, -0.103931, -0.101519, -0.092266, -0.0637987, -0.0555616, -0.0496748, -0.0417528, -0.0367555, -0.0307843, -0.0282779, -0.0259514, -0.0238916, -0.0201383, -0.0184447, -0.0175575, -0.0139042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.844225, 0.92719, 1.08583, 1.15501, 1.23526, 1.306, 1.36653, 1.39817, 1.46183, 1.52453, 1.58721, 1.71869, 1.77649, 1.84106, 1.88856, 1.95189, 2.03858");
-            values ( \
-              "-0.0123484, -0.0256573, -0.0668509, -0.0822632, -0.0978064, -0.109868, -0.11817, -0.121604, -0.126095, -0.125375, -0.112038, -0.0497073, -0.0307675, -0.01718, -0.0109845, -0.00585228, -0.00256831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.24042, 1.43032, 1.72052, 1.90654, 2.04892, 2.17991, 2.2471, 2.3722, 2.44241, 2.51005, 2.63515, 2.64713, 2.68821, 2.74299, 2.95283, 3.03903, 3.14717, 3.20378, 3.28305, 3.38538, 3.51048, 3.63559, 3.76069, 4.0109");
-            values ( \
-              "-0.00885879, -0.0263569, -0.06573, -0.0880731, -0.103178, -0.114907, -0.119987, -0.127626, -0.130594, -0.132412, -0.131312, -0.130724, -0.127692, -0.120249, -0.0685982, -0.0499913, -0.0322877, -0.0253382, -0.0178182, -0.011168, -0.00612962, -0.00332635, -0.00178958, -0.000513485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.930019, 0.940444, 0.946599, 0.948777, 0.953131, 0.958629, 0.96049, 0.96421, 0.971713, 0.982063, 0.986182, 0.992574, 0.997245, 1.00675, 1.01534, 1.02337, 1.03117, 1.03895, 1.04043, 1.04213, 1.04504, 1.05293, 1.05653, 1.05964, 1.0638, 1.06686, 1.07038, 1.07507, 1.08446, 1.08835");
-            values ( \
-              "-0.00224846, -0.00476024, -0.00644272, -0.00745844, -0.00904177, -0.0119024, -0.0130322, -0.0156958, -0.0218931, -0.0290803, -0.0317665, -0.0357221, -0.0384746, -0.0434345, -0.0471042, -0.0495563, -0.0504418, -0.0468956, -0.0451259, -0.0427889, -0.037871, -0.0234712, -0.0179127, -0.013924, -0.00970717, -0.0073679, -0.00531435, -0.0033714, -0.00125446, -0.00092895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.96943, 0.982632, 0.999188, 1.01774, 1.03769, 1.05321, 1.06669, 1.07893, 1.09057, 1.10195, 1.11332, 1.14039, 1.14877, 1.16176, 1.17735, 1.18522");
-            values ( \
-              "-0.00596306, -0.00994695, -0.0186587, -0.0343518, -0.0486111, -0.057947, -0.0648589, -0.0694495, -0.0725776, -0.0725744, -0.0668202, -0.0246267, -0.0156671, -0.00724573, -0.00265198, -0.00172093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("1.03706, 1.06131, 1.06389, 1.06647, 1.0716, 1.10511, 1.11018, 1.12032, 1.12809, 1.13623, 1.14707, 1.16087, 1.16978, 1.18158, 1.1903, 1.19642, 1.20254, 1.22153, 1.22388, 1.22623, 1.22857, 1.23092, 1.23322, 1.23553, 1.23783, 1.24014, 1.24326, 1.24637, 1.24949, 1.2526, 1.25431, 1.2577, 1.25941, 1.26279, 1.26959, 1.27524, 1.27978, 1.28673, 1.29144, 1.29612, 1.29847, 1.30282, 1.30861, 1.3115, 1.3144, 1.31729, 1.32018, 1.32308, 1.32597, 1.33207");
-            values ( \
-              "-0.0103778, -0.0184961, -0.0198081, -0.021201, -0.0242294, -0.0471827, -0.0503956, -0.0565417, -0.0609785, -0.0653008, -0.0704405, -0.0767927, -0.0801896, -0.0843767, -0.0872217, -0.088915, -0.0904176, -0.0940979, -0.0942546, -0.0943455, -0.0943707, -0.09433, -0.0942259, -0.0940584, -0.0938273, -0.0935327, -0.0928405, -0.0919346, -0.0908152, -0.0894822, -0.088393, -0.0858675, -0.0844312, -0.0807928, -0.0729657, -0.0658664, -0.0598373, -0.0502432, -0.0443871, -0.0390283, -0.0364993, -0.0321016, -0.0265318, -0.0242112, -0.0220525, -0.0200988, -0.0182783, -0.016591, -0.0150369, -0.0121465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.08229, 1.15889, 1.17655, 1.20468, 1.28097, 1.31826, 1.36183, 1.40007, 1.43037, 1.46846, 1.48487, 1.50098, 1.53111, 1.60534, 1.62542, 1.65861, 1.68487, 1.70587, 1.7452, 1.74531");
-            values ( \
-              "-0.00289779, -0.0102725, -0.016174, -0.0277972, -0.0637901, -0.0780005, -0.0922107, -0.102157, -0.108433, -0.113892, -0.114587, -0.113945, -0.104197, -0.0427668, -0.0305976, -0.016865, -0.0103009, -0.00691149, -0.00301919, -0.00301528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.37924, 1.46608, 1.54078, 1.62555, 1.68128, 1.76477, 1.81222, 1.87287, 1.90448, 1.96808, 2.03073, 2.09336, 2.22481, 2.28263, 2.30933, 2.34717, 2.39466, 2.45797, 2.54605");
-            values ( \
-              "-0.0228088, -0.0339851, -0.0543436, -0.0747463, -0.0865173, -0.102179, -0.110026, -0.118327, -0.121724, -0.126208, -0.125441, -0.112099, -0.0497214, -0.0307695, -0.0242999, -0.0171819, -0.0109867, -0.00585397, -0.0025152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.82611, 1.96455, 2.23719, 2.43871, 2.57661, 2.75254, 2.87765, 2.94818, 3.01553, 3.14063, 3.1526, 3.19361, 3.24829, 3.54433, 3.65277, 3.78857, 3.89076, 4.01587, 4.14097, 4.23782");
-            values ( \
-              "-0.0299884, -0.030037, -0.067148, -0.0910995, -0.105326, -0.11999, -0.127628, -0.13061, -0.132414, -0.131316, -0.130728, -0.127703, -0.120282, -0.0500262, -0.0322698, -0.0178145, -0.0111727, -0.00613224, -0.00332779, -0.00213766" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00710227, 0.00711816, 0.00713592, 0.00715112, 0.00716151, 0.00716752", \
-            "0.00779916, 0.00781134, 0.00782658, 0.00784102, 0.00785173, 0.00785824", \
-            "0.0081573, 0.00816406, 0.00817384, 0.00818468, 0.00819374, 0.00819966", \
-            "0.00837785, 0.00837959, 0.0083833, 0.00838865, 0.00839429, 0.00839861", \
-            "0.00851298, 0.0085135, 0.00851443, 0.00851606, 0.00851851, 0.0085211", \
-            "0.00860728, 0.00860754, 0.00860797, 0.00860848, 0.0086092, 0.00861027" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00898929, 0.00900437, 0.00902206, 0.00903791, 0.00904958, 0.00905627", \
-            "0.00944892, 0.0094613, 0.00947574, 0.00948797, 0.00949567, 0.00950106", \
-            "0.0097556, 0.00976525, 0.00977611, 0.00978518, 0.009791, 0.00979386", \
-            "0.0100143, 0.0100149, 0.0100161, 0.0100174, 0.010018, 0.010018", \
-            "0.0104644, 0.0103938, 0.0103121, 0.0102395, 0.0101877, 0.0101558", \
-            "0.0108858, 0.0108966, 0.0107062, 0.0104947, 0.0103366, 0.0102438" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.148545, 0.192386, 0.282935, 0.471222, 0.868833, 1.70766", \
-            "0.152346, 0.196361, 0.286792, 0.4753, 0.872702, 1.71175", \
-            "0.161344, 0.205442, 0.296088, 0.484715, 0.88226, 1.72146", \
-            "0.183166, 0.227408, 0.318098, 0.507033, 0.904584, 1.74418", \
-            "0.230507, 0.278567, 0.371891, 0.561527, 0.959209, 1.79858", \
-            "0.285656, 0.348875, 0.467323, 0.683975, 1.09108, 1.93017" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0442725, 0.0673995, 0.115021, 0.216366, 0.428359, 0.875991", \
-            "0.0442478, 0.067342, 0.115211, 0.21635, 0.428566, 0.875899", \
-            "0.0442908, 0.0673641, 0.115026, 0.216326, 0.428502, 0.875994", \
-            "0.0442227, 0.0672521, 0.11504, 0.216242, 0.428602, 0.875914", \
-            "0.0471499, 0.0689543, 0.115433, 0.216069, 0.428171, 0.875992", \
-            "0.056862, 0.0793446, 0.124668, 0.220106, 0.428465, 0.875846" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.170139, 0.223131, 0.331071, 0.553923, 1.02005, 2.00234", \
-            "0.173968, 0.227139, 0.335012, 0.558259, 1.02449, 2.00699", \
-            "0.183912, 0.237203, 0.345488, 0.56899, 1.03557, 2.01817", \
-            "0.210573, 0.263615, 0.371715, 0.595171, 1.0621, 2.04506", \
-            "0.277702, 0.330805, 0.438855, 0.661372, 1.12747, 2.11031", \
-            "0.39944, 0.468797, 0.59529, 0.825915, 1.29187, 2.2722" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0484227, 0.0728048, 0.120495, 0.21726, 0.41751, 0.838221", \
-            "0.048306, 0.072773, 0.120646, 0.217275, 0.417553, 0.838238", \
-            "0.0483679, 0.0728239, 0.120574, 0.217286, 0.417514, 0.838232", \
-            "0.0483235, 0.0727603, 0.120502, 0.217363, 0.417448, 0.83823", \
-            "0.0496663, 0.0733811, 0.12056, 0.217199, 0.417532, 0.838211", \
-            "0.0626149, 0.0860838, 0.129754, 0.219423, 0.41731, 0.838217" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.10083, 0.109538, 0.13002, 0.141112, 0.149226, 0.154619, 0.159009, 0.162786, 0.169476, 0.184572, 0.192983, 0.203375, 0.212531, 0.223752, 0.242586, 0.26408, 0.265514");
-            values ( \
-              "0.00592986, 0.0131277, 0.0397652, 0.0503172, 0.0554655, 0.0575092, 0.0582281, 0.0580062, 0.0542566, 0.028588, 0.0187914, 0.0107226, 0.00647629, 0.00333897, 0.00117479, 0.000518713, 0.000508618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.132474, 0.151163, 0.15362, 0.158533, 0.160989, 0.163738, 0.166486, 0.171983, 0.174732, 0.178775, 0.181363, 0.184636, 0.186729, 0.189735, 0.193744, 0.197753, 0.200109, 0.201288, 0.203644, 0.204823, 0.206194, 0.207565, 0.208936, 0.210307, 0.212867, 0.215426, 0.217986, 0.221022, 0.222454, 0.225794, 0.237821, 0.240452, 0.243084, 0.247369, 0.251654, 0.257777, 0.259285, 0.261847, 0.265712, 0.268319, 0.271367, 0.273112, 0.274856, 0.278346, 0.28009, 0.282085, 0.28408, 0.288069, 0.293693, 0.298188");
-            values ( \
-              "0.0429077, 0.0454437, 0.048442, 0.0541123, 0.0567845, 0.059514, 0.0621143, 0.0668991, 0.0691192, 0.0721757, 0.0738853, 0.0758317, 0.0769019, 0.0781811, 0.07947, 0.0805301, 0.0810466, 0.0810705, 0.0809548, 0.0808153, 0.0805845, 0.0802799, 0.0799017, 0.0794497, 0.0783767, 0.0770265, 0.075399, 0.0731075, 0.0714125, 0.0666554, 0.0483932, 0.0448598, 0.0415034, 0.036417, 0.0318003, 0.0258329, 0.0244971, 0.0224256, 0.0195686, 0.0178022, 0.0158573, 0.0148025, 0.0138693, 0.0121565, 0.011377, 0.010587, 0.00983839, 0.00846523, 0.00683929, 0.00565225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.161421, 0.180393, 0.208425, 0.233776, 0.249163, 0.254285, 0.264037, 0.272802, 0.280718, 0.290347, 0.294178, 0.298132, 0.30604, 0.307988, 0.311886, 0.317683, 0.321899, 0.334024, 0.361124, 0.376502, 0.389707, 0.398753, 0.411223, 0.42207, 0.43313, 0.448953, 0.469574, 0.488199, 0.510103, 0.53455, 0.564056, 0.602798");
-            values ( \
-              "0.023645, 0.0299339, 0.0585138, 0.0792805, 0.0884545, 0.0911397, 0.0949113, 0.0976585, 0.0991329, 0.10028, 0.100315, 0.100199, 0.0992067, 0.0987461, 0.0975747, 0.0949621, 0.0923709, 0.082102, 0.0550922, 0.041965, 0.0325575, 0.0271027, 0.020846, 0.0165152, 0.012961, 0.00907593, 0.00566313, 0.00366511, 0.0021793, 0.00122281, 0.000608181, 0.000249658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.204058, 0.252497, 0.269913, 0.326832, 0.347628, 0.374095, 0.382825, 0.399649, 0.409779, 0.429441, 0.446219, 0.455691, 0.467609, 0.479335, 0.495756, 0.512431, 0.545781, 0.573205, 0.622873, 0.654019, 0.669205, 0.685809, 0.707113, 0.724224, 0.745122, 0.774499, 0.805078, 0.828508, 0.875369, 0.917107");
-            values ( \
-              "0.00444916, 0.0197995, 0.029933, 0.0665715, 0.0782396, 0.0907483, 0.0942907, 0.100335, 0.10344, 0.108239, 0.111021, 0.112056, 0.112828, 0.113049, 0.11242, 0.110374, 0.100006, 0.0854144, 0.0573685, 0.0424706, 0.0362798, 0.0303065, 0.0238548, 0.0195759, 0.0152723, 0.0106748, 0.00732173, 0.00545275, 0.00297344, 0.00194304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.381034, 0.451679, 0.549525, 0.591035, 0.632732, 0.676029, 0.718816, 0.742798, 0.778611, 0.810894, 0.860477, 0.876548, 0.90869, 0.942027, 1.00809, 1.06348, 1.16295, 1.22736, 1.28524, 1.32829, 1.36337, 1.40463, 1.46278, 1.52263, 1.56837, 1.65986, 1.77999, 1.90011, 2.02023");
-            values ( \
-              "0.0166122, 0.0280116, 0.0633659, 0.0770283, 0.0893547, 0.100025, 0.10822, 0.111903, 0.116226, 0.119011, 0.121121, 0.121244, 0.120515, 0.118121, 0.106566, 0.0909606, 0.0609436, 0.0444438, 0.0325754, 0.0255285, 0.0208157, 0.0162709, 0.0113879, 0.00785855, 0.00589069, 0.0032467, 0.00144973, 0.000641836, 0.000286245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.671601, 0.849327, 1.02159, 1.10467, 1.18351, 1.29135, 1.34585, 1.39799, 1.44321, 1.51591, 1.58166, 1.70178, 1.74274, 1.7955, 1.84963, 1.95791, 2.06616, 2.24269, 2.34239, 2.42842, 2.52851, 2.63535, 2.67992, 2.74963, 2.82707, 2.93421, 3.05649, 3.17661, 3.29673, 3.41686, 3.53698, 3.77722, 4.01747");
-            values ( \
-              "0.00889113, 0.0287403, 0.0608495, 0.075478, 0.0879084, 0.10228, 0.108304, 0.113228, 0.116823, 0.121322, 0.124059, 0.125973, 0.125668, 0.124475, 0.122088, 0.11292, 0.0986374, 0.0717939, 0.0575442, 0.0467437, 0.0360965, 0.0269862, 0.0237859, 0.0194925, 0.015572, 0.0112924, 0.00780311, 0.00540927, 0.00370694, 0.0025652, 0.00174219, 0.00081255, 0.000375526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.115219, 0.117373, 0.121707, 0.129065, 0.138061, 0.14828, 0.156486, 0.161128, 0.169064, 0.17375, 0.177327, 0.184014, 0.199057, 0.207549, 0.217929, 0.227041, 0.234245, 0.238281, 0.244735, 0.257008, 0.275511");
-            values ( \
-              "0.00628763, 0.00724877, 0.0106431, 0.0192498, 0.0321993, 0.0437979, 0.0510116, 0.0541064, 0.0575331, 0.058273, 0.0580131, 0.0542794, 0.0286573, 0.0187644, 0.0107128, 0.00648594, 0.00418014, 0.00334048, 0.00233093, 0.00118239, 0.000603354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.134005, 0.145347, 0.165784, 0.172181, 0.180997, 0.193252, 0.204206, 0.214566, 0.224797, 0.235019, 0.252865, 0.258822, 0.266419, 0.272512, 0.283136, 0.28883, 0.296718, 0.306965, 0.313027, 0.322503, 0.334308, 0.35524, 0.370555, 0.396266, 0.43218");
-            values ( \
-              "0.0102516, 0.0188432, 0.0457169, 0.0532084, 0.0623478, 0.0723453, 0.0782558, 0.0809806, 0.0804717, 0.0740327, 0.0478981, 0.0400292, 0.0315147, 0.0257007, 0.0176381, 0.0143234, 0.0106497, 0.00715116, 0.00565055, 0.00390441, 0.00250167, 0.00125308, 0.000739227, 0.000296421, 9.71964e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.167054, 0.188195, 0.222632, 0.248076, 0.268692, 0.287216, 0.293674, 0.304962, 0.313437, 0.322473, 0.331278, 0.337887, 0.347173, 0.375539, 0.39094, 0.413398, 0.425752, 0.436481, 0.447569, 0.463413, 0.484115, 0.502481, 0.524063, 0.548096, 0.614944");
-            values ( \
-              "0.00977, 0.0233517, 0.0580088, 0.078944, 0.0909241, 0.0975708, 0.098903, 0.100202, 0.100119, 0.0987463, 0.0954388, 0.0913085, 0.0833875, 0.0551652, 0.041995, 0.027015, 0.0208282, 0.0165435, 0.0129754, 0.00908183, 0.00565615, 0.00368319, 0.00220794, 0.00125125, 0.000263712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.237178, 0.276831, 0.339889, 0.372481, 0.397875, 0.425894, 0.444225, 0.460332, 0.469907, 0.481757, 0.493417, 0.509837, 0.526513, 0.559357, 0.577403, 0.636579, 0.668132, 0.681137, 0.707148, 0.726396, 0.750514, 0.782671, 0.801161, 0.829509, 0.867308, 0.942904, 1.0377");
-            values ( \
-              "0.0119015, 0.0255723, 0.0661809, 0.0836342, 0.0946665, 0.104039, 0.108393, 0.111039, 0.112075, 0.112843, 0.113053, 0.11243, 0.110374, 0.100229, 0.0908709, 0.0575903, 0.0424639, 0.0371191, 0.0279291, 0.0224524, 0.016932, 0.0114705, 0.0091455, 0.00643177, 0.00395612, 0.00140722, 0.000342547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.372687, 0.464428, 0.560183, 0.601008, 0.651069, 0.696827, 0.738004, 0.792782, 0.825208, 0.873966, 0.890901, 0.923357, 0.956391, 1.02246, 1.07787, 1.17732, 1.23762, 1.29967, 1.34269, 1.37775, 1.41902, 1.47717, 1.53704, 1.58279, 1.67431, 1.79443, 1.91455, 2.03467");
-            values ( \
-              "0.00708729, 0.0272704, 0.0619221, 0.0754805, 0.0902791, 0.101312, 0.108924, 0.116143, 0.118924, 0.121068, 0.12118, 0.120477, 0.118075, 0.106573, 0.0909645, 0.0609593, 0.0453854, 0.0325636, 0.0255326, 0.0208221, 0.0162662, 0.0113931, 0.00786203, 0.00588595, 0.00324972, 0.00144542, 0.000645562, 0.000282298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.732529, 0.864768, 1.05934, 1.1655, 1.25024, 1.36848, 1.45778, 1.49613, 1.57285, 1.6428, 1.72966, 1.84978, 1.89268, 1.94748, 2.00826, 2.12838, 2.27363, 2.37822, 2.44203, 2.50735, 2.57374, 2.66035, 2.72897, 2.81481, 2.93494, 3.0614, 3.15831, 3.27843, 3.39855, 3.51867, 3.75891, 3.99916");
-            values ( \
-              "0.0216024, 0.0289809, 0.0651166, 0.0830212, 0.0952815, 0.109149, 0.116848, 0.11941, 0.123244, 0.12527, 0.125905, 0.12289, 0.120276, 0.115526, 0.108578, 0.0914489, 0.069344, 0.0547372, 0.0468594, 0.0396663, 0.0332769, 0.0261954, 0.021579, 0.0168424, 0.0117627, 0.00804075, 0.00598771, 0.00411613, 0.00284026, 0.00193918, 0.000907703, 0.000422466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.150126, 0.158607, 0.172558, 0.182828, 0.191065, 0.198363, 0.205216, 0.21193, 0.218637, 0.230698, 0.24055, 0.25082, 0.262449, 0.274703, 0.290632, 0.303687");
-            values ( \
-              "0.00587381, 0.013125, 0.0320662, 0.0436456, 0.0510039, 0.0554939, 0.0579268, 0.0580673, 0.054274, 0.0330332, 0.0203584, 0.0117684, 0.00612964, 0.00305358, 0.00125655, 0.000792716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.162363, 0.173833, 0.178345, 0.183286, 0.200742, 0.216044, 0.228334, 0.239289, 0.249652, 0.259884, 0.270108, 0.287941, 0.293903, 0.301507, 0.307611, 0.318175, 0.323934, 0.3319, 0.342042, 0.348123, 0.357572, 0.369244, 0.381262");
-            values ( \
-              "0.00663864, 0.0121937, 0.0165312, 0.0223001, 0.0456112, 0.0621841, 0.0723766, 0.0781652, 0.0810229, 0.080405, 0.0740569, 0.0479158, 0.0400416, 0.0315157, 0.0256922, 0.0176709, 0.0143173, 0.0106136, 0.00715613, 0.00565046, 0.00390849, 0.00251641, 0.00179226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.209281, 0.22387, 0.257756, 0.283115, 0.298496, 0.303627, 0.322145, 0.329863, 0.339266, 0.343121, 0.347165, 0.357332, 0.361491, 0.367142, 0.371306, 0.383064, 0.410453, 0.425836, 0.434535, 0.448134, 0.460577, 0.471398, 0.482465, 0.498292, 0.518932, 0.536983, 0.558296, 0.581872, 0.647198");
-            values ( \
-              "0.0237906, 0.0242354, 0.0585026, 0.0792779, 0.0884309, 0.0911393, 0.0976591, 0.0990898, 0.100257, 0.10031, 0.100212, 0.0987415, 0.0974807, 0.0948931, 0.0923309, 0.0824008, 0.0551049, 0.0419757, 0.0355808, 0.0270772, 0.0208474, 0.0165274, 0.0129584, 0.00908316, 0.00566762, 0.00370914, 0.00224793, 0.00127883, 0.000274509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.272631, 0.311906, 0.374875, 0.407629, 0.432593, 0.459814, 0.478866, 0.495273, 0.505017, 0.516794, 0.528382, 0.544801, 0.561477, 0.59378, 0.615542, 0.673764, 0.697328, 0.714656, 0.732067, 0.755144, 0.775222, 0.795422, 0.824257, 0.836589, 0.861252, 0.910579, 0.983185, 1.07735");
-            values ( \
-              "0.0121081, 0.0257274, 0.0661501, 0.0837509, 0.0945959, 0.103745, 0.108343, 0.111047, 0.11209, 0.112854, 0.113055, 0.112436, 0.110376, 0.100464, 0.0891009, 0.0564548, 0.044997, 0.0376693, 0.0312645, 0.0241134, 0.0191167, 0.0150548, 0.0105708, 0.00910177, 0.00667609, 0.0035369, 0.00129701, 0.000340496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.404617, 0.500145, 0.587491, 0.625975, 0.682108, 0.724079, 0.76103, 0.792488, 0.826388, 0.860562, 0.906419, 0.926076, 0.958614, 0.991718, 1.05779, 1.11316, 1.21271, 1.27707, 1.33486, 1.37798, 1.41313, 1.45437, 1.51251, 1.57231, 1.61802, 1.70943, 1.82955, 1.94967, 2.06979");
-            values ( \
-              "0.00546864, 0.0275439, 0.0591959, 0.0722233, 0.0891491, 0.0995921, 0.106887, 0.111834, 0.115982, 0.118957, 0.121021, 0.121202, 0.120482, 0.118092, 0.106566, 0.0909703, 0.0609326, 0.0444455, 0.0325916, 0.0255328, 0.0208104, 0.0162666, 0.0113875, 0.0078608, 0.0058925, 0.00325096, 0.0014504, 0.000643397, 0.000285683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.815761, 0.99585, 1.11074, 1.20481, 1.2954, 1.39496, 1.49282, 1.56553, 1.63127, 1.75139, 1.79235, 1.84511, 1.89925, 2.00752, 2.11578, 2.392, 2.47804, 2.57813, 2.68497, 2.79923, 2.87668, 2.98382, 3.10611, 3.22623, 3.34636, 3.5866, 3.82684, 4.06709");
-            values ( \
-              "0.0308535, 0.0467934, 0.0679719, 0.0836374, 0.0965823, 0.108252, 0.116824, 0.121318, 0.12406, 0.12597, 0.125665, 0.124476, 0.122086, 0.112922, 0.0986383, 0.057544, 0.0467428, 0.0360948, 0.0269867, 0.019493, 0.0155723, 0.0112925, 0.00780299, 0.00540912, 0.00370692, 0.00174224, 0.000812647, 0.000375658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.233407, 0.238664, 0.241814, 0.247248, 0.25595, 0.266139, 0.273325, 0.280359, 0.284119, 0.288456, 0.295163, 0.301869, 0.3139, 0.320211, 0.323781, 0.328218, 0.334133, 0.340805, 0.345611, 0.352545, 0.357958, 0.368127, 0.373841, 0.386688, 0.393922, 0.412508");
-            values ( \
-              "0.00674722, 0.0100493, 0.0131235, 0.0199368, 0.0322516, 0.043817, 0.0502575, 0.0549138, 0.0566326, 0.0579069, 0.0581062, 0.05425, 0.0330815, 0.0242449, 0.0203592, 0.0161589, 0.0117151, 0.00811135, 0.00615448, 0.00412914, 0.00304905, 0.00173019, 0.00125906, 0.000691973, 0.000543319, 0.000404562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.249901, 0.267041, 0.283889, 0.299086, 0.31133, 0.32226, 0.332607, 0.342828, 0.353041, 0.370415, 0.384185, 0.396409, 0.413905, 0.430936, 0.453918, 0.472803");
-            values ( \
-              "0.00428161, 0.0235814, 0.0460866, 0.0624821, 0.0725779, 0.0783106, 0.0811273, 0.0804758, 0.0741038, 0.0485649, 0.0317453, 0.0209235, 0.0109915, 0.00567963, 0.00237158, 0.00145744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.301373, 0.321575, 0.32833, 0.337171, 0.346013, 0.350434, 0.359276, 0.363116, 0.366955, 0.374634, 0.382312, 0.387473, 0.392351, 0.39479, 0.399421, 0.405995, 0.409843, 0.413691, 0.418373, 0.423054, 0.424896, 0.429516, 0.433842, 0.438168, 0.440332, 0.442061, 0.445519, 0.448812, 0.452607, 0.456704, 0.459696, 0.46568, 0.47284, 0.485067, 0.491183, 0.498092, 0.501943, 0.509645, 0.517838, 0.522984, 0.529847, 0.535218, 0.544471, 0.549826, 0.555182, 0.563501, 0.570236, 0.57816, 0.583848, 0.589027");
-            values ( \
-              "0.0387634, 0.0388167, 0.0458578, 0.054525, 0.0626441, 0.0664981, 0.0737945, 0.0766587, 0.0793719, 0.0842705, 0.0886388, 0.0912766, 0.093339, 0.0942757, 0.0958807, 0.0977698, 0.0985077, 0.0991363, 0.0997538, 0.10021, 0.100297, 0.100162, 0.0998103, 0.0992619, 0.0989137, 0.0984908, 0.0972924, 0.0958171, 0.0937555, 0.0911045, 0.0887926, 0.0834057, 0.0763056, 0.0636259, 0.0577715, 0.0515338, 0.04823, 0.0419943, 0.0358885, 0.0322417, 0.0281698, 0.025288, 0.020778, 0.0184046, 0.0162992, 0.0136475, 0.0118007, 0.0098789, 0.00863226, 0.00759391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.339807, 0.379081, 0.405616, 0.460561, 0.490817, 0.518486, 0.536206, 0.550979, 0.563054, 0.578811, 0.585097, 0.59228, 0.606646, 0.612766, 0.625006, 0.64589, 0.679181, 0.755302, 0.787456, 0.819817, 0.840771, 0.857247, 0.878293, 0.907784, 0.938789, 0.962593, 1.0102, 1.05631");
-            values ( \
-              "0.00527748, 0.0166689, 0.0315522, 0.0669375, 0.0832938, 0.0952834, 0.101455, 0.105648, 0.108374, 0.110996, 0.111725, 0.112378, 0.113055, 0.113099, 0.112734, 0.110417, 0.10004, 0.0579091, 0.0424757, 0.0301202, 0.0237963, 0.0196722, 0.0153232, 0.010694, 0.00729585, 0.00540919, 0.00291739, 0.00178897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.510219, 0.582928, 0.671782, 0.722636, 0.766748, 0.811701, 0.854256, 0.876072, 0.91135, 0.944224, 0.991912, 1.00976, 1.0423, 1.07541, 1.1415, 1.19685, 1.29643, 1.36077, 1.41853, 1.46168, 1.49685, 1.53809, 1.59623, 1.65601, 1.7017, 1.79308, 1.91321, 2.03333, 2.15345");
-            values ( \
-              "0.0162742, 0.0270858, 0.0592799, 0.0762871, 0.0893648, 0.100394, 0.108447, 0.111778, 0.116073, 0.118924, 0.121029, 0.121183, 0.120463, 0.11808, 0.106557, 0.0909694, 0.0609281, 0.0444485, 0.0325938, 0.0255369, 0.0208115, 0.0162622, 0.0113902, 0.00786421, 0.00589043, 0.003255, 0.001448, 0.00064653, 0.000282891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.868586, 1.03698, 1.16193, 1.2578, 1.36509, 1.44423, 1.5325, 1.57703, 1.64971, 1.71547, 1.83559, 1.87653, 1.9293, 1.98344, 2.09172, 2.19994, 2.47622, 2.56222, 2.66226, 2.76914, 2.88348, 2.9609, 3.06802, 3.19025, 3.31037, 3.4305, 3.55062, 3.67074, 3.91098, 4.15123");
-            values ( \
-              "0.022411, 0.0385901, 0.0620783, 0.0787116, 0.0946595, 0.104512, 0.113308, 0.116825, 0.121337, 0.124057, 0.125986, 0.125679, 0.12447, 0.122099, 0.112913, 0.098634, 0.0575456, 0.0467494, 0.0361064, 0.0269818, 0.019493, 0.0155668, 0.0112952, 0.00780724, 0.0054066, 0.00371057, 0.00256228, 0.00174555, 0.000815755, 0.000378629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.412865, 0.428977, 0.434144, 0.451807, 0.459052, 0.468173, 0.476086, 0.4834, 0.49049, 0.497568, 0.502109, 0.511736, 0.51997, 0.528723, 0.533469, 0.539402, 0.545884, 0.552674, 0.562965, 0.574263, 0.588894, 0.599197");
-            values ( \
-              "0.000983572, 0.00867407, 0.0125299, 0.0317178, 0.0388993, 0.04653, 0.0516702, 0.0545228, 0.0553881, 0.0522868, 0.0458067, 0.0295903, 0.0199088, 0.0126575, 0.00981607, 0.00706985, 0.00491589, 0.00336154, 0.00190395, 0.00106841, 0.000586874, 0.000504973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.455025, 0.472807, 0.480206, 0.485061, 0.489192, 0.491706, 0.496733, 0.500149, 0.503565, 0.50962, 0.513586, 0.517459, 0.520964, 0.523714, 0.525089, 0.526465, 0.529018, 0.531572, 0.533545, 0.535518, 0.537491, 0.539464, 0.541332, 0.541955, 0.543201, 0.544447, 0.545693, 0.546939, 0.549834, 0.551281, 0.552728, 0.555128, 0.559927, 0.563791, 0.570292, 0.572123, 0.575787, 0.57945, 0.585782, 0.58917, 0.592557, 0.596063, 0.600161, 0.605069, 0.609026, 0.614202, 0.618409, 0.623372, 0.625853, 0.630092");
-            values ( \
-              "0.0302252, 0.0321674, 0.0411641, 0.0466503, 0.051068, 0.0536424, 0.0585345, 0.0616531, 0.0646415, 0.069172, 0.0719202, 0.0742678, 0.0761182, 0.0773378, 0.0778709, 0.0783529, 0.0790455, 0.0795194, 0.0796696, 0.0797102, 0.0796414, 0.079463, 0.0791929, 0.0790811, 0.078701, 0.0781949, 0.0775628, 0.0768046, 0.0744269, 0.0730728, 0.0716085, 0.068705, 0.0624532, 0.0569898, 0.0473461, 0.0448351, 0.0402954, 0.0360701, 0.0294374, 0.0261929, 0.0232863, 0.0206473, 0.0178954, 0.0148624, 0.0127039, 0.0105143, 0.00899015, 0.00744381, 0.00676326, 0.00573483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.475186, 0.505807, 0.54193, 0.567644, 0.588359, 0.607298, 0.613677, 0.624704, 0.633228, 0.642235, 0.651049, 0.657441, 0.667385, 0.695349, 0.710746, 0.733133, 0.74553, 0.7563, 0.767378, 0.783212, 0.803882, 0.821924, 0.843129, 0.866755, 0.932211");
-            values ( \
-              "0.0023592, 0.020514, 0.0570133, 0.0783713, 0.0906914, 0.097428, 0.0987691, 0.100057, 0.100018, 0.0986507, 0.0953851, 0.0914036, 0.0829274, 0.0551348, 0.0419688, 0.0270442, 0.0208338, 0.0165357, 0.0129713, 0.00908171, 0.00566047, 0.00371303, 0.00224844, 0.00128505, 0.00027861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.580146, 0.620979, 0.662387, 0.691553, 0.709049, 0.728846, 0.746929, 0.767333, 0.776941, 0.79012, 0.802339, 0.814368, 0.830772, 0.847436, 0.879264, 0.889941, 0.954889, 0.988977, 1.00718, 1.02798, 1.04738, 1.06902, 1.09787, 1.11569, 1.13385, 1.15806, 1.20649, 1.28461, 1.38348");
-            values ( \
-              "0.0309566, 0.0408362, 0.067287, 0.0830301, 0.0910014, 0.0986104, 0.104255, 0.108984, 0.110586, 0.11214, 0.112945, 0.113154, 0.112512, 0.11044, 0.100693, 0.095483, 0.0589752, 0.0424839, 0.0351402, 0.0279742, 0.022451, 0.017438, 0.0123066, 0.00989488, 0.0079088, 0.00583989, 0.00311486, 0.00105209, 0.000243915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.702366, 0.787302, 0.887436, 0.925668, 0.979032, 1.01866, 1.06699, 1.10133, 1.13962, 1.16071, 1.18661, 1.21211, 1.26309, 1.27747, 1.30622, 1.34767, 1.39919, 1.48696, 1.56346, 1.62389, 1.67403, 1.72867, 1.79381, 1.81606, 1.86756, 1.92641, 2.04412, 2.16424, 2.28437");
-            values ( \
-              "0.00909762, 0.0280113, 0.0641287, 0.0766984, 0.0921915, 0.10154, 0.110228, 0.114827, 0.118491, 0.119861, 0.120914, 0.121213, 0.119428, 0.118113, 0.114242, 0.105427, 0.0908244, 0.0642318, 0.0442998, 0.0320034, 0.0240615, 0.0174322, 0.0116958, 0.010194, 0.00740231, 0.00509063, 0.00232597, 0.00103167, 0.000460814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.01884, 1.18529, 1.36716, 1.45991, 1.56867, 1.64413, 1.73158, 1.77926, 1.85204, 1.91772, 2.03784, 2.07881, 2.13157, 2.1857, 2.29397, 2.40224, 2.67843, 2.76449, 2.86461, 2.97143, 3.08566, 3.16311, 3.27026, 3.39259, 3.51271, 3.63283, 3.87307, 4.11332, 4.35356");
-            values ( \
-              "0.0114768, 0.0287049, 0.0625762, 0.0786472, 0.0948222, 0.104215, 0.113006, 0.116815, 0.12132, 0.124054, 0.125971, 0.125666, 0.124471, 0.122088, 0.112919, 0.0986333, 0.0575492, 0.0467452, 0.0360947, 0.0269839, 0.0194963, 0.0155715, 0.0112944, 0.00780414, 0.00540724, 0.00370827, 0.00174367, 0.0008141, 0.000377107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.798728, 0.82067, 0.827561, 0.833149, 0.838892, 0.853301, 0.874649, 0.884592, 0.893571, 0.902036, 0.910436, 0.918827, 0.926356, 0.939361, 0.950906, 0.961595, 0.970382, 0.976429, 0.982758, 0.995599, 1.02066");
-            values ( \
-              "0.00160455, 0.00548422, 0.0077826, 0.0103085, 0.0136974, 0.0240374, 0.0367091, 0.0415981, 0.0450062, 0.0468429, 0.0458527, 0.0374787, 0.0267622, 0.0148681, 0.00832759, 0.00471251, 0.0029636, 0.00217765, 0.00156563, 0.00089103, 0.000565032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.81996, 0.844233, 0.86303, 0.877443, 0.930724, 0.944302, 0.956627, 0.968463, 0.980269, 0.982521, 1.01961, 1.0344, 1.04659, 1.06424, 1.08758, 1.09368");
-            values ( \
-              "0.00180891, 0.00467448, 0.010684, 0.0188548, 0.0571357, 0.0645101, 0.0690248, 0.0707805, 0.067269, 0.0652583, 0.0246123, 0.0148959, 0.00959176, 0.00494355, 0.00218109, 0.00191921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.909056, 0.936612, 0.945477, 0.957061, 0.992536, 1.0116, 1.03543, 1.056, 1.05974, 1.06936, 1.0753, 1.08475, 1.09402, 1.10014, 1.10641, 1.11333, 1.12096, 1.1489, 1.17104, 1.18675, 1.19962, 1.21591, 1.2311, 1.23999, 1.25409, 1.27253, 1.29363, 1.3184, 1.34691, 1.38462");
-            values ( \
-              "0.0139075, 0.0164505, 0.0212189, 0.0288181, 0.0540863, 0.0668254, 0.0803536, 0.0887223, 0.0898545, 0.0921886, 0.0931735, 0.0939824, 0.0935376, 0.0922826, 0.0899094, 0.0858787, 0.0798643, 0.0540151, 0.0366374, 0.0269515, 0.0206691, 0.0146328, 0.0105257, 0.0086506, 0.00630204, 0.00411649, 0.0025208, 0.0014069, 0.00072927, 0.000304205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.995941, 1.05744, 1.07787, 1.15308, 1.18332, 1.20906, 1.22837, 1.24708, 1.25825, 1.27323, 1.29185, 1.30856, 1.32535, 1.3556, 1.37166, 1.42447, 1.46412, 1.51007, 1.54439, 1.56364, 1.6012, 1.62242, 1.66484, 1.73629, 1.82781");
-            values ( \
-              "0.00460679, 0.0195557, 0.0293426, 0.0715586, 0.0860446, 0.0961878, 0.102278, 0.10683, 0.108814, 0.110614, 0.111581, 0.111234, 0.109454, 0.100883, 0.0932297, 0.0634529, 0.0437874, 0.0267952, 0.0180641, 0.0143895, 0.00909337, 0.00696204, 0.0040638, 0.00151797, 0.000421065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.23185, 1.30118, 1.37326, 1.43432, 1.4831, 1.50534, 1.52531, 1.56528, 1.60097, 1.6334, 1.68106, 1.69891, 1.73144, 1.76455, 1.83062, 1.88599, 1.98554, 2.04577, 2.07467, 2.1077, 2.15081, 2.18595, 2.2272, 2.28534, 2.31086, 2.34514, 2.39085, 2.48226, 2.60238, 2.7225, 2.84262");
-            values ( \
-              "0.0341573, 0.0374949, 0.063697, 0.0832964, 0.0964334, 0.101442, 0.105357, 0.111825, 0.116186, 0.118951, 0.121076, 0.121196, 0.1205, 0.118083, 0.106581, 0.0909812, 0.0609446, 0.0453848, 0.0390266, 0.0325843, 0.0255381, 0.0208162, 0.0162622, 0.0113926, 0.00973264, 0.00786451, 0.00588898, 0.0032544, 0.0014469, 0.000646829, 0.000282258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.52476, 1.67337, 1.86555, 1.94592, 2.05145, 2.13836, 2.18676, 2.25499, 2.3324, 2.4044, 2.52452, 2.56544, 2.61821, 2.67236, 2.78066, 2.88882, 3.1652, 3.25113, 3.3511, 3.45803, 3.57247, 3.64987, 3.75696, 3.87912, 3.99924, 4.11936, 4.3596, 4.59985, 4.84009");
-            values ( \
-              "0.0159865, 0.0290282, 0.0647629, 0.0785938, 0.0943421, 0.105107, 0.110143, 0.11604, 0.121011, 0.124077, 0.125981, 0.125675, 0.124484, 0.122093, 0.112922, 0.0986486, 0.0575317, 0.0467439, 0.0361081, 0.0269885, 0.0194858, 0.0155672, 0.0112916, 0.00780594, 0.00540993, 0.00370871, 0.00174346, 0.000813594, 0.000376472" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00617721, 0.00619519, 0.00621586, 0.00623392, 0.0062463, 0.00625346", \
-            "0.00690042, 0.00691783, 0.00694025, 0.0069621, 0.00697838, 0.00698829", \
-            "0.00728806, 0.00729996, 0.00731711, 0.00733694, 0.00735346, 0.00736444", \
-            "0.00752901, 0.00753208, 0.00753839, 0.00754772, 0.00755791, 0.00756588", \
-            "0.00769613, 0.00768746, 0.0076773, 0.00766951, 0.00766665, 0.00766692", \
-            "0.00782574, 0.00781057, 0.00778751, 0.00775985, 0.00773591, 0.00772101" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00755322, 0.00755722, 0.00756295, 0.00756834, 0.00757207, 0.00757434", \
-            "0.00757273, 0.00755657, 0.00753662, 0.00751757, 0.00750353, 0.0074951", \
-            "0.00745224, 0.00742188, 0.0073822, 0.00734386, 0.00731256, 0.0072913", \
-            "0.00740587, 0.0073563, 0.0072927, 0.00722898, 0.00717781, 0.00714403", \
-            "0.00762191, 0.0075179, 0.0073867, 0.007252, 0.00715998, 0.00710476", \
-            "0.00804249, 0.00804279, 0.00779546, 0.00750989, 0.00729197, 0.0071515" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.11306, 0.124986, 0.126534, 0.127878, 0.130363, 0.133395, 0.136427, 0.139426, 0.140425, 0.142258, 0.144091, 0.145924, 0.148828, 0.15204, 0.154181, 0.158463, 0.161994, 0.164247, 0.166838, 0.16998, 0.173122, 0.177055, 0.181008, 0.183186, 0.184276, 0.185365, 0.187216, 0.189067, 0.190919, 0.19277, 0.194621, 0.196472, 0.198322, 0.200173, 0.200615, 0.201056, 0.202234, 0.204588, 0.207994, 0.212254, 0.213443, 0.215391, 0.218461, 0.22004, 0.221499, 0.222958, 0.223988, 0.226049, 0.227079, 0.228795");
-            values ( \
-              "-0.000342983, -0.0127141, -0.0138801, -0.0149976, -0.0173199, -0.0208009, -0.0246641, -0.0278452, -0.0288341, -0.0304909, -0.0320703, -0.0335723, -0.0357748, -0.0380234, -0.0394293, -0.0421151, -0.0441904, -0.0453879, -0.0466809, -0.0480472, -0.0492545, -0.0506448, -0.0519054, -0.0521477, -0.0522158, -0.0522486, -0.0522232, -0.0520958, -0.0518662, -0.0515346, -0.050945, -0.050191, -0.0492726, -0.0481898, -0.0478239, -0.0473319, -0.0456481, -0.0416492, -0.0351996, -0.0268127, -0.0246843, -0.0214231, -0.0166918, -0.0144859, -0.0128185, -0.0112973, -0.0103232, -0.00857011, -0.00779106, -0.00662906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.142904, 0.152796, 0.1562, 0.162354, 0.168315, 0.174275, 0.17437, 0.17456, 0.175131, 0.178304, 0.180334, 0.184395, 0.188456, 0.194391, 0.199598, 0.206104, 0.213157, 0.218919, 0.225122, 0.230811, 0.237122, 0.242118, 0.243511, 0.244903, 0.246296, 0.247688, 0.249056, 0.250423, 0.251791, 0.253159, 0.255906, 0.258653, 0.261401, 0.264148, 0.264603, 0.265057, 0.265511, 0.267327, 0.27096, 0.274322, 0.279154, 0.282096, 0.286509, 0.289406, 0.293712, 0.29587, 0.29731, 0.298749, 0.301628, 0.307054");
-            values ( \
-              "-0.0182709, -0.0194959, -0.0224007, -0.0283898, -0.0344941, -0.0408975, -0.0407787, -0.0408158, -0.0411602, -0.0438268, -0.0453864, -0.0483632, -0.051196, -0.0551169, -0.0582213, -0.0615899, -0.0648611, -0.0672844, -0.0696438, -0.0715334, -0.0732953, -0.0746196, -0.0747986, -0.074904, -0.0749358, -0.0748941, -0.0747815, -0.0745979, -0.0743433, -0.0740178, -0.0731256, -0.0719346, -0.0704449, -0.0686564, -0.0683321, -0.0678745, -0.0673614, -0.0647542, -0.0586565, -0.0526143, -0.0433706, -0.0380345, -0.030886, -0.0267056, -0.0209729, -0.0185319, -0.0171252, -0.015795, -0.0133646, -0.00953582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.197001, 0.218706, 0.247262, 0.266492, 0.285136, 0.313622, 0.340394, 0.351427, 0.365143, 0.375258, 0.379448, 0.386481, 0.392223, 0.434831, 0.448654, 0.466811");
-            values ( \
-              "-0.0319311, -0.0322632, -0.0528773, -0.0637165, -0.0725142, -0.084322, -0.0932279, -0.0958793, -0.0969293, -0.0963489, -0.0952002, -0.0919423, -0.0876201, -0.0346272, -0.022163, -0.0117449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.260861, 0.327057, 0.389594, 0.427765, 0.474186, 0.508451, 0.541624, 0.583158, 0.594564, 0.611002, 0.627137, 0.656342, 0.671586, 0.711402, 0.737954, 0.764541, 0.783134, 0.81258, 0.83203, 0.869431, 0.926442, 0.986368");
-            values ( \
-              "-0.000794172, -0.028967, -0.0578554, -0.0722795, -0.0877812, -0.0976343, -0.105256, -0.112412, -0.113593, -0.114511, -0.113693, -0.104734, -0.0935864, -0.0579363, -0.0385077, -0.0244975, -0.0174887, -0.0100725, -0.00690373, -0.0032108, -0.000893774, -0.000245703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.492305, 0.555079, 0.662867, 0.73479, 0.825099, 0.909552, 0.960465, 0.995857, 1.02755, 1.09133, 1.15411, 1.21688, 1.30982, 1.34843, 1.40621, 1.43293, 1.4708, 1.51833, 1.58171, 1.68716, 1.81086");
-            values ( \
-              "-0.0241943, -0.0260363, -0.0542364, -0.0710959, -0.0899689, -0.105399, -0.113272, -0.117877, -0.121356, -0.125886, -0.125233, -0.111933, -0.0663286, -0.0496797, -0.0307641, -0.0242946, -0.0171768, -0.0109808, -0.00584892, -0.00185693, -0.000442441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.914745, 1.05504, 1.29495, 1.44662, 1.61491, 1.72832, 1.87487, 1.97744, 2.07418, 2.13795, 2.26286, 2.29259, 2.32431, 2.39844, 2.57561, 2.65721, 2.74326, 2.85495, 2.94059, 2.98558, 3.07557, 3.20048, 3.32539, 3.57521");
-            values ( \
-              "-0.0214185, -0.0262647, -0.0586601, -0.0775834, -0.0967074, -0.10788, -0.119858, -0.126309, -0.130635, -0.132303, -0.131266, -0.129582, -0.126811, -0.11477, -0.0698536, -0.0519268, -0.0368689, -0.0228791, -0.0156069, -0.0127148, -0.00834206, -0.00455572, -0.00246253, -0.000709593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.131605, 0.140043, 0.14728, 0.155245, 0.166815, 0.176274, 0.184713, 0.200097, 0.207485, 0.213604, 0.218214, 0.235005, 0.242116, 0.24693, 0.250209");
-            values ( \
-              "-0.0087056, -0.0129099, -0.0194687, -0.0293508, -0.0384517, -0.0442407, -0.0482727, -0.0527466, -0.0526741, -0.049692, -0.0437878, -0.0143213, -0.00752007, -0.00474202, -0.00360437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.152453, 0.166507, 0.173993, 0.188865, 0.199734, 0.206307, 0.222968, 0.233405, 0.241747, 0.25111, 0.256587, 0.267628, 0.279089, 0.284951, 0.29769, 0.30538, 0.315321, 0.324589, 0.330849, 0.342841, 0.355694, 0.369276, 0.382928, 0.441681");
-            values ( \
-              "-0.00443872, -0.0190628, -0.025488, -0.0405914, -0.0491736, -0.0534802, -0.0628204, -0.0673164, -0.0703195, -0.0731745, -0.0744586, -0.0750375, -0.0685275, -0.0598222, -0.0363445, -0.0246894, -0.0140757, -0.00797212, -0.00532011, -0.00232515, -0.000948685, -0.00040074, -0.000203088, -9.30716e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.198259, 0.222858, 0.258436, 0.291551, 0.321669, 0.349, 0.36418, 0.371743, 0.379964, 0.389466, 0.393312, 0.400149, 0.406626, 0.41853, 0.437735, 0.449561, 0.46385, 0.481447");
-            values ( \
-              "-0.010146, -0.0243503, -0.0506968, -0.0686841, -0.0816943, -0.0912892, -0.0954671, -0.0964759, -0.096855, -0.0963606, -0.0954179, -0.0923722, -0.0876652, -0.0745479, -0.0481659, -0.0345183, -0.0217754, -0.0116886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.300767, 0.333628, 0.344979, 0.356329, 0.367648, 0.384279, 0.39745, 0.412159, 0.419239, 0.430863, 0.467634, 0.483775, 0.503233, 0.518925, 0.532847, 0.541538, 0.549484, 0.55743, 0.56842, 0.576833, 0.583958, 0.592395, 0.598457, 0.61006, 0.61417, 0.61828, 0.62239, 0.6265, 0.630534, 0.634567, 0.6386, 0.642634, 0.650002, 0.65737, 0.664739, 0.672107, 0.673313, 0.67693, 0.686577, 0.728271, 0.740528, 0.752859, 0.761752, 0.764144, 0.771318, 0.776101, 0.780884, 0.79045, 0.801256, 0.810061");
-            values ( \
-              "-0.0200702, -0.0251579, -0.0301425, -0.0353914, -0.0411136, -0.0490282, -0.0548203, -0.0608268, -0.0635663, -0.0678504, -0.0806526, -0.0860241, -0.0920185, -0.0963993, -0.0999201, -0.101943, -0.103663, -0.105313, -0.107468, -0.109086, -0.110328, -0.11167, -0.112549, -0.114025, -0.114209, -0.114279, -0.114235, -0.114076, -0.113808, -0.113431, -0.112943, -0.112345, -0.110876, -0.108981, -0.106661, -0.103916, -0.103295, -0.101302, -0.093619, -0.0564284, -0.0471465, -0.0388988, -0.033258, -0.0318926, -0.0280979, -0.0259396, -0.0239022, -0.0201901, -0.0166362, -0.0139422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.490606, 0.56949, 0.716888, 0.796964, 0.878652, 0.950038, 1.01058, 1.04229, 1.10606, 1.16885, 1.23162, 1.36318, 1.42096, 1.48555, 1.53308, 1.59646, 1.68233");
-            values ( \
-              "-0.0140216, -0.0259479, -0.0636426, -0.0813763, -0.0972903, -0.109529, -0.117877, -0.121335, -0.12589, -0.125216, -0.111941, -0.0496785, -0.0307643, -0.0171769, -0.0109809, -0.00584861, -0.00259813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.900964, 1.07058, 1.3143, 1.43123, 1.5758, 1.7279, 1.83612, 1.8903, 1.99867, 2.0781, 2.15341, 2.27832, 2.30803, 2.33972, 2.41389, 2.59128, 2.66992, 2.75867, 2.79723, 2.87435, 2.93477, 2.9998, 3.08651, 3.21142, 3.33632, 3.46123, 3.58614");
-            values ( \
-              "-0.0131415, -0.0262815, -0.0591641, -0.0738336, -0.0908157, -0.106414, -0.115787, -0.119852, -0.126631, -0.130233, -0.132301, -0.131276, -0.129586, -0.126818, -0.114773, -0.0698017, -0.0524735, -0.0368711, -0.0313994, -0.0224782, -0.0171719, -0.0127846, -0.00852387, -0.00465637, -0.00251825, -0.00135481, -0.00072606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.167934, 0.175155, 0.176988, 0.178821, 0.181591, 0.185286, 0.188057, 0.190536, 0.193015, 0.195493, 0.200482, 0.204519, 0.206894, 0.209268, 0.211642, 0.215869, 0.220095, 0.222058, 0.225983, 0.227945, 0.229829, 0.231712, 0.233595, 0.235478, 0.237106, 0.238734, 0.240363, 0.241991, 0.242652, 0.243755, 0.244637, 0.246054, 0.247472, 0.248235, 0.249762, 0.250525, 0.252003, 0.254959, 0.257979, 0.259521, 0.26178, 0.26369, 0.265973, 0.269017, 0.270413, 0.271823, 0.273232, 0.274243, 0.276266, 0.278912");
-            values ( \
-              "-0.00873085, -0.0128437, -0.0142388, -0.0158364, -0.0186686, -0.0228478, -0.0262835, -0.0288234, -0.0311266, -0.0332796, -0.0371269, -0.0399139, -0.0414278, -0.042857, -0.0442015, -0.0463751, -0.0483013, -0.0491115, -0.050572, -0.0512222, -0.0516981, -0.0520869, -0.0523887, -0.0526035, -0.0527153, -0.0527608, -0.0527399, -0.0526527, -0.0525734, -0.0522973, -0.0519757, -0.0513006, -0.0504307, -0.0497441, -0.0481712, -0.0472847, -0.0452257, -0.040663, -0.0353901, -0.0324597, -0.0278756, -0.0244145, -0.0206448, -0.0160138, -0.0141534, -0.0125684, -0.0111201, -0.0101821, -0.00848332, -0.00661612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.188376, 0.201128, 0.202414, 0.204987, 0.210015, 0.219223, 0.224991, 0.235914, 0.242481, 0.255616, 0.259225, 0.264036, 0.269609, 0.27804, 0.281503, 0.287324, 0.292805, 0.30385, 0.314896, 0.31615, 0.317822, 0.321166, 0.329856, 0.33397, 0.338672, 0.343563, 0.346136, 0.350142, 0.355751, 0.358012, 0.362534, 0.371579, 0.376958");
-            values ( \
-              "-0.0104935, -0.0177399, -0.0185507, -0.0206575, -0.025089, -0.0350448, -0.0404932, -0.0490033, -0.0534457, -0.0609818, -0.0627544, -0.0649406, -0.0672389, -0.0703357, -0.0714641, -0.0731654, -0.0744032, -0.0750365, -0.0689593, -0.0675042, -0.0652566, -0.0598317, -0.0435685, -0.0362511, -0.0287753, -0.0222203, -0.0192686, -0.0153068, -0.0109207, -0.00950116, -0.00714378, -0.00392089, -0.00293692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.232981, 0.263146, 0.269556, 0.284416, 0.294743, 0.308731, 0.321636, 0.33532, 0.358654, 0.377765, 0.396988, 0.40522, 0.412007, 0.41605, 0.420092, 0.426106, 0.430048, 0.437204, 0.443043, 0.447431, 0.454755, 0.473909, 0.485669, 0.49467, 0.500122, 0.508641, 0.517642");
-            values ( \
-              "-0.00753432, -0.0271521, -0.0317936, -0.0436984, -0.05078, -0.0590422, -0.0658044, -0.0721539, -0.0820475, -0.088904, -0.0948658, -0.0962391, -0.0968031, -0.0968952, -0.0968051, -0.0963304, -0.0952587, -0.0919746, -0.0876115, -0.0831896, -0.074527, -0.0482026, -0.0346127, -0.0259687, -0.0217242, -0.0164354, -0.0116935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.313706, 0.377589, 0.440867, 0.479195, 0.525478, 0.559729, 0.592915, 0.612534, 0.638424, 0.660983, 0.678421, 0.70816, 0.78196, 0.803218, 0.837058, 0.860949, 0.879935, 0.917905, 0.974905, 1.03456");
-            values ( \
-              "-0.00151652, -0.0286486, -0.0578649, -0.0723196, -0.0877768, -0.0976273, -0.105252, -0.10903, -0.112888, -0.114489, -0.113697, -0.104427, -0.0432889, -0.0304947, -0.0166269, -0.0106329, -0.00735479, -0.00338846, -0.00093745, -0.000264886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.54504, 0.606896, 0.71129, 0.786763, 0.876921, 0.961432, 1.0123, 1.04769, 1.07939, 1.14316, 1.20595, 1.26871, 1.36165, 1.40027, 1.45805, 1.48477, 1.52264, 1.57017, 1.63354, 1.73901, 1.86271");
-            values ( \
-              "-0.0248948, -0.0260785, -0.0534281, -0.0711723, -0.0900053, -0.105438, -0.113302, -0.117845, -0.121385, -0.125856, -0.125262, -0.111904, -0.0663294, -0.0496804, -0.0307634, -0.0242954, -0.017176, -0.01098, -0.00584956, -0.00185606, -0.000443035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.968086, 1.107, 1.31476, 1.46895, 1.66689, 1.78029, 1.92684, 2.03175, 2.1215, 2.18992, 2.31483, 2.34457, 2.37628, 2.45041, 2.62758, 2.70923, 2.79523, 2.90688, 2.99256, 3.03757, 3.1276, 3.25251, 3.37742, 3.50233, 3.62724");
-            values ( \
-              "-0.0219471, -0.0262064, -0.0544353, -0.0740008, -0.0967438, -0.107913, -0.119827, -0.126466, -0.130502, -0.132276, -0.131295, -0.129581, -0.126813, -0.114772, -0.0698559, -0.0519207, -0.0368701, -0.0228858, -0.0156085, -0.0127128, -0.00834076, -0.0045533, -0.00246288, -0.00132376, -0.000710454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.256293, 0.262446, 0.278544, 0.285776, 0.298665, 0.307952, 0.315804, 0.323313, 0.33071, 0.338105, 0.340177, 0.357999, 0.365012, 0.373442, 0.380696");
-            values ( \
-              "-0.00964014, -0.0116821, -0.028865, -0.0351724, -0.0436736, -0.0481583, -0.0509583, -0.0525849, -0.0526135, -0.048532, -0.0457886, -0.0146681, -0.00779516, -0.00346536, -0.00166111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.277665, 0.290476, 0.300983, 0.307782, 0.322823, 0.330225, 0.344515, 0.352691, 0.357318, 0.366573, 0.374458, 0.380495, 0.391536, 0.402575, 0.403829, 0.408845, 0.421638, 0.42633, 0.431242, 0.433825, 0.437826, 0.443428, 0.445685, 0.450198, 0.459223, 0.468219");
-            values ( \
-              "-0.0114739, -0.018683, -0.0286087, -0.0362048, -0.0487016, -0.0534272, -0.0618072, -0.0654234, -0.0673104, -0.070676, -0.0730655, -0.0744505, -0.0750693, -0.0689864, -0.0675258, -0.0598443, -0.03627, -0.028803, -0.0222178, -0.0192523, -0.0152987, -0.0109159, -0.00950047, -0.00714632, -0.00392861, -0.00228094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.313318, 0.382352, 0.4009, 0.411922, 0.420787, 0.446107, 0.457344, 0.47312, 0.484559, 0.495441, 0.511594, 0.517541, 0.524684, 0.532457, 0.542221, 0.560302, 0.573131, 0.583995, 0.591162, 0.601033, 0.613229, 0.62949, 0.651899, 0.684056, 0.716574");
-            values ( \
-              "-0.000146399, -0.0506697, -0.0615973, -0.0671597, -0.0713241, -0.0819082, -0.0862299, -0.091433, -0.0944082, -0.0963709, -0.0967496, -0.0955289, -0.0925974, -0.0868673, -0.0751058, -0.0498007, -0.0346347, -0.0246755, -0.019485, -0.0138598, -0.0089826, -0.00489244, -0.00203192, -0.000542357, -0.000179918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.417086, 0.462645, 0.511723, 0.541919, 0.613298, 0.647586, 0.680783, 0.700408, 0.726293, 0.748892, 0.76631, 0.796067, 0.869888, 0.891108, 0.924948, 0.948873, 0.96789, 1.00592, 1.03393");
-            values ( \
-              "-0.012461, -0.0272651, -0.0506966, -0.0631929, -0.0877556, -0.0976197, -0.10525, -0.109028, -0.112888, -0.114491, -0.113657, -0.104429, -0.0432504, -0.0305107, -0.0166413, -0.0106372, -0.00733752, -0.00338891, -0.00217909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.647236, 0.747149, 0.814003, 0.901875, 0.963316, 1.04232, 1.09999, 1.15469, 1.19149, 1.23061, 1.2934, 1.35618, 1.44914, 1.48775, 1.54555, 1.57227, 1.61014, 1.65766, 1.72102, 1.82649, 1.95019");
-            values ( \
-              "-0.0265324, -0.0395087, -0.05709, -0.0771753, -0.0897118, -0.104257, -0.113269, -0.120081, -0.123512, -0.125862, -0.125213, -0.111904, -0.0663259, -0.0496827, -0.0307601, -0.0242932, -0.0171752, -0.0109805, -0.00585041, -0.00185647, -0.000443016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.07455, 1.21846, 1.43264, 1.61453, 1.75433, 1.90243, 2.01505, 2.12136, 2.20693, 2.27814, 2.40305, 2.43277, 2.46447, 2.53863, 2.71595, 2.79553, 2.88342, 2.99784, 3.05912, 3.12493, 3.21269, 3.3376, 3.46251, 3.71233");
-            values ( \
-              "-0.0262117, -0.0292207, -0.0583258, -0.0809088, -0.0966357, -0.110918, -0.119855, -0.126517, -0.130379, -0.132302, -0.131272, -0.129584, -0.126816, -0.114772, -0.069818, -0.0523003, -0.0368704, -0.0226044, -0.017203, -0.0127622, -0.00846544, -0.00462408, -0.00250031, -0.000720727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.463825, 0.472703, 0.475391, 0.478078, 0.48005, 0.482022, 0.490731, 0.492682, 0.495283, 0.498457, 0.50033, 0.504075, 0.505962, 0.507849, 0.509737, 0.513983, 0.518089, 0.521582, 0.524762, 0.526778, 0.528793, 0.530808, 0.532728, 0.534647, 0.536567, 0.538486, 0.540137, 0.541787, 0.543437, 0.545088, 0.545999, 0.54691, 0.547821, 0.54925, 0.550678, 0.551446, 0.552981, 0.553748, 0.555244, 0.558236, 0.561286, 0.5651, 0.56703, 0.569335, 0.572407, 0.573816, 0.576655, 0.577674, 0.579711, 0.582376");
-            values ( \
-              "-0.00787523, -0.0096561, -0.011185, -0.0130193, -0.0145752, -0.0162634, -0.0249779, -0.0267462, -0.0289259, -0.0314391, -0.032847, -0.0354952, -0.0384945, -0.0390936, -0.0398391, -0.0420518, -0.0444648, -0.0463366, -0.0478492, -0.0486912, -0.0494496, -0.0501244, -0.0506622, -0.0511137, -0.051479, -0.0517579, -0.051898, -0.0519634, -0.0519542, -0.0518702, -0.0517879, -0.0515711, -0.0512714, -0.0506346, -0.0497942, -0.049132, -0.0476095, -0.0467493, -0.0447347, -0.0402495, -0.0350507, -0.0276687, -0.0242405, -0.0204854, -0.0158973, -0.0140433, -0.0110372, -0.0101001, -0.00841052, -0.00656511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.48292, 0.509789, 0.525249, 0.544066, 0.555777, 0.571523, 0.591734, 0.60047, 0.605966, 0.617008, 0.643361, 0.65132, 0.664097, 0.67955, 0.692338, 0.719625, 0.778227");
-            values ( \
-              "-0.00274332, -0.0215159, -0.0382722, -0.0524417, -0.0595074, -0.0666627, -0.0733509, -0.0747802, -0.0745487, -0.0688206, -0.0252377, -0.0162287, -0.00744466, -0.00266459, -0.00109824, -0.000226441, -9.56671e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.534925, 0.571242, 0.596835, 0.626433, 0.660649, 0.687597, 0.699248, 0.709998, 0.725963, 0.732497, 0.739454, 0.747027, 0.787656, 0.798607, 0.815613, 0.827761, 0.843958, 0.866878, 0.898254, 0.930287");
-            values ( \
-              "-0.00678163, -0.031204, -0.0507182, -0.0672195, -0.0819448, -0.0914385, -0.0944485, -0.0962662, -0.0967769, -0.0954112, -0.0924166, -0.0868848, -0.0346981, -0.0246549, -0.0138659, -0.00900572, -0.00490186, -0.00200529, -0.000543532, -0.000197325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.617028, 0.680113, 0.742363, 0.781203, 0.819812, 0.861398, 0.894529, 0.935623, 0.947215, 0.963649, 0.979778, 1.00937, 1.02348, 1.07905, 1.10746, 1.121, 1.14011, 1.16435, 1.19668, 1.24628, 1.30502");
-            values ( \
-              "-0.00207106, -0.0291106, -0.0579802, -0.0725591, -0.0855653, -0.0977211, -0.10532, -0.112414, -0.113638, -0.114533, -0.113729, -0.104525, -0.0942299, -0.0462956, -0.02901, -0.0228708, -0.0161256, -0.0102124, -0.00535932, -0.00184528, -0.000494836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.835451, 0.907172, 1.06562, 1.15487, 1.23942, 1.28845, 1.34804, 1.37977, 1.44354, 1.50633, 1.5691, 1.70066, 1.75843, 1.82303, 1.87056, 1.93393, 2.02832");
-            values ( \
-              "-0.0179662, -0.0260129, -0.0662318, -0.0855614, -0.101526, -0.109675, -0.117876, -0.121339, -0.12589, -0.12522, -0.11194, -0.0496789, -0.0307642, -0.0171769, -0.0109808, -0.00584876, -0.00227521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.22659, 1.40766, 1.74739, 1.91276, 2.06408, 2.22725, 2.33417, 2.4179, 2.49034, 2.61525, 2.64494, 2.67661, 2.75081, 2.92838, 3.00464, 3.09557, 3.21446, 3.27267, 3.33568, 3.4197, 3.54461, 3.66952, 3.79443, 3.91934");
-            values ( \
-              "-0.0106513, -0.0262878, -0.0713093, -0.0908348, -0.10636, -0.119867, -0.126544, -0.130328, -0.132313, -0.131265, -0.129588, -0.126822, -0.114774, -0.069759, -0.0529146, -0.0368722, -0.0221583, -0.0170937, -0.0128439, -0.00867585, -0.00474158, -0.00256445, -0.00138033, -0.000739272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.907685, 0.92247, 0.938812, 0.973202, 0.986258, 0.997471, 1.00764, 1.0172, 1.02644, 1.03566, 1.03818, 1.06048, 1.07054, 1.08108, 1.08174");
-            values ( \
-              "-0.00270135, -0.00462121, -0.00899525, -0.0270494, -0.0326895, -0.0367719, -0.0396063, -0.0418557, -0.0424823, -0.0403503, -0.0381851, -0.0111342, -0.00487901, -0.00191153, -0.00184179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.945898, 0.970793, 0.984331, 0.997146, 1.00622, 1.02937, 1.04724, 1.07383, 1.08996, 1.09987, 1.10827, 1.11547, 1.12525, 1.13799, 1.14779, 1.1587, 1.17044, 1.18064, 1.19336, 1.20683, 1.22123, 1.28871");
-            values ( \
-              "-0.00273983, -0.0105145, -0.0154815, -0.0229349, -0.0292883, -0.0421362, -0.0504126, -0.0600777, -0.0641392, -0.0657561, -0.0651674, -0.061497, -0.0500194, -0.0308117, -0.0191064, -0.0104649, -0.00521527, -0.00270091, -0.00118843, -0.000506444, -0.000242791, -7.03179e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.940369, 1.02081, 1.05407, 1.14723, 1.19352, 1.21587, 1.23202, 1.24194, 1.25142, 1.26155, 1.30255, 1.33543, 1.35907, 1.37626");
-            values ( \
-              "-0.00137334, -0.00496707, -0.0169115, -0.0657916, -0.083409, -0.0897059, -0.0923985, -0.0923088, -0.0902549, -0.0842565, -0.0346701, -0.011886, -0.00503046, -0.00289257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.0636, 1.15578, 1.16915, 1.17691, 1.19243, 1.2118, 1.21935, 1.22304, 1.22725, 1.23453, 1.24909, 1.25998, 1.28176, 1.29448, 1.31634, 1.33131, 1.34664, 1.36088, 1.38193, 1.39966, 1.41495, 1.43008, 1.4352, 1.44544, 1.45735, 1.46872, 1.48525, 1.50146, 1.53135, 1.53587, 1.5449, 1.58114, 1.5892, 1.60532, 1.6174, 1.62648, 1.63858, 1.66035, 1.66765, 1.67481, 1.68436, 1.70346, 1.73392");
-            values ( \
-              "-0.00225857, -0.0103908, -0.0147604, -0.0175354, -0.0235305, -0.0321207, -0.0357127, -0.0377311, -0.0397479, -0.0434936, -0.0502729, -0.0550646, -0.0637287, -0.0684444, -0.0760479, -0.0810818, -0.0860904, -0.0904479, -0.0963784, -0.100748, -0.104154, -0.107225, -0.108202, -0.109965, -0.111733, -0.113004, -0.113961, -0.113257, -0.104125, -0.101252, -0.0943558, -0.0618535, -0.0551884, -0.0431609, -0.0355006, -0.0304836, -0.0246941, -0.0166266, -0.0145124, -0.0126975, -0.0106111, -0.00732507, -0.00412308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.32851, 1.42703, 1.58694, 1.71394, 1.77901, 1.8318, 1.89891, 1.93162, 1.96254, 2.0253, 2.08808, 2.21959, 2.27744, 2.34202, 2.38951, 2.45284, 2.55814, 2.6817");
-            values ( \
-              "-0.00583965, -0.0261662, -0.0668014, -0.0933463, -0.105106, -0.113292, -0.121374, -0.124162, -0.125887, -0.12524, -0.111913, -0.0497335, -0.0307235, -0.0171441, -0.0109541, -0.00588229, -0.00183291, -0.00047341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.74755, 1.9245, 2.26363, 2.42972, 2.58019, 2.74422, 2.84954, 2.93806, 3.0073, 3.13221, 3.16193, 3.19362, 3.26779, 3.44514, 3.52422, 3.61258, 3.72767, 3.78848, 3.85388, 3.94107, 4.06598, 4.19089, 4.3158, 4.44071");
-            values ( \
-              "-0.0115288, -0.02627, -0.071206, -0.0908212, -0.106267, -0.119856, -0.126464, -0.13045, -0.132302, -0.131272, -0.129585, -0.126817, -0.114772, -0.0698091, -0.052393, -0.0368707, -0.0225367, -0.0171863, -0.0127741, -0.00849664, -0.00464129, -0.00250991, -0.00135038, -0.000723598" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.005922, 0.00593504, 0.00594936, 0.00596155, 0.00596982, 0.00597458", \
-            "0.00649119, 0.00650197, 0.00651516, 0.00652746, 0.00653649, 0.00654193", \
-            "0.00679093, 0.00679711, 0.00680588, 0.00681552, 0.00682326, 0.00682836", \
-            "0.00697351, 0.00697426, 0.00697648, 0.00698026, 0.00698453, 0.00698785", \
-            "0.00709292, 0.00709089, 0.00708795, 0.00708545, 0.00708449, 0.00708467", \
-            "0.00716577, 0.00716204, 0.00715692, 0.0071509, 0.00714566, 0.00714238" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00749446, 0.0075064, 0.00751975, 0.00753225, 0.00754125, 0.00754636", \
-            "0.00782893, 0.0078308, 0.00783414, 0.00783756, 0.00784028, 0.00784291", \
-            "0.0080157, 0.00800059, 0.00798414, 0.00797044, 0.00796148, 0.00795686", \
-            "0.00825978, 0.00822372, 0.00818612, 0.00814351, 0.00811306, 0.00809478", \
-            "0.008629, 0.00854868, 0.00845245, 0.0083637, 0.00829906, 0.00825859", \
-            "0.00885736, 0.00890452, 0.00878064, 0.00862917, 0.00848653, 0.00840456" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.130419, 0.17241, 0.258717, 0.438992, 0.818841, 1.62042", \
-            "0.134054, 0.176181, 0.262709, 0.443017, 0.822886, 1.62461", \
-            "0.142874, 0.185069, 0.271703, 0.452342, 0.832338, 1.63413", \
-            "0.164431, 0.206778, 0.29348, 0.474266, 0.854543, 1.65647", \
-            "0.206285, 0.254802, 0.346137, 0.528114, 0.90853, 1.71052", \
-            "0.249535, 0.313525, 0.431638, 0.645748, 1.03901, 1.84112" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0431363, 0.0654678, 0.112361, 0.211299, 0.418165, 0.855342", \
-            "0.0431132, 0.0654647, 0.112293, 0.211261, 0.418147, 0.855364", \
-            "0.0431316, 0.0654455, 0.112341, 0.211277, 0.418274, 0.855348", \
-            "0.0431881, 0.0654836, 0.112351, 0.211271, 0.418119, 0.855354", \
-            "0.0477356, 0.0684776, 0.112911, 0.211296, 0.418098, 0.855345", \
-            "0.0590665, 0.0805603, 0.124327, 0.216871, 0.418507, 0.855375" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.185085, 0.239525, 0.351191, 0.583889, 1.07136, 2.10319", \
-            "0.188833, 0.243435, 0.355443, 0.58806, 1.07626, 2.10765", \
-            "0.198709, 0.253516, 0.365765, 0.598514, 1.08737, 2.11882", \
-            "0.225054, 0.279616, 0.391648, 0.624802, 1.11344, 2.14577", \
-            "0.292559, 0.346463, 0.45828, 0.690578, 1.17878, 2.21076", \
-            "0.423608, 0.49252, 0.619882, 0.856463, 1.34299, 2.37268" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0473932, 0.0707536, 0.118513, 0.216467, 0.422194, 0.855902", \
-            "0.0474543, 0.0706716, 0.118242, 0.216548, 0.421853, 0.855942", \
-            "0.0473632, 0.0706541, 0.118342, 0.216688, 0.421934, 0.855924", \
-            "0.0473094, 0.0706265, 0.118459, 0.216642, 0.422076, 0.855879", \
-            "0.047957, 0.0713432, 0.118445, 0.216464, 0.422047, 0.855859", \
-            "0.0602809, 0.08169, 0.124278, 0.217403, 0.421655, 0.855905" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0880762, 0.0935756, 0.104186, 0.110982, 0.116328, 0.124293, 0.131379, 0.138038, 0.14457, 0.151094, 0.161935, 0.165883, 0.171141, 0.179676, 0.185638, 0.193082, 0.200045, 0.203747, 0.209415, 0.225036, 0.250441, 0.259595");
-            values ( \
-              "0.0147346, 0.0148197, 0.0301827, 0.0391276, 0.0451064, 0.0525895, 0.0571379, 0.0595959, 0.0596343, 0.0555037, 0.0353459, 0.0291746, 0.022426, 0.0143469, 0.0103004, 0.00670228, 0.00443106, 0.0035439, 0.00256152, 0.00106497, 0.000267353, 0.000360629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.10405, 0.113749, 0.132865, 0.147478, 0.159319, 0.169927, 0.179977, 0.189918, 0.199852, 0.216744, 0.230379, 0.243137, 0.261868, 0.276493, 0.293363, 0.319284");
-            values ( \
-              "0.0126551, 0.0213894, 0.0478621, 0.064677, 0.0747547, 0.0807692, 0.0833892, 0.0827486, 0.0757303, 0.0496318, 0.0323577, 0.0208581, 0.0102895, 0.00572725, 0.00291702, 0.00140115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.144015, 0.164369, 0.186844, 0.211211, 0.231068, 0.249008, 0.26617, 0.283336, 0.300467, 0.341587, 0.356902, 0.385868, 0.406818, 0.439079, 0.472541, 0.500078");
-            values ( \
-              "0.0272753, 0.0366319, 0.0608279, 0.0820757, 0.0943102, 0.100813, 0.103207, 0.101086, 0.0915593, 0.0500726, 0.0377346, 0.0208433, 0.0132134, 0.00635119, 0.00288248, 0.00178335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.220026, 0.260183, 0.299231, 0.344053, 0.376801, 0.388514, 0.414043, 0.427363, 0.446309, 0.467884, 0.478796, 0.49519, 0.513447, 0.612245, 0.646512, 0.690075, 0.710156, 0.75108, 0.775628, 0.824723, 0.87755");
-            values ( \
-              "0.0305461, 0.0434855, 0.0701766, 0.0945619, 0.106714, 0.109822, 0.114238, 0.115263, 0.115572, 0.114168, 0.112447, 0.108091, 0.100382, 0.0457108, 0.031805, 0.0192783, 0.0151738, 0.00916929, 0.00672133, 0.00355825, 0.00194625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.380215, 0.475329, 0.518815, 0.571764, 0.602393, 0.643151, 0.696618, 0.72565, 0.762647, 0.784732, 0.826344, 0.890643, 0.913757, 0.935465, 0.959401, 1.00727, 1.10209, 1.1725, 1.23049, 1.27296, 1.3076, 1.34875, 1.40674, 1.46665, 1.51249, 1.60415, 1.7208, 1.83745, 1.9541");
-            values ( \
-              "0.0300207, 0.0528367, 0.0695012, 0.0875015, 0.0963482, 0.106041, 0.115485, 0.119229, 0.122559, 0.123679, 0.124452, 0.120643, 0.117222, 0.112893, 0.106963, 0.0927893, 0.0632724, 0.0446982, 0.0326547, 0.0256264, 0.0209241, 0.0163468, 0.0114328, 0.00787545, 0.00589467, 0.0032382, 0.00148039, 0.000670813, 0.000306247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.683318, 0.795475, 0.974843, 1.06571, 1.15896, 1.27018, 1.36352, 1.43372, 1.4976, 1.61425, 1.65467, 1.70602, 1.75898, 1.86491, 1.9731, 2.24726, 2.33176, 2.43042, 2.53751, 2.65311, 2.72989, 2.83628, 2.95707, 3.07372, 3.19037, 3.42366, 3.65696, 3.89026");
-            values ( \
-              "0.0286585, 0.0309694, 0.0670226, 0.0836613, 0.098365, 0.112452, 0.120893, 0.125255, 0.127802, 0.12924, 0.128726, 0.127232, 0.124503, 0.114659, 0.0996125, 0.0577486, 0.0469838, 0.0363453, 0.0270965, 0.0194767, 0.0155634, 0.0113094, 0.00784452, 0.00548251, 0.00380742, 0.00183148, 0.000876522, 0.000417833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.102346, 0.108082, 0.120722, 0.127077, 0.130593, 0.138548, 0.144089, 0.148696, 0.15228, 0.15881, 0.165339, 0.176222, 0.185399, 0.193899, 0.199881, 0.207332, 0.214285, 0.217984, 0.223654, 0.238887, 0.263877, 0.270832");
-            values ( \
-              "0.0144834, 0.0151127, 0.0333637, 0.0412322, 0.0451507, 0.0525261, 0.0563079, 0.0584837, 0.0595123, 0.0596515, 0.0554427, 0.0352669, 0.0224106, 0.0143691, 0.0103062, 0.00670492, 0.00442726, 0.00355012, 0.0025635, 0.00109334, 0.000274061, 0.000297754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.11788, 0.128147, 0.147263, 0.161877, 0.173717, 0.184325, 0.194374, 0.204315, 0.214249, 0.231139, 0.244772, 0.257531, 0.276262, 0.290878, 0.307727, 0.333712");
-            values ( \
-              "0.0107559, 0.0213995, 0.0478608, 0.0646759, 0.0747585, 0.0807706, 0.0833947, 0.0827508, 0.0757371, 0.0496361, 0.0323478, 0.0208597, 0.0102945, 0.00572889, 0.00291891, 0.00139666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.155664, 0.16957, 0.201548, 0.226012, 0.245871, 0.263792, 0.280946, 0.298103, 0.315229, 0.326786, 0.344131, 0.354774, 0.368438, 0.380223, 0.38918, 0.403881, 0.410223, 0.421492, 0.437398, 0.444439, 0.453955, 0.466643, 0.487277, 0.509954, 0.537217, 0.570086, 0.616618");
-            values ( \
-              "0.0238079, 0.0265738, 0.0610063, 0.0821153, 0.0944676, 0.100811, 0.103312, 0.101055, 0.0916389, 0.0801532, 0.0617566, 0.0517475, 0.0401287, 0.0319265, 0.0265836, 0.0194569, 0.0169422, 0.0132461, 0.00923339, 0.00786835, 0.00632389, 0.00472858, 0.00287459, 0.0016887, 0.000856428, 0.000408406, 0.000116963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.2348, 0.275984, 0.314147, 0.342847, 0.358957, 0.391177, 0.404446, 0.417075, 0.428936, 0.442036, 0.461201, 0.477294, 0.493681, 0.52046, 0.544357, 0.589862, 0.633218, 0.651737, 0.676429, 0.708951, 0.728301, 0.766083, 0.787655, 0.8308, 0.90602, 0.99956");
-            values ( \
-              "0.029937, 0.0441258, 0.0702141, 0.0867797, 0.0945896, 0.106547, 0.110056, 0.112607, 0.114232, 0.115269, 0.115564, 0.114737, 0.112445, 0.104155, 0.0918285, 0.0650663, 0.0429104, 0.0352923, 0.0268787, 0.0184157, 0.0145631, 0.00913799, 0.00700022, 0.00398758, 0.00142378, 0.000342962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.384842, 0.447688, 0.550852, 0.60842, 0.65515, 0.693011, 0.74147, 0.776999, 0.809571, 0.84065, 0.90408, 0.930881, 0.973725, 1.1822, 1.26411, 1.33756, 1.43077, 1.52649, 1.63589, 1.67345");
-            values ( \
-              "0.0296346, 0.0362002, 0.0758423, 0.0940907, 0.105616, 0.112671, 0.119371, 0.122506, 0.124124, 0.124391, 0.120781, 0.116726, 0.106953, 0.0457575, 0.0292802, 0.0190726, 0.0107844, 0.00589156, 0.00286832, 0.00236208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.70369, 0.871047, 1.00723, 1.09903, 1.20185, 1.28372, 1.37825, 1.44847, 1.51234, 1.62899, 1.66942, 1.72077, 1.77373, 1.87965, 1.98786, 2.26199, 2.3465, 2.4452, 2.55226, 2.66783, 2.74462, 2.85102, 2.97183, 3.08848, 3.20513, 3.43843, 3.90503");
-            values ( \
-              "0.0238113, 0.0430948, 0.0704271, 0.0867685, 0.102299, 0.112299, 0.120871, 0.125267, 0.127782, 0.129253, 0.128737, 0.127217, 0.124515, 0.114647, 0.0995984, 0.0577593, 0.0469903, 0.0363486, 0.0270904, 0.0194786, 0.0155634, 0.0113101, 0.0078443, 0.0054822, 0.00380722, 0.00183121, 0.000417322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.134886, 0.146261, 0.155082, 0.160975, 0.164952, 0.172907, 0.180005, 0.186663, 0.193194, 0.199718, 0.210576, 0.219768, 0.228284, 0.234252, 0.241692, 0.252346, 0.25804, 0.273241, 0.297253");
-            values ( \
-              "0.0071791, 0.0201151, 0.0334058, 0.0406594, 0.0451971, 0.0524989, 0.0572256, 0.0595253, 0.059715, 0.0554382, 0.0353112, 0.0224209, 0.014365, 0.0103112, 0.00671165, 0.00355858, 0.00256456, 0.00109826, 0.000299071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.155572, 0.167049, 0.180858, 0.183498, 0.192335, 0.202927, 0.208132, 0.218767, 0.228815, 0.238758, 0.248694, 0.265592, 0.270686, 0.279221, 0.286893, 0.291964, 0.300328, 0.303876, 0.310714, 0.32047, 0.327722, 0.332526, 0.342136, 0.353991, 0.374452, 0.397222, 0.443627");
-            values ( \
-              "0.0202552, 0.0272071, 0.0468478, 0.0501178, 0.0604981, 0.0707348, 0.074693, 0.0807452, 0.0833681, 0.0827393, 0.0757286, 0.0496229, 0.0425025, 0.0323348, 0.0249645, 0.0208681, 0.0153505, 0.0134135, 0.0102947, 0.00696053, 0.00520971, 0.0042889, 0.002922, 0.00189122, 0.00101973, 0.000463308, 0.000103239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.192299, 0.212066, 0.230598, 0.236167, 0.247306, 0.260533, 0.280386, 0.298324, 0.315485, 0.332649, 0.349778, 0.361282, 0.378911, 0.389462, 0.40304, 0.414606, 0.423557, 0.43843, 0.444881, 0.456115, 0.471885, 0.479004, 0.488585, 0.50136, 0.52165, 0.54397, 0.570658, 0.602796, 0.647941");
-            values ( \
-              "0.0249702, 0.0349154, 0.0553064, 0.0607772, 0.0714515, 0.0820345, 0.0943743, 0.100775, 0.103267, 0.101046, 0.0916161, 0.080196, 0.0615282, 0.0516147, 0.0400897, 0.0320301, 0.0266765, 0.019453, 0.0168978, 0.0132214, 0.00924644, 0.00786677, 0.00631407, 0.00471031, 0.00289013, 0.00171131, 0.000882949, 0.000426265, 0.000129346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.266499, 0.298945, 0.348933, 0.364412, 0.393773, 0.428194, 0.443832, 0.458177, 0.474901, 0.496008, 0.511034, 0.528475, 0.557408, 0.574942, 0.645365, 0.677916, 0.695886, 0.719425, 0.740796, 0.769291, 0.791153, 0.815199, 0.847259, 0.911381, 0.954619");
-            values ( \
-              "0.0290131, 0.0357866, 0.0701377, 0.0796047, 0.0945558, 0.107283, 0.111078, 0.113624, 0.115213, 0.115613, 0.114848, 0.11244, 0.103243, 0.0942414, 0.0538751, 0.0386957, 0.031952, 0.0243947, 0.0190091, 0.0135361, 0.0102781, 0.00761931, 0.00511765, 0.00211647, 0.00138208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.41694, 0.484598, 0.585759, 0.642963, 0.689098, 0.727136, 0.77555, 0.819445, 0.864227, 0.898394, 0.939713, 1.00421, 1.21734, 1.29372, 1.37847, 1.48056, 1.54379, 1.62809, 1.71262");
-            values ( \
-              "0.026473, 0.0369299, 0.0758002, 0.0939975, 0.105398, 0.11252, 0.119254, 0.122998, 0.124432, 0.123818, 0.120603, 0.108281, 0.0457375, 0.0302013, 0.0184179, 0.00981429, 0.00659618, 0.00383474, 0.00231661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.729533, 0.845383, 1.02371, 1.11616, 1.23765, 1.31822, 1.41343, 1.48365, 1.54751, 1.66416, 1.70458, 1.75593, 1.80889, 1.91482, 2.02301, 2.29717, 2.38167, 2.48034, 2.58742, 2.70301, 2.77979, 2.88619, 3.00699, 3.12364, 3.24029, 3.47359, 3.70689, 3.94018");
-            values ( \
-              "0.0267747, 0.0309604, 0.0668327, 0.0837646, 0.10242, 0.112259, 0.120901, 0.125246, 0.12781, 0.129231, 0.128716, 0.12724, 0.124495, 0.114667, 0.0996195, 0.0577432, 0.046978, 0.036339, 0.0271015, 0.0194732, 0.0155677, 0.0113054, 0.00784028, 0.0054863, 0.00380333, 0.00182748, 0.000872586, 0.000413934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.217664, 0.224617, 0.238002, 0.243983, 0.247931, 0.255921, 0.263019, 0.269685, 0.276222, 0.282752, 0.293713, 0.302834, 0.311276, 0.317303, 0.324783, 0.33542, 0.341049, 0.3564, 0.375792");
-            values ( \
-              "0.00834572, 0.0140369, 0.0331299, 0.0404852, 0.0450482, 0.0523799, 0.0571577, 0.05945, 0.059684, 0.0553975, 0.0351242, 0.0223771, 0.0143917, 0.0102971, 0.00668522, 0.00354704, 0.00256927, 0.00108575, 0.00045322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.236056, 0.245532, 0.264771, 0.279414, 0.29126, 0.301871, 0.311924, 0.321866, 0.331801, 0.348696, 0.362328, 0.375079, 0.393819, 0.410838, 0.436758, 0.450624");
-            values ( \
-              "0.0138623, 0.0209814, 0.0476827, 0.0645724, 0.0747686, 0.0806994, 0.0834233, 0.082697, 0.0757736, 0.0496266, 0.0323477, 0.0208627, 0.0102923, 0.0052068, 0.00192266, 0.00145199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.277508, 0.295477, 0.313708, 0.330541, 0.343696, 0.363553, 0.381494, 0.398656, 0.415821, 0.432951, 0.444387, 0.462469, 0.472868, 0.486304, 0.497507, 0.506145, 0.518226, 0.528341, 0.539472, 0.555225, 0.5715, 0.583924, 0.603896, 0.625793, 0.651954, 0.683251, 0.726898");
-            values ( \
-              "0.0319493, 0.0350676, 0.0551408, 0.0714181, 0.0820753, 0.0942942, 0.100827, 0.103199, 0.101102, 0.0915562, 0.0802456, 0.0611532, 0.0513954, 0.0400303, 0.0322096, 0.0270002, 0.0208903, 0.0167953, 0.0131624, 0.0092155, 0.00635746, 0.00477169, 0.0029616, 0.00176196, 0.000931225, 0.000448501, 0.000150932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.350743, 0.379607, 0.432234, 0.460682, 0.477041, 0.509759, 0.53468, 0.547018, 0.577314, 0.605111, 0.624759, 0.646387, 0.745225, 0.779375, 0.8231, 0.843164, 0.88406, 0.908574, 0.957604, 1.01021");
-            values ( \
-              "0.0325287, 0.0339358, 0.0701995, 0.0866905, 0.094576, 0.106732, 0.112524, 0.114271, 0.11562, 0.113584, 0.109161, 0.100411, 0.0457046, 0.0318334, 0.0192713, 0.0151597, 0.00916141, 0.00673034, 0.00355589, 0.00192427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.501993, 0.56826, 0.635859, 0.669896, 0.726089, 0.757808, 0.773286, 0.811108, 0.82999, 0.859393, 0.891569, 0.905196, 0.923365, 0.959704, 1.02319, 1.04897, 1.06981, 1.09278, 1.12868, 1.21195, 1.25956, 1.30181, 1.35125, 1.40205, 1.44908, 1.51178, 1.54925, 1.59136, 1.64751, 1.75981, 1.87646, 1.9931, 2.10975");
-            values ( \
-              "0.0273877, 0.0367768, 0.0633719, 0.0758289, 0.0937343, 0.101998, 0.105406, 0.112488, 0.115458, 0.119214, 0.122215, 0.123099, 0.123917, 0.124413, 0.120745, 0.116898, 0.112669, 0.106963, 0.0965102, 0.0703145, 0.0564264, 0.045643, 0.0350106, 0.0262679, 0.0199383, 0.0135889, 0.0108013, 0.00831083, 0.005806, 0.00276724, 0.00124955, 0.000579617, 0.000250795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.856653, 1.0172, 1.13498, 1.21461, 1.31824, 1.40041, 1.49718, 1.56743, 1.63127, 1.74792, 1.78835, 1.83969, 1.89265, 1.99858, 2.10678, 2.38093, 2.46543, 2.56412, 2.67119, 2.78677, 2.86356, 2.96996, 3.09076, 3.20741, 3.32406, 3.55735, 3.79065, 4.02395");
-            values ( \
-              "0.0365333, 0.0487335, 0.0720945, 0.086206, 0.101975, 0.112078, 0.120892, 0.125252, 0.127802, 0.129236, 0.128719, 0.127236, 0.124497, 0.114665, 0.0996174, 0.0577407, 0.0469734, 0.0363331, 0.0271068, 0.0194646, 0.0155762, 0.0112968, 0.0078315, 0.00549498, 0.00379456, 0.00181869, 0.000863773, 0.000405099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.391117, 0.402763, 0.408499, 0.434241, 0.443613, 0.451703, 0.459108, 0.466251, 0.47338, 0.476976, 0.488678, 0.497119, 0.502755, 0.508593, 0.513117, 0.517951, 0.523822, 0.528314, 0.534682, 0.543173, 0.555726, 0.567905, 0.578533");
-            values ( \
-              "0.00512715, 0.00824511, 0.0120752, 0.0376042, 0.0454062, 0.0508118, 0.0540078, 0.0551299, 0.0522567, 0.0473439, 0.028181, 0.0187278, 0.0139892, 0.0102021, 0.00790842, 0.00610303, 0.00435467, 0.00339018, 0.00239977, 0.00154311, 0.000766734, 0.00043073, 0.000363815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.423052, 0.437201, 0.462908, 0.472974, 0.485884, 0.49718, 0.505756, 0.511636, 0.51801, 0.524624, 0.52865, 0.550224, 0.561417, 0.578117, 0.593574, 0.605621, 0.61365, 0.626288, 0.649776");
-            values ( \
-              "0.0165577, 0.0191113, 0.0481167, 0.0584467, 0.0695734, 0.0764097, 0.0795307, 0.080482, 0.0801352, 0.0772041, 0.0734572, 0.0424163, 0.0297508, 0.0165239, 0.00913975, 0.00566571, 0.00410842, 0.00252299, 0.00133932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.471658, 0.493011, 0.516689, 0.528474, 0.541059, 0.548318, 0.556547, 0.563561, 0.57759, 0.581664, 0.589812, 0.598909, 0.616292, 0.621076, 0.626178, 0.634313, 0.643711, 0.666599, 0.680104, 0.690185, 0.701135, 0.715735, 0.724325, 0.729133, 0.738748, 0.75544, 0.765836, 0.772452, 0.785683, 0.805958, 0.828872, 0.85577, 0.888897, 0.935136");
-            values ( \
-              "0.0248522, 0.0314431, 0.0564507, 0.0678339, 0.0786499, 0.0840093, 0.0892656, 0.0931308, 0.0988323, 0.100024, 0.101702, 0.102576, 0.100722, 0.0989167, 0.0962447, 0.0901861, 0.081007, 0.0574871, 0.0452759, 0.0374791, 0.0301522, 0.0222251, 0.0184893, 0.0166721, 0.0134935, 0.00926368, 0.00730707, 0.00628167, 0.00460913, 0.0028506, 0.00164048, 0.00086351, 0.000386637, 0.000131821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.553831, 0.595887, 0.63379, 0.662678, 0.678657, 0.710614, 0.724731, 0.748667, 0.761264, 0.779531, 0.799978, 0.813404, 0.840258, 0.86395, 0.932503, 0.953253, 0.976727, 1.00324, 1.03207, 1.05057, 1.08589, 1.10513, 1.14361, 1.21512, 1.30345");
-            values ( \
-              "0.0291936, 0.0441676, 0.0700655, 0.0867661, 0.094504, 0.106418, 0.110149, 0.114214, 0.115218, 0.115575, 0.114413, 0.112435, 0.104096, 0.0918812, 0.052608, 0.042796, 0.0333418, 0.0247788, 0.0176627, 0.0141433, 0.00915308, 0.00718728, 0.00439466, 0.00164066, 0.00046401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.713801, 0.810329, 0.853862, 0.90677, 0.937427, 0.976728, 0.994041, 1.03166, 1.07565, 1.0977, 1.13011, 1.16139, 1.21714, 1.24216, 1.27551, 1.33047, 1.4481, 1.4929, 1.52448, 1.55951, 1.60572, 1.64689, 1.70177, 1.74728, 1.79697, 1.86323, 1.96556, 2.08221, 2.19886, 2.31551");
-            values ( \
-              "0.028836, 0.0528012, 0.069479, 0.08747, 0.0963367, 0.105739, 0.109213, 0.115471, 0.120755, 0.122461, 0.124123, 0.12435, 0.121606, 0.118355, 0.111679, 0.0964167, 0.0601332, 0.0481687, 0.0408348, 0.0337946, 0.0259194, 0.0203588, 0.0146615, 0.011013, 0.00807187, 0.0053448, 0.00267137, 0.00126689, 0.000526355, 0.00028768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.0106, 1.131, 1.3077, 1.39607, 1.51706, 1.60103, 1.69892, 1.76917, 1.833, 1.94965, 1.99008, 2.04142, 2.09438, 2.20031, 2.3085, 2.58252, 2.66716, 2.76599, 2.87295, 2.98834, 3.06518, 3.17163, 3.29259, 3.40924, 3.52589, 3.75919, 3.99249, 4.22578");
-            values ( \
-              "0.0245551, 0.0310042, 0.0665308, 0.0828101, 0.101585, 0.111967, 0.120901, 0.125249, 0.12781, 0.129231, 0.128715, 0.127242, 0.124493, 0.11467, 0.0996229, 0.0577561, 0.0469709, 0.0363168, 0.0271063, 0.0194731, 0.0155821, 0.0112979, 0.00782836, 0.00549375, 0.00379275, 0.00181757, 0.000863008, 0.000404525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.764482, 0.789683, 0.7959, 0.819527, 0.837777, 0.848173, 0.857544, 0.866334, 0.875004, 0.883658, 0.890709, 0.904987, 0.915244, 0.922187, 0.929981, 0.937655, 0.943123, 0.952875, 0.964351, 0.975711, 0.985419");
-            values ( \
-              "0.00335045, 0.00763109, 0.0104148, 0.025329, 0.0350555, 0.0397709, 0.0432097, 0.0452079, 0.0446522, 0.0368398, 0.0270651, 0.0143647, 0.00861035, 0.00598738, 0.00395509, 0.00261376, 0.00202115, 0.00121803, 0.000730319, 0.000454153, 0.000401538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.805183, 0.828608, 0.840094, 0.872195, 0.89171, 0.901614, 0.908879, 0.921452, 0.933422, 0.94537, 0.951037, 0.973661, 0.988976, 0.999731, 1.00696, 1.01354, 1.02283, 1.03495, 1.04558, 1.05461, 1.07588, 1.08404");
-            values ( \
-              "0.00872016, 0.0118774, 0.0182635, 0.0410994, 0.0536604, 0.0594116, 0.0631084, 0.0680385, 0.0701203, 0.067015, 0.0615904, 0.0345269, 0.0214009, 0.0147675, 0.0113747, 0.00890004, 0.00624803, 0.00394675, 0.00271801, 0.00205249, 0.00103112, 0.000831966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.876573, 0.903769, 0.915933, 0.975959, 0.999781, 1.00843, 1.02038, 1.03819, 1.0478, 1.0581, 1.07351, 1.08519, 1.11164, 1.13492, 1.14435, 1.15693, 1.16502, 1.17861, 1.19415, 1.20761, 1.22385, 1.23887, 1.25562, 1.28297, 1.31524, 1.35706");
-            values ( \
-              "0.0165216, 0.0182921, 0.0253551, 0.0663886, 0.0803351, 0.0844556, 0.0891618, 0.0935842, 0.0945329, 0.0941487, 0.0888561, 0.0800207, 0.0553155, 0.0367494, 0.0306524, 0.023808, 0.0201112, 0.0150856, 0.0106963, 0.00790886, 0.00539403, 0.00382417, 0.00260284, 0.00135357, 0.000640357, 0.000232199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.999677, 1.05382, 1.09767, 1.13094, 1.14709, 1.17939, 1.19912, 1.21535, 1.23142, 1.24272, 1.25384, 1.27609, 1.28682, 1.30345, 1.32181, 1.38839, 1.41432, 1.43155, 1.4573, 1.47948, 1.49829, 1.51863, 1.5475, 1.56001, 1.58503, 1.63508, 1.7121, 1.8093");
-            values ( \
-              "0.0213459, 0.0363252, 0.0618815, 0.0800044, 0.0878652, 0.10067, 0.106415, 0.109783, 0.111863, 0.112751, 0.113164, 0.112404, 0.111013, 0.107036, 0.0996842, 0.0621891, 0.0487515, 0.0409712, 0.0310684, 0.0241717, 0.0194181, 0.0152287, 0.0106817, 0.00915346, 0.00668554, 0.0034815, 0.00118823, 0.000281506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.18939, 1.24806, 1.33598, 1.39648, 1.44449, 1.47888, 1.51688, 1.55866, 1.61547, 1.64673, 1.7037, 1.75108, 1.77978, 1.98848, 2.06325, 2.15144, 2.21464, 2.25199, 2.30921, 2.38551, 2.4812");
-            values ( \
-              "0.0332597, 0.03361, 0.0679836, 0.0885456, 0.101687, 0.108907, 0.115276, 0.120389, 0.124019, 0.124297, 0.121426, 0.113859, 0.106976, 0.0457313, 0.0304975, 0.0182317, 0.0123315, 0.00978591, 0.00683103, 0.00420854, 0.00231402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.50113, 1.61678, 1.78732, 1.87088, 1.97258, 2.0385, 2.0864, 2.13913, 2.18501, 2.25528, 2.31911, 2.43576, 2.47619, 2.52753, 2.58049, 2.68641, 2.79463, 3.04079, 3.13194, 3.19585, 3.26836, 3.36389, 3.44942, 3.56346, 3.65642, 3.75814, 3.87479, 3.99144, 4.10809, 4.22474, 4.45804, 4.69134");
-            values ( \
-              "0.0269248, 0.0309116, 0.065253, 0.0808492, 0.0972101, 0.106251, 0.111859, 0.117139, 0.120873, 0.125263, 0.127784, 0.129248, 0.128734, 0.127217, 0.124514, 0.114646, 0.0995958, 0.0616677, 0.04958, 0.0421548, 0.0347926, 0.0267221, 0.0209525, 0.0149927, 0.0113465, 0.00834284, 0.00583483, 0.0040529, 0.00282469, 0.00194962, 0.000932083, 0.00044289" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00655214, 0.00657707, 0.00660442, 0.00662737, 0.00664263, 0.00665132", \
-            "0.00746451, 0.0074921, 0.00752485, 0.00755436, 0.00757503, 0.00758714", \
-            "0.00801633, 0.00803701, 0.00806411, 0.0080921, 0.00811328, 0.00812624", \
-            "0.00840222, 0.00840618, 0.008415, 0.00842768, 0.00844081, 0.00845018", \
-            "0.00866845, 0.00865412, 0.00863773, 0.00862647, 0.00862267, 0.00862294", \
-            "0.00884944, 0.00882707, 0.00879601, 0.00876042, 0.00873125, 0.00871371" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0081527, 0.0081664, 0.00817618, 0.0081886, 0.00819346, 0.00819591", \
-            "0.00819733, 0.00817964, 0.00815742, 0.008135, 0.00811816, 0.00810803", \
-            "0.00808983, 0.00803722, 0.0079758, 0.00791923, 0.00787944, 0.0078561", \
-            "0.00803265, 0.00794642, 0.00784449, 0.00775284, 0.00768665, 0.00764656", \
-            "0.00821106, 0.00810365, 0.00792558, 0.00774883, 0.00762423, 0.00754835", \
-            "0.00770252, 0.00775222, 0.00798697, 0.00798569, 0.00774741, 0.00758639" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.131852, 0.140779, 0.143669, 0.145394, 0.150571, 0.154707, 0.16061, 0.166922, 0.173439, 0.177622, 0.182028, 0.185052, 0.189588, 0.192756, 0.196066, 0.198035, 0.200004, 0.202515, 0.20377, 0.205533, 0.207058, 0.207934, 0.209688, 0.211505, 0.212445, 0.214325, 0.218052, 0.226167, 0.229097, 0.231738, 0.233712, 0.235905, 0.237659, 0.241585");
-            values ( \
-              "-0.0124709, -0.0133375, -0.0155809, -0.0171673, -0.0228465, -0.0268887, -0.0318831, -0.0366994, -0.0413672, -0.044177, -0.0469227, -0.0486257, -0.0509197, -0.0524275, -0.0538175, -0.0544686, -0.0549888, -0.0553048, -0.0553522, -0.0552941, -0.0551266, -0.0548712, -0.0540541, -0.0527563, -0.0518944, -0.0497926, -0.0437853, -0.0268074, -0.0214228, -0.0171284, -0.0142438, -0.0117007, -0.00994445, -0.00672151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.154392, 0.166242, 0.168277, 0.170313, 0.174383, 0.176227, 0.178071, 0.181759, 0.186798, 0.190852, 0.196258, 0.203483, 0.208943, 0.212535, 0.219718, 0.226453, 0.232741, 0.237454, 0.240592, 0.243459, 0.248678, 0.251331, 0.254039, 0.258183, 0.260396, 0.261503, 0.26261, 0.264141, 0.265673, 0.267204, 0.268736, 0.270691, 0.272647, 0.274602, 0.276558, 0.278928, 0.279718, 0.281141, 0.285409, 0.297327, 0.30022, 0.303968, 0.306659, 0.308546, 0.309804, 0.311782, 0.31376, 0.316537, 0.319314, 0.321589");
-            values ( \
-              "-0.0112076, -0.0166571, -0.0180131, -0.0194821, -0.0227585, -0.0244071, -0.0261162, -0.0299391, -0.0348224, -0.0384916, -0.0430091, -0.0487777, -0.052932, -0.0554324, -0.0601446, -0.0642136, -0.0677069, -0.0701246, -0.0716277, -0.0729206, -0.0750405, -0.075998, -0.0768773, -0.0780001, -0.0781643, -0.0781872, -0.0781707, -0.0780826, -0.077919, -0.0776797, -0.0773648, -0.0767092, -0.0758608, -0.0748197, -0.0735858, -0.0711997, -0.070276, -0.0681002, -0.0609864, -0.0378364, -0.0328701, -0.0271078, -0.0233026, -0.020828, -0.0193111, -0.0172566, -0.0153658, -0.0130711, -0.0109328, -0.00929766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.198834, 0.203671, 0.22139, 0.224357, 0.230292, 0.242162, 0.24387, 0.247288, 0.254122, 0.265039, 0.284434, 0.295136, 0.300248, 0.305769, 0.3123, 0.317789, 0.321576, 0.325938, 0.333256, 0.3399, 0.347972, 0.359058, 0.373851, 0.377221, 0.383962, 0.395009, 0.41277, 0.413644, 0.415394, 0.418893, 0.42589, 0.435724, 0.43785, 0.442101, 0.450604, 0.457041, 0.458647, 0.46186, 0.468285, 0.479804, 0.483705, 0.484998, 0.487584, 0.492755, 0.503097, 0.523781, 0.534429");
-            values ( \
-              "-0.00967428, -0.0109453, -0.0189287, -0.0210506, -0.0241341, -0.0322781, -0.0334951, -0.0361515, -0.041286, -0.0489661, -0.0609367, -0.0670588, -0.06979, -0.0727086, -0.0759774, -0.0786742, -0.0804598, -0.0824248, -0.0855609, -0.0881643, -0.0910726, -0.0944224, -0.0976564, -0.0981089, -0.0987754, -0.0982862, -0.089526, -0.0886864, -0.086818, -0.0825342, -0.0726275, -0.0580207, -0.0549832, -0.0491204, -0.0385125, -0.0316207, -0.0300632, -0.0271236, -0.0219424, -0.0147105, -0.0128195, -0.0122413, -0.0111648, -0.00925921, -0.006318, -0.00280745, -0.00208476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.30692, 0.344824, 0.44059, 0.482873, 0.516616, 0.556347, 0.591342, 0.614491, 0.64072, 0.656763, 0.688849, 0.755188, 0.775593, 0.802756, 0.83834, 0.865249, 0.915669, 0.975802");
-            values ( \
-              "-0.0154623, -0.0237492, -0.0652107, -0.0807751, -0.0914718, -0.102201, -0.109849, -0.113445, -0.115262, -0.114378, -0.103409, -0.0468332, -0.0336326, -0.0208904, -0.0107567, -0.00634693, -0.00213366, -0.000552298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.498317, 0.594045, 0.766142, 0.837324, 0.928256, 0.954054, 1.01092, 1.06449, 1.10751, 1.1432, 1.20668, 1.27014, 1.29574, 1.36369, 1.40258, 1.434, 1.46056, 1.48743, 1.5255, 1.57326, 1.63695, 1.74295, 1.86725");
-            values ( \
-              "-0.00904757, -0.0238792, -0.0661008, -0.0813644, -0.0989114, -0.10334, -0.111989, -0.118512, -0.122404, -0.124473, -0.123896, -0.110928, -0.0995921, -0.0659232, -0.0493846, -0.0383302, -0.0306261, -0.0241876, -0.0171015, -0.0109324, -0.0058221, -0.0018437, -0.000438458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("1.00972, 1.18786, 1.39524, 1.53784, 1.72034, 1.82275, 1.97273, 2.11055, 2.23898, 2.27709, 2.31774, 2.37001, 2.47454, 2.76658, 2.85498, 2.96973, 3.03179, 3.09828, 3.18693, 3.31536, 3.44379, 3.70065");
-            values ( \
-              "-0.0240511, -0.0320232, -0.0591173, -0.0762459, -0.0959067, -0.105485, -0.117283, -0.125337, -0.129716, -0.130182, -0.130115, -0.128834, -0.119202, -0.0518228, -0.0365984, -0.0225096, -0.0170927, -0.0126676, -0.00840557, -0.00451225, -0.00241725, -0.000681718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.142195, 0.152306, 0.155223, 0.160466, 0.17353, 0.19107, 0.207204, 0.21445, 0.221513, 0.228566, 0.230378, 0.241986, 0.247776, 0.254422, 0.262319, 0.269262, 0.27473");
-            values ( \
-              "-0.00702721, -0.0112794, -0.0133114, -0.0175026, -0.0307505, -0.0436943, -0.0525233, -0.0547309, -0.055552, -0.0508267, -0.0482861, -0.0243452, -0.014983, -0.00800607, -0.00361922, -0.00171237, -0.00109107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.166974, 0.178826, 0.186178, 0.192918, 0.205614, 0.218314, 0.223662, 0.23798, 0.25681, 0.267313, 0.277258, 0.283379, 0.291207, 0.294357, 0.300047, 0.312547, 0.320665, 0.328386, 0.333943, 0.340777, 0.349889, 0.356531");
-            values ( \
-              "-0.00944767, -0.0153838, -0.0203376, -0.0260795, -0.0389178, -0.0483927, -0.0529922, -0.0624817, -0.0725192, -0.0765146, -0.0785944, -0.0780518, -0.0743576, -0.0707562, -0.0616857, -0.0370004, -0.0241942, -0.0155303, -0.0109996, -0.00712663, -0.00391613, -0.00272139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.216602, 0.225857, 0.238006, 0.240175, 0.244513, 0.253189, 0.259259, 0.271398, 0.280185, 0.297759, 0.315154, 0.327475, 0.333989, 0.33958, 0.346717, 0.354347, 0.361473, 0.367887, 0.373934, 0.383282, 0.392077, 0.40682, 0.409857, 0.41593, 0.428388, 0.442572, 0.450519, 0.456957, 0.46554, 0.47188, 0.476629, 0.482961, 0.494566, 0.499798, 0.507664, 0.518153, 0.539129, 0.549208");
-            values ( \
-              "-0.0107015, -0.0144465, -0.0202411, -0.0217043, -0.0240269, -0.0296742, -0.034028, -0.0433033, -0.049169, -0.0602947, -0.0700422, -0.0763349, -0.0794578, -0.0820248, -0.0850672, -0.0881395, -0.0907016, -0.092789, -0.0945184, -0.0967222, -0.0981953, -0.098778, -0.0982681, -0.0968081, -0.088613, -0.0699416, -0.0579973, -0.0490286, -0.0384202, -0.0315583, -0.0271419, -0.022064, -0.014716, -0.012236, -0.00921916, -0.00626302, -0.0027399, -0.00207396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.321618, 0.359485, 0.369115, 0.378744, 0.422153, 0.439051, 0.450795, 0.472208, 0.481885, 0.49604, 0.511101, 0.526465, 0.546247, 0.554917, 0.563213, 0.580798, 0.590086, 0.594194, 0.606515, 0.618306, 0.630097, 0.639602, 0.643677, 0.647753, 0.651828, 0.655903, 0.659916, 0.663928, 0.66794, 0.671953, 0.679978, 0.688003, 0.696028, 0.704053, 0.706079, 0.70912, 0.71824, 0.749521, 0.758156, 0.764277, 0.770399, 0.778335, 0.787402, 0.793056, 0.799841, 0.804364, 0.808888, 0.817934, 0.8295, 0.84009");
-            values ( \
-              "-0.0157664, -0.0234764, -0.0272992, -0.0313681, -0.0512179, -0.0583956, -0.0631469, -0.0714622, -0.0750364, -0.0800872, -0.0850444, -0.0898137, -0.095575, -0.0979858, -0.100188, -0.104574, -0.106736, -0.107608, -0.109998, -0.111913, -0.113623, -0.114853, -0.115031, -0.115085, -0.115013, -0.114816, -0.114499, -0.114061, -0.113503, -0.112824, -0.111105, -0.108904, -0.106221, -0.103055, -0.101959, -0.0996654, -0.0920168, -0.0635044, -0.0559068, -0.0511622, -0.0466675, -0.0413164, -0.0354614, -0.0320308, -0.028384, -0.0263114, -0.0243489, -0.0207537, -0.0168666, -0.0135795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.51992, 0.611781, 0.782429, 0.867298, 0.944132, 1.02634, 1.08056, 1.12148, 1.15854, 1.22199, 1.28542, 1.41784, 1.47582, 1.54076, 1.58851, 1.65218, 1.73735");
-            values ( \
-              "-0.00973911, -0.0245663, -0.0664495, -0.0844444, -0.0990922, -0.112074, -0.118677, -0.122382, -0.124523, -0.123952, -0.110949, -0.049393, -0.0306274, -0.0171024, -0.0109335, -0.00582338, -0.00262598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.960387, 1.14398, 1.55311, 1.73358, 1.83712, 1.9871, 2.12494, 2.25337, 2.29157, 2.33231, 2.3844, 2.48858, 2.77974, 2.86936, 2.98591, 3.11211, 3.19928, 3.32771, 3.45614, 3.713");
-            values ( \
-              "-0.0114218, -0.0250107, -0.0763487, -0.0957939, -0.105468, -0.117267, -0.125323, -0.129721, -0.130178, -0.13011, -0.128831, -0.119254, -0.0520641, -0.0365989, -0.0223323, -0.012699, -0.00848725, -0.00455709, -0.00244156, -0.000688614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.182109, 0.190906, 0.19365, 0.195291, 0.201295, 0.204541, 0.206511, 0.210451, 0.215654, 0.226414, 0.231875, 0.234843, 0.239295, 0.243423, 0.246067, 0.248857, 0.249787, 0.251044, 0.253556, 0.255319, 0.256838, 0.257715, 0.259467, 0.261284, 0.262224, 0.264104, 0.265967, 0.26783, 0.275945, 0.278876, 0.281514, 0.283485, 0.285675, 0.287427, 0.291359");
-            values ( \
-              "-0.0132785, -0.0135826, -0.0157595, -0.0172743, -0.0238294, -0.0269749, -0.0286793, -0.0319477, -0.0359696, -0.0435708, -0.0469895, -0.0486537, -0.0509237, -0.0528379, -0.0539093, -0.0547748, -0.0550067, -0.0552029, -0.0553722, -0.0553133, -0.0551449, -0.0548889, -0.0540699, -0.0527701, -0.0519074, -0.0498044, -0.046987, -0.0437945, -0.026808, -0.0214204, -0.0171306, -0.0142485, -0.0117081, -0.00995317, -0.00672246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.20016, 0.223226, 0.240703, 0.258715, 0.273065, 0.285591, 0.302364, 0.312308, 0.318409, 0.326227, 0.329384, 0.355059, 0.363414, 0.37579, 0.384888, 0.398291, 0.423263, 0.473191");
-            values ( \
-              "-0.00304487, -0.0217767, -0.0385902, -0.0527561, -0.062326, -0.0693554, -0.0763884, -0.0784872, -0.0782034, -0.0742615, -0.0708795, -0.0250691, -0.0154997, -0.00715651, -0.00389615, -0.0015365, -0.000379731, -7.17884e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.252708, 0.259625, 0.273512, 0.276053, 0.281134, 0.295092, 0.316008, 0.335189, 0.351076, 0.363023, 0.376723, 0.390608, 0.398739, 0.409752, 0.424487, 0.427885, 0.43468, 0.445656, 0.463404, 0.469577, 0.488482, 0.501115, 0.512521, 0.519029, 0.530465, 0.535622, 0.543279, 0.553488, 0.573907, 0.58709");
-            values ( \
-              "-0.0109334, -0.0136637, -0.0199794, -0.0218519, -0.0244732, -0.0340371, -0.0492244, -0.0612588, -0.0701156, -0.07622, -0.0826432, -0.0883327, -0.0911916, -0.0945644, -0.0977153, -0.098225, -0.0988354, -0.0983816, -0.0895008, -0.0825088, -0.0550048, -0.038662, -0.0270977, -0.0218607, -0.014693, -0.0122424, -0.00929317, -0.00637422, -0.00286463, -0.00195171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.339801, 0.396549, 0.410866, 0.479302, 0.512679, 0.546807, 0.587187, 0.625736, 0.642067, 0.665056, 0.675166, 0.69148, 0.707529, 0.739629, 0.753869, 0.786839, 0.805982, 0.826389, 0.853554, 0.86896, 0.889146, 0.916061, 0.96819, 1.02839");
-            values ( \
-              "-0.00445198, -0.0237877, -0.0295497, -0.060313, -0.0732351, -0.0849912, -0.0969102, -0.106459, -0.109771, -0.113335, -0.114395, -0.115189, -0.114333, -0.103365, -0.0921571, -0.0620972, -0.046825, -0.0336286, -0.0208899, -0.0157261, -0.0107534, -0.00634744, -0.00203659, -0.000529685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.56008, 0.649052, 0.820576, 0.89621, 0.967512, 1.0255, 1.06309, 1.13104, 1.19543, 1.25891, 1.32232, 1.41584, 1.45473, 1.51269, 1.53956, 1.57763, 1.62538, 1.68906, 1.79505, 1.91934");
-            values ( \
-              "-0.0106782, -0.0247505, -0.0667847, -0.0828689, -0.0967178, -0.106566, -0.112089, -0.120081, -0.124564, -0.123982, -0.110987, -0.0659373, -0.0493919, -0.0306317, -0.024191, -0.0171042, -0.0109339, -0.00582212, -0.00184421, -0.000438209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.976583, 1.18092, 1.58089, 1.7021, 1.87399, 2.02398, 2.16181, 2.29024, 2.32843, 2.36916, 2.42127, 2.52549, 2.81675, 2.90623, 3.02257, 3.14904, 3.23638, 3.36482, 3.49325, 3.75011");
-            values ( \
-              "-0.00767443, -0.0250481, -0.0752773, -0.0887767, -0.105489, -0.117288, -0.125344, -0.129702, -0.130179, -0.13011, -0.128832, -0.119248, -0.0520357, -0.0365982, -0.022352, -0.012696, -0.00847709, -0.00455254, -0.00243807, -0.000687149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.263044, 0.275493, 0.281275, 0.292198, 0.313048, 0.322427, 0.330118, 0.337358, 0.34263, 0.344403, 0.347908, 0.351666, 0.366436, 0.371476, 0.37787, 0.385163, 0.393498, 0.404553, 0.426424, 0.487807");
-            values ( \
-              "-0.000866184, -0.0116397, -0.0157167, -0.0270017, -0.0429933, -0.048673, -0.052425, -0.0548835, -0.0555515, -0.0553408, -0.0540839, -0.0504675, -0.0214503, -0.0138986, -0.00757068, -0.00361368, -0.00144701, -0.000384531, -0.000101627, -6.08094e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.291061, 0.298984, 0.3045, 0.31312, 0.316052, 0.321918, 0.328704, 0.340325, 0.346697, 0.359441, 0.361026, 0.364197, 0.369134, 0.373542, 0.379594, 0.385002, 0.390063, 0.395823, 0.400239, 0.406369, 0.414339, 0.417346, 0.42304, 0.431478, 0.435519, 0.440137, 0.443017, 0.444913, 0.44744, 0.45139, 0.456936, 0.459204, 0.46374, 0.472812, 0.486188, 0.490121");
-            values ( \
-              "-0.00998062, -0.0138739, -0.01687, -0.0236024, -0.0263823, -0.0323155, -0.0386683, -0.0480368, -0.0527856, -0.0613269, -0.0623242, -0.0642128, -0.0670447, -0.0693376, -0.0722634, -0.0744817, -0.0763093, -0.0778125, -0.0784874, -0.0781946, -0.0741346, -0.0708735, -0.0615896, -0.0446137, -0.0370082, -0.0292723, -0.0250827, -0.0225808, -0.0195463, -0.0154952, -0.0110123, -0.00956432, -0.00715499, -0.00391446, -0.00153644, -0.00126857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.343224, 0.362534, 0.366703, 0.370872, 0.381942, 0.398249, 0.403041, 0.412623, 0.415818, 0.424469, 0.438066, 0.451142, 0.456982, 0.463549, 0.47719, 0.486002, 0.487358, 0.489766, 0.496992, 0.503508, 0.506766, 0.515154, 0.517393, 0.519633, 0.521872, 0.524111, 0.526316, 0.528521, 0.530726, 0.532931, 0.537341, 0.541751, 0.546161, 0.550571, 0.551558, 0.554517, 0.557477, 0.559336, 0.564913, 0.579563, 0.586028, 0.592315, 0.596772, 0.599133, 0.601494, 0.605049, 0.608604, 0.613653, 0.618701, 0.622992");
-            values ( \
-              "-0.0152456, -0.0210262, -0.0234976, -0.0261185, -0.0338817, -0.045882, -0.0491848, -0.0552302, -0.0571752, -0.0622199, -0.0698212, -0.076513, -0.0793201, -0.0823715, -0.087987, -0.0912899, -0.0917781, -0.0925315, -0.0945803, -0.0960971, -0.0968035, -0.0984628, -0.0986326, -0.0987017, -0.0986703, -0.0985384, -0.0983078, -0.0979813, -0.0975587, -0.0970401, -0.095715, -0.0940058, -0.0919126, -0.0894353, -0.0886668, -0.0852343, -0.0814107, -0.0788169, -0.0708833, -0.0494197, -0.0413361, -0.0343147, -0.0296768, -0.0274086, -0.0252942, -0.0225532, -0.0200355, -0.0169591, -0.0141022, -0.0118466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.455718, 0.49275, 0.500265, 0.510604, 0.52744, 0.541384, 0.552435, 0.568874, 0.586988, 0.603406, 0.621749, 0.639302, 0.655965, 0.664836, 0.673826, 0.698532, 0.705257, 0.715343, 0.722758, 0.730172, 0.749356, 0.763271, 0.767031, 0.770792, 0.774552, 0.778313, 0.782642, 0.786971, 0.791301, 0.79563, 0.803723, 0.811815, 0.819908, 0.828, 0.829787, 0.832467, 0.840508, 0.874943, 0.882359, 0.891393, 0.902249, 0.910095, 0.916697, 0.9233, 0.926657, 0.936727, 0.941481, 0.950989, 0.957741, 0.963735");
-            values ( \
-              "-0.0267926, -0.0271005, -0.0302611, -0.0348251, -0.0428286, -0.0492145, -0.0540328, -0.0608578, -0.0680202, -0.074214, -0.0807618, -0.086435, -0.0915098, -0.0940935, -0.0965679, -0.10302, -0.104684, -0.107048, -0.108559, -0.109946, -0.11287, -0.114817, -0.114967, -0.115013, -0.114954, -0.11479, -0.114468, -0.114009, -0.113415, -0.112684, -0.110954, -0.108748, -0.106067, -0.102911, -0.101947, -0.0999422, -0.0932563, -0.0619327, -0.0555009, -0.0486204, -0.0411319, -0.0361056, -0.0321301, -0.0285411, -0.0269706, -0.0226354, -0.0208808, -0.0175572, -0.0153472, -0.0134898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.619412, 0.734265, 0.906039, 1.03991, 1.0935, 1.15031, 1.2182, 1.24695, 1.28256, 1.34603, 1.40948, 1.54191, 1.59989, 1.66483, 1.71259, 1.77627, 1.86859");
-            values ( \
-              "-0.00333517, -0.0241172, -0.0662789, -0.0938766, -0.103374, -0.11201, -0.119993, -0.122444, -0.124483, -0.123922, -0.110928, -0.0493876, -0.0306263, -0.0171016, -0.0109326, -0.00582266, -0.00235717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.13182, 1.26966, 1.67783, 1.85854, 1.96222, 2.11218, 2.25001, 2.37844, 2.41649, 2.45707, 2.50945, 2.61422, 2.90689, 2.99443, 3.10795, 3.23811, 3.32778, 3.45621, 3.58464, 3.8415");
-            values ( \
-              "-0.0234315, -0.0250956, -0.0763189, -0.0957914, -0.105488, -0.117284, -0.125337, -0.129722, -0.130184, -0.130118, -0.128837, -0.119166, -0.0516556, -0.0365981, -0.0226333, -0.0126461, -0.0083499, -0.00448165, -0.00240071, -0.000677047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.474633, 0.48735, 0.493938, 0.497016, 0.514161, 0.529273, 0.537821, 0.545593, 0.55289, 0.559994, 0.567087, 0.56885, 0.580691, 0.586266, 0.589029, 0.592974, 0.597492, 0.601045, 0.60815, 0.617694");
-            values ( \
-              "-0.0049183, -0.00920255, -0.0131146, -0.0155663, -0.0316154, -0.0429275, -0.0480642, -0.052007, -0.0544719, -0.055193, -0.0507112, -0.0482064, -0.023997, -0.0150429, -0.0116898, -0.00800462, -0.00512198, -0.00356041, -0.00165196, -0.000627477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.505877, 0.521727, 0.525225, 0.528274, 0.534372, 0.540098, 0.545131, 0.548255, 0.554504, 0.560662, 0.566819, 0.575258, 0.579821, 0.585899, 0.589419, 0.592407, 0.598102, 0.600784, 0.603348, 0.606072, 0.608958, 0.6104, 0.612661, 0.613792, 0.614922, 0.616448, 0.617973, 0.619499, 0.621024, 0.623001, 0.624979, 0.626956, 0.628933, 0.631254, 0.632027, 0.633455, 0.63774, 0.642631, 0.647929, 0.649658, 0.65254, 0.656301, 0.659034, 0.66095, 0.662227, 0.664201, 0.666174, 0.668946, 0.671719, 0.67398");
-            values ( \
-              "-0.0174576, -0.0178136, -0.0206208, -0.0233533, -0.0296937, -0.0350983, -0.0395016, -0.0421026, -0.0470549, -0.0516092, -0.0558357, -0.0614739, -0.0642654, -0.0676526, -0.0694637, -0.0709144, -0.0734527, -0.0745317, -0.0754751, -0.0763805, -0.0772175, -0.0775913, -0.0777741, -0.0778042, -0.0777934, -0.0777141, -0.0775603, -0.0773321, -0.0770295, -0.0763836, -0.0755426, -0.0745066, -0.0732757, -0.0709619, -0.0700684, -0.0678995, -0.0608153, -0.0515771, -0.0410582, -0.0378016, -0.0328747, -0.0271056, -0.0232555, -0.0207558, -0.0192257, -0.0171884, -0.0153127, -0.0130316, -0.0109058, -0.00928766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.552256, 0.562789, 0.574751, 0.577076, 0.581726, 0.591026, 0.596452, 0.607304, 0.617525, 0.636757, 0.65261, 0.664991, 0.671451, 0.676123, 0.681944, 0.690169, 0.696566, 0.70427, 0.711438, 0.725371, 0.729599, 0.738055, 0.747375, 0.765129, 0.767769, 0.771289, 0.778328, 0.790211, 0.794433, 0.802878, 0.80938, 0.814244, 0.820729, 0.832189, 0.837356, 0.839917, 0.845039, 0.855284, 0.875773, 0.886419");
-            values ( \
-              "-0.00969561, -0.0139691, -0.0196723, -0.0212102, -0.0237106, -0.0297822, -0.0337055, -0.042004, -0.0490107, -0.0610323, -0.0698925, -0.0762307, -0.079344, -0.0815015, -0.0840369, -0.0874109, -0.0897939, -0.0923658, -0.0944638, -0.0975236, -0.0981483, -0.0988618, -0.0983034, -0.0895517, -0.0868216, -0.0825086, -0.0725272, -0.0549901, -0.0491656, -0.0386153, -0.0316451, -0.0271047, -0.021881, -0.0146987, -0.0122428, -0.0111757, -0.0092847, -0.0063591, -0.00284992, -0.00211553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.64213, 0.71059, 0.791332, 0.856751, 0.907936, 0.942846, 0.96735, 0.992213, 1.00827, 1.04038, 1.10672, 1.1542, 1.17639, 1.21687, 1.26889, 1.32908");
-            values ( \
-              "-0.00366355, -0.0292195, -0.0649092, -0.0880355, -0.102237, -0.109852, -0.113611, -0.115266, -0.11438, -0.103397, -0.0468141, -0.0209131, -0.0138891, -0.00633094, -0.0020407, -0.000526632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.881284, 0.948692, 1.12221, 1.21072, 1.28947, 1.36347, 1.43134, 1.49584, 1.55932, 1.62275, 1.75516, 1.81312, 1.87806, 1.92582, 1.98951, 2.07153");
-            values ( \
-              "-0.0222278, -0.0245404, -0.0670198, -0.08569, -0.100517, -0.112063, -0.120039, -0.124551, -0.12396, -0.110985, -0.0493887, -0.0306315, -0.0171041, -0.0109336, -0.00582145, -0.00274348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.32393, 1.4825, 1.88707, 2.00308, 2.17494, 2.32491, 2.46273, 2.59116, 2.62918, 2.66973, 2.72217, 2.82705, 3.12, 3.20716, 3.3201, 3.451, 3.54113, 3.66956, 3.79799, 4.05486");
-            values ( \
-              "-0.0170644, -0.0251202, -0.0759148, -0.0887876, -0.105494, -0.117289, -0.125342, -0.129721, -0.130185, -0.13012, -0.128838, -0.119149, -0.0515795, -0.0365979, -0.0226899, -0.0126364, -0.00832479, -0.00446781, -0.00239327, -0.000674964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.911245, 0.943336, 0.947924, 0.948976, 0.951081, 0.95529, 0.9625, 0.965814, 0.972442, 0.980547, 0.998265, 1.01134, 1.02238, 1.03219, 1.04122, 1.04986, 1.05848, 1.06108, 1.06378, 1.07483, 1.07792, 1.08161, 1.08654, 1.08927, 1.09078, 1.09378, 1.09979, 1.10074");
-            values ( \
-              "-0.00141495, -0.00355935, -0.00437896, -0.00473868, -0.0051513, -0.00626299, -0.0083223, -0.00963143, -0.0125181, -0.0173067, -0.0265719, -0.032872, -0.0376798, -0.0415464, -0.0443841, -0.0459047, -0.0435213, -0.0409239, -0.0373954, -0.0198875, -0.015817, -0.0117665, -0.00767342, -0.0060123, -0.00524564, -0.00397507, -0.00222973, -0.00209896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.963834, 0.995019, 1.00742, 1.01348, 1.05471, 1.0871, 1.09824, 1.10876, 1.11866, 1.1244, 1.13269, 1.1364, 1.14257, 1.15545, 1.16425, 1.16974, 1.17706, 1.18598, 1.19328, 1.20548, 1.21579, 1.24227, 1.29494");
-            values ( \
-              "-0.000615321, -0.0099714, -0.0145324, -0.0174282, -0.0423675, -0.0592199, -0.064153, -0.0680506, -0.0704266, -0.0707427, -0.0683858, -0.0654455, -0.0575516, -0.0356268, -0.0231884, -0.0172353, -0.0112551, -0.00655839, -0.0041399, -0.00184574, -0.000984831, -0.000287921, -7.67817e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("1.05142, 1.07535, 1.08073, 1.08611, 1.09149, 1.1007, 1.12602, 1.12974, 1.14564, 1.15992, 1.17442, 1.18754, 1.19825, 1.20315, 1.20805, 1.21209, 1.21614, 1.21927, 1.2224, 1.23046, 1.23756, 1.23991, 1.24461, 1.24695, 1.25046, 1.25396, 1.25746, 1.26096, 1.26528, 1.26744, 1.2696, 1.27176, 1.27393, 1.27649, 1.27906, 1.28162, 1.28419, 1.28782, 1.29509, 1.30072, 1.31302, 1.3194, 1.32829, 1.33114, 1.33456, 1.33999, 1.3418, 1.34692, 1.35203, 1.35641");
-            values ( \
-              "-0.0139675, -0.0152987, -0.0174586, -0.0197836, -0.0222734, -0.0271424, -0.042346, -0.0444722, -0.0533231, -0.060947, -0.0683413, -0.0746919, -0.0795318, -0.081536, -0.0833845, -0.0855521, -0.0880565, -0.0884624, -0.0890499, -0.0912483, -0.0933343, -0.0938872, -0.0948387, -0.0952371, -0.0956317, -0.095871, -0.0959548, -0.0958833, -0.0955804, -0.095154, -0.0945442, -0.0937511, -0.0927747, -0.0912337, -0.0894645, -0.087467, -0.0852412, -0.0813967, -0.0729328, -0.0656159, -0.0484284, -0.0406861, -0.0311537, -0.0283491, -0.0252567, -0.0212089, -0.0199718, -0.0168905, -0.0140319, -0.0117595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.1729, 1.21131, 1.2312, 1.2908, 1.32997, 1.36425, 1.40698, 1.435, 1.46432, 1.48915, 1.51383, 1.52992, 1.5621, 1.62851, 1.64887, 1.67592, 1.69819, 1.71172, 1.73879, 1.791, 1.85114");
-            values ( \
-              "-0.0183927, -0.0202962, -0.0281321, -0.0553269, -0.0709337, -0.0830346, -0.0958827, -0.10317, -0.109486, -0.113316, -0.114997, -0.114161, -0.103234, -0.046762, -0.03361, -0.0209252, -0.0138811, -0.0107411, -0.00631141, -0.002029, -0.000521771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.40257, 1.46793, 1.67128, 1.76862, 1.8314, 1.89592, 1.95021, 2.00483, 2.03468, 2.07783, 2.14116, 2.27352, 2.33187, 2.3967, 2.4443, 2.50775, 2.6136, 2.73773");
-            values ( \
-              "-0.0236304, -0.0246083, -0.0736784, -0.0933329, -0.104405, -0.113895, -0.120051, -0.1241, -0.125054, -0.123912, -0.110987, -0.0494987, -0.0305584, -0.0170689, -0.0109217, -0.00586584, -0.00183106, -0.000464776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.87782, 2.05798, 2.26259, 2.40343, 2.52093, 2.69281, 2.84279, 2.88589, 2.98062, 3.10905, 3.14718, 3.18785, 3.24008, 3.34453, 3.37721, 3.56149, 3.63638, 3.72504, 3.76343, 3.84019, 3.90198, 3.96822, 4.05656, 4.18499, 4.31342, 4.44185, 4.57028");
-            values ( \
-              "-0.0234593, -0.0320149, -0.0587684, -0.0757197, -0.0887801, -0.10549, -0.117288, -0.120117, -0.125343, -0.129709, -0.130181, -0.130113, -0.128834, -0.119213, -0.113113, -0.0678965, -0.0518749, -0.0365981, -0.031221, -0.0224704, -0.0170837, -0.0126749, -0.0084228, -0.00452242, -0.00242205, -0.00126972, -0.000682753" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00571766, 0.00572835, 0.00574059, 0.00575141, 0.00575894, 0.00576335", \
-            "0.00623282, 0.00624123, 0.00625194, 0.00626224, 0.00627002, 0.00627487", \
-            "0.00651212, 0.00651673, 0.00652354, 0.00653118, 0.00653758, 0.00654185", \
-            "0.00668129, 0.00668188, 0.00668365, 0.00668664, 0.0066901, 0.00669293", \
-            "0.00678628, 0.00678299, 0.00678063, 0.00677852, 0.00677773, 0.00677796", \
-            "0.00684845, 0.00684568, 0.00684167, 0.00683683, 0.00683241, 0.00682969" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00724146, 0.00725039, 0.00726044, 0.00726977, 0.00727739, 0.0072818", \
-            "0.007632, 0.00763256, 0.007634, 0.00763569, 0.00763668, 0.00763832", \
-            "0.00785877, 0.00784707, 0.00783346, 0.00782166, 0.00781346, 0.00780892", \
-            "0.00811812, 0.00809103, 0.00805732, 0.00802505, 0.00800071, 0.0079857", \
-            "0.00839619, 0.0083435, 0.00827399, 0.00820877, 0.0081587, 0.00812569", \
-            "0.00871973, 0.00864062, 0.00850631, 0.00836484, 0.00825709, 0.00818854" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.148545, 0.192386, 0.282935, 0.471222, 0.868833, 1.70766", \
-            "0.152346, 0.196361, 0.286792, 0.4753, 0.872702, 1.71175", \
-            "0.161344, 0.205442, 0.296088, 0.484715, 0.88226, 1.72146", \
-            "0.183166, 0.227408, 0.318098, 0.507033, 0.904584, 1.74418", \
-            "0.230507, 0.278567, 0.371891, 0.561527, 0.959209, 1.79858", \
-            "0.285656, 0.348875, 0.467323, 0.683975, 1.09108, 1.93017" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0442725, 0.0673995, 0.115021, 0.216366, 0.428359, 0.875991", \
-            "0.0442478, 0.067342, 0.115211, 0.21635, 0.428566, 0.875899", \
-            "0.0442908, 0.0673641, 0.115026, 0.216326, 0.428502, 0.875994", \
-            "0.0442227, 0.0672521, 0.11504, 0.216242, 0.428602, 0.875914", \
-            "0.0471499, 0.0689543, 0.115433, 0.216069, 0.428171, 0.875992", \
-            "0.056862, 0.0793446, 0.124668, 0.220106, 0.428465, 0.875846" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.185085, 0.239525, 0.351191, 0.583889, 1.07136, 2.10319", \
-            "0.188833, 0.243435, 0.355443, 0.58806, 1.07626, 2.10765", \
-            "0.198709, 0.253516, 0.365765, 0.598514, 1.08737, 2.11882", \
-            "0.225054, 0.279616, 0.391648, 0.624802, 1.11344, 2.14577", \
-            "0.292559, 0.346463, 0.45828, 0.690578, 1.17878, 2.21076", \
-            "0.423608, 0.49252, 0.619882, 0.856463, 1.34299, 2.37268" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0473932, 0.0707536, 0.118513, 0.216467, 0.422194, 0.855902", \
-            "0.0474543, 0.0706716, 0.118242, 0.216548, 0.421853, 0.855942", \
-            "0.0473632, 0.0706541, 0.118342, 0.216688, 0.421934, 0.855924", \
-            "0.0473094, 0.0706265, 0.118459, 0.216642, 0.422076, 0.855879", \
-            "0.047957, 0.0713432, 0.118445, 0.216464, 0.422047, 0.855859", \
-            "0.0602809, 0.08169, 0.124278, 0.217403, 0.421655, 0.855905" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.10083, 0.109538, 0.13002, 0.141112, 0.149226, 0.154619, 0.159009, 0.162786, 0.169476, 0.184572, 0.192983, 0.203375, 0.212531, 0.223752, 0.242586, 0.26408, 0.265514");
-            values ( \
-              "0.00592986, 0.0131277, 0.0397652, 0.0503172, 0.0554655, 0.0575092, 0.0582281, 0.0580062, 0.0542566, 0.028588, 0.0187914, 0.0107226, 0.00647629, 0.00333897, 0.00117479, 0.000518713, 0.000508618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.132474, 0.151163, 0.15362, 0.158533, 0.160989, 0.163738, 0.166486, 0.171983, 0.174732, 0.178775, 0.181363, 0.184636, 0.186729, 0.189735, 0.193744, 0.197753, 0.200109, 0.201288, 0.203644, 0.204823, 0.206194, 0.207565, 0.208936, 0.210307, 0.212867, 0.215426, 0.217986, 0.221022, 0.222454, 0.225794, 0.237821, 0.240452, 0.243084, 0.247369, 0.251654, 0.257777, 0.259285, 0.261847, 0.265712, 0.268319, 0.271367, 0.273112, 0.274856, 0.278346, 0.28009, 0.282085, 0.28408, 0.288069, 0.293693, 0.298188");
-            values ( \
-              "0.0429077, 0.0454437, 0.048442, 0.0541123, 0.0567845, 0.059514, 0.0621143, 0.0668991, 0.0691192, 0.0721757, 0.0738853, 0.0758317, 0.0769019, 0.0781811, 0.07947, 0.0805301, 0.0810466, 0.0810705, 0.0809548, 0.0808153, 0.0805845, 0.0802799, 0.0799017, 0.0794497, 0.0783767, 0.0770265, 0.075399, 0.0731075, 0.0714125, 0.0666554, 0.0483932, 0.0448598, 0.0415034, 0.036417, 0.0318003, 0.0258329, 0.0244971, 0.0224256, 0.0195686, 0.0178022, 0.0158573, 0.0148025, 0.0138693, 0.0121565, 0.011377, 0.010587, 0.00983839, 0.00846523, 0.00683929, 0.00565225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.161421, 0.180393, 0.208425, 0.233776, 0.249163, 0.254285, 0.264037, 0.272802, 0.280718, 0.290347, 0.294178, 0.298132, 0.30604, 0.307988, 0.311886, 0.317683, 0.321899, 0.334024, 0.361124, 0.376502, 0.389707, 0.398753, 0.411223, 0.42207, 0.43313, 0.448953, 0.469574, 0.488199, 0.510103, 0.53455, 0.564056, 0.602798");
-            values ( \
-              "0.023645, 0.0299339, 0.0585138, 0.0792805, 0.0884545, 0.0911397, 0.0949113, 0.0976585, 0.0991329, 0.10028, 0.100315, 0.100199, 0.0992067, 0.0987461, 0.0975747, 0.0949621, 0.0923709, 0.082102, 0.0550922, 0.041965, 0.0325575, 0.0271027, 0.020846, 0.0165152, 0.012961, 0.00907593, 0.00566313, 0.00366511, 0.0021793, 0.00122281, 0.000608181, 0.000249658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.204058, 0.252497, 0.269913, 0.326832, 0.347628, 0.374095, 0.382825, 0.399649, 0.409779, 0.429441, 0.446219, 0.455691, 0.467609, 0.479335, 0.495756, 0.512431, 0.545781, 0.573205, 0.622873, 0.654019, 0.669205, 0.685809, 0.707113, 0.724224, 0.745122, 0.774499, 0.805078, 0.828508, 0.875369, 0.917107");
-            values ( \
-              "0.00444916, 0.0197995, 0.029933, 0.0665715, 0.0782396, 0.0907483, 0.0942907, 0.100335, 0.10344, 0.108239, 0.111021, 0.112056, 0.112828, 0.113049, 0.11242, 0.110374, 0.100006, 0.0854144, 0.0573685, 0.0424706, 0.0362798, 0.0303065, 0.0238548, 0.0195759, 0.0152723, 0.0106748, 0.00732173, 0.00545275, 0.00297344, 0.00194304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.381034, 0.451679, 0.549525, 0.591035, 0.632732, 0.676029, 0.718816, 0.742798, 0.778611, 0.810894, 0.860477, 0.876548, 0.90869, 0.942027, 1.00809, 1.06348, 1.16295, 1.22736, 1.28524, 1.32829, 1.36337, 1.40463, 1.46278, 1.52263, 1.56837, 1.65986, 1.77999, 1.90011, 2.02023");
-            values ( \
-              "0.0166122, 0.0280116, 0.0633659, 0.0770283, 0.0893547, 0.100025, 0.10822, 0.111903, 0.116226, 0.119011, 0.121121, 0.121244, 0.120515, 0.118121, 0.106566, 0.0909606, 0.0609436, 0.0444438, 0.0325754, 0.0255285, 0.0208157, 0.0162709, 0.0113879, 0.00785855, 0.00589069, 0.0032467, 0.00144973, 0.000641836, 0.000286245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.671601, 0.849327, 1.02159, 1.10467, 1.18351, 1.29135, 1.34585, 1.39799, 1.44321, 1.51591, 1.58166, 1.70178, 1.74274, 1.7955, 1.84963, 1.95791, 2.06616, 2.24269, 2.34239, 2.42842, 2.52851, 2.63535, 2.67992, 2.74963, 2.82707, 2.93421, 3.05649, 3.17661, 3.29673, 3.41686, 3.53698, 3.77722, 4.01747");
-            values ( \
-              "0.00889113, 0.0287403, 0.0608495, 0.075478, 0.0879084, 0.10228, 0.108304, 0.113228, 0.116823, 0.121322, 0.124059, 0.125973, 0.125668, 0.124475, 0.122088, 0.11292, 0.0986374, 0.0717939, 0.0575442, 0.0467437, 0.0360965, 0.0269862, 0.0237859, 0.0194925, 0.015572, 0.0112924, 0.00780311, 0.00540927, 0.00370694, 0.0025652, 0.00174219, 0.00081255, 0.000375526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.115219, 0.117373, 0.121707, 0.129065, 0.138061, 0.14828, 0.156486, 0.161128, 0.169064, 0.17375, 0.177327, 0.184014, 0.199057, 0.207549, 0.217929, 0.227041, 0.234245, 0.238281, 0.244735, 0.257008, 0.275511");
-            values ( \
-              "0.00628763, 0.00724877, 0.0106431, 0.0192498, 0.0321993, 0.0437979, 0.0510116, 0.0541064, 0.0575331, 0.058273, 0.0580131, 0.0542794, 0.0286573, 0.0187644, 0.0107128, 0.00648594, 0.00418014, 0.00334048, 0.00233093, 0.00118239, 0.000603354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.134005, 0.145347, 0.165784, 0.172181, 0.180997, 0.193252, 0.204206, 0.214566, 0.224797, 0.235019, 0.252865, 0.258822, 0.266419, 0.272512, 0.283136, 0.28883, 0.296718, 0.306965, 0.313027, 0.322503, 0.334308, 0.35524, 0.370555, 0.396266, 0.43218");
-            values ( \
-              "0.0102516, 0.0188432, 0.0457169, 0.0532084, 0.0623478, 0.0723453, 0.0782558, 0.0809806, 0.0804717, 0.0740327, 0.0478981, 0.0400292, 0.0315147, 0.0257007, 0.0176381, 0.0143234, 0.0106497, 0.00715116, 0.00565055, 0.00390441, 0.00250167, 0.00125308, 0.000739227, 0.000296421, 9.71964e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.167054, 0.188195, 0.222632, 0.248076, 0.268692, 0.287216, 0.293674, 0.304962, 0.313437, 0.322473, 0.331278, 0.337887, 0.347173, 0.375539, 0.39094, 0.413398, 0.425752, 0.436481, 0.447569, 0.463413, 0.484115, 0.502481, 0.524063, 0.548096, 0.614944");
-            values ( \
-              "0.00977, 0.0233517, 0.0580088, 0.078944, 0.0909241, 0.0975708, 0.098903, 0.100202, 0.100119, 0.0987463, 0.0954388, 0.0913085, 0.0833875, 0.0551652, 0.041995, 0.027015, 0.0208282, 0.0165435, 0.0129754, 0.00908183, 0.00565615, 0.00368319, 0.00220794, 0.00125125, 0.000263712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.237178, 0.276831, 0.339889, 0.372481, 0.397875, 0.425894, 0.444225, 0.460332, 0.469907, 0.481757, 0.493417, 0.509837, 0.526513, 0.559357, 0.577403, 0.636579, 0.668132, 0.681137, 0.707148, 0.726396, 0.750514, 0.782671, 0.801161, 0.829509, 0.867308, 0.942904, 1.0377");
-            values ( \
-              "0.0119015, 0.0255723, 0.0661809, 0.0836342, 0.0946665, 0.104039, 0.108393, 0.111039, 0.112075, 0.112843, 0.113053, 0.11243, 0.110374, 0.100229, 0.0908709, 0.0575903, 0.0424639, 0.0371191, 0.0279291, 0.0224524, 0.016932, 0.0114705, 0.0091455, 0.00643177, 0.00395612, 0.00140722, 0.000342547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.372687, 0.464428, 0.560183, 0.601008, 0.651069, 0.696827, 0.738004, 0.792782, 0.825208, 0.873966, 0.890901, 0.923357, 0.956391, 1.02246, 1.07787, 1.17732, 1.23762, 1.29967, 1.34269, 1.37775, 1.41902, 1.47717, 1.53704, 1.58279, 1.67431, 1.79443, 1.91455, 2.03467");
-            values ( \
-              "0.00708729, 0.0272704, 0.0619221, 0.0754805, 0.0902791, 0.101312, 0.108924, 0.116143, 0.118924, 0.121068, 0.12118, 0.120477, 0.118075, 0.106573, 0.0909645, 0.0609593, 0.0453854, 0.0325636, 0.0255326, 0.0208221, 0.0162662, 0.0113931, 0.00786203, 0.00588595, 0.00324972, 0.00144542, 0.000645562, 0.000282298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.732529, 0.864768, 1.05934, 1.1655, 1.25024, 1.36848, 1.45778, 1.49613, 1.57285, 1.6428, 1.72966, 1.84978, 1.89268, 1.94748, 2.00826, 2.12838, 2.27363, 2.37822, 2.44203, 2.50735, 2.57374, 2.66035, 2.72897, 2.81481, 2.93494, 3.0614, 3.15831, 3.27843, 3.39855, 3.51867, 3.75891, 3.99916");
-            values ( \
-              "0.0216024, 0.0289809, 0.0651166, 0.0830212, 0.0952815, 0.109149, 0.116848, 0.11941, 0.123244, 0.12527, 0.125905, 0.12289, 0.120276, 0.115526, 0.108578, 0.0914489, 0.069344, 0.0547372, 0.0468594, 0.0396663, 0.0332769, 0.0261954, 0.021579, 0.0168424, 0.0117627, 0.00804075, 0.00598771, 0.00411613, 0.00284026, 0.00193918, 0.000907703, 0.000422466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.150126, 0.158607, 0.172558, 0.182828, 0.191065, 0.198363, 0.205216, 0.21193, 0.218637, 0.230698, 0.24055, 0.25082, 0.262449, 0.274703, 0.290632, 0.303687");
-            values ( \
-              "0.00587381, 0.013125, 0.0320662, 0.0436456, 0.0510039, 0.0554939, 0.0579268, 0.0580673, 0.054274, 0.0330332, 0.0203584, 0.0117684, 0.00612964, 0.00305358, 0.00125655, 0.000792716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.162363, 0.173833, 0.178345, 0.183286, 0.200742, 0.216044, 0.228334, 0.239289, 0.249652, 0.259884, 0.270108, 0.287941, 0.293903, 0.301507, 0.307611, 0.318175, 0.323934, 0.3319, 0.342042, 0.348123, 0.357572, 0.369244, 0.381262");
-            values ( \
-              "0.00663864, 0.0121937, 0.0165312, 0.0223001, 0.0456112, 0.0621841, 0.0723766, 0.0781652, 0.0810229, 0.080405, 0.0740569, 0.0479158, 0.0400416, 0.0315157, 0.0256922, 0.0176709, 0.0143173, 0.0106136, 0.00715613, 0.00565046, 0.00390849, 0.00251641, 0.00179226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.209281, 0.22387, 0.257756, 0.283115, 0.298496, 0.303627, 0.322145, 0.329863, 0.339266, 0.343121, 0.347165, 0.357332, 0.361491, 0.367142, 0.371306, 0.383064, 0.410453, 0.425836, 0.434535, 0.448134, 0.460577, 0.471398, 0.482465, 0.498292, 0.518932, 0.536983, 0.558296, 0.581872, 0.647198");
-            values ( \
-              "0.0237906, 0.0242354, 0.0585026, 0.0792779, 0.0884309, 0.0911393, 0.0976591, 0.0990898, 0.100257, 0.10031, 0.100212, 0.0987415, 0.0974807, 0.0948931, 0.0923309, 0.0824008, 0.0551049, 0.0419757, 0.0355808, 0.0270772, 0.0208474, 0.0165274, 0.0129584, 0.00908316, 0.00566762, 0.00370914, 0.00224793, 0.00127883, 0.000274509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.272631, 0.311906, 0.374875, 0.407629, 0.432593, 0.459814, 0.478866, 0.495273, 0.505017, 0.516794, 0.528382, 0.544801, 0.561477, 0.59378, 0.615542, 0.673764, 0.697328, 0.714656, 0.732067, 0.755144, 0.775222, 0.795422, 0.824257, 0.836589, 0.861252, 0.910579, 0.983185, 1.07735");
-            values ( \
-              "0.0121081, 0.0257274, 0.0661501, 0.0837509, 0.0945959, 0.103745, 0.108343, 0.111047, 0.11209, 0.112854, 0.113055, 0.112436, 0.110376, 0.100464, 0.0891009, 0.0564548, 0.044997, 0.0376693, 0.0312645, 0.0241134, 0.0191167, 0.0150548, 0.0105708, 0.00910177, 0.00667609, 0.0035369, 0.00129701, 0.000340496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.404617, 0.500145, 0.587491, 0.625975, 0.682108, 0.724079, 0.76103, 0.792488, 0.826388, 0.860562, 0.906419, 0.926076, 0.958614, 0.991718, 1.05779, 1.11316, 1.21271, 1.27707, 1.33486, 1.37798, 1.41313, 1.45437, 1.51251, 1.57231, 1.61802, 1.70943, 1.82955, 1.94967, 2.06979");
-            values ( \
-              "0.00546864, 0.0275439, 0.0591959, 0.0722233, 0.0891491, 0.0995921, 0.106887, 0.111834, 0.115982, 0.118957, 0.121021, 0.121202, 0.120482, 0.118092, 0.106566, 0.0909703, 0.0609326, 0.0444455, 0.0325916, 0.0255328, 0.0208104, 0.0162666, 0.0113875, 0.0078608, 0.0058925, 0.00325096, 0.0014504, 0.000643397, 0.000285683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.815761, 0.99585, 1.11074, 1.20481, 1.2954, 1.39496, 1.49282, 1.56553, 1.63127, 1.75139, 1.79235, 1.84511, 1.89925, 2.00752, 2.11578, 2.392, 2.47804, 2.57813, 2.68497, 2.79923, 2.87668, 2.98382, 3.10611, 3.22623, 3.34636, 3.5866, 3.82684, 4.06709");
-            values ( \
-              "0.0308535, 0.0467934, 0.0679719, 0.0836374, 0.0965823, 0.108252, 0.116824, 0.121318, 0.12406, 0.12597, 0.125665, 0.124476, 0.122086, 0.112922, 0.0986383, 0.057544, 0.0467428, 0.0360948, 0.0269867, 0.019493, 0.0155723, 0.0112925, 0.00780299, 0.00540912, 0.00370692, 0.00174224, 0.000812647, 0.000375658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.233407, 0.238664, 0.241814, 0.247248, 0.25595, 0.266139, 0.273325, 0.280359, 0.284119, 0.288456, 0.295163, 0.301869, 0.3139, 0.320211, 0.323781, 0.328218, 0.334133, 0.340805, 0.345611, 0.352545, 0.357958, 0.368127, 0.373841, 0.386688, 0.393922, 0.412508");
-            values ( \
-              "0.00674722, 0.0100493, 0.0131235, 0.0199368, 0.0322516, 0.043817, 0.0502575, 0.0549138, 0.0566326, 0.0579069, 0.0581062, 0.05425, 0.0330815, 0.0242449, 0.0203592, 0.0161589, 0.0117151, 0.00811135, 0.00615448, 0.00412914, 0.00304905, 0.00173019, 0.00125906, 0.000691973, 0.000543319, 0.000404562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.249901, 0.267041, 0.283889, 0.299086, 0.31133, 0.32226, 0.332607, 0.342828, 0.353041, 0.370415, 0.384185, 0.396409, 0.413905, 0.430936, 0.453918, 0.472803");
-            values ( \
-              "0.00428161, 0.0235814, 0.0460866, 0.0624821, 0.0725779, 0.0783106, 0.0811273, 0.0804758, 0.0741038, 0.0485649, 0.0317453, 0.0209235, 0.0109915, 0.00567963, 0.00237158, 0.00145744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.301373, 0.321575, 0.32833, 0.337171, 0.346013, 0.350434, 0.359276, 0.363116, 0.366955, 0.374634, 0.382312, 0.387473, 0.392351, 0.39479, 0.399421, 0.405995, 0.409843, 0.413691, 0.418373, 0.423054, 0.424896, 0.429516, 0.433842, 0.438168, 0.440332, 0.442061, 0.445519, 0.448812, 0.452607, 0.456704, 0.459696, 0.46568, 0.47284, 0.485067, 0.491183, 0.498092, 0.501943, 0.509645, 0.517838, 0.522984, 0.529847, 0.535218, 0.544471, 0.549826, 0.555182, 0.563501, 0.570236, 0.57816, 0.583848, 0.589027");
-            values ( \
-              "0.0387634, 0.0388167, 0.0458578, 0.054525, 0.0626441, 0.0664981, 0.0737945, 0.0766587, 0.0793719, 0.0842705, 0.0886388, 0.0912766, 0.093339, 0.0942757, 0.0958807, 0.0977698, 0.0985077, 0.0991363, 0.0997538, 0.10021, 0.100297, 0.100162, 0.0998103, 0.0992619, 0.0989137, 0.0984908, 0.0972924, 0.0958171, 0.0937555, 0.0911045, 0.0887926, 0.0834057, 0.0763056, 0.0636259, 0.0577715, 0.0515338, 0.04823, 0.0419943, 0.0358885, 0.0322417, 0.0281698, 0.025288, 0.020778, 0.0184046, 0.0162992, 0.0136475, 0.0118007, 0.0098789, 0.00863226, 0.00759391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.339807, 0.379081, 0.405616, 0.460561, 0.490817, 0.518486, 0.536206, 0.550979, 0.563054, 0.578811, 0.585097, 0.59228, 0.606646, 0.612766, 0.625006, 0.64589, 0.679181, 0.755302, 0.787456, 0.819817, 0.840771, 0.857247, 0.878293, 0.907784, 0.938789, 0.962593, 1.0102, 1.05631");
-            values ( \
-              "0.00527748, 0.0166689, 0.0315522, 0.0669375, 0.0832938, 0.0952834, 0.101455, 0.105648, 0.108374, 0.110996, 0.111725, 0.112378, 0.113055, 0.113099, 0.112734, 0.110417, 0.10004, 0.0579091, 0.0424757, 0.0301202, 0.0237963, 0.0196722, 0.0153232, 0.010694, 0.00729585, 0.00540919, 0.00291739, 0.00178897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.510219, 0.582928, 0.671782, 0.722636, 0.766748, 0.811701, 0.854256, 0.876072, 0.91135, 0.944224, 0.991912, 1.00976, 1.0423, 1.07541, 1.1415, 1.19685, 1.29643, 1.36077, 1.41853, 1.46168, 1.49685, 1.53809, 1.59623, 1.65601, 1.7017, 1.79308, 1.91321, 2.03333, 2.15345");
-            values ( \
-              "0.0162742, 0.0270858, 0.0592799, 0.0762871, 0.0893648, 0.100394, 0.108447, 0.111778, 0.116073, 0.118924, 0.121029, 0.121183, 0.120463, 0.11808, 0.106557, 0.0909694, 0.0609281, 0.0444485, 0.0325938, 0.0255369, 0.0208115, 0.0162622, 0.0113902, 0.00786421, 0.00589043, 0.003255, 0.001448, 0.00064653, 0.000282891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.868586, 1.03698, 1.16193, 1.2578, 1.36509, 1.44423, 1.5325, 1.57703, 1.64971, 1.71547, 1.83559, 1.87653, 1.9293, 1.98344, 2.09172, 2.19994, 2.47622, 2.56222, 2.66226, 2.76914, 2.88348, 2.9609, 3.06802, 3.19025, 3.31037, 3.4305, 3.55062, 3.67074, 3.91098, 4.15123");
-            values ( \
-              "0.022411, 0.0385901, 0.0620783, 0.0787116, 0.0946595, 0.104512, 0.113308, 0.116825, 0.121337, 0.124057, 0.125986, 0.125679, 0.12447, 0.122099, 0.112913, 0.098634, 0.0575456, 0.0467494, 0.0361064, 0.0269818, 0.019493, 0.0155668, 0.0112952, 0.00780724, 0.0054066, 0.00371057, 0.00256228, 0.00174555, 0.000815755, 0.000378629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.412865, 0.428977, 0.434144, 0.451807, 0.459052, 0.468173, 0.476086, 0.4834, 0.49049, 0.497568, 0.502109, 0.511736, 0.51997, 0.528723, 0.533469, 0.539402, 0.545884, 0.552674, 0.562965, 0.574263, 0.588894, 0.599197");
-            values ( \
-              "0.000983572, 0.00867407, 0.0125299, 0.0317178, 0.0388993, 0.04653, 0.0516702, 0.0545228, 0.0553881, 0.0522868, 0.0458067, 0.0295903, 0.0199088, 0.0126575, 0.00981607, 0.00706985, 0.00491589, 0.00336154, 0.00190395, 0.00106841, 0.000586874, 0.000504973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.455025, 0.472807, 0.480206, 0.485061, 0.489192, 0.491706, 0.496733, 0.500149, 0.503565, 0.50962, 0.513586, 0.517459, 0.520964, 0.523714, 0.525089, 0.526465, 0.529018, 0.531572, 0.533545, 0.535518, 0.537491, 0.539464, 0.541332, 0.541955, 0.543201, 0.544447, 0.545693, 0.546939, 0.549834, 0.551281, 0.552728, 0.555128, 0.559927, 0.563791, 0.570292, 0.572123, 0.575787, 0.57945, 0.585782, 0.58917, 0.592557, 0.596063, 0.600161, 0.605069, 0.609026, 0.614202, 0.618409, 0.623372, 0.625853, 0.630092");
-            values ( \
-              "0.0302252, 0.0321674, 0.0411641, 0.0466503, 0.051068, 0.0536424, 0.0585345, 0.0616531, 0.0646415, 0.069172, 0.0719202, 0.0742678, 0.0761182, 0.0773378, 0.0778709, 0.0783529, 0.0790455, 0.0795194, 0.0796696, 0.0797102, 0.0796414, 0.079463, 0.0791929, 0.0790811, 0.078701, 0.0781949, 0.0775628, 0.0768046, 0.0744269, 0.0730728, 0.0716085, 0.068705, 0.0624532, 0.0569898, 0.0473461, 0.0448351, 0.0402954, 0.0360701, 0.0294374, 0.0261929, 0.0232863, 0.0206473, 0.0178954, 0.0148624, 0.0127039, 0.0105143, 0.00899015, 0.00744381, 0.00676326, 0.00573483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.475186, 0.505807, 0.54193, 0.567644, 0.588359, 0.607298, 0.613677, 0.624704, 0.633228, 0.642235, 0.651049, 0.657441, 0.667385, 0.695349, 0.710746, 0.733133, 0.74553, 0.7563, 0.767378, 0.783212, 0.803882, 0.821924, 0.843129, 0.866755, 0.932211");
-            values ( \
-              "0.0023592, 0.020514, 0.0570133, 0.0783713, 0.0906914, 0.097428, 0.0987691, 0.100057, 0.100018, 0.0986507, 0.0953851, 0.0914036, 0.0829274, 0.0551348, 0.0419688, 0.0270442, 0.0208338, 0.0165357, 0.0129713, 0.00908171, 0.00566047, 0.00371303, 0.00224844, 0.00128505, 0.00027861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.580146, 0.620979, 0.662387, 0.691553, 0.709049, 0.728846, 0.746929, 0.767333, 0.776941, 0.79012, 0.802339, 0.814368, 0.830772, 0.847436, 0.879264, 0.889941, 0.954889, 0.988977, 1.00718, 1.02798, 1.04738, 1.06902, 1.09787, 1.11569, 1.13385, 1.15806, 1.20649, 1.28461, 1.38348");
-            values ( \
-              "0.0309566, 0.0408362, 0.067287, 0.0830301, 0.0910014, 0.0986104, 0.104255, 0.108984, 0.110586, 0.11214, 0.112945, 0.113154, 0.112512, 0.11044, 0.100693, 0.095483, 0.0589752, 0.0424839, 0.0351402, 0.0279742, 0.022451, 0.017438, 0.0123066, 0.00989488, 0.0079088, 0.00583989, 0.00311486, 0.00105209, 0.000243915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.702366, 0.787302, 0.887436, 0.925668, 0.979032, 1.01866, 1.06699, 1.10133, 1.13962, 1.16071, 1.18661, 1.21211, 1.26309, 1.27747, 1.30622, 1.34767, 1.39919, 1.48696, 1.56346, 1.62389, 1.67403, 1.72867, 1.79381, 1.81606, 1.86756, 1.92641, 2.04412, 2.16424, 2.28437");
-            values ( \
-              "0.00909762, 0.0280113, 0.0641287, 0.0766984, 0.0921915, 0.10154, 0.110228, 0.114827, 0.118491, 0.119861, 0.120914, 0.121213, 0.119428, 0.118113, 0.114242, 0.105427, 0.0908244, 0.0642318, 0.0442998, 0.0320034, 0.0240615, 0.0174322, 0.0116958, 0.010194, 0.00740231, 0.00509063, 0.00232597, 0.00103167, 0.000460814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.01884, 1.18529, 1.36716, 1.45991, 1.56867, 1.64413, 1.73158, 1.77926, 1.85204, 1.91772, 2.03784, 2.07881, 2.13157, 2.1857, 2.29397, 2.40224, 2.67843, 2.76449, 2.86461, 2.97143, 3.08566, 3.16311, 3.27026, 3.39259, 3.51271, 3.63283, 3.87307, 4.11332, 4.35356");
-            values ( \
-              "0.0114768, 0.0287049, 0.0625762, 0.0786472, 0.0948222, 0.104215, 0.113006, 0.116815, 0.12132, 0.124054, 0.125971, 0.125666, 0.124471, 0.122088, 0.112919, 0.0986333, 0.0575492, 0.0467452, 0.0360947, 0.0269839, 0.0194963, 0.0155715, 0.0112944, 0.00780414, 0.00540724, 0.00370827, 0.00174367, 0.0008141, 0.000377107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.798728, 0.82067, 0.827561, 0.833149, 0.838892, 0.853301, 0.874649, 0.884592, 0.893571, 0.902036, 0.910436, 0.918827, 0.926356, 0.939361, 0.950906, 0.961595, 0.970382, 0.976429, 0.982758, 0.995599, 1.02066");
-            values ( \
-              "0.00160455, 0.00548422, 0.0077826, 0.0103085, 0.0136974, 0.0240374, 0.0367091, 0.0415981, 0.0450062, 0.0468429, 0.0458527, 0.0374787, 0.0267622, 0.0148681, 0.00832759, 0.00471251, 0.0029636, 0.00217765, 0.00156563, 0.00089103, 0.000565032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.81996, 0.844233, 0.86303, 0.877443, 0.930724, 0.944302, 0.956627, 0.968463, 0.980269, 0.982521, 1.01961, 1.0344, 1.04659, 1.06424, 1.08758, 1.09368");
-            values ( \
-              "0.00180891, 0.00467448, 0.010684, 0.0188548, 0.0571357, 0.0645101, 0.0690248, 0.0707805, 0.067269, 0.0652583, 0.0246123, 0.0148959, 0.00959176, 0.00494355, 0.00218109, 0.00191921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.909056, 0.936612, 0.945477, 0.957061, 0.992536, 1.0116, 1.03543, 1.056, 1.05974, 1.06936, 1.0753, 1.08475, 1.09402, 1.10014, 1.10641, 1.11333, 1.12096, 1.1489, 1.17104, 1.18675, 1.19962, 1.21591, 1.2311, 1.23999, 1.25409, 1.27253, 1.29363, 1.3184, 1.34691, 1.38462");
-            values ( \
-              "0.0139075, 0.0164505, 0.0212189, 0.0288181, 0.0540863, 0.0668254, 0.0803536, 0.0887223, 0.0898545, 0.0921886, 0.0931735, 0.0939824, 0.0935376, 0.0922826, 0.0899094, 0.0858787, 0.0798643, 0.0540151, 0.0366374, 0.0269515, 0.0206691, 0.0146328, 0.0105257, 0.0086506, 0.00630204, 0.00411649, 0.0025208, 0.0014069, 0.00072927, 0.000304205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.995941, 1.05744, 1.07787, 1.15308, 1.18332, 1.20906, 1.22837, 1.24708, 1.25825, 1.27323, 1.29185, 1.30856, 1.32535, 1.3556, 1.37166, 1.42447, 1.46412, 1.51007, 1.54439, 1.56364, 1.6012, 1.62242, 1.66484, 1.73629, 1.82781");
-            values ( \
-              "0.00460679, 0.0195557, 0.0293426, 0.0715586, 0.0860446, 0.0961878, 0.102278, 0.10683, 0.108814, 0.110614, 0.111581, 0.111234, 0.109454, 0.100883, 0.0932297, 0.0634529, 0.0437874, 0.0267952, 0.0180641, 0.0143895, 0.00909337, 0.00696204, 0.0040638, 0.00151797, 0.000421065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.23185, 1.30118, 1.37326, 1.43432, 1.4831, 1.50534, 1.52531, 1.56528, 1.60097, 1.6334, 1.68106, 1.69891, 1.73144, 1.76455, 1.83062, 1.88599, 1.98554, 2.04577, 2.07467, 2.1077, 2.15081, 2.18595, 2.2272, 2.28534, 2.31086, 2.34514, 2.39085, 2.48226, 2.60238, 2.7225, 2.84262");
-            values ( \
-              "0.0341573, 0.0374949, 0.063697, 0.0832964, 0.0964334, 0.101442, 0.105357, 0.111825, 0.116186, 0.118951, 0.121076, 0.121196, 0.1205, 0.118083, 0.106581, 0.0909812, 0.0609446, 0.0453848, 0.0390266, 0.0325843, 0.0255381, 0.0208162, 0.0162622, 0.0113926, 0.00973264, 0.00786451, 0.00588898, 0.0032544, 0.0014469, 0.000646829, 0.000282258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.52476, 1.67337, 1.86555, 1.94592, 2.05145, 2.13836, 2.18676, 2.25499, 2.3324, 2.4044, 2.52452, 2.56544, 2.61821, 2.67236, 2.78066, 2.88882, 3.1652, 3.25113, 3.3511, 3.45803, 3.57247, 3.64987, 3.75696, 3.87912, 3.99924, 4.11936, 4.3596, 4.59985, 4.84009");
-            values ( \
-              "0.0159865, 0.0290282, 0.0647629, 0.0785938, 0.0943421, 0.105107, 0.110143, 0.11604, 0.121011, 0.124077, 0.125981, 0.125675, 0.124484, 0.122093, 0.112922, 0.0986486, 0.0575317, 0.0467439, 0.0361081, 0.0269885, 0.0194858, 0.0155672, 0.0112916, 0.00780594, 0.00540993, 0.00370871, 0.00174346, 0.000813594, 0.000376472" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00617721, 0.00619519, 0.00621586, 0.00623392, 0.0062463, 0.00625346", \
-            "0.00690042, 0.00691783, 0.00694025, 0.0069621, 0.00697838, 0.00698829", \
-            "0.00728806, 0.00729996, 0.00731711, 0.00733694, 0.00735346, 0.00736444", \
-            "0.00752901, 0.00753208, 0.00753839, 0.00754772, 0.00755791, 0.00756588", \
-            "0.00769613, 0.00768746, 0.0076773, 0.00766951, 0.00766665, 0.00766692", \
-            "0.00782574, 0.00781057, 0.00778751, 0.00775985, 0.00773591, 0.00772101" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00755322, 0.00755722, 0.00756295, 0.00756834, 0.00757207, 0.00757434", \
-            "0.00757273, 0.00755657, 0.00753662, 0.00751757, 0.00750353, 0.0074951", \
-            "0.00745224, 0.00742188, 0.0073822, 0.00734386, 0.00731256, 0.0072913", \
-            "0.00740587, 0.0073563, 0.0072927, 0.00722898, 0.00717781, 0.00714403", \
-            "0.00762191, 0.0075179, 0.0073867, 0.007252, 0.00715998, 0.00710476", \
-            "0.00804249, 0.00804279, 0.00779546, 0.00750989, 0.00729197, 0.0071515" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.131852, 0.140779, 0.143669, 0.145394, 0.150571, 0.154707, 0.16061, 0.166922, 0.173439, 0.177622, 0.182028, 0.185052, 0.189588, 0.192756, 0.196066, 0.198035, 0.200004, 0.202515, 0.20377, 0.205533, 0.207058, 0.207934, 0.209688, 0.211505, 0.212445, 0.214325, 0.218052, 0.226167, 0.229097, 0.231738, 0.233712, 0.235905, 0.237659, 0.241585");
-            values ( \
-              "-0.0124709, -0.0133375, -0.0155809, -0.0171673, -0.0228465, -0.0268887, -0.0318831, -0.0366994, -0.0413672, -0.044177, -0.0469227, -0.0486257, -0.0509197, -0.0524275, -0.0538175, -0.0544686, -0.0549888, -0.0553048, -0.0553522, -0.0552941, -0.0551266, -0.0548712, -0.0540541, -0.0527563, -0.0518944, -0.0497926, -0.0437853, -0.0268074, -0.0214228, -0.0171284, -0.0142438, -0.0117007, -0.00994445, -0.00672151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.154392, 0.166242, 0.168277, 0.170313, 0.174383, 0.176227, 0.178071, 0.181759, 0.186798, 0.190852, 0.196258, 0.203483, 0.208943, 0.212535, 0.219718, 0.226453, 0.232741, 0.237454, 0.240592, 0.243459, 0.248678, 0.251331, 0.254039, 0.258183, 0.260396, 0.261503, 0.26261, 0.264141, 0.265673, 0.267204, 0.268736, 0.270691, 0.272647, 0.274602, 0.276558, 0.278928, 0.279718, 0.281141, 0.285409, 0.297327, 0.30022, 0.303968, 0.306659, 0.308546, 0.309804, 0.311782, 0.31376, 0.316537, 0.319314, 0.321589");
-            values ( \
-              "-0.0112076, -0.0166571, -0.0180131, -0.0194821, -0.0227585, -0.0244071, -0.0261162, -0.0299391, -0.0348224, -0.0384916, -0.0430091, -0.0487777, -0.052932, -0.0554324, -0.0601446, -0.0642136, -0.0677069, -0.0701246, -0.0716277, -0.0729206, -0.0750405, -0.075998, -0.0768773, -0.0780001, -0.0781643, -0.0781872, -0.0781707, -0.0780826, -0.077919, -0.0776797, -0.0773648, -0.0767092, -0.0758608, -0.0748197, -0.0735858, -0.0711997, -0.070276, -0.0681002, -0.0609864, -0.0378364, -0.0328701, -0.0271078, -0.0233026, -0.020828, -0.0193111, -0.0172566, -0.0153658, -0.0130711, -0.0109328, -0.00929766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.198834, 0.203671, 0.22139, 0.224357, 0.230292, 0.242162, 0.24387, 0.247288, 0.254122, 0.265039, 0.284434, 0.295136, 0.300248, 0.305769, 0.3123, 0.317789, 0.321576, 0.325938, 0.333256, 0.3399, 0.347972, 0.359058, 0.373851, 0.377221, 0.383962, 0.395009, 0.41277, 0.413644, 0.415394, 0.418893, 0.42589, 0.435724, 0.43785, 0.442101, 0.450604, 0.457041, 0.458647, 0.46186, 0.468285, 0.479804, 0.483705, 0.484998, 0.487584, 0.492755, 0.503097, 0.523781, 0.534429");
-            values ( \
-              "-0.00967428, -0.0109453, -0.0189287, -0.0210506, -0.0241341, -0.0322781, -0.0334951, -0.0361515, -0.041286, -0.0489661, -0.0609367, -0.0670588, -0.06979, -0.0727086, -0.0759774, -0.0786742, -0.0804598, -0.0824248, -0.0855609, -0.0881643, -0.0910726, -0.0944224, -0.0976564, -0.0981089, -0.0987754, -0.0982862, -0.089526, -0.0886864, -0.086818, -0.0825342, -0.0726275, -0.0580207, -0.0549832, -0.0491204, -0.0385125, -0.0316207, -0.0300632, -0.0271236, -0.0219424, -0.0147105, -0.0128195, -0.0122413, -0.0111648, -0.00925921, -0.006318, -0.00280745, -0.00208476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.30692, 0.344824, 0.44059, 0.482873, 0.516616, 0.556347, 0.591342, 0.614491, 0.64072, 0.656763, 0.688849, 0.755188, 0.775593, 0.802756, 0.83834, 0.865249, 0.915669, 0.975802");
-            values ( \
-              "-0.0154623, -0.0237492, -0.0652107, -0.0807751, -0.0914718, -0.102201, -0.109849, -0.113445, -0.115262, -0.114378, -0.103409, -0.0468332, -0.0336326, -0.0208904, -0.0107567, -0.00634693, -0.00213366, -0.000552298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.498317, 0.594045, 0.766142, 0.837324, 0.928256, 0.954054, 1.01092, 1.06449, 1.10751, 1.1432, 1.20668, 1.27014, 1.29574, 1.36369, 1.40258, 1.434, 1.46056, 1.48743, 1.5255, 1.57326, 1.63695, 1.74295, 1.86725");
-            values ( \
-              "-0.00904757, -0.0238792, -0.0661008, -0.0813644, -0.0989114, -0.10334, -0.111989, -0.118512, -0.122404, -0.124473, -0.123896, -0.110928, -0.0995921, -0.0659232, -0.0493846, -0.0383302, -0.0306261, -0.0241876, -0.0171015, -0.0109324, -0.0058221, -0.0018437, -0.000438458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("1.00972, 1.18786, 1.39524, 1.53784, 1.72034, 1.82275, 1.97273, 2.11055, 2.23898, 2.27709, 2.31774, 2.37001, 2.47454, 2.76658, 2.85498, 2.96973, 3.03179, 3.09828, 3.18693, 3.31536, 3.44379, 3.70065");
-            values ( \
-              "-0.0240511, -0.0320232, -0.0591173, -0.0762459, -0.0959067, -0.105485, -0.117283, -0.125337, -0.129716, -0.130182, -0.130115, -0.128834, -0.119202, -0.0518228, -0.0365984, -0.0225096, -0.0170927, -0.0126676, -0.00840557, -0.00451225, -0.00241725, -0.000681718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.142195, 0.152306, 0.155223, 0.160466, 0.17353, 0.19107, 0.207204, 0.21445, 0.221513, 0.228566, 0.230378, 0.241986, 0.247776, 0.254422, 0.262319, 0.269262, 0.27473");
-            values ( \
-              "-0.00702721, -0.0112794, -0.0133114, -0.0175026, -0.0307505, -0.0436943, -0.0525233, -0.0547309, -0.055552, -0.0508267, -0.0482861, -0.0243452, -0.014983, -0.00800607, -0.00361922, -0.00171237, -0.00109107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.166974, 0.178826, 0.186178, 0.192918, 0.205614, 0.218314, 0.223662, 0.23798, 0.25681, 0.267313, 0.277258, 0.283379, 0.291207, 0.294357, 0.300047, 0.312547, 0.320665, 0.328386, 0.333943, 0.340777, 0.349889, 0.356531");
-            values ( \
-              "-0.00944767, -0.0153838, -0.0203376, -0.0260795, -0.0389178, -0.0483927, -0.0529922, -0.0624817, -0.0725192, -0.0765146, -0.0785944, -0.0780518, -0.0743576, -0.0707562, -0.0616857, -0.0370004, -0.0241942, -0.0155303, -0.0109996, -0.00712663, -0.00391613, -0.00272139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.216602, 0.225857, 0.238006, 0.240175, 0.244513, 0.253189, 0.259259, 0.271398, 0.280185, 0.297759, 0.315154, 0.327475, 0.333989, 0.33958, 0.346717, 0.354347, 0.361473, 0.367887, 0.373934, 0.383282, 0.392077, 0.40682, 0.409857, 0.41593, 0.428388, 0.442572, 0.450519, 0.456957, 0.46554, 0.47188, 0.476629, 0.482961, 0.494566, 0.499798, 0.507664, 0.518153, 0.539129, 0.549208");
-            values ( \
-              "-0.0107015, -0.0144465, -0.0202411, -0.0217043, -0.0240269, -0.0296742, -0.034028, -0.0433033, -0.049169, -0.0602947, -0.0700422, -0.0763349, -0.0794578, -0.0820248, -0.0850672, -0.0881395, -0.0907016, -0.092789, -0.0945184, -0.0967222, -0.0981953, -0.098778, -0.0982681, -0.0968081, -0.088613, -0.0699416, -0.0579973, -0.0490286, -0.0384202, -0.0315583, -0.0271419, -0.022064, -0.014716, -0.012236, -0.00921916, -0.00626302, -0.0027399, -0.00207396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.321618, 0.359485, 0.369115, 0.378744, 0.422153, 0.439051, 0.450795, 0.472208, 0.481885, 0.49604, 0.511101, 0.526465, 0.546247, 0.554917, 0.563213, 0.580798, 0.590086, 0.594194, 0.606515, 0.618306, 0.630097, 0.639602, 0.643677, 0.647753, 0.651828, 0.655903, 0.659916, 0.663928, 0.66794, 0.671953, 0.679978, 0.688003, 0.696028, 0.704053, 0.706079, 0.70912, 0.71824, 0.749521, 0.758156, 0.764277, 0.770399, 0.778335, 0.787402, 0.793056, 0.799841, 0.804364, 0.808888, 0.817934, 0.8295, 0.84009");
-            values ( \
-              "-0.0157664, -0.0234764, -0.0272992, -0.0313681, -0.0512179, -0.0583956, -0.0631469, -0.0714622, -0.0750364, -0.0800872, -0.0850444, -0.0898137, -0.095575, -0.0979858, -0.100188, -0.104574, -0.106736, -0.107608, -0.109998, -0.111913, -0.113623, -0.114853, -0.115031, -0.115085, -0.115013, -0.114816, -0.114499, -0.114061, -0.113503, -0.112824, -0.111105, -0.108904, -0.106221, -0.103055, -0.101959, -0.0996654, -0.0920168, -0.0635044, -0.0559068, -0.0511622, -0.0466675, -0.0413164, -0.0354614, -0.0320308, -0.028384, -0.0263114, -0.0243489, -0.0207537, -0.0168666, -0.0135795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.51992, 0.611781, 0.782429, 0.867298, 0.944132, 1.02634, 1.08056, 1.12148, 1.15854, 1.22199, 1.28542, 1.41784, 1.47582, 1.54076, 1.58851, 1.65218, 1.73735");
-            values ( \
-              "-0.00973911, -0.0245663, -0.0664495, -0.0844444, -0.0990922, -0.112074, -0.118677, -0.122382, -0.124523, -0.123952, -0.110949, -0.049393, -0.0306274, -0.0171024, -0.0109335, -0.00582338, -0.00262598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.960387, 1.14398, 1.55311, 1.73358, 1.83712, 1.9871, 2.12494, 2.25337, 2.29157, 2.33231, 2.3844, 2.48858, 2.77974, 2.86936, 2.98591, 3.11211, 3.19928, 3.32771, 3.45614, 3.713");
-            values ( \
-              "-0.0114218, -0.0250107, -0.0763487, -0.0957939, -0.105468, -0.117267, -0.125323, -0.129721, -0.130178, -0.13011, -0.128831, -0.119254, -0.0520641, -0.0365989, -0.0223323, -0.012699, -0.00848725, -0.00455709, -0.00244156, -0.000688614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.182109, 0.190906, 0.19365, 0.195291, 0.201295, 0.204541, 0.206511, 0.210451, 0.215654, 0.226414, 0.231875, 0.234843, 0.239295, 0.243423, 0.246067, 0.248857, 0.249787, 0.251044, 0.253556, 0.255319, 0.256838, 0.257715, 0.259467, 0.261284, 0.262224, 0.264104, 0.265967, 0.26783, 0.275945, 0.278876, 0.281514, 0.283485, 0.285675, 0.287427, 0.291359");
-            values ( \
-              "-0.0132785, -0.0135826, -0.0157595, -0.0172743, -0.0238294, -0.0269749, -0.0286793, -0.0319477, -0.0359696, -0.0435708, -0.0469895, -0.0486537, -0.0509237, -0.0528379, -0.0539093, -0.0547748, -0.0550067, -0.0552029, -0.0553722, -0.0553133, -0.0551449, -0.0548889, -0.0540699, -0.0527701, -0.0519074, -0.0498044, -0.046987, -0.0437945, -0.026808, -0.0214204, -0.0171306, -0.0142485, -0.0117081, -0.00995317, -0.00672246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.20016, 0.223226, 0.240703, 0.258715, 0.273065, 0.285591, 0.302364, 0.312308, 0.318409, 0.326227, 0.329384, 0.355059, 0.363414, 0.37579, 0.384888, 0.398291, 0.423263, 0.473191");
-            values ( \
-              "-0.00304487, -0.0217767, -0.0385902, -0.0527561, -0.062326, -0.0693554, -0.0763884, -0.0784872, -0.0782034, -0.0742615, -0.0708795, -0.0250691, -0.0154997, -0.00715651, -0.00389615, -0.0015365, -0.000379731, -7.17884e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.252708, 0.259625, 0.273512, 0.276053, 0.281134, 0.295092, 0.316008, 0.335189, 0.351076, 0.363023, 0.376723, 0.390608, 0.398739, 0.409752, 0.424487, 0.427885, 0.43468, 0.445656, 0.463404, 0.469577, 0.488482, 0.501115, 0.512521, 0.519029, 0.530465, 0.535622, 0.543279, 0.553488, 0.573907, 0.58709");
-            values ( \
-              "-0.0109334, -0.0136637, -0.0199794, -0.0218519, -0.0244732, -0.0340371, -0.0492244, -0.0612588, -0.0701156, -0.07622, -0.0826432, -0.0883327, -0.0911916, -0.0945644, -0.0977153, -0.098225, -0.0988354, -0.0983816, -0.0895008, -0.0825088, -0.0550048, -0.038662, -0.0270977, -0.0218607, -0.014693, -0.0122424, -0.00929317, -0.00637422, -0.00286463, -0.00195171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.339801, 0.396549, 0.410866, 0.479302, 0.512679, 0.546807, 0.587187, 0.625736, 0.642067, 0.665056, 0.675166, 0.69148, 0.707529, 0.739629, 0.753869, 0.786839, 0.805982, 0.826389, 0.853554, 0.86896, 0.889146, 0.916061, 0.96819, 1.02839");
-            values ( \
-              "-0.00445198, -0.0237877, -0.0295497, -0.060313, -0.0732351, -0.0849912, -0.0969102, -0.106459, -0.109771, -0.113335, -0.114395, -0.115189, -0.114333, -0.103365, -0.0921571, -0.0620972, -0.046825, -0.0336286, -0.0208899, -0.0157261, -0.0107534, -0.00634744, -0.00203659, -0.000529685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.56008, 0.649052, 0.820576, 0.89621, 0.967512, 1.0255, 1.06309, 1.13104, 1.19543, 1.25891, 1.32232, 1.41584, 1.45473, 1.51269, 1.53956, 1.57763, 1.62538, 1.68906, 1.79505, 1.91934");
-            values ( \
-              "-0.0106782, -0.0247505, -0.0667847, -0.0828689, -0.0967178, -0.106566, -0.112089, -0.120081, -0.124564, -0.123982, -0.110987, -0.0659373, -0.0493919, -0.0306317, -0.024191, -0.0171042, -0.0109339, -0.00582212, -0.00184421, -0.000438209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.976583, 1.18092, 1.58089, 1.7021, 1.87399, 2.02398, 2.16181, 2.29024, 2.32843, 2.36916, 2.42127, 2.52549, 2.81675, 2.90623, 3.02257, 3.14904, 3.23638, 3.36482, 3.49325, 3.75011");
-            values ( \
-              "-0.00767443, -0.0250481, -0.0752773, -0.0887767, -0.105489, -0.117288, -0.125344, -0.129702, -0.130179, -0.13011, -0.128832, -0.119248, -0.0520357, -0.0365982, -0.022352, -0.012696, -0.00847709, -0.00455254, -0.00243807, -0.000687149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.263044, 0.275493, 0.281275, 0.292198, 0.313048, 0.322427, 0.330118, 0.337358, 0.34263, 0.344403, 0.347908, 0.351666, 0.366436, 0.371476, 0.37787, 0.385163, 0.393498, 0.404553, 0.426424, 0.487807");
-            values ( \
-              "-0.000866184, -0.0116397, -0.0157167, -0.0270017, -0.0429933, -0.048673, -0.052425, -0.0548835, -0.0555515, -0.0553408, -0.0540839, -0.0504675, -0.0214503, -0.0138986, -0.00757068, -0.00361368, -0.00144701, -0.000384531, -0.000101627, -6.08094e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.291061, 0.298984, 0.3045, 0.31312, 0.316052, 0.321918, 0.328704, 0.340325, 0.346697, 0.359441, 0.361026, 0.364197, 0.369134, 0.373542, 0.379594, 0.385002, 0.390063, 0.395823, 0.400239, 0.406369, 0.414339, 0.417346, 0.42304, 0.431478, 0.435519, 0.440137, 0.443017, 0.444913, 0.44744, 0.45139, 0.456936, 0.459204, 0.46374, 0.472812, 0.486188, 0.490121");
-            values ( \
-              "-0.00998062, -0.0138739, -0.01687, -0.0236024, -0.0263823, -0.0323155, -0.0386683, -0.0480368, -0.0527856, -0.0613269, -0.0623242, -0.0642128, -0.0670447, -0.0693376, -0.0722634, -0.0744817, -0.0763093, -0.0778125, -0.0784874, -0.0781946, -0.0741346, -0.0708735, -0.0615896, -0.0446137, -0.0370082, -0.0292723, -0.0250827, -0.0225808, -0.0195463, -0.0154952, -0.0110123, -0.00956432, -0.00715499, -0.00391446, -0.00153644, -0.00126857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.343224, 0.362534, 0.366703, 0.370872, 0.381942, 0.398249, 0.403041, 0.412623, 0.415818, 0.424469, 0.438066, 0.451142, 0.456982, 0.463549, 0.47719, 0.486002, 0.487358, 0.489766, 0.496992, 0.503508, 0.506766, 0.515154, 0.517393, 0.519633, 0.521872, 0.524111, 0.526316, 0.528521, 0.530726, 0.532931, 0.537341, 0.541751, 0.546161, 0.550571, 0.551558, 0.554517, 0.557477, 0.559336, 0.564913, 0.579563, 0.586028, 0.592315, 0.596772, 0.599133, 0.601494, 0.605049, 0.608604, 0.613653, 0.618701, 0.622992");
-            values ( \
-              "-0.0152456, -0.0210262, -0.0234976, -0.0261185, -0.0338817, -0.045882, -0.0491848, -0.0552302, -0.0571752, -0.0622199, -0.0698212, -0.076513, -0.0793201, -0.0823715, -0.087987, -0.0912899, -0.0917781, -0.0925315, -0.0945803, -0.0960971, -0.0968035, -0.0984628, -0.0986326, -0.0987017, -0.0986703, -0.0985384, -0.0983078, -0.0979813, -0.0975587, -0.0970401, -0.095715, -0.0940058, -0.0919126, -0.0894353, -0.0886668, -0.0852343, -0.0814107, -0.0788169, -0.0708833, -0.0494197, -0.0413361, -0.0343147, -0.0296768, -0.0274086, -0.0252942, -0.0225532, -0.0200355, -0.0169591, -0.0141022, -0.0118466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.455718, 0.49275, 0.500265, 0.510604, 0.52744, 0.541384, 0.552435, 0.568874, 0.586988, 0.603406, 0.621749, 0.639302, 0.655965, 0.664836, 0.673826, 0.698532, 0.705257, 0.715343, 0.722758, 0.730172, 0.749356, 0.763271, 0.767031, 0.770792, 0.774552, 0.778313, 0.782642, 0.786971, 0.791301, 0.79563, 0.803723, 0.811815, 0.819908, 0.828, 0.829787, 0.832467, 0.840508, 0.874943, 0.882359, 0.891393, 0.902249, 0.910095, 0.916697, 0.9233, 0.926657, 0.936727, 0.941481, 0.950989, 0.957741, 0.963735");
-            values ( \
-              "-0.0267926, -0.0271005, -0.0302611, -0.0348251, -0.0428286, -0.0492145, -0.0540328, -0.0608578, -0.0680202, -0.074214, -0.0807618, -0.086435, -0.0915098, -0.0940935, -0.0965679, -0.10302, -0.104684, -0.107048, -0.108559, -0.109946, -0.11287, -0.114817, -0.114967, -0.115013, -0.114954, -0.11479, -0.114468, -0.114009, -0.113415, -0.112684, -0.110954, -0.108748, -0.106067, -0.102911, -0.101947, -0.0999422, -0.0932563, -0.0619327, -0.0555009, -0.0486204, -0.0411319, -0.0361056, -0.0321301, -0.0285411, -0.0269706, -0.0226354, -0.0208808, -0.0175572, -0.0153472, -0.0134898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.619412, 0.734265, 0.906039, 1.03991, 1.0935, 1.15031, 1.2182, 1.24695, 1.28256, 1.34603, 1.40948, 1.54191, 1.59989, 1.66483, 1.71259, 1.77627, 1.86859");
-            values ( \
-              "-0.00333517, -0.0241172, -0.0662789, -0.0938766, -0.103374, -0.11201, -0.119993, -0.122444, -0.124483, -0.123922, -0.110928, -0.0493876, -0.0306263, -0.0171016, -0.0109326, -0.00582266, -0.00235717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("1.13182, 1.26966, 1.67783, 1.85854, 1.96222, 2.11218, 2.25001, 2.37844, 2.41649, 2.45707, 2.50945, 2.61422, 2.90689, 2.99443, 3.10795, 3.23811, 3.32778, 3.45621, 3.58464, 3.8415");
-            values ( \
-              "-0.0234315, -0.0250956, -0.0763189, -0.0957914, -0.105488, -0.117284, -0.125337, -0.129722, -0.130184, -0.130118, -0.128837, -0.119166, -0.0516556, -0.0365981, -0.0226333, -0.0126461, -0.0083499, -0.00448165, -0.00240071, -0.000677047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.474633, 0.48735, 0.493938, 0.497016, 0.514161, 0.529273, 0.537821, 0.545593, 0.55289, 0.559994, 0.567087, 0.56885, 0.580691, 0.586266, 0.589029, 0.592974, 0.597492, 0.601045, 0.60815, 0.617694");
-            values ( \
-              "-0.0049183, -0.00920255, -0.0131146, -0.0155663, -0.0316154, -0.0429275, -0.0480642, -0.052007, -0.0544719, -0.055193, -0.0507112, -0.0482064, -0.023997, -0.0150429, -0.0116898, -0.00800462, -0.00512198, -0.00356041, -0.00165196, -0.000627477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.505877, 0.521727, 0.525225, 0.528274, 0.534372, 0.540098, 0.545131, 0.548255, 0.554504, 0.560662, 0.566819, 0.575258, 0.579821, 0.585899, 0.589419, 0.592407, 0.598102, 0.600784, 0.603348, 0.606072, 0.608958, 0.6104, 0.612661, 0.613792, 0.614922, 0.616448, 0.617973, 0.619499, 0.621024, 0.623001, 0.624979, 0.626956, 0.628933, 0.631254, 0.632027, 0.633455, 0.63774, 0.642631, 0.647929, 0.649658, 0.65254, 0.656301, 0.659034, 0.66095, 0.662227, 0.664201, 0.666174, 0.668946, 0.671719, 0.67398");
-            values ( \
-              "-0.0174576, -0.0178136, -0.0206208, -0.0233533, -0.0296937, -0.0350983, -0.0395016, -0.0421026, -0.0470549, -0.0516092, -0.0558357, -0.0614739, -0.0642654, -0.0676526, -0.0694637, -0.0709144, -0.0734527, -0.0745317, -0.0754751, -0.0763805, -0.0772175, -0.0775913, -0.0777741, -0.0778042, -0.0777934, -0.0777141, -0.0775603, -0.0773321, -0.0770295, -0.0763836, -0.0755426, -0.0745066, -0.0732757, -0.0709619, -0.0700684, -0.0678995, -0.0608153, -0.0515771, -0.0410582, -0.0378016, -0.0328747, -0.0271056, -0.0232555, -0.0207558, -0.0192257, -0.0171884, -0.0153127, -0.0130316, -0.0109058, -0.00928766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.552256, 0.562789, 0.574751, 0.577076, 0.581726, 0.591026, 0.596452, 0.607304, 0.617525, 0.636757, 0.65261, 0.664991, 0.671451, 0.676123, 0.681944, 0.690169, 0.696566, 0.70427, 0.711438, 0.725371, 0.729599, 0.738055, 0.747375, 0.765129, 0.767769, 0.771289, 0.778328, 0.790211, 0.794433, 0.802878, 0.80938, 0.814244, 0.820729, 0.832189, 0.837356, 0.839917, 0.845039, 0.855284, 0.875773, 0.886419");
-            values ( \
-              "-0.00969561, -0.0139691, -0.0196723, -0.0212102, -0.0237106, -0.0297822, -0.0337055, -0.042004, -0.0490107, -0.0610323, -0.0698925, -0.0762307, -0.079344, -0.0815015, -0.0840369, -0.0874109, -0.0897939, -0.0923658, -0.0944638, -0.0975236, -0.0981483, -0.0988618, -0.0983034, -0.0895517, -0.0868216, -0.0825086, -0.0725272, -0.0549901, -0.0491656, -0.0386153, -0.0316451, -0.0271047, -0.021881, -0.0146987, -0.0122428, -0.0111757, -0.0092847, -0.0063591, -0.00284992, -0.00211553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.64213, 0.71059, 0.791332, 0.856751, 0.907936, 0.942846, 0.96735, 0.992213, 1.00827, 1.04038, 1.10672, 1.1542, 1.17639, 1.21687, 1.26889, 1.32908");
-            values ( \
-              "-0.00366355, -0.0292195, -0.0649092, -0.0880355, -0.102237, -0.109852, -0.113611, -0.115266, -0.11438, -0.103397, -0.0468141, -0.0209131, -0.0138891, -0.00633094, -0.0020407, -0.000526632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.881284, 0.948692, 1.12221, 1.21072, 1.28947, 1.36347, 1.43134, 1.49584, 1.55932, 1.62275, 1.75516, 1.81312, 1.87806, 1.92582, 1.98951, 2.07153");
-            values ( \
-              "-0.0222278, -0.0245404, -0.0670198, -0.08569, -0.100517, -0.112063, -0.120039, -0.124551, -0.12396, -0.110985, -0.0493887, -0.0306315, -0.0171041, -0.0109336, -0.00582145, -0.00274348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("1.32393, 1.4825, 1.88707, 2.00308, 2.17494, 2.32491, 2.46273, 2.59116, 2.62918, 2.66973, 2.72217, 2.82705, 3.12, 3.20716, 3.3201, 3.451, 3.54113, 3.66956, 3.79799, 4.05486");
-            values ( \
-              "-0.0170644, -0.0251202, -0.0759148, -0.0887876, -0.105494, -0.117289, -0.125342, -0.129721, -0.130185, -0.13012, -0.128838, -0.119149, -0.0515795, -0.0365979, -0.0226899, -0.0126364, -0.00832479, -0.00446781, -0.00239327, -0.000674964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.911245, 0.943336, 0.947924, 0.948976, 0.951081, 0.95529, 0.9625, 0.965814, 0.972442, 0.980547, 0.998265, 1.01134, 1.02238, 1.03219, 1.04122, 1.04986, 1.05848, 1.06108, 1.06378, 1.07483, 1.07792, 1.08161, 1.08654, 1.08927, 1.09078, 1.09378, 1.09979, 1.10074");
-            values ( \
-              "-0.00141495, -0.00355935, -0.00437896, -0.00473868, -0.0051513, -0.00626299, -0.0083223, -0.00963143, -0.0125181, -0.0173067, -0.0265719, -0.032872, -0.0376798, -0.0415464, -0.0443841, -0.0459047, -0.0435213, -0.0409239, -0.0373954, -0.0198875, -0.015817, -0.0117665, -0.00767342, -0.0060123, -0.00524564, -0.00397507, -0.00222973, -0.00209896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.963834, 0.995019, 1.00742, 1.01348, 1.05471, 1.0871, 1.09824, 1.10876, 1.11866, 1.1244, 1.13269, 1.1364, 1.14257, 1.15545, 1.16425, 1.16974, 1.17706, 1.18598, 1.19328, 1.20548, 1.21579, 1.24227, 1.29494");
-            values ( \
-              "-0.000615321, -0.0099714, -0.0145324, -0.0174282, -0.0423675, -0.0592199, -0.064153, -0.0680506, -0.0704266, -0.0707427, -0.0683858, -0.0654455, -0.0575516, -0.0356268, -0.0231884, -0.0172353, -0.0112551, -0.00655839, -0.0041399, -0.00184574, -0.000984831, -0.000287921, -7.67817e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("1.05142, 1.07535, 1.08073, 1.08611, 1.09149, 1.1007, 1.12602, 1.12974, 1.14564, 1.15992, 1.17442, 1.18754, 1.19825, 1.20315, 1.20805, 1.21209, 1.21614, 1.21927, 1.2224, 1.23046, 1.23756, 1.23991, 1.24461, 1.24695, 1.25046, 1.25396, 1.25746, 1.26096, 1.26528, 1.26744, 1.2696, 1.27176, 1.27393, 1.27649, 1.27906, 1.28162, 1.28419, 1.28782, 1.29509, 1.30072, 1.31302, 1.3194, 1.32829, 1.33114, 1.33456, 1.33999, 1.3418, 1.34692, 1.35203, 1.35641");
-            values ( \
-              "-0.0139675, -0.0152987, -0.0174586, -0.0197836, -0.0222734, -0.0271424, -0.042346, -0.0444722, -0.0533231, -0.060947, -0.0683413, -0.0746919, -0.0795318, -0.081536, -0.0833845, -0.0855521, -0.0880565, -0.0884624, -0.0890499, -0.0912483, -0.0933343, -0.0938872, -0.0948387, -0.0952371, -0.0956317, -0.095871, -0.0959548, -0.0958833, -0.0955804, -0.095154, -0.0945442, -0.0937511, -0.0927747, -0.0912337, -0.0894645, -0.087467, -0.0852412, -0.0813967, -0.0729328, -0.0656159, -0.0484284, -0.0406861, -0.0311537, -0.0283491, -0.0252567, -0.0212089, -0.0199718, -0.0168905, -0.0140319, -0.0117595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("1.1729, 1.21131, 1.2312, 1.2908, 1.32997, 1.36425, 1.40698, 1.435, 1.46432, 1.48915, 1.51383, 1.52992, 1.5621, 1.62851, 1.64887, 1.67592, 1.69819, 1.71172, 1.73879, 1.791, 1.85114");
-            values ( \
-              "-0.0183927, -0.0202962, -0.0281321, -0.0553269, -0.0709337, -0.0830346, -0.0958827, -0.10317, -0.109486, -0.113316, -0.114997, -0.114161, -0.103234, -0.046762, -0.03361, -0.0209252, -0.0138811, -0.0107411, -0.00631141, -0.002029, -0.000521771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("1.40257, 1.46793, 1.67128, 1.76862, 1.8314, 1.89592, 1.95021, 2.00483, 2.03468, 2.07783, 2.14116, 2.27352, 2.33187, 2.3967, 2.4443, 2.50775, 2.6136, 2.73773");
-            values ( \
-              "-0.0236304, -0.0246083, -0.0736784, -0.0933329, -0.104405, -0.113895, -0.120051, -0.1241, -0.125054, -0.123912, -0.110987, -0.0494987, -0.0305584, -0.0170689, -0.0109217, -0.00586584, -0.00183106, -0.000464776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("1.87782, 2.05798, 2.26259, 2.40343, 2.52093, 2.69281, 2.84279, 2.88589, 2.98062, 3.10905, 3.14718, 3.18785, 3.24008, 3.34453, 3.37721, 3.56149, 3.63638, 3.72504, 3.76343, 3.84019, 3.90198, 3.96822, 4.05656, 4.18499, 4.31342, 4.44185, 4.57028");
-            values ( \
-              "-0.0234593, -0.0320149, -0.0587684, -0.0757197, -0.0887801, -0.10549, -0.117288, -0.120117, -0.125343, -0.129709, -0.130181, -0.130113, -0.128834, -0.119213, -0.113113, -0.0678965, -0.0518749, -0.0365981, -0.031221, -0.0224704, -0.0170837, -0.0126749, -0.0084228, -0.00452242, -0.00242205, -0.00126972, -0.000682753" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00571766, 0.00572835, 0.00574059, 0.00575141, 0.00575894, 0.00576335", \
-            "0.00623282, 0.00624123, 0.00625194, 0.00626224, 0.00627002, 0.00627487", \
-            "0.00651212, 0.00651673, 0.00652354, 0.00653118, 0.00653758, 0.00654185", \
-            "0.00668129, 0.00668188, 0.00668365, 0.00668664, 0.0066901, 0.00669293", \
-            "0.00678628, 0.00678299, 0.00678063, 0.00677852, 0.00677773, 0.00677796", \
-            "0.00684845, 0.00684568, 0.00684167, 0.00683683, 0.00683241, 0.00682969" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00724146, 0.00725039, 0.00726044, 0.00726977, 0.00727739, 0.0072818", \
-            "0.007632, 0.00763256, 0.007634, 0.00763569, 0.00763668, 0.00763832", \
-            "0.00785877, 0.00784707, 0.00783346, 0.00782166, 0.00781346, 0.00780892", \
-            "0.00811812, 0.00809103, 0.00805732, 0.00802505, 0.00800071, 0.0079857", \
-            "0.00839619, 0.0083435, 0.00827399, 0.00820877, 0.0081587, 0.00812569", \
-            "0.00871973, 0.00864062, 0.00850631, 0.00836484, 0.00825709, 0.00818854" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0246387, 0.0353295, 0.0574898, 0.104101, 0.202782, 0.411996", \
-            "0.0281443, 0.0389931, 0.0613251, 0.108071, 0.206821, 0.416069", \
-            "0.0366059, 0.0482953, 0.0707011, 0.117591, 0.216492, 0.425816", \
-            "0.0461202, 0.0645246, 0.0934594, 0.140567, 0.240049, 0.449471", \
-            "0.0512608, 0.0793529, 0.123818, 0.193039, 0.298307, 0.507552", \
-            "0.03976, 0.0811642, 0.148943, 0.255092, 0.414399, 0.649842" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0190767, 0.0327723, 0.0619153, 0.12371, 0.254864, 0.533124", \
-            "0.0190936, 0.0327846, 0.0619055, 0.123723, 0.254867, 0.533124", \
-            "0.0243287, 0.0352545, 0.0620699, 0.123718, 0.254859, 0.533146", \
-            "0.0395379, 0.0524379, 0.0739294, 0.126122, 0.254856, 0.533131", \
-            "0.0639619, 0.0838399, 0.115608, 0.163392, 0.267925, 0.533222", \
-            "0.106668, 0.135543, 0.1828, 0.255796, 0.365351, 0.577192" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0176111, 0.0182881, 0.0205692, 0.0248522, 0.0301702, 0.0342375, 0.0368607, 0.0386132, 0.0420216, 0.049536, 0.053002, 0.0565025, 0.060307, 0.0672703, 0.0695079");
-            values ( \
-              "-0.0946135, -0.135904, -0.136556, -0.135331, -0.131322, -0.124932, -0.117487, -0.110862, -0.0915414, -0.0424741, -0.0277254, -0.0175913, -0.0105159, -0.00392312, -0.00311824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0172948, 0.0190728, 0.020521, 0.0301745, 0.0376906, 0.0445493, 0.047859, 0.0505184, 0.0539557, 0.0563442, 0.0670658, 0.0718542, 0.0783901, 0.0818059, 0.0863604, 0.0909156, 0.0982819, 0.108104, 0.121929, 0.138865");
-            values ( \
-              "-0.0153397, -0.170711, -0.171233, -0.167534, -0.16292, -0.15606, -0.151111, -0.145892, -0.137276, -0.129109, -0.0757788, -0.0554409, -0.0347815, -0.0269417, -0.0189293, -0.0132254, -0.00730345, -0.00315581, -0.000867856, -0.000164707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0174404, 0.0201672, 0.033358, 0.0429462, 0.0553338, 0.0648702, 0.0751854, 0.0834401, 0.0859923, 0.0910967, 0.0977281, 0.108612, 0.115394, 0.120403, 0.125507, 0.132283, 0.138246, 0.146198, 0.156275, 0.162493, 0.17493, 0.198594, 0.226144");
-            values ( \
-              "-0.0626025, -0.19452, -0.190997, -0.187789, -0.182479, -0.177226, -0.168741, -0.158642, -0.154555, -0.143887, -0.125067, -0.0911888, -0.0726351, -0.0607974, -0.0503277, -0.0387693, -0.0306237, -0.0221361, -0.0145537, -0.0111946, -0.00651782, -0.00212696, -0.000537307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0185086, 0.0185286, 0.0455219, 0.070658, 0.0922158, 0.111725, 0.131966, 0.141133, 0.153489, 0.164765, 0.178425, 0.198241, 0.211166, 0.224389, 0.24202, 0.248172, 0.25982, 0.271386, 0.287492, 0.294002, 0.307022, 0.333061, 0.380256, 0.435484");
-            values ( \
-              "-1e-22, -0.208202, -0.20387, -0.198876, -0.193641, -0.187713, -0.179096, -0.173826, -0.164356, -0.151584, -0.130341, -0.0974462, -0.0785152, -0.0620243, -0.0443869, -0.0393711, -0.0312495, -0.0247039, -0.0176834, -0.0154343, -0.0117287, -0.00665048, -0.00218182, -0.000549615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0185592, 0.0185792, 0.055484, 0.118643, 0.150433, 0.209561, 0.236238, 0.252138, 0.283936, 0.296566, 0.319484, 0.387624, 0.416889, 0.439512, 0.469675, 0.489478, 0.52627, 0.567115, 0.58098, 0.61415, 0.65206, 0.722138, 0.792215, 0.862293");
-            values ( \
-              "-1e-22, -0.21511, -0.212018, -0.205649, -0.201912, -0.193325, -0.188111, -0.184398, -0.174637, -0.169348, -0.156356, -0.10118, -0.079763, -0.0656023, -0.0498573, -0.0413854, -0.028998, -0.0192234, -0.0167149, -0.0119207, -0.0080367, -0.00375463, -0.00174208, -0.000806433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0185393, 0.0208417, 0.174017, 0.25383, 0.398746, 0.461553, 0.506943, 0.577021, 0.59989, 0.645627, 0.790093, 0.852061, 0.897944, 0.959121, 1.00277, 1.08363, 1.15371, 1.19257, 1.27716, 1.34724, 1.4874, 1.55748, 1.62755, 1.83779");
-            values ( \
-              "-0.218608, -0.218674, -0.211451, -0.207153, -0.197546, -0.192036, -0.186967, -0.176595, -0.171773, -0.159404, -0.102646, -0.0807754, -0.0668429, -0.0511532, -0.0421494, -0.0289092, -0.0207694, -0.0171252, -0.0114243, -0.00798052, -0.00393052, -0.00285074, -0.00191318, -0.000730246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0281863, 0.0322005, 0.0363414, 0.0372817, 0.0388299, 0.0429865, 0.0463022, 0.0509114, 0.0527233, 0.0561288, 0.0636409, 0.0671081, 0.0706123, 0.0744113, 0.0813568, 0.0852509");
-            values ( \
-              "-0.00592265, -0.0911792, -0.117334, -0.131945, -0.134874, -0.132635, -0.128727, -0.11769, -0.11086, -0.0915713, -0.0425042, -0.0277419, -0.0175944, -0.010526, -0.00393792, -0.00252503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0289558, 0.0340761, 0.0372092, 0.0395034, 0.0470411, 0.0571937, 0.0625428, 0.0679315, 0.0723761, 0.0857448, 0.0929584, 0.098868, 0.10819, 0.119125, 0.120675");
-            values ( \
-              "-0.0183642, -0.128771, -0.163657, -0.169341, -0.166038, -0.158027, -0.150441, -0.138142, -0.121748, -0.0570365, -0.034142, -0.0218242, -0.010462, -0.00425276, -0.00390542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0298018, 0.0340793, 0.03635, 0.0372854, 0.0386768, 0.0397136, 0.0493594, 0.0602888, 0.069614, 0.0795087, 0.0893171, 0.0988715, 0.103539, 0.109763, 0.127777, 0.135383, 0.146871, 0.158377, 0.170662, 0.178118, 0.193031, 0.212973");
-            values ( \
-              "-0.0167837, -0.147124, -0.16698, -0.18717, -0.192606, -0.193076, -0.190519, -0.186635, -0.182624, -0.177025, -0.169155, -0.157123, -0.148475, -0.132374, -0.077903, -0.0595711, -0.0385213, -0.024301, -0.0145762, -0.0106267, -0.00551159, -0.00238632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0297935, 0.0368372, 0.0383376, 0.0393359, 0.0406674, 0.0821849, 0.106753, 0.125824, 0.138578, 0.146474, 0.162267, 0.168052, 0.179193, 0.191567, 0.212212, 0.226454, 0.237456, 0.252125, 0.264226, 0.279451, 0.299303, 0.308343, 0.322184, 0.34064, 0.377551, 0.430718, 0.495905");
-            values ( \
-              "-0.0304055, -0.195214, -0.205988, -0.20691, -0.207167, -0.199465, -0.193542, -0.187835, -0.182829, -0.179066, -0.169186, -0.164308, -0.15171, -0.132676, -0.0983576, -0.0775048, -0.0637236, -0.0483955, -0.0382585, -0.0282181, -0.0186951, -0.0154878, -0.0115763, -0.00778629, -0.0033847, -0.000909959, -0.000164266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.029794, 0.0405623, 0.0856004, 0.135814, 0.184907, 0.224208, 0.250879, 0.266784, 0.298593, 0.311213, 0.33413, 0.402269, 0.431535, 0.454158, 0.484321, 0.504123, 0.540913, 0.581758, 0.595622, 0.62879, 0.666697, 0.736775, 0.806852, 0.87693");
-            values ( \
-              "-0.0782994, -0.21455, -0.210516, -0.205327, -0.199232, -0.193285, -0.188111, -0.184399, -0.174632, -0.16935, -0.156356, -0.101182, -0.079763, -0.0656022, -0.0498585, -0.0413853, -0.0289998, -0.0192235, -0.0167164, -0.0119209, -0.00803823, -0.0037543, -0.00174298, -0.000805804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.0320627, 0.0377128, 0.0408721, 0.188899, 0.268713, 0.413627, 0.476439, 0.521826, 0.591903, 0.614772, 0.66051, 0.808851, 0.86764, 0.911498, 0.955369, 1.0137, 1.06492, 1.13322, 1.19376, 1.27482, 1.3449, 1.41498, 1.48506, 1.62521, 1.83545");
-            values ( \
-              "-0.142442, -0.212883, -0.218311, -0.211413, -0.207117, -0.19751, -0.192001, -0.187002, -0.17656, -0.171809, -0.159369, -0.101207, -0.0805192, -0.0671889, -0.0556032, -0.0428853, -0.0339145, -0.024525, -0.0183633, -0.0123808, -0.00871745, -0.00618009, -0.00431572, -0.0021204, -0.00075881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0522601, 0.0550571, 0.0578745, 0.0615253, 0.0651752, 0.0765392, 0.0804506, 0.0827412, 0.0841433, 0.087575, 0.0883381, 0.0889852, 0.0985436, 0.102143, 0.106444, 0.110894, 0.113986, 0.118354");
-            values ( \
-              "-0.0273209, -0.033635, -0.0491862, -0.0574043, -0.0691799, -0.0958788, -0.103234, -0.106174, -0.106675, -0.103821, -0.106255, -0.104409, -0.043541, -0.027985, -0.015971, -0.00871206, -0.00566956, -0.00325092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.0519523, 0.0575807, 0.0591163, 0.0613865, 0.0695134, 0.078771, 0.0874389, 0.0882249, 0.0891766, 0.0920068, 0.0973495, 0.103081, 0.104867, 0.10844, 0.117062, 0.120448, 0.126109, 0.131494, 0.13447, 0.14028, 0.143553, 0.150098, 0.1625, 0.176946");
-            values ( \
-              "-0.00214686, -0.0565671, -0.0637131, -0.0731212, -0.10021, -0.128893, -0.152437, -0.157354, -0.159974, -0.158143, -0.150466, -0.1373, -0.1313, -0.115653, -0.0716768, -0.0574376, -0.038536, -0.0258042, -0.020524, -0.0129883, -0.0100009, -0.0058372, -0.00192488, -0.000493819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0511194, 0.0662893, 0.0812955, 0.0874453, 0.0886981, 0.0903748, 0.0918082, 0.0946749, 0.102721, 0.108601, 0.114431, 0.124226, 0.129044, 0.134183, 0.137585, 0.142121, 0.157856, 0.164224, 0.17073, 0.179404, 0.182638, 0.188746, 0.194447, 0.202415, 0.205585, 0.211925, 0.224605, 0.248077, 0.27545");
-            values ( \
-              "-0.00529016, -0.10259, -0.157093, -0.178251, -0.185267, -0.188737, -0.187865, -0.186951, -0.183457, -0.180509, -0.177021, -0.169174, -0.163743, -0.156497, -0.150314, -0.139627, -0.0914353, -0.0739282, -0.0586362, -0.0422107, -0.0372115, -0.0292009, -0.0231559, -0.0166297, -0.0145642, -0.0111458, -0.00641596, -0.00211733, -0.000540618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0511228, 0.0714351, 0.0901909, 0.130032, 0.141833, 0.160878, 0.174353, 0.181555, 0.195958, 0.203124, 0.214232, 0.226637, 0.247327, 0.261537, 0.27251, 0.287141, 0.299295, 0.314585, 0.334394, 0.343415, 0.357201, 0.375583, 0.412346, 0.465341, 0.530277");
-            values ( \
-              "-0.00817596, -0.130317, -0.204891, -0.196528, -0.193596, -0.187791, -0.182518, -0.17907, -0.170228, -0.164309, -0.151758, -0.132684, -0.098297, -0.0774897, -0.0637433, -0.0484541, -0.0382664, -0.0281884, -0.0186853, -0.0154855, -0.0115873, -0.00781258, -0.00340378, -0.000924926, -0.000164344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0527359, 0.0728794, 0.0893252, 0.0921191, 0.142919, 0.197921, 0.259466, 0.287059, 0.302072, 0.332099, 0.34649, 0.369365, 0.437603, 0.466827, 0.489413, 0.519527, 0.539385, 0.576271, 0.617062, 0.630913, 0.664, 0.701813, 0.771891, 0.841968, 0.912046");
-            values ( \
-              "-0.015841, -0.140707, -0.212474, -0.213258, -0.208293, -0.202173, -0.193286, -0.187919, -0.184398, -0.175297, -0.169348, -0.156389, -0.10114, -0.0797561, -0.0656176, -0.0498929, -0.0413936, -0.0289774, -0.0192196, -0.0167146, -0.01193, -0.00805222, -0.00376118, -0.00174592, -0.000807437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.064695, 0.083377, 0.0909502, 0.0929887, 0.161807, 0.303856, 0.385574, 0.469619, 0.556843, 0.626921, 0.64984, 0.695677, 0.750848, 0.843882, 0.902695, 0.94659, 1.00512, 1.05177, 1.08864, 1.13781, 1.20789, 1.2549, 1.32054, 1.39062, 1.4607, 1.60086, 1.74101, 1.88117");
-            values ( \
-              "-0.169948, -0.188243, -0.218543, -0.217383, -0.214489, -0.207139, -0.202102, -0.19582, -0.187079, -0.1765, -0.171876, -0.15927, -0.138747, -0.101279, -0.0804668, -0.0671278, -0.0521555, -0.0422501, -0.0356913, -0.0284224, -0.0202689, -0.0161523, -0.01172, -0.00832783, -0.00582309, -0.00286624, -0.00139928, -0.000675346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.107842, 0.121079, 0.122871, 0.124559, 0.127935, 0.131687, 0.135813, 0.137877, 0.139657, 0.143219, 0.146606, 0.148213, 0.151426, 0.152917, 0.154408, 0.1559, 0.157391, 0.158834, 0.160277, 0.161719, 0.163162, 0.164602, 0.166041, 0.167481, 0.16892, 0.169159, 0.169278, 0.169635, 0.170589, 0.172019, 0.172496, 0.175193, 0.17773, 0.178766, 0.179734, 0.180702, 0.18194, 0.183178, 0.184179, 0.18518, 0.1858, 0.18642, 0.18704, 0.18766, 0.188552, 0.189443, 0.190335, 0.191226, 0.192263, 0.192975");
-            values ( \
-              "-0.0335854, -0.034143, -0.036158, -0.0379516, -0.0414822, -0.045317, -0.0494279, -0.0514411, -0.0531504, -0.0565001, -0.0595951, -0.0610289, -0.0638342, -0.0647107, -0.0654197, -0.0659612, -0.066335, -0.0665361, -0.0665799, -0.0664664, -0.0661956, -0.0654151, -0.0643369, -0.0629608, -0.061287, -0.060976, -0.060772, -0.060073, -0.0575721, -0.0533304, -0.0518833, -0.0432441, -0.0353266, -0.0321693, -0.029533, -0.0270262, -0.0240535, -0.0212017, -0.018999, -0.016962, -0.0159065, -0.0148994, -0.0139409, -0.0130308, -0.0118304, -0.0107149, -0.00968422, -0.00873839, -0.00775766, -0.00710702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.0986557, 0.105709, 0.109568, 0.110258, 0.111639, 0.114401, 0.124609, 0.12774, 0.134002, 0.152352, 0.161739, 0.170205, 0.178081, 0.185759, 0.193869, 0.204871, 0.210825, 0.212514, 0.216433, 0.21965, 0.22397, 0.226238, 0.229258, 0.233284, 0.236975");
-            values ( \
-              "-0.014119, -0.0191882, -0.0227173, -0.0241436, -0.0258564, -0.0300534, -0.0471637, -0.0510409, -0.0597238, -0.0826171, -0.0930647, -0.101844, -0.107395, -0.107993, -0.0944657, -0.055819, -0.0383238, -0.0363314, -0.0279368, -0.0218723, -0.0155559, -0.0130171, -0.0102378, -0.00739988, -0.00573187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.103293, 0.113653, 0.115908, 0.120419, 0.126971, 0.139916, 0.158985, 0.174063, 0.187044, 0.198746, 0.204585, 0.208371, 0.210029, 0.210423, 0.211212, 0.212203, 0.2133, 0.215494, 0.217896, 0.220446, 0.225545, 0.23218, 0.237704, 0.243064, 0.249845, 0.254852, 0.259956, 0.26673, 0.268717, 0.272691, 0.28064, 0.287604, 0.29071, 0.296921, 0.309344, 0.332687, 0.359847");
-            values ( \
-              "-0.0210769, -0.0335861, -0.0381451, -0.0452238, -0.057679, -0.0776237, -0.105831, -0.126614, -0.143352, -0.155795, -0.160608, -0.162538, -0.163102, -0.163178, -0.164963, -0.165529, -0.164633, -0.162075, -0.15865, -0.154553, -0.143901, -0.125077, -0.107515, -0.0912037, -0.0726366, -0.060801, -0.0503471, -0.0387717, -0.0358826, -0.030627, -0.0221565, -0.0165763, -0.0145741, -0.0111987, -0.00653774, -0.00216232, -0.000571362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.114901, 0.132221, 0.141129, 0.15297, 0.163023, 0.173076, 0.17652, 0.179963, 0.183407, 0.186851, 0.189845, 0.19284, 0.195834, 0.199975, 0.203415, 0.20661, 0.207675, 0.208458, 0.210807, 0.212116, 0.213424, 0.216509, 0.220949, 0.226768, 0.235052, 0.246253, 0.256059, 0.267125, 0.269645, 0.277836, 0.285397, 0.287993, 0.291886, 0.295416, 0.29988, 0.302112, 0.307545, 0.333061, 0.336234, 0.350085, 0.356585, 0.363085, 0.367418, 0.376085, 0.386963, 0.394852, 0.400551, 0.406249, 0.4182, 0.428515");
-            values ( \
-              "-0.0655724, -0.069598, -0.0849834, -0.104472, -0.120773, -0.13645, -0.144184, -0.152869, -0.162505, -0.173092, -0.173468, -0.174413, -0.175927, -0.178959, -0.182306, -0.186571, -0.188225, -0.189856, -0.195757, -0.196158, -0.196363, -0.196074, -0.19505, -0.193579, -0.19117, -0.187297, -0.183459, -0.178712, -0.17737, -0.172107, -0.166513, -0.164261, -0.160144, -0.155916, -0.150019, -0.146862, -0.13822, -0.0967361, -0.0920578, -0.0721004, -0.0642298, -0.0571107, -0.0527245, -0.0447382, -0.0360175, -0.0305053, -0.0272843, -0.0243314, -0.0191094, -0.0150671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.105178, 0.137595, 0.160619, 0.210294, 0.213185, 0.217619, 0.220986, 0.247341, 0.304454, 0.345274, 0.385745, 0.404498, 0.4245, 0.445248, 0.472913, 0.539861, 0.566342, 0.61076, 0.637302, 0.675468, 0.721061, 0.746849, 0.798423, 0.868501, 0.938579, 1.00866");
-            values ( \
-              "-0.0144841, -0.0828301, -0.123075, -0.205168, -0.208826, -0.209811, -0.208825, -0.206323, -0.199406, -0.193097, -0.18475, -0.17952, -0.172377, -0.162085, -0.142373, -0.0880011, -0.0703602, -0.0470264, -0.0365553, -0.025156, -0.0158822, -0.0122021, -0.00708734, -0.00330962, -0.00153112, -0.00071131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.133754, 0.189873, 0.210317, 0.216016, 0.223406, 0.230777, 0.243306, 0.348578, 0.459155, 0.554655, 0.642097, 0.712175, 0.735052, 0.780806, 0.836051, 0.929127, 0.987918, 1.03178, 1.07565, 1.13397, 1.1852, 1.25349, 1.31403, 1.39509, 1.46517, 1.53525, 1.6754, 1.81556, 1.95571");
-            values ( \
-              "-0.122133, -0.175716, -0.211183, -0.215328, -0.21589, -0.214951, -0.214792, -0.209439, -0.20292, -0.195843, -0.187076, -0.176493, -0.171871, -0.159306, -0.13874, -0.101246, -0.0804839, -0.0671559, -0.0556368, -0.0428534, -0.0338845, -0.0245571, -0.0183336, -0.0123516, -0.00874777, -0.00615088, -0.00303818, -0.00149296, -0.000730114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.203172, 0.222881, 0.235691, 0.258523, 0.282022, 0.293697, 0.304137, 0.313778, 0.32301, 0.332225, 0.336581, 0.348013, 0.35476, 0.362443, 0.367542, 0.371544, 0.379547, 0.39262, 0.408314, 0.505711, 0.51009, 0.51885");
-            values ( \
-              "-0.00332006, -0.00611825, -0.00986792, -0.0212381, -0.0311782, -0.0355206, -0.038964, -0.0415432, -0.0428906, -0.0409239, -0.0368519, -0.0209098, -0.0129875, -0.00694784, -0.00446053, -0.00310308, -0.00144074, -0.00036488, -0.000104336, -1.16357e-05, -0.000604488, -0.000292228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.231588, 0.260093, 0.262402, 0.266361, 0.27032, 0.274279, 0.278238, 0.283801, 0.289364, 0.296781, 0.303497, 0.308008, 0.311816, 0.318726, 0.325579, 0.332432, 0.338752, 0.345072, 0.348105, 0.351137, 0.354169, 0.357202, 0.360228, 0.363254, 0.36628, 0.369306, 0.369514, 0.369826, 0.370553, 0.371176, 0.372423, 0.374085, 0.375747, 0.377409, 0.380734, 0.383886, 0.386633, 0.389206, 0.390492, 0.393065, 0.394351, 0.396961, 0.399195, 0.40087, 0.401947, 0.404101, 0.405178, 0.408158, 0.411138, 0.413695");
-            values ( \
-              "-0.0256764, -0.0276732, -0.0291256, -0.0314116, -0.0336461, -0.0358293, -0.0379611, -0.0408627, -0.0436889, -0.0473838, -0.0506721, -0.0528362, -0.0546291, -0.0578028, -0.0607236, -0.0635943, -0.0661973, -0.0687577, -0.0686334, -0.0684003, -0.0680585, -0.0676078, -0.0670497, -0.0663832, -0.0656084, -0.0647253, -0.0646158, -0.0643608, -0.0636313, -0.0629432, -0.0614457, -0.0591288, -0.0567084, -0.0540919, -0.048734, -0.0435, -0.03878, -0.0346178, -0.0326681, -0.0289617, -0.0272051, -0.0238382, -0.0210597, -0.0191126, -0.0180403, -0.0160224, -0.0150768, -0.0127646, -0.0106085, -0.00888234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.237347, 0.274628, 0.280667, 0.285974, 0.291281, 0.296587, 0.301894, 0.312565, 0.323235, 0.337144, 0.343622, 0.3501, 0.367281, 0.376855, 0.381196, 0.385536, 0.389877, 0.394218, 0.398394, 0.40257, 0.406746, 0.410922, 0.415091, 0.41926, 0.423428, 0.427597, 0.428179, 0.429344, 0.43109, 0.431673, 0.435166, 0.436331, 0.448741, 0.452016, 0.455007, 0.457888, 0.460768, 0.462606, 0.466281, 0.470093, 0.472066, 0.474039, 0.477437, 0.479135, 0.480834, 0.483233, 0.485631, 0.48803, 0.490429, 0.49425");
-            values ( \
-              "-0.0385938, -0.0414277, -0.0453238, -0.0486232, -0.0518697, -0.055063, -0.0582033, -0.0643567, -0.0703585, -0.0780875, -0.0815142, -0.084873, -0.0935901, -0.0985849, -0.100737, -0.10282, -0.104833, -0.106776, -0.106202, -0.105417, -0.104422, -0.103216, -0.101803, -0.10018, -0.0983467, -0.0963041, -0.0958825, -0.0946039, -0.0920876, -0.0912164, -0.0855811, -0.0836639, -0.0623577, -0.0568033, -0.0518594, -0.0476133, -0.0435763, -0.0411577, -0.0364801, -0.0318547, -0.0296152, -0.0275027, -0.0244306, -0.0229929, -0.0216208, -0.0198161, -0.0181281, -0.0165568, -0.015102, -0.0130126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.199436, 0.228185, 0.246274, 0.261252, 0.334778, 0.391183, 0.420458, 0.446685, 0.471054, 0.494849, 0.506437, 0.512775, 0.545097, 0.55745, 0.573155, 0.591846, 0.600667, 0.618308, 0.634991, 0.644015, 0.662063, 0.689796");
-            values ( \
-              "-0.00874949, -0.0155557, -0.0251067, -0.0344222, -0.0841755, -0.118888, -0.135118, -0.148044, -0.15636, -0.156516, -0.149501, -0.142395, -0.0898845, -0.0726986, -0.0545815, -0.0380464, -0.0319046, -0.0222472, -0.0157293, -0.0129965, -0.00885135, -0.00506018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.227035, 0.27472, 0.333295, 0.398945, 0.464104, 0.501003, 0.505603, 0.51106, 0.516707, 0.551135, 0.577646, 0.592852, 0.623264, 0.637272, 0.660156, 0.685677, 0.728372, 0.757605, 0.780198, 0.810322, 0.830168, 0.845966, 0.867031, 0.907833, 0.926414, 0.954785, 0.992613, 1.06269, 1.13277, 1.20285");
-            values ( \
-              "-0.0198821, -0.0462256, -0.0878091, -0.132356, -0.174076, -0.195417, -0.197823, -0.199002, -0.198604, -0.193105, -0.187954, -0.184405, -0.175148, -0.169355, -0.156376, -0.136672, -0.101154, -0.0797546, -0.0656118, -0.0498904, -0.0413895, -0.0355844, -0.0289867, -0.0192186, -0.0159304, -0.0119271, -0.00805316, -0.00375794, -0.00174805, -0.000804812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.25265, 0.305351, 0.456223, 0.505387, 0.514661, 0.53321, 0.550844, 0.567151, 0.589309, 0.594537, 0.604992, 0.621509, 0.638652, 0.667408, 0.676215, 0.693828, 0.726549, 0.826419, 0.889895, 0.940415, 1.01049, 1.13215, 1.19359, 1.23714, 1.33949, 1.39087, 1.45937, 1.5197, 1.60047, 1.74063, 1.88078, 2.16109");
-            values ( \
-              "-0.057308, -0.0696148, -0.176613, -0.209695, -0.212997, -0.209039, -0.210704, -0.207593, -0.208307, -0.2065, -0.206974, -0.205078, -0.204864, -0.202269, -0.202369, -0.200565, -0.198754, -0.189732, -0.181496, -0.171599, -0.151185, -0.102364, -0.0803079, -0.0670765, -0.0427281, -0.0337372, -0.0246504, -0.0181951, -0.0122368, -0.00603161, -0.00291731, -0.000609711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.491695, 0.537425, 0.540496, 0.543566, 0.546637, 0.550755, 0.553898, 0.562279, 0.574707, 0.580977, 0.587356, 0.597889, 0.605402, 0.610914, 0.614709, 0.6238, 0.630502, 0.637203, 0.643904, 0.64788, 0.651856, 0.655832, 0.65984, 0.663848, 0.667639, 0.671429, 0.675219, 0.679009, 0.682771, 0.686533, 0.690295, 0.694056, 0.696875, 0.699694, 0.702513, 0.705331, 0.706617, 0.709189, 0.71112, 0.715638, 0.719913, 0.723327, 0.727493, 0.728954, 0.730415, 0.731876, 0.734797, 0.736258, 0.740024, 0.743123");
-            values ( \
-              "-0.00559841, -0.00616926, -0.00657485, -0.00700149, -0.0074492, -0.00808925, -0.00862082, -0.0101684, -0.0124045, -0.0135011, -0.0145874, -0.0163327, -0.0175347, -0.0184029, -0.0189849, -0.0203393, -0.0212742, -0.022163, -0.0230238, -0.0235213, -0.0240031, -0.0244692, -0.0249931, -0.0255479, -0.0257303, -0.0258269, -0.0258379, -0.0257631, -0.0256042, -0.0253609, -0.0250332, -0.0246211, -0.023998, -0.0232457, -0.0223643, -0.0213538, -0.020776, -0.0194944, -0.0184034, -0.0155178, -0.0129617, -0.0110421, -0.00889288, -0.00820115, -0.00756001, -0.00700077, -0.00597138, -0.00550121, -0.00444373, -0.00364178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.502213, 0.554814, 0.563366, 0.571918, 0.579531, 0.587144, 0.594757, 0.612833, 0.621526, 0.634565, 0.642463, 0.649565, 0.674372, 0.678137, 0.681901, 0.68943, 0.694508, 0.699587, 0.704666, 0.709745, 0.714592, 0.719439, 0.724286, 0.729133, 0.733974, 0.738814, 0.743655, 0.748496, 0.752102, 0.755709, 0.759315, 0.762921, 0.764607, 0.766293, 0.767979, 0.769664, 0.773172, 0.776679, 0.777848, 0.782165, 0.788842, 0.792382, 0.793562, 0.795922, 0.798283, 0.800643, 0.803003, 0.804348, 0.807039, 0.810419");
-            values ( \
-              "-0.0106733, -0.011881, -0.0136851, -0.0155339, -0.0173174, -0.0190697, -0.0207909, -0.0247843, -0.0266214, -0.0292984, -0.0308966, -0.0322621, -0.0368385, -0.0374976, -0.0381445, -0.0394014, -0.0402216, -0.0410194, -0.0417949, -0.042548, -0.0428112, -0.0429271, -0.0428956, -0.0427168, -0.0423911, -0.0419183, -0.0412986, -0.0405319, -0.0394496, -0.0381552, -0.0366488, -0.0349302, -0.0339608, -0.0329255, -0.0318244, -0.0306573, -0.0278817, -0.0252004, -0.02433, -0.0212698, -0.0166436, -0.0145283, -0.0138727, -0.0126746, -0.0115496, -0.0104976, -0.00951868, -0.00901651, -0.00803694, -0.00685318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.435472, 0.490624, 0.509802, 0.516164, 0.528887, 0.550585, 0.560288, 0.579696, 0.602133, 0.608694, 0.621818, 0.641595, 0.654828, 0.694194, 0.7276, 0.757416, 0.784926, 0.811253, 0.837531, 0.842279, 0.84718, 0.856579, 0.873023, 0.88044, 0.892115, 0.895314, 0.901712, 0.914508, 0.92321, 0.926351, 0.932632, 0.945194, 0.97032, 0.985939");
-            values ( \
-              "-0.00188566, -0.00538965, -0.00761161, -0.00846242, -0.0102573, -0.0138945, -0.0158508, -0.0203007, -0.02642, -0.0280589, -0.0316494, -0.0365835, -0.0399273, -0.049013, -0.0559004, -0.0614809, -0.065505, -0.067778, -0.0647483, -0.0628089, -0.0602554, -0.05382, -0.0405914, -0.0347801, -0.026522, -0.0245045, -0.0207951, -0.0146405, -0.0113977, -0.0103925, -0.00861427, -0.00583175, -0.0024968, -0.00168404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.489259, 0.543129, 0.571637, 0.59577, 0.648953, 0.720333, 0.774897, 0.821472, 0.863095, 0.901527, 0.938439, 0.97527, 0.987988, 1.03117, 1.06, 1.08709, 1.10643, 1.1307, 1.16306, 1.21586, 1.23152, 1.28557");
-            values ( \
-              "-0.0120843, -0.0154995, -0.0213667, -0.0273875, -0.0425462, -0.0613963, -0.0746101, -0.0850555, -0.0933641, -0.0995105, -0.102213, -0.0949643, -0.0874049, -0.054169, -0.0353426, -0.0224866, -0.015879, -0.0100781, -0.00526128, -0.00158779, -0.00167218, -0.000486333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.488684, 0.581319, 0.786234, 0.889251, 0.963518, 1.02243, 1.07702, 1.12989, 1.18271, 1.28301, 1.33106, 1.37768, 1.42523, 1.45303, 1.50862, 1.57589");
-            values ( \
-              "-0.00788324, -0.0261463, -0.0830671, -0.109832, -0.127586, -0.139879, -0.148388, -0.149933, -0.131842, -0.0631097, -0.0403987, -0.0256641, -0.0158871, -0.0119558, -0.00663503, -0.00324047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.547864, 0.632731, 0.892718, 1.0377, 1.07778, 1.17191, 1.21542, 1.22615, 1.27712, 1.34475, 1.41386, 1.43769, 1.48535, 1.53888, 1.63105, 1.6903, 1.7349, 1.79437, 1.83995, 1.87604, 1.92415, 1.99423, 2.04264, 2.1107, 2.18077, 2.25085, 2.32093, 2.39101, 2.53116, 2.67132");
-            values ( \
-              "-0.0372451, -0.0415662, -0.116322, -0.155943, -0.166298, -0.188926, -0.198213, -0.198238, -0.194099, -0.187051, -0.176693, -0.171853, -0.158705, -0.138646, -0.101504, -0.0805754, -0.0670246, -0.051799, -0.0422128, -0.0357926, -0.0285974, -0.0204442, -0.0161869, -0.0116123, -0.00820825, -0.00578076, -0.0040717, -0.00285726, -0.00140685, -0.000690962" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0246419, 0.0353288, 0.0574832, 0.104103, 0.202774, 0.412004", \
-            "0.0281562, 0.0389974, 0.0613215, 0.108068, 0.206829, 0.416073", \
-            "0.0365855, 0.0483954, 0.0708527, 0.117779, 0.216663, 0.425997", \
-            "0.046087, 0.064742, 0.0936457, 0.1414, 0.240387, 0.449815", \
-            "0.0512572, 0.0794131, 0.124615, 0.193682, 0.298899, 0.50826", \
-            "0.0396651, 0.0810748, 0.148834, 0.254849, 0.414298, 0.649913" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0190398, 0.0327593, 0.0619111, 0.123883, 0.255195, 0.533169", \
-            "0.0190678, 0.0327563, 0.0619176, 0.123883, 0.254896, 0.53326", \
-            "0.0243375, 0.035186, 0.0620614, 0.123759, 0.254943, 0.533169", \
-            "0.0395378, 0.0521028, 0.0738302, 0.126733, 0.254938, 0.533154", \
-            "0.0638765, 0.0836286, 0.114683, 0.162646, 0.267711, 0.533172", \
-            "0.106543, 0.135347, 0.182549, 0.25572, 0.365532, 0.577224" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.017512, 0.0183103, 0.0191671, 0.0262986, 0.0301548, 0.032204, 0.0351941, 0.0367625, 0.0389953, 0.0409891, 0.045496, 0.0495921, 0.0529953, 0.0561363, 0.0599661, 0.0646936, 0.0697364, 0.0737802");
-            values ( \
-              "-0.0598093, -0.13568, -0.136739, -0.134884, -0.131454, -0.128835, -0.122753, -0.117878, -0.10934, -0.0985193, -0.06642, -0.0421096, -0.0276808, -0.0183976, -0.0109345, -0.00566189, -0.00269168, -0.00202508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0174957, 0.0190778, 0.0205256, 0.0287006, 0.0377531, 0.043733, 0.0504404, 0.0551766, 0.0576146, 0.0723294, 0.0785085, 0.0847803, 0.0912521, 0.102992, 0.107526");
-            values ( \
-              "-0.0380092, -0.171073, -0.171114, -0.168343, -0.162853, -0.157146, -0.146037, -0.133419, -0.123664, -0.0536049, -0.0344433, -0.0213167, -0.0127755, -0.00482445, -0.00368737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0183405, 0.0201796, 0.0365442, 0.0498329, 0.0573121, 0.0638858, 0.0711849, 0.0751733, 0.0831499, 0.0859819, 0.0915685, 0.108085, 0.120758, 0.128122, 0.136696, 0.141768, 0.151739, 0.163328, 0.172695, 0.191429, 0.219046, 0.252281");
-            values ( \
-              "-0.18696, -0.194424, -0.190137, -0.185173, -0.181616, -0.177847, -0.17235, -0.168762, -0.158934, -0.154545, -0.142561, -0.0927081, -0.0599, -0.0455487, -0.0324913, -0.0265969, -0.0174907, -0.0107404, -0.00727141, -0.00304724, -0.000846583, -9.36599e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0185015, 0.0195641, 0.0597884, 0.0821578, 0.101729, 0.125192, 0.142206, 0.153488, 0.175523, 0.196666, 0.215119, 0.224094, 0.2466, 0.257468, 0.269586, 0.286764, 0.309312, 0.339377, 0.387667, 0.44631");
-            values ( \
-              "-0.207611, -0.208123, -0.201206, -0.196187, -0.190889, -0.182348, -0.173133, -0.164163, -0.135463, -0.10001, -0.0733259, -0.0624391, -0.040692, -0.0328168, -0.0257966, -0.0179519, -0.0111447, -0.00585466, -0.0017756, -0.00048488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0185121, 0.0195264, 0.110569, 0.178336, 0.210336, 0.24282, 0.270554, 0.296463, 0.341711, 0.385505, 0.441993, 0.48836, 0.535489, 0.593401, 0.634733, 0.689504, 0.753393");
-            values ( \
-              "-0.214605, -0.215231, -0.206502, -0.198157, -0.193137, -0.186551, -0.17913, -0.169379, -0.139534, -0.103025, -0.064347, -0.0419802, -0.0264879, -0.0147472, -0.00962999, -0.00547051, -0.00273484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0185062, 0.0194912, 0.206924, 0.358595, 0.41946, 0.506904, 0.576932, 0.599866, 0.645733, 0.700884, 0.793917, 0.852743, 0.89666, 0.955217, 1.00186, 1.03874, 1.08791, 1.15794, 1.20507, 1.27088, 1.34091, 1.41094, 1.55099, 1.69105, 1.8311");
-            values ( \
-              "-0.218108, -0.218738, -0.209734, -0.200535, -0.195853, -0.187094, -0.176457, -0.171898, -0.159214, -0.138769, -0.10131, -0.0804136, -0.0670691, -0.0521801, -0.0421937, -0.0356354, -0.0284595, -0.0202234, -0.0160967, -0.011657, -0.00836752, -0.00577183, -0.00281903, -0.00135294, -0.000628711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.029555, 0.0308686, 0.0322223, 0.0363482, 0.037537, 0.0393493, 0.0418757, 0.046268, 0.0494121, 0.0524541, 0.0544959, 0.0636866, 0.0671073, 0.0705781, 0.0744045, 0.0814625, 0.0844032");
-            values ( \
-              "-0.0382902, -0.0803877, -0.0921297, -0.116953, -0.132663, -0.135089, -0.13372, -0.129041, -0.12232, -0.112085, -0.102248, -0.0422617, -0.0277315, -0.0176161, -0.0104623, -0.00380113, -0.00272579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0294627, 0.0343778, 0.0372272, 0.0390619, 0.0450765, 0.0545909, 0.0607006, 0.0663669, 0.0709978, 0.0867542, 0.0937861, 0.102624, 0.115832, 0.11917");
-            values ( \
-              "-0.0367919, -0.131318, -0.163212, -0.169383, -0.167302, -0.160717, -0.153498, -0.142416, -0.127715, -0.0531574, -0.0320152, -0.0161788, -0.00557513, -0.00455374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0294201, 0.0340926, 0.0363431, 0.0372938, 0.0379848, 0.0392598, 0.0469752, 0.060274, 0.0697045, 0.0775639, 0.0833113, 0.0896133, 0.0998238, 0.103987, 0.108043, 0.122717, 0.133351, 0.140719, 0.147039, 0.158354, 0.166998, 0.176011, 0.182921, 0.196741, 0.222608, 0.253269");
-            values ( \
-              "-0.00311963, -0.146978, -0.166927, -0.186426, -0.190663, -0.193133, -0.191389, -0.186737, -0.182624, -0.178267, -0.1743, -0.168722, -0.155462, -0.147473, -0.137278, -0.0920549, -0.0640894, -0.0488328, -0.0382762, -0.0243212, -0.0169951, -0.0116536, -0.00867627, -0.00474195, -0.0013571, -0.000294951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0294006, 0.036586, 0.0377662, 0.0395364, 0.0658547, 0.0832758, 0.116302, 0.139657, 0.156778, 0.168061, 0.190095, 0.211239, 0.226207, 0.238899, 0.24872, 0.261344, 0.271711, 0.283946, 0.301213, 0.319137, 0.332862, 0.360314, 0.412017, 0.471703");
-            values ( \
-              "-0.0165362, -0.19038, -0.204258, -0.207381, -0.202884, -0.19924, -0.190824, -0.182331, -0.173069, -0.164229, -0.135398, -0.100077, -0.0780799, -0.0622331, -0.0518443, -0.040617, -0.033095, -0.0258535, -0.0180557, -0.0124106, -0.00928459, -0.0050767, -0.00146434, -0.000312987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0323602, 0.0414811, 0.103034, 0.151799, 0.184803, 0.224096, 0.251149, 0.266691, 0.297774, 0.311121, 0.334024, 0.359535, 0.402225, 0.431476, 0.454093, 0.484248, 0.504083, 0.519882, 0.540948, 0.581796, 0.595672, 0.628867, 0.666805, 0.736833, 0.806861, 0.876889");
-            values ( \
-              "-0.173819, -0.214683, -0.208772, -0.203427, -0.199236, -0.193229, -0.188006, -0.184374, -0.17488, -0.169325, -0.156343, -0.136639, -0.101134, -0.0797324, -0.0655809, -0.0498481, -0.0413639, -0.035563, -0.0289658, -0.0192014, -0.016697, -0.0119045, -0.00802752, -0.00375349, -0.00174697, -0.00080898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.0321692, 0.0389087, 0.0403637, 0.0429052, 0.241534, 0.322809, 0.405441, 0.492276, 0.565985, 0.614515, 0.684543, 0.841614, 0.923324, 0.978824, 1.02969, 1.08904, 1.15907, 1.21895, 1.30764, 1.37767, 1.4477, 1.51773, 1.61911");
-            values ( \
-              "-0.144869, -0.218761, -0.218191, -0.218626, -0.208596, -0.203835, -0.198106, -0.190348, -0.18094, -0.171811, -0.150973, -0.0892749, -0.0638927, -0.0501167, -0.0398506, -0.030279, -0.0217141, -0.0162733, -0.0105469, -0.00742243, -0.00525623, -0.00367312, -0.00232177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.054519, 0.0614039, 0.0650664, 0.0683962, 0.0717259, 0.0721577, 0.0747484, 0.0755937, 0.0764387, 0.0803524, 0.0806188, 0.0814181, 0.0819509, 0.0824838, 0.0830166, 0.0835494, 0.083675, 0.0840518, 0.0845539, 0.0850563, 0.0855585, 0.0860607, 0.086563, 0.0872812, 0.0874432, 0.0876136, 0.0877301, 0.0880345, 0.0882464, 0.0884672, 0.0885776, 0.0887982, 0.0894604, 0.0899604, 0.0904602, 0.0921585, 0.093742, 0.0950288, 0.0963155, 0.0984131, 0.0994826, 0.0998392, 0.100928, 0.102174, 0.102957, 0.103897, 0.10515, 0.106403, 0.107962, 0.109244");
-            values ( \
-              "-0.0546778, -0.0583377, -0.068243, -0.0765617, -0.0850853, -0.0859887, -0.0920502, -0.093925, -0.0957332, -0.103256, -0.103693, -0.104844, -0.105476, -0.106001, -0.106418, -0.106727, -0.106729, -0.106693, -0.106537, -0.106298, -0.105974, -0.105565, -0.105073, -0.104213, -0.105093, -0.105656, -0.105886, -0.105905, -0.105722, -0.10536, -0.105114, -0.104356, -0.101578, -0.098921, -0.0959536, -0.0840331, -0.0724756, -0.0637563, -0.0555026, -0.0433921, -0.0383201, -0.0367251, -0.0322599, -0.0274277, -0.0246218, -0.0216916, -0.0185095, -0.0156972, -0.0127646, -0.0105515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.0538541, 0.0578584, 0.0610436, 0.074595, 0.0814591, 0.0874355, 0.0881744, 0.0888677, 0.089979, 0.0930822, 0.097385, 0.101064, 0.103159, 0.105822, 0.108699, 0.116393, 0.120996, 0.123732, 0.127558, 0.131113, 0.135853, 0.140223, 0.142606, 0.147371, 0.156902, 0.170171, 0.183243");
-            values ( \
-              "-0.024949, -0.0574986, -0.0718635, -0.116272, -0.136703, -0.152499, -0.158692, -0.159996, -0.16006, -0.15698, -0.150567, -0.142916, -0.137301, -0.128009, -0.11471, -0.0751008, -0.0555747, -0.0459334, -0.0347824, -0.0266497, -0.0184417, -0.0130682, -0.010808, -0.00734549, -0.00325798, -0.00096856, -0.000311761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0538372, 0.0615345, 0.0622259, 0.0714606, 0.0874061, 0.08831, 0.0892126, 0.0900949, 0.091645, 0.1016, 0.107451, 0.114544, 0.119451, 0.124658, 0.13412, 0.138202, 0.142607, 0.158038, 0.164383, 0.170878, 0.179537, 0.182815, 0.189017, 0.194717, 0.202698, 0.205878, 0.212238, 0.224957, 0.24878, 0.276587");
-            values ( \
-              "-0.00911697, -0.0819215, -0.0855443, -0.121806, -0.178377, -0.184706, -0.187947, -0.188301, -0.188218, -0.184128, -0.181232, -0.177036, -0.173438, -0.168711, -0.156705, -0.14922, -0.138606, -0.0912368, -0.0737956, -0.0585556, -0.0421936, -0.0371207, -0.0290267, -0.0230175, -0.0165217, -0.0144637, -0.0110614, -0.0063621, -0.00207248, -0.000529076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0537962, 0.0662057, 0.0873691, 0.0889017, 0.0897332, 0.0912555, 0.110323, 0.131734, 0.151506, 0.161457, 0.174761, 0.181701, 0.195582, 0.203275, 0.21866, 0.24228, 0.258306, 0.272568, 0.28221, 0.295921, 0.30775, 0.319642, 0.33664, 0.343935, 0.358525, 0.387704, 0.4359, 0.493035");
-            values ( \
-              "-0.0108605, -0.108929, -0.193217, -0.203508, -0.204301, -0.204692, -0.200998, -0.19617, -0.190823, -0.187584, -0.182374, -0.178998, -0.17058, -0.16424, -0.14569, -0.106636, -0.082154, -0.0638776, -0.0534165, -0.0410264, -0.0324727, -0.0255167, -0.0179386, -0.015401, -0.0113209, -0.00596668, -0.00190705, -0.000454447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0599078, 0.0730991, 0.0892297, 0.0917447, 0.181232, 0.252541, 0.276332, 0.302184, 0.344278, 0.360696, 0.377614, 0.438898, 0.465441, 0.492613, 0.541684, 0.566008, 0.589794, 0.636312, 0.663034, 0.716478, 0.786506, 0.856534, 0.926562");
-            values ( \
-              "-0.0971827, -0.141874, -0.212723, -0.213427, -0.204126, -0.194424, -0.190148, -0.184371, -0.170424, -0.161982, -0.150621, -0.100193, -0.0807735, -0.0638465, -0.04051, -0.0320557, -0.0253581, -0.015864, -0.0120718, -0.00686881, -0.00321136, -0.0014885, -0.000693559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.0660598, 0.0842229, 0.089278, 0.0919911, 0.304015, 0.38561, 0.455011, 0.498803, 0.557052, 0.62708, 0.650014, 0.695881, 0.751032, 0.844065, 0.90289, 0.946806, 1.00536, 1.052, 1.08888, 1.13805, 1.20808, 1.25521, 1.32101, 1.39104, 1.46107, 1.60112, 1.74118, 1.88123");
-            values ( \
-              "-0.189484, -0.19228, -0.217101, -0.217849, -0.207074, -0.202045, -0.196979, -0.193192, -0.187023, -0.176528, -0.171827, -0.159285, -0.138698, -0.101239, -0.0804849, -0.0671404, -0.0521105, -0.0422648, -0.0357061, -0.0283899, -0.0202938, -0.0161671, -0.0117276, -0.0082988, -0.00584178, -0.00288863, -0.00142233, -0.000697949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.107578, 0.12071, 0.122205, 0.125195, 0.12738, 0.129565, 0.133694, 0.135758, 0.139606, 0.143175, 0.144959, 0.146567, 0.149782, 0.15139, 0.152882, 0.154374, 0.155866, 0.157358, 0.158802, 0.160245, 0.161689, 0.163132, 0.164348, 0.165565, 0.166781, 0.167998, 0.168556, 0.169115, 0.169673, 0.170232, 0.171349, 0.17246, 0.173571, 0.175638, 0.178059, 0.17859, 0.179652, 0.180183, 0.180874, 0.182257, 0.183787, 0.184625, 0.185464, 0.186302, 0.187141, 0.187979, 0.188817, 0.190211, 0.191605, 0.193106");
-            values ( \
-              "-0.0330324, -0.0337103, -0.0353632, -0.0385987, -0.0408975, -0.0431597, -0.047328, -0.0493676, -0.0530906, -0.0564505, -0.0580971, -0.0595523, -0.062398, -0.0637887, -0.0650249, -0.0662293, -0.067402, -0.0685427, -0.0682635, -0.0678287, -0.0672383, -0.0664923, -0.0657427, -0.0648827, -0.0639121, -0.0628311, -0.061907, -0.0608793, -0.0595122, -0.0580872, -0.0550631, -0.0517608, -0.0483606, -0.0416888, -0.0340372, -0.0325267, -0.0296185, -0.0282209, -0.0265026, -0.0231667, -0.0196325, -0.0179073, -0.0163193, -0.0149518, -0.0136659, -0.0124615, -0.0113386, -0.00971364, -0.00819143, -0.00666774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.108942, 0.122849, 0.124932, 0.127015, 0.128563, 0.139426, 0.144417, 0.149828, 0.154744, 0.158375, 0.161521, 0.164917, 0.169969, 0.171417, 0.172865, 0.174766, 0.176472, 0.179445, 0.181618, 0.184098, 0.184925, 0.185427, 0.18593, 0.186433, 0.186936, 0.188444, 0.18945, 0.190455, 0.191461, 0.192719, 0.193477, 0.193983, 0.195499, 0.203383, 0.204453, 0.206057, 0.208479, 0.210366, 0.210783, 0.211618, 0.212035, 0.213137, 0.214239, 0.215344, 0.21645, 0.217555, 0.219766, 0.220871, 0.222619, 0.225655");
-            values ( \
-              "-0.039197, -0.0450807, -0.0481998, -0.0511577, -0.0530697, -0.0671683, -0.0734413, -0.0800855, -0.0859409, -0.0901332, -0.0936171, -0.0971558, -0.102012, -0.103322, -0.104531, -0.105903, -0.106984, -0.108635, -0.108528, -0.108191, -0.108028, -0.107809, -0.107473, -0.10705, -0.106491, -0.104499, -0.10291, -0.101113, -0.0991069, -0.0962535, -0.0942235, -0.0925812, -0.0875072, -0.0593017, -0.0556625, -0.0505204, -0.0432644, -0.0373637, -0.0369731, -0.0360281, -0.0354738, -0.0337133, -0.0316415, -0.0289816, -0.026547, -0.0244989, -0.0207567, -0.0190627, -0.0167011, -0.012938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.105173, 0.119488, 0.124435, 0.133752, 0.152209, 0.174214, 0.185817, 0.198794, 0.207435, 0.210289, 0.211396, 0.21291, 0.217129, 0.220569, 0.226139, 0.232355, 0.242687, 0.249823, 0.255332, 0.262678, 0.267466, 0.271282, 0.276371, 0.286321, 0.289707, 0.297864, 0.307187, 0.325832, 0.352659, 0.383707");
-            values ( \
-              "-0.0207144, -0.0437991, -0.0530939, -0.0686497, -0.0962809, -0.127235, -0.142085, -0.156152, -0.162477, -0.163402, -0.165248, -0.165271, -0.159948, -0.154476, -0.142707, -0.124828, -0.0925999, -0.0729723, -0.0599933, -0.0455395, -0.0378402, -0.032554, -0.0265182, -0.0175562, -0.0152326, -0.0108244, -0.00724374, -0.00313471, -0.000836086, -0.000188091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.105128, 0.126794, 0.139487, 0.167882, 0.190598, 0.212505, 0.215401, 0.218008, 0.23607, 0.246524, 0.26008, 0.277053, 0.288334, 0.310368, 0.331511, 0.346479, 0.354259, 0.368989, 0.381614, 0.391981, 0.404214, 0.421479, 0.439394, 0.453111, 0.480546, 0.531394, 0.589959");
-            values ( \
-              "-0.0126838, -0.0602315, -0.0831136, -0.12935, -0.164539, -0.196076, -0.196903, -0.195792, -0.19101, -0.187562, -0.182286, -0.173084, -0.164217, -0.135412, -0.100074, -0.0780882, -0.0680429, -0.0518435, -0.0406268, -0.0331046, -0.02585, -0.018066, -0.0124231, -0.009282, -0.00508929, -0.00149671, -0.000342893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.1051, 0.138419, 0.142198, 0.177408, 0.207327, 0.212771, 0.216166, 0.219104, 0.22358, 0.261744, 0.30537, 0.345537, 0.365116, 0.387249, 0.429427, 0.445277, 0.462414, 0.524483, 0.550623, 0.577442, 0.613201, 0.626589, 0.65191, 0.675428, 0.708317, 0.7214, 0.747565, 0.799895, 0.869923, 0.939951, 1.00998");
-            values ( \
-              "-0.0107574, -0.0838788, -0.0906765, -0.151303, -0.200377, -0.208825, -0.209984, -0.209119, -0.208866, -0.204688, -0.199247, -0.193077, -0.189383, -0.184386, -0.17037, -0.162265, -0.150819, -0.0997852, -0.0806925, -0.0639793, -0.0460454, -0.040575, -0.0317985, -0.0252179, -0.0181101, -0.0158629, -0.0121416, -0.00699486, -0.00327127, -0.00151595, -0.000706777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.12586, 0.156042, 0.210098, 0.213746, 0.218614, 0.223265, 0.230876, 0.389132, 0.470917, 0.534463, 0.595946, 0.642224, 0.712252, 0.735177, 0.781027, 0.836194, 0.929234, 0.988055, 1.03197, 1.09051, 1.13716, 1.17405, 1.22323, 1.29326, 1.3294, 1.40615, 1.47617, 1.5462, 1.68626, 1.82631, 1.96637");
-            values ( \
-              "-0.104459, -0.117181, -0.210937, -0.215234, -0.216257, -0.215357, -0.215355, -0.207098, -0.202024, -0.197456, -0.192068, -0.186999, -0.176549, -0.171806, -0.159312, -0.138679, -0.101222, -0.0804994, -0.0671556, -0.0520998, -0.0422791, -0.0357182, -0.0283761, -0.0203049, -0.0170474, -0.0117402, -0.00828905, -0.00585343, -0.0028997, -0.00143303, -0.000708399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.194283, 0.225198, 0.234555, 0.242541, 0.258402, 0.281965, 0.293636, 0.304075, 0.313715, 0.318557, 0.32293, 0.327491, 0.332156, 0.335243, 0.33864, 0.350906, 0.356952, 0.364454, 0.368492, 0.373877, 0.384648, 0.396288, 0.406987, 0.435025, 0.505652, 0.511063, 0.518309");
-            values ( \
-              "-0.000177762, -0.00673214, -0.00956249, -0.0130965, -0.0212543, -0.0312144, -0.0355034, -0.0389908, -0.0415235, -0.0424538, -0.0428421, -0.0425617, -0.0406505, -0.0381213, -0.0340894, -0.0170281, -0.0108209, -0.00575116, -0.00401717, -0.00243553, -0.000807044, -0.000254252, -0.000134362, -5.94802e-05, -1e-22, -0.000576016, -0.0003203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.208109, 0.227448, 0.237483, 0.253017, 0.262446, 0.285628, 0.303443, 0.323672, 0.332336, 0.345015, 0.353495, 0.357069, 0.363041, 0.369274, 0.378608, 0.397462, 0.404695, 0.410922, 0.417586, 0.422751, 0.433082, 0.44428, 0.448302");
-            values ( \
-              "-0.00637882, -0.010694, -0.0143327, -0.0229947, -0.0292681, -0.0419533, -0.0507564, -0.0597412, -0.0631406, -0.0672408, -0.0688995, -0.0690724, -0.0681999, -0.0644931, -0.0521654, -0.023021, -0.0154863, -0.0107044, -0.00712015, -0.00514182, -0.00256178, -0.00121065, -0.00099762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.20845, 0.246656, 0.299176, 0.32914, 0.355096, 0.366209, 0.386652, 0.394777, 0.409032, 0.416128, 0.425589, 0.428456, 0.46158, 0.473202, 0.47934, 0.490037, 0.497588, 0.505699, 0.509707, 0.519117, 0.528479, 0.540587, 0.557057, 0.578798");
-            values ( \
-              "-0.00442981, -0.0229426, -0.0567717, -0.0739003, -0.0876648, -0.0930749, -0.101668, -0.10424, -0.106498, -0.105301, -0.0993297, -0.096282, -0.0428738, -0.0289925, -0.0232206, -0.0154853, -0.0115196, -0.00832528, -0.00825186, -0.00563445, -0.003827, -0.00219898, -0.00108736, -0.000370006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.230495, 0.255432, 0.327174, 0.376893, 0.404691, 0.446362, 0.465176, 0.481728, 0.494296, 0.505489, 0.512007, 0.544566, 0.562167, 0.586938, 0.600188, 0.617855, 0.634571, 0.643621, 0.661721, 0.69792, 0.728006");
-            values ( \
-              "-0.0280683, -0.0315337, -0.0799382, -0.110981, -0.127189, -0.148304, -0.155292, -0.1582, -0.156593, -0.150046, -0.142706, -0.0898283, -0.0661226, -0.0414073, -0.0318595, -0.0222062, -0.015693, -0.0129627, -0.00882096, -0.00389984, -0.00227659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.237952, 0.277488, 0.34484, 0.423822, 0.455288, 0.492643, 0.505498, 0.509551, 0.51148, 0.532665, 0.559693, 0.593346, 0.628298, 0.637805, 0.656819, 0.685838, 0.731662, 0.765725, 0.77898, 0.800229, 0.828366, 0.853409, 0.8868, 0.915, 0.952744, 1.00307, 1.0731, 1.14312, 1.21315");
-            values ( \
-              "-0.0314795, -0.04826, -0.0958246, -0.148748, -0.168697, -0.190843, -0.197918, -0.199193, -0.199283, -0.196154, -0.191631, -0.184383, -0.173389, -0.169334, -0.158878, -0.136972, -0.0990011, -0.0747959, -0.0666369, -0.0550712, -0.0423269, -0.0332879, -0.0239343, -0.0180066, -0.012258, -0.00723527, -0.00337168, -0.00157531, -0.000721509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.252723, 0.307632, 0.394554, 0.480122, 0.50546, 0.510518, 0.515395, 0.521522, 0.613771, 0.677022, 0.76107, 0.789111, 0.848306, 0.918334, 0.941302, 0.987239, 1.04233, 1.13533, 1.19417, 1.23811, 1.2967, 1.3433, 1.38015, 1.42928, 1.49931, 1.54648, 1.61237, 1.68239, 1.75242, 1.82245, 1.89248, 2.03253, 2.17259");
-            values ( \
-              "-0.0506542, -0.0711891, -0.133577, -0.19284, -0.209707, -0.211376, -0.211528, -0.210984, -0.206013, -0.202049, -0.195769, -0.193282, -0.187029, -0.176534, -0.171828, -0.159263, -0.138696, -0.101249, -0.0804892, -0.0671371, -0.0520983, -0.0422642, -0.035711, -0.0283976, -0.0203012, -0.0161696, -0.011725, -0.00829488, -0.00584105, -0.00411938, -0.00288884, -0.00142302, -0.0006989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.487737, 0.531794, 0.538209, 0.541792, 0.545376, 0.548959, 0.553862, 0.567553, 0.579211, 0.587291, 0.592568, 0.597845, 0.610839, 0.621662, 0.628728, 0.637204, 0.647799, 0.651613, 0.655426, 0.659595, 0.663764, 0.666386, 0.669007, 0.674249, 0.67774, 0.680747, 0.68259, 0.684433, 0.686276, 0.688151, 0.690026, 0.691902, 0.693777, 0.69506, 0.696429, 0.697797, 0.699166, 0.701772, 0.703075, 0.7071, 0.71079, 0.714771, 0.719368, 0.725017, 0.728063, 0.731635, 0.734552, 0.738004, 0.739731, 0.74298");
-            values ( \
-              "-0.00526571, -0.00548615, -0.00628204, -0.00676587, -0.00727785, -0.00781799, -0.0086321, -0.011137, -0.0132108, -0.0146008, -0.0154824, -0.0163462, -0.0184088, -0.0200418, -0.0210449, -0.0221762, -0.0235246, -0.0239792, -0.024411, -0.0248359, -0.0252187, -0.0254369, -0.0256382, -0.0259895, -0.0260689, -0.0260889, -0.0260791, -0.0260524, -0.0260089, -0.025927, -0.0258193, -0.0256859, -0.0255268, -0.0252923, -0.0249784, -0.0245808, -0.0241275, -0.0230758, -0.0225002, -0.020513, -0.0184123, -0.015862, -0.0131022, -0.00995644, -0.0084281, -0.00697744, -0.00594556, -0.00488634, -0.00441925, -0.00364204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.498125, 0.549349, 0.553477, 0.558059, 0.571808, 0.579265, 0.59418, 0.61271, 0.616982, 0.629797, 0.641336, 0.650322, 0.659368, 0.669539, 0.676659, 0.681623, 0.693061, 0.701034, 0.705889, 0.709613, 0.715549, 0.722368, 0.724008, 0.727288, 0.728927, 0.732207, 0.735487, 0.738766, 0.742046, 0.74356, 0.745075, 0.746589, 0.748103, 0.750527, 0.75295, 0.755374, 0.757797, 0.760434, 0.76307, 0.765706, 0.768899, 0.777245, 0.781697, 0.786668, 0.791967, 0.793721, 0.795151, 0.799442, 0.805403, 0.810599");
-            values ( \
-              "-0.0099409, -0.0108734, -0.0116521, -0.0126041, -0.0155436, -0.017292, -0.020697, -0.0247901, -0.0256978, -0.0283648, -0.0306944, -0.0324385, -0.0341278, -0.0359367, -0.037141, -0.0379495, -0.0397086, -0.0408308, -0.0414505, -0.0418889, -0.0425255, -0.0432057, -0.0432265, -0.0432377, -0.0432281, -0.0431784, -0.0430882, -0.0429573, -0.0427858, -0.04256, -0.042298, -0.0419998, -0.0416655, -0.0408279, -0.0398784, -0.0388171, -0.037644, -0.0362408, -0.0347052, -0.0330373, -0.0308283, -0.0244484, -0.0212502, -0.0179124, -0.0145936, -0.0135881, -0.0128605, -0.0108389, -0.00846702, -0.00660274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.509425, 0.566631, 0.573267, 0.586433, 0.595209, 0.620642, 0.63291, 0.645747, 0.661093, 0.673007, 0.688263, 0.701437, 0.715837, 0.72717, 0.734982, 0.742795, 0.749879, 0.756965, 0.784545, 0.794541, 0.798603, 0.802664, 0.806724, 0.810785, 0.81598, 0.821176, 0.82637, 0.831565, 0.834329, 0.837093, 0.839858, 0.842622, 0.848149, 0.852072, 0.855995, 0.859918, 0.86491, 0.868114, 0.874457, 0.881505, 0.884324, 0.889964, 0.899848, 0.903168, 0.906491, 0.909813, 0.913134, 0.916456, 0.919776, 0.926072");
-            values ( \
-              "-0.0170524, -0.0174187, -0.0189798, -0.0222873, -0.0245876, -0.0314354, -0.0345715, -0.0377697, -0.0414905, -0.0442867, -0.0477455, -0.0506412, -0.0536776, -0.0559952, -0.0575337, -0.0590232, -0.0602751, -0.0614699, -0.0656519, -0.0672493, -0.067266, -0.067235, -0.0671563, -0.0670298, -0.0667984, -0.0664888, -0.0661011, -0.0656353, -0.0649576, -0.0641645, -0.0628709, -0.0614783, -0.058396, -0.0558731, -0.0532143, -0.0504198, -0.0466681, -0.0441436, -0.0388936, -0.0335039, -0.0314679, -0.0276013, -0.021346, -0.0195766, -0.0179194, -0.0163993, -0.0149749, -0.0136461, -0.012413, -0.0103165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.480704, 0.542441, 0.558984, 0.593046, 0.665518, 0.720057, 0.761601, 0.792322, 0.843438, 0.862831, 0.890177, 0.901359, 0.920192, 0.938125, 0.974644, 0.975823, 0.992328, 1.02699, 1.04582, 1.06061, 1.07013, 1.08282, 1.10462, 1.11426, 1.12831, 1.14704, 1.1845, 1.21587, 1.23156, 1.27559");
-            values ( \
-              "-0.00873497, -0.0153277, -0.0186542, -0.0267118, -0.0471303, -0.0613504, -0.0714859, -0.0786074, -0.089561, -0.0932654, -0.0978649, -0.0994065, -0.101405, -0.10205, -0.0950418, -0.0944501, -0.0840568, -0.0570737, -0.0438085, -0.0348722, -0.0298893, -0.0241219, -0.0163406, -0.0136931, -0.0105219, -0.0073142, -0.00335465, -0.00172192, -0.00177433, -0.000659806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.544211, 0.633164, 0.715619, 0.803884, 0.893543, 0.963197, 1.02207, 1.07674, 1.12968, 1.1825, 1.24969, 1.28741, 1.33173, 1.36845, 1.42062, 1.45002, 1.48362, 1.55083, 1.62085, 1.76091");
-            values ( \
-              "-0.0340009, -0.0401029, -0.0639017, -0.087804, -0.110913, -0.127518, -0.139808, -0.148367, -0.149913, -0.131644, -0.0839435, -0.0605338, -0.0400633, -0.0280714, -0.0165975, -0.0123101, -0.00868831, -0.00420948, -0.00195376, -0.00041697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.575964, 0.678942, 0.873117, 1.03074, 1.078, 1.14802, 1.21552, 1.2346, 1.25757, 1.33282, 1.36858, 1.41627, 1.4378, 1.48085, 1.53854, 1.63289, 1.70532, 1.73387, 1.77801, 1.83659, 1.88836, 1.95738, 2.01722, 2.04392, 2.09732, 2.16735, 2.23738, 2.3074, 2.37743, 2.51749, 2.65754");
-            values ( \
-              "-0.0531153, -0.0548713, -0.110777, -0.154066, -0.166324, -0.183433, -0.198195, -0.19761, -0.195806, -0.188469, -0.183981, -0.176251, -0.171836, -0.160177, -0.13882, -0.100851, -0.0758528, -0.0673466, -0.0557244, -0.0428845, -0.0338252, -0.0244202, -0.0183012, -0.0160979, -0.0123899, -0.00877118, -0.00617641, -0.00435859, -0.00305517, -0.00150457, -0.000738414" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * !B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0246466, 0.0353346, 0.0574901, 0.104106, 0.202787, 0.412003", \
-            "0.0281553, 0.0389984, 0.061325, 0.108069, 0.206823, 0.41608", \
-            "0.0365791, 0.0484066, 0.0708654, 0.117786, 0.216662, 0.426003", \
-            "0.0460747, 0.0647399, 0.0936086, 0.141382, 0.240374, 0.449797", \
-            "0.0512429, 0.0794256, 0.124654, 0.193682, 0.298894, 0.508259", \
-            "0.0396454, 0.0810843, 0.148853, 0.254854, 0.414349, 0.64986" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0190524, 0.0327693, 0.0619167, 0.123771, 0.254864, 0.533313", \
-            "0.0190637, 0.0328111, 0.0619266, 0.123758, 0.254947, 0.533171", \
-            "0.024335, 0.0351881, 0.0620651, 0.123753, 0.254932, 0.53317", \
-            "0.0394939, 0.0522048, 0.0738596, 0.126623, 0.254901, 0.53317", \
-            "0.0639581, 0.0836406, 0.114671, 0.16263, 0.267727, 0.53331", \
-            "0.106642, 0.135539, 0.182533, 0.255718, 0.36558, 0.577238" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0174815, 0.0183048, 0.0205841, 0.0272465, 0.0321403, 0.0352908, 0.0380577, 0.0397542, 0.0420162, 0.0487837, 0.0529897, 0.0564745, 0.0602868, 0.0626215, 0.067291, 0.0716019");
-            values ( \
-              "-0.0537148, -0.135834, -0.13684, -0.134038, -0.128967, -0.122244, -0.113151, -0.105601, -0.0915473, -0.0463176, -0.0277295, -0.0176193, -0.0104945, -0.00758429, -0.00384283, -0.00223274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0176054, 0.0190722, 0.0205187, 0.0327279, 0.0401682, 0.0428873, 0.0480653, 0.0505577, 0.0539333, 0.057718, 0.0659125, 0.0727001, 0.0790196, 0.0853424, 0.0912601, 0.101404, 0.112649, 0.115576");
-            values ( \
-              "-0.0543891, -0.171145, -0.171276, -0.166151, -0.160721, -0.158067, -0.15082, -0.145806, -0.137338, -0.123277, -0.0812153, -0.0521762, -0.0330563, -0.0204337, -0.0128113, -0.00559442, -0.00209038, -0.00187951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0176329, 0.0201835, 0.0274065, 0.0410759, 0.0524689, 0.0601128, 0.0716127, 0.0751833, 0.0823244, 0.0859873, 0.0915533, 0.108002, 0.115228, 0.120797, 0.128222, 0.134174, 0.141679, 0.151686, 0.158694, 0.163461, 0.172996, 0.192065, 0.219612, 0.252136");
-            values ( \
-              "-0.0803261, -0.194658, -0.192846, -0.188465, -0.183921, -0.180082, -0.172109, -0.16866, -0.160234, -0.154442, -0.142707, -0.0928979, -0.0730146, -0.0599011, -0.0453139, -0.035957, -0.0266335, -0.0176009, -0.0131152, -0.0107451, -0.00711687, -0.00301812, -0.000765059, -0.000161046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0184968, 0.0195634, 0.0530441, 0.068634, 0.101509, 0.121491, 0.131916, 0.149727, 0.161102, 0.176269, 0.192169, 0.209401, 0.22208, 0.230762, 0.2457, 0.258786, 0.276233, 0.288667, 0.302485, 0.32091, 0.357758, 0.410634, 0.470867");
-            values ( \
-              "-0.207809, -0.208257, -0.202484, -0.199283, -0.190907, -0.183881, -0.178992, -0.167561, -0.156205, -0.133901, -0.107206, -0.0809013, -0.0646678, -0.0551393, -0.041337, -0.0319036, -0.0223645, -0.0172345, -0.0128989, -0.00874227, -0.00377973, -0.00106843, -0.000206081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0185339, 0.0195629, 0.121629, 0.148816, 0.204243, 0.222342, 0.251992, 0.285091, 0.296488, 0.319283, 0.344888, 0.387712, 0.416869, 0.439403, 0.469447, 0.48941, 0.505305, 0.526498, 0.567228, 0.581077, 0.614094, 0.651829, 0.721858, 0.791887, 0.861916");
-            values ( \
-              "-0.214789, -0.215385, -0.205259, -0.202056, -0.194165, -0.190939, -0.184441, -0.174181, -0.169279, -0.156454, -0.136604, -0.100996, -0.0797517, -0.0656502, -0.0498863, -0.0414169, -0.0355798, -0.0288764, -0.0192254, -0.0166551, -0.0119561, -0.00802297, -0.00380125, -0.00171974, -0.00084561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0186486, 0.0221944, 0.19319, 0.335742, 0.419769, 0.489798, 0.541642, 0.599927, 0.669956, 0.827138, 0.908678, 1.01512, 1.0747, 1.14473, 1.20441, 1.29274, 1.4328, 1.55929");
-            values ( \
-              "-0.218301, -0.218973, -0.21043, -0.202077, -0.195805, -0.188992, -0.182375, -0.17186, -0.150938, -0.0891996, -0.0638706, -0.0398965, -0.030292, -0.0216469, -0.0162273, -0.0105208, -0.00522129, -0.00282493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0295697, 0.0308961, 0.0322466, 0.0363643, 0.0371022, 0.0383375, 0.0393753, 0.0433596, 0.0478398, 0.0525614, 0.0551459, 0.0637449, 0.0673816, 0.0709079, 0.0744132, 0.0805184, 0.0845101");
-            values ( \
-              "-0.0373953, -0.0803986, -0.0922706, -0.117357, -0.130788, -0.134649, -0.135093, -0.13241, -0.126111, -0.111701, -0.0983977, -0.0420275, -0.0267871, -0.0169075, -0.0104969, -0.00440392, -0.00267223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0294588, 0.0322957, 0.0363209, 0.0371584, 0.0389764, 0.0469832, 0.0553702, 0.060684, 0.0652013, 0.0682038, 0.0740549, 0.0869714, 0.092921, 0.0989332, 0.108307, 0.119356, 0.12198");
-            values ( \
-              "-0.00187536, -0.11462, -0.146879, -0.163398, -0.169582, -0.166123, -0.159921, -0.153495, -0.145006, -0.137196, -0.11331, -0.0522915, -0.0340912, -0.0216245, -0.0102973, -0.00413788, -0.00375037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0294884, 0.0340964, 0.0363444, 0.0372955, 0.0379812, 0.0392492, 0.0509941, 0.0615576, 0.0691873, 0.0778846, 0.0826911, 0.08961, 0.0981617, 0.104977, 0.112122, 0.123282, 0.134741, 0.139907, 0.146746, 0.152844, 0.160975, 0.17032, 0.175326, 0.18534, 0.205366, 0.231741, 0.264456");
-            values ( \
-              "-0.00540622, -0.146774, -0.166933, -0.186644, -0.190882, -0.193373, -0.190048, -0.186183, -0.182861, -0.178098, -0.174793, -0.168733, -0.158132, -0.145157, -0.125139, -0.0904626, -0.0610192, -0.0503743, -0.0387418, -0.0304429, -0.0218143, -0.0147837, -0.0120062, -0.00778542, -0.00315323, -0.000852739, -0.000183596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0294797, 0.0365895, 0.0377541, 0.0394818, 0.0825787, 0.118717, 0.136094, 0.146498, 0.164805, 0.174706, 0.187908, 0.221249, 0.233418, 0.248989, 0.261464, 0.283506, 0.300434, 0.312419, 0.329837, 0.347909, 0.376015, 0.402141");
-            values ( \
-              "-0.0186595, -0.190456, -0.204401, -0.207568, -0.199486, -0.190154, -0.183925, -0.178942, -0.167204, -0.157417, -0.138661, -0.0848232, -0.0685133, -0.0513908, -0.0404827, -0.0259639, -0.0183573, -0.014235, -0.00985083, -0.00672418, -0.00358088, -0.00219831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0325788, 0.0405359, 0.1458, 0.184868, 0.218131, 0.238859, 0.266754, 0.308804, 0.325525, 0.342327, 0.403191, 0.446561, 0.476526, 0.502904, 0.524624, 0.549746, 0.585247, 0.621862, 0.649862, 0.705862, 0.775891, 0.915949");
-            values ( \
-              "-0.181368, -0.214925, -0.204128, -0.199226, -0.194251, -0.190542, -0.184377, -0.170438, -0.161828, -0.150514, -0.100411, -0.0701122, -0.0536117, -0.0418838, -0.0340131, -0.0265791, -0.0185967, -0.0128284, -0.00961114, -0.00530348, -0.00247064, -0.000531254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.0321507, 0.0387173, 0.040108, 0.0424999, 0.0443658, 0.173108, 0.268624, 0.338653, 0.419617, 0.463421, 0.521662, 0.591691, 0.614624, 0.660489, 0.715642, 0.808677, 0.867502, 0.911417, 0.969971, 1.01661, 1.05349, 1.10267, 1.1727, 1.21982, 1.28562, 1.35565, 1.42568, 1.56574, 1.7058, 1.84586");
-            values ( \
-              "-0.144911, -0.218954, -0.218357, -0.218744, -0.218172, -0.21219, -0.207044, -0.202846, -0.196951, -0.193163, -0.187051, -0.1765, -0.171854, -0.159259, -0.138724, -0.101263, -0.0804598, -0.0671163, -0.0521345, -0.0422409, -0.0356827, -0.0284123, -0.0202705, -0.0161446, -0.0117056, -0.0083205, -0.00581992, -0.00286693, -0.00140078, -0.000676546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0545032, 0.0614053, 0.0650652, 0.0683936, 0.0717221, 0.0721548, 0.0747517, 0.0755929, 0.0764342, 0.0803466, 0.0806205, 0.0811682, 0.0819897, 0.0825374, 0.0830851, 0.0836328, 0.0842518, 0.0848707, 0.0852228, 0.0855751, 0.0859272, 0.0862793, 0.0865839, 0.0868886, 0.0871932, 0.0874977, 0.0877222, 0.0880586, 0.0883818, 0.0885931, 0.0890153, 0.0893124, 0.0899061, 0.0902032, 0.0905583, 0.0916238, 0.0931939, 0.0943625, 0.0962977, 0.0982459, 0.0991527, 0.0998781, 0.100414, 0.102025, 0.102949, 0.103873, 0.105105, 0.106337, 0.107925, 0.109225");
-            values ( \
-              "-0.0544499, -0.0583371, -0.0682561, -0.0765742, -0.0851118, -0.0860171, -0.0921136, -0.0939794, -0.0957755, -0.103276, -0.103713, -0.104506, -0.105492, -0.106015, -0.106429, -0.106736, -0.106669, -0.106472, -0.106111, -0.105593, -0.104918, -0.104086, -0.104106, -0.104316, -0.104716, -0.105307, -0.106093, -0.105747, -0.105173, -0.10467, -0.103362, -0.102021, -0.0990092, -0.097337, -0.0950129, -0.0878053, -0.0763002, -0.0681812, -0.0555653, -0.0442812, -0.0398049, -0.0365248, -0.0343011, -0.027974, -0.0246479, -0.0217635, -0.0186307, -0.0158531, -0.0128485, -0.0105916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.0507943, 0.0616404, 0.0666388, 0.0778447, 0.086876, 0.0891537, 0.0917279, 0.0974346, 0.103168, 0.10697, 0.121907, 0.128225, 0.134551, 0.140458, 0.150573, 0.157898");
-            values ( \
-              "-0.000203578, -0.0759081, -0.089368, -0.127191, -0.150639, -0.160215, -0.158583, -0.150475, -0.137321, -0.123135, -0.052196, -0.0330739, -0.0204447, -0.0128301, -0.00561932, -0.00330287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0549805, 0.0616545, 0.0745586, 0.0886839, 0.0901373, 0.104887, 0.114638, 0.119538, 0.124753, 0.132312, 0.13556, 0.141136, 0.15718, 0.168376, 0.175275, 0.182028, 0.187655, 0.193675, 0.205972, 0.213434, 0.228358, 0.252224, 0.280759");
-            values ( \
-              "-0.0219407, -0.0831085, -0.132995, -0.185298, -0.18869, -0.182591, -0.177056, -0.173425, -0.168731, -0.159579, -0.154499, -0.142632, -0.094091, -0.0643577, -0.0499288, -0.0384914, -0.0308154, -0.0241436, -0.0144741, -0.0105568, -0.00547596, -0.00177822, -0.000434218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0597553, 0.070724, 0.0874248, 0.0882564, 0.0896321, 0.0909557, 0.110609, 0.130739, 0.146521, 0.16152, 0.181761, 0.200263, 0.209585, 0.222015, 0.258011, 0.273293, 0.283304, 0.296268, 0.307223, 0.31932, 0.33648, 0.354009, 0.367388, 0.394147, 0.444805, 0.502723");
-            values ( \
-              "-0.10384, -0.127667, -0.193166, -0.201745, -0.204397, -0.204634, -0.20095, -0.196404, -0.192293, -0.187592, -0.179029, -0.166955, -0.157779, -0.140598, -0.0826069, -0.0630694, -0.0523832, -0.0407711, -0.0328335, -0.0257269, -0.017999, -0.0124741, -0.00940918, -0.00522369, -0.00156088, -0.000351619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0640655, 0.0798525, 0.0892022, 0.0917378, 0.186428, 0.225545, 0.257104, 0.302176, 0.344361, 0.360152, 0.377312, 0.439464, 0.465562, 0.492345, 0.528055, 0.5415, 0.566926, 0.590417, 0.636334, 0.662443, 0.71466, 0.784689, 0.854718, 0.924747");
-            values ( \
-              "-0.163313, -0.170087, -0.212667, -0.21339, -0.203523, -0.198503, -0.19365, -0.184383, -0.170367, -0.162294, -0.150839, -0.0997411, -0.0806831, -0.0639923, -0.0460766, -0.040581, -0.0317704, -0.0252026, -0.0158621, -0.0121479, -0.00700758, -0.00327709, -0.00151887, -0.00070795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.0590891, 0.0890215, 0.0911904, 0.0932798, 0.0974584, 0.100943, 0.106625, 0.200568, 0.304036, 0.374066, 0.455026, 0.498837, 0.557074, 0.627103, 0.650036, 0.695901, 0.751054, 0.844088, 0.902913, 0.946829, 1.00538, 1.05202, 1.0889, 1.13808, 1.20811, 1.25523, 1.32103, 1.39106, 1.46109, 1.53112, 1.60114, 1.7412, 1.88126");
-            values ( \
-              "-0.0812579, -0.21432, -0.218428, -0.217513, -0.21756, -0.217237, -0.217144, -0.212556, -0.207072, -0.202819, -0.196977, -0.193188, -0.187025, -0.176526, -0.171829, -0.159284, -0.1387, -0.101241, -0.0804826, -0.0671384, -0.0521129, -0.0422629, -0.035704, -0.0283917, -0.0202916, -0.0161651, -0.0117259, -0.00830102, -0.0058399, -0.0041241, -0.00288666, -0.00142031, -0.000695921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.107588, 0.120779, 0.124096, 0.125754, 0.12761, 0.129467, 0.137718, 0.141555, 0.142924, 0.144847, 0.147411, 0.149975, 0.153887, 0.154728, 0.155569, 0.15641, 0.157252, 0.158595, 0.159938, 0.161281, 0.162624, 0.163012, 0.1634, 0.163788, 0.164564, 0.16534, 0.166115, 0.166891, 0.167667, 0.168443, 0.168953, 0.169974, 0.172016, 0.17385, 0.177763, 0.178432, 0.179102, 0.179771, 0.181109, 0.181779, 0.183277, 0.184653, 0.185686, 0.186848, 0.18801, 0.188841, 0.189673, 0.190504, 0.191336, 0.192739");
-            values ( \
-              "-0.0333851, -0.0339117, -0.0375129, -0.0392625, -0.0411697, -0.0430572, -0.0512271, -0.0548635, -0.0561308, -0.0578427, -0.0600109, -0.062141, -0.0653446, -0.0658776, -0.0663509, -0.0667644, -0.0671181, -0.0675592, -0.0678479, -0.0679842, -0.0679683, -0.0678985, -0.0677022, -0.0674644, -0.0668363, -0.0660983, -0.0652504, -0.0642926, -0.0632249, -0.0620473, -0.0611067, -0.0585884, -0.0526778, -0.0468528, -0.0345933, -0.0326074, -0.0307063, -0.0289192, -0.0255405, -0.0239488, -0.0206214, -0.0177365, -0.0157817, -0.0139587, -0.0122977, -0.0112234, -0.0102221, -0.00929377, -0.00843837, -0.00714934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.108924, 0.121675, 0.123177, 0.125454, 0.129326, 0.133973, 0.141351, 0.147711, 0.157311, 0.163614, 0.169917, 0.170053, 0.170233, 0.172396, 0.174049, 0.175961, 0.177814, 0.178778, 0.179743, 0.180707, 0.181671, 0.182613, 0.183554, 0.184496, 0.185437, 0.18732, 0.189203, 0.191086, 0.192969, 0.193571, 0.194775, 0.198223, 0.20134, 0.204493, 0.20656, 0.208981, 0.210368, 0.211232, 0.212095, 0.213067, 0.21404, 0.215942, 0.216486, 0.218117, 0.219204, 0.220291, 0.221378, 0.222466, 0.224424, 0.225927");
-            values ( \
-              "-0.0406849, -0.0432707, -0.0455619, -0.0488268, -0.0540794, -0.0602123, -0.0695912, -0.0775256, -0.0890304, -0.0956398, -0.102059, -0.102112, -0.102248, -0.104153, -0.105492, -0.106936, -0.108236, -0.108462, -0.108547, -0.108493, -0.108298, -0.107967, -0.107507, -0.106916, -0.106196, -0.104365, -0.102015, -0.0991461, -0.0957574, -0.0943509, -0.0904631, -0.0782316, -0.066835, -0.0559064, -0.0493031, -0.0421795, -0.037416, -0.0366086, -0.0355969, -0.0342139, -0.032572, -0.0279471, -0.0268009, -0.0236152, -0.0217918, -0.0200773, -0.0184719, -0.0169754, -0.0145898, -0.0128574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.109352, 0.124479, 0.127469, 0.140256, 0.15317, 0.174198, 0.184527, 0.192317, 0.198791, 0.204524, 0.210327, 0.211515, 0.213384, 0.217643, 0.220569, 0.226152, 0.242675, 0.249822, 0.255342, 0.262701, 0.267475, 0.276355, 0.28632, 0.28971, 0.297891, 0.307242, 0.325943, 0.352731, 0.383624");
-            values ( \
-              "-0.0411674, -0.0526493, -0.0585104, -0.0784948, -0.097618, -0.127141, -0.140455, -0.14954, -0.156071, -0.16072, -0.163389, -0.1652, -0.164892, -0.159188, -0.154467, -0.142655, -0.0926353, -0.0729745, -0.0599736, -0.0455, -0.037829, -0.0265377, -0.0175585, -0.0152316, -0.0108125, -0.00722627, -0.00311895, -0.000834403, -0.00019046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.105094, 0.125945, 0.14864, 0.179472, 0.19674, 0.212474, 0.215314, 0.217916, 0.235584, 0.256278, 0.266713, 0.284118, 0.288312, 0.2967, 0.311572, 0.337977, 0.355343, 0.365152, 0.380188, 0.394199, 0.412879, 0.428232, 0.436622, 0.453402, 0.486962, 0.537764, 0.596291");
-            values ( \
-              "-0.0124119, -0.0588289, -0.0984309, -0.147606, -0.173713, -0.196072, -0.196893, -0.195792, -0.19113, -0.183882, -0.179, -0.167883, -0.164249, -0.155213, -0.133067, -0.0899068, -0.0665333, -0.0555518, -0.0416195, -0.0315415, -0.0214935, -0.0156223, -0.0131027, -0.00916309, -0.00434173, -0.0012742, -0.00029571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.118027, 0.138371, 0.182101, 0.211898, 0.214223, 0.216079, 0.266231, 0.305353, 0.34545, 0.370842, 0.387236, 0.420024, 0.431678, 0.454623, 0.480093, 0.522726, 0.552018, 0.57467, 0.604872, 0.624653, 0.64041, 0.661421, 0.702319, 0.716206, 0.749472, 0.787492, 0.857521, 0.92755, 0.997579");
-            values ( \
-              "-0.0713689, -0.0836406, -0.159199, -0.208527, -0.210077, -0.20951, -0.204156, -0.199247, -0.193086, -0.188195, -0.184368, -0.174242, -0.169319, -0.156317, -0.136631, -0.101172, -0.079745, -0.0655716, -0.0498119, -0.0413616, -0.0355755, -0.028986, -0.0192109, -0.0166972, -0.0119013, -0.00801338, -0.00375115, -0.00174151, -0.000810692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.134924, 0.178952, 0.210071, 0.217244, 0.221101, 0.344514, 0.459176, 0.55474, 0.642183, 0.712213, 0.735145, 0.781011, 0.836164, 0.929198, 0.988023, 1.03194, 1.09049, 1.13713, 1.17401, 1.22319, 1.29322, 1.34034, 1.40613, 1.47616, 1.54619, 1.68625, 1.82631, 1.96637");
-            values ( \
-              "-0.137797, -0.157699, -0.210938, -0.216302, -0.215484, -0.209555, -0.202832, -0.195794, -0.187034, -0.176517, -0.171837, -0.159276, -0.138708, -0.101246, -0.0804776, -0.0671342, -0.0521176, -0.0422589, -0.0357007, -0.0283955, -0.0202883, -0.0161625, -0.0117236, -0.00830373, -0.00583756, -0.00288436, -0.00141809, -0.000693794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.201367, 0.224938, 0.23571, 0.25849, 0.281996, 0.293654, 0.304094, 0.313735, 0.322968, 0.332184, 0.334389, 0.351613, 0.359361, 0.36285, 0.367921, 0.374681, 0.384727, 0.393452, 0.403789, 0.505686, 0.511596, 0.51921");
-            values ( \
-              "-0.00231963, -0.00657963, -0.00994217, -0.0212629, -0.0311982, -0.0355154, -0.0389714, -0.0415345, -0.0428963, -0.0408971, -0.0390502, -0.0163209, -0.00895652, -0.00666878, -0.00426087, -0.00224287, -0.000796193, -0.000345599, -0.000147311, -1e-22, -0.000558485, -0.000290241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.207731, 0.227513, 0.241154, 0.25302, 0.262477, 0.285648, 0.303458, 0.31867, 0.332351, 0.344996, 0.354728, 0.357108, 0.361869, 0.369302, 0.378608, 0.390909, 0.397486, 0.404719, 0.410949, 0.417631, 0.422813, 0.433175, 0.444322, 0.456856, 0.472396, 0.505757, 0.507434, 0.510789, 0.513426, 0.518699");
-            values ( \
-              "-0.00616865, -0.0105264, -0.0161218, -0.0230072, -0.0292794, -0.0419599, -0.0507633, -0.0576492, -0.0631758, -0.0672696, -0.0690289, -0.069111, -0.0685415, -0.0645679, -0.052192, -0.0319103, -0.0229924, -0.0154576, -0.0107193, -0.00712508, -0.00510978, -0.00256354, -0.00118709, -0.000536082, -0.000186934, -5.61674e-05, -0.000910869, -0.00117009, -0.000786448, -0.000549111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.217739, 0.239022, 0.252997, 0.274541, 0.298616, 0.338119, 0.358896, 0.377563, 0.394845, 0.403349, 0.411421, 0.423823, 0.428535, 0.453866, 0.465741, 0.475414, 0.49127, 0.505733, 0.510879, 0.521189, 0.531185, 0.543972, 0.561729, 0.588951");
-            values ( \
-              "-0.0141029, -0.0188039, -0.0266649, -0.0414662, -0.0564622, -0.0788304, -0.0896002, -0.0981667, -0.104325, -0.10603, -0.106271, -0.10102, -0.0963081, -0.0544916, -0.0375412, -0.0268798, -0.0148306, -0.0083388, -0.00797375, -0.00520926, -0.00344115, -0.00191437, -0.000893163, -0.000213432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.227524, 0.255362, 0.343711, 0.380414, 0.416681, 0.446256, 0.464586, 0.47058, 0.482496, 0.49418, 0.505377, 0.511894, 0.544454, 0.562052, 0.586824, 0.600077, 0.617747, 0.634461, 0.661609, 0.697806, 0.748973, 0.807861");
-            values ( \
-              "-0.021869, -0.0315068, -0.0905221, -0.113172, -0.133818, -0.148313, -0.155139, -0.156611, -0.158217, -0.156602, -0.150029, -0.1427, -0.0898252, -0.0661197, -0.0414044, -0.0318559, -0.0222048, -0.0156928, -0.00882176, -0.00389921, -0.00114049, -0.000250169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.239372, 0.277561, 0.352199, 0.421437, 0.448188, 0.477987, 0.499819, 0.511512, 0.517832, 0.524227, 0.532343, 0.54189, 0.551582, 0.570706, 0.593368, 0.630494, 0.652566, 0.689332, 0.704009, 0.72751, 0.757687, 0.772808, 0.793209, 0.817243, 0.833211, 0.856509, 0.878896, 0.913604, 0.927667, 0.955792, 1.01204, 1.08207, 1.1521, 1.22213");
-            values ( \
-              "-0.0343025, -0.0482849, -0.100853, -0.147174, -0.164264, -0.182395, -0.19482, -0.200581, -0.197467, -0.198045, -0.195741, -0.195103, -0.192749, -0.189806, -0.184092, -0.172795, -0.161744, -0.134216, -0.121422, -0.102421, -0.0799328, -0.0702892, -0.0586495, -0.0471256, -0.0404055, -0.0324058, -0.0258966, -0.0183575, -0.0158164, -0.0119585, -0.00650658, -0.00312089, -0.00136613, -0.000715853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.268607, 0.332244, 0.425557, 0.491968, 0.505558, 0.510623, 0.5155, 0.521769, 0.566602, 0.653011, 0.718356, 0.761161, 0.83119, 0.883033, 0.941318, 1.01135, 1.10477, 1.16851, 1.22238, 1.25008, 1.30548, 1.3565, 1.41605, 1.48608, 1.54578, 1.57524, 1.63415, 1.70417, 1.7742, 1.84423, 1.91426, 2.05432, 2.19438");
-            values ( \
-              "-0.0778294, -0.0889566, -0.155302, -0.200734, -0.209717, -0.211375, -0.211523, -0.210972, -0.208729, -0.203652, -0.199177, -0.195736, -0.189061, -0.182437, -0.1718, -0.150997, -0.113206, -0.0892503, -0.0717766, -0.0639119, -0.0501586, -0.0398556, -0.0302562, -0.0216934, -0.0162709, -0.0140782, -0.0105617, -0.00743727, -0.00526227, -0.00368176, -0.00260882, -0.00129256, -0.000642504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.487704, 0.531824, 0.534674, 0.538243, 0.541815, 0.545385, 0.548957, 0.550178, 0.553845, 0.563618, 0.575294, 0.583232, 0.589916, 0.597838, 0.610822, 0.617651, 0.623608, 0.630406, 0.637205, 0.646838, 0.651561, 0.65534, 0.659544, 0.663749, 0.667538, 0.671328, 0.675117, 0.678908, 0.682669, 0.68643, 0.690189, 0.69395, 0.696768, 0.699587, 0.702404, 0.705222, 0.706508, 0.709076, 0.711004, 0.715508, 0.719778, 0.723191, 0.727347, 0.728804, 0.730262, 0.731717, 0.733175, 0.736089, 0.739852, 0.742954");
-            values ( \
-              "-0.00525818, -0.00549114, -0.00583371, -0.00628815, -0.00677056, -0.00728095, -0.00781931, -0.00801685, -0.00863108, -0.0104274, -0.0125228, -0.0139096, -0.0150441, -0.0163476, -0.018409, -0.0194477, -0.020331, -0.0212772, -0.0221781, -0.0234068, -0.0239855, -0.0244349, -0.0249793, -0.0255507, -0.0257336, -0.0258309, -0.0258426, -0.0257686, -0.0256106, -0.0253683, -0.0250417, -0.0246307, -0.0240086, -0.0232575, -0.0223774, -0.0213682, -0.0207914, -0.0195118, -0.0184232, -0.0155456, -0.0129882, -0.0110649, -0.00891367, -0.00822043, -0.00757763, -0.00701637, -0.00648481, -0.00551079, -0.00444625, -0.00363764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.422611, 0.477384, 0.493049, 0.508654, 0.528805, 0.537099, 0.553689, 0.612851, 0.638367, 0.66733, 0.689438, 0.709762, 0.729156, 0.748293, 0.752705, 0.757259, 0.766369, 0.788768, 0.801634, 0.807984, 0.815059, 0.824493, 0.839992, 0.850168");
-            values ( \
-              "-0.000949933, -0.00285492, -0.00398254, -0.00533909, -0.00752927, -0.00868096, -0.0114081, -0.0247825, -0.0300795, -0.0354991, -0.0391464, -0.0418533, -0.0434309, -0.0422237, -0.0408205, -0.0388296, -0.0329925, -0.0167498, -0.0100572, -0.00766173, -0.00560431, -0.00362407, -0.00169767, -0.00110668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.509475, 0.566683, 0.573339, 0.586499, 0.595273, 0.621155, 0.633876, 0.64222, 0.65453, 0.679149, 0.693891, 0.706963, 0.722138, 0.735337, 0.746868, 0.760679, 0.767949, 0.774839, 0.781349, 0.787992, 0.798155, 0.801327, 0.8045, 0.807672, 0.810844, 0.815244, 0.819643, 0.824042, 0.828442, 0.830609, 0.832777, 0.837112, 0.84045, 0.843788, 0.850463, 0.854163, 0.857864, 0.865264, 0.870676, 0.876087, 0.882902, 0.889717, 0.898848, 0.900837, 0.904627, 0.908418, 0.911645, 0.9181, 0.921328, 0.925981");
-            values ( \
-              "-0.0170613, -0.0174211, -0.0189871, -0.0222934, -0.0245936, -0.0315573, -0.0348526, -0.0369377, -0.0399222, -0.0456715, -0.0490229, -0.0518598, -0.0549871, -0.0575715, -0.0597195, -0.062113, -0.0632788, -0.0643161, -0.065232, -0.066111, -0.0673473, -0.0673774, -0.0673702, -0.0673257, -0.0672437, -0.0670683, -0.066821, -0.0665018, -0.0661108, -0.0656496, -0.0651178, -0.0638424, -0.0623226, -0.0606555, -0.0568798, -0.0545332, -0.0520056, -0.0464076, -0.0419046, -0.0376186, -0.0325266, -0.0277752, -0.0219831, -0.0207831, -0.0188447, -0.0170389, -0.0156179, -0.0130405, -0.011884, -0.0103671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.480753, 0.542293, 0.559601, 0.579381, 0.593729, 0.673866, 0.719943, 0.789259, 0.838567, 0.873117, 0.901229, 0.915888, 0.938002, 0.974582, 0.975704, 0.991411, 1.02733, 1.05211, 1.06394, 1.07693, 1.09423, 1.10869, 1.12295, 1.14197, 1.18001, 1.21575, 1.23272, 1.27976");
-            values ( \
-              "-0.00880801, -0.015331, -0.0188148, -0.0233087, -0.0269314, -0.0494095, -0.0613544, -0.0779583, -0.0885901, -0.0951289, -0.0994148, -0.101038, -0.102074, -0.0949933, -0.0944443, -0.0846392, -0.0567258, -0.0397451, -0.0329899, -0.0266231, -0.0196944, -0.0151592, -0.011631, -0.00806425, -0.00366467, -0.00170434, -0.00172482, -0.000597611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.544378, 0.633436, 0.720112, 0.79578, 0.897453, 0.963229, 1.02223, 1.07685, 1.12981, 1.18262, 1.24976, 1.28749, 1.33184, 1.36861, 1.42076, 1.44999, 1.48339, 1.5502, 1.62023, 1.62072");
-            values ( \
-              "-0.034051, -0.040164, -0.065144, -0.0856503, -0.111879, -0.127522, -0.139818, -0.148387, -0.149915, -0.131658, -0.0839776, -0.0605554, -0.0400638, -0.0280582, -0.0165926, -0.0123282, -0.00871962, -0.00424435, -0.00197022, -0.00196481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.544312, 0.632889, 0.825018, 0.988446, 1.07792, 1.14794, 1.21566, 1.23475, 1.25766, 1.33295, 1.36858, 1.41609, 1.43789, 1.48148, 1.53868, 1.63278, 1.70541, 1.77819, 1.83672, 1.88837, 1.95725, 2.01724, 2.09754, 2.16757, 2.2376, 2.37765, 2.51771, 2.65777");
-            values ( \
-              "-0.033938, -0.041578, -0.0971131, -0.142714, -0.166262, -0.183381, -0.198194, -0.197604, -0.195805, -0.188464, -0.183994, -0.176302, -0.171836, -0.160003, -0.138798, -0.100927, -0.0758539, -0.0557046, -0.0428767, -0.0338355, -0.0244462, -0.0183065, -0.0123812, -0.00876634, -0.00617151, -0.00305227, -0.00150269, -0.000737057" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(A * !B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0280624, 0.0411954, 0.0684337, 0.125642, 0.246496, 0.502386", \
-            "0.0328895, 0.0460827, 0.0734761, 0.130824, 0.251766, 0.507714", \
-            "0.0459086, 0.0588489, 0.0861908, 0.143628, 0.264687, 0.520708", \
-            "0.0698178, 0.0887627, 0.118031, 0.175143, 0.295994, 0.552213", \
-            "0.107704, 0.137679, 0.184215, 0.252698, 0.372807, 0.628443", \
-            "0.173843, 0.217894, 0.290187, 0.399859, 0.558633, 0.815172" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0260712, 0.0447633, 0.0842625, 0.167787, 0.344654, 0.719817", \
-            "0.0260679, 0.0447563, 0.0842595, 0.167791, 0.344686, 0.719815", \
-            "0.0297549, 0.0457124, 0.0842544, 0.167785, 0.344658, 0.719767", \
-            "0.0470599, 0.0601566, 0.0904834, 0.167914, 0.344653, 0.719759", \
-            "0.0764066, 0.0977465, 0.130216, 0.190268, 0.347294, 0.719755", \
-            "0.124164, 0.158461, 0.211149, 0.287597, 0.412546, 0.733207" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0177625, 0.0196407, 0.0209022, 0.0232825, 0.0281941, 0.037426, 0.0396699, 0.050532, 0.0569067, 0.062054, 0.0687858, 0.0782227, 0.0868247, 0.0936478, 0.102055, 0.113533, 0.122139");
-            values ( \
-              "0.0221512, 0.123191, 0.122897, 0.120335, 0.113133, 0.0958911, 0.0898468, 0.0496209, 0.033679, 0.0245777, 0.0160197, 0.00866798, 0.00481943, 0.00304262, 0.0017545, 0.000844846, 0.000681482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0189118, 0.0201363, 0.0217739, 0.0324104, 0.04197, 0.0487509, 0.0554033, 0.0567051, 0.0735096, 0.0779081, 0.0826716, 0.0864719, 0.0955057, 0.103186, 0.108429, 0.115759, 0.121224, 0.127593, 0.137559, 0.149595, 0.168068, 0.182439, 0.207549, 0.240963");
-            values ( \
-              "0.119013, 0.15086, 0.151406, 0.141151, 0.130559, 0.121866, 0.11101, 0.108259, 0.0659564, 0.0566436, 0.0479799, 0.0415784, 0.0295767, 0.0218499, 0.0176438, 0.0130516, 0.0103793, 0.00790087, 0.00514693, 0.00310508, 0.0014742, 0.00082969, 0.000313223, 9.80319e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0189145, 0.021442, 0.0245438, 0.0297744, 0.0517448, 0.0674041, 0.0808297, 0.0864362, 0.0944288, 0.0992328, 0.123806, 0.133722, 0.140403, 0.152736, 0.163186, 0.177119, 0.183966, 0.189611, 0.197137, 0.209816, 0.224178, 0.231222, 0.24531, 0.263837, 0.284822, 0.30797, 0.335666, 0.371465");
-            values ( \
-              "0.107458, 0.169775, 0.168786, 0.165932, 0.152608, 0.142107, 0.131748, 0.126401, 0.117194, 0.110799, 0.0753252, 0.0627527, 0.0551231, 0.0428817, 0.034296, 0.0251178, 0.0214888, 0.0188687, 0.015843, 0.0117444, 0.00834593, 0.00705303, 0.00501211, 0.00318046, 0.0018888, 0.0010608, 0.000527318, 0.000211158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0202163, 0.0202363, 0.0596171, 0.0845042, 0.119227, 0.13581, 0.151408, 0.172236, 0.18755, 0.234816, 0.251269, 0.273206, 0.290094, 0.307485, 0.330674, 0.353163, 0.365784, 0.391026, 0.411766, 0.432745, 0.460718, 0.513645, 0.576158, 0.65631, 0.741422");
-            values ( \
-              "1e-22, 0.187306, 0.169033, 0.161192, 0.14924, 0.142742, 0.135582, 0.123428, 0.112621, 0.0772831, 0.0661986, 0.0530292, 0.0443043, 0.0365323, 0.0279464, 0.021389, 0.0183535, 0.0134184, 0.0103419, 0.00792998, 0.00552932, 0.00272074, 0.00115002, 0.000361451, 0.000102668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.02189, 0.02191, 0.105731, 0.157807, 0.221421, 0.254046, 0.298461, 0.331814, 0.372452, 0.47802, 0.504516, 0.557508, 0.61242, 0.656165, 0.682177, 0.723975, 0.761702, 0.814597, 0.877388, 0.96111, 1.04622, 1.13134, 1.38667");
-            values ( \
-              "1e-22, 0.196063, 0.173288, 0.165106, 0.154313, 0.148136, 0.138033, 0.128351, 0.114229, 0.0753288, 0.0664602, 0.0508174, 0.0376734, 0.02934, 0.0251623, 0.0196177, 0.015551, 0.0112013, 0.00754229, 0.0043509, 0.00250626, 0.00140641, 0.00027371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0265992, 0.0266192, 0.200639, 0.345337, 0.414146, 0.486502, 0.556685, 0.625986, 0.711098, 0.948902, 1.06876, 1.15387, 1.22197, 1.29233, 1.37744, 1.41347, 1.48554, 1.56115, 1.64627, 1.74451, 1.88488, 2.0551, 2.22533, 2.39555, 2.56578, 2.90623");
-            values ( \
-              "1e-22, 0.20352, 0.17578, 0.164563, 0.158882, 0.152457, 0.145412, 0.136975, 0.124156, 0.0817641, 0.0623167, 0.0504755, 0.0422636, 0.0349046, 0.027525, 0.0248136, 0.0201577, 0.0161038, 0.0125003, 0.00926764, 0.00602171, 0.00354701, 0.00208028, 0.00121591, 0.000708259, 0.000236548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.028817, 0.0394053, 0.040399, 0.0437748, 0.0473088, 0.054019, 0.0572722, 0.0649451, 0.0716116, 0.0762001, 0.0835223, 0.0884514, 0.0946251, 0.10239, 0.108719, 0.11687, 0.128419, 0.144058");
-            values ( \
-              "0.00801806, 0.116931, 0.117033, 0.112951, 0.107097, 0.0931381, 0.082718, 0.0524137, 0.0352687, 0.0265793, 0.0167839, 0.0121723, 0.00809828, 0.004765, 0.00314419, 0.00184257, 0.000881391, 0.000571639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0288542, 0.0400133, 0.0406797, 0.0420125, 0.0446543, 0.051539, 0.0642466, 0.0705931, 0.0728758, 0.0893671, 0.101032, 0.108052, 0.112893, 0.116701, 0.125077, 0.130586, 0.142169, 0.15447, 0.168104, 0.190596, 0.198843");
-            values ( \
-              "0.000834692, 0.147127, 0.147284, 0.146825, 0.144534, 0.137267, 0.121864, 0.111586, 0.106799, 0.0651611, 0.0430496, 0.0330865, 0.0274732, 0.0236216, 0.0168455, 0.0134138, 0.00821191, 0.00483856, 0.00274383, 0.00110268, 0.000825354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.028869, 0.041494, 0.0439571, 0.0484657, 0.06743, 0.0838521, 0.0916712, 0.0980468, 0.109191, 0.116793, 0.137991, 0.145466, 0.158059, 0.170818, 0.177794, 0.185767, 0.201726, 0.209049, 0.219288, 0.234086, 0.253076, 0.271396, 0.291563, 0.313643, 0.339103, 0.415951");
-            values ( \
-              "0.00458561, 0.167697, 0.166857, 0.164307, 0.152627, 0.141548, 0.135767, 0.130373, 0.118345, 0.108127, 0.0773469, 0.0675517, 0.0529846, 0.0407579, 0.0351194, 0.0294675, 0.0205056, 0.0173024, 0.0136206, 0.00956761, 0.0060634, 0.00387633, 0.00234841, 0.00135982, 0.00071326, 9.42183e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.036632, 0.036652, 0.0749493, 0.100329, 0.132097, 0.151476, 0.168383, 0.175984, 0.188837, 0.252194, 0.265255, 0.291378, 0.305931, 0.3219, 0.343192, 0.358717, 0.370025, 0.385103, 0.415257, 0.43519, 0.46575, 0.506496, 0.565896, 0.639621, 0.724733");
-            values ( \
-              "1e-22, 0.192985, 0.169213, 0.161168, 0.150315, 0.142802, 0.134986, 0.130861, 0.122907, 0.0761762, 0.0673846, 0.0516994, 0.0442705, 0.0370955, 0.0290473, 0.0241735, 0.0211123, 0.0175788, 0.0120526, 0.00937969, 0.00635299, 0.00372317, 0.00165353, 0.000583568, 0.000164674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0391551, 0.0391751, 0.123327, 0.21126, 0.259346, 0.290985, 0.325396, 0.374287, 0.482775, 0.568741, 0.64293, 0.704426, 0.732176, 0.787677, 0.831587, 0.879939, 0.944408, 1.02952, 1.11463, 1.16922");
-            values ( \
-              "1e-22, 0.202011, 0.173072, 0.158838, 0.150177, 0.143637, 0.135014, 0.119374, 0.0791542, 0.0520081, 0.034701, 0.0242405, 0.0205026, 0.0145977, 0.0110782, 0.0081716, 0.00541684, 0.00308371, 0.00177287, 0.00138996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.0420291, 0.0420491, 0.20275, 0.376127, 0.489421, 0.525772, 0.580783, 0.64188, 0.726993, 0.773586, 0.964773, 1.01671, 1.08463, 1.16974, 1.23784, 1.3082, 1.39332, 1.42934, 1.5014, 1.57702, 1.66213, 1.71893, 1.76037, 1.81563, 1.90074, 1.98585, 2.07096, 2.24119, 2.41141, 2.58164, 2.92209");
-            values ( \
-              "1e-22, 0.20375, 0.176789, 0.163366, 0.153653, 0.150216, 0.144504, 0.136972, 0.124152, 0.116146, 0.0817657, 0.0730252, 0.0623185, 0.0504744, 0.042262, 0.0349066, 0.0275233, 0.0248159, 0.0201561, 0.0161062, 0.0124985, 0.0105103, 0.00927035, 0.00784751, 0.00602444, 0.00464298, 0.0035497, 0.00208297, 0.00121862, 0.00071099, 0.000239347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0552142, 0.0597126, 0.0717949, 0.0807144, 0.0854064, 0.0874425, 0.0880289, 0.0892016, 0.090454, 0.0920224, 0.0937226, 0.10434, 0.109541, 0.114428, 0.12034, 0.126465, 0.133241, 0.137889, 0.140955, 0.147563, 0.155797, 0.162675, 0.16838, 0.191649, 0.194013");
-            values ( \
-              "0.0108099, 0.0237977, 0.0565326, 0.0783074, 0.0872778, 0.0901441, 0.0943246, 0.0978009, 0.0971528, 0.0942762, 0.0898063, 0.0501491, 0.0366806, 0.0271239, 0.0187846, 0.0125961, 0.00813069, 0.0059352, 0.0048194, 0.00309478, 0.00179877, 0.00116644, 0.00081154, 0.000273197, 0.000264352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.0578349, 0.0632526, 0.0742179, 0.0873964, 0.0880525, 0.0893646, 0.0906065, 0.0922212, 0.0947578, 0.102522, 0.109602, 0.114617, 0.127628, 0.135312, 0.139493, 0.14631, 0.15432, 0.160734, 0.168501, 0.173759, 0.180234, 0.184658, 0.193035, 0.199928, 0.208413, 0.2188, 0.24499, 0.272053, 0.316656");
-            values ( \
-              "0.020073, 0.0408819, 0.0795206, 0.122311, 0.128317, 0.13447, 0.135185, 0.134278, 0.131684, 0.12183, 0.109973, 0.0985792, 0.0651511, 0.0498405, 0.0427764, 0.0331269, 0.0242539, 0.0187166, 0.0135977, 0.0109166, 0.0082671, 0.0068331, 0.00478739, 0.00358051, 0.00253731, 0.00165804, 0.000573528, 0.000199969, 4.41026e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0578804, 0.0678241, 0.0874106, 0.0889557, 0.0908759, 0.0926576, 0.0955065, 0.105623, 0.115968, 0.12487, 0.129863, 0.136204, 0.14335, 0.147743, 0.154956, 0.175361, 0.183943, 0.195059, 0.20117, 0.20883, 0.21688, 0.225479, 0.23669, 0.239926, 0.246398, 0.256928, 0.271945, 0.281522, 0.287454, 0.299317, 0.318603, 0.33992, 0.364448, 0.393171, 0.431452");
-            values ( \
-              "0.0066779, 0.0637574, 0.144097, 0.157464, 0.160913, 0.160597, 0.158929, 0.152558, 0.145728, 0.139512, 0.13577, 0.130404, 0.123148, 0.117907, 0.108185, 0.078474, 0.0671863, 0.0542669, 0.0480228, 0.0409144, 0.0344524, 0.0284783, 0.0220891, 0.0205037, 0.0176629, 0.0137986, 0.00965386, 0.00767712, 0.00666719, 0.00499521, 0.00311245, 0.00182523, 0.00099307, 0.000474078, 0.000181499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0633189, 0.0734913, 0.0874311, 0.0890037, 0.09081, 0.0927064, 0.131742, 0.170472, 0.187322, 0.206334, 0.21429, 0.227848, 0.290482, 0.303511, 0.32957, 0.344228, 0.360312, 0.381759, 0.397075, 0.408228, 0.4231, 0.452842, 0.468176, 0.483942, 0.504964, 0.547007, 0.607352, 0.682638, 0.767751");
-            values ( \
-              "0.0530291, 0.093026, 0.156557, 0.171301, 0.175402, 0.175752, 0.163402, 0.150301, 0.143825, 0.135173, 0.130865, 0.122445, 0.076188, 0.0674215, 0.0517609, 0.0442746, 0.0370502, 0.0289603, 0.0241564, 0.0211363, 0.017646, 0.0121615, 0.0100375, 0.0082222, 0.0062774, 0.00361482, 0.00157989, 0.000544663, 0.000151861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0723177, 0.0883784, 0.0900011, 0.0913775, 0.0941305, 0.180462, 0.266223, 0.308398, 0.347224, 0.363951, 0.392664, 0.531101, 0.556267, 0.6066, 0.650226, 0.679266, 0.728518, 0.771843, 0.82961, 0.8712, 0.917385, 0.978966, 1.06408, 1.14919, 1.2343, 1.31941, 1.48964");
-            values ( \
-              "0.159833, 0.172503, 0.181691, 0.183138, 0.183596, 0.170202, 0.155991, 0.148115, 0.139447, 0.134984, 0.126235, 0.075756, 0.0673255, 0.052235, 0.0413279, 0.0351331, 0.0263817, 0.0203733, 0.0142813, 0.011011, 0.00823485, 0.00555245, 0.00317288, 0.00181337, 0.00102746, 0.000587647, 0.000190999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.072251, 0.0919037, 0.300932, 0.436654, 0.496533, 0.564314, 0.638287, 0.680458, 0.764802, 0.812106, 1.00331, 1.05526, 1.12317, 1.20828, 1.27633, 1.3467, 1.43181, 1.46786, 1.53996, 1.61557, 1.70068, 1.79888, 1.8541, 1.93922, 2.02433, 2.10944, 2.27967, 2.44989, 2.62011, 2.96056");
-            values ( \
-              "0.152477, 0.187739, 0.172323, 0.161557, 0.156461, 0.150226, 0.142314, 0.136969, 0.124266, 0.116151, 0.0817676, 0.0730176, 0.0623193, 0.0504692, 0.0422635, 0.0349117, 0.0275226, 0.0248187, 0.0201514, 0.0161076, 0.0124952, 0.00927286, 0.00784673, 0.00602718, 0.00464182, 0.00355203, 0.00208501, 0.00122047, 0.000712706, 0.000240876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.10517, 0.123408, 0.155426, 0.167612, 0.174938, 0.181815, 0.188698, 0.19971, 0.210285, 0.21205, 0.224157, 0.235411, 0.247362, 0.26186");
-            values ( \
-              "0.00247479, 0.0119897, 0.0415507, 0.0509396, 0.0552028, 0.0577988, 0.0549226, 0.0341529, 0.0199693, 0.0216498, 0.0105267, 0.00495876, 0.00225497, 0.00106195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.105185, 0.127081, 0.132488, 0.162459, 0.174469, 0.18349, 0.187069, 0.193897, 0.19801, 0.202641, 0.208618, 0.210301, 0.211713, 0.213249, 0.222084, 0.227996, 0.2324, 0.240239, 0.249405, 0.254795, 0.262182, 0.26781, 0.273906, 0.282189, 0.287054, 0.295383, 0.30502, 0.317741, 0.343003, 0.395902");
-            values ( \
-              "0.000683137, 0.0194607, 0.0253946, 0.0617841, 0.075504, 0.0847223, 0.0879042, 0.092841, 0.0947321, 0.0949612, 0.089957, 0.0869606, 0.0873399, 0.0850553, 0.0641462, 0.0521274, 0.0444283, 0.0331567, 0.0231749, 0.01865, 0.0137591, 0.0108585, 0.00835661, 0.00587438, 0.0047866, 0.00338432, 0.00227684, 0.00134714, 0.000482055, 7.1426e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.116481, 0.132323, 0.140775, 0.181527, 0.197211, 0.201969, 0.210332, 0.211389, 0.212771, 0.214859, 0.22397, 0.229915, 0.236681, 0.244175, 0.268726, 0.277123, 0.28448, 0.295601, 0.305174, 0.316182, 0.329268, 0.341075, 0.351104, 0.365714, 0.371829, 0.384059, 0.40211, 0.42273, 0.445641, 0.472408, 0.506778, 0.554794");
-            values ( \
-              "0.0151365, 0.0290551, 0.0401528, 0.100398, 0.122065, 0.127914, 0.13704, 0.14101, 0.142375, 0.141855, 0.135158, 0.130036, 0.123132, 0.113922, 0.0784312, 0.0673855, 0.0586047, 0.0469353, 0.0384012, 0.0302064, 0.0224581, 0.0171113, 0.0135322, 0.00954816, 0.00825462, 0.00614251, 0.0039454, 0.00236418, 0.00133321, 0.000678195, 0.000280337, 7.69308e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.116529, 0.148696, 0.214346, 0.2282, 0.266226, 0.29361, 0.307264, 0.32473, 0.376871, 0.412403, 0.437513, 0.464344, 0.487228, 0.508279, 0.536347, 0.557623, 0.581145, 0.612507, 0.667795, 0.734075, 0.819187, 0.904299");
-            values ( \
-              "0.008517, 0.0551096, 0.166555, 0.162308, 0.149337, 0.138023, 0.130905, 0.11982, 0.0809018, 0.0576056, 0.0441965, 0.0327118, 0.0250054, 0.0194145, 0.0137125, 0.0104937, 0.00778964, 0.00519417, 0.00246353, 0.000982895, 0.000279526, 8.15389e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.134336, 0.157646, 0.210172, 0.211567, 0.214299, 0.269274, 0.353848, 0.401004, 0.440674, 0.485293, 0.519482, 0.623705, 0.648871, 0.699204, 0.728307, 0.771862, 0.821116, 0.864443, 0.922212, 0.963796, 1.00997, 1.07154, 1.15666, 1.24177, 1.32688, 1.41199, 1.58222");
-            values ( \
-              "0.0625022, 0.0726941, 0.170899, 0.176684, 0.179013, 0.170816, 0.156869, 0.148111, 0.139243, 0.126236, 0.11422, 0.0757601, 0.0673239, 0.0522385, 0.0447491, 0.0351322, 0.0263853, 0.0203763, 0.0142787, 0.011014, 0.00823814, 0.00555059, 0.00317595, 0.00181098, 0.00103023, 0.000585091, 0.000188392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.151903, 0.192023, 0.211957, 0.218209, 0.221555, 0.227069, 0.231512, 0.236086, 0.240046, 0.244079, 0.248392, 0.254866, 0.35064, 0.549823, 0.65752, 0.732399, 0.77367, 0.856211, 1.09653, 1.21642, 1.30153, 1.36944, 1.43985, 1.56106, 1.63327, 1.70883, 1.79395, 1.89204, 2.03231, 2.20254, 2.37276, 2.6281, 3.05366");
-            values ( \
-              "0.118027, 0.139689, 0.183724, 0.185532, 0.184786, 0.184906, 0.184043, 0.184184, 0.183438, 0.183612, 0.18293, 0.182888, 0.175721, 0.159898, 0.150234, 0.142206, 0.136969, 0.124561, 0.0817647, 0.0623138, 0.0504628, 0.042273, 0.034918, 0.0248197, 0.0201446, 0.0161052, 0.0124921, 0.00927455, 0.00602978, 0.00355382, 0.00208631, 0.000943453, 0.000241541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.175501, 0.239256, 0.255141, 0.267134, 0.277414, 0.284489, 0.292574, 0.319018, 0.329699, 0.345114, 0.36075, 0.372273, 0.383494, 0.394707, 0.404724, 0.416356, 0.428254, 0.438412, 0.44369, 0.453248, 0.462495, 0.469094, 0.482691, 0.489442, 0.505879, 0.50928, 0.513723, 0.51765, 0.520204");
-            values ( \
-              "0.000286786, 0.00135917, 0.00321194, 0.00491408, 0.00695867, 0.00887789, 0.0117124, 0.0220887, 0.0254459, 0.0295466, 0.0328231, 0.0344972, 0.0348423, 0.0306031, 0.0215457, 0.013737, 0.00827611, 0.00512688, 0.00394634, 0.00244589, 0.00154187, 0.00115946, 0.000644369, 0.000451828, 0.000231778, 0.00144535, 0.00161986, 0.00109275, 0.000960149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.25736, 0.288045, 0.296263, 0.300373, 0.304482, 0.310109, 0.312923, 0.321364, 0.329303, 0.337242, 0.344005, 0.350794, 0.357645, 0.375056, 0.38062, 0.386602, 0.390729, 0.39455, 0.398371, 0.403549, 0.405275, 0.407072, 0.40887, 0.410668, 0.412466, 0.414207, 0.415948, 0.419429, 0.421203, 0.422976, 0.426524, 0.43034, 0.434545, 0.440859, 0.4455, 0.449142, 0.454747, 0.460654, 0.464681, 0.468987, 0.473851, 0.480638, 0.484629, 0.490175, 0.494879, 0.499706, 0.504626, 0.505874, 0.508372, 0.510289");
-            values ( \
-              "0.0127935, 0.0140849, 0.0174827, 0.0193329, 0.021284, 0.0242499, 0.0255853, 0.0294867, 0.0330125, 0.036399, 0.0391185, 0.0417937, 0.0443658, 0.0504765, 0.0522412, 0.0539812, 0.0550865, 0.0560268, 0.0569056, 0.0579982, 0.0583373, 0.0584114, 0.0584194, 0.0583614, 0.0582374, 0.0580544, 0.0578095, 0.057134, 0.0564359, 0.0556087, 0.0535669, 0.0506348, 0.0470791, 0.0407286, 0.0363535, 0.0331513, 0.0285524, 0.0240175, 0.0212109, 0.0185512, 0.0158669, 0.0125389, 0.0108183, 0.00882899, 0.00746461, 0.00626637, 0.0052263, 0.00615067, 0.00629564, 0.00627998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.238453, 0.274459, 0.279849, 0.290627, 0.303804, 0.331112, 0.366881, 0.385056, 0.406459, 0.424822, 0.437023, 0.443069, 0.449724, 0.45475, 0.458687, 0.463937, 0.469347, 0.475016, 0.481896, 0.505668, 0.510303, 0.528043, 0.536152, 0.544722, 0.559081, 0.565465, 0.575957, 0.590889, 0.606288, 0.618066, 0.641365, 0.667707, 0.700044, 0.739843");
-            values ( \
-              "0.00731081, 0.0121346, 0.0139425, 0.018181, 0.0245013, 0.0396019, 0.0581595, 0.0672551, 0.0773995, 0.0852405, 0.0895503, 0.0913005, 0.0928502, 0.0935893, 0.0937943, 0.0933377, 0.0917338, 0.088709, 0.0833735, 0.0603339, 0.0580321, 0.0409707, 0.0344597, 0.0285259, 0.0206075, 0.0177861, 0.0139034, 0.00972458, 0.00671405, 0.00503706, 0.00280912, 0.00143937, 0.000623975, 0.000217653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.25294, 0.315458, 0.353222, 0.431009, 0.452618, 0.478134, 0.490149, 0.505677, 0.507426, 0.509104, 0.512059, 0.524543, 0.546472, 0.609297, 0.631505, 0.655247, 0.678187, 0.693828, 0.714038, 0.730152, 0.75056, 0.77923, 0.792058, 0.809497, 0.832749, 0.879253, 0.95237, 1.03748, 1.12259");
-            values ( \
-              "0.0101081, 0.0336478, 0.0562305, 0.104298, 0.116864, 0.130091, 0.135228, 0.140291, 0.142191, 0.142172, 0.141066, 0.135189, 0.122195, 0.075817, 0.0612675, 0.0478799, 0.0372292, 0.0311267, 0.0245365, 0.0202185, 0.0157337, 0.0109751, 0.0093367, 0.0074827, 0.00554575, 0.00299347, 0.00106413, 0.000307159, 8.4992e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.282011, 0.328386, 0.393878, 0.487999, 0.50569, 0.510011, 0.515206, 0.598869, 0.659442, 0.681152, 0.72457, 0.836204, 0.911998, 0.981626, 1.03011, 1.07122, 1.13236, 1.18563, 1.24962, 1.33473, 1.41984, 1.50495, 1.54252");
-            values ( \
-              "0.0408214, 0.043244, 0.0867347, 0.153725, 0.165215, 0.167411, 0.167646, 0.153256, 0.140682, 0.135, 0.121284, 0.0799707, 0.0555094, 0.0381741, 0.0289309, 0.0226746, 0.0156358, 0.0112293, 0.0075015, 0.00429294, 0.00246521, 0.00139123, 0.00122537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.315024, 0.380427, 0.508131, 0.512394, 0.773957, 0.881698, 0.952504, 0.997827, 1.08294, 1.32067, 1.44052, 1.52563, 1.59373, 1.66409, 1.78523, 1.85728, 1.93289, 2.018, 2.11624, 2.2566, 2.42683, 2.59705, 2.9375, 3.27795");
-            values ( \
-              "0.077788, 0.0791274, 0.179832, 0.180243, 0.159905, 0.150234, 0.142686, 0.136971, 0.124141, 0.0817715, 0.0623239, 0.0504724, 0.0422599, 0.0349105, 0.0248195, 0.0201545, 0.0161098, 0.0124965, 0.00927311, 0.00602693, 0.00355193, 0.00208501, 0.000712797, 0.000240995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.501078, 0.580916, 0.608201, 0.631725, 0.638466, 0.647454, 0.66543, 0.674147, 0.724156, 0.739659, 0.761793, 0.781748, 0.80051, 0.81878, 0.837031, 0.847627, 0.865021, 0.880147, 0.886663, 0.898449, 0.906367, 0.913487, 0.922753, 0.931454, 0.940904, 0.951218, 0.960074, 0.979443, 1.00512, 1.05345, 1.21587, 1.23068, 1.25658");
-            values ( \
-              "0.000568129, 0.00138024, 0.00214214, 0.00311733, 0.0035096, 0.00413292, 0.00581146, 0.0069627, 0.0144803, 0.0164079, 0.0187305, 0.0202273, 0.0211746, 0.0214334, 0.0203395, 0.0171121, 0.010757, 0.00676404, 0.00549086, 0.00365563, 0.0027628, 0.00214934, 0.00155782, 0.001138, 0.000798217, 0.000525749, 0.000359749, 0.000163671, 6.82285e-05, 3.30888e-05, 8.33351e-06, 0.000401065, 8.49938e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.501131, 0.590092, 0.60832, 0.629384, 0.647056, 0.682402, 0.731177, 0.753418, 0.771909, 0.80025, 0.827182, 0.853012, 0.870323, 0.879193, 0.887805, 0.897006, 0.934669, 0.956333, 0.965139, 0.983897, 1.00406, 1.01926, 1.04013, 1.06618, 1.10052, 1.21599, 1.2257, 1.24464, 1.2607");
-            values ( \
-              "0.00097155, 0.00273795, 0.0036218, 0.00490876, 0.00638814, 0.0110121, 0.0206924, 0.0245659, 0.0272903, 0.0309354, 0.0334621, 0.0351922, 0.035728, 0.035579, 0.0347675, 0.032711, 0.0172484, 0.0104926, 0.00838543, 0.00504045, 0.00280477, 0.00175964, 0.000898277, 0.000391348, 0.000138215, 3.63841e-05, 0.000746592, 0.000475185, 8.07499e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.500977, 0.618884, 0.640894, 0.667323, 0.683392, 0.701756, 0.760044, 0.807654, 0.82585, 0.868157, 0.898057, 0.929779, 0.948013, 0.954194, 0.960331, 0.96942, 0.978764, 0.992603, 1.04204, 1.06451, 1.08624, 1.099, 1.11693, 1.14023, 1.1713, 1.21578, 1.23078, 1.28051, 1.32821");
-            values ( \
-              "0.000633194, 0.00642191, 0.00847295, 0.011788, 0.0144174, 0.0180704, 0.0311618, 0.0401201, 0.0430922, 0.0495705, 0.0534175, 0.0565885, 0.057551, 0.0575547, 0.0574034, 0.056453, 0.0545044, 0.0496488, 0.0276482, 0.0193778, 0.0132151, 0.0103867, 0.00727342, 0.00448703, 0.0022428, 0.000756557, 0.00149145, 0.000378162, 0.000184759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.501062, 0.637057, 0.651703, 0.680994, 0.706986, 0.769912, 0.817649, 0.874722, 0.931337, 0.970549, 0.995261, 1.02986, 1.05016, 1.08849, 1.09847, 1.11178, 1.13792, 1.17712, 1.2159, 1.22201, 1.23763, 1.26126, 1.27766, 1.31046, 1.33297, 1.34541, 1.37031, 1.42009, 1.50174, 1.58686");
-            values ( \
-              "0.000215073, 0.0107074, 0.0125562, 0.0170681, 0.0221133, 0.0370734, 0.047797, 0.0600523, 0.0716716, 0.0790154, 0.0831698, 0.0879584, 0.0898058, 0.0884749, 0.0862249, 0.0820269, 0.0714581, 0.0535952, 0.0373559, 0.0361774, 0.0304297, 0.0230339, 0.0189037, 0.0125217, 0.00943411, 0.00805709, 0.00584686, 0.00300973, 0.000921682, 0.000265173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.608337, 0.726676, 0.845784, 1.00141, 1.0689, 1.10495, 1.15304, 1.16668, 1.19396, 1.21601, 1.22502, 1.24247, 1.25887, 1.38015, 1.40566, 1.45668, 1.48908, 1.52448, 1.57168, 1.59722, 1.64044, 1.67777, 1.73024, 1.75076, 1.79179, 1.87386, 1.95897, 2.04409, 2.1292, 2.29942");
-            values ( \
-              "0.016735, 0.0288964, 0.0582938, 0.0981773, 0.114461, 0.122274, 0.130935, 0.132863, 0.135557, 0.135997, 0.134867, 0.129964, 0.124467, 0.0799659, 0.0711842, 0.055282, 0.0466147, 0.0383836, 0.029312, 0.0252036, 0.0194761, 0.0154815, 0.0111717, 0.00980785, 0.00757888, 0.00443052, 0.00254374, 0.00143597, 0.000828518, 0.000272088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.651234, 0.787085, 0.897581, 1.14794, 1.22065, 1.22828, 1.30913, 1.40074, 1.4676, 1.53911, 1.62423, 1.91394, 1.98185, 2.08966, 2.20541, 2.29052, 2.3986, 2.47421, 2.61611, 2.7128, 2.88302, 3.05325, 3.22347, 3.47881, 3.81926");
-            values ( \
-              "0.0312333, 0.0452435, 0.0751142, 0.148591, 0.167293, 0.167148, 0.160448, 0.152357, 0.145655, 0.136981, 0.12416, 0.0730292, 0.0623272, 0.0475919, 0.0349123, 0.0275223, 0.0201551, 0.01611, 0.0105137, 0.00784649, 0.0046416, 0.00273395, 0.00160681, 0.000712617, 0.000240789" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(!A * B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0246388, 0.0353311, 0.0574855, 0.104102, 0.202781, 0.412", \
-            "0.0281423, 0.0389917, 0.0613244, 0.108067, 0.206817, 0.416066", \
-            "0.0365685, 0.0483846, 0.0708491, 0.117768, 0.216649, 0.425984", \
-            "0.0460752, 0.064735, 0.0938537, 0.141705, 0.240698, 0.450138", \
-            "0.0512409, 0.0793932, 0.1246, 0.193651, 0.298897, 0.508252", \
-            "0.0396491, 0.0810953, 0.148866, 0.255017, 0.414457, 0.649975" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0190607, 0.0327687, 0.0619377, 0.123887, 0.254941, 0.533154", \
-            "0.0190777, 0.0327697, 0.0619514, 0.123886, 0.254941, 0.533306", \
-            "0.0243447, 0.0351955, 0.0621063, 0.123756, 0.254885, 0.533154", \
-            "0.0395112, 0.0521074, 0.0736795, 0.126657, 0.254939, 0.533171", \
-            "0.0638805, 0.0836204, 0.114685, 0.162672, 0.267706, 0.533176", \
-            "0.106508, 0.135292, 0.182515, 0.255665, 0.365303, 0.577167" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0172067, 0.0182778, 0.0202743, 0.0262884, 0.032132, 0.0352811, 0.0376635, 0.0403372, 0.0496444, 0.0535289, 0.0577067, 0.0612768, 0.0673628, 0.0697915");
-            values ( \
-              "-0.0103763, -0.135835, -0.136545, -0.134497, -0.128827, -0.122215, -0.114576, -0.102312, -0.0418075, -0.0258318, -0.0149112, -0.0091821, -0.00387282, -0.00311776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0179354, 0.0190421, 0.0253302, 0.0377269, 0.0441054, 0.0481013, 0.051616, 0.0548826, 0.0582927, 0.065931, 0.0721498, 0.078466, 0.0859125, 0.0909361, 0.0990193, 0.109797, 0.123024, 0.135785");
-            values ( \
-              "-0.127839, -0.171082, -0.169508, -0.162764, -0.156624, -0.150632, -0.143324, -0.134284, -0.120518, -0.0810475, -0.0541945, -0.0344893, -0.0194996, -0.0131158, -0.00680644, -0.00271138, -0.000766574, -0.000305608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0179029, 0.0201216, 0.0387607, 0.0569813, 0.0646127, 0.070742, 0.0802653, 0.0859352, 0.0945408, 0.110985, 0.123199, 0.135335, 0.141703, 0.150194, 0.156242, 0.165133, 0.176989, 0.200699, 0.229785");
-            values ( \
-              "-0.122006, -0.194273, -0.189189, -0.181664, -0.177288, -0.172758, -0.162897, -0.154468, -0.134544, -0.0842592, -0.0547943, -0.0343182, -0.0265931, -0.0187289, -0.0145583, -0.010004, -0.0059837, -0.00195875, -0.000461613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0184596, 0.0190172, 0.062178, 0.0921744, 0.111658, 0.12518, 0.142186, 0.153467, 0.175502, 0.196655, 0.224308, 0.246756, 0.269363, 0.286631, 0.304555, 0.31828, 0.345731, 0.378432");
-            values ( \
-              "-0.207698, -0.208212, -0.200622, -0.193539, -0.187595, -0.182269, -0.173057, -0.164238, -0.135338, -0.100113, -0.0622437, -0.0406255, -0.025841, -0.0180623, -0.0124168, -0.00927578, -0.00508407, -0.00279717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0184098, 0.018909, 0.131014, 0.201402, 0.227989, 0.251976, 0.294112, 0.310248, 0.327275, 0.38895, 0.415293, 0.442291, 0.491402, 0.516222, 0.539876, 0.586128, 0.612577, 0.665475, 0.735514, 0.875591");
-            values ( \
-              "-0.214745, -0.215262, -0.204193, -0.194627, -0.189829, -0.184315, -0.170458, -0.162122, -0.150717, -0.0999876, -0.0807317, -0.0639104, -0.0405406, -0.0319254, -0.0252869, -0.015862, -0.0121042, -0.00692992, -0.00323945, -0.000699264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0184362, 0.0189004, 0.243694, 0.335543, 0.39796, 0.4621, 0.506849, 0.576888, 0.599801, 0.645629, 0.700817, 0.793868, 0.852685, 0.896587, 0.955123, 1.00179, 1.03868, 1.08788, 1.15792, 1.205, 1.27075, 1.34079, 1.41083, 1.55091, 1.69098, 1.83106");
-            values ( \
-              "-0.218227, -0.218733, -0.207704, -0.202095, -0.197474, -0.191848, -0.187067, -0.176478, -0.171873, -0.159251, -0.138746, -0.101282, -0.0804329, -0.0670924, -0.0521665, -0.0422155, -0.0356537, -0.0284353, -0.020239, -0.0161159, -0.0116799, -0.00835066, -0.00579214, -0.00283829, -0.00137179, -0.000647392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0262362, 0.0363204, 0.0370759, 0.0377478, 0.0387796, 0.0401553, 0.041755, 0.0432991, 0.0462258, 0.0478598, 0.0493731, 0.0518085, 0.052704, 0.054431, 0.0595342, 0.0615957, 0.0637022, 0.0651905, 0.0676183, 0.0689172, 0.0718789, 0.0753193, 0.0772723, 0.0811494, 0.0853024, 0.0901989, 0.102889");
-            values ( \
-              "-0.00599568, -0.117356, -0.130784, -0.133434, -0.134823, -0.134618, -0.133558, -0.132273, -0.128858, -0.125836, -0.122222, -0.11438, -0.110916, -0.102304, -0.0667503, -0.0535006, -0.0419829, -0.0350717, -0.0258443, -0.0218472, -0.0147531, -0.00924642, -0.00704617, -0.00405145, -0.00220509, -0.00106434, -0.000144105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0260052, 0.0387675, 0.0398563, 0.0470098, 0.0554476, 0.0593628, 0.0615939, 0.0661093, 0.0687339, 0.0713651, 0.0790884, 0.0858908, 0.0899031, 0.0930425, 0.0978428, 0.104869, 0.109178, 0.114102, 0.123951, 0.137088, 0.149602");
-            values ( \
-              "-0.00173737, -0.169143, -0.169288, -0.166046, -0.159795, -0.155351, -0.151979, -0.142894, -0.135713, -0.12603, -0.0867935, -0.0562679, -0.0424948, -0.0337749, -0.0235086, -0.0135204, -0.00958978, -0.00642243, -0.00275759, -0.0008308, -0.000290133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0265113, 0.0392273, 0.0580294, 0.0741423, 0.083009, 0.0939178, 0.1004, 0.109682, 0.134801, 0.146765, 0.160895, 0.170887, 0.189446, 0.200631");
-            values ( \
-              "-0.00352501, -0.193117, -0.187505, -0.180243, -0.174515, -0.163922, -0.154453, -0.132624, -0.0608886, -0.0387085, -0.0219069, -0.0144552, -0.00650988, -0.00445409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0292874, 0.0366015, 0.0379283, 0.0397193, 0.0760721, 0.11219, 0.126285, 0.140128, 0.156817, 0.168094, 0.190129, 0.211282, 0.237527, 0.263072, 0.2841, 0.308943, 0.323217, 0.348934, 0.383417, 0.390283");
-            values ( \
-              "-0.0132043, -0.190073, -0.205092, -0.207409, -0.200895, -0.192114, -0.187669, -0.182052, -0.172983, -0.164311, -0.135264, -0.100189, -0.0639157, -0.039276, -0.025773, -0.015363, -0.0114313, -0.00647612, -0.00304478, -0.00274275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0321252, 0.0376117, 0.0406711, 0.0835642, 0.145934, 0.216195, 0.243161, 0.266896, 0.309037, 0.325134, 0.342177, 0.403904, 0.43022, 0.457194, 0.506309, 0.531196, 0.554832, 0.601044, 0.627454, 0.680273, 0.750312, 0.82035, 0.890389");
-            values ( \
-              "-0.142412, -0.208749, -0.214566, -0.210769, -0.204149, -0.194604, -0.189735, -0.184359, -0.170413, -0.162142, -0.150731, -0.0999606, -0.0807268, -0.0639201, -0.0405457, -0.0319088, -0.0252781, -0.0158626, -0.0121098, -0.00693919, -0.00324394, -0.00150369, -0.000700295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.0320634, 0.037512, 0.0407936, 0.22126, 0.350364, 0.43439, 0.504429, 0.556244, 0.614548, 0.684587, 0.778, 0.841735, 0.923322, 0.978736, 1.02974, 1.08926, 1.15929, 1.21903, 1.30744, 1.37748, 1.44752, 1.51755, 1.65763, 1.86775");
-            values ( \
-              "-0.145069, -0.212724, -0.218326, -0.209695, -0.202027, -0.195755, -0.189041, -0.182428, -0.171809, -0.150987, -0.113201, -0.0892596, -0.0639159, -0.0501435, -0.0398456, -0.0302502, -0.0217028, -0.0162784, -0.010567, -0.00742583, -0.00526883, -0.00367136, -0.0018022, -0.000650906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0545096, 0.0613843, 0.0650481, 0.0683766, 0.0717051, 0.0721389, 0.0747415, 0.075581, 0.0764204, 0.0803349, 0.0811697, 0.0820045, 0.0825611, 0.0831177, 0.0836742, 0.0842149, 0.0847556, 0.0851161, 0.0854766, 0.085837, 0.0861975, 0.0865223, 0.0868471, 0.0871719, 0.0874966, 0.0877134, 0.0880386, 0.0883638, 0.0885806, 0.0890142, 0.0894943, 0.0899744, 0.0909725, 0.0916047, 0.0934012, 0.0941693, 0.0949374, 0.0963789, 0.0973507, 0.0980877, 0.0995268, 0.100811, 0.101936, 0.103119, 0.104054, 0.104916, 0.106098, 0.107704, 0.108542, 0.109448");
-            values ( \
-              "-0.0548427, -0.0583393, -0.068234, -0.0765526, -0.0850578, -0.0859741, -0.0920647, -0.0939243, -0.0957177, -0.10324, -0.104476, -0.105467, -0.105992, -0.106408, -0.106714, -0.106652, -0.106485, -0.106141, -0.105635, -0.104966, -0.104134, -0.104124, -0.104306, -0.104679, -0.105244, -0.106017, -0.105678, -0.105122, -0.10463, -0.103356, -0.101163, -0.0986775, -0.0925004, -0.088167, -0.074752, -0.0693699, -0.0641926, -0.0550698, -0.0491901, -0.0450553, -0.0380166, -0.0324974, -0.0281567, -0.0241206, -0.0213356, -0.0190648, -0.0163143, -0.0131606, -0.0116834, -0.0102142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.0535718, 0.0578507, 0.0616085, 0.0701497, 0.0797425, 0.0874471, 0.0881857, 0.0892632, 0.0919248, 0.0964986, 0.101008, 0.103756, 0.106918, 0.116919, 0.12052, 0.126077, 0.13178, 0.135873, 0.139153, 0.142493, 0.146946, 0.155852, 0.168755, 0.181398");
-            values ( \
-              "-0.0196569, -0.0574788, -0.0740513, -0.102303, -0.131802, -0.152514, -0.157323, -0.160189, -0.158316, -0.152012, -0.142965, -0.135409, -0.123281, -0.0727043, -0.0574359, -0.0388164, -0.0253663, -0.0184612, -0.0142585, -0.010936, -0.0076264, -0.00358902, -0.00111182, -0.000370405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0535231, 0.0615107, 0.0714096, 0.0873959, 0.0882414, 0.0895994, 0.0930759, 0.104654, 0.111419, 0.11811, 0.122587, 0.128759, 0.135442, 0.144743, 0.158252, 0.169853, 0.174994, 0.181809, 0.187855, 0.195917, 0.205923, 0.212118, 0.224507, 0.248287, 0.275975");
-            values ( \
-              "-0.00532192, -0.0824685, -0.121743, -0.178291, -0.184497, -0.188613, -0.187627, -0.182591, -0.178973, -0.174472, -0.170701, -0.164163, -0.154455, -0.13257, -0.090708, -0.0608702, -0.0503165, -0.0387098, -0.0304765, -0.0219248, -0.0144578, -0.0111367, -0.00650321, -0.00212563, -0.000545422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0535042, 0.0661889, 0.0873782, 0.0889185, 0.089717, 0.0913139, 0.1111, 0.132356, 0.148932, 0.161423, 0.167831, 0.181683, 0.200208, 0.209513, 0.22192, 0.241014, 0.257993, 0.273172, 0.283205, 0.296191, 0.307191, 0.319277, 0.336428, 0.343911, 0.358878, 0.388811, 0.437125, 0.494558");
-            values ( \
-              "-0.00807884, -0.109172, -0.193141, -0.20331, -0.204144, -0.204562, -0.200805, -0.196017, -0.191585, -0.187597, -0.185237, -0.179052, -0.16694, -0.157791, -0.140653, -0.108714, -0.0825469, -0.0631442, -0.0524139, -0.0407903, -0.0328222, -0.0257101, -0.0180034, -0.0154123, -0.011223, -0.00582293, -0.00184307, -0.000445092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0635896, 0.0829792, 0.0884754, 0.0902212, 0.0917734, 0.135816, 0.185885, 0.233317, 0.260474, 0.300851, 0.310356, 0.321218, 0.342944, 0.353746, 0.368149, 0.456527, 0.480281, 0.512275, 0.538435, 0.559924, 0.5851, 0.620641, 0.657406, 0.685537, 0.7418, 0.811838, 0.881877, 0.951916");
-            values ( \
-              "-0.145533, -0.182749, -0.210396, -0.213137, -0.213216, -0.209053, -0.203596, -0.197397, -0.19307, -0.184753, -0.182235, -0.179024, -0.170982, -0.165805, -0.157256, -0.0870016, -0.0711785, -0.0534671, -0.0418536, -0.0340643, -0.026606, -0.0186078, -0.0128163, -0.00958937, -0.00527512, -0.00245749, -0.00114125, -0.0005285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.0639891, 0.0900056, 0.0936119, 0.294579, 0.448981, 0.512853, 0.557599, 0.627638, 0.650552, 0.696379, 0.844618, 0.947335, 1.00587, 1.05253, 1.13863, 1.20866, 1.25575, 1.32149, 1.39152, 1.46156, 1.60164, 1.64143");
-            values ( \
-              "-0.142763, -0.217427, -0.217709, -0.207648, -0.197501, -0.191896, -0.187019, -0.176527, -0.171825, -0.1593, -0.101234, -0.0671424, -0.0521183, -0.0422654, -0.0283869, -0.0202884, -0.0161656, -0.0117298, -0.0083026, -0.00584147, -0.00288732, -0.00257613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.107694, 0.121444, 0.123704, 0.126622, 0.129541, 0.133671, 0.137802, 0.141367, 0.144932, 0.14654, 0.148147, 0.151363, 0.152855, 0.154347, 0.155839, 0.157332, 0.158081, 0.158829, 0.159578, 0.160327, 0.161022, 0.161718, 0.162413, 0.163108, 0.163821, 0.164534, 0.165248, 0.165961, 0.166734, 0.167507, 0.16828, 0.169053, 0.16982, 0.170587, 0.17212, 0.174743, 0.17775, 0.179144, 0.180588, 0.182336, 0.183761, 0.184604, 0.185447, 0.18629, 0.187133, 0.187975, 0.188818, 0.190208, 0.191599, 0.193092");
-            values ( \
-              "-0.0329402, -0.0345729, -0.037066, -0.0401405, -0.0431574, -0.0473289, -0.0513851, -0.0547849, -0.0580939, -0.0594923, -0.0608487, -0.0634354, -0.0644866, -0.0654668, -0.0663761, -0.0672145, -0.067417, -0.0675673, -0.0676653, -0.0677111, -0.0677069, -0.0676576, -0.0675634, -0.0674241, -0.067061, -0.0665797, -0.0659803, -0.0652626, -0.0642608, -0.0630864, -0.0617395, -0.0602202, -0.0584606, -0.0565859, -0.0524916, -0.0444235, -0.0349195, -0.0308409, -0.0270349, -0.0228686, -0.0195916, -0.0178672, -0.0162798, -0.0149123, -0.0136267, -0.0124229, -0.0113009, -0.00968562, -0.00817974, -0.00668399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.108947, 0.122095, 0.123802, 0.125509, 0.13235, 0.139099, 0.146776, 0.152204, 0.156916, 0.160378, 0.16357, 0.16737, 0.169132, 0.172269, 0.174864, 0.176814, 0.177851, 0.178501, 0.179151, 0.180451, 0.181615, 0.182779, 0.184881, 0.185443, 0.186285, 0.187127, 0.187689, 0.188812, 0.189649, 0.190486, 0.191323, 0.19216, 0.193486, 0.196138, 0.199845, 0.20471, 0.205608, 0.210385, 0.211204, 0.212023, 0.213137, 0.21425, 0.215433, 0.216616, 0.218983, 0.220166, 0.221349, 0.222145, 0.223736, 0.225721");
-            values ( \
-              "-0.040183, -0.0439239, -0.0464896, -0.0489273, -0.0581571, -0.0667919, -0.0763583, -0.0829568, -0.0884433, -0.0923442, -0.0957321, -0.099538, -0.101245, -0.103999, -0.105995, -0.107298, -0.107877, -0.108171, -0.10842, -0.108784, -0.108678, -0.108507, -0.108033, -0.107766, -0.107149, -0.106294, -0.105619, -0.104054, -0.102702, -0.10119, -0.0995187, -0.0976883, -0.0941297, -0.0852399, -0.0718282, -0.0548799, -0.0519145, -0.0373965, -0.0365638, -0.0355153, -0.0336782, -0.0315756, -0.0287801, -0.026223, -0.0220334, -0.0201393, -0.0183791, -0.0173188, -0.0152838, -0.0129046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.105137, 0.117625, 0.13411, 0.167303, 0.183031, 0.194172, 0.202033, 0.206039, 0.210253, 0.211001, 0.212433, 0.215877, 0.220706, 0.225681, 0.232434, 0.24339, 0.250146, 0.255114, 0.260238, 0.267037, 0.273049, 0.281066, 0.288019, 0.29737, 0.309838, 0.333463, 0.360977");
-            values ( \
-              "-0.0151038, -0.0410085, -0.069333, -0.117923, -0.138737, -0.151691, -0.159075, -0.161803, -0.163615, -0.165374, -0.16589, -0.161849, -0.15449, -0.14413, -0.125031, -0.0909605, -0.0724948, -0.0607663, -0.0502814, -0.038685, -0.0304971, -0.0219996, -0.0164676, -0.0111131, -0.00648201, -0.00212519, -0.00056239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.105166, 0.127151, 0.142126, 0.164267, 0.189065, 0.212377, 0.214634, 0.236943, 0.246867, 0.260921, 0.277402, 0.288676, 0.310711, 0.331863, 0.346828, 0.354606, 0.36933, 0.38196, 0.392332, 0.404565, 0.421828, 0.429454, 0.439739, 0.453453, 0.48088, 0.531745, 0.589333");
-            values ( \
-              "-0.00653212, -0.0611274, -0.0876048, -0.123589, -0.162253, -0.196101, -0.197012, -0.190845, -0.18756, -0.182065, -0.173082, -0.164213, -0.135367, -0.100099, -0.0780531, -0.0680426, -0.0518488, -0.0406264, -0.0331004, -0.0258506, -0.0180637, -0.0154115, -0.012421, -0.00928682, -0.00508814, -0.0014996, -0.000351507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.119812, 0.139171, 0.174799, 0.210182, 0.213329, 0.217162, 0.220817, 0.228126, 0.266579, 0.305647, 0.345814, 0.366129, 0.387527, 0.429721, 0.445458, 0.462639, 0.524865, 0.550925, 0.577674, 0.613339, 0.626836, 0.652355, 0.675821, 0.708657, 0.721684, 0.747738, 0.799846, 0.869885, 0.939923, 1.00996");
-            values ( \
-              "-0.0775237, -0.0854116, -0.146977, -0.204995, -0.208932, -0.209851, -0.208908, -0.208446, -0.204132, -0.199228, -0.193061, -0.189247, -0.18437, -0.170377, -0.162322, -0.150857, -0.0997022, -0.0806765, -0.0640061, -0.0461063, -0.0405885, -0.0317467, -0.0251906, -0.0180993, -0.0158632, -0.0121551, -0.00702072, -0.0032824, -0.00152156, -0.000708614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.133589, 0.170011, 0.21327, 0.389642, 0.45968, 0.540626, 0.584476, 0.642686, 0.712725, 0.735648, 0.781495, 0.836665, 0.929708, 0.988528, 1.03244, 1.09098, 1.13763, 1.17452, 1.22371, 1.29374, 1.34084, 1.4066, 1.47664, 1.54668, 1.68676, 1.82683, 1.96691");
-            values ( \
-              "-0.136576, -0.141735, -0.216329, -0.207062, -0.202829, -0.19697, -0.193178, -0.187033, -0.176516, -0.171836, -0.159283, -0.138707, -0.101243, -0.0804772, -0.0671358, -0.0521208, -0.0422598, -0.0357001, -0.0283933, -0.020286, -0.0161625, -0.0117256, -0.00830471, -0.00583799, -0.00288428, -0.00141789, -0.0006936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.193311, 0.224759, 0.232044, 0.242953, 0.258457, 0.28195, 0.304062, 0.313702, 0.318544, 0.322919, 0.32748, 0.332145, 0.335618, 0.356471, 0.364427, 0.374905, 0.385041");
-            values ( \
-              "-1.78664e-05, -0.00663795, -0.00873109, -0.0132554, -0.0213081, -0.0312362, -0.039013, -0.0414971, -0.0424693, -0.0428189, -0.0425771, -0.0406439, -0.0377171, -0.0112293, -0.0057583, -0.00220274, -0.000840447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.207733, 0.227381, 0.235549, 0.244897, 0.2615, 0.274979, 0.296129, 0.308601, 0.318609, 0.332273, 0.341472, 0.34575, 0.350701, 0.353878, 0.357004, 0.361884, 0.367841, 0.369174, 0.371839, 0.377056, 0.390867, 0.397326, 0.404498, 0.410752, 0.417514, 0.422766, 0.433186, 0.444272, 0.460227, 0.477508, 0.505711, 0.510685, 0.51946");
-            values ( \
-              "-0.00612421, -0.0106916, -0.0135473, -0.0181859, -0.0286039, -0.0362854, -0.0472014, -0.0531361, -0.057595, -0.0631024, -0.0662245, -0.0674106, -0.068486, -0.0689222, -0.0690676, -0.0684831, -0.0656135, -0.0645761, -0.061824, -0.0544349, -0.031727, -0.0230009, -0.0155264, -0.0107375, -0.0071003, -0.00508428, -0.00253086, -0.00119516, -0.000393743, -0.000141396, -5.13103e-05, -0.000893332, -0.000637508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.208265, 0.246927, 0.299266, 0.325782, 0.350767, 0.370296, 0.386549, 0.394759, 0.410368, 0.417481, 0.42561, 0.428437, 0.452592, 0.463686, 0.472672, 0.4874, 0.494863, 0.505695, 0.509167, 0.520798, 0.532659, 0.54632, 0.571476");
-            values ( \
-              "-0.00433067, -0.0230971, -0.0568336, -0.0720467, -0.0854479, -0.0949428, -0.101621, -0.104237, -0.106453, -0.104724, -0.0993877, -0.0961948, -0.0563507, -0.0400321, -0.0295033, -0.0171304, -0.0128342, -0.00832504, -0.0083786, -0.00518357, -0.00319875, -0.00166662, -0.000556763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.207958, 0.270239, 0.302954, 0.390964, 0.420055, 0.446215, 0.464956, 0.481734, 0.494153, 0.505372, 0.511879, 0.544442, 0.56202, 0.586792, 0.600058, 0.617746, 0.634433, 0.66154, 0.697683, 0.748854, 0.808091");
-            values ( \
-              "-8.66969e-05, -0.0412878, -0.0643217, -0.119363, -0.135605, -0.148287, -0.15525, -0.158188, -0.156582, -0.15001, -0.142674, -0.089803, -0.06613, -0.0414113, -0.031853, -0.0221925, -0.0156929, -0.00882924, -0.00390864, -0.00114202, -0.000247844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.237875, 0.277453, 0.350153, 0.426567, 0.454858, 0.492628, 0.505474, 0.509527, 0.51145, 0.551608, 0.592106, 0.610541, 0.630204, 0.63776, 0.652873, 0.683099, 0.726004, 0.757052, 0.773405, 0.803866, 0.829698, 0.850727, 0.876007, 0.911627, 0.927394, 0.948684, 0.977072, 1.03385, 1.10389, 1.17392, 1.24396");
-            values ( \
-              "-0.0314316, -0.0482432, -0.0994809, -0.150535, -0.16845, -0.190851, -0.197912, -0.19918, -0.199267, -0.193083, -0.184706, -0.179588, -0.172614, -0.16932, -0.1613, -0.139212, -0.103385, -0.0804567, -0.0699468, -0.0532365, -0.0417921, -0.0341653, -0.0266641, -0.0186289, -0.0158866, -0.0127926, -0.00954945, -0.00521904, -0.00243397, -0.00112693, -0.000524714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.252788, 0.307665, 0.399821, 0.481728, 0.505525, 0.510573, 0.515448, 0.6356, 0.677077, 0.747116, 0.789149, 0.848362, 0.918401, 0.94136, 0.987278, 1.04238, 1.13539, 1.19423, 1.23817, 1.29675, 1.34336, 1.38021, 1.42935, 1.49939, 1.54654, 1.61239, 1.68243, 1.75247, 1.82251, 1.89254, 2.03262, 2.1727");
-            values ( \
-              "-0.0507455, -0.0711799, -0.137248, -0.193878, -0.209705, -0.211363, -0.211512, -0.204734, -0.202003, -0.19696, -0.193317, -0.186997, -0.176562, -0.171801, -0.159293, -0.138674, -0.101234, -0.0805007, -0.0671485, -0.0520902, -0.0422747, -0.0357193, -0.0283875, -0.0203077, -0.0161774, -0.0117344, -0.00828858, -0.00584863, -0.00411228, -0.00289576, -0.0014297, -0.000705482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.487669, 0.532, 0.534912, 0.538437, 0.541962, 0.545487, 0.549012, 0.553842, 0.563501, 0.57524, 0.583215, 0.589918, 0.597838, 0.610826, 0.619648, 0.623616, 0.627013, 0.637203, 0.647784, 0.653466, 0.659341, 0.666379, 0.669872, 0.673365, 0.678386, 0.678896, 0.680934, 0.683915, 0.686744, 0.688491, 0.690239, 0.692004, 0.69377, 0.695901, 0.698032, 0.700196, 0.70236, 0.704254, 0.706148, 0.711022, 0.714742, 0.719124, 0.722266, 0.727877, 0.729833, 0.73179, 0.73558, 0.737475, 0.73937, 0.742857");
-            values ( \
-              "-0.00523214, -0.00551165, -0.00586282, -0.00631285, -0.00679018, -0.0072948, -0.0078267, -0.00862955, -0.0104053, -0.0125118, -0.0139052, -0.0150426, -0.0163455, -0.0184084, -0.0197457, -0.0203319, -0.0208101, -0.0221806, -0.0235257, -0.0241524, -0.0247542, -0.025416, -0.0256801, -0.0258935, -0.0261505, -0.0261733, -0.0261879, -0.0261723, -0.0261167, -0.0260251, -0.0258946, -0.0256912, -0.0254265, -0.0249881, -0.0244324, -0.0237255, -0.0228823, -0.0219963, -0.0210311, -0.0181825, -0.0158375, -0.0132137, -0.0114247, -0.00850557, -0.00767271, -0.00690157, -0.00559617, -0.00502052, -0.00449623, -0.0036519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.45977, 0.499128, 0.516519, 0.537126, 0.564788, 0.571732, 0.612568, 0.638577, 0.666409, 0.693822, 0.709456, 0.721409, 0.728732, 0.737324, 0.747902, 0.762383, 0.786023, 0.793127, 0.8026, 0.814198, 0.822438, 0.837716, 0.85501, 0.872948, 0.891703, 0.912407, 0.967355, 1.13162");
-            values ( \
-              "-0.00378957, -0.00459945, -0.0062219, -0.00870182, -0.013788, -0.0155506, -0.0248268, -0.0302216, -0.0354449, -0.0398518, -0.0418723, -0.0429972, -0.0433956, -0.0434106, -0.0420916, -0.0355353, -0.0182515, -0.0140047, -0.00950513, -0.00572588, -0.00393373, -0.00185379, -0.000790958, -0.000324457, -0.000165075, -7.33445e-05, -2.54741e-05, -1.84106e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.463719, 0.516548, 0.534701, 0.553671, 0.572961, 0.64071, 0.662183, 0.70706, 0.735, 0.746433, 0.756798, 0.777296, 0.784361, 0.79782, 0.810594, 0.832921, 0.836838, 0.844671, 0.860337, 0.885738, 0.897715, 0.904022, 0.916636, 0.92611, 0.940343, 0.959321, 0.992013, 1.02801, 1.06966, 1.11798");
-            values ( \
-              "-0.00457496, -0.00868468, -0.0113375, -0.0146107, -0.0187987, -0.036607, -0.0418278, -0.0519019, -0.0575173, -0.059613, -0.0614414, -0.0645831, -0.0655241, -0.0669281, -0.0676684, -0.065777, -0.0645285, -0.061208, -0.0502714, -0.0304014, -0.0226875, -0.0192355, -0.0135479, -0.0102905, -0.00668557, -0.00360899, -0.00109815, -0.000275874, -6.92978e-05, -2.2461e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.463712, 0.543709, 0.577664, 0.602064, 0.673994, 0.713738, 0.77475, 0.833869, 0.85555, 0.87763, 0.901395, 0.938259, 0.975109, 0.977662, 0.987871, 1.03101, 1.04362, 1.05987, 1.07327, 1.087, 1.10636, 1.11445, 1.13064, 1.16302, 1.21577, 1.23784, 1.26655, 1.29493");
-            values ( \
-              "-0.00295936, -0.0156159, -0.0229292, -0.0291763, -0.0494585, -0.0597957, -0.0746186, -0.0876508, -0.0919446, -0.0958992, -0.0994548, -0.102165, -0.0949005, -0.0936054, -0.0873433, -0.0541623, -0.0453236, -0.0353259, -0.0284043, -0.0224645, -0.0158647, -0.013672, -0.0100689, -0.00525506, -0.00159226, -0.0015245, -0.000836053, -0.000414608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.521204, 0.581918, 0.620267, 0.731154, 0.839656, 0.939948, 1.00987, 1.0468, 1.07677, 1.12964, 1.18246, 1.25363, 1.28282, 1.33085, 1.37746, 1.42506, 1.45291, 1.5086, 1.57864, 1.64868, 1.71872");
-            values ( \
-              "-0.0255003, -0.0264592, -0.0364908, -0.0682323, -0.0972157, -0.122192, -0.137481, -0.144186, -0.148365, -0.149942, -0.131815, -0.0812009, -0.0630703, -0.0403832, -0.0256599, -0.0158787, -0.0119418, -0.00662426, -0.00309398, -0.00143289, -0.000666231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.564599, 0.66035, 0.758593, 0.882318, 1.0412, 1.07814, 1.17225, 1.21561, 1.22637, 1.27752, 1.34509, 1.41413, 1.43802, 1.48582, 1.53924, 1.63136, 1.69064, 1.73531, 1.79486, 1.84037, 1.8764, 1.92445, 1.99449, 2.04308, 2.11141, 2.18145, 2.25149, 2.32153, 2.39157, 2.53165, 2.67172");
-            values ( \
-              "-0.0457969, -0.0494204, -0.078166, -0.113349, -0.156803, -0.166337, -0.188955, -0.198209, -0.198233, -0.194081, -0.187039, -0.176693, -0.17184, -0.158648, -0.138628, -0.10151, -0.0805702, -0.0670039, -0.0517644, -0.0421989, -0.0357894, -0.0286043, -0.0204543, -0.0161816, -0.0115932, -0.00819685, -0.00577462, -0.00406855, -0.00285623, -0.00140756, -0.000692063" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0280636, 0.0411948, 0.068411, 0.125574, 0.246285, 0.502126", \
-            "0.0329375, 0.0461301, 0.0734987, 0.130799, 0.25159, 0.50747", \
-            "0.0459908, 0.0589354, 0.0862676, 0.143682, 0.264599, 0.52058", \
-            "0.0698637, 0.088847, 0.118127, 0.175163, 0.295944, 0.552199", \
-            "0.107746, 0.137824, 0.184427, 0.252856, 0.373105, 0.62882", \
-            "0.173812, 0.217919, 0.290174, 0.399848, 0.558646, 0.814406" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0260649, 0.0447265, 0.0842148, 0.167613, 0.344459, 0.719671", \
-            "0.0260748, 0.0447235, 0.0842146, 0.167609, 0.344473, 0.719638", \
-            "0.029697, 0.0456715, 0.0842087, 0.167604, 0.344407, 0.719673", \
-            "0.0469959, 0.0601038, 0.090401, 0.167742, 0.344404, 0.719644", \
-            "0.0763466, 0.0977788, 0.130033, 0.18974, 0.346993, 0.71964", \
-            "0.124109, 0.158361, 0.211075, 0.287337, 0.412443, 0.732339" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0185206, 0.0191963, 0.0201444, 0.0233083, 0.0283255, 0.0341145, 0.0386275, 0.0418369, 0.0495119, 0.0559184, 0.0634631, 0.0721166, 0.0806567, 0.0857426, 0.100287, 0.114502");
-            values ( \
-              "0.108199, 0.122548, 0.123529, 0.120417, 0.11293, 0.102903, 0.0929422, 0.0826625, 0.0524507, 0.0359034, 0.0225714, 0.0129069, 0.00731101, 0.00523496, 0.00191397, 0.000916286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0186859, 0.0200965, 0.0206426, 0.0239014, 0.0359978, 0.050234, 0.0575918, 0.0750665, 0.0848717, 0.0955025, 0.100565, 0.109453, 0.122189, 0.128843, 0.136502, 0.152595, 0.174567");
-            values ( \
-              "0.0834852, 0.151283, 0.151546, 0.149595, 0.137195, 0.119703, 0.10631, 0.0625928, 0.0440934, 0.0294916, 0.0241583, 0.0168532, 0.00989486, 0.00747311, 0.00545729, 0.00282875, 0.00141064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0186934, 0.0214119, 0.024507, 0.0349934, 0.0565536, 0.0759334, 0.0860129, 0.0962317, 0.122961, 0.143259, 0.160803, 0.169918, 0.185655, 0.194058, 0.210866, 0.21981, 0.243596, 0.264578, 0.288056, 0.309276");
-            values ( \
-              "0.0880508, 0.169818, 0.168723, 0.162831, 0.14951, 0.135811, 0.126935, 0.11502, 0.0763163, 0.0520532, 0.0361695, 0.0295748, 0.0207224, 0.0170807, 0.0114187, 0.00923378, 0.00515667, 0.00304729, 0.00167033, 0.00102495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0198663, 0.0198863, 0.0508535, 0.094381, 0.117355, 0.130386, 0.147168, 0.160082, 0.182846, 0.226429, 0.236195, 0.249215, 0.274022, 0.289818, 0.306954, 0.329801, 0.34317, 0.365842, 0.391753, 0.418315, 0.431743, 0.458599, 0.512312, 0.587341, 0.672366");
-            values ( \
-              "1e-22, 0.184566, 0.172038, 0.158002, 0.149997, 0.145135, 0.137832, 0.131081, 0.116245, 0.0832034, 0.0762772, 0.0675385, 0.0525048, 0.0443715, 0.0366823, 0.0281832, 0.0240321, 0.0182819, 0.0132327, 0.00946803, 0.00798959, 0.00564485, 0.00274701, 0.000939567, 0.000274247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0228089, 0.0228289, 0.107285, 0.160723, 0.226965, 0.253833, 0.2991, 0.329988, 0.372243, 0.435812, 0.47632, 0.501306, 0.551279, 0.59634, 0.62636, 0.674347, 0.688353, 0.716363, 0.772383, 0.815764, 0.863641, 0.927477, 1.0125, 1.09753, 1.26758, 1.43763");
-            values ( \
-              "1e-22, 0.200003, 0.173204, 0.164864, 0.15335, 0.148253, 0.137823, 0.128854, 0.114328, 0.0902914, 0.0758333, 0.0674702, 0.0524362, 0.0411648, 0.0348078, 0.0263114, 0.024244, 0.0204768, 0.0145265, 0.0110629, 0.00818353, 0.00544694, 0.00310277, 0.00178541, 0.000584203, 0.000195056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0249036, 0.0249236, 0.179372, 0.349279, 0.486868, 0.555048, 0.625674, 0.7107, 0.7574, 0.948566, 1.0005, 1.06841, 1.15344, 1.22179, 1.29208, 1.37711, 1.4131, 1.4851, 1.56075, 1.64577, 1.7027, 1.74424, 1.88465, 2.05471, 2.22476, 2.39481, 2.56486, 2.90496");
-            values ( \
-              "1e-22, 0.20163, 0.177566, 0.164286, 0.152424, 0.145584, 0.136978, 0.124193, 0.116146, 0.0817616, 0.0730483, 0.0623112, 0.0505053, 0.0422627, 0.0348837, 0.0275406, 0.0248018, 0.020179, 0.0160918, 0.0125215, 0.010493, 0.0092483, 0.00600178, 0.00352963, 0.00206355, 0.001199, 0.00069085, 0.000218062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0309136, 0.0332759, 0.0376817, 0.0396607, 0.0422036, 0.0470857, 0.0543212, 0.0651513, 0.0710158, 0.0783955, 0.0872345, 0.0998641, 0.114173, 0.123254");
-            values ( \
-              "0.00159087, 0.0643467, 0.109683, 0.11709, 0.115349, 0.107663, 0.0926955, 0.0527349, 0.0369985, 0.0235255, 0.0133278, 0.00569342, 0.00219071, 0.00144971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0329215, 0.0377272, 0.0388081, 0.0406494, 0.0437258, 0.0546147, 0.0673796, 0.0733915, 0.0894379, 0.0970533, 0.109587, 0.123741, 0.132109, 0.141673, 0.16502, 0.179694");
-            values ( \
-              "0.0684925, 0.136874, 0.144723, 0.147321, 0.145446, 0.133891, 0.117375, 0.105929, 0.0651722, 0.050012, 0.0313312, 0.0178074, 0.0126041, 0.00840622, 0.00322957, 0.00214403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0328407, 0.0373629, 0.038277, 0.0391537, 0.0401993, 0.0419185, 0.0436745, 0.0561941, 0.0674038, 0.0791671, 0.085164, 0.0916275, 0.103784, 0.107814, 0.113187, 0.118566, 0.131329, 0.140336, 0.145466, 0.150762, 0.15893, 0.16933, 0.176558, 0.185648, 0.197629, 0.201345, 0.208778, 0.223643, 0.234583, 0.238626, 0.246711, 0.262882, 0.283372, 0.308741, 0.338445, 0.378282");
-            values ( \
-              "0.0307748, 0.15024, 0.160646, 0.16496, 0.167051, 0.167539, 0.166888, 0.159585, 0.15262, 0.144865, 0.140697, 0.135802, 0.124823, 0.120233, 0.113161, 0.105711, 0.0866358, 0.0741992, 0.0676059, 0.0611896, 0.0521299, 0.042102, 0.0361042, 0.0295784, 0.0225779, 0.0207387, 0.0174655, 0.0122703, 0.00944201, 0.00855959, 0.0070402, 0.00471496, 0.00282098, 0.00146549, 0.000678296, 0.00022246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0351379, 0.0394919, 0.0407412, 0.04264, 0.0737649, 0.116614, 0.13599, 0.161614, 0.175869, 0.196628, 0.251867, 0.288262, 0.305556, 0.324206, 0.349074, 0.367448, 0.397154, 0.424085, 0.457493, 0.502037, 0.569825, 0.65485, 0.739876");
-            values ( \
-              "0.164618, 0.176615, 0.178534, 0.179201, 0.169744, 0.155817, 0.149, 0.138485, 0.131079, 0.117685, 0.0763533, 0.053365, 0.0443913, 0.0360705, 0.0270511, 0.0216937, 0.0150668, 0.010759, 0.00703437, 0.00388493, 0.00153243, 0.0004213, 0.000137887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0384792, 0.0384992, 0.121867, 0.188958, 0.256528, 0.296065, 0.325199, 0.381983, 0.496972, 0.578239, 0.613221, 0.659864, 0.721138, 0.757377, 0.8212, 0.893715, 0.951536, 1.03656, 1.12159, 1.16328");
-            values ( \
-              "1e-22, 0.199159, 0.173438, 0.162796, 0.150822, 0.142529, 0.135045, 0.116615, 0.0741803, 0.0494642, 0.0409241, 0.0314518, 0.0218871, 0.0176053, 0.0117899, 0.0074673, 0.00518214, 0.00293724, 0.00170318, 0.00151814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.0413023, 0.0413223, 0.197981, 0.316034, 0.469698, 0.52559, 0.6418, 0.701941, 0.773242, 0.964895, 1.08503, 1.17005, 1.23657, 1.30733, 1.42909, 1.50255, 1.57769, 1.66271, 1.8143, 1.98436, 2.15441, 2.32446, 2.66456, 2.91964");
-            values ( \
-              "1e-22, 0.202253, 0.177427, 0.168263, 0.155394, 0.150277, 0.137012, 0.128134, 0.116234, 0.0817611, 0.0622753, 0.0503701, 0.0423452, 0.0350111, 0.0248576, 0.0200382, 0.0161011, 0.0124317, 0.00784037, 0.00462811, 0.00271508, 0.00158426, 0.000528143, 0.000271672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.057213, 0.0628415, 0.0663349, 0.0754367, 0.0808153, 0.0855004, 0.0874411, 0.0885993, 0.0896932, 0.091102, 0.0937097, 0.096759, 0.102249, 0.108309, 0.112539, 0.117729, 0.120858, 0.125488, 0.127596, 0.133737, 0.13941, 0.145538, 0.149552, 0.157288, 0.165451, 0.185364, 0.217519");
-            values ( \
-              "0.0228876, 0.032224, 0.0422362, 0.0658218, 0.0786679, 0.0874572, 0.090293, 0.0955638, 0.0974106, 0.0962084, 0.0901863, 0.0794684, 0.0574032, 0.0398007, 0.0307177, 0.0223413, 0.0183152, 0.013558, 0.0118193, 0.00788932, 0.00535206, 0.0035464, 0.00272245, 0.00160514, 0.00100869, 0.000404517, 5.78457e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.0572039, 0.0635923, 0.07165, 0.0798863, 0.085271, 0.0874326, 0.0886517, 0.0898979, 0.091393, 0.0937081, 0.0992254, 0.102629, 0.109274, 0.112498, 0.116183, 0.121445, 0.127603, 0.131277, 0.135882, 0.141281, 0.146312, 0.151283, 0.155791, 0.161782, 0.166627, 0.172842, 0.181659, 0.187727, 0.191595, 0.19933, 0.209855, 0.220029, 0.230396, 0.241539, 0.272764");
-            values ( \
-              "0.00996861, 0.0417045, 0.0705215, 0.0987635, 0.115987, 0.122331, 0.130271, 0.134519, 0.134849, 0.132996, 0.12644, 0.12188, 0.111137, 0.104044, 0.0948716, 0.0806813, 0.0653745, 0.0576435, 0.0491424, 0.0401433, 0.0332636, 0.0274413, 0.0229674, 0.017998, 0.0147595, 0.0113912, 0.00784816, 0.00611799, 0.00523763, 0.00380691, 0.00246804, 0.00160178, 0.00104057, 0.000637333, 0.000157891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0638639, 0.0747606, 0.0874256, 0.088177, 0.0893393, 0.0902431, 0.0920508, 0.0945201, 0.10573, 0.122988, 0.129954, 0.139798, 0.143441, 0.150433, 0.17698, 0.189309, 0.197267, 0.207673, 0.214816, 0.223926, 0.235091, 0.239648, 0.24876, 0.266275, 0.274241, 0.283338, 0.295468, 0.316823, 0.340301, 0.37019, 0.406572, 0.460775");
-            values ( \
-              "0.0680362, 0.0926017, 0.144061, 0.151633, 0.158504, 0.160085, 0.160783, 0.159573, 0.15265, 0.141032, 0.135831, 0.127168, 0.123206, 0.114788, 0.076328, 0.0609774, 0.052068, 0.0421279, 0.036181, 0.0295875, 0.0230531, 0.0207393, 0.0168144, 0.0110338, 0.00913677, 0.00732644, 0.00543273, 0.00318066, 0.00174235, 0.000800909, 0.000296541, 5.9331e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.067348, 0.0777473, 0.0911059, 0.116256, 0.162392, 0.187353, 0.200029, 0.214284, 0.23504, 0.290281, 0.327529, 0.34399, 0.361873, 0.385718, 0.406475, 0.436898, 0.463203, 0.494619, 0.536508, 0.604466, 0.689491, 0.774517");
-            values ( \
-              "0.110747, 0.112622, 0.176044, 0.16846, 0.153315, 0.14402, 0.138467, 0.131104, 0.117667, 0.0763711, 0.052902, 0.0443928, 0.0363887, 0.0276134, 0.0215465, 0.0148327, 0.010657, 0.00714476, 0.00411298, 0.00160347, 0.000455076, 0.000134262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0715995, 0.086843, 0.0895565, 0.0929359, 0.161642, 0.239961, 0.290265, 0.344035, 0.403024, 0.543835, 0.618625, 0.682714, 0.728675, 0.770676, 0.826677, 0.870069, 0.917953, 0.981798, 1.06682, 1.15185, 1.3219, 1.49195");
-            values ( \
-              "0.155402, 0.160682, 0.18052, 0.18352, 0.173293, 0.160688, 0.151725, 0.140257, 0.122731, 0.0713495, 0.0489854, 0.0343881, 0.0263271, 0.0204938, 0.0145144, 0.0110794, 0.00819895, 0.00543242, 0.00311743, 0.00177089, 0.000569747, 0.000180682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.0784589, 0.0784789, 0.257495, 0.386753, 0.45649, 0.541516, 0.609956, 0.680408, 0.765434, 1.0033, 1.12314, 1.20817, 1.27652, 1.34681, 1.43184, 1.46783, 1.53982, 1.61547, 1.7005, 1.79895, 1.93936, 2.02439, 2.10941, 2.27946, 2.44951, 2.61957, 2.95967");
-            values ( \
-              "1e-22, 0.197534, 0.175796, 0.165667, 0.159926, 0.152416, 0.145548, 0.136995, 0.124176, 0.0817803, 0.0623303, 0.050487, 0.0422438, 0.0349038, 0.0275213, 0.0248222, 0.0201594, 0.0161126, 0.0125014, 0.00927067, 0.00602441, 0.00464051, 0.00355229, 0.00208632, 0.00122193, 0.000713978, 0.000241609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.110337, 0.119119, 0.121972, 0.128381, 0.145986, 0.162491, 0.167607, 0.174941, 0.181813, 0.188691, 0.199521, 0.207258, 0.210242, 0.211617, 0.220624, 0.228066, 0.233816, 0.238027, 0.246334, 0.254327, 0.273118, 0.3041");
-            values ( \
-              "0.00840893, 0.00930569, 0.0111369, 0.0163865, 0.0334385, 0.0471475, 0.0508741, 0.0553391, 0.0577504, 0.0550682, 0.0345252, 0.0232967, 0.0199623, 0.0218226, 0.0132078, 0.00807575, 0.00548918, 0.00413726, 0.00237243, 0.00143328, 0.000563545, 9.33353e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.118431, 0.133166, 0.162545, 0.177964, 0.184724, 0.193946, 0.202709, 0.210262, 0.211785, 0.224775, 0.233284, 0.240257, 0.24801, 0.257223, 0.26173, 0.270744, 0.279154, 0.292256, 0.312635, 0.334553, 0.367397");
-            values ( \
-              "0.0209829, 0.0263258, 0.0619439, 0.0792961, 0.0859505, 0.0930641, 0.095296, 0.0876748, 0.0886531, 0.0583487, 0.042993, 0.0330953, 0.0244236, 0.016805, 0.0140962, 0.00951998, 0.00671863, 0.00389311, 0.00161599, 0.000594148, 9.31021e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.12384, 0.139157, 0.14643, 0.181667, 0.197338, 0.210328, 0.211639, 0.212569, 0.214429, 0.220041, 0.229269, 0.236761, 0.247706, 0.270349, 0.282436, 0.295856, 0.303261, 0.31143, 0.319517, 0.330144, 0.332983, 0.338662, 0.349305, 0.364277, 0.37096, 0.380033, 0.39213, 0.413762, 0.440202, 0.472498, 0.513784");
-            values ( \
-              "0.0352153, 0.0380179, 0.0483683, 0.100756, 0.122428, 0.137229, 0.141634, 0.142374, 0.142211, 0.138398, 0.130871, 0.123243, 0.109439, 0.0762736, 0.0612132, 0.0467389, 0.0401088, 0.0336555, 0.0281825, 0.0221387, 0.0207667, 0.018201, 0.0141819, 0.00988683, 0.00841987, 0.0067564, 0.00501488, 0.00290807, 0.0014686, 0.000627535, 0.000198462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.128925, 0.150696, 0.201266, 0.210235, 0.211861, 0.213636, 0.215519, 0.219283, 0.255278, 0.274684, 0.291352, 0.307162, 0.329865, 0.373534, 0.383244, 0.39619, 0.420717, 0.436885, 0.454406, 0.477768, 0.49044, 0.499642, 0.51191, 0.530399, 0.556404, 0.56657, 0.586902, 0.627566, 0.696459, 0.781485, 0.866511");
-            values ( \
-              "0.042844, 0.0585645, 0.144917, 0.159461, 0.163981, 0.166404, 0.166288, 0.165225, 0.153307, 0.146213, 0.139212, 0.131098, 0.1163, 0.0831988, 0.076311, 0.0676196, 0.0527296, 0.0443864, 0.0365332, 0.0278926, 0.0239778, 0.0214741, 0.0185102, 0.0147201, 0.0106164, 0.00932773, 0.00720167, 0.00421699, 0.00161968, 0.000459774, 0.000135534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.140671, 0.169809, 0.21222, 0.215974, 0.279064, 0.349698, 0.401161, 0.436995, 0.496021, 0.636856, 0.711606, 0.77567, 0.821637, 0.863654, 0.919675, 0.963044, 1.0109, 1.07472, 1.15974, 1.24477, 1.29566");
-            values ( \
-              "0.0793541, 0.0943293, 0.177658, 0.179056, 0.169495, 0.157797, 0.148213, 0.140253, 0.122716, 0.0713281, 0.0489778, 0.0343969, 0.026323, 0.0204874, 0.0145173, 0.0110736, 0.00819445, 0.00543965, 0.003113, 0.00177691, 0.00141733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.152847, 0.193346, 0.212149, 0.216937, 0.219663, 0.223706, 0.228679, 0.237301, 0.247366, 0.2575, 0.271452, 0.286488, 0.30406, 0.323944, 0.54978, 0.657472, 0.742498, 0.833832, 0.905133, 1.09678, 1.21692, 1.30194, 1.36846, 1.43921, 1.56097, 1.63444, 1.70957, 1.79459, 1.89169, 2.0312, 2.20125, 2.3713, 2.7114, 3.0515");
-            values ( \
-              "0.120057, 0.142514, 0.184521, 0.185801, 0.185011, 0.185234, 0.184433, 0.184286, 0.183186, 0.182897, 0.181526, 0.180824, 0.17915, 0.178024, 0.159907, 0.150232, 0.141017, 0.12818, 0.116188, 0.0817176, 0.0622322, 0.050414, 0.0423881, 0.0349694, 0.0248164, 0.0200801, 0.0160603, 0.012473, 0.00927479, 0.00604148, 0.00355938, 0.00208739, 0.000709332, 0.000234953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.231767, 0.256377, 0.263244, 0.271103, 0.278574, 0.287892, 0.311784, 0.318871, 0.338033, 0.348265, 0.357848, 0.366004, 0.372096, 0.383303, 0.394505, 0.404457, 0.410879, 0.423105, 0.432355, 0.438153, 0.448271, 0.4562, 0.46155, 0.467035, 0.482374, 0.497007, 0.50568, 0.507778, 0.50925, 0.516774, 0.524625, 0.537795, 0.561882");
-            values ( \
-              "0.00274362, 0.00357734, 0.0044833, 0.00573491, 0.00736027, 0.0100541, 0.019607, 0.0221016, 0.0278291, 0.030339, 0.0323414, 0.0337279, 0.0345009, 0.034916, 0.0306508, 0.0215848, 0.0168705, 0.0102842, 0.00675835, 0.00513522, 0.00305677, 0.00206193, 0.0016417, 0.00130652, 0.000667568, 0.00033613, 0.000235032, 0.00179034, 0.00181029, 0.00114689, 0.000695509, 0.000253321, 4.64909e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.24757, 0.280997, 0.288643, 0.323567, 0.337391, 0.357753, 0.37514, 0.390747, 0.405293, 0.419533, 0.433019, 0.446644, 0.464752, 0.479823, 0.490736, 0.500083, 0.505778, 0.510132, 0.516358, 0.52223, 0.533974, 0.547213, 0.553405");
-            values ( \
-              "0.00761452, 0.0112874, 0.0139655, 0.0305581, 0.0365168, 0.0444224, 0.0505488, 0.0551191, 0.058137, 0.0579723, 0.0491426, 0.0353657, 0.0213828, 0.0130613, 0.00891583, 0.00632179, 0.00509375, 0.00617108, 0.00549259, 0.00397575, 0.00249971, 0.00131293, 0.00107613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.252951, 0.285695, 0.296698, 0.304941, 0.33179, 0.352514, 0.367335, 0.403138, 0.416915, 0.427763, 0.437251, 0.44719, 0.456263, 0.471873, 0.482114, 0.505687, 0.508082, 0.512641, 0.51734, 0.52674, 0.534428, 0.543369, 0.555193, 0.566008, 0.580427, 0.591705, 0.604146, 0.620735, 0.649415, 0.68392, 0.72876");
-            values ( \
-              "0.0129388, 0.0163409, 0.0210408, 0.025191, 0.0400184, 0.050797, 0.0584427, 0.0759935, 0.0821002, 0.0864377, 0.0897388, 0.0924733, 0.0938741, 0.0910654, 0.0837013, 0.0608149, 0.0603396, 0.056615, 0.0512939, 0.0423475, 0.0359547, 0.0295779, 0.0226194, 0.0176164, 0.0125022, 0.00951868, 0.0070334, 0.00465858, 0.00221725, 0.000884333, 0.00024736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.267224, 0.318783, 0.329851, 0.351987, 0.364987, 0.423237, 0.434747, 0.445837, 0.452778, 0.462032, 0.475303, 0.478409, 0.484623, 0.495601, 0.505612, 0.510617, 0.518436, 0.525262, 0.532606, 0.546332, 0.563208, 0.595137, 0.60113, 0.602151, 0.607903, 0.61384, 0.625716, 0.649466, 0.662391, 0.666742, 0.675444, 0.691847, 0.713137, 0.71917, 0.731236, 0.751139, 0.779403, 0.791754, 0.797267, 0.808291, 0.830341, 0.874441, 0.957, 1.04203");
-            values ( \
-              "0.0195574, 0.0357216, 0.0422672, 0.0556036, 0.0635238, 0.099717, 0.106696, 0.113208, 0.117152, 0.12223, 0.128959, 0.130437, 0.133213, 0.137554, 0.140605, 0.141311, 0.138756, 0.134946, 0.131134, 0.122488, 0.110377, 0.0858985, 0.081827, 0.08097, 0.0768972, 0.0727924, 0.064964, 0.0509574, 0.0443709, 0.0423132, 0.0384025, 0.0318498, 0.0247759, 0.0230597, 0.0199236, 0.0155988, 0.0109212, 0.00934483, 0.00871711, 0.00757295, 0.0057043, 0.00317844, 0.000959931, 0.000273473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.287752, 0.349451, 0.482005, 0.510038, 0.515155, 0.574852, 0.626312, 0.66153, 0.681818, 0.722395, 0.81937, 0.864624, 0.896724, 0.937304, 0.964829, 1.00013, 1.04647, 1.08935, 1.14652, 1.18871, 1.23547, 1.29781, 1.38283, 1.46786, 1.53425");
-            values ( \
-              "0.0371912, 0.0562612, 0.149694, 0.167729, 0.16804, 0.157782, 0.148203, 0.140417, 0.135034, 0.122307, 0.0863014, 0.0704578, 0.0602562, 0.0488377, 0.0420842, 0.0345305, 0.0263708, 0.0204184, 0.0143608, 0.0110361, 0.00822395, 0.00551181, 0.00315562, 0.00180036, 0.0013249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.321797, 0.401409, 0.509964, 0.671889, 0.817851, 0.881446, 0.966471, 1.0578, 1.12911, 1.32075, 1.44088, 1.52591, 1.59242, 1.66318, 1.78493, 1.8584, 1.93353, 2.01855, 2.11565, 2.25515, 2.4252, 2.59525, 2.85033, 3.27546");
-            values ( \
-              "0.0779019, 0.095396, 0.180593, 0.168226, 0.156116, 0.150242, 0.141008, 0.128172, 0.116199, 0.0817284, 0.0622427, 0.0504052, 0.0423795, 0.0349793, 0.0248261, 0.0200711, 0.0160699, 0.0124639, 0.00928418, 0.00605079, 0.00356859, 0.00209655, 0.000947311, 0.000243988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.533215, 0.594998, 0.608312, 0.624856, 0.634195, 0.652875, 0.671741, 0.713314, 0.729128, 0.739731, 0.761863, 0.78183, 0.800581, 0.818829, 0.837054, 0.842456, 0.866948, 0.8731, 0.887761, 0.895757, 0.907409, 0.923309, 0.938856, 0.947023, 0.956436, 0.968271, 0.985049, 1.03809, 1.21598, 1.21821");
-            values ( \
-              "0.00144825, 0.00175986, 0.00215561, 0.00279645, 0.00327208, 0.00454984, 0.00659609, 0.0129234, 0.0151132, 0.0164138, 0.0187168, 0.0202398, 0.021185, 0.0214815, 0.0203583, 0.0189206, 0.0101681, 0.00845064, 0.00527825, 0.0040093, 0.00264196, 0.00156021, 0.00087658, 0.000643988, 0.000440187, 0.000283999, 0.000147133, 3.43139e-05, 7.37781e-06, 0.000223601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.533173, 0.591652, 0.61698, 0.634389, 0.647248, 0.672966, 0.691467, 0.728404, 0.758214, 0.771962, 0.800308, 0.827155, 0.849977, 0.858235, 0.873231, 0.88302, 0.89699, 0.925277, 0.941581, 0.956215, 0.973398, 0.985944, 1.00394, 1.02273, 1.0516, 1.0875, 1.13075, 1.21595, 1.23109, 1.27593");
-            values ( \
-              "0.0027173, 0.00292586, 0.00417211, 0.00532774, 0.00644191, 0.00953379, 0.0128103, 0.0201742, 0.0253325, 0.0273361, 0.0309632, 0.0335161, 0.0350643, 0.0354431, 0.035712, 0.0353159, 0.0327673, 0.0207705, 0.0149126, 0.0106141, 0.00680355, 0.00478803, 0.00281688, 0.00155888, 0.000583121, 0.000165844, 5.15514e-05, 2.53804e-05, 0.000659554, 3.08868e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.562697, 0.64524, 0.663798, 0.682757, 0.708034, 0.748092, 0.781424, 0.825852, 0.863852, 0.898031, 0.91473, 0.929827, 0.945488, 0.960409, 0.975634, 0.99092, 1.04264, 1.07019, 1.10169, 1.11672, 1.13827, 1.167, 1.21583, 1.23055, 1.28201, 1.32988");
-            values ( \
-              "0.00582556, 0.0090044, 0.0113233, 0.0143272, 0.0194587, 0.0286009, 0.0353881, 0.0431614, 0.0489522, 0.0534136, 0.0552032, 0.0565743, 0.0574692, 0.0574831, 0.0554351, 0.0505721, 0.0274687, 0.0175919, 0.00980435, 0.00726301, 0.00463873, 0.00244195, 0.000711859, 0.00146058, 0.000342213, 0.000173503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.588168, 0.691775, 0.707468, 0.770117, 0.82547, 0.911147, 0.962219, 0.985541, 1.00787, 1.03819, 1.05008, 1.07084, 1.09152, 1.13211, 1.20355, 1.21588, 1.22205, 1.24432, 1.27466, 1.2931, 1.32159, 1.33363, 1.35769, 1.40583, 1.48358, 1.5686, 1.65363");
-            values ( \
-              "0.0105214, 0.0190718, 0.0222603, 0.0371479, 0.0495383, 0.0676372, 0.0776357, 0.081737, 0.0852381, 0.0889817, 0.0899347, 0.0903194, 0.0878257, 0.0740946, 0.0421864, 0.0373128, 0.0361238, 0.0280556, 0.0195685, 0.0155893, 0.0108857, 0.00934732, 0.00686623, 0.00362154, 0.00119144, 0.000341467, 9.67287e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.616518, 0.712277, 0.764495, 0.868737, 1.00164, 1.07497, 1.10485, 1.16667, 1.21591, 1.22517, 1.24369, 1.28809, 1.36716, 1.40492, 1.43809, 1.47988, 1.50924, 1.54407, 1.58998, 1.63189, 1.68777, 1.73126, 1.77924, 1.84321, 1.92823, 2.01326, 2.09828, 2.18331, 2.35336");
-            values ( \
-              "0.0217621, 0.025805, 0.0380562, 0.064164, 0.0984551, 0.116012, 0.122343, 0.13297, 0.136089, 0.134896, 0.129643, 0.114317, 0.0846773, 0.0714534, 0.0608317, 0.0490094, 0.0418094, 0.0343903, 0.0263237, 0.0204994, 0.0145376, 0.0110799, 0.00819279, 0.00543261, 0.0031088, 0.00177437, 0.00100834, 0.000574477, 0.000185835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.665435, 0.790669, 0.846063, 0.907149, 0.955822, 1.06322, 1.10218, 1.15532, 1.18525, 1.21203, 1.21518, 1.22148, 1.22823, 1.23589, 1.2512, 1.31392, 1.36565, 1.42162, 1.50665, 1.53783, 1.59797, 1.66926, 1.82146, 1.8609, 1.91348, 1.98102, 2.06605, 2.08821, 2.13254, 2.2033, 2.28832, 2.32506, 2.39853, 2.47365, 2.55868, 2.61491, 2.65576, 2.71023, 2.79526, 2.88028, 2.96531, 3.05033, 3.13536, 3.22038, 3.30541, 3.47546, 3.64551, 3.81556");
-            values ( \
-              "0.0390735, 0.0463476, 0.0609922, 0.0781094, 0.0923779, 0.124448, 0.135867, 0.150869, 0.158833, 0.165646, 0.166424, 0.16722, 0.167041, 0.166265, 0.165131, 0.159948, 0.155416, 0.150265, 0.140989, 0.136998, 0.128162, 0.116221, 0.0886857, 0.0817389, 0.0728662, 0.0622502, 0.0504032, 0.0476023, 0.0423803, 0.0349834, 0.0275774, 0.0248285, 0.02007, 0.0160714, 0.0124629, 0.010509, 0.00928493, 0.00787087, 0.00605138, 0.00465783, 0.003569, 0.00274424, 0.00209685, 0.001613, 0.00122877, 0.000718625, 0.000419422, 0.000244157" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * !B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0281, 0.0412698, 0.0685918, 0.12601, 0.247343, 0.504398", \
-            "0.0329802, 0.0462081, 0.0736912, 0.131249, 0.252663, 0.509777", \
-            "0.046087, 0.0590754, 0.0865172, 0.144187, 0.265723, 0.52292", \
-            "0.0701573, 0.0891279, 0.118466, 0.175744, 0.297288, 0.554674", \
-            "0.108365, 0.138509, 0.185149, 0.253667, 0.374567, 0.631484", \
-            "0.175015, 0.219261, 0.291728, 0.40168, 0.560639, 0.817908" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.026172, 0.0449341, 0.0846262, 0.168587, 0.346446, 0.72379", \
-            "0.0261822, 0.0449334, 0.0846259, 0.168588, 0.346441, 0.723794", \
-            "0.0297675, 0.0458485, 0.0846255, 0.168587, 0.346436, 0.723788", \
-            "0.0470133, 0.0601197, 0.0907099, 0.168702, 0.346433, 0.723791", \
-            "0.0764905, 0.0977422, 0.130152, 0.190396, 0.348915, 0.723784", \
-            "0.124263, 0.158641, 0.211552, 0.287776, 0.413559, 0.73641" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0182369, 0.0191863, 0.0201345, 0.0226121, 0.0283216, 0.0339638, 0.0368996, 0.0397078, 0.0426804, 0.0480984, 0.0519752, 0.0552079, 0.0595182, 0.0647311, 0.0677463, 0.0717352, 0.0752431, 0.0791499, 0.0842508, 0.0890184, 0.092057, 0.0968107, 0.100907, 0.106232, 0.111764, 0.117557, 0.125925, 0.147075, 0.16281, 0.18566, 0.203598, 0.231793, 0.265072, 0.3038, 0.674195");
-            values ( \
-              "0.0415703, 0.122329, 0.123335, 0.120987, 0.112599, 0.102921, 0.0969111, 0.0895843, 0.0793663, 0.0575922, 0.0455627, 0.0374407, 0.0287221, 0.0208337, 0.0172037, 0.0132621, 0.010531, 0.00821575, 0.00576713, 0.00422467, 0.00343083, 0.00246598, 0.00185096, 0.00129078, 0.000883173, 0.000615693, 0.000428122, 0.000200514, 9.02003e-05, 2.70247e-05, 2.62797e-05, 8.8142e-07, 1.24893e-05, 1e-22, 1.10282e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0184486, 0.0208948, 0.0231251, 0.0266076, 0.0360412, 0.0452466, 0.0510032, 0.0558669, 0.0609753, 0.0732407, 0.0814402, 0.0916414, 0.10128, 0.109492, 0.119105, 0.127865, 0.133915, 0.137793, 0.14555, 0.154515, 0.163702, 0.175365, 0.187267, 0.198852, 0.201995");
-            values ( \
-              "0.0785829, 0.151228, 0.149918, 0.14664, 0.136801, 0.126149, 0.11827, 0.109765, 0.0982045, 0.0665272, 0.0500764, 0.0343748, 0.0235343, 0.0168458, 0.0112747, 0.00775441, 0.00599584, 0.00508597, 0.00366493, 0.00255901, 0.00177426, 0.00112027, 0.000690339, 0.000434625, 0.000390768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0193229, 0.0227173, 0.0243256, 0.0383491, 0.0607609, 0.076428, 0.0845915, 0.0895147, 0.0952584, 0.123911, 0.139241, 0.152673, 0.156243, 0.164316, 0.172528, 0.183167, 0.191804, 0.202529, 0.21764, 0.224396, 0.233569, 0.245801, 0.264771, 0.285955, 0.310413, 0.339624, 0.378877, 0.435726, 0.606601, 4.27185");
-            values ( \
-              "0.166647, 0.169109, 0.168537, 0.160396, 0.146229, 0.135031, 0.127966, 0.122884, 0.115998, 0.0750595, 0.0563796, 0.0429079, 0.0398419, 0.0334967, 0.0279319, 0.021945, 0.0179966, 0.0140079, 0.00977105, 0.00831802, 0.00667281, 0.00495117, 0.00309562, 0.00181561, 0.000976669, 0.000455778, 0.00015971, 2.59507e-05, 1e-22, 1.23283e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0207914, 0.0208114, 0.0620712, 0.0968241, 0.126272, 0.141795, 0.160363, 0.180065, 0.22692, 0.254055, 0.288556, 0.318432, 0.347463, 0.380128, 0.408214, 0.420273, 0.444391, 0.489676, 0.549076, 0.623418, 0.68648");
-            values ( \
-              "1e-22, 0.191568, 0.16783, 0.156579, 0.146062, 0.139732, 0.130448, 0.117927, 0.0828382, 0.0644516, 0.0451184, 0.032298, 0.0229503, 0.0154123, 0.0108289, 0.0093044, 0.00683495, 0.00376347, 0.00167232, 0.000583953, 0.000274006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0222442, 0.0222642, 0.10741, 0.171832, 0.220109, 0.271188, 0.28847, 0.310067, 0.353262, 0.446462, 0.501367, 0.55151, 0.598757, 0.63037, 0.676494, 0.716569, 0.770003, 0.816177, 0.836817, 0.878098, 0.96066, 1.0461, 1.13154, 1.21697, 1.30241, 1.38785, 1.55872, 1.81504, 4.27184");
-            values ( \
-              "1e-22, 0.197009, 0.172472, 0.16225, 0.154, 0.144046, 0.140084, 0.134467, 0.120928, 0.08647, 0.067535, 0.0525232, 0.0407736, 0.0341492, 0.0261248, 0.0205817, 0.0148341, 0.0111286, 0.00978038, 0.00753563, 0.00440693, 0.00251535, 0.00142913, 0.000813167, 0.000459403, 0.000262207, 8.49394e-05, 1.41587e-05, 9.36918e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0277127, 0.0277327, 0.203295, 0.361875, 0.484889, 0.547428, 0.627329, 0.711756, 1.02578, 1.16736, 1.30814, 1.41659, 1.54754, 1.7013, 1.82687, 1.99775, 2.25406, 2.51037, 2.55323");
-            values ( \
-              "1e-22, 0.205261, 0.174926, 0.162581, 0.152031, 0.145894, 0.136399, 0.123789, 0.0690601, 0.0488764, 0.0335655, 0.0247273, 0.016879, 0.0106469, 0.00727126, 0.00429211, 0.00192723, 0.000866994, 0.000795624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0325928, 0.0358447, 0.0364294, 0.0366204, 0.0368113, 0.0372201, 0.0376152, 0.0381198, 0.0386244, 0.0388627, 0.0393394, 0.0395777, 0.0400433, 0.040509, 0.0409449, 0.0413948, 0.0422947, 0.0438891, 0.0460158, 0.0481322, 0.0513113, 0.0521529, 0.0531558, 0.0541851, 0.0552745, 0.0574532, 0.0598637, 0.0615552, 0.0626829, 0.0641865, 0.0656901, 0.0686256, 0.0702492, 0.0718729, 0.0729553, 0.0751201, 0.0778048, 0.0789216, 0.0802617, 0.081776, 0.0832904, 0.0862927, 0.0890597, 0.090826, 0.0917795, 0.0936865, 0.0958123, 0.0993291, 0.103039, 0.10475");
-            values ( \
-              "0.0824417, 0.0853153, 0.0963635, 0.0981514, 0.0993232, 0.105658, 0.110118, 0.113386, 0.115568, 0.11597, 0.11655, 0.116728, 0.11686, 0.116708, 0.116346, 0.115885, 0.114772, 0.112458, 0.109106, 0.105461, 0.0994341, 0.0976026, 0.0952227, 0.0925129, 0.0892864, 0.0819061, 0.0726288, 0.0655292, 0.0611131, 0.0557045, 0.0508438, 0.0423575, 0.0383631, 0.0348157, 0.0326387, 0.0286546, 0.0242994, 0.0225867, 0.0206947, 0.0188083, 0.0170872, 0.014252, 0.011771, 0.0104079, 0.00979803, 0.00866293, 0.00753075, 0.00596592, 0.00465436, 0.00412887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.032113, 0.0363013, 0.0373685, 0.0389897, 0.0399941, 0.0418134, 0.051539, 0.059558, 0.0664788, 0.0713633, 0.076559, 0.0887928, 0.0937346, 0.103459, 0.109489, 0.117059, 0.122608, 0.129751, 0.137723, 0.144456, 0.146957, 0.151958, 0.161961, 0.170987, 0.180782, 0.193192, 0.20465, 0.216439, 0.22957, 0.246433, 0.269403, 0.30289, 0.470153, 4.2718");
-            values ( \
-              "0.0408768, 0.109114, 0.133906, 0.145276, 0.146805, 0.146618, 0.136823, 0.127625, 0.118297, 0.109757, 0.0979809, 0.0663947, 0.0561174, 0.0394323, 0.031389, 0.0232714, 0.0185781, 0.0138292, 0.00987297, 0.0074106, 0.0066647, 0.00538427, 0.00352542, 0.00245867, 0.00166249, 0.00101486, 0.000636037, 0.000395499, 0.000230952, 0.000116608, 4.62123e-05, 1.34933e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0318448, 0.0381169, 0.0389461, 0.0402391, 0.0419418, 0.043707, 0.0562493, 0.0764484, 0.092157, 0.10032, 0.105243, 0.110881, 0.139507, 0.15545, 0.168521, 0.171974, 0.179584, 0.187956, 0.198773, 0.207748, 0.21839, 0.233432, 0.240103, 0.249114, 0.261128, 0.280181, 0.301464, 0.326139, 0.355575, 0.395255, 0.452841, 0.623717, 4.27185");
-            values ( \
-              "0.00962611, 0.158522, 0.16354, 0.166646, 0.167081, 0.166428, 0.159108, 0.146252, 0.135031, 0.12797, 0.122888, 0.116128, 0.0752239, 0.0558513, 0.0428107, 0.0398451, 0.033838, 0.0281225, 0.0220086, 0.0179094, 0.0139673, 0.00975697, 0.00832244, 0.00670207, 0.00499963, 0.00311862, 0.00182424, 0.000975236, 0.000452183, 0.00015618, 2.44108e-05, 1e-22, 1.20543e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0363525, 0.0363725, 0.0780372, 0.112184, 0.136763, 0.153958, 0.167324, 0.176234, 0.190192, 0.206931, 0.235584, 0.270188, 0.29515, 0.304527, 0.317825, 0.333022, 0.356474, 0.376982, 0.404325, 0.426366, 0.434443, 0.450597, 0.482906, 0.539526, 0.60755, 0.692988, 0.778425, 0.863863, 1.03474, 4.27187");
-            values ( \
-              "1e-22, 0.18889, 0.167777, 0.156762, 0.148082, 0.141336, 0.135202, 0.130448, 0.121829, 0.109862, 0.0880621, 0.0642906, 0.0497935, 0.0450718, 0.0389326, 0.0327784, 0.0248948, 0.0194599, 0.013876, 0.0105198, 0.00950393, 0.00774046, 0.00509632, 0.00237111, 0.000919126, 0.000260614, 7.47412e-05, 2.05372e-05, 1.33473e-06, 2.64614e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0391473, 0.0391673, 0.12354, 0.211428, 0.262659, 0.287788, 0.325995, 0.366429, 0.496743, 0.526978, 0.603239, 0.651649, 0.694114, 0.729235, 0.769831, 0.827236, 0.886538, 0.931898, 1.01734, 1.10277, 1.18821, 1.35909, 1.78627, 4.27182");
-            values ( \
-              "1e-22, 0.201234, 0.172448, 0.158298, 0.149058, 0.1439, 0.134466, 0.12187, 0.0743759, 0.0644514, 0.0434049, 0.0331195, 0.0258693, 0.0209933, 0.0164004, 0.0114714, 0.0079096, 0.00592514, 0.00338948, 0.00193028, 0.00109682, 0.000352796, 2.07303e-05, 8.34243e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.0424593, 0.0424793, 0.219294, 0.373657, 0.504314, 0.56342, 0.643331, 0.727803, 1.04178, 1.19413, 1.32414, 1.40958, 1.4786, 1.56354, 1.71729, 1.84286, 2.01374, 2.27005, 2.52636, 2.59951");
-            values ( \
-              "1e-22, 0.202561, 0.174933, 0.162923, 0.151714, 0.145895, 0.136399, 0.123782, 0.0690604, 0.0475482, 0.0335658, 0.0264094, 0.0216652, 0.0168793, 0.0106472, 0.00727156, 0.00429228, 0.00192732, 0.000867021, 0.000745189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0534719, 0.0619899, 0.0682979, 0.0763281, 0.0808988, 0.0850935, 0.0874512, 0.0883609, 0.0893871, 0.089808, 0.090033, 0.090933, 0.0938822, 0.0973365, 0.10127, 0.104501, 0.107239, 0.109403, 0.11373, 0.117101, 0.121923, 0.127096, 0.129427, 0.133345, 0.138997, 0.142983, 0.145565, 0.149553, 0.1536, 0.158514, 0.163524, 0.169628, 0.178104, 0.19205, 0.199282, 0.206902, 0.215053, 0.238154, 0.284709, 0.940535");
-            values ( \
-              "0.000241848, 0.0293653, 0.0472602, 0.0677712, 0.0784346, 0.0866195, 0.0900387, 0.0950316, 0.0974149, 0.0974016, 0.0973172, 0.0963309, 0.0895376, 0.0775295, 0.0614412, 0.0501317, 0.0426287, 0.0373733, 0.0286532, 0.0233353, 0.0171791, 0.0123343, 0.0105904, 0.00817878, 0.00559413, 0.00428521, 0.00359159, 0.00272158, 0.00206021, 0.00147521, 0.00104844, 0.000713278, 0.000481899, 0.000292588, 0.000212464, 0.000148882, 9.58055e-05, 3.06811e-05, 4.51549e-06, 4.67915e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.056754, 0.0669829, 0.0904022, 0.0961593, 0.107274, 0.135127, 0.146371, 0.156166, 0.168691, 0.177047, 0.190071, 0.199211, 0.207983, 0.217687, 0.230542, 0.241961, 0.242011");
-            values ( \
-              "0.00963072, 0.0535015, 0.134883, 0.129842, 0.114463, 0.0505611, 0.033326, 0.0226746, 0.0135464, 0.00951987, 0.00546278, 0.0037245, 0.00261109, 0.00177633, 0.00105262, 0.000666563, 0.000665457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0638887, 0.0726751, 0.0873897, 0.0888107, 0.0911248, 0.0945537, 0.105789, 0.123503, 0.132531, 0.139216, 0.143557, 0.148881, 0.153152, 0.177866, 0.185969, 0.193575, 0.206784, 0.210281, 0.218066, 0.226375, 0.237122, 0.24596, 0.256628, 0.271685, 0.27838, 0.287438, 0.299516, 0.318878, 0.340433, 0.365579, 0.395655, 0.436555, 0.496333, 0.667208, 4.27179");
-            values ( \
-              "0.0727592, 0.0836443, 0.143636, 0.153744, 0.16084, 0.159114, 0.152115, 0.140222, 0.133374, 0.12741, 0.122886, 0.116519, 0.110784, 0.0751733, 0.0648762, 0.0560611, 0.0428553, 0.0398511, 0.0337143, 0.0280574, 0.0219933, 0.0179552, 0.0139946, 0.00977095, 0.00832871, 0.00669845, 0.00498856, 0.00308585, 0.00179153, 0.000945264, 0.000429982, 0.000142824, 1.94981e-05, 1e-22, 1.24549e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0639128, 0.0774783, 0.0911082, 0.10194, 0.159938, 0.186374, 0.205352, 0.214666, 0.233294, 0.284307, 0.312314, 0.344922, 0.359964, 0.375817, 0.396267, 0.412794, 0.433131, 0.461759, 0.474505, 0.491774, 0.514799, 0.56085, 0.626645, 0.712083, 0.79752, 0.882958, 4.27182");
-            values ( \
-              "0.0543719, 0.110809, 0.17553, 0.172474, 0.153567, 0.143834, 0.135413, 0.130448, 0.118679, 0.0806976, 0.061989, 0.0441068, 0.037345, 0.0311682, 0.0245023, 0.0200947, 0.0156635, 0.0109291, 0.00930848, 0.0074773, 0.00556761, 0.00301995, 0.0012174, 0.000343785, 0.000100505, 2.57763e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0724965, 0.0889887, 0.0916691, 0.257243, 0.308936, 0.344443, 0.364578, 0.404848, 0.512637, 0.565391, 0.616599, 0.658103, 0.690547, 0.732774, 0.767656, 0.808307, 0.865753, 0.925209, 0.970704, 1.05614, 1.14158, 1.22702, 1.31246, 1.39789, 1.56877, 1.82508, 4.27179");
-            values ( \
-              "0.158679, 0.174654, 0.18368, 0.15706, 0.147542, 0.139729, 0.134466, 0.121926, 0.0823072, 0.0645053, 0.0496807, 0.0396933, 0.0330595, 0.0258577, 0.0210138, 0.0164109, 0.0114761, 0.00790509, 0.00591643, 0.00338454, 0.00192735, 0.00109525, 0.000621586, 0.000352329, 0.000113106, 2.06422e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.0769162, 0.0769362, 0.257922, 0.457499, 0.566719, 0.639784, 0.766295, 1.0804, 1.22198, 1.36276, 1.4712, 1.60216, 1.75591, 1.88147, 2.05235, 2.30866, 2.56497, 2.90673, 4.27167");
-            values ( \
-              "1e-22, 0.193835, 0.174959, 0.1592, 0.149458, 0.141698, 0.123803, 0.0690607, 0.048877, 0.0335661, 0.0247278, 0.0168796, 0.0106474, 0.00727174, 0.00429237, 0.00192741, 0.000867015, 0.00029779, 5.95698e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.112327, 0.122145, 0.128648, 0.144527, 0.15975, 0.167871, 0.175202, 0.179853, 0.182055, 0.185437, 0.188896, 0.200154, 0.209118, 0.210269, 0.21199, 0.219263, 0.227658, 0.236446, 0.245651, 0.25224, 0.257277, 0.263714, 0.277591, 0.285679, 0.294303, 0.311164, 0.338385, 0.394335, 4.27182");
-            values ( \
-              "0.0104666, 0.0110631, 0.0163773, 0.0318216, 0.0447309, 0.0508289, 0.0552679, 0.0571588, 0.0576258, 0.0573361, 0.0546145, 0.0337219, 0.0213084, 0.0200752, 0.0218946, 0.0145498, 0.00844694, 0.00468229, 0.00249514, 0.0015834, 0.00113549, 0.000762507, 0.000419136, 0.000301751, 0.000189946, 7.84979e-05, 2.18408e-05, 2.55275e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.112357, 0.128539, 0.166871, 0.182381, 0.189854, 0.194183, 0.197181, 0.200068, 0.20291, 0.207238, 0.210297, 0.211944, 0.223423, 0.233428, 0.243552, 0.248556, 0.256665, 0.266479, 0.275671, 0.285845, 0.2939, 0.301994, 0.312653, 0.326806, 0.338184, 0.350598, 0.364727, 0.384294, 0.41156, 0.501628, 4.27184");
-            values ( \
-              "0.00795287, 0.0207901, 0.0665896, 0.0834021, 0.0899537, 0.0928828, 0.0943693, 0.0951553, 0.0949455, 0.091959, 0.0872822, 0.0888171, 0.0615911, 0.0431402, 0.0293829, 0.0240951, 0.0173457, 0.0115311, 0.00779797, 0.00507504, 0.00363285, 0.00262619, 0.00169905, 0.000954297, 0.000590226, 0.000359936, 0.00019453, 9.22828e-05, 2.58138e-05, 1e-22, 3.11637e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.123427, 0.139687, 0.191256, 0.204944, 0.210303, 0.210967, 0.212296, 0.214955, 0.227708, 0.236989, 0.246203, 0.271375, 0.286765, 0.303709, 0.31995, 0.330604, 0.339261, 0.349963, 0.365038, 0.371764, 0.380887, 0.393051, 0.412774, 0.434812, 0.460659, 0.491841, 0.534657, 0.598026, 4.27185");
-            values ( \
-              "0.0318502, 0.0384058, 0.113864, 0.131127, 0.136806, 0.140293, 0.141828, 0.141869, 0.131918, 0.122884, 0.111312, 0.0750866, 0.0563367, 0.0398557, 0.0279703, 0.021977, 0.0180185, 0.0140294, 0.00979177, 0.0083384, 0.00669452, 0.00497189, 0.00304721, 0.00174385, 0.000903975, 0.000396057, 0.000125128, 1.18414e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.133766, 0.158106, 0.199593, 0.210184, 0.210912, 0.211848, 0.212889, 0.214971, 0.222314, 0.237347, 0.255466, 0.270364, 0.281368, 0.289023, 0.297771, 0.307562, 0.327142, 0.374927, 0.391213, 0.406194, 0.431229, 0.437824, 0.443931, 0.452075, 0.468204, 0.488959, 0.494661, 0.506066, 0.526265, 0.554785, 0.567394, 0.573063, 0.584402, 0.607079, 0.652433, 0.720471, 0.805908, 0.891346, 0.976784, 1.06222, 1.2331, 4.27173");
-            values ( \
-              "0.0582728, 0.0704031, 0.141689, 0.158878, 0.162059, 0.164638, 0.165635, 0.165914, 0.163687, 0.158832, 0.152629, 0.147256, 0.14297, 0.13973, 0.135649, 0.130457, 0.118019, 0.0823296, 0.0709968, 0.0613879, 0.0473436, 0.0441071, 0.0412539, 0.0376827, 0.0313519, 0.024565, 0.022952, 0.0200058, 0.0156147, 0.010914, 0.00931189, 0.00866777, 0.00750548, 0.00560909, 0.00307712, 0.00119295, 0.000340408, 9.59151e-05, 2.80917e-05, 6.84299e-06, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.140398, 0.168228, 0.212595, 0.214139, 0.216837, 0.301839, 0.360634, 0.402252, 0.437788, 0.498105, 0.605949, 0.658645, 0.709898, 0.751468, 0.783973, 0.826114, 0.860911, 0.901581, 0.95904, 1.01855, 1.06409, 1.14953, 1.23497, 1.3204, 1.49128, 1.66215, 1.91847, 4.27212");
-            values ( \
-              "0.0774339, 0.0904888, 0.177387, 0.178372, 0.178396, 0.165145, 0.155307, 0.147544, 0.139722, 0.121946, 0.0823096, 0.0645253, 0.0496851, 0.0396824, 0.0330384, 0.025854, 0.0210215, 0.0164149, 0.011478, 0.00790378, 0.00591357, 0.00338296, 0.00192636, 0.00109476, 0.000352204, 0.000113098, 2.05842e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.153765, 0.192684, 0.215988, 0.218822, 0.222766, 0.350976, 0.550606, 0.659831, 0.732851, 0.859494, 1.17351, 1.31509, 1.45587, 1.56431, 1.69527, 1.7807, 1.84902, 1.97458, 2.14545, 2.40176, 2.65808, 2.91439, 4.2716");
-            values ( \
-              "0.127531, 0.141287, 0.185054, 0.184355, 0.184589, 0.175098, 0.159193, 0.149458, 0.141704, 0.123789, 0.0690607, 0.048877, 0.0335661, 0.0247278, 0.0168796, 0.0130875, 0.0106474, 0.00727206, 0.00429262, 0.00192732, 0.000867171, 0.000383857, 3.32474e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.235565, 0.264847, 0.279009, 0.292765, 0.319251, 0.335062, 0.348683, 0.360996, 0.368836, 0.378203, 0.383735, 0.3948, 0.409401, 0.421564, 0.43402, 0.448077, 0.460558, 0.466525, 0.473413, 0.487799, 0.498087, 0.505588, 0.510996, 0.518188, 0.525044, 0.549276");
-            values ( \
-              "0.00292823, 0.00461697, 0.00734193, 0.0116885, 0.0220632, 0.0268343, 0.030256, 0.0327702, 0.0339851, 0.0348538, 0.0347751, 0.0307516, 0.0180646, 0.0112064, 0.00640723, 0.0031892, 0.0016686, 0.00120997, 0.000894339, 0.000523886, 0.000341079, 0.000261003, 0.001439, 0.0012961, 0.000617895, 0.00013154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.235599, 0.272401, 0.280933, 0.289072, 0.299688, 0.325748, 0.340561, 0.358157, 0.375566, 0.391184, 0.40108, 0.405725, 0.412874, 0.419855, 0.426938, 0.449329, 0.458337, 0.470649, 0.478056, 0.489714, 0.500633, 0.505656, 0.510798, 0.517221, 0.523152, 0.532744, 0.54407, 0.556812, 0.571073, 0.588887, 0.61279, 0.691496, 0.743894, 0.80878, 0.894218, 0.979655, 1.06509, 1.15053, 1.23597, 1.32141, 1.40684, 1.49228, 4.27181");
-            values ( \
-              "0.00347804, 0.00874358, 0.0111243, 0.0139635, 0.0186297, 0.0313198, 0.0375273, 0.0443306, 0.050436, 0.0550253, 0.0572287, 0.0579766, 0.0585917, 0.0577793, 0.0543146, 0.0333922, 0.0260683, 0.0178389, 0.0138819, 0.00918256, 0.00609855, 0.00507023, 0.00605253, 0.0053, 0.00386041, 0.00268253, 0.00158232, 0.00095689, 0.000483984, 0.00024628, 6.72594e-05, 1e-22, 1.26397e-05, 1e-22, 1.09887e-05, 1e-22, 1.04929e-05, 1e-22, 1.00697e-05, 1e-22, 9.69736e-06, 1e-22, 7.03081e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.252943, 0.284506, 0.294101, 0.30548, 0.332343, 0.356577, 0.384037, 0.407396, 0.426174, 0.437808, 0.444312, 0.450203, 0.455042, 0.456799, 0.460313, 0.465946, 0.473565, 0.475684, 0.487863, 0.50568, 0.509973, 0.527363, 0.535089, 0.544074, 0.555972, 0.566893, 0.581454, 0.592767, 0.605255, 0.621906, 0.64452, 0.671045, 0.702884, 0.74488, 0.807439, 0.978314, 4.27184");
-            values ( \
-              "0.0128735, 0.0156333, 0.0195883, 0.0251296, 0.0399149, 0.0525238, 0.0664445, 0.0775461, 0.0855182, 0.0896711, 0.0915387, 0.0928688, 0.0935734, 0.0937174, 0.0937638, 0.0930192, 0.0899815, 0.088601, 0.0784147, 0.0609863, 0.0589774, 0.0420693, 0.0357017, 0.0293531, 0.0224302, 0.0174519, 0.0123757, 0.00942908, 0.00697365, 0.00462932, 0.00261418, 0.00132685, 0.000572639, 0.000182367, 2.09441e-05, 1e-22, 5.97504e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.280736, 0.323496, 0.342908, 0.363139, 0.429142, 0.440666, 0.450555, 0.460759, 0.468033, 0.479237, 0.483206, 0.485191, 0.487175, 0.491144, 0.494809, 0.498474, 0.502139, 0.506401, 0.507223, 0.508867, 0.510511, 0.514653, 0.517736, 0.52303, 0.529662, 0.537195, 0.548495, 0.558062, 0.599952, 0.614736, 0.620908, 0.632982, 0.644785, 0.650686, 0.658714, 0.667831, 0.674273, 0.67642, 0.684678, 0.692937, 0.698292, 0.709001, 0.717404, 0.7235, 0.732645, 0.7426, 0.752556, 0.766703, 0.780849, 0.801423");
-            values ( \
-              "0.0350681, 0.0380905, 0.0495895, 0.0618471, 0.10274, 0.109663, 0.115341, 0.12097, 0.124733, 0.130212, 0.131918, 0.132964, 0.134138, 0.136872, 0.138121, 0.139229, 0.140061, 0.141191, 0.141976, 0.141814, 0.141442, 0.139799, 0.138392, 0.13586, 0.132483, 0.128129, 0.120847, 0.114201, 0.0828862, 0.0722766, 0.0681525, 0.0606205, 0.0538542, 0.0506673, 0.0465678, 0.0420236, 0.0389867, 0.0380141, 0.0347284, 0.0316509, 0.0298149, 0.0263093, 0.0237142, 0.0219374, 0.0195205, 0.0173626, 0.0153978, 0.0129665, 0.0108687, 0.00829448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.296456, 0.35608, 0.4784, 0.505951, 0.511067, 0.518907, 0.526756, 0.542455, 0.575609, 0.62721, 0.663057, 0.682858, 0.722462, 0.830868, 0.882989, 0.934701, 0.976962, 1.00944, 1.05123, 1.08552, 1.12631, 1.18385, 1.20932, 1.2437, 1.28953, 1.37497, 1.4604, 1.54584, 1.63128, 1.71672, 1.88759, 2.14391, 4.27211");
-            values ( \
-              "0.0481532, 0.0601931, 0.146512, 0.164787, 0.166962, 0.166765, 0.16498, 0.162748, 0.157123, 0.147595, 0.13965, 0.134468, 0.122154, 0.0823277, 0.0647239, 0.0497253, 0.0395653, 0.0329413, 0.0258302, 0.0210654, 0.0164369, 0.0114879, 0.00979876, 0.00789393, 0.00589428, 0.00337234, 0.00191969, 0.00109151, 0.000618821, 0.000351391, 0.000113071, 2.01755e-05, 2.95148e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.319438, 0.388028, 0.505743, 0.519222, 0.775411, 0.884633, 0.957672, 1.08426, 1.39831, 1.53988, 1.68066, 1.78911, 1.92006, 2.0738, 2.19936, 2.37024, 2.54111, 2.79742, 2.96254");
-            values ( \
-              "0.0788629, 0.0845398, 0.176361, 0.179577, 0.1592, 0.149459, 0.141702, 0.123796, 0.0690618, 0.0488777, 0.0335667, 0.0247282, 0.01688, 0.0106477, 0.00727219, 0.00429266, 0.00252336, 0.00112762, 0.000728484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.556926, 0.616479, 0.624401, 0.632865, 0.640047, 0.644407, 0.648767, 0.65721, 0.665376, 0.669459, 0.672499, 0.67554, 0.684661, 0.710453, 0.724838, 0.73105, 0.736018, 0.740986, 0.750922, 0.760858, 0.767752, 0.783111, 0.792714, 0.797358, 0.801886, 0.806512, 0.811137, 0.815624, 0.817868, 0.820112, 0.824572, 0.829033, 0.833493, 0.837953, 0.838871, 0.84116, 0.843978, 0.852212, 0.860006, 0.868059, 0.874244, 0.880225, 0.884441, 0.888888, 0.892827, 0.896766, 0.902471, 0.908176, 0.915091, 0.919158");
-            values ( \
-              "0.00227648, 0.00243108, 0.00275416, 0.00318194, 0.00361654, 0.00392064, 0.00425253, 0.00497575, 0.00577762, 0.00621596, 0.00659308, 0.00699209, 0.00834885, 0.012298, 0.0143738, 0.0152189, 0.0158181, 0.016393, 0.0174648, 0.018449, 0.0190682, 0.0201876, 0.0207318, 0.0209549, 0.0211479, 0.0212556, 0.0213012, 0.0212862, 0.0212568, 0.0212129, 0.0210597, 0.0208392, 0.0205514, 0.0201963, 0.0201003, 0.0195556, 0.0187327, 0.0157854, 0.0127945, 0.0101588, 0.00848111, 0.00707923, 0.00614837, 0.00525298, 0.00461297, 0.00403214, 0.00330497, 0.0026837, 0.00205646, 0.00175092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.531305, 0.605592, 0.624203, 0.639901, 0.648522, 0.665763, 0.692561, 0.729909, 0.749846, 0.773014, 0.798803, 0.816786, 0.827005, 0.835334, 0.851103, 0.862963, 0.874384, 0.885967, 0.898056, 0.938278, 0.957357, 0.974855, 0.993696, 1.00521, 1.0179, 1.03443, 1.05346, 1.07945, 1.11713, 1.16108, 1.21165, 1.21592, 1.22447, 1.22781, 1.23451, 1.2479, 1.26641, 1.30342, 1.37203, 4.27186");
-            values ( \
-              "0.00203634, 0.00348645, 0.00453256, 0.00567725, 0.00645328, 0.00838746, 0.0127757, 0.0202495, 0.0237169, 0.0272708, 0.0305505, 0.0324095, 0.0333121, 0.0339526, 0.0349469, 0.0354671, 0.0356333, 0.0351261, 0.0327387, 0.0163254, 0.0104687, 0.00670617, 0.00397382, 0.00282208, 0.00192728, 0.00113705, 0.000624676, 0.000262555, 9.07105e-05, 3.30688e-05, 3.0174e-05, 1.95653e-05, 0.000754448, 0.000802591, 0.000577043, 0.000325357, 0.000131324, 1.83415e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.564701, 0.645374, 0.662186, 0.689116, 0.782973, 0.826806, 0.864836, 0.901504, 0.93089, 0.940922, 0.951259, 0.961414, 0.976637, 0.993528, 1.05679, 1.09755, 1.12522, 1.15423, 1.18739, 1.21554, 1.22962, 1.27063, 1.36259, 1.4462, 1.53164, 1.61708, 1.70252, 4.27148");
-            values ( \
-              "0.0060321, 0.00890449, 0.0109629, 0.0153153, 0.0353995, 0.0430356, 0.0488182, 0.0536157, 0.0564338, 0.0570836, 0.0574404, 0.057279, 0.0552473, 0.0496915, 0.0224179, 0.0108958, 0.00626654, 0.00338752, 0.00157493, 0.00081508, 0.0015507, 0.000519854, 1e-22, 5.12278e-05, 1e-22, 4.53832e-05, 1e-22, 4.12442e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.586567, 0.679181, 0.708737, 0.771377, 0.852784, 0.905812, 0.963569, 0.985234, 1.00916, 1.02411, 1.03811, 1.05149, 1.07228, 1.09296, 1.11383, 1.21582, 1.22768, 1.2484, 1.26283, 1.28499, 1.31779, 1.33511, 1.36234, 1.39863, 1.47123, 1.55666, 1.6421, 1.72754, 4.27175");
-            values ( \
-              "0.0110479, 0.0165784, 0.0222333, 0.0370659, 0.0549985, 0.0661717, 0.0774126, 0.0812145, 0.0849893, 0.0870141, 0.0885998, 0.0897079, 0.0900792, 0.0875967, 0.0816409, 0.0377582, 0.0345848, 0.0272826, 0.0230454, 0.0175509, 0.011658, 0.00935863, 0.00661399, 0.00411885, 0.00148426, 0.000424794, 0.000118649, 3.55459e-05, 1.14472e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.624642, 0.715403, 0.765941, 0.85759, 1.00716, 1.07526, 1.10644, 1.15147, 1.17767, 1.18788, 1.20731, 1.21592, 1.22637, 1.23928, 1.27568, 1.36207, 1.41767, 1.46781, 1.51498, 1.54655, 1.5927, 1.63282, 1.6863, 1.73239, 1.75299, 1.7942, 1.8766, 1.96204, 2.04748, 2.13291, 2.21835, 2.38923, 2.64554, 4.27185");
-            values ( \
-              "0.0249167, 0.0261339, 0.0380037, 0.0608565, 0.0991815, 0.115484, 0.12215, 0.130324, 0.133832, 0.13482, 0.13584, 0.135815, 0.134369, 0.130832, 0.118825, 0.0867542, 0.0675614, 0.0525308, 0.0407919, 0.0341753, 0.0261337, 0.0205821, 0.0148308, 0.0111283, 0.00978513, 0.00754014, 0.00441619, 0.00251831, 0.0014329, 0.000813177, 0.000461473, 0.000148332, 2.68272e-05, 5.43718e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.684884, 0.813356, 0.859998, 0.922111, 1.10513, 1.13988, 1.15753, 1.17518, 1.18034, 1.1855, 1.19067, 1.20077, 1.20572, 1.21516, 1.21647, 1.2188, 1.22227, 1.22641, 1.3054, 1.37505, 1.42903, 1.45732, 1.49032, 1.51029, 1.5419, 1.56298, 1.60513, 1.62621, 1.66195, 1.72616, 1.88825, 1.94033, 1.98305, 2.0398, 2.10882, 2.13574, 2.15728, 2.18997, 2.22266, 2.26538, 2.3311, 2.37711, 2.39834, 2.44081, 2.46205, 2.50477, 2.54749, 2.58164, 2.63373, 2.6696");
-            values ( \
-              "0.0466655, 0.0515327, 0.0639353, 0.0814627, 0.135765, 0.145507, 0.151889, 0.159766, 0.159967, 0.160317, 0.160819, 0.162236, 0.16314, 0.165489, 0.166594, 0.166849, 0.166801, 0.166576, 0.160147, 0.154141, 0.149229, 0.146346, 0.142666, 0.140233, 0.13607, 0.133097, 0.12681, 0.123497, 0.117604, 0.106321, 0.07737, 0.0687832, 0.0623443, 0.0543902, 0.0454624, 0.0422358, 0.0398117, 0.0365443, 0.0334716, 0.0298206, 0.0245768, 0.0214122, 0.0201396, 0.0177916, 0.0167162, 0.0147966, 0.0130543, 0.0118164, 0.0100405, 0.0089501" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * !B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0281198, 0.041318, 0.0686349, 0.126036, 0.247456, 0.504914", \
-            "0.0329879, 0.0462269, 0.0736697, 0.13119, 0.252711, 0.51019", \
-            "0.0461193, 0.0591179, 0.0865261, 0.144109, 0.265742, 0.523306", \
-            "0.0702297, 0.089192, 0.118247, 0.175174, 0.296661, 0.554506", \
-            "0.108513, 0.138542, 0.185051, 0.25355, 0.374132, 0.630505", \
-            "0.175311, 0.219657, 0.291963, 0.401883, 0.560859, 0.818402" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.026239, 0.0449352, 0.0845553, 0.168578, 0.346862, 0.725038", \
-            "0.0262454, 0.0449342, 0.0845556, 0.168577, 0.346851, 0.725038", \
-            "0.0298269, 0.0458443, 0.0845534, 0.168581, 0.346862, 0.725038", \
-            "0.0470587, 0.0601383, 0.0905928, 0.168722, 0.346864, 0.725048", \
-            "0.0765267, 0.0979458, 0.130424, 0.190801, 0.349463, 0.725038", \
-            "0.124391, 0.158578, 0.211682, 0.288343, 0.414287, 0.737839" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0184949, 0.0191718, 0.0201213, 0.0238982, 0.028318, 0.0343779, 0.0372651, 0.0412555, 0.0476886, 0.0522682, 0.0564225, 0.0607933, 0.0647005, 0.0676919, 0.0726645, 0.0786624, 0.0840771, 0.0913562, 0.101062, 0.11592, 0.133678, 0.155867, 0.185919, 4.27719");
-            values ( \
-              "0.107639, 0.122129, 0.12304, 0.119139, 0.112372, 0.101937, 0.0958792, 0.084421, 0.0592326, 0.0448419, 0.0349165, 0.026775, 0.0210855, 0.0174675, 0.0127103, 0.00858819, 0.00598185, 0.00366689, 0.00186265, 0.000614513, 0.000155963, 2.18777e-05, 2.18207e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0185835, 0.0201317, 0.0205496, 0.0217659, 0.0240496, 0.0360584, 0.0422393, 0.0485008, 0.0519552, 0.0552256, 0.0597905, 0.0726531, 0.0800675, 0.0847977, 0.0908269, 0.0953192, 0.100299, 0.106922, 0.112996, 0.121096, 0.127548, 0.134695, 0.144225, 0.163283, 0.188345, 0.219289, 0.258364, 4.27719");
-            values ( \
-              "0.0626291, 0.150783, 0.151015, 0.150657, 0.14889, 0.136588, 0.129633, 0.121824, 0.11675, 0.111098, 0.101208, 0.0678122, 0.0528194, 0.0445256, 0.0355709, 0.029949, 0.024616, 0.0188228, 0.0146563, 0.0104074, 0.00789807, 0.00580764, 0.00382296, 0.00157972, 0.000455558, 8.95967e-05, 4.37338e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0188313, 0.0235442, 0.0245742, 0.0266343, 0.0298148, 0.0405869, 0.0575145, 0.0635899, 0.0755136, 0.0820379, 0.0894971, 0.0971404, 0.104922, 0.120484, 0.134685, 0.142975, 0.153493, 0.160906, 0.169886, 0.181737, 0.185385, 0.192681, 0.207272, 0.218335, 0.222423, 0.230599, 0.24695, 0.279653, 0.323678, 0.3778, 0.446121, 4.27721");
-            values ( \
-              "0.12827, 0.168555, 0.168232, 0.166954, 0.165315, 0.158993, 0.148462, 0.144463, 0.135976, 0.130539, 0.123089, 0.113735, 0.102693, 0.0796671, 0.0617272, 0.0524821, 0.0422928, 0.0361195, 0.0296469, 0.0226482, 0.0208294, 0.0175662, 0.0123936, 0.00948304, 0.00858795, 0.0070325, 0.0046822, 0.00198467, 0.00057354, 0.000113457, 5.87123e-06, 2.75782e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0201271, 0.0201471, 0.062115, 0.0846627, 0.108296, 0.132228, 0.145273, 0.157648, 0.175724, 0.229803, 0.247272, 0.26405, 0.290405, 0.300698, 0.317734, 0.340303, 0.360684, 0.387858, 0.410024, 0.434389, 0.466877, 0.531852, 0.616937, 0.702481, 0.788025, 1.04466, 4.27721");
-            values ( \
-              "1e-22, 0.185286, 0.167829, 0.160702, 0.152737, 0.143841, 0.138233, 0.132011, 0.120877, 0.0807828, 0.0687782, 0.0582944, 0.0441531, 0.0393989, 0.0324792, 0.0248986, 0.0194819, 0.0139188, 0.0105252, 0.00772754, 0.00507862, 0.00207625, 0.000597547, 0.000169307, 4.89175e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0217934, 0.0218134, 0.107361, 0.185466, 0.237223, 0.299837, 0.33035, 0.37302, 0.484739, 0.564035, 0.597668, 0.631513, 0.676572, 0.716424, 0.76956, 0.816078, 0.878497, 0.961722, 1.04727, 1.13281, 1.21836, 1.38944, 1.90271, 4.27712");
-            values ( \
-              "1e-22, 0.195067, 0.17247, 0.159882, 0.150728, 0.13709, 0.128332, 0.113762, 0.0729727, 0.0491328, 0.040969, 0.0339064, 0.0260775, 0.0205716, 0.0148726, 0.0111177, 0.00750322, 0.0043824, 0.00248688, 0.00142578, 0.000797101, 0.000251132, 1.78856e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0263494, 0.0263694, 0.203254, 0.366862, 0.47519, 0.557941, 0.627586, 0.755658, 1.04156, 1.19473, 1.28866, 1.3742, 1.45827, 1.58543, 1.72229, 1.89338, 2.06446, 2.23555, 2.49218, 2.83436, 4.27705");
-            values ( \
-              "1e-22, 0.20242, 0.1747, 0.161963, 0.152725, 0.144606, 0.136225, 0.11627, 0.0665844, 0.0455508, 0.0354326, 0.0279136, 0.0219556, 0.0151134, 0.0100158, 0.00593224, 0.00349249, 0.00204879, 0.00091823, 0.000313918, 2.92891e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0282772, 0.0404358, 0.0426799, 0.0473634, 0.0511239, 0.0548553, 0.0573641, 0.0650439, 0.0697306, 0.0727019, 0.0765198, 0.0826448, 0.0868559, 0.0907371, 0.0959119, 0.100106, 0.104773, 0.110995, 0.123439, 0.139604, 0.159618, 0.184913, 1.33644");
-            values ( \
-              "0.0111078, 0.116538, 0.114012, 0.106507, 0.0995058, 0.0905083, 0.0822346, 0.0522805, 0.0398594, 0.0333147, 0.0264388, 0.0180816, 0.0138143, 0.0107512, 0.00763362, 0.00577752, 0.00422862, 0.00276345, 0.00113281, 0.000326172, 6.90695e-05, 2.0811e-06, 2.39256e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0282723, 0.0406841, 0.0419637, 0.0445229, 0.055592, 0.0621512, 0.0671785, 0.0740618, 0.0886228, 0.0946243, 0.0990962, 0.106008, 0.116267, 0.122674, 0.128106, 0.133954, 0.142291, 0.145943, 0.153247, 0.167856, 0.190887, 0.218279, 0.252506, 0.298484, 4.2772");
-            values ( \
-              "0.00115532, 0.146682, 0.146246, 0.144042, 0.132172, 0.124304, 0.117244, 0.104194, 0.0671966, 0.0546895, 0.0465816, 0.0360296, 0.0241969, 0.0186637, 0.0149238, 0.0116784, 0.00817148, 0.00699104, 0.00508926, 0.00264018, 0.000868502, 0.000217949, 2.90102e-05, 1.53634e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0282582, 0.0423386, 0.0438882, 0.0454512, 0.0485772, 0.0523266, 0.0562224, 0.0564013, 0.0792251, 0.0929123, 0.0971007, 0.102685, 0.110116, 0.120527, 0.136431, 0.149071, 0.157787, 0.168838, 0.17709, 0.185857, 0.197515, 0.208018, 0.222021, 0.233679, 0.23795, 0.246491, 0.263574, 0.29774, 0.342057, 0.396875, 0.46608, 4.27718");
-            values ( \
-              "0.00763294, 0.167032, 0.165799, 0.165502, 0.163213, 0.161485, 0.158706, 0.158861, 0.144476, 0.134559, 0.131044, 0.125725, 0.1172, 0.102779, 0.0791902, 0.0632058, 0.0533486, 0.0425419, 0.0356835, 0.0294287, 0.0225687, 0.0176854, 0.0126683, 0.00954729, 0.00861582, 0.00698476, 0.00457004, 0.00184833, 0.000532317, 9.82689e-05, 7.64812e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0282338, 0.0447153, 0.0854722, 0.12402, 0.151715, 0.160997, 0.173372, 0.191448, 0.206697, 0.245527, 0.262994, 0.279773, 0.306128, 0.31642, 0.333456, 0.356025, 0.376403, 0.403575, 0.42574, 0.43386, 0.450102, 0.482584, 0.54755, 0.632628, 0.718172, 0.803716, 0.889261, 1.06035, 4.27716");
-            values ( \
-              "0.02567, 0.178039, 0.165498, 0.15273, 0.142298, 0.138234, 0.132012, 0.120877, 0.109925, 0.0807835, 0.0687796, 0.0582957, 0.0441542, 0.0393998, 0.0324803, 0.0248996, 0.0194831, 0.0139202, 0.0105263, 0.00950974, 0.00772873, 0.00507984, 0.00207696, 0.00059792, 0.000169327, 4.90094e-05, 1.21433e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0368939, 0.0369139, 0.123218, 0.21859, 0.268006, 0.294891, 0.320404, 0.357893, 0.503466, 0.537637, 0.580724, 0.61195, 0.646407, 0.692023, 0.733108, 0.78789, 0.832732, 0.881963, 0.947606, 1.03315, 1.11869, 1.20424, 1.37533, 1.54641, 1.88859, 4.27714");
-            values ( \
-              "1e-22, 0.191708, 0.172524, 0.156939, 0.147862, 0.142164, 0.135857, 0.124576, 0.0720104, 0.0610978, 0.0489236, 0.0413327, 0.0340959, 0.0261431, 0.0204715, 0.014645, 0.0110632, 0.0081206, 0.00534062, 0.00303951, 0.0017402, 0.000977398, 0.000309761, 9.51579e-05, 4.47452e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.041354, 0.041374, 0.21919, 0.382798, 0.491125, 0.573877, 0.643522, 0.686049, 0.771594, 0.952651, 1.05749, 1.14304, 1.21067, 1.30459, 1.39014, 1.47421, 1.52933, 1.60136, 1.68691, 1.73821, 1.82376, 1.9093, 2.08039, 2.25148, 2.50811, 2.85029, 4.27708");
-            values ( \
-              "1e-22, 0.200387, 0.174757, 0.161965, 0.152727, 0.144606, 0.136225, 0.1302, 0.11627, 0.083917, 0.0665846, 0.054133, 0.045551, 0.0354329, 0.0279138, 0.0219559, 0.0187011, 0.0151136, 0.0116944, 0.0100161, 0.00771709, 0.00593241, 0.00349259, 0.00204885, 0.000918256, 0.000313927, 3.10373e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0529841, 0.0682016, 0.0755616, 0.0793932, 0.0834412, 0.085586, 0.0874464, 0.0885936, 0.0897812, 0.0906034, 0.0914803, 0.0939057, 0.0952484, 0.103274, 0.107876, 0.110691, 0.114994, 0.118783, 0.121749, 0.125706, 0.129084, 0.132869, 0.138259, 0.140655, 0.143898, 0.148223, 0.156872, 0.172599, 0.191242, 0.215048, 0.246804, 4.27717");
-            values ( \
-              "0.00105024, 0.0468199, 0.0657246, 0.0748984, 0.0834436, 0.087205, 0.0898723, 0.0952013, 0.0971532, 0.0965618, 0.0952253, 0.0893131, 0.0850709, 0.0541786, 0.0411704, 0.0347387, 0.0267506, 0.021219, 0.0176066, 0.0136715, 0.0109922, 0.00857313, 0.00598229, 0.00510191, 0.00410649, 0.00306407, 0.00168125, 0.000512133, 0.000117894, 1.31381e-05, 1.0523e-06, 8.64157e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.058628, 0.0629828, 0.0714822, 0.080034, 0.0874602, 0.0881586, 0.0891124, 0.0900082, 0.0917998, 0.0962098, 0.102761, 0.105627, 0.108585, 0.112418, 0.118026, 0.122823, 0.127657, 0.133054, 0.137524, 0.144436, 0.150206, 0.154694, 0.1611, 0.166531, 0.172378, 0.180712, 0.184362, 0.191662, 0.206261, 0.229287, 0.256671, 0.290888, 0.336843, 4.27719");
-            values ( \
-              "0.0264817, 0.0386809, 0.0692255, 0.0985429, 0.121774, 0.128007, 0.132963, 0.134325, 0.134327, 0.129682, 0.121439, 0.117223, 0.112233, 0.104364, 0.0903112, 0.0775297, 0.0658141, 0.0546908, 0.0465862, 0.0360329, 0.0288995, 0.0242003, 0.0186667, 0.0149273, 0.0116818, 0.00817453, 0.00699456, 0.00509264, 0.00264329, 0.000869618, 0.000218497, 2.89774e-05, 1.66679e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0588207, 0.0725078, 0.0828396, 0.0874237, 0.0888409, 0.0911256, 0.0928894, 0.0945841, 0.0988925, 0.105821, 0.111521, 0.117593, 0.129517, 0.131402, 0.136041, 0.142227, 0.146047, 0.151139, 0.158924, 0.174494, 0.178111, 0.178677, 0.183693, 0.188725, 0.197, 0.207501, 0.209963, 0.214888, 0.223874, 0.235729, 0.23938, 0.246682, 0.261286, 0.272329, 0.276408, 0.284568, 0.300886, 0.333523, 0.377527, 0.431606, 0.49987, 0.670959, 0.756503, 0.842047, 0.927591, 1.01314, 4.27715");
-            values ( \
-              "0.0158545, 0.0825284, 0.125104, 0.143393, 0.153542, 0.160624, 0.159815, 0.158953, 0.156344, 0.152078, 0.148458, 0.144476, 0.13597, 0.134468, 0.130541, 0.124508, 0.120184, 0.113743, 0.102697, 0.07966, 0.0751921, 0.0742793, 0.0678074, 0.0616857, 0.0524608, 0.0422892, 0.0401624, 0.0361367, 0.029658, 0.0226547, 0.020834, 0.0175673, 0.0123906, 0.00948541, 0.00859194, 0.00703854, 0.00469029, 0.00199193, 0.00057622, 0.000114101, 6.04518e-06, 1e-22, 3.48587e-06, 1e-22, 3.45557e-06, 1e-22, 2.70119e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0587108, 0.0794042, 0.0910004, 0.0928884, 0.0946876, 0.116323, 0.162503, 0.179213, 0.18996, 0.198358, 0.209555, 0.22438, 0.245125, 0.276769, 0.300299, 0.31751, 0.344605, 0.355418, 0.372254, 0.394642, 0.4013, 0.414616, 0.441248, 0.463959, 0.47412, 0.494441, 0.535083, 0.611946, 0.69749, 0.783035, 0.954123, 4.27716");
-            values ( \
-              "0.0114118, 0.119167, 0.175135, 0.174976, 0.174601, 0.167938, 0.152728, 0.14666, 0.142392, 0.138743, 0.133242, 0.124547, 0.109989, 0.0858624, 0.0695599, 0.0587359, 0.0441555, 0.0391734, 0.0323616, 0.0248605, 0.022974, 0.0195482, 0.0140634, 0.0105625, 0.00929868, 0.00716879, 0.00421476, 0.00141048, 0.000406497, 0.000110145, 4.71891e-06, 3.30356e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0705092, 0.0864874, 0.0894435, 0.09245, 0.169358, 0.25705, 0.31373, 0.354169, 0.384683, 0.427352, 0.539069, 0.573303, 0.618365, 0.651997, 0.685842, 0.7309, 0.770749, 0.823881, 0.870397, 0.932808, 1.01602, 1.10157, 1.18711, 1.27266, 1.44374, 1.95701, 4.277");
-            values ( \
-              "0.136257, 0.158507, 0.179815, 0.182924, 0.171329, 0.156936, 0.146388, 0.13709, 0.128332, 0.113762, 0.0729737, 0.0619512, 0.0491337, 0.04097, 0.0339072, 0.0260785, 0.0205728, 0.0148736, 0.0111188, 0.00750436, 0.00438326, 0.00248751, 0.00142601, 0.000797358, 0.000251271, 1.87241e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.0704561, 0.0934187, 0.257768, 0.421278, 0.529908, 0.612457, 0.660837, 0.724637, 0.810181, 1.03616, 1.09607, 1.22576, 1.34319, 1.42874, 1.51276, 1.63991, 1.77679, 1.86233, 1.94788, 2.11897, 2.29005, 2.54669, 2.88886, 4.277");
-            values ( \
-              "0.127813, 0.18693, 0.174798, 0.161975, 0.152711, 0.144606, 0.138982, 0.130199, 0.116269, 0.0762483, 0.0665859, 0.048406, 0.0354313, 0.0279125, 0.0219574, 0.0151154, 0.0100163, 0.00771726, 0.00593254, 0.00349267, 0.0020489, 0.000918277, 0.000313934, 3.56717e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105165, 0.122814, 0.128529, 0.137229, 0.146478, 0.164258, 0.16793, 0.175261, 0.178461, 0.181764, 0.182862, 0.184325, 0.186596, 0.188964, 0.200402, 0.203817, 0.209209, 0.21028, 0.210764, 0.211731, 0.212987, 0.220943, 0.224972, 0.228496, 0.235451, 0.239415, 0.247342, 0.261864, 0.278922, 0.300362, 0.328175, 0.371655, 0.449215, 0.534759, 0.620304, 0.705848, 0.791392, 0.876936, 0.96248, 1.04802, 1.13357, 1.21911, 1.30466, 1.3902, 1.47575, 1.56129, 1.64683, 1.73238, 1.81792, 4.27715");
-            values ( \
-              "0.00178256, 0.0113359, 0.0161126, 0.0249431, 0.0334234, 0.0480591, 0.0506924, 0.0551766, 0.0565693, 0.0575032, 0.0576007, 0.0575259, 0.0567002, 0.0545004, 0.0334882, 0.0282691, 0.0214489, 0.0203193, 0.0214639, 0.0221442, 0.0213254, 0.0132758, 0.0102014, 0.00810121, 0.00509714, 0.00389398, 0.00226435, 0.000766175, 0.000214129, 2.8864e-05, 8.22368e-06, 1e-22, 5.92319e-06, 1e-22, 5.91159e-06, 1e-22, 5.88002e-06, 1e-22, 5.85051e-06, 1e-22, 5.82195e-06, 1e-22, 5.79376e-06, 1e-22, 5.76578e-06, 1e-22, 5.73798e-06, 1e-22, 5.71034e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.124461, 0.141389, 0.150078, 0.158924, 0.16288, 0.1634, 0.173202, 0.180479, 0.18393, 0.187385, 0.189691, 0.194301, 0.194947, 0.19624, 0.197792, 0.199343, 0.200378, 0.201412, 0.203016, 0.203586, 0.204156, 0.205295, 0.206435, 0.208407, 0.210379, 0.212029, 0.212733, 0.213436, 0.214842, 0.221007, 0.226491, 0.228192, 0.229893, 0.231594, 0.234997, 0.236698, 0.23963, 0.24383, 0.24623, 0.24863, 0.251828, 0.256895, 0.258764, 0.260632, 0.263536, 0.26644, 0.270591, 0.274742, 0.277449, 0.281961");
-            values ( \
-              "0.0345903, 0.0359568, 0.0464774, 0.0569381, 0.0617402, 0.0622485, 0.0735885, 0.0815375, 0.084682, 0.0876312, 0.0894877, 0.0929345, 0.0932493, 0.0941107, 0.094716, 0.0950665, 0.0951743, 0.0951883, 0.0950244, 0.0948151, 0.0944807, 0.0929947, 0.0917199, 0.0905029, 0.088938, 0.0873609, 0.0862847, 0.0850852, 0.0823164, 0.0671939, 0.0554493, 0.0521977, 0.0491117, 0.0462482, 0.0409041, 0.0384235, 0.0344463, 0.0290437, 0.0265098, 0.0241451, 0.0213129, 0.0172106, 0.0158633, 0.0146268, 0.0130015, 0.011521, 0.00973166, 0.00808597, 0.00709018, 0.00567021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.133975, 0.159107, 0.18185, 0.193127, 0.197544, 0.199379, 0.201215, 0.20305, 0.204886, 0.206276, 0.207666, 0.209056, 0.210446, 0.211086, 0.211726, 0.212153, 0.213006, 0.214045, 0.214657, 0.215881, 0.218881, 0.221131, 0.224947, 0.228774, 0.232949, 0.236853, 0.241877, 0.247048, 0.252388, 0.259384, 0.272125, 0.273486, 0.278932, 0.286193, 0.29294, 0.299686, 0.307871, 0.311338, 0.315388, 0.319438, 0.324679, 0.329919, 0.334116, 0.338312, 0.346264, 0.352642, 0.35637, 0.363824, 0.370509, 0.376575");
-            values ( \
-              "0.0611397, 0.0662768, 0.100171, 0.116194, 0.121765, 0.124599, 0.12774, 0.131186, 0.134938, 0.136377, 0.13748, 0.138247, 0.138678, 0.139914, 0.141684, 0.141711, 0.141688, 0.14152, 0.141351, 0.140868, 0.13886, 0.13721, 0.134259, 0.130953, 0.127022, 0.122956, 0.11676, 0.109953, 0.102495, 0.0919294, 0.0737034, 0.072297, 0.0650538, 0.0567419, 0.0496833, 0.0432644, 0.0362276, 0.0334909, 0.0307186, 0.0281167, 0.0250655, 0.0221742, 0.0199889, 0.0179936, 0.0150058, 0.0129356, 0.0118465, 0.00990502, 0.00841235, 0.00714483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.149238, 0.185827, 0.190208, 0.194589, 0.19897, 0.200438, 0.201906, 0.203374, 0.205384, 0.207008, 0.209991, 0.210715, 0.212162, 0.213598, 0.215179, 0.217552, 0.222281, 0.233297, 0.247137, 0.255425, 0.263245, 0.281485, 0.285016, 0.294195, 0.303856, 0.307419, 0.316919, 0.331169, 0.33815, 0.35599, 0.36491, 0.391902, 0.403775, 0.413663, 0.419594, 0.431456, 0.449864, 0.456936, 0.464009, 0.475769, 0.487529, 0.494192, 0.500856, 0.50752, 0.520847, 0.534175, 0.545518, 0.55686, 0.567009, 0.577158");
-            values ( \
-              "0.11175, 0.117889, 0.127224, 0.137262, 0.148003, 0.148176, 0.148665, 0.149471, 0.151088, 0.152829, 0.157631, 0.162549, 0.163726, 0.165681, 0.165538, 0.165125, 0.163752, 0.160181, 0.155554, 0.152702, 0.149878, 0.142965, 0.141479, 0.137266, 0.1324, 0.130433, 0.124493, 0.114887, 0.109874, 0.0962046, 0.0895291, 0.0700496, 0.062581, 0.0567436, 0.0534094, 0.0471167, 0.0382111, 0.0353547, 0.032655, 0.0286167, 0.0248058, 0.0227471, 0.0209148, 0.0192292, 0.0164279, 0.013954, 0.0121733, 0.0104958, 0.00908221, 0.00781395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.105095, 0.230653, 0.332246, 0.383953, 0.446601, 0.477112, 0.519784, 0.6315, 0.710796, 0.744427, 0.778272, 0.823329, 0.863177, 0.916308, 0.962824, 1.02523, 1.10844, 1.19398, 1.27953, 1.36507, 1.53616, 2.04943, 4.27708");
-            values ( \
-              "0.00907777, 0.176153, 0.159883, 0.150738, 0.13709, 0.128333, 0.113763, 0.072974, 0.0491341, 0.0409704, 0.0339076, 0.0260789, 0.0205732, 0.0148741, 0.0111192, 0.00750482, 0.00438366, 0.00248775, 0.00142613, 0.000797445, 0.000251306, 1.88276e-06, 4.82559e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.141682, 0.184055, 0.211726, 0.218778, 0.222062, 0.227861, 0.236007, 0.246541, 0.257154, 0.3444, 0.550425, 0.70538, 0.817752, 0.903296, 1.12902, 1.18881, 1.31868, 1.43668, 1.52222, 1.60511, 1.73188, 1.8697, 1.95525, 2.04079, 2.21188, 2.38297, 2.6396, 2.98178, 4.27733");
-            values ( \
-              "0.0731831, 0.12311, 0.182619, 0.184913, 0.184131, 0.184236, 0.183141, 0.182859, 0.1816, 0.175491, 0.159002, 0.14461, 0.130175, 0.116242, 0.0762651, 0.0666185, 0.0484116, 0.0353798, 0.0278706, 0.0219961, 0.0151595, 0.0100174, 0.00771814, 0.00593322, 0.00349307, 0.00204913, 0.000918386, 0.000313972, 5.75725e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.263051, 0.291154, 0.314214, 0.315541, 0.322164, 0.325685, 0.330944, 0.337255, 0.342826, 0.347464, 0.355183, 0.361356, 0.361877, 0.363963, 0.366048, 0.370915, 0.374149, 0.376608, 0.37849, 0.380372, 0.381303, 0.383164, 0.384095, 0.385488, 0.386881, 0.388274, 0.389667, 0.392789, 0.39435, 0.396408, 0.397651, 0.400632, 0.403613, 0.407353, 0.411092, 0.412809, 0.415173, 0.417875, 0.422311, 0.426661, 0.429744, 0.43301, 0.436099, 0.439188, 0.443752, 0.446034, 0.448316, 0.453974, 0.456494, 0.459014");
-            values ( \
-              "0.0105628, 0.0111943, 0.0201271, 0.0205919, 0.0228282, 0.0239507, 0.025527, 0.0272955, 0.0287539, 0.0298137, 0.0314745, 0.0327098, 0.0327788, 0.0331546, 0.0334757, 0.0341351, 0.0345144, 0.0347586, 0.0348077, 0.034789, 0.0347548, 0.0346365, 0.0345525, 0.0342956, 0.0339528, 0.033524, 0.0330092, 0.0314801, 0.0306005, 0.029297, 0.0282165, 0.0253571, 0.022755, 0.0198228, 0.0172596, 0.016283, 0.0147864, 0.013217, 0.0110422, 0.0091875, 0.00795061, 0.00675464, 0.00585988, 0.00505823, 0.00406535, 0.00362933, 0.00323359, 0.00240013, 0.00206418, 0.00176488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.196295, 0.25331, 0.266527, 0.274935, 0.28865, 0.295641, 0.328114, 0.345972, 0.368054, 0.375906, 0.391558, 0.398205, 0.405066, 0.408195, 0.412367, 0.420182, 0.434314, 0.447451, 0.462859, 0.477762, 0.489889, 0.502411, 0.506047, 0.509691, 0.515286, 0.520133, 0.529828, 0.541186, 0.555098");
-            values ( \
-              "0.000776241, 0.00420972, 0.00689746, 0.00900717, 0.0134931, 0.0164025, 0.0320156, 0.0393681, 0.0476046, 0.0502715, 0.0549725, 0.0565718, 0.0578912, 0.0583173, 0.0586458, 0.0579352, 0.0488294, 0.0355828, 0.0231888, 0.0143098, 0.00929029, 0.00571884, 0.00497494, 0.00612746, 0.00565772, 0.00429888, 0.00290269, 0.00169602, 0.00102726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.273013, 0.3104, 0.331888, 0.367732, 0.387162, 0.400945, 0.407218, 0.414862, 0.422502, 0.432686, 0.437778, 0.438928, 0.442091, 0.444391, 0.446692, 0.451732, 0.454253, 0.456773, 0.461469, 0.466165, 0.470861, 0.475557, 0.475837, 0.479757, 0.484236, 0.495523, 0.505587, 0.505816, 0.506505, 0.506963, 0.507469, 0.508296, 0.510502, 0.514117, 0.520233, 0.528268, 0.533209, 0.536915, 0.543146, 0.545223, 0.550649, 0.557578, 0.56359, 0.565094, 0.567708, 0.575553, 0.582953, 0.590353, 0.596526, 0.602773");
-            values ( \
-              "0.0271365, 0.0277469, 0.039464, 0.058034, 0.0678257, 0.0746029, 0.0776016, 0.0808268, 0.0839152, 0.0878218, 0.089685, 0.0899839, 0.09107, 0.0921263, 0.0934347, 0.0930316, 0.0927729, 0.092476, 0.0918211, 0.0910338, 0.090114, 0.0890619, 0.088934, 0.085901, 0.0819734, 0.0712349, 0.0611933, 0.0615135, 0.0615986, 0.0615888, 0.0614557, 0.061016, 0.0589984, 0.0549655, 0.0487475, 0.0412981, 0.0370097, 0.034046, 0.0297928, 0.0284657, 0.0252749, 0.0214699, 0.0184982, 0.0178207, 0.016801, 0.0140143, 0.0117888, 0.00986919, 0.00849082, 0.00718283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.253032, 0.31031, 0.355106, 0.420364, 0.448501, 0.457248, 0.478808, 0.486939, 0.505769, 0.507269, 0.508764, 0.511527, 0.523035, 0.53317, 0.553439, 0.599655, 0.617673, 0.63348, 0.662372, 0.675652, 0.689818, 0.713309, 0.719964, 0.733272, 0.759888, 0.782611, 0.792777, 0.813107, 0.853769, 0.930549, 1.01609, 1.10164, 1.18718, 1.35827, 4.27725");
-            values ( \
-              "0.0106261, 0.030316, 0.056761, 0.097307, 0.114108, 0.119003, 0.129963, 0.133555, 0.140051, 0.141876, 0.14203, 0.141137, 0.135869, 0.130511, 0.117576, 0.0829103, 0.0704322, 0.060353, 0.0445851, 0.0385032, 0.0327758, 0.024867, 0.0229707, 0.0195566, 0.0140641, 0.0105695, 0.00929598, 0.0071733, 0.00420975, 0.00141626, 0.000402946, 0.000114296, 3.26228e-05, 2.9543e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.252934, 0.322271, 0.328058, 0.385692, 0.4812, 0.510759, 0.51831, 0.525911, 0.541114, 0.574719, 0.626343, 0.648726, 0.682008, 0.702363, 0.74502, 0.810056, 0.856735, 0.890966, 0.936031, 0.969664, 1.00351, 1.04856, 1.08841, 1.14154, 1.18806, 1.20886, 1.25046, 1.33367, 1.41922, 1.50476, 1.5903, 1.76139, 1.93248, 2.27466, 4.27715");
-            values ( \
-              "0.00948284, 0.0390501, 0.0424729, 0.0803936, 0.148541, 0.166814, 0.166645, 0.164906, 0.162721, 0.156999, 0.147452, 0.142677, 0.134341, 0.128328, 0.113763, 0.0894261, 0.0729746, 0.0619529, 0.0491344, 0.0409703, 0.0339079, 0.026079, 0.0205735, 0.0148747, 0.0111194, 0.00977997, 0.00750496, 0.00438398, 0.00248772, 0.00142633, 0.000797347, 0.000251186, 7.57727e-05, 1.75774e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.252761, 0.380339, 0.508909, 0.515732, 0.526918, 0.671575, 0.845966, 0.977141, 1.04093, 1.12647, 1.41237, 1.49792, 1.59685, 1.65947, 1.78085, 1.88421, 1.95624, 2.09308, 2.26417, 2.43526, 2.60635, 2.86298, 3.11961, 4.27698");
-            values ( \
-              "0.00372853, 0.0788967, 0.1787, 0.179609, 0.17805, 0.167245, 0.152733, 0.138981, 0.1302, 0.11627, 0.0665847, 0.0541331, 0.0419495, 0.0354339, 0.0252183, 0.018701, 0.0151138, 0.0100166, 0.00593271, 0.00349277, 0.00204896, 0.000918304, 0.000409494, 1.03314e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.573829, 0.631708, 0.635996, 0.644443, 0.65289, 0.661337, 0.669785, 0.675402, 0.691527, 0.706198, 0.722617, 0.729673, 0.737628, 0.744261, 0.753419, 0.763374, 0.773401, 0.783428, 0.784229, 0.787436, 0.791712, 0.795987, 0.802244, 0.805216, 0.806206, 0.8098, 0.815165, 0.82048, 0.824023, 0.827567, 0.83111, 0.834654, 0.83786, 0.839936, 0.84175, 0.844975, 0.853673, 0.86042, 0.866706, 0.873068, 0.879403, 0.883981, 0.888913, 0.892618, 0.896323, 0.899013, 0.904393, 0.907084, 0.912286, 0.917428");
-            values ( \
-              "0.00302938, 0.00320576, 0.00347525, 0.00405857, 0.00471133, 0.00543354, 0.00622519, 0.00689054, 0.00931534, 0.0116061, 0.0139812, 0.0149282, 0.0159321, 0.0167161, 0.0177113, 0.0185926, 0.0194057, 0.0201439, 0.0201782, 0.0203826, 0.0206122, 0.0208099, 0.0210815, 0.0211942, 0.0212283, 0.0212991, 0.0213407, 0.0213063, 0.0212178, 0.0210801, 0.0208931, 0.0206569, 0.0202711, 0.0199671, 0.0195336, 0.0185664, 0.0154019, 0.012847, 0.010695, 0.00890822, 0.00735112, 0.00633165, 0.00533266, 0.00472266, 0.00416463, 0.00379197, 0.00312886, 0.00283841, 0.00233874, 0.00187993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.53131, 0.60781, 0.638323, 0.648166, 0.66785, 0.690244, 0.745803, 0.788401, 0.801207, 0.837618, 0.855471, 0.864514, 0.874174, 0.893494, 0.942468, 0.97198, 0.991803, 1.01302, 1.03728, 1.07648, 1.12356, 1.21548, 1.23117, 1.27715, 1.32002, 1.38668, 1.47222, 1.55777, 1.64331, 1.72885, 1.8144, 1.89994, 1.98549, 2.04128");
-            values ( \
-              "0.00200925, 0.00358344, 0.00552598, 0.00641579, 0.00867153, 0.0123751, 0.0230297, 0.0292823, 0.0307922, 0.0341937, 0.035283, 0.0356276, 0.0357548, 0.0341114, 0.0148907, 0.00713723, 0.00405163, 0.00214259, 0.000952615, 0.000223317, 4.27687e-05, 1.12181e-05, 0.000650388, 1.79826e-05, 6.38168e-05, 1e-22, 5.33141e-05, 1e-22, 4.76365e-05, 1e-22, 4.25889e-05, 1e-22, 3.81372e-05, 1.32626e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.531794, 0.611068, 0.636987, 0.660636, 0.692734, 0.737937, 0.783762, 0.815041, 0.848258, 0.865407, 0.899583, 0.914113, 0.929112, 0.944677, 0.961919, 0.992263, 1.04411, 1.07181, 1.08546, 1.10366, 1.11848, 1.13973, 1.16805, 1.21596, 1.23059, 1.28135, 1.32839, 1.39992, 1.48547, 1.57101, 1.65655, 1.7421, 1.82764, 1.91319, 1.99873, 2.08427, 2.16982, 2.25536, 2.34091, 2.42645, 2.512, 2.59754, 2.68308, 2.76863, 4.27722");
-            values ( \
-              "0.00345815, 0.00561066, 0.00787245, 0.0106039, 0.0158469, 0.025914, 0.0353606, 0.040953, 0.0463217, 0.0488706, 0.0533894, 0.0549876, 0.0563673, 0.0573514, 0.0574212, 0.050914, 0.0274664, 0.017548, 0.0137512, 0.00973749, 0.00722053, 0.00463771, 0.00248017, 0.000732149, 0.0014813, 0.000359698, 0.000183168, 1e-22, 5.99411e-05, 1e-22, 5.27543e-05, 1e-22, 4.60841e-05, 1e-22, 4.0258e-05, 1e-22, 3.51716e-05, 1e-22, 3.07305e-05, 1e-22, 2.68524e-05, 1e-22, 2.34656e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.531409, 0.64766, 0.666256, 0.708339, 0.828146, 0.94048, 0.96335, 1.00898, 1.02899, 1.05132, 1.09309, 1.13482, 1.21557, 1.22646, 1.24839, 1.28511, 1.31793, 1.33527, 1.36253, 1.39887, 1.47155, 1.55709, 1.64264, 1.72818, 4.27683");
-            values ( \
-              "0.00271332, 0.0118305, 0.0144377, 0.022119, 0.0497152, 0.0731375, 0.0774478, 0.0850141, 0.0876312, 0.0897035, 0.0877411, 0.0733468, 0.0379242, 0.0350698, 0.0272904, 0.0175341, 0.0116504, 0.0093489, 0.00660481, 0.00411109, 0.00148365, 0.000417576, 0.000122924, 3.0401e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.608209, 0.711878, 0.747918, 0.765273, 0.847204, 1.01498, 1.07551, 1.10629, 1.16812, 1.18058, 1.19387, 1.21588, 1.22643, 1.24277, 1.25946, 1.28963, 1.33542, 1.38518, 1.40379, 1.43806, 1.48126, 1.51266, 1.54707, 1.59264, 1.6063, 1.63363, 1.68829, 1.73325, 1.7497, 1.78259, 1.84837, 1.93392, 2.01946, 2.105, 2.19055, 2.27609, 2.36164, 2.61827, 4.27714");
-            values ( \
-              "0.0182078, 0.0252843, 0.0334584, 0.0377354, 0.0582015, 0.101057, 0.115403, 0.121967, 0.132562, 0.133995, 0.135131, 0.135703, 0.134229, 0.129667, 0.124196, 0.113747, 0.0965493, 0.0784936, 0.0721157, 0.0611375, 0.0489529, 0.0413179, 0.0340732, 0.0261495, 0.0241262, 0.0204888, 0.0146549, 0.0110767, 0.00999611, 0.00812622, 0.00532772, 0.00304288, 0.0017315, 0.000982903, 0.000557553, 0.000315824, 0.000179081, 3.23998e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.608115, 0.752995, 0.776315, 0.822954, 0.89851, 1.11404, 1.14531, 1.2192, 1.31687, 1.36888, 1.42495, 1.47208, 1.52073, 1.54148, 1.58297, 1.66851, 1.81713, 1.90159, 1.96826, 2.0538, 2.08763, 2.12654, 2.17102, 2.25656, 2.32967, 2.35679, 2.41103, 2.48715, 2.57269, 2.63557, 2.72112, 2.80666, 2.89221, 2.97775, 3.06329, 3.14884, 3.23438, 3.40547, 3.57656, 3.74765, 4.27704");
-            values ( \
-              "0.0153747, 0.0362775, 0.0419905, 0.054028, 0.0748083, 0.138196, 0.147032, 0.166824, 0.158991, 0.154528, 0.149383, 0.144579, 0.138916, 0.136226, 0.130355, 0.116451, 0.0897938, 0.0752436, 0.0646036, 0.0524231, 0.0480858, 0.0434604, 0.0385964, 0.030504, 0.0248078, 0.0229471, 0.0196106, 0.0156637, 0.0121288, 0.0100281, 0.0077295, 0.00593902, 0.00456377, 0.00349588, 0.00268256, 0.00205016, 0.00157287, 0.000921093, 0.000539231, 0.000315826, 5.76916e-05" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0281198, 0.041318, 0.0686349, 0.126036, 0.247456, 0.504914", \
-            "0.0329879, 0.0462269, 0.0736697, 0.13119, 0.252711, 0.51019", \
-            "0.0461193, 0.0591179, 0.0865261, 0.144109, 0.265742, 0.523306", \
-            "0.0702297, 0.089192, 0.118247, 0.175174, 0.296661, 0.554506", \
-            "0.108513, 0.138542, 0.185051, 0.25355, 0.374132, 0.630505", \
-            "0.175311, 0.219657, 0.291963, 0.401883, 0.560859, 0.818402" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.026239, 0.0449352, 0.0845553, 0.168578, 0.346862, 0.725038", \
-            "0.0262454, 0.0449342, 0.0845556, 0.168577, 0.346851, 0.725038", \
-            "0.0298269, 0.0458443, 0.0845534, 0.168581, 0.346862, 0.725038", \
-            "0.0470587, 0.0601383, 0.0905928, 0.168722, 0.346864, 0.725048", \
-            "0.0765267, 0.0979458, 0.130424, 0.190801, 0.349463, 0.725038", \
-            "0.124391, 0.158578, 0.211682, 0.288343, 0.414287, 0.737839" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0246388, 0.0353311, 0.0574855, 0.104102, 0.202781, 0.412", \
-            "0.0281423, 0.0389917, 0.0613244, 0.108067, 0.206817, 0.416066", \
-            "0.0365685, 0.0483846, 0.0708491, 0.117768, 0.216649, 0.425984", \
-            "0.0460752, 0.064735, 0.0938537, 0.141705, 0.240698, 0.450138", \
-            "0.0512409, 0.0793932, 0.1246, 0.193651, 0.298897, 0.508252", \
-            "0.0396491, 0.0810953, 0.148866, 0.255017, 0.414457, 0.649975" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0190607, 0.0327687, 0.0619377, 0.123887, 0.254941, 0.533154", \
-            "0.0190777, 0.0327697, 0.0619514, 0.123886, 0.254941, 0.533306", \
-            "0.0243447, 0.0351955, 0.0621063, 0.123756, 0.254885, 0.533154", \
-            "0.0395112, 0.0521074, 0.0736795, 0.126657, 0.254939, 0.533171", \
-            "0.0638805, 0.0836204, 0.114685, 0.162672, 0.267706, 0.533176", \
-            "0.106508, 0.135292, 0.182515, 0.255665, 0.365303, 0.577167" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0184949, 0.0191718, 0.0201213, 0.0238982, 0.028318, 0.0343779, 0.0372651, 0.0412555, 0.0476886, 0.0522682, 0.0564225, 0.0607933, 0.0647005, 0.0676919, 0.0726645, 0.0786624, 0.0840771, 0.0913562, 0.101062, 0.11592, 0.133678, 0.155867, 0.185919, 4.27719");
-            values ( \
-              "0.107639, 0.122129, 0.12304, 0.119139, 0.112372, 0.101937, 0.0958792, 0.084421, 0.0592326, 0.0448419, 0.0349165, 0.026775, 0.0210855, 0.0174675, 0.0127103, 0.00858819, 0.00598185, 0.00366689, 0.00186265, 0.000614513, 0.000155963, 2.18777e-05, 2.18207e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0185835, 0.0201317, 0.0205496, 0.0217659, 0.0240496, 0.0360584, 0.0422393, 0.0485008, 0.0519552, 0.0552256, 0.0597905, 0.0726531, 0.0800675, 0.0847977, 0.0908269, 0.0953192, 0.100299, 0.106922, 0.112996, 0.121096, 0.127548, 0.134695, 0.144225, 0.163283, 0.188345, 0.219289, 0.258364, 4.27719");
-            values ( \
-              "0.0626291, 0.150783, 0.151015, 0.150657, 0.14889, 0.136588, 0.129633, 0.121824, 0.11675, 0.111098, 0.101208, 0.0678122, 0.0528194, 0.0445256, 0.0355709, 0.029949, 0.024616, 0.0188228, 0.0146563, 0.0104074, 0.00789807, 0.00580764, 0.00382296, 0.00157972, 0.000455558, 8.95967e-05, 4.37338e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0188313, 0.0235442, 0.0245742, 0.0266343, 0.0298148, 0.0405869, 0.0575145, 0.0635899, 0.0755136, 0.0820379, 0.0894971, 0.0971404, 0.104922, 0.120484, 0.134685, 0.142975, 0.153493, 0.160906, 0.169886, 0.181737, 0.185385, 0.192681, 0.207272, 0.218335, 0.222423, 0.230599, 0.24695, 0.279653, 0.323678, 0.3778, 0.446121, 4.27721");
-            values ( \
-              "0.12827, 0.168555, 0.168232, 0.166954, 0.165315, 0.158993, 0.148462, 0.144463, 0.135976, 0.130539, 0.123089, 0.113735, 0.102693, 0.0796671, 0.0617272, 0.0524821, 0.0422928, 0.0361195, 0.0296469, 0.0226482, 0.0208294, 0.0175662, 0.0123936, 0.00948304, 0.00858795, 0.0070325, 0.0046822, 0.00198467, 0.00057354, 0.000113457, 5.87123e-06, 2.75782e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0201271, 0.0201471, 0.062115, 0.0846627, 0.108296, 0.132228, 0.145273, 0.157648, 0.175724, 0.229803, 0.247272, 0.26405, 0.290405, 0.300698, 0.317734, 0.340303, 0.360684, 0.387858, 0.410024, 0.434389, 0.466877, 0.531852, 0.616937, 0.702481, 0.788025, 1.04466, 4.27721");
-            values ( \
-              "1e-22, 0.185286, 0.167829, 0.160702, 0.152737, 0.143841, 0.138233, 0.132011, 0.120877, 0.0807828, 0.0687782, 0.0582944, 0.0441531, 0.0393989, 0.0324792, 0.0248986, 0.0194819, 0.0139188, 0.0105252, 0.00772754, 0.00507862, 0.00207625, 0.000597547, 0.000169307, 4.89175e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0217934, 0.0218134, 0.107361, 0.185466, 0.237223, 0.299837, 0.33035, 0.37302, 0.484739, 0.564035, 0.597668, 0.631513, 0.676572, 0.716424, 0.76956, 0.816078, 0.878497, 0.961722, 1.04727, 1.13281, 1.21836, 1.38944, 1.90271, 4.27712");
-            values ( \
-              "1e-22, 0.195067, 0.17247, 0.159882, 0.150728, 0.13709, 0.128332, 0.113762, 0.0729727, 0.0491328, 0.040969, 0.0339064, 0.0260775, 0.0205716, 0.0148726, 0.0111177, 0.00750322, 0.0043824, 0.00248688, 0.00142578, 0.000797101, 0.000251132, 1.78856e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0263494, 0.0263694, 0.203254, 0.366862, 0.47519, 0.557941, 0.627586, 0.755658, 1.04156, 1.19473, 1.28866, 1.3742, 1.45827, 1.58543, 1.72229, 1.89338, 2.06446, 2.23555, 2.49218, 2.83436, 4.27705");
-            values ( \
-              "1e-22, 0.20242, 0.1747, 0.161963, 0.152725, 0.144606, 0.136225, 0.11627, 0.0665844, 0.0455508, 0.0354326, 0.0279136, 0.0219556, 0.0151134, 0.0100158, 0.00593224, 0.00349249, 0.00204879, 0.00091823, 0.000313918, 2.92891e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0282772, 0.0404358, 0.0426799, 0.0473634, 0.0511239, 0.0548553, 0.0573641, 0.0650439, 0.0697306, 0.0727019, 0.0765198, 0.0826448, 0.0868559, 0.0907371, 0.0959119, 0.100106, 0.104773, 0.110995, 0.123439, 0.139604, 0.159618, 0.184913, 1.33644");
-            values ( \
-              "0.0111078, 0.116538, 0.114012, 0.106507, 0.0995058, 0.0905083, 0.0822346, 0.0522805, 0.0398594, 0.0333147, 0.0264388, 0.0180816, 0.0138143, 0.0107512, 0.00763362, 0.00577752, 0.00422862, 0.00276345, 0.00113281, 0.000326172, 6.90695e-05, 2.0811e-06, 2.39256e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0282723, 0.0406841, 0.0419637, 0.0445229, 0.055592, 0.0621512, 0.0671785, 0.0740618, 0.0886228, 0.0946243, 0.0990962, 0.106008, 0.116267, 0.122674, 0.128106, 0.133954, 0.142291, 0.145943, 0.153247, 0.167856, 0.190887, 0.218279, 0.252506, 0.298484, 4.2772");
-            values ( \
-              "0.00115532, 0.146682, 0.146246, 0.144042, 0.132172, 0.124304, 0.117244, 0.104194, 0.0671966, 0.0546895, 0.0465816, 0.0360296, 0.0241969, 0.0186637, 0.0149238, 0.0116784, 0.00817148, 0.00699104, 0.00508926, 0.00264018, 0.000868502, 0.000217949, 2.90102e-05, 1.53634e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0282582, 0.0423386, 0.0438882, 0.0454512, 0.0485772, 0.0523266, 0.0562224, 0.0564013, 0.0792251, 0.0929123, 0.0971007, 0.102685, 0.110116, 0.120527, 0.136431, 0.149071, 0.157787, 0.168838, 0.17709, 0.185857, 0.197515, 0.208018, 0.222021, 0.233679, 0.23795, 0.246491, 0.263574, 0.29774, 0.342057, 0.396875, 0.46608, 4.27718");
-            values ( \
-              "0.00763294, 0.167032, 0.165799, 0.165502, 0.163213, 0.161485, 0.158706, 0.158861, 0.144476, 0.134559, 0.131044, 0.125725, 0.1172, 0.102779, 0.0791902, 0.0632058, 0.0533486, 0.0425419, 0.0356835, 0.0294287, 0.0225687, 0.0176854, 0.0126683, 0.00954729, 0.00861582, 0.00698476, 0.00457004, 0.00184833, 0.000532317, 9.82689e-05, 7.64812e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0282338, 0.0447153, 0.0854722, 0.12402, 0.151715, 0.160997, 0.173372, 0.191448, 0.206697, 0.245527, 0.262994, 0.279773, 0.306128, 0.31642, 0.333456, 0.356025, 0.376403, 0.403575, 0.42574, 0.43386, 0.450102, 0.482584, 0.54755, 0.632628, 0.718172, 0.803716, 0.889261, 1.06035, 4.27716");
-            values ( \
-              "0.02567, 0.178039, 0.165498, 0.15273, 0.142298, 0.138234, 0.132012, 0.120877, 0.109925, 0.0807835, 0.0687796, 0.0582957, 0.0441542, 0.0393998, 0.0324803, 0.0248996, 0.0194831, 0.0139202, 0.0105263, 0.00950974, 0.00772873, 0.00507984, 0.00207696, 0.00059792, 0.000169327, 4.90094e-05, 1.21433e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0368939, 0.0369139, 0.123218, 0.21859, 0.268006, 0.294891, 0.320404, 0.357893, 0.503466, 0.537637, 0.580724, 0.61195, 0.646407, 0.692023, 0.733108, 0.78789, 0.832732, 0.881963, 0.947606, 1.03315, 1.11869, 1.20424, 1.37533, 1.54641, 1.88859, 4.27714");
-            values ( \
-              "1e-22, 0.191708, 0.172524, 0.156939, 0.147862, 0.142164, 0.135857, 0.124576, 0.0720104, 0.0610978, 0.0489236, 0.0413327, 0.0340959, 0.0261431, 0.0204715, 0.014645, 0.0110632, 0.0081206, 0.00534062, 0.00303951, 0.0017402, 0.000977398, 0.000309761, 9.51579e-05, 4.47452e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.041354, 0.041374, 0.21919, 0.382798, 0.491125, 0.573877, 0.643522, 0.686049, 0.771594, 0.952651, 1.05749, 1.14304, 1.21067, 1.30459, 1.39014, 1.47421, 1.52933, 1.60136, 1.68691, 1.73821, 1.82376, 1.9093, 2.08039, 2.25148, 2.50811, 2.85029, 4.27708");
-            values ( \
-              "1e-22, 0.200387, 0.174757, 0.161965, 0.152727, 0.144606, 0.136225, 0.1302, 0.11627, 0.083917, 0.0665846, 0.054133, 0.045551, 0.0354329, 0.0279138, 0.0219559, 0.0187011, 0.0151136, 0.0116944, 0.0100161, 0.00771709, 0.00593241, 0.00349259, 0.00204885, 0.000918256, 0.000313927, 3.10373e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0529841, 0.0682016, 0.0755616, 0.0793932, 0.0834412, 0.085586, 0.0874464, 0.0885936, 0.0897812, 0.0906034, 0.0914803, 0.0939057, 0.0952484, 0.103274, 0.107876, 0.110691, 0.114994, 0.118783, 0.121749, 0.125706, 0.129084, 0.132869, 0.138259, 0.140655, 0.143898, 0.148223, 0.156872, 0.172599, 0.191242, 0.215048, 0.246804, 4.27717");
-            values ( \
-              "0.00105024, 0.0468199, 0.0657246, 0.0748984, 0.0834436, 0.087205, 0.0898723, 0.0952013, 0.0971532, 0.0965618, 0.0952253, 0.0893131, 0.0850709, 0.0541786, 0.0411704, 0.0347387, 0.0267506, 0.021219, 0.0176066, 0.0136715, 0.0109922, 0.00857313, 0.00598229, 0.00510191, 0.00410649, 0.00306407, 0.00168125, 0.000512133, 0.000117894, 1.31381e-05, 1.0523e-06, 8.64157e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.058628, 0.0629828, 0.0714822, 0.080034, 0.0874602, 0.0881586, 0.0891124, 0.0900082, 0.0917998, 0.0962098, 0.102761, 0.105627, 0.108585, 0.112418, 0.118026, 0.122823, 0.127657, 0.133054, 0.137524, 0.144436, 0.150206, 0.154694, 0.1611, 0.166531, 0.172378, 0.180712, 0.184362, 0.191662, 0.206261, 0.229287, 0.256671, 0.290888, 0.336843, 4.27719");
-            values ( \
-              "0.0264817, 0.0386809, 0.0692255, 0.0985429, 0.121774, 0.128007, 0.132963, 0.134325, 0.134327, 0.129682, 0.121439, 0.117223, 0.112233, 0.104364, 0.0903112, 0.0775297, 0.0658141, 0.0546908, 0.0465862, 0.0360329, 0.0288995, 0.0242003, 0.0186667, 0.0149273, 0.0116818, 0.00817453, 0.00699456, 0.00509264, 0.00264329, 0.000869618, 0.000218497, 2.89774e-05, 1.66679e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0588207, 0.0725078, 0.0828396, 0.0874237, 0.0888409, 0.0911256, 0.0928894, 0.0945841, 0.0988925, 0.105821, 0.111521, 0.117593, 0.129517, 0.131402, 0.136041, 0.142227, 0.146047, 0.151139, 0.158924, 0.174494, 0.178111, 0.178677, 0.183693, 0.188725, 0.197, 0.207501, 0.209963, 0.214888, 0.223874, 0.235729, 0.23938, 0.246682, 0.261286, 0.272329, 0.276408, 0.284568, 0.300886, 0.333523, 0.377527, 0.431606, 0.49987, 0.670959, 0.756503, 0.842047, 0.927591, 1.01314, 4.27715");
-            values ( \
-              "0.0158545, 0.0825284, 0.125104, 0.143393, 0.153542, 0.160624, 0.159815, 0.158953, 0.156344, 0.152078, 0.148458, 0.144476, 0.13597, 0.134468, 0.130541, 0.124508, 0.120184, 0.113743, 0.102697, 0.07966, 0.0751921, 0.0742793, 0.0678074, 0.0616857, 0.0524608, 0.0422892, 0.0401624, 0.0361367, 0.029658, 0.0226547, 0.020834, 0.0175673, 0.0123906, 0.00948541, 0.00859194, 0.00703854, 0.00469029, 0.00199193, 0.00057622, 0.000114101, 6.04518e-06, 1e-22, 3.48587e-06, 1e-22, 3.45557e-06, 1e-22, 2.70119e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0587108, 0.0794042, 0.0910004, 0.0928884, 0.0946876, 0.116323, 0.162503, 0.179213, 0.18996, 0.198358, 0.209555, 0.22438, 0.245125, 0.276769, 0.300299, 0.31751, 0.344605, 0.355418, 0.372254, 0.394642, 0.4013, 0.414616, 0.441248, 0.463959, 0.47412, 0.494441, 0.535083, 0.611946, 0.69749, 0.783035, 0.954123, 4.27716");
-            values ( \
-              "0.0114118, 0.119167, 0.175135, 0.174976, 0.174601, 0.167938, 0.152728, 0.14666, 0.142392, 0.138743, 0.133242, 0.124547, 0.109989, 0.0858624, 0.0695599, 0.0587359, 0.0441555, 0.0391734, 0.0323616, 0.0248605, 0.022974, 0.0195482, 0.0140634, 0.0105625, 0.00929868, 0.00716879, 0.00421476, 0.00141048, 0.000406497, 0.000110145, 4.71891e-06, 3.30356e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0705092, 0.0864874, 0.0894435, 0.09245, 0.169358, 0.25705, 0.31373, 0.354169, 0.384683, 0.427352, 0.539069, 0.573303, 0.618365, 0.651997, 0.685842, 0.7309, 0.770749, 0.823881, 0.870397, 0.932808, 1.01602, 1.10157, 1.18711, 1.27266, 1.44374, 1.95701, 4.277");
-            values ( \
-              "0.136257, 0.158507, 0.179815, 0.182924, 0.171329, 0.156936, 0.146388, 0.13709, 0.128332, 0.113762, 0.0729737, 0.0619512, 0.0491337, 0.04097, 0.0339072, 0.0260785, 0.0205728, 0.0148736, 0.0111188, 0.00750436, 0.00438326, 0.00248751, 0.00142601, 0.000797358, 0.000251271, 1.87241e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.0704561, 0.0934187, 0.257768, 0.421278, 0.529908, 0.612457, 0.660837, 0.724637, 0.810181, 1.03616, 1.09607, 1.22576, 1.34319, 1.42874, 1.51276, 1.63991, 1.77679, 1.86233, 1.94788, 2.11897, 2.29005, 2.54669, 2.88886, 4.277");
-            values ( \
-              "0.127813, 0.18693, 0.174798, 0.161975, 0.152711, 0.144606, 0.138982, 0.130199, 0.116269, 0.0762483, 0.0665859, 0.048406, 0.0354313, 0.0279125, 0.0219574, 0.0151154, 0.0100163, 0.00771726, 0.00593254, 0.00349267, 0.0020489, 0.000918277, 0.000313934, 3.56717e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105165, 0.122814, 0.128529, 0.137229, 0.146478, 0.164258, 0.16793, 0.175261, 0.178461, 0.181764, 0.182862, 0.184325, 0.186596, 0.188964, 0.200402, 0.203817, 0.209209, 0.21028, 0.210764, 0.211731, 0.212987, 0.220943, 0.224972, 0.228496, 0.235451, 0.239415, 0.247342, 0.261864, 0.278922, 0.300362, 0.328175, 0.371655, 0.449215, 0.534759, 0.620304, 0.705848, 0.791392, 0.876936, 0.96248, 1.04802, 1.13357, 1.21911, 1.30466, 1.3902, 1.47575, 1.56129, 1.64683, 1.73238, 1.81792, 4.27715");
-            values ( \
-              "0.00178256, 0.0113359, 0.0161126, 0.0249431, 0.0334234, 0.0480591, 0.0506924, 0.0551766, 0.0565693, 0.0575032, 0.0576007, 0.0575259, 0.0567002, 0.0545004, 0.0334882, 0.0282691, 0.0214489, 0.0203193, 0.0214639, 0.0221442, 0.0213254, 0.0132758, 0.0102014, 0.00810121, 0.00509714, 0.00389398, 0.00226435, 0.000766175, 0.000214129, 2.8864e-05, 8.22368e-06, 1e-22, 5.92319e-06, 1e-22, 5.91159e-06, 1e-22, 5.88002e-06, 1e-22, 5.85051e-06, 1e-22, 5.82195e-06, 1e-22, 5.79376e-06, 1e-22, 5.76578e-06, 1e-22, 5.73798e-06, 1e-22, 5.71034e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.124461, 0.141389, 0.150078, 0.158924, 0.16288, 0.1634, 0.173202, 0.180479, 0.18393, 0.187385, 0.189691, 0.194301, 0.194947, 0.19624, 0.197792, 0.199343, 0.200378, 0.201412, 0.203016, 0.203586, 0.204156, 0.205295, 0.206435, 0.208407, 0.210379, 0.212029, 0.212733, 0.213436, 0.214842, 0.221007, 0.226491, 0.228192, 0.229893, 0.231594, 0.234997, 0.236698, 0.23963, 0.24383, 0.24623, 0.24863, 0.251828, 0.256895, 0.258764, 0.260632, 0.263536, 0.26644, 0.270591, 0.274742, 0.277449, 0.281961");
-            values ( \
-              "0.0345903, 0.0359568, 0.0464774, 0.0569381, 0.0617402, 0.0622485, 0.0735885, 0.0815375, 0.084682, 0.0876312, 0.0894877, 0.0929345, 0.0932493, 0.0941107, 0.094716, 0.0950665, 0.0951743, 0.0951883, 0.0950244, 0.0948151, 0.0944807, 0.0929947, 0.0917199, 0.0905029, 0.088938, 0.0873609, 0.0862847, 0.0850852, 0.0823164, 0.0671939, 0.0554493, 0.0521977, 0.0491117, 0.0462482, 0.0409041, 0.0384235, 0.0344463, 0.0290437, 0.0265098, 0.0241451, 0.0213129, 0.0172106, 0.0158633, 0.0146268, 0.0130015, 0.011521, 0.00973166, 0.00808597, 0.00709018, 0.00567021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.133975, 0.159107, 0.18185, 0.193127, 0.197544, 0.199379, 0.201215, 0.20305, 0.204886, 0.206276, 0.207666, 0.209056, 0.210446, 0.211086, 0.211726, 0.212153, 0.213006, 0.214045, 0.214657, 0.215881, 0.218881, 0.221131, 0.224947, 0.228774, 0.232949, 0.236853, 0.241877, 0.247048, 0.252388, 0.259384, 0.272125, 0.273486, 0.278932, 0.286193, 0.29294, 0.299686, 0.307871, 0.311338, 0.315388, 0.319438, 0.324679, 0.329919, 0.334116, 0.338312, 0.346264, 0.352642, 0.35637, 0.363824, 0.370509, 0.376575");
-            values ( \
-              "0.0611397, 0.0662768, 0.100171, 0.116194, 0.121765, 0.124599, 0.12774, 0.131186, 0.134938, 0.136377, 0.13748, 0.138247, 0.138678, 0.139914, 0.141684, 0.141711, 0.141688, 0.14152, 0.141351, 0.140868, 0.13886, 0.13721, 0.134259, 0.130953, 0.127022, 0.122956, 0.11676, 0.109953, 0.102495, 0.0919294, 0.0737034, 0.072297, 0.0650538, 0.0567419, 0.0496833, 0.0432644, 0.0362276, 0.0334909, 0.0307186, 0.0281167, 0.0250655, 0.0221742, 0.0199889, 0.0179936, 0.0150058, 0.0129356, 0.0118465, 0.00990502, 0.00841235, 0.00714483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.149238, 0.185827, 0.190208, 0.194589, 0.19897, 0.200438, 0.201906, 0.203374, 0.205384, 0.207008, 0.209991, 0.210715, 0.212162, 0.213598, 0.215179, 0.217552, 0.222281, 0.233297, 0.247137, 0.255425, 0.263245, 0.281485, 0.285016, 0.294195, 0.303856, 0.307419, 0.316919, 0.331169, 0.33815, 0.35599, 0.36491, 0.391902, 0.403775, 0.413663, 0.419594, 0.431456, 0.449864, 0.456936, 0.464009, 0.475769, 0.487529, 0.494192, 0.500856, 0.50752, 0.520847, 0.534175, 0.545518, 0.55686, 0.567009, 0.577158");
-            values ( \
-              "0.11175, 0.117889, 0.127224, 0.137262, 0.148003, 0.148176, 0.148665, 0.149471, 0.151088, 0.152829, 0.157631, 0.162549, 0.163726, 0.165681, 0.165538, 0.165125, 0.163752, 0.160181, 0.155554, 0.152702, 0.149878, 0.142965, 0.141479, 0.137266, 0.1324, 0.130433, 0.124493, 0.114887, 0.109874, 0.0962046, 0.0895291, 0.0700496, 0.062581, 0.0567436, 0.0534094, 0.0471167, 0.0382111, 0.0353547, 0.032655, 0.0286167, 0.0248058, 0.0227471, 0.0209148, 0.0192292, 0.0164279, 0.013954, 0.0121733, 0.0104958, 0.00908221, 0.00781395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.105095, 0.230653, 0.332246, 0.383953, 0.446601, 0.477112, 0.519784, 0.6315, 0.710796, 0.744427, 0.778272, 0.823329, 0.863177, 0.916308, 0.962824, 1.02523, 1.10844, 1.19398, 1.27953, 1.36507, 1.53616, 2.04943, 4.27708");
-            values ( \
-              "0.00907777, 0.176153, 0.159883, 0.150738, 0.13709, 0.128333, 0.113763, 0.072974, 0.0491341, 0.0409704, 0.0339076, 0.0260789, 0.0205732, 0.0148741, 0.0111192, 0.00750482, 0.00438366, 0.00248775, 0.00142613, 0.000797445, 0.000251306, 1.88276e-06, 4.82559e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.141682, 0.184055, 0.211726, 0.218778, 0.222062, 0.227861, 0.236007, 0.246541, 0.257154, 0.3444, 0.550425, 0.70538, 0.817752, 0.903296, 1.12902, 1.18881, 1.31868, 1.43668, 1.52222, 1.60511, 1.73188, 1.8697, 1.95525, 2.04079, 2.21188, 2.38297, 2.6396, 2.98178, 4.27733");
-            values ( \
-              "0.0731831, 0.12311, 0.182619, 0.184913, 0.184131, 0.184236, 0.183141, 0.182859, 0.1816, 0.175491, 0.159002, 0.14461, 0.130175, 0.116242, 0.0762651, 0.0666185, 0.0484116, 0.0353798, 0.0278706, 0.0219961, 0.0151595, 0.0100174, 0.00771814, 0.00593322, 0.00349307, 0.00204913, 0.000918386, 0.000313972, 5.75725e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.263051, 0.291154, 0.314214, 0.315541, 0.322164, 0.325685, 0.330944, 0.337255, 0.342826, 0.347464, 0.355183, 0.361356, 0.361877, 0.363963, 0.366048, 0.370915, 0.374149, 0.376608, 0.37849, 0.380372, 0.381303, 0.383164, 0.384095, 0.385488, 0.386881, 0.388274, 0.389667, 0.392789, 0.39435, 0.396408, 0.397651, 0.400632, 0.403613, 0.407353, 0.411092, 0.412809, 0.415173, 0.417875, 0.422311, 0.426661, 0.429744, 0.43301, 0.436099, 0.439188, 0.443752, 0.446034, 0.448316, 0.453974, 0.456494, 0.459014");
-            values ( \
-              "0.0105628, 0.0111943, 0.0201271, 0.0205919, 0.0228282, 0.0239507, 0.025527, 0.0272955, 0.0287539, 0.0298137, 0.0314745, 0.0327098, 0.0327788, 0.0331546, 0.0334757, 0.0341351, 0.0345144, 0.0347586, 0.0348077, 0.034789, 0.0347548, 0.0346365, 0.0345525, 0.0342956, 0.0339528, 0.033524, 0.0330092, 0.0314801, 0.0306005, 0.029297, 0.0282165, 0.0253571, 0.022755, 0.0198228, 0.0172596, 0.016283, 0.0147864, 0.013217, 0.0110422, 0.0091875, 0.00795061, 0.00675464, 0.00585988, 0.00505823, 0.00406535, 0.00362933, 0.00323359, 0.00240013, 0.00206418, 0.00176488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.196295, 0.25331, 0.266527, 0.274935, 0.28865, 0.295641, 0.328114, 0.345972, 0.368054, 0.375906, 0.391558, 0.398205, 0.405066, 0.408195, 0.412367, 0.420182, 0.434314, 0.447451, 0.462859, 0.477762, 0.489889, 0.502411, 0.506047, 0.509691, 0.515286, 0.520133, 0.529828, 0.541186, 0.555098");
-            values ( \
-              "0.000776241, 0.00420972, 0.00689746, 0.00900717, 0.0134931, 0.0164025, 0.0320156, 0.0393681, 0.0476046, 0.0502715, 0.0549725, 0.0565718, 0.0578912, 0.0583173, 0.0586458, 0.0579352, 0.0488294, 0.0355828, 0.0231888, 0.0143098, 0.00929029, 0.00571884, 0.00497494, 0.00612746, 0.00565772, 0.00429888, 0.00290269, 0.00169602, 0.00102726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.273013, 0.3104, 0.331888, 0.367732, 0.387162, 0.400945, 0.407218, 0.414862, 0.422502, 0.432686, 0.437778, 0.438928, 0.442091, 0.444391, 0.446692, 0.451732, 0.454253, 0.456773, 0.461469, 0.466165, 0.470861, 0.475557, 0.475837, 0.479757, 0.484236, 0.495523, 0.505587, 0.505816, 0.506505, 0.506963, 0.507469, 0.508296, 0.510502, 0.514117, 0.520233, 0.528268, 0.533209, 0.536915, 0.543146, 0.545223, 0.550649, 0.557578, 0.56359, 0.565094, 0.567708, 0.575553, 0.582953, 0.590353, 0.596526, 0.602773");
-            values ( \
-              "0.0271365, 0.0277469, 0.039464, 0.058034, 0.0678257, 0.0746029, 0.0776016, 0.0808268, 0.0839152, 0.0878218, 0.089685, 0.0899839, 0.09107, 0.0921263, 0.0934347, 0.0930316, 0.0927729, 0.092476, 0.0918211, 0.0910338, 0.090114, 0.0890619, 0.088934, 0.085901, 0.0819734, 0.0712349, 0.0611933, 0.0615135, 0.0615986, 0.0615888, 0.0614557, 0.061016, 0.0589984, 0.0549655, 0.0487475, 0.0412981, 0.0370097, 0.034046, 0.0297928, 0.0284657, 0.0252749, 0.0214699, 0.0184982, 0.0178207, 0.016801, 0.0140143, 0.0117888, 0.00986919, 0.00849082, 0.00718283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.253032, 0.31031, 0.355106, 0.420364, 0.448501, 0.457248, 0.478808, 0.486939, 0.505769, 0.507269, 0.508764, 0.511527, 0.523035, 0.53317, 0.553439, 0.599655, 0.617673, 0.63348, 0.662372, 0.675652, 0.689818, 0.713309, 0.719964, 0.733272, 0.759888, 0.782611, 0.792777, 0.813107, 0.853769, 0.930549, 1.01609, 1.10164, 1.18718, 1.35827, 4.27725");
-            values ( \
-              "0.0106261, 0.030316, 0.056761, 0.097307, 0.114108, 0.119003, 0.129963, 0.133555, 0.140051, 0.141876, 0.14203, 0.141137, 0.135869, 0.130511, 0.117576, 0.0829103, 0.0704322, 0.060353, 0.0445851, 0.0385032, 0.0327758, 0.024867, 0.0229707, 0.0195566, 0.0140641, 0.0105695, 0.00929598, 0.0071733, 0.00420975, 0.00141626, 0.000402946, 0.000114296, 3.26228e-05, 2.9543e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.252934, 0.322271, 0.328058, 0.385692, 0.4812, 0.510759, 0.51831, 0.525911, 0.541114, 0.574719, 0.626343, 0.648726, 0.682008, 0.702363, 0.74502, 0.810056, 0.856735, 0.890966, 0.936031, 0.969664, 1.00351, 1.04856, 1.08841, 1.14154, 1.18806, 1.20886, 1.25046, 1.33367, 1.41922, 1.50476, 1.5903, 1.76139, 1.93248, 2.27466, 4.27715");
-            values ( \
-              "0.00948284, 0.0390501, 0.0424729, 0.0803936, 0.148541, 0.166814, 0.166645, 0.164906, 0.162721, 0.156999, 0.147452, 0.142677, 0.134341, 0.128328, 0.113763, 0.0894261, 0.0729746, 0.0619529, 0.0491344, 0.0409703, 0.0339079, 0.026079, 0.0205735, 0.0148747, 0.0111194, 0.00977997, 0.00750496, 0.00438398, 0.00248772, 0.00142633, 0.000797347, 0.000251186, 7.57727e-05, 1.75774e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.252761, 0.380339, 0.508909, 0.515732, 0.526918, 0.671575, 0.845966, 0.977141, 1.04093, 1.12647, 1.41237, 1.49792, 1.59685, 1.65947, 1.78085, 1.88421, 1.95624, 2.09308, 2.26417, 2.43526, 2.60635, 2.86298, 3.11961, 4.27698");
-            values ( \
-              "0.00372853, 0.0788967, 0.1787, 0.179609, 0.17805, 0.167245, 0.152733, 0.138981, 0.1302, 0.11627, 0.0665847, 0.0541331, 0.0419495, 0.0354339, 0.0252183, 0.018701, 0.0151138, 0.0100166, 0.00593271, 0.00349277, 0.00204896, 0.000918304, 0.000409494, 1.03314e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.573829, 0.631708, 0.635996, 0.644443, 0.65289, 0.661337, 0.669785, 0.675402, 0.691527, 0.706198, 0.722617, 0.729673, 0.737628, 0.744261, 0.753419, 0.763374, 0.773401, 0.783428, 0.784229, 0.787436, 0.791712, 0.795987, 0.802244, 0.805216, 0.806206, 0.8098, 0.815165, 0.82048, 0.824023, 0.827567, 0.83111, 0.834654, 0.83786, 0.839936, 0.84175, 0.844975, 0.853673, 0.86042, 0.866706, 0.873068, 0.879403, 0.883981, 0.888913, 0.892618, 0.896323, 0.899013, 0.904393, 0.907084, 0.912286, 0.917428");
-            values ( \
-              "0.00302938, 0.00320576, 0.00347525, 0.00405857, 0.00471133, 0.00543354, 0.00622519, 0.00689054, 0.00931534, 0.0116061, 0.0139812, 0.0149282, 0.0159321, 0.0167161, 0.0177113, 0.0185926, 0.0194057, 0.0201439, 0.0201782, 0.0203826, 0.0206122, 0.0208099, 0.0210815, 0.0211942, 0.0212283, 0.0212991, 0.0213407, 0.0213063, 0.0212178, 0.0210801, 0.0208931, 0.0206569, 0.0202711, 0.0199671, 0.0195336, 0.0185664, 0.0154019, 0.012847, 0.010695, 0.00890822, 0.00735112, 0.00633165, 0.00533266, 0.00472266, 0.00416463, 0.00379197, 0.00312886, 0.00283841, 0.00233874, 0.00187993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.53131, 0.60781, 0.638323, 0.648166, 0.66785, 0.690244, 0.745803, 0.788401, 0.801207, 0.837618, 0.855471, 0.864514, 0.874174, 0.893494, 0.942468, 0.97198, 0.991803, 1.01302, 1.03728, 1.07648, 1.12356, 1.21548, 1.23117, 1.27715, 1.32002, 1.38668, 1.47222, 1.55777, 1.64331, 1.72885, 1.8144, 1.89994, 1.98549, 2.04128");
-            values ( \
-              "0.00200925, 0.00358344, 0.00552598, 0.00641579, 0.00867153, 0.0123751, 0.0230297, 0.0292823, 0.0307922, 0.0341937, 0.035283, 0.0356276, 0.0357548, 0.0341114, 0.0148907, 0.00713723, 0.00405163, 0.00214259, 0.000952615, 0.000223317, 4.27687e-05, 1.12181e-05, 0.000650388, 1.79826e-05, 6.38168e-05, 1e-22, 5.33141e-05, 1e-22, 4.76365e-05, 1e-22, 4.25889e-05, 1e-22, 3.81372e-05, 1.32626e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.531794, 0.611068, 0.636987, 0.660636, 0.692734, 0.737937, 0.783762, 0.815041, 0.848258, 0.865407, 0.899583, 0.914113, 0.929112, 0.944677, 0.961919, 0.992263, 1.04411, 1.07181, 1.08546, 1.10366, 1.11848, 1.13973, 1.16805, 1.21596, 1.23059, 1.28135, 1.32839, 1.39992, 1.48547, 1.57101, 1.65655, 1.7421, 1.82764, 1.91319, 1.99873, 2.08427, 2.16982, 2.25536, 2.34091, 2.42645, 2.512, 2.59754, 2.68308, 2.76863, 4.27722");
-            values ( \
-              "0.00345815, 0.00561066, 0.00787245, 0.0106039, 0.0158469, 0.025914, 0.0353606, 0.040953, 0.0463217, 0.0488706, 0.0533894, 0.0549876, 0.0563673, 0.0573514, 0.0574212, 0.050914, 0.0274664, 0.017548, 0.0137512, 0.00973749, 0.00722053, 0.00463771, 0.00248017, 0.000732149, 0.0014813, 0.000359698, 0.000183168, 1e-22, 5.99411e-05, 1e-22, 5.27543e-05, 1e-22, 4.60841e-05, 1e-22, 4.0258e-05, 1e-22, 3.51716e-05, 1e-22, 3.07305e-05, 1e-22, 2.68524e-05, 1e-22, 2.34656e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.531409, 0.64766, 0.666256, 0.708339, 0.828146, 0.94048, 0.96335, 1.00898, 1.02899, 1.05132, 1.09309, 1.13482, 1.21557, 1.22646, 1.24839, 1.28511, 1.31793, 1.33527, 1.36253, 1.39887, 1.47155, 1.55709, 1.64264, 1.72818, 4.27683");
-            values ( \
-              "0.00271332, 0.0118305, 0.0144377, 0.022119, 0.0497152, 0.0731375, 0.0774478, 0.0850141, 0.0876312, 0.0897035, 0.0877411, 0.0733468, 0.0379242, 0.0350698, 0.0272904, 0.0175341, 0.0116504, 0.0093489, 0.00660481, 0.00411109, 0.00148365, 0.000417576, 0.000122924, 3.0401e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.608209, 0.711878, 0.747918, 0.765273, 0.847204, 1.01498, 1.07551, 1.10629, 1.16812, 1.18058, 1.19387, 1.21588, 1.22643, 1.24277, 1.25946, 1.28963, 1.33542, 1.38518, 1.40379, 1.43806, 1.48126, 1.51266, 1.54707, 1.59264, 1.6063, 1.63363, 1.68829, 1.73325, 1.7497, 1.78259, 1.84837, 1.93392, 2.01946, 2.105, 2.19055, 2.27609, 2.36164, 2.61827, 4.27714");
-            values ( \
-              "0.0182078, 0.0252843, 0.0334584, 0.0377354, 0.0582015, 0.101057, 0.115403, 0.121967, 0.132562, 0.133995, 0.135131, 0.135703, 0.134229, 0.129667, 0.124196, 0.113747, 0.0965493, 0.0784936, 0.0721157, 0.0611375, 0.0489529, 0.0413179, 0.0340732, 0.0261495, 0.0241262, 0.0204888, 0.0146549, 0.0110767, 0.00999611, 0.00812622, 0.00532772, 0.00304288, 0.0017315, 0.000982903, 0.000557553, 0.000315824, 0.000179081, 3.23998e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.608115, 0.752995, 0.776315, 0.822954, 0.89851, 1.11404, 1.14531, 1.2192, 1.31687, 1.36888, 1.42495, 1.47208, 1.52073, 1.54148, 1.58297, 1.66851, 1.81713, 1.90159, 1.96826, 2.0538, 2.08763, 2.12654, 2.17102, 2.25656, 2.32967, 2.35679, 2.41103, 2.48715, 2.57269, 2.63557, 2.72112, 2.80666, 2.89221, 2.97775, 3.06329, 3.14884, 3.23438, 3.40547, 3.57656, 3.74765, 4.27704");
-            values ( \
-              "0.0153747, 0.0362775, 0.0419905, 0.054028, 0.0748083, 0.138196, 0.147032, 0.166824, 0.158991, 0.154528, 0.149383, 0.144579, 0.138916, 0.136226, 0.130355, 0.116451, 0.0897938, 0.0752436, 0.0646036, 0.0524231, 0.0480858, 0.0434604, 0.0385964, 0.030504, 0.0248078, 0.0229471, 0.0196106, 0.0156637, 0.0121288, 0.0100281, 0.0077295, 0.00593902, 0.00456377, 0.00349588, 0.00268256, 0.00205016, 0.00157287, 0.000921093, 0.000539231, 0.000315826, 5.76916e-05" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0172067, 0.0182778, 0.0202743, 0.0262884, 0.032132, 0.0352811, 0.0376635, 0.0403372, 0.0496444, 0.0535289, 0.0577067, 0.0612768, 0.0673628, 0.0697915");
-            values ( \
-              "-0.0103763, -0.135835, -0.136545, -0.134497, -0.128827, -0.122215, -0.114576, -0.102312, -0.0418075, -0.0258318, -0.0149112, -0.0091821, -0.00387282, -0.00311776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00458277");
-            index_3 ("0.0179354, 0.0190421, 0.0253302, 0.0377269, 0.0441054, 0.0481013, 0.051616, 0.0548826, 0.0582927, 0.065931, 0.0721498, 0.078466, 0.0859125, 0.0909361, 0.0990193, 0.109797, 0.123024, 0.135785");
-            values ( \
-              "-0.127839, -0.171082, -0.169508, -0.162764, -0.156624, -0.150632, -0.143324, -0.134284, -0.120518, -0.0810475, -0.0541945, -0.0344893, -0.0194996, -0.0131158, -0.00680644, -0.00271138, -0.000766574, -0.000305608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00972287");
-            index_3 ("0.0179029, 0.0201216, 0.0387607, 0.0569813, 0.0646127, 0.070742, 0.0802653, 0.0859352, 0.0945408, 0.110985, 0.123199, 0.135335, 0.141703, 0.150194, 0.156242, 0.165133, 0.176989, 0.200699, 0.229785");
-            values ( \
-              "-0.122006, -0.194273, -0.189189, -0.181664, -0.177288, -0.172758, -0.162897, -0.154468, -0.134544, -0.0842592, -0.0547943, -0.0343182, -0.0265931, -0.0187289, -0.0145583, -0.010004, -0.0059837, -0.00195875, -0.000461613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0206282");
-            index_3 ("0.0184596, 0.0190172, 0.062178, 0.0921744, 0.111658, 0.12518, 0.142186, 0.153467, 0.175502, 0.196655, 0.224308, 0.246756, 0.269363, 0.286631, 0.304555, 0.31828, 0.345731, 0.378432");
-            values ( \
-              "-0.207698, -0.208212, -0.200622, -0.193539, -0.187595, -0.182269, -0.173057, -0.164238, -0.135338, -0.100113, -0.0622437, -0.0406255, -0.025841, -0.0180623, -0.0124168, -0.00927578, -0.00508407, -0.00279717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437651");
-            index_3 ("0.0184098, 0.018909, 0.131014, 0.201402, 0.227989, 0.251976, 0.294112, 0.310248, 0.327275, 0.38895, 0.415293, 0.442291, 0.491402, 0.516222, 0.539876, 0.586128, 0.612577, 0.665475, 0.735514, 0.875591");
-            values ( \
-              "-0.214745, -0.215262, -0.204193, -0.194627, -0.189829, -0.184315, -0.170458, -0.162122, -0.150717, -0.0999876, -0.0807317, -0.0639104, -0.0405406, -0.0319254, -0.0252869, -0.015862, -0.0121042, -0.00692992, -0.00323945, -0.000699264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0928528");
-            index_3 ("0.0184362, 0.0189004, 0.243694, 0.335543, 0.39796, 0.4621, 0.506849, 0.576888, 0.599801, 0.645629, 0.700817, 0.793868, 0.852685, 0.896587, 0.955123, 1.00179, 1.03868, 1.08788, 1.15792, 1.205, 1.27075, 1.34079, 1.41083, 1.55091, 1.69098, 1.83106");
-            values ( \
-              "-0.218227, -0.218733, -0.207704, -0.202095, -0.197474, -0.191848, -0.187067, -0.176478, -0.171873, -0.159251, -0.138746, -0.101282, -0.0804329, -0.0670924, -0.0521665, -0.0422155, -0.0356537, -0.0284353, -0.020239, -0.0161159, -0.0116799, -0.00835066, -0.00579214, -0.00283829, -0.00137179, -0.000647392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0262362, 0.0363204, 0.0370759, 0.0377478, 0.0387796, 0.0401553, 0.041755, 0.0432991, 0.0462258, 0.0478598, 0.0493731, 0.0518085, 0.052704, 0.054431, 0.0595342, 0.0615957, 0.0637022, 0.0651905, 0.0676183, 0.0689172, 0.0718789, 0.0753193, 0.0772723, 0.0811494, 0.0853024, 0.0901989, 0.102889");
-            values ( \
-              "-0.00599568, -0.117356, -0.130784, -0.133434, -0.134823, -0.134618, -0.133558, -0.132273, -0.128858, -0.125836, -0.122222, -0.11438, -0.110916, -0.102304, -0.0667503, -0.0535006, -0.0419829, -0.0350717, -0.0258443, -0.0218472, -0.0147531, -0.00924642, -0.00704617, -0.00405145, -0.00220509, -0.00106434, -0.000144105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00458277");
-            index_3 ("0.0260052, 0.0387675, 0.0398563, 0.0470098, 0.0554476, 0.0593628, 0.0615939, 0.0661093, 0.0687339, 0.0713651, 0.0790884, 0.0858908, 0.0899031, 0.0930425, 0.0978428, 0.104869, 0.109178, 0.114102, 0.123951, 0.137088, 0.149602");
-            values ( \
-              "-0.00173737, -0.169143, -0.169288, -0.166046, -0.159795, -0.155351, -0.151979, -0.142894, -0.135713, -0.12603, -0.0867935, -0.0562679, -0.0424948, -0.0337749, -0.0235086, -0.0135204, -0.00958978, -0.00642243, -0.00275759, -0.0008308, -0.000290133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00972287");
-            index_3 ("0.0265113, 0.0392273, 0.0580294, 0.0741423, 0.083009, 0.0939178, 0.1004, 0.109682, 0.134801, 0.146765, 0.160895, 0.170887, 0.189446, 0.200631");
-            values ( \
-              "-0.00352501, -0.193117, -0.187505, -0.180243, -0.174515, -0.163922, -0.154453, -0.132624, -0.0608886, -0.0387085, -0.0219069, -0.0144552, -0.00650988, -0.00445409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0206282");
-            index_3 ("0.0292874, 0.0366015, 0.0379283, 0.0397193, 0.0760721, 0.11219, 0.126285, 0.140128, 0.156817, 0.168094, 0.190129, 0.211282, 0.237527, 0.263072, 0.2841, 0.308943, 0.323217, 0.348934, 0.383417, 0.390283");
-            values ( \
-              "-0.0132043, -0.190073, -0.205092, -0.207409, -0.200895, -0.192114, -0.187669, -0.182052, -0.172983, -0.164311, -0.135264, -0.100189, -0.0639157, -0.039276, -0.025773, -0.015363, -0.0114313, -0.00647612, -0.00304478, -0.00274275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437651");
-            index_3 ("0.0321252, 0.0376117, 0.0406711, 0.0835642, 0.145934, 0.216195, 0.243161, 0.266896, 0.309037, 0.325134, 0.342177, 0.403904, 0.43022, 0.457194, 0.506309, 0.531196, 0.554832, 0.601044, 0.627454, 0.680273, 0.750312, 0.82035, 0.890389");
-            values ( \
-              "-0.142412, -0.208749, -0.214566, -0.210769, -0.204149, -0.194604, -0.189735, -0.184359, -0.170413, -0.162142, -0.150731, -0.0999606, -0.0807268, -0.0639201, -0.0405457, -0.0319088, -0.0252781, -0.0158626, -0.0121098, -0.00693919, -0.00324394, -0.00150369, -0.000700295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0928528");
-            index_3 ("0.0320634, 0.037512, 0.0407936, 0.22126, 0.350364, 0.43439, 0.504429, 0.556244, 0.614548, 0.684587, 0.778, 0.841735, 0.923322, 0.978736, 1.02974, 1.08926, 1.15929, 1.21903, 1.30744, 1.37748, 1.44752, 1.51755, 1.65763, 1.86775");
-            values ( \
-              "-0.145069, -0.212724, -0.218326, -0.209695, -0.202027, -0.195755, -0.189041, -0.182428, -0.171809, -0.150987, -0.113201, -0.0892596, -0.0639159, -0.0501435, -0.0398456, -0.0302502, -0.0217028, -0.0162784, -0.010567, -0.00742583, -0.00526883, -0.00367136, -0.0018022, -0.000650906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0545096, 0.0613843, 0.0650481, 0.0683766, 0.0717051, 0.0721389, 0.0747415, 0.075581, 0.0764204, 0.0803349, 0.0811697, 0.0820045, 0.0825611, 0.0831177, 0.0836742, 0.0842149, 0.0847556, 0.0851161, 0.0854766, 0.085837, 0.0861975, 0.0865223, 0.0868471, 0.0871719, 0.0874966, 0.0877134, 0.0880386, 0.0883638, 0.0885806, 0.0890142, 0.0894943, 0.0899744, 0.0909725, 0.0916047, 0.0934012, 0.0941693, 0.0949374, 0.0963789, 0.0973507, 0.0980877, 0.0995268, 0.100811, 0.101936, 0.103119, 0.104054, 0.104916, 0.106098, 0.107704, 0.108542, 0.109448");
-            values ( \
-              "-0.0548427, -0.0583393, -0.068234, -0.0765526, -0.0850578, -0.0859741, -0.0920647, -0.0939243, -0.0957177, -0.10324, -0.104476, -0.105467, -0.105992, -0.106408, -0.106714, -0.106652, -0.106485, -0.106141, -0.105635, -0.104966, -0.104134, -0.104124, -0.104306, -0.104679, -0.105244, -0.106017, -0.105678, -0.105122, -0.10463, -0.103356, -0.101163, -0.0986775, -0.0925004, -0.088167, -0.074752, -0.0693699, -0.0641926, -0.0550698, -0.0491901, -0.0450553, -0.0380166, -0.0324974, -0.0281567, -0.0241206, -0.0213356, -0.0190648, -0.0163143, -0.0131606, -0.0116834, -0.0102142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00458277");
-            index_3 ("0.0535718, 0.0578507, 0.0616085, 0.0701497, 0.0797425, 0.0874471, 0.0881857, 0.0892632, 0.0919248, 0.0964986, 0.101008, 0.103756, 0.106918, 0.116919, 0.12052, 0.126077, 0.13178, 0.135873, 0.139153, 0.142493, 0.146946, 0.155852, 0.168755, 0.181398");
-            values ( \
-              "-0.0196569, -0.0574788, -0.0740513, -0.102303, -0.131802, -0.152514, -0.157323, -0.160189, -0.158316, -0.152012, -0.142965, -0.135409, -0.123281, -0.0727043, -0.0574359, -0.0388164, -0.0253663, -0.0184612, -0.0142585, -0.010936, -0.0076264, -0.00358902, -0.00111182, -0.000370405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00972287");
-            index_3 ("0.0535231, 0.0615107, 0.0714096, 0.0873959, 0.0882414, 0.0895994, 0.0930759, 0.104654, 0.111419, 0.11811, 0.122587, 0.128759, 0.135442, 0.144743, 0.158252, 0.169853, 0.174994, 0.181809, 0.187855, 0.195917, 0.205923, 0.212118, 0.224507, 0.248287, 0.275975");
-            values ( \
-              "-0.00532192, -0.0824685, -0.121743, -0.178291, -0.184497, -0.188613, -0.187627, -0.182591, -0.178973, -0.174472, -0.170701, -0.164163, -0.154455, -0.13257, -0.090708, -0.0608702, -0.0503165, -0.0387098, -0.0304765, -0.0219248, -0.0144578, -0.0111367, -0.00650321, -0.00212563, -0.000545422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0206282");
-            index_3 ("0.0535042, 0.0661889, 0.0873782, 0.0889185, 0.089717, 0.0913139, 0.1111, 0.132356, 0.148932, 0.161423, 0.167831, 0.181683, 0.200208, 0.209513, 0.22192, 0.241014, 0.257993, 0.273172, 0.283205, 0.296191, 0.307191, 0.319277, 0.336428, 0.343911, 0.358878, 0.388811, 0.437125, 0.494558");
-            values ( \
-              "-0.00807884, -0.109172, -0.193141, -0.20331, -0.204144, -0.204562, -0.200805, -0.196017, -0.191585, -0.187597, -0.185237, -0.179052, -0.16694, -0.157791, -0.140653, -0.108714, -0.0825469, -0.0631442, -0.0524139, -0.0407903, -0.0328222, -0.0257101, -0.0180034, -0.0154123, -0.011223, -0.00582293, -0.00184307, -0.000445092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437651");
-            index_3 ("0.0635896, 0.0829792, 0.0884754, 0.0902212, 0.0917734, 0.135816, 0.185885, 0.233317, 0.260474, 0.300851, 0.310356, 0.321218, 0.342944, 0.353746, 0.368149, 0.456527, 0.480281, 0.512275, 0.538435, 0.559924, 0.5851, 0.620641, 0.657406, 0.685537, 0.7418, 0.811838, 0.881877, 0.951916");
-            values ( \
-              "-0.145533, -0.182749, -0.210396, -0.213137, -0.213216, -0.209053, -0.203596, -0.197397, -0.19307, -0.184753, -0.182235, -0.179024, -0.170982, -0.165805, -0.157256, -0.0870016, -0.0711785, -0.0534671, -0.0418536, -0.0340643, -0.026606, -0.0186078, -0.0128163, -0.00958937, -0.00527512, -0.00245749, -0.00114125, -0.0005285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0928528");
-            index_3 ("0.0639891, 0.0900056, 0.0936119, 0.294579, 0.448981, 0.512853, 0.557599, 0.627638, 0.650552, 0.696379, 0.844618, 0.947335, 1.00587, 1.05253, 1.13863, 1.20866, 1.25575, 1.32149, 1.39152, 1.46156, 1.60164, 1.64143");
-            values ( \
-              "-0.142763, -0.217427, -0.217709, -0.207648, -0.197501, -0.191896, -0.187019, -0.176527, -0.171825, -0.1593, -0.101234, -0.0671424, -0.0521183, -0.0422654, -0.0283869, -0.0202884, -0.0161656, -0.0117298, -0.0083026, -0.00584147, -0.00288732, -0.00257613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.107694, 0.121444, 0.123704, 0.126622, 0.129541, 0.133671, 0.137802, 0.141367, 0.144932, 0.14654, 0.148147, 0.151363, 0.152855, 0.154347, 0.155839, 0.157332, 0.158081, 0.158829, 0.159578, 0.160327, 0.161022, 0.161718, 0.162413, 0.163108, 0.163821, 0.164534, 0.165248, 0.165961, 0.166734, 0.167507, 0.16828, 0.169053, 0.16982, 0.170587, 0.17212, 0.174743, 0.17775, 0.179144, 0.180588, 0.182336, 0.183761, 0.184604, 0.185447, 0.18629, 0.187133, 0.187975, 0.188818, 0.190208, 0.191599, 0.193092");
-            values ( \
-              "-0.0329402, -0.0345729, -0.037066, -0.0401405, -0.0431574, -0.0473289, -0.0513851, -0.0547849, -0.0580939, -0.0594923, -0.0608487, -0.0634354, -0.0644866, -0.0654668, -0.0663761, -0.0672145, -0.067417, -0.0675673, -0.0676653, -0.0677111, -0.0677069, -0.0676576, -0.0675634, -0.0674241, -0.067061, -0.0665797, -0.0659803, -0.0652626, -0.0642608, -0.0630864, -0.0617395, -0.0602202, -0.0584606, -0.0565859, -0.0524916, -0.0444235, -0.0349195, -0.0308409, -0.0270349, -0.0228686, -0.0195916, -0.0178672, -0.0162798, -0.0149123, -0.0136267, -0.0124229, -0.0113009, -0.00968562, -0.00817974, -0.00668399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00458277");
-            index_3 ("0.108947, 0.122095, 0.123802, 0.125509, 0.13235, 0.139099, 0.146776, 0.152204, 0.156916, 0.160378, 0.16357, 0.16737, 0.169132, 0.172269, 0.174864, 0.176814, 0.177851, 0.178501, 0.179151, 0.180451, 0.181615, 0.182779, 0.184881, 0.185443, 0.186285, 0.187127, 0.187689, 0.188812, 0.189649, 0.190486, 0.191323, 0.19216, 0.193486, 0.196138, 0.199845, 0.20471, 0.205608, 0.210385, 0.211204, 0.212023, 0.213137, 0.21425, 0.215433, 0.216616, 0.218983, 0.220166, 0.221349, 0.222145, 0.223736, 0.225721");
-            values ( \
-              "-0.040183, -0.0439239, -0.0464896, -0.0489273, -0.0581571, -0.0667919, -0.0763583, -0.0829568, -0.0884433, -0.0923442, -0.0957321, -0.099538, -0.101245, -0.103999, -0.105995, -0.107298, -0.107877, -0.108171, -0.10842, -0.108784, -0.108678, -0.108507, -0.108033, -0.107766, -0.107149, -0.106294, -0.105619, -0.104054, -0.102702, -0.10119, -0.0995187, -0.0976883, -0.0941297, -0.0852399, -0.0718282, -0.0548799, -0.0519145, -0.0373965, -0.0365638, -0.0355153, -0.0336782, -0.0315756, -0.0287801, -0.026223, -0.0220334, -0.0201393, -0.0183791, -0.0173188, -0.0152838, -0.0129046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00972287");
-            index_3 ("0.105137, 0.117625, 0.13411, 0.167303, 0.183031, 0.194172, 0.202033, 0.206039, 0.210253, 0.211001, 0.212433, 0.215877, 0.220706, 0.225681, 0.232434, 0.24339, 0.250146, 0.255114, 0.260238, 0.267037, 0.273049, 0.281066, 0.288019, 0.29737, 0.309838, 0.333463, 0.360977");
-            values ( \
-              "-0.0151038, -0.0410085, -0.069333, -0.117923, -0.138737, -0.151691, -0.159075, -0.161803, -0.163615, -0.165374, -0.16589, -0.161849, -0.15449, -0.14413, -0.125031, -0.0909605, -0.0724948, -0.0607663, -0.0502814, -0.038685, -0.0304971, -0.0219996, -0.0164676, -0.0111131, -0.00648201, -0.00212519, -0.00056239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0206282");
-            index_3 ("0.105166, 0.127151, 0.142126, 0.164267, 0.189065, 0.212377, 0.214634, 0.236943, 0.246867, 0.260921, 0.277402, 0.288676, 0.310711, 0.331863, 0.346828, 0.354606, 0.36933, 0.38196, 0.392332, 0.404565, 0.421828, 0.429454, 0.439739, 0.453453, 0.48088, 0.531745, 0.589333");
-            values ( \
-              "-0.00653212, -0.0611274, -0.0876048, -0.123589, -0.162253, -0.196101, -0.197012, -0.190845, -0.18756, -0.182065, -0.173082, -0.164213, -0.135367, -0.100099, -0.0780531, -0.0680426, -0.0518488, -0.0406264, -0.0331004, -0.0258506, -0.0180637, -0.0154115, -0.012421, -0.00928682, -0.00508814, -0.0014996, -0.000351507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437651");
-            index_3 ("0.119812, 0.139171, 0.174799, 0.210182, 0.213329, 0.217162, 0.220817, 0.228126, 0.266579, 0.305647, 0.345814, 0.366129, 0.387527, 0.429721, 0.445458, 0.462639, 0.524865, 0.550925, 0.577674, 0.613339, 0.626836, 0.652355, 0.675821, 0.708657, 0.721684, 0.747738, 0.799846, 0.869885, 0.939923, 1.00996");
-            values ( \
-              "-0.0775237, -0.0854116, -0.146977, -0.204995, -0.208932, -0.209851, -0.208908, -0.208446, -0.204132, -0.199228, -0.193061, -0.189247, -0.18437, -0.170377, -0.162322, -0.150857, -0.0997022, -0.0806765, -0.0640061, -0.0461063, -0.0405885, -0.0317467, -0.0251906, -0.0180993, -0.0158632, -0.0121551, -0.00702072, -0.0032824, -0.00152156, -0.000708614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0928528");
-            index_3 ("0.133589, 0.170011, 0.21327, 0.389642, 0.45968, 0.540626, 0.584476, 0.642686, 0.712725, 0.735648, 0.781495, 0.836665, 0.929708, 0.988528, 1.03244, 1.09098, 1.13763, 1.17452, 1.22371, 1.29374, 1.34084, 1.4066, 1.47664, 1.54668, 1.68676, 1.82683, 1.96691");
-            values ( \
-              "-0.136576, -0.141735, -0.216329, -0.207062, -0.202829, -0.19697, -0.193178, -0.187033, -0.176516, -0.171836, -0.159283, -0.138707, -0.101243, -0.0804772, -0.0671358, -0.0521208, -0.0422598, -0.0357001, -0.0283933, -0.020286, -0.0161625, -0.0117256, -0.00830471, -0.00583799, -0.00288428, -0.00141789, -0.0006936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.193311, 0.224759, 0.232044, 0.242953, 0.258457, 0.28195, 0.304062, 0.313702, 0.318544, 0.322919, 0.32748, 0.332145, 0.335618, 0.356471, 0.364427, 0.374905, 0.385041");
-            values ( \
-              "-1.78664e-05, -0.00663795, -0.00873109, -0.0132554, -0.0213081, -0.0312362, -0.039013, -0.0414971, -0.0424693, -0.0428189, -0.0425771, -0.0406439, -0.0377171, -0.0112293, -0.0057583, -0.00220274, -0.000840447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00458277");
-            index_3 ("0.207733, 0.227381, 0.235549, 0.244897, 0.2615, 0.274979, 0.296129, 0.308601, 0.318609, 0.332273, 0.341472, 0.34575, 0.350701, 0.353878, 0.357004, 0.361884, 0.367841, 0.369174, 0.371839, 0.377056, 0.390867, 0.397326, 0.404498, 0.410752, 0.417514, 0.422766, 0.433186, 0.444272, 0.460227, 0.477508, 0.505711, 0.510685, 0.51946");
-            values ( \
-              "-0.00612421, -0.0106916, -0.0135473, -0.0181859, -0.0286039, -0.0362854, -0.0472014, -0.0531361, -0.057595, -0.0631024, -0.0662245, -0.0674106, -0.068486, -0.0689222, -0.0690676, -0.0684831, -0.0656135, -0.0645761, -0.061824, -0.0544349, -0.031727, -0.0230009, -0.0155264, -0.0107375, -0.0071003, -0.00508428, -0.00253086, -0.00119516, -0.000393743, -0.000141396, -5.13103e-05, -0.000893332, -0.000637508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00972287");
-            index_3 ("0.208265, 0.246927, 0.299266, 0.325782, 0.350767, 0.370296, 0.386549, 0.394759, 0.410368, 0.417481, 0.42561, 0.428437, 0.452592, 0.463686, 0.472672, 0.4874, 0.494863, 0.505695, 0.509167, 0.520798, 0.532659, 0.54632, 0.571476");
-            values ( \
-              "-0.00433067, -0.0230971, -0.0568336, -0.0720467, -0.0854479, -0.0949428, -0.101621, -0.104237, -0.106453, -0.104724, -0.0993877, -0.0961948, -0.0563507, -0.0400321, -0.0295033, -0.0171304, -0.0128342, -0.00832504, -0.0083786, -0.00518357, -0.00319875, -0.00166662, -0.000556763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0206282");
-            index_3 ("0.207958, 0.270239, 0.302954, 0.390964, 0.420055, 0.446215, 0.464956, 0.481734, 0.494153, 0.505372, 0.511879, 0.544442, 0.56202, 0.586792, 0.600058, 0.617746, 0.634433, 0.66154, 0.697683, 0.748854, 0.808091");
-            values ( \
-              "-8.66969e-05, -0.0412878, -0.0643217, -0.119363, -0.135605, -0.148287, -0.15525, -0.158188, -0.156582, -0.15001, -0.142674, -0.089803, -0.06613, -0.0414113, -0.031853, -0.0221925, -0.0156929, -0.00882924, -0.00390864, -0.00114202, -0.000247844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437651");
-            index_3 ("0.237875, 0.277453, 0.350153, 0.426567, 0.454858, 0.492628, 0.505474, 0.509527, 0.51145, 0.551608, 0.592106, 0.610541, 0.630204, 0.63776, 0.652873, 0.683099, 0.726004, 0.757052, 0.773405, 0.803866, 0.829698, 0.850727, 0.876007, 0.911627, 0.927394, 0.948684, 0.977072, 1.03385, 1.10389, 1.17392, 1.24396");
-            values ( \
-              "-0.0314316, -0.0482432, -0.0994809, -0.150535, -0.16845, -0.190851, -0.197912, -0.19918, -0.199267, -0.193083, -0.184706, -0.179588, -0.172614, -0.16932, -0.1613, -0.139212, -0.103385, -0.0804567, -0.0699468, -0.0532365, -0.0417921, -0.0341653, -0.0266641, -0.0186289, -0.0158866, -0.0127926, -0.00954945, -0.00521904, -0.00243397, -0.00112693, -0.000524714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0928528");
-            index_3 ("0.252788, 0.307665, 0.399821, 0.481728, 0.505525, 0.510573, 0.515448, 0.6356, 0.677077, 0.747116, 0.789149, 0.848362, 0.918401, 0.94136, 0.987278, 1.04238, 1.13539, 1.19423, 1.23817, 1.29675, 1.34336, 1.38021, 1.42935, 1.49939, 1.54654, 1.61239, 1.68243, 1.75247, 1.82251, 1.89254, 2.03262, 2.1727");
-            values ( \
-              "-0.0507455, -0.0711799, -0.137248, -0.193878, -0.209705, -0.211363, -0.211512, -0.204734, -0.202003, -0.19696, -0.193317, -0.186997, -0.176562, -0.171801, -0.159293, -0.138674, -0.101234, -0.0805007, -0.0671485, -0.0520902, -0.0422747, -0.0357193, -0.0283875, -0.0203077, -0.0161774, -0.0117344, -0.00828858, -0.00584863, -0.00411228, -0.00289576, -0.0014297, -0.000705482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.487669, 0.532, 0.534912, 0.538437, 0.541962, 0.545487, 0.549012, 0.553842, 0.563501, 0.57524, 0.583215, 0.589918, 0.597838, 0.610826, 0.619648, 0.623616, 0.627013, 0.637203, 0.647784, 0.653466, 0.659341, 0.666379, 0.669872, 0.673365, 0.678386, 0.678896, 0.680934, 0.683915, 0.686744, 0.688491, 0.690239, 0.692004, 0.69377, 0.695901, 0.698032, 0.700196, 0.70236, 0.704254, 0.706148, 0.711022, 0.714742, 0.719124, 0.722266, 0.727877, 0.729833, 0.73179, 0.73558, 0.737475, 0.73937, 0.742857");
-            values ( \
-              "-0.00523214, -0.00551165, -0.00586282, -0.00631285, -0.00679018, -0.0072948, -0.0078267, -0.00862955, -0.0104053, -0.0125118, -0.0139052, -0.0150426, -0.0163455, -0.0184084, -0.0197457, -0.0203319, -0.0208101, -0.0221806, -0.0235257, -0.0241524, -0.0247542, -0.025416, -0.0256801, -0.0258935, -0.0261505, -0.0261733, -0.0261879, -0.0261723, -0.0261167, -0.0260251, -0.0258946, -0.0256912, -0.0254265, -0.0249881, -0.0244324, -0.0237255, -0.0228823, -0.0219963, -0.0210311, -0.0181825, -0.0158375, -0.0132137, -0.0114247, -0.00850557, -0.00767271, -0.00690157, -0.00559617, -0.00502052, -0.00449623, -0.0036519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00458277");
-            index_3 ("0.45977, 0.499128, 0.516519, 0.537126, 0.564788, 0.571732, 0.612568, 0.638577, 0.666409, 0.693822, 0.709456, 0.721409, 0.728732, 0.737324, 0.747902, 0.762383, 0.786023, 0.793127, 0.8026, 0.814198, 0.822438, 0.837716, 0.85501, 0.872948, 0.891703, 0.912407, 0.967355, 1.13162");
-            values ( \
-              "-0.00378957, -0.00459945, -0.0062219, -0.00870182, -0.013788, -0.0155506, -0.0248268, -0.0302216, -0.0354449, -0.0398518, -0.0418723, -0.0429972, -0.0433956, -0.0434106, -0.0420916, -0.0355353, -0.0182515, -0.0140047, -0.00950513, -0.00572588, -0.00393373, -0.00185379, -0.000790958, -0.000324457, -0.000165075, -7.33445e-05, -2.54741e-05, -1.84106e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00972287");
-            index_3 ("0.463719, 0.516548, 0.534701, 0.553671, 0.572961, 0.64071, 0.662183, 0.70706, 0.735, 0.746433, 0.756798, 0.777296, 0.784361, 0.79782, 0.810594, 0.832921, 0.836838, 0.844671, 0.860337, 0.885738, 0.897715, 0.904022, 0.916636, 0.92611, 0.940343, 0.959321, 0.992013, 1.02801, 1.06966, 1.11798");
-            values ( \
-              "-0.00457496, -0.00868468, -0.0113375, -0.0146107, -0.0187987, -0.036607, -0.0418278, -0.0519019, -0.0575173, -0.059613, -0.0614414, -0.0645831, -0.0655241, -0.0669281, -0.0676684, -0.065777, -0.0645285, -0.061208, -0.0502714, -0.0304014, -0.0226875, -0.0192355, -0.0135479, -0.0102905, -0.00668557, -0.00360899, -0.00109815, -0.000275874, -6.92978e-05, -2.2461e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0206282");
-            index_3 ("0.463712, 0.543709, 0.577664, 0.602064, 0.673994, 0.713738, 0.77475, 0.833869, 0.85555, 0.87763, 0.901395, 0.938259, 0.975109, 0.977662, 0.987871, 1.03101, 1.04362, 1.05987, 1.07327, 1.087, 1.10636, 1.11445, 1.13064, 1.16302, 1.21577, 1.23784, 1.26655, 1.29493");
-            values ( \
-              "-0.00295936, -0.0156159, -0.0229292, -0.0291763, -0.0494585, -0.0597957, -0.0746186, -0.0876508, -0.0919446, -0.0958992, -0.0994548, -0.102165, -0.0949005, -0.0936054, -0.0873433, -0.0541623, -0.0453236, -0.0353259, -0.0284043, -0.0224645, -0.0158647, -0.013672, -0.0100689, -0.00525506, -0.00159226, -0.0015245, -0.000836053, -0.000414608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437651");
-            index_3 ("0.521204, 0.581918, 0.620267, 0.731154, 0.839656, 0.939948, 1.00987, 1.0468, 1.07677, 1.12964, 1.18246, 1.25363, 1.28282, 1.33085, 1.37746, 1.42506, 1.45291, 1.5086, 1.57864, 1.64868, 1.71872");
-            values ( \
-              "-0.0255003, -0.0264592, -0.0364908, -0.0682323, -0.0972157, -0.122192, -0.137481, -0.144186, -0.148365, -0.149942, -0.131815, -0.0812009, -0.0630703, -0.0403832, -0.0256599, -0.0158787, -0.0119418, -0.00662426, -0.00309398, -0.00143289, -0.000666231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0928528");
-            index_3 ("0.564599, 0.66035, 0.758593, 0.882318, 1.0412, 1.07814, 1.17225, 1.21561, 1.22637, 1.27752, 1.34509, 1.41413, 1.43802, 1.48582, 1.53924, 1.63136, 1.69064, 1.73531, 1.79486, 1.84037, 1.8764, 1.92445, 1.99449, 2.04308, 2.11141, 2.18145, 2.25149, 2.32153, 2.39157, 2.53165, 2.67172");
-            values ( \
-              "-0.0457969, -0.0494204, -0.078166, -0.113349, -0.156803, -0.166337, -0.188955, -0.198209, -0.198233, -0.194081, -0.187039, -0.176693, -0.17184, -0.158648, -0.138628, -0.10151, -0.0805702, -0.0670039, -0.0517644, -0.0421989, -0.0357894, -0.0286043, -0.0204543, -0.0161816, -0.0115932, -0.00819685, -0.00577462, -0.00406855, -0.00285623, -0.00140756, -0.000692063" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00245591, 0.0024887, 0.00254198, 0.00262183, 0.0027894, 0.00308591", \
-            "0.00238803, 0.00241393, 0.00246544, 0.00253404, 0.00270237, 0.00302656", \
-            "0.00228885, 0.00232589, 0.0023713, 0.00245285, 0.00261148, 0.00294334", \
-            "0.00218153, 0.00222688, 0.00229761, 0.00239077, 0.00254211, 0.0028757", \
-            "0.00212279, 0.00217309, 0.00222412, 0.00230591, 0.00246633, 0.00285035", \
-            "0.00223741, 0.00221003, 0.00225428, 0.00237655, 0.00252705, 0.00279209" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00839855, 0.00845086, 0.00851819, 0.00864723, 0.00892133, 0.00960301", \
-            "0.00838436, 0.0084318, 0.00850253, 0.00863059, 0.00891725, 0.00959907", \
-            "0.00834294, 0.0083925, 0.00846408, 0.00859324, 0.00892348, 0.00959648", \
-            "0.00830311, 0.00835754, 0.00843397, 0.00857685, 0.00890442, 0.0095925", \
-            "0.00825488, 0.00830289, 0.00837279, 0.00854583, 0.00885245, 0.00958722", \
-            "0.00834017, 0.0083299, 0.00848134, 0.00855628, 0.00881353, 0.00954572" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.0021627, 0.00219847, 0.00225379, 0.00236333, 0.00254274, 0.00291663", \
-            "0.00213535, 0.0021753, 0.00222906, 0.00231505, 0.00250139, 0.00290887", \
-            "0.00208567, 0.00212505, 0.00218859, 0.00227993, 0.00246288, 0.00288193", \
-            "0.00200313, 0.00205062, 0.00213062, 0.00224247, 0.00243546, 0.00286742", \
-            "0.00196558, 0.0020129, 0.0020952, 0.00218985, 0.00239061, 0.0028398", \
-            "0.00203837, 0.00210748, 0.00213907, 0.00221007, 0.00241219, 0.00280244" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00890178, 0.00893201, 0.00898234, 0.00903831, 0.009235, 0.00963896", \
-            "0.00888768, 0.00890843, 0.00896312, 0.00902454, 0.009217, 0.00962829", \
-            "0.00884205, 0.00888696, 0.00895227, 0.00902161, 0.00922021, 0.00962455", \
-            "0.0087993, 0.00884293, 0.00891245, 0.00900459, 0.00921183, 0.00962175", \
-            "0.0087438, 0.00879187, 0.00884361, 0.00893382, 0.00915279, 0.0096013", \
-            "0.00884149, 0.00882629, 0.00891375, 0.00897132, 0.00911998, 0.00959078" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00245591, 0.0024887, 0.00254198, 0.00262183, 0.0027894, 0.00308591", \
-            "0.00238803, 0.00241393, 0.00246544, 0.00253404, 0.00270237, 0.00302656", \
-            "0.00228885, 0.00232589, 0.0023713, 0.00245285, 0.00261148, 0.00294334", \
-            "0.00218153, 0.00222688, 0.00229761, 0.00239077, 0.00254211, 0.0028757", \
-            "0.00212279, 0.00217309, 0.00222412, 0.00230591, 0.00246633, 0.00285035", \
-            "0.00223741, 0.00221003, 0.00225428, 0.00237655, 0.00252705, 0.00279209" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00890178, 0.00893201, 0.00898234, 0.00903831, 0.009235, 0.00963896", \
-            "0.00888768, 0.00890843, 0.00896312, 0.00902454, 0.009217, 0.00962829", \
-            "0.00884205, 0.00888696, 0.00895227, 0.00902161, 0.00922021, 0.00962455", \
-            "0.0087993, 0.00884293, 0.00891245, 0.00900459, 0.00921183, 0.00962175", \
-            "0.0087438, 0.00879187, 0.00884361, 0.00893382, 0.00915279, 0.0096013", \
-            "0.00884149, 0.00882629, 0.00891375, 0.00897132, 0.00911998, 0.00959078" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00309637, 0.0031275, 0.00316537, 0.00323258, 0.00338766, 0.00371148", \
-            "0.00306203, 0.00309099, 0.00314366, 0.0032239, 0.0033849, 0.00370232", \
-            "0.00298078, 0.00301815, 0.00308045, 0.00318267, 0.00334691, 0.00366794", \
-            "0.00285141, 0.00291554, 0.00300533, 0.00310642, 0.00330412, 0.00366258", \
-            "0.00273398, 0.00278229, 0.00290006, 0.00302092, 0.00322766, 0.00361791", \
-            "0.00273321, 0.00281462, 0.00286812, 0.00305769, 0.00324058, 0.00358147" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00888205, 0.00894895, 0.00904867, 0.00916621, 0.00935503, 0.00985754", \
-            "0.00885616, 0.00892778, 0.00903678, 0.00916302, 0.00937335, 0.00985983", \
-            "0.00881943, 0.00888868, 0.00901172, 0.0091362, 0.00934495, 0.00985066", \
-            "0.00874841, 0.00882931, 0.00895376, 0.00911143, 0.00934339, 0.00984457", \
-            "0.00862428, 0.00870649, 0.00884234, 0.00902564, 0.00929083, 0.0098333", \
-            "0.00862393, 0.00861435, 0.00871289, 0.00895259, 0.00920483, 0.00975987" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00226957, 0.00230753, 0.00235435, 0.00242925, 0.00257679, 0.00292948", \
-            "0.00226088, 0.00230469, 0.00235755, 0.00243359, 0.00259089, 0.00293646", \
-            "0.00220796, 0.00226204, 0.00234914, 0.00243685, 0.00258854, 0.00295127", \
-            "0.0021113, 0.00217519, 0.0022852, 0.00237983, 0.00256833, 0.00293728", \
-            "0.00199346, 0.00208306, 0.00220012, 0.00232618, 0.00252419, 0.00290994", \
-            "0.00208596, 0.002109, 0.00217266, 0.00237315, 0.00251713, 0.00288312" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00860942, 0.00865817, 0.00874207, 0.00883038, 0.00899288, 0.0094278", \
-            "0.00856434, 0.00863231, 0.00871164, 0.00881033, 0.00899107, 0.00942803", \
-            "0.00850645, 0.00857924, 0.00866561, 0.00877376, 0.00896175, 0.00941898", \
-            "0.0084219, 0.00850291, 0.00861603, 0.00874906, 0.00895438, 0.0094086", \
-            "0.00837566, 0.00841976, 0.00853435, 0.00868517, 0.008906, 0.00938346", \
-            "0.00852206, 0.00854773, 0.00862942, 0.00871401, 0.00893047, 0.00938638" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00309637, 0.0031275, 0.00316537, 0.00323258, 0.00338766, 0.00371148", \
-            "0.00306203, 0.00309099, 0.00314366, 0.0032239, 0.0033849, 0.00370232", \
-            "0.00298078, 0.00301815, 0.00308045, 0.00318267, 0.00334691, 0.00366794", \
-            "0.00285141, 0.00291554, 0.00300533, 0.00310642, 0.00330412, 0.00366258", \
-            "0.00273398, 0.00278229, 0.00290006, 0.00302092, 0.00322766, 0.00361791", \
-            "0.00273321, 0.00281462, 0.00286812, 0.00305769, 0.00324058, 0.00358147" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00888205, 0.00894895, 0.00904867, 0.00916621, 0.00935503, 0.00985754", \
-            "0.00885616, 0.00892778, 0.00903678, 0.00916302, 0.00937335, 0.00985983", \
-            "0.00881943, 0.00888868, 0.00901172, 0.0091362, 0.00934495, 0.00985066", \
-            "0.00874841, 0.00882931, 0.00895376, 0.00911143, 0.00934339, 0.00984457", \
-            "0.00862428, 0.00870649, 0.00884234, 0.00902564, 0.00929083, 0.0098333", \
-            "0.00862393, 0.00861435, 0.00871289, 0.00895259, 0.00920483, 0.00975987" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00328066, 0.00331256, 0.00337019, 0.00347149, 0.00367085, 0.0040529", \
-            "0.00327237, 0.00331522, 0.00338285, 0.00348907, 0.00370079, 0.00407068", \
-            "0.00326237, 0.00330551, 0.00336887, 0.00348946, 0.00370913, 0.00407178", \
-            "0.00319988, 0.00324833, 0.00332401, 0.00344804, 0.0036933, 0.00407852", \
-            "0.00321604, 0.0032539, 0.00329942, 0.00339605, 0.00360536, 0.00403598", \
-            "0.00332417, 0.00334982, 0.00341381, 0.00355679, 0.00362999, 0.00403092" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00776141, 0.00785356, 0.00797782, 0.00812692, 0.00833913, 0.00883907", \
-            "0.00773734, 0.00783137, 0.00796765, 0.00811662, 0.00832749, 0.00883878", \
-            "0.00770817, 0.00780679, 0.00794651, 0.00811078, 0.00833217, 0.00883604", \
-            "0.0076532, 0.00774628, 0.00789647, 0.00807673, 0.00831261, 0.00881893", \
-            "0.00765926, 0.007718, 0.00786021, 0.00804256, 0.00830127, 0.00882338", \
-            "0.0078831, 0.00790967, 0.00803339, 0.00811697, 0.00831332, 0.00883752" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00273024, 0.00277277, 0.00283021, 0.00292196, 0.00309088, 0.00346079", \
-            "0.00271518, 0.00275883, 0.00281943, 0.00290395, 0.00307069, 0.00343858", \
-            "0.00267441, 0.0027257, 0.00279182, 0.00288277, 0.00304826, 0.00340634", \
-            "0.00260681, 0.00268138, 0.00276544, 0.00285297, 0.00302847, 0.00339658", \
-            "0.00253475, 0.00258855, 0.00266647, 0.00281375, 0.00299612, 0.00337161", \
-            "0.00268156, 0.00268403, 0.00273365, 0.00283641, 0.00299472, 0.00335573" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00703805, 0.00707801, 0.00715038, 0.00727733, 0.00760529, 0.00826501", \
-            "0.00701445, 0.00705891, 0.00712959, 0.00726674, 0.00757559, 0.00825953", \
-            "0.00698653, 0.0070349, 0.00711141, 0.00727305, 0.00756093, 0.00826722", \
-            "0.00695046, 0.00699827, 0.00708622, 0.00724356, 0.00758186, 0.00826497", \
-            "0.00695136, 0.00705506, 0.00707622, 0.00721682, 0.00754864, 0.00825473", \
-            "0.00726791, 0.00724006, 0.00724123, 0.00730955, 0.00755397, 0.00826056" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00328066, 0.00331256, 0.00337019, 0.00347149, 0.00367085, 0.0040529", \
-            "0.00327237, 0.00331522, 0.00338285, 0.00348907, 0.00370079, 0.00407068", \
-            "0.00326237, 0.00330551, 0.00336887, 0.00348946, 0.00370913, 0.00407178", \
-            "0.00319988, 0.00324833, 0.00332401, 0.00344804, 0.0036933, 0.00407852", \
-            "0.00321604, 0.0032539, 0.00329942, 0.00339605, 0.00360536, 0.00403598", \
-            "0.00332417, 0.00334982, 0.00341381, 0.00355679, 0.00362999, 0.00403092" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00458277, 0.00972287, 0.0206282, 0.0437651, 0.0928528");
-          values ( \
-            "0.00776141, 0.00785356, 0.00797782, 0.00812692, 0.00833913, 0.00883907", \
-            "0.00773734, 0.00783137, 0.00796765, 0.00811662, 0.00832749, 0.00883878", \
-            "0.00770817, 0.00780679, 0.00794651, 0.00811078, 0.00833217, 0.00883604", \
-            "0.0076532, 0.00774628, 0.00789647, 0.00807673, 0.00831261, 0.00881893", \
-            "0.00765926, 0.007718, 0.00786021, 0.00804256, 0.00830127, 0.00882338", \
-            "0.0078831, 0.00790967, 0.00803339, 0.00811697, 0.00831332, 0.00883752" \
-          );
-        }
-      }
-    }
-    pin (CON) {
-      direction : output;
-      function : "(!A * !B) + (!A * !CI) + (!B * !CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0347298;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.160567, 0.179744, 0.213024, 0.270742, 0.370783, 0.544537", \
-            "0.165121, 0.184421, 0.217844, 0.275679, 0.375859, 0.549746", \
-            "0.176691, 0.196053, 0.229631, 0.287719, 0.38811, 0.562206", \
-            "0.20225, 0.221643, 0.25531, 0.313474, 0.414199, 0.588578", \
-            "0.261755, 0.281206, 0.314625, 0.372717, 0.473535, 0.648321", \
-            "0.374239, 0.399664, 0.441508, 0.509281, 0.616637, 0.791434" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.182088, 0.209833, 0.256034, 0.334905, 0.473236, 0.716264", \
-            "0.182089, 0.209834, 0.256035, 0.334908, 0.473247, 0.716267", \
-            "0.18208, 0.209854, 0.256017, 0.334901, 0.473248, 0.716261", \
-            "0.181932, 0.2097, 0.255935, 0.334887, 0.473231, 0.716273", \
-            "0.193905, 0.219377, 0.262302, 0.337282, 0.473129, 0.716265", \
-            "0.253405, 0.277336, 0.316434, 0.380561, 0.502146, 0.728104" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.090711, 0.101478, 0.12002, 0.151962, 0.207091, 0.302544", \
-            "0.0936156, 0.10439, 0.122933, 0.154863, 0.209947, 0.305338", \
-            "0.100625, 0.111466, 0.130095, 0.162143, 0.21727, 0.312703", \
-            "0.117952, 0.12887, 0.147725, 0.179803, 0.23522, 0.331035", \
-            "0.149388, 0.163068, 0.185346, 0.221086, 0.278165, 0.374224", \
-            "0.18127, 0.200999, 0.232676, 0.282284, 0.357724, 0.470983" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0931423, 0.106594, 0.130785, 0.173018, 0.247111, 0.376403", \
-            "0.0928401, 0.106319, 0.130471, 0.172691, 0.246681, 0.375841", \
-            "0.0926822, 0.106194, 0.130294, 0.172571, 0.246544, 0.375657", \
-            "0.0961743, 0.108775, 0.131681, 0.172765, 0.246511, 0.375747", \
-            "0.123316, 0.134943, 0.154908, 0.190134, 0.256509, 0.378703", \
-            "0.184116, 0.197922, 0.221242, 0.26013, 0.323468, 0.429449" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0439461, 0.0592541, 0.0689858, 0.0702364, 0.0727376, 0.07774, 0.0877448, 0.0928879, 0.103174, 0.116423, 0.14016, 0.141116, 0.168172, 0.179655, 0.191356, 0.221618, 0.23922, 0.248253, 0.251116, 0.254097, 0.257285, 0.261615, 0.265663, 0.270193, 0.284537, 0.295491, 0.306801, 0.317215, 0.346819, 0.369114, 0.392016, 0.428672, 0.466327, 0.529793, 0.603168, 0.687394, 0.771621");
-            values ( \
-              "0.00770578, 0.0177241, 0.0176966, 0.0174286, 0.0175734, 0.0171688, 0.0171176, 0.0167124, 0.016696, 0.0160818, 0.0154294, 0.0152561, 0.0134846, 0.0139322, 0.0134771, 0.0105824, 0.00903871, 0.00899587, 0.00906998, 0.00885281, 0.0088534, 0.00863057, 0.00854523, 0.00816748, 0.0073595, 0.00707427, 0.00648609, 0.00604632, 0.00406344, 0.00306576, 0.00233931, 0.00150161, 0.000931511, 0.000397702, 0.000175861, 2.29358e-05, 3.79373e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0440925, 0.0540157, 0.0616092, 0.071786, 0.074373, 0.079547, 0.0898949, 0.100678, 0.122243, 0.127989, 0.156514, 0.177356, 0.187544, 0.20361, 0.220076, 0.236506, 0.246191, 0.251919, 0.258244, 0.258496, 0.258999, 0.260006, 0.262018, 0.266044, 0.269245, 0.280072, 0.289106, 0.294257, 0.302294, 0.31063, 0.322909, 0.334515, 0.347356, 0.359585, 0.393071, 0.407154, 0.421025, 0.437716, 0.442763, 0.452859, 0.473051, 0.503752, 0.521824, 0.527517, 0.538904, 0.561677, 0.607222, 0.689668, 0.700801");
-            values ( \
-              "0.000426897, 0.0269407, 0.0266314, 0.0265832, 0.0261382, 0.0262406, 0.0255044, 0.0254458, 0.0243906, 0.0245472, 0.0231884, 0.0212479, 0.02096, 0.0210585, 0.0202377, 0.0176681, 0.0170629, 0.0159467, 0.0158001, 0.0154034, 0.0157542, 0.0152537, 0.0155066, 0.0147495, 0.0148263, 0.0126355, 0.0118927, 0.0120886, 0.0114539, 0.0118146, 0.011152, 0.0110534, 0.00978262, 0.0093013, 0.00604898, 0.00553118, 0.00439913, 0.00404316, 0.00345886, 0.00347468, 0.00245655, 0.00209014, 0.00136734, 0.00165648, 0.00110034, 0.00119628, 0.000406918, 0.000414677, 0.000359864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.048999, 0.0555247, 0.0636751, 0.064942, 0.0674757, 0.0725431, 0.0808187, 0.0967703, 0.113516, 0.146516, 0.147379, 0.170803, 0.182654, 0.220631, 0.238918, 0.30651, 0.314143, 0.347798, 0.358006, 0.383107, 0.398612, 0.467026, 0.486825, 0.532371, 0.559784, 0.624399, 0.6955, 0.776759, 0.860985, 0.874168");
-            values ( \
-              "0.034663, 0.0378704, 0.0373943, 0.0377828, 0.037232, 0.037476, 0.0365946, 0.0363622, 0.0352479, 0.0345407, 0.0342803, 0.0332725, 0.0325358, 0.0296233, 0.0304887, 0.0230834, 0.0216293, 0.0170853, 0.0164304, 0.0160888, 0.0153451, 0.00925597, 0.00778263, 0.00520641, 0.00409433, 0.00229776, 0.00122452, 0.000548515, 0.0002623, 0.000246676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0490406, 0.0581505, 0.0669212, 0.070766, 0.0784555, 0.0921012, 0.113505, 0.135942, 0.173629, 0.181221, 0.196406, 0.226775, 0.228239, 0.23702, 0.272147, 0.278345, 0.290741, 0.309161, 0.346133, 0.367881, 0.41259, 0.455147, 0.490347, 0.607164, 0.633058, 0.697175, 0.740698, 0.796717, 0.840905, 0.927979, 1.01221, 1.09643, 1.26488");
-            values ( \
-              "0.041745, 0.0487537, 0.0491829, 0.048486, 0.0486982, 0.0475101, 0.0472282, 0.0459387, 0.0452652, 0.0444931, 0.0444755, 0.0424611, 0.0426562, 0.0421303, 0.0396979, 0.0400028, 0.0399659, 0.0392737, 0.0354477, 0.0336267, 0.0271087, 0.0242749, 0.0209068, 0.011701, 0.00989363, 0.00651961, 0.00488279, 0.00336461, 0.00247215, 0.00135251, 0.000767095, 0.000403374, 0.000114343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0488472, 0.062054, 0.0711446, 0.0802717, 0.0954939, 0.111119, 0.142119, 0.174335, 0.225836, 0.239432, 0.266625, 0.30691, 0.377286, 0.380484, 0.409344, 0.469551, 0.535288, 0.567815, 0.610442, 0.716996, 0.853867, 0.945123, 1.00139, 1.13193, 1.29866, 1.46711, 1.46855");
-            values ( \
-              "0.0496705, 0.0590937, 0.0594182, 0.0584614, 0.0584579, 0.0573097, 0.0570719, 0.0556496, 0.0549582, 0.0539967, 0.0537842, 0.0515899, 0.0486807, 0.0491845, 0.0480913, 0.0443981, 0.037171, 0.0359303, 0.0325446, 0.0224265, 0.0134996, 0.00905864, 0.00701568, 0.00380359, 0.00170155, 0.000745546, 0.00074294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0552512, 0.0552712, 0.189951, 0.241295, 0.325521, 0.340885, 0.371613, 0.433069, 0.44381, 0.465294, 0.507717, 0.549751, 0.552387, 0.557658, 0.616794, 0.623883, 0.631271, 0.638132, 0.651966, 0.737281, 0.757797, 0.777679, 0.79803, 1.08667, 1.13505, 1.19415, 1.20764, 1.23462, 1.28857, 1.34636, 1.42302, 1.43891, 1.4707, 1.53427, 1.60382, 1.68804, 1.74001, 1.82423, 1.90846, 1.99268, 2.07691, 2.16114, 2.24536, 2.32959, 2.41381, 2.49804, 2.58227, 2.66649, 2.75072");
-            values ( \
-              "1e-22, 0.0725327, 0.0643111, 0.0640074, 0.0618846, 0.0621787, 0.0609426, 0.0599169, 0.0590195, 0.0588168, 0.0569087, 0.0570084, 0.0561861, 0.0565133, 0.0537874, 0.0541736, 0.0534599, 0.0538656, 0.0521636, 0.04673, 0.0472443, 0.0456323, 0.0453576, 0.023873, 0.0220767, 0.0183708, 0.0185246, 0.0165643, 0.0150285, 0.0121288, 0.0103653, 0.00920876, 0.00903471, 0.00684968, 0.0061502, 0.00415407, 0.00415014, 0.00260871, 0.00258145, 0.00140397, 0.00164898, 0.000692581, 0.00109826, 0.00027509, 0.000773933, 3.1144e-05, 0.000583017, 1e-22, 0.000470452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0595681, 0.0678971, 0.0744647, 0.083835, 0.0854515, 0.0886844, 0.0951504, 0.106629, 0.139498, 0.155201, 0.156282, 0.171212, 0.183368, 0.194549, 0.203171, 0.226213, 0.241083, 0.255723, 0.259718, 0.263422, 0.268877, 0.27212, 0.276724, 0.285326, 0.290189, 0.299914, 0.322183, 0.340217, 0.353864, 0.361534, 0.371702, 0.38357, 0.39672, 0.407222, 0.425466, 0.443732, 0.470883, 0.481538, 0.502848, 0.621134, 0.662752");
-            values ( \
-              "0.00104093, 0.01767, 0.0178706, 0.0175355, 0.0175739, 0.017397, 0.017247, 0.0168652, 0.016009, 0.0152749, 0.0152778, 0.0142389, 0.0135358, 0.0138462, 0.0137122, 0.0116267, 0.0101611, 0.0090176, 0.00895477, 0.00904972, 0.00893127, 0.00878968, 0.00870187, 0.00824497, 0.00786114, 0.00742343, 0.00654934, 0.00557251, 0.00452555, 0.00416329, 0.00355683, 0.00316523, 0.00262311, 0.0024106, 0.00185749, 0.00157547, 0.00104394, 0.00100223, 0.00068224, 9.88829e-05, 9.51875e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0596393, 0.0691993, 0.0768115, 0.115892, 0.156161, 0.171726, 0.202759, 0.218831, 0.23529, 0.251721, 0.267134, 0.28445, 0.295266, 0.304311, 0.309463, 0.317503, 0.325844, 0.349741, 0.374812, 0.408281, 0.436235, 0.452923, 0.457975, 0.468079, 0.488286, 0.537039, 0.542727, 0.554102, 0.576852, 0.622353, 0.790806, 0.875032");
-            values ( \
-              "0.00177229, 0.0266315, 0.0268096, 0.0252586, 0.0238979, 0.0230112, 0.0207935, 0.0212246, 0.0200704, 0.0178359, 0.0161132, 0.0146895, 0.0127756, 0.0120293, 0.011953, 0.0115894, 0.0116789, 0.0109176, 0.00916565, 0.00618358, 0.00453331, 0.00390972, 0.00359245, 0.00334128, 0.00258922, 0.00150043, 0.00152376, 0.00123329, 0.00106409, 0.000540122, 1.9452e-05, 8.90969e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0644241, 0.0709816, 0.0787737, 0.129001, 0.169651, 0.198145, 0.222833, 0.236146, 0.254102, 0.271517, 0.301631, 0.3169, 0.350864, 0.363542, 0.373642, 0.385049, 0.414124, 0.446929, 0.482462, 0.519621, 0.54785, 0.575356, 0.637763, 0.652069, 0.680683, 0.822136, 0.990588");
-            values ( \
-              "0.0346645, 0.037524, 0.0376031, 0.0354378, 0.0340813, 0.0325841, 0.0305591, 0.0298488, 0.0303255, 0.0288893, 0.0250473, 0.0237757, 0.018528, 0.0171299, 0.0163593, 0.0163986, 0.0154126, 0.0127086, 0.00919278, 0.00659776, 0.00513943, 0.00402363, 0.00227383, 0.00215086, 0.00151934, 0.000341958, 5.02044e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0644567, 0.0737511, 0.082656, 0.107725, 0.211604, 0.243842, 0.276559, 0.294063, 0.31693, 0.363465, 0.378666, 0.429279, 0.471681, 0.486773, 0.624071, 0.684572, 0.725808, 0.806683, 0.893712, 1.04725, 1.2157, 1.29992");
-            values ( \
-              "0.0397918, 0.0489076, 0.0488975, 0.0477278, 0.0442685, 0.0427231, 0.0402854, 0.0398383, 0.039872, 0.0352557, 0.0342808, 0.0268748, 0.0243156, 0.0225972, 0.0114985, 0.00776772, 0.00590858, 0.00339287, 0.00183939, 0.000568314, 0.000111057, 0.000200445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0704827, 0.0705027, 0.158, 0.281481, 0.378984, 0.394055, 0.482495, 0.552382, 0.580319, 0.622662, 0.73722, 0.868819, 0.979753, 1.14305, 1.32335, 1.45089");
-            values ( \
-              "1e-22, 0.065743, 0.0568284, 0.0535801, 0.0492316, 0.0493828, 0.04462, 0.0370927, 0.0360959, 0.0328716, 0.0221084, 0.0135519, 0.00832944, 0.00388818, 0.00163112, 0.000937597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0705621, 0.0705821, 0.205856, 0.356742, 0.448927, 0.523574, 0.5656, 0.632799, 0.65407, 0.746614, 0.766312, 0.814305, 1.05387, 1.11719, 1.22443, 1.30592, 1.36099, 1.45431, 1.55848, 1.70946, 1.84004, 1.92427, 2.17695, 2.37668");
-            values ( \
-              "1e-22, 0.0717714, 0.064517, 0.061971, 0.0597091, 0.0571161, 0.0568018, 0.0539886, 0.0536614, 0.0472469, 0.0470294, 0.0449819, 0.0274339, 0.0233814, 0.0180362, 0.0146641, 0.0124697, 0.00951828, 0.00696693, 0.00437641, 0.00290966, 0.00227757, 0.000994867, 0.000603066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0972311, 0.10486, 0.111503, 0.122512, 0.145161, 0.168691, 0.192419, 0.220436, 0.231884, 0.243555, 0.27408, 0.291482, 0.300506, 0.303374, 0.306357, 0.309554, 0.313893, 0.317951, 0.322469, 0.336774, 0.347727, 0.359036, 0.369453, 0.399139, 0.421446, 0.444268, 0.480925, 0.518554, 0.581941, 0.65538, 0.739607, 0.823833");
-            values ( \
-              "0.00301418, 0.0174034, 0.0177321, 0.0175477, 0.016832, 0.0162003, 0.0153138, 0.0134879, 0.0139284, 0.0134856, 0.0105617, 0.00904007, 0.00899605, 0.00906855, 0.00885298, 0.00885322, 0.0086308, 0.0085443, 0.00816711, 0.00736117, 0.00707563, 0.00648771, 0.00604784, 0.00406027, 0.0030632, 0.00233961, 0.00150174, 0.000931882, 0.000398261, 0.000176038, 2.28615e-05, 3.80424e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0972705, 0.106218, 0.113907, 0.190707, 0.208816, 0.239822, 0.262853, 0.272417, 0.289005, 0.298648, 0.320517, 0.337481, 0.347585, 0.375072, 0.386642, 0.4595, 0.505122, 0.556081, 0.579813, 0.659673, 0.739466");
-            values ( \
-              "0.00408761, 0.0262421, 0.0266887, 0.0240032, 0.0230232, 0.0206523, 0.0212875, 0.0195897, 0.0182154, 0.0165859, 0.0147418, 0.0123098, 0.0118436, 0.0113679, 0.0108579, 0.00532501, 0.00327367, 0.00188686, 0.0014542, 0.000608768, 0.00022734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0973334, 0.10828, 0.115391, 0.117783, 0.122567, 0.154399, 0.200244, 0.217387, 0.235515, 0.273483, 0.291605, 0.358601, 0.368666, 0.390324, 0.403763, 0.415996, 0.422566, 0.435705, 0.450514, 0.467657, 0.520204, 0.540065, 0.557307, 0.594227, 0.638158, 0.689399, 0.776171, 0.860397, 0.944624, 1.02885");
-            values ( \
-              "0.00622567, 0.0370223, 0.037545, 0.0373529, 0.0373608, 0.0359108, 0.0343168, 0.0335644, 0.032569, 0.029623, 0.0304991, 0.0231924, 0.0213747, 0.0183207, 0.0167819, 0.0163435, 0.016338, 0.0160809, 0.0154045, 0.0141124, 0.00924899, 0.00773434, 0.0066695, 0.00480522, 0.00325726, 0.00205084, 0.000913397, 0.000438763, 0.000166084, 0.000105217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.101953, 0.111165, 0.117571, 0.123859, 0.166639, 0.234321, 0.274296, 0.323665, 0.33155, 0.347318, 0.365195, 0.398671, 0.420312, 0.468358, 0.510382, 0.543022, 0.584985, 0.66394, 0.724939, 0.779463, 0.863865, 0.904219, 1.04225, 1.2107, 1.37916");
-            values ( \
-              "0.0408752, 0.0486009, 0.0487263, 0.0487104, 0.0469171, 0.0448024, 0.0430919, 0.0397015, 0.0400224, 0.0399118, 0.0390055, 0.0354713, 0.0336833, 0.026731, 0.0241872, 0.0210418, 0.0179519, 0.0113635, 0.00766077, 0.00533471, 0.00300129, 0.00227352, 0.000840692, 0.000225787, 3.94304e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.107943, 0.107963, 0.195848, 0.293158, 0.359722, 0.417422, 0.431913, 0.520331, 0.590266, 0.618066, 0.660397, 0.775072, 0.906636, 1.01759, 1.10624, 1.18091, 1.36112, 1.52329");
-            values ( \
-              "1e-22, 0.0652975, 0.0567338, 0.0543286, 0.0519632, 0.0492188, 0.0493864, 0.0446208, 0.0370917, 0.0361012, 0.0328817, 0.0221078, 0.0135534, 0.00833, 0.00553026, 0.0038878, 0.00163189, 0.00074981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.107755, 0.107775, 0.239963, 0.42526, 0.561356, 0.603349, 0.663406, 0.695458, 0.784514, 0.804211, 0.851117, 1.08838, 1.15294, 1.26219, 1.3435, 1.39865, 1.49203, 1.59873, 1.74891, 1.87786, 1.96209, 2.21477, 2.55167, 2.80435");
-            values ( \
-              "1e-22, 0.0711614, 0.0647085, 0.0612757, 0.0572394, 0.0566791, 0.0542799, 0.0533875, 0.047174, 0.0470982, 0.0450914, 0.0276583, 0.023495, 0.0180388, 0.0146717, 0.0124755, 0.00952082, 0.00691485, 0.00435395, 0.00290956, 0.00227722, 0.00099485, 0.000333925, 0.000167355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.177297, 0.185275, 0.194633, 0.205844, 0.208628, 0.210168, 0.212005, 0.214204, 0.220588, 0.225482, 0.232195, 0.243703, 0.255642, 0.276864, 0.300799, 0.307322, 0.320367, 0.33172, 0.355545, 0.374027, 0.378587, 0.382656, 0.387771, 0.397094, 0.401309, 0.414125, 0.4231, 0.445225, 0.455685, 0.463636, 0.478581, 0.49858, 0.524393, 0.530406, 0.542431, 0.59223, 0.602271, 0.605224, 0.61113, 0.62294, 0.646562, 0.693806, 0.777345, 0.861571");
-            values ( \
-              "0.00792785, 0.0115862, 0.0133691, 0.0141777, 0.0145782, 0.014557, 0.0162569, 0.0162165, 0.0167809, 0.0169121, 0.0168643, 0.016598, 0.0162591, 0.0154507, 0.0138957, 0.0136151, 0.0138439, 0.0134391, 0.0110721, 0.00940619, 0.0090956, 0.00892702, 0.00907582, 0.00875971, 0.00868273, 0.00787303, 0.00744757, 0.00658209, 0.00602317, 0.00559125, 0.00449401, 0.00344735, 0.00253179, 0.00240676, 0.00204664, 0.00110353, 0.00101547, 0.000937093, 0.000908698, 0.000746541, 0.000585705, 0.000285288, 0.000111716, 9.57214e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.177315, 0.187159, 0.197721, 0.210201, 0.211882, 0.215288, 0.219408, 0.223963, 0.231066, 0.239972, 0.267236, 0.29577, 0.326742, 0.342643, 0.359266, 0.391104, 0.408268, 0.423813, 0.433293, 0.4414, 0.449812, 0.46211, 0.473734, 0.498769, 0.517962, 0.546303, 0.576849, 0.592051, 0.612321, 0.642798, 0.666626, 0.700759, 0.746268, 0.830495, 0.859308");
-            values ( \
-              "0.00960732, 0.017948, 0.0205783, 0.0219708, 0.0243561, 0.0245912, 0.0252273, 0.0255349, 0.0255942, 0.0253633, 0.0244156, 0.0230619, 0.0206582, 0.0213705, 0.0199659, 0.0161725, 0.0146418, 0.0123543, 0.0118928, 0.0116615, 0.0116163, 0.0113578, 0.0108512, 0.00909972, 0.00737648, 0.00533246, 0.00384491, 0.00327461, 0.00265412, 0.00189332, 0.00145828, 0.000998117, 0.000607273, 0.000210134, 0.000178015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.17716, 0.189875, 0.19672, 0.210065, 0.213824, 0.224297, 0.230252, 0.240937, 0.287036, 0.322341, 0.338919, 0.357864, 0.360251, 0.365026, 0.374576, 0.387028, 0.419236, 0.425293, 0.441443, 0.446142, 0.459565, 0.475546, 0.488333, 0.498149, 0.509191, 0.522701, 0.538263, 0.55483, 0.606463, 0.626227, 0.64365, 0.667333, 0.699538, 0.734211, 0.764072, 0.79424, 0.834464, 0.914911, 0.999137, 1.08336, 1.16759");
-            values ( \
-              "0.0108002, 0.0263881, 0.0284039, 0.0310056, 0.0344688, 0.0361158, 0.0362437, 0.0360711, 0.0342984, 0.0325808, 0.0313725, 0.0297713, 0.0299139, 0.0300107, 0.0303724, 0.0297932, 0.026011, 0.0251672, 0.0236588, 0.0230717, 0.0207956, 0.018522, 0.0169924, 0.0164333, 0.0163266, 0.0160998, 0.0153362, 0.0140876, 0.00928063, 0.00778466, 0.00668196, 0.00543145, 0.00410246, 0.00301244, 0.00231006, 0.00176295, 0.00121738, 0.000570921, 0.000251651, 0.000116687, 4.91147e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.177107, 0.194021, 0.210032, 0.213025, 0.226179, 0.232205, 0.243947, 0.344143, 0.368261, 0.400548, 0.418468, 0.444149, 0.465989, 0.508204, 0.552946, 0.595452, 0.630313, 0.747563, 0.773561, 0.836959, 0.879075, 0.958591, 1.07368, 1.24213, 1.41058");
-            values ( \
-              "0.0125904, 0.0361296, 0.040525, 0.0447215, 0.0475521, 0.0476528, 0.0474493, 0.0438786, 0.0426672, 0.0404003, 0.0397866, 0.0398514, 0.037338, 0.0335876, 0.0270676, 0.0242619, 0.0209236, 0.0116696, 0.00986794, 0.00653226, 0.00493899, 0.00287893, 0.00129981, 0.000387792, 0.000110127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.177273, 0.20039, 0.219615, 0.230314, 0.240449, 0.413399, 0.482107, 0.550583, 0.610539, 0.676773, 0.707823, 0.728587, 0.862364, 0.994311, 1.08689, 1.14102, 1.26789, 1.44901, 1.61747, 1.62375");
-            values ( \
-              "0.0159593, 0.0461658, 0.0563374, 0.0579945, 0.0581204, 0.0532823, 0.050099, 0.0480806, 0.0444105, 0.0371451, 0.0359776, 0.0344135, 0.0221093, 0.0135291, 0.00902315, 0.00705423, 0.00388653, 0.00163593, 0.000722358, 0.000711519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.177963, 0.212383, 0.223867, 0.231254, 0.239251, 0.517973, 0.628739, 0.694371, 0.731127, 0.784612, 0.873883, 0.893289, 0.940673, 1.10028, 1.24489, 1.3508, 1.48742, 1.58069, 1.68333, 1.83478, 1.96633, 2.13479, 2.47169, 2.50462");
-            values ( \
-              "0.0217617, 0.0608093, 0.0654678, 0.0664144, 0.0664858, 0.0611302, 0.0578347, 0.056567, 0.0549954, 0.0533836, 0.0471402, 0.0471021, 0.0450457, 0.0331013, 0.023258, 0.0179928, 0.0124291, 0.00948925, 0.00697603, 0.00437058, 0.00289364, 0.0016918, 0.000567871, 0.000536961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.336104, 0.355054, 0.371445, 0.392919, 0.405778, 0.422922, 0.435902, 0.453209, 0.465019, 0.480766, 0.48561, 0.50048, 0.505662, 0.510262, 0.528381, 0.543058, 0.575251, 0.585921, 0.590279, 0.593089, 0.606588, 0.621312, 0.653822, 0.698619, 0.721119, 0.737856, 0.751777, 0.799721, 0.829081, 0.89675, 0.979254, 1.06348");
-            values ( \
-              "0.00199292, 0.00622141, 0.00803786, 0.0100713, 0.0111055, 0.0121845, 0.0128034, 0.0134154, 0.0136776, 0.0137134, 0.0136635, 0.0132576, 0.0130244, 0.0134576, 0.0140443, 0.0129927, 0.00992433, 0.0091052, 0.00899267, 0.00907913, 0.00875752, 0.00787342, 0.00652162, 0.00375997, 0.00285642, 0.00234829, 0.00199221, 0.00109862, 0.000756617, 0.000304311, 0.000116519, 1.37658e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.335717, 0.357856, 0.375903, 0.399472, 0.41875, 0.437372, 0.470736, 0.502677, 0.505603, 0.50954, 0.521334, 0.531111, 0.539742, 0.551251, 0.582786, 0.592489, 0.614824, 0.629995, 0.63946, 0.647941, 0.656835, 0.669232, 0.680886, 0.705787, 0.73931, 0.753372, 0.783917, 0.798895, 0.818864, 0.849082, 0.873537, 0.908635, 0.955432, 1.03966, 1.20811");
-            values ( \
-              "0.00247379, 0.0097862, 0.012727, 0.0159758, 0.0180523, 0.0195337, 0.0211059, 0.0213717, 0.0213542, 0.0220999, 0.0216362, 0.021016, 0.021085, 0.0214849, 0.0180507, 0.0167571, 0.0147029, 0.0124318, 0.0119295, 0.0116969, 0.0116421, 0.011387, 0.0108497, 0.00909165, 0.00624577, 0.0053209, 0.00383653, 0.00327416, 0.00267315, 0.00190223, 0.00145349, 0.000982, 0.00059855, 0.000198469, 1.54037e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.335515, 0.362134, 0.397973, 0.409906, 0.433771, 0.453788, 0.492868, 0.505403, 0.513539, 0.525044, 0.529371, 0.567257, 0.58674, 0.634759, 0.648562, 0.689935, 0.702, 0.727575, 0.740424, 0.756631, 0.800633, 0.822323, 0.842669, 0.879357, 0.931084, 0.982898, 1.06973, 1.15395, 1.23818, 1.32241");
-            values ( \
-              "0.00301459, 0.0147412, 0.0222437, 0.0242403, 0.0273683, 0.0291543, 0.0312771, 0.0316194, 0.0329117, 0.0328071, 0.0326459, 0.0297886, 0.0304932, 0.0249193, 0.0236632, 0.0175642, 0.0165276, 0.0161793, 0.015589, 0.0145199, 0.010362, 0.00859577, 0.00712291, 0.0051634, 0.00326476, 0.00204154, 0.000903407, 0.000448849, 0.000156286, 0.000114911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.351046, 0.376526, 0.3941, 0.412277, 0.426698, 0.455539, 0.480576, 0.505534, 0.514836, 0.528393, 0.541018, 0.575349, 0.624353, 0.62779, 0.632371, 0.641535, 0.659023, 0.692738, 0.708139, 0.714398, 0.72297, 0.759647, 0.773139, 0.788119, 0.802216, 0.837373, 0.879864, 0.928067, 0.954584, 0.980585, 1.01515, 1.04398, 1.08617, 1.14192, 1.16553, 1.21957, 1.28134, 1.36557, 1.44979, 1.61825");
-            values ( \
-              "0.0219799, 0.023367, 0.0280138, 0.0321537, 0.0348016, 0.0387066, 0.0409423, 0.0425083, 0.0444406, 0.0447909, 0.0444964, 0.0427213, 0.0395113, 0.0399493, 0.0400475, 0.0399547, 0.038967, 0.0355156, 0.0343732, 0.0337071, 0.0321623, 0.02711, 0.0261395, 0.0253299, 0.0242818, 0.0209145, 0.0177843, 0.0136851, 0.0116729, 0.00986423, 0.00791912, 0.00653047, 0.00493507, 0.00340693, 0.00287944, 0.00201638, 0.00129315, 0.000734544, 0.000385041, 0.00010861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.351223, 0.410796, 0.448976, 0.50559, 0.510556, 0.522478, 0.534219, 0.620399, 0.689115, 0.757977, 0.817459, 0.883673, 0.915124, 0.935953, 1.06934, 1.20144, 1.29405, 1.34769, 1.47476, 1.57196, 1.65619, 1.82464, 1.86163");
-            values ( \
-              "0.0223633, 0.0386717, 0.0463983, 0.0526799, 0.0548539, 0.0558258, 0.0558309, 0.0533248, 0.050127, 0.0480612, 0.0444194, 0.0371518, 0.0359632, 0.0343839, 0.022114, 0.0135234, 0.00901811, 0.00706626, 0.00389013, 0.00244831, 0.00163369, 0.000720912, 0.000657149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.372917, 0.435219, 0.485064, 0.505538, 0.513939, 0.523108, 0.532508, 0.689731, 0.773957, 0.792865, 0.830679, 0.877051, 0.901273, 0.936912, 0.951052, 0.95966, 0.987357, 1.06676, 1.08822, 1.10908, 1.14708, 1.19727, 1.30701, 1.43492, 1.54258, 1.58419, 1.69663, 1.78785, 1.88077, 1.95107, 2.03529, 2.17296, 2.25719, 2.34141, 2.50987, 2.67832, 2.84677, 3.09945");
-            values ( \
-              "0.0500211, 0.0503696, 0.0586574, 0.0608949, 0.0637316, 0.0646431, 0.0648492, 0.0618934, 0.0598705, 0.0593458, 0.0579243, 0.0569205, 0.0565696, 0.0550318, 0.0547191, 0.0542489, 0.0535679, 0.047975, 0.0470247, 0.0468392, 0.0450202, 0.041515, 0.0331166, 0.0242299, 0.0187097, 0.0168455, 0.0123898, 0.00951533, 0.00720879, 0.00587231, 0.0044832, 0.00291572, 0.00227701, 0.0017099, 0.000997653, 0.000579484, 0.000334956, 0.000167534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.685228, 0.724519, 0.74731, 0.7997, 0.857814, 0.903388, 0.943535, 0.963631, 0.982462, 1.00049, 1.01668, 1.02008, 1.02688, 1.04048, 1.05644, 1.06325, 1.06594, 1.06884, 1.08038, 1.08506, 1.09996, 1.1239, 1.14471, 1.17286, 1.20829, 1.21612, 1.22608, 1.26947, 1.29861, 1.33018, 1.35452, 1.40321, 1.44901");
-            values ( \
-              "0.00113895, 0.00282119, 0.00351295, 0.00563638, 0.00779285, 0.00928114, 0.0100822, 0.00991033, 0.0100593, 0.0108909, 0.00971725, 0.00999683, 0.00947784, 0.0091041, 0.00840992, 0.00834464, 0.0084128, 0.00836868, 0.0084119, 0.00834523, 0.0077266, 0.00706055, 0.00611368, 0.00435626, 0.00297809, 0.00277543, 0.00270609, 0.00161784, 0.00113395, 0.000759017, 0.000555369, 0.000293894, 0.000182128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.709429, 0.76639, 0.872794, 0.921995, 0.965492, 0.987264, 1.0076, 1.02867, 1.04822, 1.06159, 1.068, 1.07297, 1.07741, 1.0902, 1.11041, 1.12403, 1.14796, 1.15493, 1.17576, 1.21583, 1.22169, 1.27386, 1.3131, 1.34498, 1.3838, 1.40972, 1.46155, 1.53972");
-            values ( \
-              "0.0054068, 0.00649743, 0.0125811, 0.0149633, 0.0161971, 0.0160285, 0.0161589, 0.0174981, 0.0155931, 0.0156663, 0.014704, 0.0148134, 0.0144235, 0.0139719, 0.0120163, 0.0117162, 0.011705, 0.0115021, 0.0104021, 0.00717306, 0.00710253, 0.00416854, 0.00275195, 0.00194707, 0.00127163, 0.000954796, 0.000527792, 0.000216522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.709513, 0.765049, 0.827332, 0.897303, 0.952525, 1.00178, 1.02644, 1.0496, 1.07351, 1.09614, 1.10914, 1.11496, 1.1193, 1.13381, 1.1759, 1.18891, 1.21595, 1.22012, 1.23345, 1.26075, 1.29712, 1.33371, 1.37335, 1.41828, 1.46124, 1.50644, 1.54189, 1.6128, 1.69663");
-            values ( \
-              "0.0076733, 0.00895682, 0.0141592, 0.0196213, 0.0231536, 0.0248003, 0.0246466, 0.0247875, 0.0263381, 0.0240028, 0.0240848, 0.0231183, 0.0232048, 0.0223418, 0.0176764, 0.0168328, 0.0165834, 0.0169157, 0.0162652, 0.0139436, 0.0103005, 0.00750758, 0.00533261, 0.0035995, 0.0024524, 0.00163699, 0.00118083, 0.000611374, 0.000270833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.70965, 0.766966, 0.85392, 0.93548, 1.00065, 1.05978, 1.08938, 1.11761, 1.14492, 1.17021, 1.17468, 1.18363, 1.20405, 1.21611, 1.21836, 1.23537, 1.251, 1.2947, 1.32855, 1.45204, 1.51744, 1.56948, 1.61544, 1.6554, 1.69607, 1.75029, 1.83452, 1.91874, 2.00297, 2.17142");
-            values ( \
-              "0.00937958, 0.0120618, 0.0212932, 0.0292493, 0.0339444, 0.0356332, 0.0356011, 0.0357543, 0.0369132, 0.0346715, 0.0349625, 0.0342018, 0.0333092, 0.0316823, 0.0320448, 0.0302837, 0.0280399, 0.0249743, 0.0216504, 0.0116537, 0.00765882, 0.00543506, 0.00400668, 0.00304381, 0.0023082, 0.00160244, 0.000873651, 0.000494274, 0.000260859, 7.46933e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.709136, 0.766, 0.939817, 0.991442, 1.07335, 1.14964, 1.21561, 1.22462, 1.24266, 1.31088, 1.38046, 1.41614, 1.58869, 1.72051, 1.82321, 1.97518, 2.14981, 2.27884");
-            values ( \
-              "0.010612, 0.0146453, 0.0363996, 0.0412691, 0.0462355, 0.0479549, 0.0479563, 0.0487904, 0.0489099, 0.0447771, 0.0372688, 0.0358535, 0.0206504, 0.0123677, 0.00783164, 0.00385722, 0.00166247, 0.000948688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.739227, 0.837087, 0.949004, 1.00985, 1.07644, 1.16066, 1.21561, 1.2347, 1.25657, 1.3758, 1.39934, 1.43549, 1.48914, 1.57848, 1.59786, 1.64539, 1.805, 1.94967, 2.05551, 2.19212, 2.28538, 2.38846, 2.53976, 2.67101, 2.83946, 3.17637, 3.5975");
-            values ( \
-              "0.0206966, 0.0269126, 0.042871, 0.0493419, 0.0542631, 0.0581477, 0.0596046, 0.0605906, 0.0604243, 0.0568083, 0.0566367, 0.0549496, 0.0533979, 0.0471445, 0.0471138, 0.045051, 0.0330981, 0.0232512, 0.0179897, 0.0124259, 0.00948636, 0.00696347, 0.00436315, 0.00289021, 0.0016882, 0.000564088, 0.000176419" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0075897, 0.00759868, 0.0076086, 0.00761815, 0.00762617, 0.00763212", \
-            "0.00920015, 0.00920896, 0.00921946, 0.00923026, 0.00923983, 0.00924726", \
-            "0.0101246, 0.0101319, 0.0101415, 0.0101524, 0.010163, 0.0101717", \
-            "0.0106302, 0.0106344, 0.0106404, 0.0106482, 0.0106568, 0.0106647", \
-            "0.0108952, 0.0108916, 0.0108876, 0.0108843, 0.0108827, 0.0108827", \
-            "0.0110929, 0.0110802, 0.0110635, 0.0110433, 0.0110231, 0.011006" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0104845, 0.0104928, 0.0105032, 0.0105141, 0.0105245, 0.0105317", \
-            "0.010602, 0.0106035, 0.0106072, 0.0106126, 0.0106189, 0.0106246", \
-            "0.0101806, 0.0101612, 0.0101407, 0.0101184, 0.0100991, 0.0100844", \
-            "0.00998809, 0.00994028, 0.00988364, 0.00982125, 0.00976158, 0.00971532", \
-            "0.0103247, 0.0102146, 0.0100806, 0.00993527, 0.00979452, 0.0096794", \
-            "0.0103975, 0.0103872, 0.0104837, 0.0104254, 0.0101914, 0.00993287" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0245896, 0.0284662, 0.030081, 0.0320438, 0.0357178, 0.039419, 0.0468011, 0.054166, 0.0688734, 0.0689025, 0.0762938, 0.0837432, 0.0982945, 0.112685, 0.128124, 0.153108, 0.179287, 0.184167, 0.207828, 0.238264, 0.250147, 0.274839, 0.283567, 0.337946, 0.43888, 0.458248");
-            values ( \
-              "-0.0240654, -0.0268148, -0.0264189, -0.0265828, -0.0262232, -0.0264941, -0.0262849, -0.0265897, -0.0261566, -0.026302, -0.0261815, -0.0262534, -0.0271974, -0.0269023, -0.0235798, -0.0148141, -0.00694134, -0.00609182, -0.0047235, -0.00219807, -0.00194498, -0.000701209, -0.000515431, -0.000563252, -0.000101604, -9.34692e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0245589, 0.0284346, 0.0308918, 0.0334429, 0.0376252, 0.0418279, 0.0502212, 0.0585947, 0.0753405, 0.0754052, 0.0794198, 0.092371, 0.113271, 0.121633, 0.125531, 0.133328, 0.143232, 0.176279, 0.208677, 0.218691, 0.243294, 0.261166, 0.27381, 0.296432, 0.306204, 0.32537, 0.335334, 0.346518, 0.359174, 0.376948, 0.398061, 0.435026, 0.458933");
-            values ( \
-              "-0.0294427, -0.0406339, -0.0407602, -0.0402898, -0.040563, -0.0402258, -0.0406589, -0.0402939, -0.0403301, -0.040133, -0.0399767, -0.0399545, -0.0413721, -0.0411072, -0.0405324, -0.0388291, -0.035717, -0.0209074, -0.0100451, -0.0074327, -0.00484641, -0.0036995, -0.00362549, -0.00275766, -0.00183758, -0.00119126, -0.00128287, -0.000991634, -0.00108736, -0.000739644, -0.000738858, -0.000286814, -0.000281112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.024491, 0.02956, 0.0346654, 0.0356437, 0.0376004, 0.0415138, 0.0458013, 0.0543761, 0.0660854, 0.0863765, 0.086502, 0.0902674, 0.100701, 0.107149, 0.117498, 0.127644, 0.148017, 0.169964, 0.20895, 0.22924, 0.268343, 0.282524, 0.299578, 0.325322, 0.340124, 0.365902, 0.38923, 0.419776, 0.463321, 0.537694");
-            values ( \
-              "-0.0352391, -0.0581124, -0.0584153, -0.0579424, -0.0583928, -0.0579457, -0.0584479, -0.0580393, -0.0584255, -0.0573297, -0.0575551, -0.0573452, -0.0570819, -0.0571161, -0.0575644, -0.0584267, -0.0573702, -0.0506504, -0.0307456, -0.0221295, -0.00963625, -0.0061592, -0.00573401, -0.00545039, -0.00492535, -0.00325224, -0.00232177, -0.00163026, -0.000943498, -0.000338584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0259137, 0.027019, 0.0307035, 0.0312406, 0.032315, 0.0344637, 0.0391875, 0.0485884, 0.0524011, 0.0600265, 0.0752773, 0.0788225, 0.0859129, 0.100094, 0.10547, 0.116222, 0.132549, 0.159663, 0.186687, 0.186782, 0.198705, 0.210817, 0.225903, 0.263874, 0.287683, 0.321543, 0.335509, 0.366581, 0.379665, 0.403856, 0.427357, 0.449195, 0.471534, 0.518669, 0.545502, 0.575829, 0.614788, 0.667217, 0.77772, 0.832972");
-            values ( \
-              "-0.0772492, -0.0775702, -0.0772972, -0.0778473, -0.0773667, -0.0779855, -0.077578, -0.0782566, -0.0777239, -0.0782598, -0.0775382, -0.0779418, -0.0772218, -0.0771657, -0.076369, -0.0764348, -0.0754565, -0.0767704, -0.074758, -0.0749687, -0.0719543, -0.0678836, -0.0610584, -0.0423012, -0.0322412, -0.0196143, -0.0153318, -0.0109577, -0.00955263, -0.00783759, -0.00670206, -0.00524301, -0.00417133, -0.00258628, -0.00198494, -0.00140548, -0.00091456, -0.000459848, -0.000110151, -8.05891e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0262736, 0.0271122, 0.0305129, 0.0338139, 0.0394333, 0.0449631, 0.0542349, 0.0634726, 0.0812072, 0.100592, 0.134016, 0.138112, 0.146304, 0.161191, 0.176313, 0.206558, 0.214771, 0.231197, 0.253608, 0.282803, 0.317716, 0.343645, 0.347906, 0.35643, 0.373477, 0.407984, 0.428291, 0.433171, 0.44293, 0.459128, 0.481108, 0.507657, 0.518228, 0.539372, 0.568104, 0.590199, 0.621097, 0.633625, 0.658683, 0.691737, 0.727483, 0.771029, 0.820484, 0.875735, 0.930987, 0.986239, 1.04149, 1.09674");
-            values ( \
-              "-0.0943205, -0.0948748, -0.0951772, -0.0964128, -0.0963257, -0.0972046, -0.096683, -0.0972854, -0.0964154, -0.0965949, -0.0947053, -0.0950915, -0.0941238, -0.0941352, -0.0930713, -0.0935596, -0.0932437, -0.0932816, -0.090152, -0.0839304, -0.0708005, -0.0594519, -0.0584256, -0.0543675, -0.0485485, -0.0350108, -0.0281848, -0.0282111, -0.0259949, -0.0238825, -0.0201442, -0.0167927, -0.014856, -0.0125632, -0.00904353, -0.00783128, -0.00556981, -0.00554552, -0.00415036, -0.00370759, -0.00243995, -0.00216502, -0.00106879, -0.00105548, -0.000280325, -0.00054952, -1e-22, -0.000356401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0266376, 0.0363526, 0.0379831, 0.0412441, 0.0477662, 0.05466, 0.0684475, 0.0823392, 0.108109, 0.138091, 0.193343, 0.194563, 0.252059, 0.310274, 0.339543, 0.369775, 0.399798, 0.434307, 0.514472, 0.555522, 0.571055, 0.706614, 0.749759, 0.827303, 0.87175, 0.923875, 1.00025, 1.0555, 1.22126, 1.27799");
-            values ( \
-              "-0.108017, -0.112039, -0.111673, -0.112704, -0.112477, -0.11325, -0.112577, -0.113028, -0.11198, -0.111937, -0.109573, -0.10982, -0.107879, -0.106956, -0.105647, -0.102716, -0.0979104, -0.0895138, -0.0658391, -0.0519725, -0.0486228, -0.0257205, -0.0199852, -0.0120914, -0.00876878, -0.00637372, -0.00418259, -0.00325999, -0.00129806, -0.00110405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0388517, 0.0388718, 0.0427293, 0.0458955, 0.0531754, 0.0604824, 0.0944353, 0.103279, 0.111894, 0.126231, 0.141591, 0.163501, 0.191993, 0.198885, 0.228535, 0.247082, 0.256911, 0.267359, 0.277966, 0.285041, 0.287822, 0.293384, 0.299996, 0.307757, 0.316048, 0.326471, 0.339387, 0.359004, 0.386108, 0.421111, 0.462394, 0.513943, 0.569195");
-            values ( \
-              "-1e-22, -0.028876, -0.0274241, -0.026896, -0.0264691, -0.0265874, -0.0262509, -0.026649, -0.0273276, -0.0269517, -0.0237135, -0.0158289, -0.00719367, -0.00594063, -0.00418573, -0.00239142, -0.00218432, -0.00166145, -0.00147361, -0.000776766, -0.000867823, -0.000491681, -0.000612145, -0.000431582, -0.000639247, -0.000498493, -0.00064948, -0.000420095, -0.000435325, -0.000134523, -0.000174386, -1e-22, -8.8568e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0391315, 0.0391515, 0.0423274, 0.0472228, 0.0555294, 0.0638601, 0.0722261, 0.0889422, 0.0900974, 0.093794, 0.105952, 0.127182, 0.136212, 0.139041, 0.144699, 0.156678, 0.190775, 0.215351, 0.230017, 0.240479, 0.262102, 0.274097, 0.28695, 0.309431, 0.319171, 0.337682, 0.347149, 0.358707, 0.370704, 0.388053, 0.409008, 0.444762, 0.487108, 0.541025, 0.596277");
-            values ( \
-              "-1e-22, -0.0434613, -0.0420096, -0.0410124, -0.0405299, -0.0406779, -0.0404546, -0.0403246, -0.040138, -0.0400424, -0.0400609, -0.0415039, -0.0410834, -0.0406827, -0.0394634, -0.0358066, -0.0205716, -0.0120568, -0.00786961, -0.00649671, -0.00445265, -0.00371825, -0.00361402, -0.00276165, -0.00186525, -0.0012053, -0.00126873, -0.00100183, -0.00107729, -0.000762696, -0.00073647, -0.00031331, -0.000271297, -1e-22, -0.000107594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0395175, 0.0395375, 0.0434468, 0.0462187, 0.0494266, 0.0544289, 0.0595099, 0.0696111, 0.0797373, 0.0999978, 0.100128, 0.101957, 0.108225, 0.116583, 0.120738, 0.129049, 0.141186, 0.16154, 0.181906, 0.183403, 0.223091, 0.243025, 0.254136, 0.280513, 0.294508, 0.311876, 0.337956, 0.353852, 0.378959, 0.385617, 0.398935, 0.412102, 0.446003, 0.498641, 0.545138, 0.60039, 0.710893");
-            values ( \
-              "-1e-22, -0.0611946, -0.0597068, -0.0593732, -0.0587273, -0.0586609, -0.0583091, -0.0585165, -0.0581561, -0.0578073, -0.0576681, -0.0575289, -0.0573199, -0.0571759, -0.057245, -0.0575658, -0.0585335, -0.0575202, -0.0510687, -0.0507952, -0.0304887, -0.022048, -0.0182293, -0.0100756, -0.0063266, -0.00571577, -0.00542711, -0.00493254, -0.00329193, -0.00295364, -0.00245585, -0.00207677, -0.00139561, -0.000684378, -0.000358732, -0.000127024, -1.56947e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0399615, 0.0399815, 0.0486151, 0.0595583, 0.0661485, 0.0925119, 0.11894, 0.146124, 0.173178, 0.200176, 0.21535, 0.229277, 0.282011, 0.331401, 0.353094, 0.387278, 0.400891, 0.477432, 0.535472, 0.626596");
-            values ( \
-              "-1e-22, -0.0800784, -0.0785707, -0.0781128, -0.0783293, -0.0779746, -0.0767063, -0.0758937, -0.0766343, -0.0752033, -0.0711712, -0.0659715, -0.0402868, -0.0208972, -0.0147327, -0.0100989, -0.00892329, -0.00448395, -0.00248744, -0.00095003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0402937, 0.0413734, 0.0476588, 0.0719243, 0.114221, 0.174664, 0.220181, 0.228233, 0.244337, 0.267007, 0.30898, 0.420984, 0.441296, 0.473918, 0.548048, 0.600198, 0.64478, 0.673681, 0.706731, 0.743021, 0.78559, 0.834952, 0.890204, 0.945456, 1.00071, 1.05596, 1.11121");
-            values ( \
-              "-0.0974286, -0.097722, -0.0972755, -0.0973447, -0.0965522, -0.0938164, -0.0932376, -0.0938699, -0.0929742, -0.0907804, -0.0794828, -0.0346868, -0.0287468, -0.023187, -0.0126365, -0.00756342, -0.00513715, -0.00455515, -0.00317064, -0.0028572, -0.00165283, -0.0015212, -0.000557448, -0.000744114, -5.96419e-05, -0.000438308, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0407883, 0.0519304, 0.0685097, 0.151829, 0.265649, 0.323817, 0.34791, 0.384615, 0.424557, 0.447685, 0.521486, 0.57313, 0.656907, 0.719273, 0.762425, 0.839842, 0.884495, 0.935857, 1.01113, 1.06638, 1.23214, 1.30531");
-            values ( \
-              "-0.111273, -0.113131, -0.113375, -0.111941, -0.108007, -0.107087, -0.106072, -0.10269, -0.0954912, -0.0896719, -0.0679321, -0.0508925, -0.0357743, -0.0257998, -0.0200524, -0.0121335, -0.00878989, -0.00641452, -0.0042303, -0.0032775, -0.00131537, -0.00106347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0639512, 0.0710569, 0.0791415, 0.0874001, 0.0886851, 0.0946847, 0.11501, 0.129714, 0.144323, 0.151488, 0.158577, 0.173937, 0.196914, 0.225699, 0.236749, 0.253562, 0.282145, 0.302563, 0.318824, 0.331667, 0.357928, 0.495698, 0.601377");
-            values ( \
-              "-0.00416537, -0.0177471, -0.0215983, -0.0240122, -0.0279776, -0.0269501, -0.0263362, -0.0262571, -0.0273051, -0.0274745, -0.0268994, -0.0237047, -0.0155225, -0.00686667, -0.00552248, -0.00464584, -0.00237367, -0.00173384, -0.000775868, -0.000481085, -0.000618731, -5.81025e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0639637, 0.0721529, 0.0788791, 0.0874351, 0.0883988, 0.0903263, 0.0922223, 0.0942363, 0.0973095, 0.101053, 0.104835, 0.112399, 0.121604, 0.138406, 0.138748, 0.139202, 0.140112, 0.14193, 0.155227, 0.16953, 0.175775, 0.189222, 0.217706, 0.241319, 0.255329, 0.264591, 0.274738, 0.294314, 0.305389, 0.329273, 0.358512, 0.37988, 0.513352, 0.620777");
-            values ( \
-              "-0.00526101, -0.0278897, -0.0327348, -0.0366233, -0.048204, -0.0359667, -0.0473535, -0.0353479, -0.0469238, -0.0349301, -0.0466099, -0.0345977, -0.0460996, -0.0340563, -0.0401476, -0.0399388, -0.0402715, -0.0401091, -0.0414341, -0.0411483, -0.039981, -0.0360217, -0.0228399, -0.0139927, -0.00971398, -0.00759996, -0.00625104, -0.00438797, -0.00386668, -0.00326516, -0.00160366, -0.00115775, -0.000178379, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0639478, 0.0737181, 0.0815457, 0.0874157, 0.0891959, 0.101769, 0.153181, 0.173823, 0.190594, 0.194082, 0.201058, 0.21582, 0.264104, 0.285858, 0.325357, 0.338123, 0.371626, 0.389055, 0.417744, 0.427309, 0.472425, 0.520262, 0.559735, 0.614987, 0.670238");
-            values ( \
-              "-0.00683214, -0.0415884, -0.0490455, -0.0527079, -0.0598394, -0.0586972, -0.0570578, -0.0585442, -0.0581017, -0.0574151, -0.0558409, -0.0506281, -0.0266618, -0.0184982, -0.0066074, -0.00584868, -0.00539278, -0.00481945, -0.00295797, -0.0026187, -0.00148497, -0.000785381, -0.00048024, -0.000158101, -0.000105039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0639539, 0.0760205, 0.0874166, 0.088749, 0.0908641, 0.0924262, 0.0996527, 0.134763, 0.178586, 0.205937, 0.21934, 0.232869, 0.256544, 0.261994, 0.319083, 0.37615, 0.401629, 0.424203, 0.446541, 0.514175, 0.552008, 0.601612, 0.675309, 0.687064");
-            values ( \
-              "-0.00992437, -0.0584388, -0.0702266, -0.0790009, -0.0798212, -0.0792291, -0.078572, -0.0775442, -0.0757599, -0.0769006, -0.0767329, -0.0749016, -0.0681573, -0.0659815, -0.0383827, -0.0167181, -0.0123979, -0.00970143, -0.00803524, -0.00425766, -0.00288884, -0.00171046, -0.000697187, -0.000637399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0705042, 0.083469, 0.0916819, 0.0991109, 0.155068, 0.214452, 0.261134, 0.299485, 0.341856, 0.473388, 0.555653, 0.601982, 0.626244, 0.679229, 0.752663, 0.83697");
-            values ( \
-              "-0.0779144, -0.0824342, -0.0985375, -0.097598, -0.0961932, -0.0937138, -0.0937011, -0.0908524, -0.0793972, -0.0289462, -0.0162577, -0.0104954, -0.00823984, -0.00525043, -0.00305063, -0.00197854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0704267, 0.0874207, 0.0892379, 0.0914806, 0.0935421, 0.101267, 0.184552, 0.298335, 0.345426, 0.356484, 0.378599, 0.417326, 0.437538, 0.457727, 0.480289, 0.554039, 0.605187, 0.689276, 0.751319, 0.794513, 0.829845, 0.871839, 0.915773, 0.967147, 1.04203, 1.09728, 1.15254, 1.26304, 1.37354, 1.59455");
-            values ( \
-              "-0.083787, -0.100631, -0.112111, -0.114053, -0.113569, -0.113371, -0.111827, -0.108046, -0.107071, -0.107132, -0.106227, -0.102738, -0.0996093, -0.0954219, -0.0897314, -0.067993, -0.0510666, -0.0358331, -0.0258888, -0.0201163, -0.0161388, -0.0121823, -0.00886528, -0.00646046, -0.00426333, -0.00329844, -0.00239542, -0.00132143, -0.000702677, -0.000171629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.118666, 0.131599, 0.155037, 0.175304, 0.175568, 0.176096, 0.179264, 0.183489, 0.192774, 0.208643, 0.210289, 0.2123, 0.215746, 0.223127, 0.228534, 0.237327, 0.252656, 0.275317, 0.282328, 0.290286, 0.301235, 0.304298, 0.305144, 0.306835, 0.310218, 0.316003, 0.324694, 0.341689, 0.342946, 0.34546, 0.350488, 0.358439, 0.369934, 0.379432, 0.386017, 0.393728, 0.397101, 0.403849, 0.411179, 0.418986, 0.42732, 0.437636, 0.45142, 0.47166, 0.501931, 0.536399, 0.578293, 0.629422, 0.684674");
-            values ( \
-              "-0.00197529, -0.0100634, -0.0165058, -0.0213372, -0.0212906, -0.0214255, -0.0219622, -0.0226034, -0.0237791, -0.0251194, -0.0254365, -0.0270341, -0.0270059, -0.0274455, -0.0275683, -0.0269683, -0.0237451, -0.0156133, -0.0133615, -0.0109945, -0.00808383, -0.00686757, -0.00684739, -0.00642562, -0.00605018, -0.00548427, -0.00515867, -0.00398196, -0.00380959, -0.00362319, -0.00309532, -0.00252359, -0.0019787, -0.00179408, -0.00148885, -0.0010435, -0.000797387, -0.000629142, -0.000485522, -0.000560778, -0.000525585, -0.000618946, -0.000534098, -0.00052452, -0.000298932, -0.000228545, -5.43451e-05, -7.12351e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.118628, 0.133347, 0.15873, 0.180735, 0.181068, 0.185719, 0.191034, 0.199984, 0.21025, 0.213476, 0.217374, 0.221262, 0.233995, 0.246572, 0.250388, 0.258021, 0.268005, 0.284109, 0.295051, 0.301945, 0.315732, 0.326539, 0.341089, 0.351509, 0.365526, 0.372991, 0.384686, 0.397257, 0.40965, 0.41961, 0.429258, 0.435398, 0.447068, 0.456386, 0.467371, 0.478739, 0.494644, 0.513745, 0.545257, 0.584675, 0.633868, 0.68912");
-            values ( \
-              "-0.00235423, -0.0160751, -0.0266215, -0.0339849, -0.0339266, -0.0350329, -0.0360412, -0.0373703, -0.0384451, -0.0405747, -0.0403894, -0.0404704, -0.0414002, -0.0413125, -0.0406929, -0.0390359, -0.0358788, -0.0287452, -0.02359, -0.0206508, -0.0155106, -0.0121164, -0.00794072, -0.00652283, -0.00513937, -0.00447646, -0.00376332, -0.00363426, -0.00312109, -0.00282408, -0.00194847, -0.00178267, -0.0012554, -0.00127955, -0.00103575, -0.00109034, -0.000816343, -0.000777401, -0.00039466, -0.000306306, -3.88451e-05, -0.000101633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.124863, 0.164563, 0.189918, 0.210187, 0.211871, 0.215238, 0.219287, 0.223206, 0.229533, 0.232374, 0.238057, 0.248509, 0.252835, 0.261486, 0.273054, 0.292156, 0.294884, 0.300339, 0.309566, 0.320292, 0.321674, 0.340633, 0.357953, 0.364271, 0.365715, 0.368602, 0.374377, 0.385926, 0.39621, 0.410495, 0.420723, 0.42319, 0.428124, 0.436995, 0.445527, 0.462531, 0.475669, 0.488107, 0.495782, 0.511131, 0.524322, 0.539431, 0.556648, 0.577645, 0.604105");
-            values ( \
-              "-0.0180443, -0.0412205, -0.0516326, -0.055348, -0.0612257, -0.0551298, -0.0603864, -0.0547251, -0.0600536, -0.0546351, -0.0602342, -0.055518, -0.061271, -0.0560341, -0.0601016, -0.0489805, -0.0534659, -0.0453811, -0.0460357, -0.0349433, -0.0395993, -0.0251067, -0.0234993, -0.0160652, -0.0208805, -0.0147244, -0.0182149, -0.00956839, -0.0112141, -0.00337819, -0.00837828, -0.00328776, -0.00816541, -0.00316943, -0.00798466, -0.00257258, -0.00675238, -0.000948479, -0.00549862, -1e-22, -0.00454364, -1e-22, -0.0038988, -1e-22, -0.00283902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.124879, 0.139812, 0.166019, 0.173608, 0.188786, 0.204092, 0.210213, 0.213948, 0.238872, 0.253519, 0.266724, 0.284919, 0.311849, 0.316901, 0.331065, 0.340979, 0.394816, 0.443844, 0.457098, 0.48226, 0.499908, 0.522947, 0.581923, 0.613589, 0.657743, 0.71643, 0.759627, 0.779308");
-            values ( \
-              "-0.019188, -0.0360096, -0.0557336, -0.0606627, -0.068141, -0.0731999, -0.0742913, -0.0779477, -0.0764519, -0.0760032, -0.0760761, -0.0768421, -0.0751562, -0.0739951, -0.0699053, -0.0659891, -0.0398091, -0.0206978, -0.0162069, -0.0122144, -0.0100428, -0.00824098, -0.00483441, -0.00348874, -0.00224132, -0.00117722, -0.000685157, -0.000614885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.124868, 0.14516, 0.163636, 0.187329, 0.210212, 0.213776, 0.296127, 0.347351, 0.361319, 0.379099, 0.405821, 0.421021, 0.546029, 0.621517, 0.686581, 0.709871, 0.758512, 0.86779, 0.940756");
-            values ( \
-              "-0.0210286, -0.0497328, -0.066841, -0.0835913, -0.0926085, -0.0972568, -0.0936335, -0.0935897, -0.0929109, -0.0905839, -0.0845957, -0.079345, -0.0302997, -0.0181627, -0.00993907, -0.00796497, -0.00530392, -0.00237605, -0.00156171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.137208, 0.205343, 0.210463, 0.21248, 0.214949, 0.217297, 0.29923, 0.364218, 0.406438, 0.436339, 0.490545, 0.495842, 0.527626, 0.560302, 0.63908, 0.687719, 0.769316, 0.831915, 0.886177, 0.951307, 0.994649, 1.04669, 1.12247, 1.17772, 1.23297, 1.34347, 1.45398, 1.61973");
-            values ( \
-              "-0.0673735, -0.106094, -0.107851, -0.112523, -0.113182, -0.112896, -0.110757, -0.108422, -0.107424, -0.107083, -0.103636, -0.103013, -0.0976882, -0.089771, -0.0663886, -0.0506219, -0.0358587, -0.0258011, -0.0187705, -0.0122024, -0.00896296, -0.00650912, -0.00428289, -0.00326353, -0.00241755, -0.00134471, -0.000726417, -0.000311186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.246597, 0.261593, 0.275598, 0.289869, 0.303124, 0.335582, 0.367288, 0.383072, 0.402254, 0.419198, 0.423465, 0.428341, 0.437489, 0.453653, 0.488787, 0.494115, 0.498513, 0.505718, 0.508399, 0.512164, 0.528946, 0.531707, 0.537228, 0.547201, 0.55861, 0.567624, 0.5832, 0.587315, 0.595545, 0.621842, 0.636158, 0.722031, 0.764108, 0.815973, 0.871225");
-            values ( \
-              "-0.00498958, -0.00539654, -0.00658526, -0.00824618, -0.0100515, -0.0139102, -0.017174, -0.0189069, -0.0216331, -0.022777, -0.0225488, -0.0221436, -0.0208412, -0.0167802, -0.00757059, -0.00645987, -0.00613669, -0.00570079, -0.00613764, -0.00570538, -0.00418464, -0.00383671, -0.00331778, -0.00254407, -0.00214601, -0.0017808, -0.000836095, -0.000721198, -0.000608775, -0.000630472, -0.000601277, -0.000186488, -9.17475e-05, -3.0289e-05, -1.74504e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.246549, 0.264694, 0.28467, 0.321102, 0.343725, 0.371209, 0.394951, 0.415969, 0.435324, 0.455061, 0.456588, 0.465752, 0.498508, 0.505701, 0.510247, 0.52596, 0.536852, 0.56023, 0.562721, 0.567702, 0.575598, 0.585597, 0.596929, 0.606958, 0.615823, 0.625844, 0.639982, 0.649893, 0.661555, 0.674752, 0.69508, 0.758749, 0.860049");
-            values ( \
-              "-0.00624487, -0.00871754, -0.0116179, -0.0186473, -0.0224975, -0.0268827, -0.0301807, -0.0343152, -0.035795, -0.0327113, -0.0323429, -0.0292271, -0.0171594, -0.0148854, -0.0143916, -0.00930034, -0.00722521, -0.00470524, -0.00453553, -0.00438311, -0.00397754, -0.00378652, -0.00328632, -0.00279961, -0.00204258, -0.00165646, -0.00126493, -0.00123171, -0.00106924, -0.000996351, -0.000752676, -0.000280645, -3.33804e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.253018, 0.281841, 0.337489, 0.356826, 0.387488, 0.414227, 0.438304, 0.460866, 0.485516, 0.505754, 0.506398, 0.535307, 0.551069, 0.58781, 0.600046, 0.646057, 0.686167, 0.713346, 0.746217, 0.798618, 0.84053, 0.895782, 0.951034");
-            values ( \
-              "-0.0129185, -0.016231, -0.0307404, -0.0352755, -0.0418387, -0.0464834, -0.051642, -0.0531883, -0.0478861, -0.0389662, -0.0398849, -0.0257741, -0.0198296, -0.00805173, -0.00622028, -0.00537536, -0.00294858, -0.00206321, -0.00139597, -0.000679256, -0.000384289, -0.000132704, -8.01487e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.253057, 0.276376, 0.332379, 0.376998, 0.412876, 0.474089, 0.485049, 0.502233, 0.505794, 0.510322, 0.516823, 0.531229, 0.587037, 0.63833, 0.648965, 0.683496, 0.693738, 0.714221, 0.773117, 0.801991, 0.8456, 0.903448, 0.944946, 0.947694");
-            values ( \
-              "-0.0152253, -0.0201336, -0.0390575, -0.0528998, -0.0619143, -0.0726999, -0.0736372, -0.0733234, -0.0728161, -0.0732344, -0.0714668, -0.0660033, -0.0389324, -0.0190078, -0.015973, -0.0108786, -0.00970313, -0.0081738, -0.00477998, -0.00353788, -0.00227599, -0.00119861, -0.00074844, -0.000728545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.265814, 0.30858, 0.352869, 0.387134, 0.407006, 0.44675, 0.46105, 0.505518, 0.514219, 0.522582, 0.547608, 0.569644, 0.5975, 0.633552, 0.723179, 0.743044, 0.777542, 0.850069, 0.879725, 0.901871, 0.948948, 1.01781, 1.09635, 1.20179, 1.31229, 1.36754");
-            values ( \
-              "-0.0313956, -0.0381214, -0.0565285, -0.0691303, -0.0752972, -0.0848471, -0.0870337, -0.0917096, -0.0934545, -0.093695, -0.0932995, -0.0905447, -0.0843433, -0.0708138, -0.035099, -0.0287699, -0.0232545, -0.0128924, -0.00973139, -0.00780651, -0.00524513, -0.0031394, -0.00170259, -0.000663118, -0.000201261, -0.00024843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.265407, 0.29807, 0.382321, 0.43189, 0.451521, 0.505043, 0.510999, 0.52291, 0.534261, 0.545447, 0.563178, 0.568362, 0.57873, 0.597726, 0.619017, 0.626539, 0.641582, 0.663333, 0.68585, 0.715904, 0.750262, 0.891896, 0.918501, 0.936265, 0.959291, 0.988623, 1.02086, 1.06297, 1.07622, 1.10272, 1.14366, 1.18773, 1.22521, 1.23701, 1.26061, 1.3078, 1.36306, 1.41831, 1.47356, 1.52881, 1.58406, 1.63931, 1.68946");
-            values ( \
-              "-0.037024, -0.0396016, -0.0783917, -0.0954747, -0.100444, -0.108146, -0.111894, -0.107827, -0.110801, -0.107211, -0.10972, -0.106664, -0.109198, -0.106084, -0.108549, -0.105734, -0.107975, -0.103938, -0.104187, -0.0967616, -0.0909895, -0.0465297, -0.0441499, -0.0386403, -0.0370794, -0.0296693, -0.0272082, -0.0190769, -0.0200407, -0.0146139, -0.0132735, -0.00752121, -0.00829378, -0.00522747, -0.00699985, -0.00311853, -0.00461843, -0.00120409, -0.00317569, -0.000105151, -0.00233629, -1e-22, -0.00168672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.519526, 0.550946, 0.577194, 0.608371, 0.668366, 0.760004, 0.798995, 0.807407, 0.815328, 0.827998, 0.840555, 0.862485, 0.897866, 0.90514, 0.925658, 0.954546, 0.956113, 0.959248, 0.965518, 0.984317, 1.0002, 1.00772, 1.01624, 1.02669, 1.03106, 1.0398, 1.05728, 1.11719, 1.20643, 1.21604, 1.22747, 1.24423, 1.33009");
-            values ( \
-              "-0.00246159, -0.0024944, -0.00331971, -0.00455943, -0.00772167, -0.0121862, -0.0149354, -0.0152724, -0.0154307, -0.0155559, -0.0151289, -0.0128777, -0.00695286, -0.00680329, -0.00567045, -0.00315673, -0.00319593, -0.00292292, -0.00276703, -0.00145047, -0.00100022, -0.00102982, -0.000932287, -0.000951021, -0.000868339, -0.000860799, -0.000676217, -0.000266258, -3.50226e-05, -6.73542e-05, -0.000212512, -0.0001056, -1.86663e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.530263, 0.57527, 0.595654, 0.60831, 0.697177, 0.74066, 0.777459, 0.809117, 0.837241, 0.86121, 0.871077, 0.88189, 0.89508, 0.929671, 0.939801, 0.943416, 0.950646, 0.959713, 0.971699, 0.981753, 1.00497, 1.01312, 1.02114, 1.02973, 1.04292, 1.06355, 1.06785, 1.07646, 1.12227, 1.16008, 1.20409, 1.21598, 1.2287, 1.2462, 1.26107, 1.29081, 1.34025");
-            values ( \
-              "-0.00486668, -0.00508107, -0.00622306, -0.00703296, -0.0139896, -0.017168, -0.019738, -0.0230845, -0.0250249, -0.024775, -0.0236699, -0.0219818, -0.0192665, -0.0119446, -0.0092311, -0.00898508, -0.00795896, -0.00718729, -0.00584748, -0.00535189, -0.00439814, -0.00392654, -0.00321019, -0.00265159, -0.00206916, -0.00166009, -0.0016277, -0.00145521, -0.000804582, -0.000471204, -0.000190589, -0.000190816, -0.000394172, -0.000233382, -0.000178846, -8.6856e-05, -3.73126e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.530124, 0.586165, 0.608211, 0.629773, 0.69648, 0.805377, 0.840664, 0.851807, 0.872148, 0.89482, 0.902993, 0.918599, 0.990013, 1.00958, 1.02053, 1.03585, 1.05706, 1.06775, 1.08091, 1.09215, 1.10544, 1.12282, 1.14714, 1.18901, 1.21588, 1.23416, 1.25574, 1.31941, 1.42991");
-            values ( \
-              "-0.00599861, -0.00833958, -0.0102509, -0.0124428, -0.0200399, -0.0311276, -0.0360039, -0.0373366, -0.03866, -0.0382788, -0.0374338, -0.0343495, -0.0154829, -0.00980152, -0.00804068, -0.0074939, -0.00696825, -0.00646444, -0.00561684, -0.00466804, -0.00389722, -0.0030714, -0.00230565, -0.00133926, -0.000939383, -0.00105156, -0.000697363, -0.000229868, -4.10034e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.530325, 0.576112, 0.608153, 0.653977, 0.675757, 0.747298, 0.849156, 0.890217, 0.918999, 0.927431, 0.944293, 0.964394, 0.995805, 1.07788, 1.09868, 1.13572, 1.20696, 1.21582, 1.22565, 1.24918, 1.27303, 1.29873, 1.33128, 1.37014, 1.42539, 1.53589");
-            values ( \
-              "-0.00759362, -0.0103945, -0.0139456, -0.0202313, -0.0236268, -0.0338523, -0.0468679, -0.0534254, -0.0565366, -0.0567411, -0.0562973, -0.0536545, -0.0451426, -0.0191213, -0.0153763, -0.0105831, -0.00554924, -0.00518999, -0.00518559, -0.00398598, -0.00307433, -0.00230319, -0.0015816, -0.000976619, -0.000483857, -0.000120733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.555391, 0.633199, 0.763943, 0.848578, 0.972361, 0.993101, 1.0125, 1.03559, 1.06015, 1.10151, 1.18881, 1.28957, 1.33685, 1.39028, 1.42796, 1.49815, 1.61448");
-            values ( \
-              "-0.0157815, -0.0216399, -0.044698, -0.0581747, -0.0757807, -0.0776201, -0.0777733, -0.0760492, -0.0713422, -0.0596269, -0.0310625, -0.0152591, -0.00954455, -0.00596883, -0.00449894, -0.00267588, -0.00122607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.555293, 0.616234, 0.664977, 0.755243, 0.810495, 0.859541, 0.90754, 0.941349, 1.01306, 1.07897, 1.13422, 1.14255, 1.15921, 1.19164, 1.22556, 1.28747, 1.32308, 1.33737, 1.36149, 1.43392, 1.46721, 1.51069, 1.53441, 1.59152, 1.62536, 1.67663, 1.72638, 1.7784, 1.83365, 1.88891, 1.99941, 2.10991, 2.27567");
-            values ( \
-              "-0.0186574, -0.0224001, -0.0316074, -0.0500917, -0.0606429, -0.0696228, -0.0776284, -0.0827516, -0.0917213, -0.097896, -0.0988816, -0.0985084, -0.0970774, -0.0922211, -0.0850507, -0.0663972, -0.0541744, -0.0505595, -0.04589, -0.0331262, -0.0277854, -0.0216585, -0.0187801, -0.0129266, -0.0101489, -0.00728693, -0.00547811, -0.00415498, -0.0031243, -0.00235062, -0.00130904, -0.000709976, -0.000287647" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00696604, 0.00697413, 0.00698328, 0.00699234, 0.00700016, 0.00700611", \
-            "0.00785524, 0.0078607, 0.00786763, 0.00787508, 0.00788201, 0.00788755", \
-            "0.0083313, 0.00833415, 0.00833818, 0.00834313, 0.0083483, 0.00835286", \
-            "0.00860278, 0.00860371, 0.0086052, 0.00860737, 0.00861012, 0.00861304", \
-            "0.00875028, 0.00875052, 0.00875092, 0.00875153, 0.00875244, 0.00875369", \
-            "0.00884317, 0.00884333, 0.00884355, 0.00884384, 0.00884419, 0.00884463" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00907367, 0.00908135, 0.00909084, 0.00910082, 0.00910964, 0.00911653", \
-            "0.00985516, 0.00986146, 0.00986935, 0.00987799, 0.0098858, 0.00989203", \
-            "0.0103379, 0.0103432, 0.0103497, 0.0103565, 0.0103627, 0.0103676", \
-            "0.0108146, 0.0108151, 0.0108161, 0.0108175, 0.0108191, 0.0108204", \
-            "0.0112846, 0.0112448, 0.0111974, 0.0111473, 0.0111023, 0.0110662", \
-            "0.0118517, 0.0117715, 0.0116457, 0.011494, 0.0113509, 0.0112364" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.146067, 0.16318, 0.192891, 0.244486, 0.333989, 0.48948", \
-            "0.150669, 0.167852, 0.197687, 0.249395, 0.338999, 0.494567", \
-            "0.161855, 0.179138, 0.20912, 0.261019, 0.350824, 0.506567", \
-            "0.187321, 0.204649, 0.234722, 0.286814, 0.376851, 0.532843", \
-            "0.248585, 0.266408, 0.296582, 0.348365, 0.438313, 0.594898", \
-            "0.362385, 0.386203, 0.425565, 0.488479, 0.5873, 0.744917" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.163061, 0.187521, 0.229386, 0.301659, 0.426337, 0.645049", \
-            "0.163042, 0.187504, 0.229361, 0.301623, 0.426287, 0.644973", \
-            "0.163043, 0.187504, 0.229313, 0.301623, 0.426281, 0.644965", \
-            "0.163029, 0.187455, 0.229358, 0.301535, 0.426273, 0.644953", \
-            "0.178751, 0.200429, 0.238223, 0.30597, 0.426476, 0.644967", \
-            "0.242757, 0.264547, 0.299597, 0.356278, 0.461832, 0.66122" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0947756, 0.106064, 0.125561, 0.159249, 0.217563, 0.318781", \
-            "0.0979958, 0.109322, 0.128868, 0.162602, 0.22096, 0.322211", \
-            "0.104741, 0.116154, 0.135815, 0.169648, 0.228116, 0.329436", \
-            "0.120497, 0.132212, 0.152158, 0.186079, 0.244955, 0.346309", \
-            "0.149769, 0.163882, 0.187001, 0.224473, 0.284813, 0.386802", \
-            "0.179137, 0.199211, 0.231706, 0.282389, 0.359726, 0.477355" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0961574, 0.110804, 0.136668, 0.182194, 0.261808, 0.400282", \
-            "0.0962049, 0.1109, 0.13668, 0.182195, 0.261804, 0.400264", \
-            "0.0961457, 0.110768, 0.136707, 0.182199, 0.261804, 0.400293", \
-            "0.099185, 0.112982, 0.137812, 0.182232, 0.26178, 0.400239", \
-            "0.124992, 0.137562, 0.159204, 0.198039, 0.270496, 0.402839", \
-            "0.184869, 0.199085, 0.223854, 0.264727, 0.332538, 0.447938" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0393603, 0.0472159, 0.0533425, 0.0636576, 0.0739662, 0.0847256, 0.105953, 0.106479, 0.121319, 0.129144, 0.153679, 0.165889, 0.177912, 0.187615, 0.223271, 0.233871, 0.240615, 0.247941, 0.256924, 0.265379, 0.292958, 0.307346, 0.330522, 0.349088, 0.351035, 0.35493, 0.362721, 0.376953, 0.390409, 0.409651, 0.414559, 0.424373, 0.480647, 0.612028, 0.690301");
-            values ( \
-              "0.000565099, 0.0192786, 0.0187609, 0.018978, 0.0182399, 0.0184122, 0.0173547, 0.0175812, 0.0170607, 0.0166323, 0.0150721, 0.0153274, 0.0150473, 0.0141243, 0.00986882, 0.00915673, 0.00936745, 0.00859057, 0.00807309, 0.00708799, 0.00627451, 0.00553199, 0.00377382, 0.00280374, 0.00280006, 0.00257289, 0.00237588, 0.00187829, 0.00162322, 0.00116673, 0.00115699, 0.000936024, 0.000378882, 1.25416e-05, 4.83945e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.039332, 0.0482122, 0.0552828, 0.0659003, 0.0671741, 0.0697218, 0.0748171, 0.0830481, 0.0915067, 0.108424, 0.116623, 0.129324, 0.142753, 0.162829, 0.170826, 0.185306, 0.200026, 0.231485, 0.232833, 0.235528, 0.24092, 0.273885, 0.279234, 0.289932, 0.299468, 0.310174, 0.321653, 0.331243, 0.342081, 0.343061, 0.345021, 0.348941, 0.35606, 0.36879, 0.379585, 0.393898, 0.396957, 0.403075, 0.41531, 0.433788, 0.456252, 0.47034, 0.498518, 0.538422, 0.600399, 0.619424");
-            values ( \
-              "0.00151151, 0.0290749, 0.0284026, 0.0286407, 0.0279782, 0.0285349, 0.027709, 0.0280716, 0.0271066, 0.0271707, 0.0262082, 0.0263522, 0.0248843, 0.02401, 0.0228165, 0.0236286, 0.022796, 0.0182515, 0.0185325, 0.0174258, 0.0172635, 0.0118183, 0.0124365, 0.0108643, 0.0116117, 0.010578, 0.01078, 0.00927316, 0.00911714, 0.00835513, 0.00882159, 0.0078107, 0.00776773, 0.00590015, 0.00568563, 0.00410167, 0.00459092, 0.00360614, 0.00371173, 0.00234418, 0.00235225, 0.00135084, 0.00150546, 0.00035256, 0.000627682, 0.000475123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0436955, 0.0497092, 0.057364, 0.0584332, 0.0605718, 0.0648488, 0.0731117, 0.0878339, 0.103117, 0.133351, 0.134078, 0.155035, 0.166246, 0.200557, 0.224335, 0.236238, 0.254212, 0.2742, 0.328853, 0.332489, 0.339762, 0.350761, 0.362729, 0.376374, 0.447329, 0.478098, 0.498766, 0.520962, 0.567423, 0.601552, 0.649038, 0.718871, 0.797144, 0.875416");
-            values ( \
-              "0.0376485, 0.0410427, 0.040218, 0.0409167, 0.0400646, 0.0406759, 0.0395799, 0.0397836, 0.0383777, 0.0379501, 0.0375273, 0.0365233, 0.0357968, 0.0331093, 0.0333722, 0.0323682, 0.029885, 0.0265812, 0.0164147, 0.0164736, 0.0163054, 0.0166423, 0.0162764, 0.0154961, 0.00828926, 0.0060229, 0.00488831, 0.00387755, 0.00238884, 0.00168112, 0.000991848, 0.000470904, 0.000182122, 9.06173e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0436257, 0.0520057, 0.0572113, 0.0635874, 0.0732797, 0.0831417, 0.102747, 0.123063, 0.163279, 0.164211, 0.191797, 0.25219, 0.275361, 0.299345, 0.337793, 0.395898, 0.409411, 0.424945, 0.571125, 0.603566, 0.650839, 0.721711, 0.771088, 0.834081, 0.912353, 0.942013");
-            values ( \
-              "0.0455838, 0.0529122, 0.0536862, 0.052634, 0.0531309, 0.0519012, 0.0520288, 0.0503809, 0.0497129, 0.0492389, 0.0479889, 0.0440196, 0.0441683, 0.0423181, 0.036847, 0.0265234, 0.0262515, 0.025158, 0.0112511, 0.00884794, 0.00619066, 0.00356223, 0.00240511, 0.00148058, 0.000743656, 0.000667039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0435977, 0.0556605, 0.0639504, 0.0722873, 0.0861669, 0.100297, 0.128404, 0.157494, 0.215091, 0.216371, 0.254785, 0.341768, 0.374444, 0.421913, 0.4473, 0.4948, 0.526771, 0.543689, 0.659286, 0.723173, 0.777087, 0.810697, 0.882291, 0.975977, 1.03404, 1.11822, 1.27476, 1.39297");
-            values ( \
-              "0.0545998, 0.0644834, 0.065245, 0.0640369, 0.0645326, 0.0631507, 0.0632255, 0.0613935, 0.0605187, 0.0600082, 0.0585799, 0.054149, 0.0540037, 0.0497919, 0.0465876, 0.039425, 0.0380771, 0.0367868, 0.0236211, 0.01848, 0.0146259, 0.0124761, 0.00869482, 0.0052869, 0.00385148, 0.00241469, 0.000987894, 0.000538857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0494915, 0.0495115, 0.172732, 0.217085, 0.295357, 0.30684, 0.329804, 0.375733, 0.399706, 0.50512, 0.577856, 0.676194, 0.692734, 0.719081, 0.755946, 0.997425, 1.29319, 1.54077, 1.71267, 1.82251");
-            values ( \
-              "1e-22, 0.0805954, 0.0711896, 0.0712015, 0.068435, 0.0691527, 0.0675802, 0.0672044, 0.0653649, 0.062585, 0.0593008, 0.0504205, 0.0508373, 0.0498063, 0.0469771, 0.0256128, 0.0106879, 0.00452701, 0.00244121, 0.00185123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0549339, 0.0624426, 0.0685893, 0.0892059, 0.129025, 0.144383, 0.168916, 0.181129, 0.193152, 0.212169, 0.238505, 0.249109, 0.255844, 0.263161, 0.272171, 0.280584, 0.308164, 0.322554, 0.345723, 0.364267, 0.391644, 0.424602, 0.429584, 0.439549, 0.496814, 0.62862, 0.706892");
-            values ( \
-              "0.00175044, 0.0190076, 0.0189907, 0.0184856, 0.0173607, 0.0166387, 0.0150792, 0.0153223, 0.0150527, 0.0130656, 0.00987359, 0.00915576, 0.00937111, 0.00859353, 0.00807337, 0.00709155, 0.00627475, 0.00553587, 0.00377528, 0.00280487, 0.00189168, 0.00117023, 0.00116185, 0.000935352, 0.000371137, 9.8863e-06, 4.94777e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0549313, 0.0635196, 0.0706115, 0.080555, 0.0824902, 0.0863607, 0.0941016, 0.106828, 0.131335, 0.140414, 0.158068, 0.18613, 0.206198, 0.215295, 0.229988, 0.248073, 0.269692, 0.280033, 0.30163, 0.310717, 0.320837, 0.332075, 0.343, 0.358101, 0.389345, 0.412502, 0.427947, 0.444666, 0.465236, 0.485127, 0.509857, 0.541755, 0.590957, 0.66923, 0.747502");
-            values ( \
-              "0.00293002, 0.028693, 0.0287214, 0.0283172, 0.0283419, 0.0281064, 0.0279532, 0.0273753, 0.0266213, 0.0262153, 0.0252276, 0.0231225, 0.0233781, 0.0228469, 0.0210005, 0.0182232, 0.0147776, 0.0128892, 0.0114933, 0.0111897, 0.0111503, 0.0106681, 0.00996326, 0.0087138, 0.00577829, 0.00424432, 0.00349123, 0.00283176, 0.00218436, 0.00169075, 0.00122507, 0.000822315, 0.000406687, 0.000146268, 3.47207e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0592573, 0.0651296, 0.0724587, 0.0738603, 0.0766636, 0.0822701, 0.0885439, 0.101091, 0.118552, 0.160148, 0.181681, 0.215988, 0.240685, 0.25167, 0.269656, 0.295757, 0.344121, 0.347886, 0.355417, 0.366435, 0.378405, 0.392011, 0.461035, 0.493405, 0.516324, 0.537708, 0.582678, 0.613573, 0.660757, 0.728905, 0.807178, 0.88545");
-            values ( \
-              "0.0400185, 0.0405668, 0.0406291, 0.0404468, 0.0404765, 0.0401316, 0.0400295, 0.039405, 0.0388385, 0.0370681, 0.0357977, 0.0331002, 0.0333724, 0.0323222, 0.0299291, 0.0254724, 0.016438, 0.0164734, 0.0163103, 0.0166468, 0.016266, 0.0154825, 0.00844352, 0.006033, 0.00478022, 0.00382686, 0.00239482, 0.00174154, 0.00103466, 0.000497645, 0.000195555, 9.32091e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0593498, 0.0676069, 0.0987639, 0.192856, 0.222521, 0.267809, 0.290978, 0.314958, 0.353405, 0.411504, 0.425009, 0.440535, 0.471458, 0.586782, 0.61927, 0.665937, 0.736968, 0.786569, 0.849451, 0.927723, 1.08427, 1.16254");
-            values ( \
-              "0.0465205, 0.0533261, 0.0524113, 0.0487023, 0.0470929, 0.0440219, 0.0441743, 0.0423231, 0.0368538, 0.0265271, 0.0262554, 0.0251636, 0.0218386, 0.0112482, 0.00884241, 0.00621525, 0.00357189, 0.00240716, 0.00148285, 0.000744599, 0.000188195, 0.00013677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0647195, 0.0647395, 0.144017, 0.173106, 0.270365, 0.357366, 0.39004, 0.437501, 0.462883, 0.510376, 0.542326, 0.559226, 0.675106, 0.738911, 0.791426, 0.825449, 0.898071, 0.992973, 1.04945, 1.131, 1.28754, 1.40877");
-            values ( \
-              "1e-22, 0.0726766, 0.0626765, 0.0619453, 0.0585886, 0.0541517, 0.0540122, 0.0498008, 0.0465935, 0.0394317, 0.038084, 0.036796, 0.0236017, 0.018469, 0.0147113, 0.012527, 0.00868671, 0.00524666, 0.00385517, 0.00245297, 0.00100384, 0.000536067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0648509, 0.0648709, 0.188543, 0.345593, 0.415499, 0.462675, 0.532785, 0.59811, 0.691688, 0.708172, 0.734834, 0.771854, 0.903633, 1.01091, 1.10249, 1.21881, 1.30879, 1.4236, 1.5552, 1.73215, 1.8887, 2.04524, 2.4366");
-            values ( \
-              "1e-22, 0.0794598, 0.071774, 0.0681706, 0.0659565, 0.0637993, 0.0621792, 0.05902, 0.0504461, 0.0508373, 0.0498079, 0.0469665, 0.0346989, 0.0257822, 0.020116, 0.0142823, 0.0106976, 0.00724289, 0.0045508, 0.00240756, 0.00134906, 0.000748176, 0.000218024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0942689, 0.098994, 0.102267, 0.105253, 0.110438, 0.12589, 0.157897, 0.173268, 0.205623, 0.217835, 0.229858, 0.248889, 0.275212, 0.285814, 0.292549, 0.299866, 0.308878, 0.31729, 0.344874, 0.359248, 0.382394, 0.400933, 0.42859, 0.461337, 0.466254, 0.476088, 0.53221, 0.663273, 0.741546");
-            values ( \
-              "0.0145784, 0.0183887, 0.0187067, 0.0188045, 0.0188315, 0.0184913, 0.0176051, 0.0170586, 0.0150755, 0.015324, 0.0150476, 0.0130632, 0.00987275, 0.00915826, 0.00937069, 0.00859596, 0.0080727, 0.00709327, 0.00627306, 0.00553786, 0.003778, 0.00280664, 0.00188543, 0.00116994, 0.00116258, 0.000938019, 0.000379923, 1.15431e-05, 4.97282e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0942457, 0.100144, 0.102429, 0.10734, 0.113316, 0.119269, 0.177136, 0.194838, 0.222901, 0.242873, 0.252068, 0.26676, 0.284844, 0.306491, 0.316818, 0.33836, 0.347437, 0.357561, 0.36876, 0.379687, 0.394859, 0.42623, 0.439841, 0.464708, 0.481413, 0.501983, 0.521834, 0.546497, 0.577989, 0.626789, 0.705062, 0.783334");
-            values ( \
-              "0.0181096, 0.027916, 0.0282254, 0.0284909, 0.0284426, 0.0282811, 0.026215, 0.025226, 0.0231235, 0.0233746, 0.0228527, 0.0209975, 0.0182242, 0.0147744, 0.012888, 0.0114968, 0.011188, 0.0111506, 0.010672, 0.00997027, 0.00871541, 0.0057706, 0.00480384, 0.00349147, 0.00283346, 0.00218468, 0.00169179, 0.0012268, 0.000828782, 0.000411732, 0.00014852, 3.47808e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0943503, 0.101976, 0.108388, 0.115653, 0.14024, 0.196618, 0.20742, 0.21866, 0.252969, 0.276629, 0.288646, 0.312682, 0.328724, 0.382547, 0.384934, 0.389707, 0.3985, 0.408619, 0.422085, 0.43587, 0.500153, 0.530438, 0.572164, 0.615741, 0.619516, 0.627065, 0.642163, 0.672358, 0.732749, 0.811022, 0.889294, 0.967566");
-            values ( \
-              "0.0223546, 0.0396699, 0.0404052, 0.0402902, 0.0393975, 0.0370911, 0.0365265, 0.0357977, 0.0331125, 0.0333728, 0.0323828, 0.0289505, 0.0262001, 0.0162388, 0.0164158, 0.0162819, 0.0165166, 0.0165006, 0.0159454, 0.0148172, 0.00820971, 0.0059844, 0.00388315, 0.00245026, 0.00246348, 0.00216962, 0.00195524, 0.00132291, 0.000766834, 0.00023843, 0.000178897, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0942229, 0.104507, 0.109722, 0.116191, 0.229861, 0.259514, 0.304802, 0.327971, 0.351951, 0.390363, 0.44849, 0.461995, 0.477523, 0.508445, 0.623747, 0.65625, 0.702857, 0.773878, 0.823456, 0.886303, 0.964575, 1.12112, 1.19939");
-            values ( \
-              "0.0275812, 0.0525673, 0.0529499, 0.0529816, 0.0487023, 0.0470939, 0.0440223, 0.0441729, 0.0423264, 0.0368587, 0.0265307, 0.0262529, 0.0251632, 0.0218408, 0.0112514, 0.00884317, 0.00621858, 0.00357398, 0.00240896, 0.00148484, 0.000745167, 0.000188111, 0.000137109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0990683, 0.0990883, 0.1814, 0.271918, 0.30764, 0.394751, 0.427424, 0.474876, 0.500241, 0.547765, 0.579727, 0.596629, 0.712236, 0.776091, 0.829774, 0.863427, 0.935105, 1.02888, 1.0866, 1.17016, 1.3267, 1.48325, 1.63979");
-            values ( \
-              "1e-22, 0.0676432, 0.0627205, 0.0599364, 0.0585902, 0.0541548, 0.0540106, 0.0498006, 0.046601, 0.0394319, 0.0380842, 0.036795, 0.0236261, 0.0184859, 0.014647, 0.0124912, 0.00870275, 0.00528917, 0.00386026, 0.00242854, 0.000993524, 0.000395496, 0.000148399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.102729, 0.102749, 0.221854, 0.359957, 0.428818, 0.49999, 0.569566, 0.63455, 0.729148, 0.745642, 0.772149, 0.809126, 1.04868, 1.13979, 1.25589, 1.34605, 1.46112, 1.59248, 1.76882, 1.92537, 2.08191, 2.14845");
-            values ( \
-              "1e-22, 0.0804484, 0.0717591, 0.068675, 0.0667284, 0.0638941, 0.0621987, 0.0590806, 0.0504405, 0.0508374, 0.0498096, 0.0469724, 0.025749, 0.0201166, 0.0142924, 0.010699, 0.00723717, 0.0045507, 0.00241293, 0.00135197, 0.000749694, 0.00065938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.16214, 0.175927, 0.185746, 0.199851, 0.210176, 0.212995, 0.216508, 0.219711, 0.223423, 0.229431, 0.237239, 0.245206, 0.26114, 0.267864, 0.292379, 0.304413, 0.316401, 0.327429, 0.361751, 0.372248, 0.379122, 0.386599, 0.395686, 0.404147, 0.412751, 0.431636, 0.442449, 0.445849, 0.451697, 0.458819, 0.468991, 0.48756, 0.489578, 0.493614, 0.501686, 0.516111, 0.529337, 0.54825, 0.552986, 0.562457, 0.581399, 0.617858, 0.748952, 0.827224");
-            values ( \
-              "0.000401167, 0.0108927, 0.0128538, 0.0146772, 0.0155866, 0.0172967, 0.017451, 0.017831, 0.0179831, 0.0179958, 0.0178189, 0.0175997, 0.0170129, 0.0166643, 0.0150882, 0.0153333, 0.0150728, 0.0140059, 0.00989237, 0.00916499, 0.00937936, 0.00859502, 0.00806946, 0.00708247, 0.00685571, 0.00627803, 0.00567441, 0.00554703, 0.00507932, 0.00460561, 0.00378237, 0.00280788, 0.00281037, 0.00256775, 0.00237163, 0.00186032, 0.00162278, 0.00116285, 0.0011663, 0.000939148, 0.000779691, 0.000382804, 8.27632e-06, 5.39148e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.162066, 0.177737, 0.188673, 0.197399, 0.204391, 0.210118, 0.213655, 0.221903, 0.229898, 0.255487, 0.276264, 0.281625, 0.309653, 0.330723, 0.338811, 0.353518, 0.410255, 0.418036, 0.428499, 0.438433, 0.460497, 0.470062, 0.481837, 0.483806, 0.487746, 0.49444, 0.518175, 0.532417, 0.535643, 0.554995, 0.595722, 0.63522, 0.674275, 0.735364, 0.891909");
-            values ( \
-              "0.000315113, 0.0170016, 0.0200786, 0.0217723, 0.0228887, 0.02361, 0.0262117, 0.0272474, 0.0274435, 0.0265628, 0.0255762, 0.0252733, 0.0230927, 0.023443, 0.0227495, 0.0210845, 0.0121541, 0.0121969, 0.0111365, 0.011328, 0.0104671, 0.00960386, 0.00873628, 0.00845491, 0.00818423, 0.00744192, 0.00533302, 0.00449008, 0.00423134, 0.00330926, 0.00196985, 0.00117342, 0.00074606, 0.000278515, 5.30188e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.162733, 0.181252, 0.230105, 0.236549, 0.243021, 0.255966, 0.273967, 0.29968, 0.306159, 0.319117, 0.340433, 0.367473, 0.376123, 0.416119, 0.420281, 0.428606, 0.440555, 0.453758, 0.46736, 0.472637, 0.483191, 0.494656, 0.507277, 0.520814, 0.533716, 0.548678, 0.550303, 0.553554, 0.560056, 0.571837, 0.589073, 0.609964, 0.617879, 0.633708, 0.655812, 0.684547, 0.701864, 0.706695, 0.716357, 0.73568, 0.766075, 0.790607");
-            values ( \
-              "0.000366059, 0.0251788, 0.0419515, 0.0362806, 0.0415271, 0.0355446, 0.0402672, 0.0335017, 0.0385642, 0.0320843, 0.0357688, 0.0306929, 0.0348749, 0.0235175, 0.0281481, 0.0212318, 0.0242681, 0.0165609, 0.0193079, 0.0137462, 0.0190844, 0.0139608, 0.0187182, 0.0124287, 0.0164157, 0.00960223, 0.0147193, 0.00904595, 0.0136509, 0.00709315, 0.0107905, 0.00389171, 0.00868926, 0.00248496, 0.00674396, 0.00039105, 0.00518769, 1e-22, 0.00482354, 1e-22, 0.00392814, 0.00184404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.161977, 0.184687, 0.194242, 0.210072, 0.21424, 0.222671, 0.230885, 0.250537, 0.303838, 0.346566, 0.36416, 0.384267, 0.406979, 0.430068, 0.438945, 0.456698, 0.492401, 0.531642, 0.545235, 0.554568, 0.564301, 0.593676, 0.715186, 0.758744, 0.777607, 0.810168, 0.871459, 0.950128, 1.0284, 1.10667, 1.26322");
-            values ( \
-              "0.00113784, 0.0351353, 0.0394811, 0.044239, 0.0489458, 0.0512767, 0.0519497, 0.0513206, 0.0492236, 0.0471067, 0.0459277, 0.0442834, 0.0441356, 0.0434844, 0.0419164, 0.0401971, 0.0343132, 0.0270671, 0.0262501, 0.0259539, 0.0251359, 0.0219826, 0.0108489, 0.00785479, 0.00686566, 0.00530492, 0.00328055, 0.00175125, 0.000953062, 0.00046774, 0.000114592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.183376, 0.220089, 0.22305, 0.226314, 0.229579, 0.244526, 0.289187, 0.343442, 0.369727, 0.38287, 0.408897, 0.438369, 0.459164, 0.47628, 0.490185, 0.506584, 0.514783, 0.523435, 0.540739, 0.549391, 0.561182, 0.572973, 0.589557, 0.609066, 0.624459, 0.62697, 0.631991, 0.641855, 0.652668, 0.666781, 0.674634, 0.682487, 0.698979, 0.716313, 0.760935, 0.7681, 0.7777, 0.806502, 0.842699, 0.871319, 0.917701, 0.941832, 0.960564, 0.992629, 1.00918, 1.02573, 1.05853, 1.08331, 1.12485, 1.17167");
-            values ( \
-              "0.0622294, 0.0626979, 0.0633123, 0.063607, 0.0637745, 0.0634718, 0.0621307, 0.0604379, 0.0595255, 0.0590063, 0.0577341, 0.0561588, 0.054961, 0.0546174, 0.054218, 0.0536085, 0.0532475, 0.0527525, 0.0515455, 0.0508334, 0.0496879, 0.048327, 0.0461953, 0.0434166, 0.0410653, 0.0404252, 0.039646, 0.0389918, 0.0386244, 0.0379661, 0.0374374, 0.0368134, 0.0351931, 0.0332467, 0.0276446, 0.0267737, 0.0257769, 0.0230351, 0.0200653, 0.0178484, 0.0145248, 0.0129898, 0.0119, 0.0101333, 0.00925744, 0.00846006, 0.00713049, 0.00627522, 0.00504828, 0.0038965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.183185, 0.21854, 0.234859, 0.470376, 0.681595, 0.724382, 0.814861, 0.83143, 0.859603, 0.896916, 1.13368, 1.22721, 1.43348, 1.54925, 1.68011, 1.85517, 2.13281");
-            values ( \
-              "0.0690994, 0.0708465, 0.0732794, 0.0680958, 0.0612343, 0.0589192, 0.0505054, 0.0508388, 0.0498059, 0.0469388, 0.0259348, 0.0201276, 0.0107069, 0.00722639, 0.00455363, 0.00242678, 0.000945348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.312561, 0.339484, 0.351931, 0.358399, 0.371334, 0.402832, 0.417158, 0.446693, 0.474121, 0.492955, 0.501545, 0.505752, 0.509847, 0.515422, 0.520644, 0.527564, 0.538702, 0.554631, 0.556652, 0.560694, 0.568779, 0.576602, 0.583641, 0.589614, 0.596041, 0.604036, 0.612657, 0.630731, 0.640343, 0.649457, 0.651542, 0.655712, 0.661779, 0.670232, 0.679958, 0.687501, 0.697405, 0.698833, 0.701688, 0.7074, 0.718347, 0.733166, 0.753277, 0.762921, 0.782208, 0.816333, 0.874749, 0.951152, 1.02942");
-            values ( \
-              "0.000591696, 0.0051867, 0.00651273, 0.00707515, 0.00847405, 0.0113955, 0.0123915, 0.0139818, 0.0143638, 0.0141093, 0.0139771, 0.0142928, 0.0149221, 0.0153329, 0.0151953, 0.0149707, 0.0137744, 0.0119745, 0.0116153, 0.0112134, 0.0100735, 0.00948517, 0.00951142, 0.00917957, 0.00874008, 0.00809142, 0.00721751, 0.00663551, 0.00636961, 0.00578548, 0.00578734, 0.00541156, 0.00510012, 0.00431065, 0.00375774, 0.0032186, 0.00287868, 0.00271649, 0.00269769, 0.00240393, 0.00214134, 0.00166336, 0.00132087, 0.00107169, 0.000877417, 0.000457146, 0.000234079, 1.30269e-05, 5.55238e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.312414, 0.342492, 0.388388, 0.40968, 0.426774, 0.459204, 0.489542, 0.497567, 0.505592, 0.511809, 0.51912, 0.530657, 0.539405, 0.548222, 0.562849, 0.619631, 0.627378, 0.637952, 0.647791, 0.658288, 0.66964, 0.72706, 0.744764, 0.765136, 0.805635, 0.842846, 0.882772, 0.942212, 1.09876");
-            values ( \
-              "0.000683778, 0.00833344, 0.0152549, 0.0180447, 0.0197994, 0.0220099, 0.0226957, 0.022693, 0.0224469, 0.0230809, 0.0231111, 0.023604, 0.0235568, 0.0227952, 0.0210377, 0.0121687, 0.0122345, 0.0111569, 0.0114394, 0.0109079, 0.01052, 0.00533611, 0.00421909, 0.00325468, 0.00193666, 0.00118343, 0.000766794, 0.000274315, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.327662, 0.443127, 0.480511, 0.505782, 0.515311, 0.52987, 0.542699, 0.54959, 0.563372, 0.580081, 0.585062, 0.595025, 0.608735, 0.625224, 0.646545, 0.665045, 0.679044, 0.681437, 0.686223, 0.695282, 0.705658, 0.719154, 0.732997, 0.744536, 0.759294, 0.774883, 0.793475, 0.816477, 0.826764, 0.847339, 0.869529, 0.898736, 0.915852, 0.950084, 0.997532, 1.06043");
-            values ( \
-              "0.0107599, 0.0301959, 0.0330413, 0.0339558, 0.036466, 0.033753, 0.0347871, 0.0319626, 0.0346203, 0.0320214, 0.0333598, 0.0300207, 0.0300946, 0.025094, 0.0233355, 0.0177181, 0.0173432, 0.0153831, 0.0174211, 0.0154816, 0.017608, 0.0148286, 0.0158457, 0.012498, 0.0131664, 0.00926139, 0.00963387, 0.00560081, 0.00715273, 0.00377726, 0.00501609, 0.00175778, 0.00353241, 0.000551214, 0.00213137, 0.000168177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.327501, 0.382172, 0.415481, 0.443554, 0.467728, 0.505604, 0.513214, 0.528436, 0.542027, 0.555969, 0.575564, 0.601208, 0.634103, 0.648367, 0.672327, 0.698283, 0.701876, 0.709063, 0.722795, 0.735738, 0.74841, 0.759928, 0.773959, 0.788183, 0.803569, 0.819766, 0.839766, 0.864963, 0.876608, 0.899897, 0.924817, 0.95781, 0.968161, 0.988865, 1.02078, 1.05922, 1.08053, 1.08631, 1.09787, 1.12099, 1.15822, 1.21973, 1.298, 1.31787");
-            values ( \
-              "0.0110968, 0.0265592, 0.0349636, 0.0400947, 0.0431021, 0.0462448, 0.0497088, 0.0475022, 0.0493525, 0.0457057, 0.047182, 0.0424198, 0.0455277, 0.0404274, 0.0408014, 0.03337, 0.0354709, 0.0315426, 0.031571, 0.0265908, 0.0277124, 0.0250543, 0.0263397, 0.0224651, 0.0231356, 0.019343, 0.0198557, 0.0151666, 0.0163722, 0.0118035, 0.0120423, 0.00733302, 0.0090733, 0.00555293, 0.00647789, 0.00272119, 0.00451557, 0.00196307, 0.00410485, 0.00120145, 0.00300535, 1e-22, 0.00178437, 0.0013314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.345102, 0.398608, 0.440041, 0.481479, 0.505176, 0.50635, 0.513398, 0.520191, 0.528121, 0.541504, 0.565404, 0.594431, 0.626401, 0.65721, 0.690781, 0.723445, 0.758222, 0.770938, 0.79637, 0.834518, 0.835836, 0.843746, 0.850809, 0.862299, 0.875682, 0.892603, 0.908973, 0.92681, 0.969514, 1.00857, 1.07236, 1.12432, 1.1585, 1.20342, 1.23152, 1.26897, 1.32686, 1.38269, 1.46316, 1.54143, 1.6197, 1.77624, 1.93279, 2.01106");
-            values ( \
-              "0.0291345, 0.0379384, 0.0486717, 0.055248, 0.0577326, 0.0594747, 0.0606438, 0.0613224, 0.0611898, 0.0608586, 0.0600672, 0.0590083, 0.0574908, 0.0557353, 0.0541515, 0.054034, 0.0506755, 0.0498161, 0.046567, 0.0410342, 0.0405097, 0.0394448, 0.0389918, 0.0386361, 0.038091, 0.0368067, 0.0351206, 0.0330586, 0.0278701, 0.0236009, 0.0184695, 0.0147436, 0.0125521, 0.0100354, 0.0086819, 0.00717808, 0.00522974, 0.00385534, 0.002467, 0.00163798, 0.00100784, 0.000399583, 0.000148249, 0.000145414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.34511, 0.380166, 0.41221, 0.443837, 0.47693, 0.50516, 0.513728, 0.528352, 0.69149, 0.815794, 0.847443, 0.885473, 0.939544, 1.01227, 1.03112, 1.04621, 1.06929, 1.093, 1.28669, 1.36496, 1.4355, 1.50885, 1.62756, 1.66819, 1.78424, 1.86251, 1.98306, 2.06134, 2.21788, 2.37443, 2.76579");
-            values ( \
-              "0.0350814, 0.0363386, 0.0480226, 0.0567893, 0.0633071, 0.0672171, 0.0703318, 0.0716558, 0.0677635, 0.0633453, 0.062907, 0.0614288, 0.0586281, 0.0516504, 0.0504179, 0.0507629, 0.0497373, 0.0480073, 0.0305339, 0.024473, 0.0202328, 0.016405, 0.0113141, 0.00990674, 0.00667366, 0.00508171, 0.00330932, 0.00249296, 0.00141323, 0.000799239, 0.000189603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.664717, 0.708238, 0.726176, 0.74138, 0.846769, 0.892769, 0.932598, 0.952507, 0.97021, 0.987953, 1.0035, 1.00547, 1.00941, 1.0173, 1.04262, 1.04401, 1.0523, 1.05884, 1.07097, 1.07963, 1.0933, 1.11612, 1.13458, 1.15866, 1.16818, 1.189, 1.21571, 1.22739, 1.2669, 1.28757, 1.31119, 1.35844, 1.4322, 1.51047");
-            values ( \
-              "0.0021168, 0.00241277, 0.00291682, 0.00342618, 0.00760544, 0.00928989, 0.0102477, 0.0103046, 0.0105165, 0.0114265, 0.0107751, 0.0109905, 0.0107058, 0.010536, 0.00922821, 0.00890014, 0.00880533, 0.00891995, 0.00863001, 0.00825034, 0.00736978, 0.0069507, 0.00607661, 0.00432864, 0.00381902, 0.00292416, 0.00207071, 0.0020209, 0.00115588, 0.00085649, 0.000599375, 0.000287883, 8.35896e-05, 2.47607e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.66492, 0.704993, 0.724551, 0.749419, 0.844712, 0.890269, 0.911064, 0.952654, 0.956388, 0.961366, 0.971322, 0.991233, 0.994307, 1.01275, 1.03222, 1.0511, 1.07327, 1.09494, 1.12606, 1.12727, 1.13211, 1.13839, 1.14914, 1.16006, 1.17185, 1.20536, 1.21593, 1.22374, 1.25484, 1.26573, 1.28483, 1.31153, 1.32257, 1.34464, 1.38879, 1.46561, 1.54388, 1.62215");
-            values ( \
-              "0.00313839, 0.00363063, 0.00437739, 0.00567208, 0.0114099, 0.0139953, 0.0150607, 0.0165916, 0.0166856, 0.0167231, 0.0167231, 0.0168504, 0.0172969, 0.0181945, 0.0177202, 0.0167302, 0.014967, 0.0125451, 0.0115764, 0.0116951, 0.0117121, 0.0116512, 0.0113127, 0.0106498, 0.00974356, 0.00666332, 0.0058308, 0.0057154, 0.0039573, 0.00346264, 0.00272577, 0.00194219, 0.00168539, 0.00126795, 0.000702865, 0.000235771, 7.77287e-05, 2.83396e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.664975, 0.713757, 0.740534, 0.885541, 0.94038, 0.988203, 1.02153, 1.03369, 1.0562, 1.07692, 1.10933, 1.14816, 1.16353, 1.17843, 1.18527, 1.19875, 1.21147, 1.21599, 1.22503, 1.29484, 1.32986, 1.36052, 1.39071, 1.41845, 1.45806, 1.51087, 1.58914, 1.60249");
-            values ( \
-              "0.00395301, 0.00573535, 0.00743443, 0.0194976, 0.0236124, 0.0257348, 0.0260076, 0.026492, 0.0279515, 0.0269743, 0.0248273, 0.0198314, 0.0174268, 0.0167157, 0.0173131, 0.0170288, 0.0167027, 0.0161956, 0.0159421, 0.0085956, 0.0060126, 0.00442403, 0.00322688, 0.0024183, 0.00159269, 0.000906788, 0.00036912, 0.000342949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.665399, 0.738732, 0.922505, 0.986176, 1.04265, 1.08201, 1.124, 1.18789, 1.2346, 1.26759, 1.40195, 1.50765, 1.60976, 1.72013");
-            values ( \
-              "0.00456368, 0.00973296, 0.0295916, 0.0350886, 0.0376514, 0.0379309, 0.0398409, 0.035476, 0.0287444, 0.0266378, 0.0131652, 0.00603854, 0.00272154, 0.0011549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.69504, 0.789145, 0.93571, 0.976368, 1.05464, 1.09469, 1.12596, 1.19557, 1.2276, 1.2454, 1.29111, 1.34123, 1.37585, 1.40772, 1.53003, 1.66489, 1.73573, 1.87272, 1.97508, 2.05336, 2.1453");
-            values ( \
-              "0.0111256, 0.0184226, 0.0381038, 0.0425922, 0.0489038, 0.0507393, 0.0515092, 0.0519867, 0.053162, 0.0526474, 0.048115, 0.0403479, 0.0386053, 0.035943, 0.0222581, 0.0124362, 0.00877206, 0.00419245, 0.00241634, 0.00153589, 0.0010035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.724621, 0.814846, 0.933789, 0.989886, 1.05636, 1.15934, 1.21557, 1.22482, 1.23937, 1.31666, 1.35275, 1.39194, 1.44328, 1.52099, 1.53897, 1.55401, 1.59864, 1.86829, 2.01671, 2.17269, 2.2878, 2.36607, 2.56655, 2.7231, 2.84432");
-            values ( \
-              "0.0230058, 0.0250109, 0.0436138, 0.0509159, 0.0575096, 0.0636714, 0.0653841, 0.0663597, 0.0664744, 0.0634669, 0.0628881, 0.0614002, 0.0587074, 0.0512336, 0.0505636, 0.0506087, 0.0479307, 0.0245764, 0.0162593, 0.00991871, 0.00670103, 0.00512567, 0.00248277, 0.00140359, 0.000928643" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00756524, 0.00757553, 0.00758687, 0.00759775, 0.00760688, 0.00761368", \
-            "0.00917691, 0.00918729, 0.00919962, 0.00921224, 0.0092234, 0.00923205", \
-            "0.0101178, 0.0101262, 0.0101372, 0.0101496, 0.0101616, 0.0101715", \
-            "0.0106549, 0.0106597, 0.0106666, 0.0106755, 0.0106851, 0.010694", \
-            "0.0109743, 0.0109726, 0.0109714, 0.0109712, 0.0109727, 0.0109756", \
-            "0.0111806, 0.0111681, 0.0111513, 0.0111321, 0.0111131, 0.0110974" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0104561, 0.0104652, 0.0104767, 0.0104889, 0.0104999, 0.0105086", \
-            "0.0107676, 0.0107746, 0.0107844, 0.010796, 0.0108073, 0.0108167", \
-            "0.0104125, 0.0103985, 0.0103826, 0.010367, 0.0103536, 0.0103435", \
-            "0.0101749, 0.0101279, 0.0100752, 0.0100121, 0.00995593, 0.0099086", \
-            "0.0104218, 0.010308, 0.0101676, 0.0100156, 0.00987088, 0.00975444", \
-            "0.0105441, 0.0105045, 0.0105329, 0.0104809, 0.0102569, 0.00999596" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.027564, 0.0317904, 0.0339639, 0.0361476, 0.0397806, 0.043418, 0.0506829, 0.0579308, 0.07246, 0.072597, 0.076708, 0.081093, 0.0875077, 0.100337, 0.102412, 0.106563, 0.114865, 0.121498, 0.133309, 0.153774, 0.159756, 0.166136, 0.185924, 0.190696, 0.199894, 0.209153, 0.217833, 0.230044, 0.238372, 0.246763, 0.253719, 0.2658, 0.273071, 0.276209, 0.289419, 0.301156, 0.310216, 0.320116, 0.331215, 0.347067, 0.40764, 0.450448, 0.556444, 0.613189");
-            values ( \
-              "-0.00957264, -0.027247, -0.0263884, -0.0271708, -0.0263569, -0.0271847, -0.0264196, -0.0271393, -0.0259304, -0.0263118, -0.0261121, -0.0259893, -0.0259048, -0.0263826, -0.026587, -0.0266716, -0.0261689, -0.0249527, -0.022027, -0.0153659, -0.0136187, -0.0118782, -0.00701366, -0.00611366, -0.00560697, -0.00523566, -0.00479135, -0.00405376, -0.00343663, -0.00295609, -0.00245687, -0.00170511, -0.00137998, -0.00112542, -0.000470639, -0.000322882, -0.00027222, -0.00033965, -0.000326019, -0.000382908, -0.000298764, -0.000168132, -3.16827e-05, -3.99267e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0287826, 0.0334598, 0.0354073, 0.0374714, 0.0415997, 0.045796, 0.0541204, 0.0624429, 0.0791317, 0.0793116, 0.0847089, 0.0964722, 0.108485, 0.113654, 0.122169, 0.130719, 0.149503, 0.172587, 0.19473, 0.226528, 0.241852, 0.250516, 0.260509, 0.261504, 0.286287, 0.323653, 0.349679, 0.500412, 0.612972");
-            values ( \
-              "-0.0330757, -0.0414189, -0.0401775, -0.0413271, -0.0401359, -0.0413101, -0.040145, -0.0411429, -0.0393193, -0.0398674, -0.0395384, -0.0392025, -0.0395728, -0.0400491, -0.0399987, -0.0388436, -0.0334766, -0.0237132, -0.0158939, -0.00779836, -0.00649021, -0.00615037, -0.00534594, -0.00547419, -0.00340356, -0.00141304, -0.000835908, -0.000225818, -3.16051e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0277578, 0.0335966, 0.0396908, 0.0485488, 0.0498598, 0.0524818, 0.0577257, 0.0682136, 0.0702577, 0.0743459, 0.0825223, 0.0909277, 0.107738, 0.111984, 0.120474, 0.133186, 0.154301, 0.154812, 0.165023, 0.175916, 0.208526, 0.229598, 0.254435, 0.284894, 0.294865, 0.308701, 0.321309, 0.365697, 0.381457, 0.415555, 0.449794, 0.538839, 0.57191");
-            values ( \
-              "-0.0235345, -0.0574525, -0.058937, -0.0572567, -0.0588361, -0.0572458, -0.0587661, -0.056976, -0.058443, -0.0567328, -0.0578862, -0.0558108, -0.0564191, -0.054843, -0.056403, -0.0555097, -0.0555088, -0.0545114, -0.0515444, -0.0476639, -0.0327106, -0.0243379, -0.0168511, -0.0094863, -0.00798237, -0.00646429, -0.00558443, -0.00391683, -0.00301639, -0.0018996, -0.00137377, -0.000581389, -0.000402417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0277687, 0.0352503, 0.0365655, 0.039196, 0.0432655, 0.0499525, 0.0566522, 0.0700514, 0.0835787, 0.110556, 0.110879, 0.120551, 0.138708, 0.152763, 0.166916, 0.195004, 0.207503, 0.220406, 0.273998, 0.290141, 0.30509, 0.324675, 0.352958, 0.362135, 0.370037, 0.380662, 0.395111, 0.416031, 0.44361, 0.482744, 0.507722, 0.564907, 0.624349, 0.670233, 0.726978, 0.840469");
-            values ( \
-              "-0.0348532, -0.0753578, -0.0788359, -0.075299, -0.0787088, -0.0751535, -0.0785088, -0.0748295, -0.0778295, -0.0730271, -0.0746802, -0.0740433, -0.0732009, -0.0730725, -0.0734329, -0.0709992, -0.0676278, -0.0632572, -0.0403442, -0.0343966, -0.0294394, -0.0237666, -0.0164267, -0.01432, -0.0125118, -0.0107146, -0.00878279, -0.00741866, -0.00643918, -0.00469679, -0.0037101, -0.00224245, -0.00127594, -0.000843014, -0.000415155, -9.70915e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0304214, 0.0336393, 0.0874001, 0.185104, 0.225368, 0.265727, 0.292667, 0.378468, 0.458594, 0.509751, 0.589443, 0.679218, 0.784759, 0.871496");
-            values ( \
-              "-0.0940591, -0.0949808, -0.0941323, -0.0895731, -0.0889524, -0.0854463, -0.0790795, -0.04975, -0.0265155, -0.0181037, -0.00975901, -0.00524027, -0.00261846, -0.00163802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0307462, 0.0422463, 0.0507623, 0.0594348, 0.0737902, 0.0882056, 0.146276, 0.203021, 0.326751, 0.354305, 0.391405, 0.43509, 0.633984, 0.728105, 0.872686, 0.971851, 1.12021, 1.24435");
-            values ( \
-              "-0.107881, -0.109476, -0.108759, -0.109176, -0.108304, -0.10867, -0.107387, -0.104888, -0.101249, -0.0999999, -0.0961258, -0.0880996, -0.0396427, -0.0262941, -0.0121357, -0.0069692, -0.00345867, -0.00219161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.041548, 0.0456777, 0.050001, 0.0514816, 0.0543649, 0.0572559, 0.063038, 0.0717665, 0.0906844, 0.0952172, 0.101348, 0.11361, 0.116259, 0.121557, 0.130962, 0.147195, 0.168063, 0.180175, 0.204584, 0.205873, 0.20845, 0.23106, 0.238921, 0.243783, 0.253262, 0.261611, 0.26877, 0.274742, 0.280304, 0.287218, 0.289775, 0.29489, 0.301263, 0.322741, 0.332476, 0.342879, 0.357622, 0.379623, 0.411789, 0.453071, 0.497302");
-            values ( \
-              "-0.0106533, -0.0270853, -0.0268376, -0.0268638, -0.0267659, -0.0268413, -0.0267795, -0.0267917, -0.0261112, -0.0259771, -0.025911, -0.0263484, -0.026605, -0.0266569, -0.0258466, -0.0221129, -0.01528, -0.0118682, -0.0060767, -0.00611882, -0.0058362, -0.00488323, -0.00430497, -0.00412387, -0.00331824, -0.00296177, -0.00233718, -0.00211902, -0.00161693, -0.0014228, -0.00109139, -0.000942843, -0.000481744, -0.000205284, -0.000372663, -0.000262877, -0.000423113, -0.000288234, -0.000368023, -0.00014531, -0.000186681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0415624, 0.0464281, 0.0513851, 0.0538977, 0.0589231, 0.0597026, 0.0612616, 0.0643796, 0.0703627, 0.0763534, 0.0932178, 0.101701, 0.110401, 0.12756, 0.144719, 0.161902, 0.16352, 0.183631, 0.194796, 0.206452, 0.23502, 0.24357, 0.25085, 0.276788, 0.305223, 0.338757, 0.349934, 0.372646, 0.552161, 0.665652");
-            values ( \
-              "-0.0146869, -0.0411241, -0.0408012, -0.0408309, -0.0406944, -0.0407929, -0.0406825, -0.0407882, -0.0406302, -0.040617, -0.0398555, -0.0393671, -0.0392321, -0.0397868, -0.0391627, -0.0335022, -0.0335759, -0.0248703, -0.0205492, -0.0166962, -0.00885673, -0.00745782, -0.0068728, -0.00531787, -0.00312379, -0.00140857, -0.00102886, -0.000790839, -0.000138362, -1.20235e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0416358, 0.0476099, 0.061759, 0.0761102, 0.100458, 0.126006, 0.147178, 0.168479, 0.191603, 0.22636, 0.256756, 0.303224, 0.325356, 0.348803, 0.411145, 0.446519, 0.548256");
-            values ( \
-              "-0.0204902, -0.058649, -0.0580084, -0.0579664, -0.0569782, -0.0554767, -0.0561446, -0.0547675, -0.0469676, -0.0310725, -0.0201073, -0.00872102, -0.00629707, -0.00497377, -0.00239323, -0.00162519, -0.000617944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0439386, 0.0493632, 0.0976797, 0.15281, 0.166864, 0.181017, 0.209106, 0.234504, 0.28875, 0.320427, 0.373601, 0.400472, 0.416779, 0.437518, 0.519944, 0.569546, 0.667727, 0.692073");
-            values ( \
-              "-0.0743259, -0.0774853, -0.0761859, -0.0732184, -0.0730549, -0.0734502, -0.0709819, -0.063259, -0.0400897, -0.0290647, -0.0149579, -0.00976857, -0.00818687, -0.00709074, -0.00378185, -0.00244804, -0.00099258, -0.000869398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0443072, 0.0503069, 0.0518598, 0.0549655, 0.0611769, 0.0632195, 0.0673047, 0.0747523, 0.0822187, 0.0971514, 0.120499, 0.158846, 0.174318, 0.198948, 0.218962, 0.239207, 0.279565, 0.2926, 0.306504, 0.3923, 0.471389, 0.48939, 0.508807, 0.532031, 0.569389, 0.582516, 0.608769, 0.663139, 0.717719, 0.786143, 0.832575, 0.944226, 1.11446");
-            values ( \
-              "-0.0950551, -0.0951396, -0.0948947, -0.0949221, -0.0945623, -0.0946837, -0.0944192, -0.0944456, -0.0941392, -0.0940045, -0.0931696, -0.0916008, -0.0906684, -0.0895737, -0.0890463, -0.0889525, -0.0854464, -0.082719, -0.0790802, -0.0497631, -0.0267796, -0.0230613, -0.0200172, -0.0170228, -0.0127184, -0.011496, -0.00934743, -0.00637628, -0.00449309, -0.00287077, -0.00206686, -0.000882709, -0.000226136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0447062, 0.0504365, 0.16019, 0.279328, 0.340666, 0.368219, 0.40532, 0.449005, 0.649672, 0.776038, 0.896345, 0.992657, 1.07758, 1.19107, 1.28764");
-            values ( \
-              "-0.108874, -0.109513, -0.10718, -0.102801, -0.101249, -0.0999993, -0.0961259, -0.0881028, -0.0392772, -0.0223447, -0.0114206, -0.00671347, -0.00446388, -0.00261447, -0.00185638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0706955, 0.0761087, 0.0845367, 0.0874129, 0.0886054, 0.0896309, 0.0936264, 0.104006, 0.133386, 0.152123, 0.159429, 0.163169, 0.170628, 0.179343, 0.202628, 0.234986, 0.238172, 0.244544, 0.253599, 0.26292, 0.268828, 0.307312, 0.33267, 0.343498, 0.352535, 0.384838, 0.430344, 0.565234, 0.678725");
-            values ( \
-              "-0.00878686, -0.019981, -0.0232285, -0.0238838, -0.0278712, -0.027527, -0.0270793, -0.0268104, -0.0258234, -0.0266916, -0.0263388, -0.0258187, -0.0242254, -0.0220422, -0.0144998, -0.00613511, -0.006195, -0.00554529, -0.00540684, -0.00477057, -0.00455852, -0.00201883, -0.000577207, -0.000327774, -0.000264085, -0.00035727, -0.000336522, -7.41128e-05, -1.10277e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0686046, 0.0770648, 0.0832928, 0.0873628, 0.089198, 0.0956455, 0.112392, 0.13869, 0.150525, 0.159822, 0.17698, 0.195622, 0.234269, 0.260413, 0.275066, 0.307536, 0.336693, 0.367804, 0.386332, 0.527427");
-            values ( \
-              "-0.0013995, -0.0306962, -0.0346215, -0.0359677, -0.0417041, -0.0410366, -0.0404799, -0.039207, -0.0393567, -0.0400392, -0.0389806, -0.0333864, -0.0180261, -0.0106136, -0.00746768, -0.00542216, -0.00319184, -0.00153116, -0.00096907, -0.000351655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.06867, 0.0785627, 0.0873538, 0.0885662, 0.0904237, 0.0961946, 0.116566, 0.149839, 0.158319, 0.175277, 0.179513, 0.187985, 0.200673, 0.223954, 0.259916, 0.286269, 0.32643, 0.337667, 0.351659, 0.365639, 0.387267, 0.403087, 0.44162, 0.476284, 0.57759, 0.691081, 0.747826");
-            values ( \
-              "-0.0027481, -0.0450924, -0.0511815, -0.0587522, -0.059126, -0.0583791, -0.0577016, -0.0558602, -0.0555968, -0.0558985, -0.0563478, -0.0562501, -0.0545189, -0.0470137, -0.0305257, -0.0209277, -0.0105789, -0.0083861, -0.00683537, -0.00568273, -0.0047054, -0.00426452, -0.00241547, -0.00161834, -0.000576043, -0.000115514, -0.000121472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0734189, 0.080736, 0.0873431, 0.0897802, 0.0943953, 0.1031, 0.124027, 0.141899, 0.184718, 0.19908, 0.213357, 0.241434, 0.253984, 0.266939, 0.322184, 0.351501, 0.370262, 0.413148, 0.43207, 0.446419, 0.464545, 0.546068, 0.569079, 0.615198, 0.679855, 0.72626, 0.783005, 0.896496");
-            values ( \
-              "-0.05031, -0.0623145, -0.0676209, -0.0777611, -0.077295, -0.0768919, -0.0763658, -0.075601, -0.0731067, -0.0730992, -0.0734129, -0.0710166, -0.0676138, -0.0632192, -0.0396586, -0.0294291, -0.0240062, -0.0132439, -0.00985282, -0.00839707, -0.00732877, -0.00400726, -0.00326818, -0.00218622, -0.00118761, -0.000751662, -0.000393136, -0.000104943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0734883, 0.0839692, 0.0873594, 0.0892478, 0.091573, 0.093701, 0.153061, 0.231508, 0.251523, 0.271769, 0.312128, 0.325162, 0.339065, 0.358003, 0.424859, 0.494527, 0.506379, 0.530493, 0.551561, 0.598726, 0.62642, 0.658071, 0.685221, 0.717407, 0.767736, 0.845097, 0.892815, 0.949561, 1.00631, 1.17654");
-            values ( \
-              "-0.0569154, -0.0801239, -0.0828949, -0.0938795, -0.095443, -0.0948857, -0.0932315, -0.0895736, -0.0890461, -0.0889525, -0.0854465, -0.0827194, -0.079081, -0.0729974, -0.0497674, -0.0292776, -0.0262135, -0.0216008, -0.0186408, -0.0130891, -0.0104896, -0.00830569, -0.00688883, -0.00552977, -0.00400166, -0.00238498, -0.00169843, -0.00109882, -0.000703125, -0.000175154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0796674, 0.13482, 0.156388, 0.181547, 0.192829, 0.215393, 0.243558, 0.251763, 0.268174, 0.289849, 0.312003, 0.342303, 0.373347, 0.417601, 0.681304, 0.704247, 0.926957, 0.967217, 1.01719, 1.05356, 1.11031, 1.16705, 1.2238, 1.28054, 1.2854");
-            values ( \
-              "-0.110999, -0.115739, -0.100603, -0.114746, -0.0997192, -0.113745, -0.0980318, -0.112403, -0.0970984, -0.110923, -0.0954943, -0.109236, -0.0938812, -0.105948, -0.0323534, -0.0428393, -0.00450178, -0.0162444, -1e-22, -0.0129663, -1e-22, -0.0105311, -1e-22, -0.00908062, -0.0083031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.128458, 0.13777, 0.166977, 0.19508, 0.21024, 0.210807, 0.211941, 0.214209, 0.220022, 0.22561, 0.23296, 0.24032, 0.252545, 0.256555, 0.264575, 0.275313, 0.277419, 0.281633, 0.290059, 0.298573, 0.309348, 0.313979, 0.315231, 0.317735, 0.322744, 0.331637, 0.339855, 0.345875, 0.353519, 0.362595, 0.371207, 0.378162, 0.384068, 0.389608, 0.396371, 0.399004, 0.40427, 0.410577, 0.422774, 0.431635, 0.441156, 0.451317, 0.455368");
-            values ( \
-              "-0.00493725, -0.011789, -0.0199222, -0.0239823, -0.0246693, -0.028374, -0.0241667, -0.0280891, -0.0283489, -0.0245733, -0.0287072, -0.0237567, -0.0250696, -0.0200434, -0.0214511, -0.0138995, -0.0173402, -0.0119347, -0.0137913, -0.00756997, -0.00905841, -0.00403124, -0.00817819, -0.00379539, -0.00773092, -0.00317391, -0.00696587, -0.00240417, -0.00614423, -0.00127742, -0.00498956, -0.000294049, -0.00416006, -1e-22, -0.00346577, -1e-22, -0.00296406, -1e-22, -0.00239469, -1e-22, -0.00239592, -1e-22, -0.000694006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.128555, 0.139585, 0.162628, 0.184, 0.195753, 0.21034, 0.214185, 0.22265, 0.241358, 0.247848, 0.254471, 0.273205, 0.297073, 0.3203, 0.347505, 0.362084, 0.384895, 0.419704, 0.457685, 0.56538, 0.617812");
-            values ( \
-              "-0.00597313, -0.0186469, -0.0286263, -0.0347933, -0.0366453, -0.0377219, -0.0397296, -0.0393338, -0.0401541, -0.0398762, -0.0388311, -0.0334754, -0.0234326, -0.0153893, -0.00829279, -0.00672706, -0.00550514, -0.00281353, -0.00103493, -0.000429007, -0.000322163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.133982, 0.153345, 0.168451, 0.193167, 0.193449, 0.199086, 0.205099, 0.210272, 0.212834, 0.215112, 0.218649, 0.2309, 0.236143, 0.246628, 0.257317, 0.278409, 0.278976, 0.284268, 0.290317, 0.301716, 0.324513, 0.337392, 0.34573, 0.358508, 0.374543, 0.379217, 0.380029, 0.381653, 0.400172, 0.412367, 0.421437, 0.434414, 0.436982, 0.442118, 0.452389, 0.464818, 0.480917, 0.49576, 0.509535, 0.519343, 0.538959, 0.553931, 0.590636, 0.653607, 0.708936, 0.765681, 0.822427");
-            values ( \
-              "-0.0263407, -0.0347227, -0.0434964, -0.051727, -0.0518809, -0.0528794, -0.0537072, -0.0542175, -0.0571367, -0.0569433, -0.0565081, -0.0557972, -0.055661, -0.055704, -0.0563341, -0.0547093, -0.054503, -0.0530933, -0.0511712, -0.0469827, -0.0363873, -0.0306904, -0.0272986, -0.0227192, -0.0179817, -0.0166944, -0.0165469, -0.0160935, -0.011501, -0.00887611, -0.00781114, -0.00639122, -0.00623144, -0.00580132, -0.00527144, -0.00474413, -0.00423481, -0.00355021, -0.00285225, -0.00244439, -0.00193808, -0.00164645, -0.00117589, -0.000614382, -0.000350103, -0.00014857, -9.82631e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.133984, 0.153797, 0.169948, 0.17749, 0.192574, 0.210271, 0.212707, 0.216531, 0.219421, 0.2252, 0.24528, 0.26311, 0.291084, 0.293633, 0.301788, 0.310568, 0.319632, 0.334035, 0.350723, 0.390222, 0.405795, 0.422013, 0.45138, 0.48628, 0.502664, 0.51236, 0.52704, 0.546975, 0.574334, 0.615821, 0.658005, 0.70706, 0.777258, 0.889172, 0.945917");
-            values ( \
-              "-0.0306094, -0.0463916, -0.0580278, -0.0621115, -0.0684959, -0.072447, -0.0761021, -0.076089, -0.0756633, -0.0754075, -0.0740543, -0.0731655, -0.0731684, -0.0733992, -0.0731788, -0.0723068, -0.0706692, -0.0667995, -0.060901, -0.043631, -0.0375003, -0.031831, -0.0231965, -0.0143535, -0.0110031, -0.00959987, -0.00814337, -0.0071435, -0.00612539, -0.00428738, -0.00295002, -0.00191487, -0.000964813, -0.000276055, -0.000171358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.141333, 0.168166, 0.191587, 0.21019, 0.216007, 0.223647, 0.231292, 0.244297, 0.256781, 0.27015, 0.296888, 0.329805, 0.350009, 0.390099, 0.407001, 0.420706, 0.43625, 0.503041, 0.577826, 0.597645, 0.614417, 0.636166, 0.681128, 0.716218, 0.747295, 0.771638, 0.813887, 0.86707, 0.907516, 0.954175, 1.0099, 1.06664, 1.12339, 1.23688");
-            values ( \
-              "-0.0641297, -0.0697138, -0.083542, -0.0894579, -0.0937654, -0.093716, -0.0931882, -0.0928333, -0.0920622, -0.0915255, -0.0899931, -0.0889888, -0.0890024, -0.0854456, -0.0818592, -0.0780519, -0.0730017, -0.0497903, -0.0279016, -0.0235052, -0.0207427, -0.0178269, -0.0126294, -0.00956357, -0.00773007, -0.00646951, -0.00491438, -0.00348575, -0.00267222, -0.00190979, -0.00126998, -0.000803783, -0.000517595, -0.000205976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.147079, 0.181598, 0.186159, 0.190719, 0.19528, 0.19984, 0.205214, 0.210588, 0.21341, 0.216231, 0.219053, 0.224355, 0.257201, 0.277051, 0.313233, 0.390471, 0.413299, 0.442295, 0.456618, 0.466166, 0.476311, 0.487051, 0.498034, 0.514871, 0.530386, 0.5459, 0.56375, 0.584317, 0.639529, 0.689295, 0.703269, 0.723512, 0.735227, 0.748049, 0.757724, 0.773928, 0.795501, 0.832298, 0.864996, 0.886239, 0.915514, 0.95964, 0.97967, 0.994879, 1.01194, 1.02047, 1.03941, 1.05834, 1.08612, 1.12944");
-            values ( \
-              "-0.0903127, -0.0909128, -0.0935996, -0.0958442, -0.0976468, -0.0990072, -0.102904, -0.107489, -0.108842, -0.108436, -0.108205, -0.108245, -0.107456, -0.106898, -0.105695, -0.10279, -0.102119, -0.101438, -0.100993, -0.10056, -0.0999581, -0.0991359, -0.0980781, -0.0959725, -0.0934672, -0.0906573, -0.0870054, -0.0824016, -0.0685097, -0.0565908, -0.0529644, -0.0472179, -0.0442227, -0.0414963, -0.0397276, -0.0370529, -0.0338475, -0.0287387, -0.0247417, -0.0224183, -0.0194141, -0.0151905, -0.0134315, -0.0122154, -0.0109904, -0.0104306, -0.0094126, -0.00849767, -0.00738322, -0.00592298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.259885, 0.286188, 0.304237, 0.311832, 0.33727, 0.36185, 0.3834, 0.407495, 0.417131, 0.420419, 0.426994, 0.437319, 0.44965, 0.467497, 0.496093, 0.502369, 0.503522, 0.505715, 0.510101, 0.514189, 0.524717, 0.549582, 0.563106, 0.584103, 0.592059, 0.602666, 0.611068, 0.644702, 0.66458, 0.695795, 0.735159, 0.830919, 0.887664");
-            values ( \
-              "-0.00680033, -0.00799548, -0.010542, -0.0115379, -0.0145813, -0.0170909, -0.0189938, -0.0219567, -0.0220723, -0.02196, -0.0212572, -0.0198653, -0.0170163, -0.0126873, -0.00637394, -0.00622704, -0.00607874, -0.00596304, -0.00628921, -0.00591752, -0.00525265, -0.00337668, -0.00242001, -0.00107659, -0.000668535, -0.00042056, -0.000364873, -0.000398234, -0.00037772, -0.000333132, -0.000227777, -6.89403e-05, -4.08951e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.259788, 0.282738, 0.329147, 0.345463, 0.372081, 0.395517, 0.416695, 0.43659, 0.455722, 0.457409, 0.489332, 0.5018, 0.505617, 0.510301, 0.532125, 0.539521, 0.546918, 0.570942, 0.591036, 0.630378, 0.64362, 0.665483, 0.74531, 0.841208, 0.954699");
-            values ( \
-              "-0.00988747, -0.0115236, -0.0206624, -0.023471, -0.0274937, -0.0303348, -0.0336814, -0.0345792, -0.0307468, -0.0306835, -0.0199927, -0.0162913, -0.0151963, -0.0148213, -0.00888722, -0.00785706, -0.00720631, -0.00559063, -0.00377424, -0.00149878, -0.00109068, -0.00085077, -0.000481298, -0.00015457, -2.23389e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.259871, 0.277065, 0.294506, 0.312536, 0.340346, 0.358771, 0.388764, 0.415406, 0.439903, 0.463301, 0.48664, 0.487893, 0.505699, 0.507754, 0.525196, 0.539457, 0.557013, 0.595227, 0.604207, 0.616432, 0.630545, 0.672414, 0.698751, 0.706492, 0.736662, 0.773695, 0.834555, 0.882597");
-            values ( \
-              "-0.0122898, -0.0152182, -0.0195193, -0.0248584, -0.0320878, -0.0364039, -0.042412, -0.0462435, -0.0502114, -0.0509066, -0.045057, -0.0450087, -0.0378779, -0.0381756, -0.0302928, -0.0247826, -0.0192352, -0.00961333, -0.00825998, -0.00683032, -0.0057283, -0.00406835, -0.00272897, -0.00242384, -0.00172608, -0.00122346, -0.000655059, -0.000443302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.268324, 0.296838, 0.325072, 0.357544, 0.379245, 0.414815, 0.447008, 0.477332, 0.505681, 0.506918, 0.50939, 0.521434, 0.529213, 0.537947, 0.584319, 0.598134, 0.614343, 0.632062, 0.669796, 0.677684, 0.685847, 0.696887, 0.71103, 0.732067, 0.756109, 0.794512, 0.819156, 0.849333, 0.872703, 0.928974, 0.971384, 1.02813, 1.14162");
-            values ( \
-              "-0.0248944, -0.0267279, -0.0371139, -0.0476599, -0.0539407, -0.0618558, -0.0660285, -0.0696095, -0.0697615, -0.0708398, -0.0703542, -0.0669029, -0.06423, -0.0607816, -0.0408234, -0.0356445, -0.0301755, -0.0249151, -0.0152253, -0.0133318, -0.0117465, -0.00989721, -0.00845906, -0.00723989, -0.00636517, -0.00466018, -0.00372112, -0.00287386, -0.00233069, -0.00137967, -0.000926189, -0.000481349, -0.000124094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.268106, 0.292566, 0.356513, 0.385814, 0.409955, 0.45501, 0.497026, 0.505466, 0.512359, 0.537516, 0.552125, 0.574079, 0.587146, 0.604125, 0.623546, 0.690434, 0.768221, 0.794463, 0.815298, 0.867972, 0.898587, 0.955217, 1.00073, 1.06036, 1.148, 1.20474, 1.31823, 1.43172");
-            values ( \
-              "-0.0275228, -0.0312145, -0.0579965, -0.0684309, -0.075444, -0.0838553, -0.0868145, -0.0876266, -0.0891882, -0.0889967, -0.0884119, -0.0859066, -0.083417, -0.0791812, -0.0729663, -0.0497465, -0.0271263, -0.0218566, -0.0188663, -0.0126809, -0.00996819, -0.00667327, -0.00495239, -0.00336816, -0.00183401, -0.0011922, -0.00048048, -0.000187817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.287881, 0.335693, 0.362764, 0.381654, 0.398531, 0.419692, 0.430273, 0.450951, 0.47007, 0.50675, 0.519358, 0.5388, 0.557633, 0.574842, 0.57949, 0.618401, 0.640738, 0.656175, 0.671611, 0.679674, 0.6958, 0.703863, 0.711843, 0.727801, 0.73578, 0.763934, 0.782355, 0.832816, 0.87804, 0.89191, 0.914904, 0.928138, 0.935975, 0.950932, 0.966002, 0.982646, 1.02173, 1.04115, 1.05855, 1.07595, 1.11169, 1.13589, 1.16048, 1.182, 1.20352, 1.23198, 1.24147, 1.26985, 1.29822, 1.3171");
-            values ( \
-              "-0.0562476, -0.0566066, -0.0694682, -0.0774384, -0.083731, -0.0904211, -0.0932788, -0.0962188, -0.0991776, -0.1055, -0.105077, -0.104238, -0.103611, -0.102825, -0.102776, -0.101756, -0.101061, -0.10029, -0.09928, -0.0985698, -0.0968451, -0.0958305, -0.0946325, -0.0919493, -0.0904642, -0.0843539, -0.0799883, -0.0674676, -0.0566731, -0.0530625, -0.0465807, -0.0433815, -0.04173, -0.0390117, -0.0365383, -0.0340227, -0.0286661, -0.0262407, -0.0242567, -0.0223514, -0.0187214, -0.0163305, -0.0140525, -0.0123042, -0.0107847, -0.00921712, -0.00875016, -0.00756783, -0.00653775, -0.00593655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.544811, 0.593082, 0.620682, 0.634011, 0.685174, 0.724302, 0.757839, 0.801778, 0.813448, 0.82643, 0.839641, 0.861575, 0.88431, 0.893362, 0.901781, 0.913174, 0.927541, 0.944515, 0.9859, 0.993182, 1.00305, 1.02161, 1.03087, 1.04131, 1.05954, 1.08902, 1.17005, 1.21588, 1.23751, 1.26626, 1.34867");
-            values ( \
-              "-0.00351987, -0.00403316, -0.00538085, -0.00619015, -0.0090067, -0.0108608, -0.0123606, -0.0150321, -0.0149301, -0.0150955, -0.0142675, -0.0122148, -0.00902056, -0.00740027, -0.0067261, -0.00637718, -0.00574618, -0.00459969, -0.00155042, -0.00116903, -0.000831645, -0.000662899, -0.00066238, -0.000601796, -0.000575515, -0.000442745, -0.000182466, -0.000124497, -0.000220675, -0.000103043, -1.97166e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.544826, 0.588111, 0.602858, 0.618926, 0.680016, 0.732811, 0.739616, 0.753225, 0.775554, 0.814521, 0.822316, 0.835609, 0.849629, 0.863881, 0.887424, 0.895609, 0.926054, 0.939089, 0.947618, 0.97126, 1.00686, 1.01765, 1.0295, 1.03897, 1.05004, 1.07135, 1.08272, 1.09809, 1.11951, 1.14981, 1.21588, 1.23293, 1.2546, 1.27402, 1.31287, 1.36962");
-            values ( \
-              "-0.00531123, -0.00598382, -0.00685421, -0.00804151, -0.0132544, -0.0172026, -0.0175636, -0.0185669, -0.0200341, -0.0236149, -0.0240709, -0.0242837, -0.0241881, -0.0232342, -0.0195155, -0.0180418, -0.0124347, -0.0096182, -0.00864312, -0.00715281, -0.00415892, -0.00353378, -0.00269013, -0.00216015, -0.00169671, -0.0013097, -0.00123152, -0.00104595, -0.000910528, -0.000640698, -0.000289969, -0.000486676, -0.000308574, -0.000237386, -0.000118763, -5.52601e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.544891, 0.583118, 0.606108, 0.717723, 0.764377, 0.804461, 0.839783, 0.871978, 0.904054, 0.919999, 1.00968, 1.0292, 1.04291, 1.06541, 1.08131, 1.12673, 1.15431, 1.18543, 1.21186, 1.21594, 1.2241, 1.24533, 1.28856");
-            values ( \
-              "-0.0073082, -0.00823342, -0.0102431, -0.0229701, -0.027679, -0.0313261, -0.0355617, -0.0378295, -0.0354988, -0.0323313, -0.0118809, -0.00874398, -0.00736214, -0.00606073, -0.00545211, -0.00298553, -0.00220298, -0.0016111, -0.00130004, -0.00116223, -0.00150225, -0.00110734, -0.000644896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.54494, 0.586818, 0.608312, 0.7488, 0.802734, 0.849204, 0.890495, 0.903428, 0.928485, 0.947344, 0.967188, 1.04368, 1.09666, 1.12413, 1.13896, 1.15815, 1.21598, 1.22423, 1.25108, 1.28758, 1.34342, 1.43041, 1.48715, 1.60065");
-            values ( \
-              "-0.00800421, -0.0116759, -0.0141006, -0.0347303, -0.0416096, -0.0470326, -0.0527605, -0.054211, -0.0549624, -0.05367, -0.0504356, -0.0302795, -0.0174163, -0.0118865, -0.0100237, -0.00864048, -0.00576003, -0.005891, -0.00453489, -0.00323518, -0.00190046, -0.00076456, -0.000414719, -0.000119496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.571599, 0.639953, 0.712269, 0.765904, 0.851877, 0.91664, 0.967722, 1.00404, 1.0161, 1.04005, 1.06646, 1.09244, 1.21585, 1.22274, 1.24032, 1.25849, 1.31124, 1.33055, 1.35629, 1.38275, 1.40782, 1.46636, 1.49756, 1.53884, 1.58712, 1.64386, 1.70061, 1.75735, 1.87085");
-            values ( \
-              "-0.0196443, -0.0229252, -0.0361718, -0.0454854, -0.0588567, -0.0672059, -0.0732497, -0.0751375, -0.0744088, -0.0718616, -0.0664228, -0.0599497, -0.0269282, -0.0262504, -0.022874, -0.0201225, -0.0135345, -0.0115704, -0.00946232, -0.00785121, -0.0065639, -0.00449342, -0.00365637, -0.00277, -0.00195793, -0.00127981, -0.00081446, -0.000517246, -0.000203712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.571346, 0.624821, 0.808244, 0.862185, 0.910225, 0.944171, 1.01701, 1.08512, 1.14186, 1.15183, 1.17176, 1.22267, 1.33171, 1.36807, 1.40501, 1.45178, 1.5253, 1.58516, 1.65171, 1.69008, 1.74682, 1.80511, 1.91713, 2.03062, 2.14411, 2.37109");
-            values ( \
-              "-0.0228364, -0.0239662, -0.0602572, -0.069916, -0.0774287, -0.0821286, -0.0896, -0.0938972, -0.093634, -0.0929418, -0.0908073, -0.0824349, -0.0556037, -0.0457028, -0.0383666, -0.0314306, -0.0224025, -0.0164068, -0.0110189, -0.00887897, -0.00656509, -0.00496747, -0.00293599, -0.00169115, -0.000949468, -0.000285279" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00694502, 0.00695279, 0.00696172, 0.00697059, 0.00697826, 0.00698415", \
-            "0.00783705, 0.00784243, 0.00784935, 0.00785679, 0.00786364, 0.00786917", \
-            "0.00832394, 0.00832703, 0.00833134, 0.00833655, 0.00834191, 0.0083466", \
-            "0.00860556, 0.00860669, 0.00860847, 0.008611, 0.00861412, 0.00861731", \
-            "0.008765, 0.00876531, 0.00876581, 0.00876653, 0.00876767, 0.00876916", \
-            "0.00886534, 0.00886567, 0.00886613, 0.0088667, 0.00886734, 0.00886806" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00905747, 0.00906562, 0.00907553, 0.00908596, 0.0090954, 0.00910305", \
-            "0.00988448, 0.00989124, 0.00989995, 0.00990942, 0.00991821, 0.00992525", \
-            "0.0104207, 0.0104279, 0.0104368, 0.0104461, 0.0104548, 0.0104617", \
-            "0.0108175, 0.0108105, 0.0108027, 0.0107952, 0.0107892, 0.0107849", \
-            "0.011236, 0.0111865, 0.0111255, 0.011062, 0.0110033, 0.010957", \
-            "0.0118402, 0.0117476, 0.0116041, 0.0114291, 0.0112595, 0.0111211" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.160567, 0.179744, 0.213024, 0.270742, 0.370783, 0.544537", \
-            "0.165121, 0.184421, 0.217844, 0.275679, 0.375859, 0.549746", \
-            "0.176691, 0.196053, 0.229631, 0.287719, 0.38811, 0.562206", \
-            "0.20225, 0.221643, 0.25531, 0.313474, 0.414199, 0.588578", \
-            "0.261755, 0.281206, 0.314625, 0.372717, 0.473535, 0.648321", \
-            "0.374239, 0.399664, 0.441508, 0.509281, 0.616637, 0.791434" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.182088, 0.209833, 0.256034, 0.334905, 0.473236, 0.716264", \
-            "0.182089, 0.209834, 0.256035, 0.334908, 0.473247, 0.716267", \
-            "0.18208, 0.209854, 0.256017, 0.334901, 0.473248, 0.716261", \
-            "0.181932, 0.2097, 0.255935, 0.334887, 0.473231, 0.716273", \
-            "0.193905, 0.219377, 0.262302, 0.337282, 0.473129, 0.716265", \
-            "0.253405, 0.277336, 0.316434, 0.380561, 0.502146, 0.728104" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0947756, 0.106064, 0.125561, 0.159249, 0.217563, 0.318781", \
-            "0.0979958, 0.109322, 0.128868, 0.162602, 0.22096, 0.322211", \
-            "0.104741, 0.116154, 0.135815, 0.169648, 0.228116, 0.329436", \
-            "0.120497, 0.132212, 0.152158, 0.186079, 0.244955, 0.346309", \
-            "0.149769, 0.163882, 0.187001, 0.224473, 0.284813, 0.386802", \
-            "0.179137, 0.199211, 0.231706, 0.282389, 0.359726, 0.477355" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0961574, 0.110804, 0.136668, 0.182194, 0.261808, 0.400282", \
-            "0.0962049, 0.1109, 0.13668, 0.182195, 0.261804, 0.400264", \
-            "0.0961457, 0.110768, 0.136707, 0.182199, 0.261804, 0.400293", \
-            "0.099185, 0.112982, 0.137812, 0.182232, 0.26178, 0.400239", \
-            "0.124992, 0.137562, 0.159204, 0.198039, 0.270496, 0.402839", \
-            "0.184869, 0.199085, 0.223854, 0.264727, 0.332538, 0.447938" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0439461, 0.0592541, 0.0689858, 0.0702364, 0.0727376, 0.07774, 0.0877448, 0.0928879, 0.103174, 0.116423, 0.14016, 0.141116, 0.168172, 0.179655, 0.191356, 0.221618, 0.23922, 0.248253, 0.251116, 0.254097, 0.257285, 0.261615, 0.265663, 0.270193, 0.284537, 0.295491, 0.306801, 0.317215, 0.346819, 0.369114, 0.392016, 0.428672, 0.466327, 0.529793, 0.603168, 0.687394, 0.771621");
-            values ( \
-              "0.00770578, 0.0177241, 0.0176966, 0.0174286, 0.0175734, 0.0171688, 0.0171176, 0.0167124, 0.016696, 0.0160818, 0.0154294, 0.0152561, 0.0134846, 0.0139322, 0.0134771, 0.0105824, 0.00903871, 0.00899587, 0.00906998, 0.00885281, 0.0088534, 0.00863057, 0.00854523, 0.00816748, 0.0073595, 0.00707427, 0.00648609, 0.00604632, 0.00406344, 0.00306576, 0.00233931, 0.00150161, 0.000931511, 0.000397702, 0.000175861, 2.29358e-05, 3.79373e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0440925, 0.0540157, 0.0616092, 0.071786, 0.074373, 0.079547, 0.0898949, 0.100678, 0.122243, 0.127989, 0.156514, 0.177356, 0.187544, 0.20361, 0.220076, 0.236506, 0.246191, 0.251919, 0.258244, 0.258496, 0.258999, 0.260006, 0.262018, 0.266044, 0.269245, 0.280072, 0.289106, 0.294257, 0.302294, 0.31063, 0.322909, 0.334515, 0.347356, 0.359585, 0.393071, 0.407154, 0.421025, 0.437716, 0.442763, 0.452859, 0.473051, 0.503752, 0.521824, 0.527517, 0.538904, 0.561677, 0.607222, 0.689668, 0.700801");
-            values ( \
-              "0.000426897, 0.0269407, 0.0266314, 0.0265832, 0.0261382, 0.0262406, 0.0255044, 0.0254458, 0.0243906, 0.0245472, 0.0231884, 0.0212479, 0.02096, 0.0210585, 0.0202377, 0.0176681, 0.0170629, 0.0159467, 0.0158001, 0.0154034, 0.0157542, 0.0152537, 0.0155066, 0.0147495, 0.0148263, 0.0126355, 0.0118927, 0.0120886, 0.0114539, 0.0118146, 0.011152, 0.0110534, 0.00978262, 0.0093013, 0.00604898, 0.00553118, 0.00439913, 0.00404316, 0.00345886, 0.00347468, 0.00245655, 0.00209014, 0.00136734, 0.00165648, 0.00110034, 0.00119628, 0.000406918, 0.000414677, 0.000359864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.048999, 0.0555247, 0.0636751, 0.064942, 0.0674757, 0.0725431, 0.0808187, 0.0967703, 0.113516, 0.146516, 0.147379, 0.170803, 0.182654, 0.220631, 0.238918, 0.30651, 0.314143, 0.347798, 0.358006, 0.383107, 0.398612, 0.467026, 0.486825, 0.532371, 0.559784, 0.624399, 0.6955, 0.776759, 0.860985, 0.874168");
-            values ( \
-              "0.034663, 0.0378704, 0.0373943, 0.0377828, 0.037232, 0.037476, 0.0365946, 0.0363622, 0.0352479, 0.0345407, 0.0342803, 0.0332725, 0.0325358, 0.0296233, 0.0304887, 0.0230834, 0.0216293, 0.0170853, 0.0164304, 0.0160888, 0.0153451, 0.00925597, 0.00778263, 0.00520641, 0.00409433, 0.00229776, 0.00122452, 0.000548515, 0.0002623, 0.000246676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0490406, 0.0581505, 0.0669212, 0.070766, 0.0784555, 0.0921012, 0.113505, 0.135942, 0.173629, 0.181221, 0.196406, 0.226775, 0.228239, 0.23702, 0.272147, 0.278345, 0.290741, 0.309161, 0.346133, 0.367881, 0.41259, 0.455147, 0.490347, 0.607164, 0.633058, 0.697175, 0.740698, 0.796717, 0.840905, 0.927979, 1.01221, 1.09643, 1.26488");
-            values ( \
-              "0.041745, 0.0487537, 0.0491829, 0.048486, 0.0486982, 0.0475101, 0.0472282, 0.0459387, 0.0452652, 0.0444931, 0.0444755, 0.0424611, 0.0426562, 0.0421303, 0.0396979, 0.0400028, 0.0399659, 0.0392737, 0.0354477, 0.0336267, 0.0271087, 0.0242749, 0.0209068, 0.011701, 0.00989363, 0.00651961, 0.00488279, 0.00336461, 0.00247215, 0.00135251, 0.000767095, 0.000403374, 0.000114343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0488472, 0.062054, 0.0711446, 0.0802717, 0.0954939, 0.111119, 0.142119, 0.174335, 0.225836, 0.239432, 0.266625, 0.30691, 0.377286, 0.380484, 0.409344, 0.469551, 0.535288, 0.567815, 0.610442, 0.716996, 0.853867, 0.945123, 1.00139, 1.13193, 1.29866, 1.46711, 1.46855");
-            values ( \
-              "0.0496705, 0.0590937, 0.0594182, 0.0584614, 0.0584579, 0.0573097, 0.0570719, 0.0556496, 0.0549582, 0.0539967, 0.0537842, 0.0515899, 0.0486807, 0.0491845, 0.0480913, 0.0443981, 0.037171, 0.0359303, 0.0325446, 0.0224265, 0.0134996, 0.00905864, 0.00701568, 0.00380359, 0.00170155, 0.000745546, 0.00074294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0552512, 0.0552712, 0.189951, 0.241295, 0.325521, 0.340885, 0.371613, 0.433069, 0.44381, 0.465294, 0.507717, 0.549751, 0.552387, 0.557658, 0.616794, 0.623883, 0.631271, 0.638132, 0.651966, 0.737281, 0.757797, 0.777679, 0.79803, 1.08667, 1.13505, 1.19415, 1.20764, 1.23462, 1.28857, 1.34636, 1.42302, 1.43891, 1.4707, 1.53427, 1.60382, 1.68804, 1.74001, 1.82423, 1.90846, 1.99268, 2.07691, 2.16114, 2.24536, 2.32959, 2.41381, 2.49804, 2.58227, 2.66649, 2.75072");
-            values ( \
-              "1e-22, 0.0725327, 0.0643111, 0.0640074, 0.0618846, 0.0621787, 0.0609426, 0.0599169, 0.0590195, 0.0588168, 0.0569087, 0.0570084, 0.0561861, 0.0565133, 0.0537874, 0.0541736, 0.0534599, 0.0538656, 0.0521636, 0.04673, 0.0472443, 0.0456323, 0.0453576, 0.023873, 0.0220767, 0.0183708, 0.0185246, 0.0165643, 0.0150285, 0.0121288, 0.0103653, 0.00920876, 0.00903471, 0.00684968, 0.0061502, 0.00415407, 0.00415014, 0.00260871, 0.00258145, 0.00140397, 0.00164898, 0.000692581, 0.00109826, 0.00027509, 0.000773933, 3.1144e-05, 0.000583017, 1e-22, 0.000470452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0595681, 0.0678971, 0.0744647, 0.083835, 0.0854515, 0.0886844, 0.0951504, 0.106629, 0.139498, 0.155201, 0.156282, 0.171212, 0.183368, 0.194549, 0.203171, 0.226213, 0.241083, 0.255723, 0.259718, 0.263422, 0.268877, 0.27212, 0.276724, 0.285326, 0.290189, 0.299914, 0.322183, 0.340217, 0.353864, 0.361534, 0.371702, 0.38357, 0.39672, 0.407222, 0.425466, 0.443732, 0.470883, 0.481538, 0.502848, 0.621134, 0.662752");
-            values ( \
-              "0.00104093, 0.01767, 0.0178706, 0.0175355, 0.0175739, 0.017397, 0.017247, 0.0168652, 0.016009, 0.0152749, 0.0152778, 0.0142389, 0.0135358, 0.0138462, 0.0137122, 0.0116267, 0.0101611, 0.0090176, 0.00895477, 0.00904972, 0.00893127, 0.00878968, 0.00870187, 0.00824497, 0.00786114, 0.00742343, 0.00654934, 0.00557251, 0.00452555, 0.00416329, 0.00355683, 0.00316523, 0.00262311, 0.0024106, 0.00185749, 0.00157547, 0.00104394, 0.00100223, 0.00068224, 9.88829e-05, 9.51875e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0596393, 0.0691993, 0.0768115, 0.115892, 0.156161, 0.171726, 0.202759, 0.218831, 0.23529, 0.251721, 0.267134, 0.28445, 0.295266, 0.304311, 0.309463, 0.317503, 0.325844, 0.349741, 0.374812, 0.408281, 0.436235, 0.452923, 0.457975, 0.468079, 0.488286, 0.537039, 0.542727, 0.554102, 0.576852, 0.622353, 0.790806, 0.875032");
-            values ( \
-              "0.00177229, 0.0266315, 0.0268096, 0.0252586, 0.0238979, 0.0230112, 0.0207935, 0.0212246, 0.0200704, 0.0178359, 0.0161132, 0.0146895, 0.0127756, 0.0120293, 0.011953, 0.0115894, 0.0116789, 0.0109176, 0.00916565, 0.00618358, 0.00453331, 0.00390972, 0.00359245, 0.00334128, 0.00258922, 0.00150043, 0.00152376, 0.00123329, 0.00106409, 0.000540122, 1.9452e-05, 8.90969e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0644241, 0.0709816, 0.0787737, 0.129001, 0.169651, 0.198145, 0.222833, 0.236146, 0.254102, 0.271517, 0.301631, 0.3169, 0.350864, 0.363542, 0.373642, 0.385049, 0.414124, 0.446929, 0.482462, 0.519621, 0.54785, 0.575356, 0.637763, 0.652069, 0.680683, 0.822136, 0.990588");
-            values ( \
-              "0.0346645, 0.037524, 0.0376031, 0.0354378, 0.0340813, 0.0325841, 0.0305591, 0.0298488, 0.0303255, 0.0288893, 0.0250473, 0.0237757, 0.018528, 0.0171299, 0.0163593, 0.0163986, 0.0154126, 0.0127086, 0.00919278, 0.00659776, 0.00513943, 0.00402363, 0.00227383, 0.00215086, 0.00151934, 0.000341958, 5.02044e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0644567, 0.0737511, 0.082656, 0.107725, 0.211604, 0.243842, 0.276559, 0.294063, 0.31693, 0.363465, 0.378666, 0.429279, 0.471681, 0.486773, 0.624071, 0.684572, 0.725808, 0.806683, 0.893712, 1.04725, 1.2157, 1.29992");
-            values ( \
-              "0.0397918, 0.0489076, 0.0488975, 0.0477278, 0.0442685, 0.0427231, 0.0402854, 0.0398383, 0.039872, 0.0352557, 0.0342808, 0.0268748, 0.0243156, 0.0225972, 0.0114985, 0.00776772, 0.00590858, 0.00339287, 0.00183939, 0.000568314, 0.000111057, 0.000200445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0704827, 0.0705027, 0.158, 0.281481, 0.378984, 0.394055, 0.482495, 0.552382, 0.580319, 0.622662, 0.73722, 0.868819, 0.979753, 1.14305, 1.32335, 1.45089");
-            values ( \
-              "1e-22, 0.065743, 0.0568284, 0.0535801, 0.0492316, 0.0493828, 0.04462, 0.0370927, 0.0360959, 0.0328716, 0.0221084, 0.0135519, 0.00832944, 0.00388818, 0.00163112, 0.000937597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0705621, 0.0705821, 0.205856, 0.356742, 0.448927, 0.523574, 0.5656, 0.632799, 0.65407, 0.746614, 0.766312, 0.814305, 1.05387, 1.11719, 1.22443, 1.30592, 1.36099, 1.45431, 1.55848, 1.70946, 1.84004, 1.92427, 2.17695, 2.37668");
-            values ( \
-              "1e-22, 0.0717714, 0.064517, 0.061971, 0.0597091, 0.0571161, 0.0568018, 0.0539886, 0.0536614, 0.0472469, 0.0470294, 0.0449819, 0.0274339, 0.0233814, 0.0180362, 0.0146641, 0.0124697, 0.00951828, 0.00696693, 0.00437641, 0.00290966, 0.00227757, 0.000994867, 0.000603066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0972311, 0.10486, 0.111503, 0.122512, 0.145161, 0.168691, 0.192419, 0.220436, 0.231884, 0.243555, 0.27408, 0.291482, 0.300506, 0.303374, 0.306357, 0.309554, 0.313893, 0.317951, 0.322469, 0.336774, 0.347727, 0.359036, 0.369453, 0.399139, 0.421446, 0.444268, 0.480925, 0.518554, 0.581941, 0.65538, 0.739607, 0.823833");
-            values ( \
-              "0.00301418, 0.0174034, 0.0177321, 0.0175477, 0.016832, 0.0162003, 0.0153138, 0.0134879, 0.0139284, 0.0134856, 0.0105617, 0.00904007, 0.00899605, 0.00906855, 0.00885298, 0.00885322, 0.0086308, 0.0085443, 0.00816711, 0.00736117, 0.00707563, 0.00648771, 0.00604784, 0.00406027, 0.0030632, 0.00233961, 0.00150174, 0.000931882, 0.000398261, 0.000176038, 2.28615e-05, 3.80424e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0972705, 0.106218, 0.113907, 0.190707, 0.208816, 0.239822, 0.262853, 0.272417, 0.289005, 0.298648, 0.320517, 0.337481, 0.347585, 0.375072, 0.386642, 0.4595, 0.505122, 0.556081, 0.579813, 0.659673, 0.739466");
-            values ( \
-              "0.00408761, 0.0262421, 0.0266887, 0.0240032, 0.0230232, 0.0206523, 0.0212875, 0.0195897, 0.0182154, 0.0165859, 0.0147418, 0.0123098, 0.0118436, 0.0113679, 0.0108579, 0.00532501, 0.00327367, 0.00188686, 0.0014542, 0.000608768, 0.00022734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0973334, 0.10828, 0.115391, 0.117783, 0.122567, 0.154399, 0.200244, 0.217387, 0.235515, 0.273483, 0.291605, 0.358601, 0.368666, 0.390324, 0.403763, 0.415996, 0.422566, 0.435705, 0.450514, 0.467657, 0.520204, 0.540065, 0.557307, 0.594227, 0.638158, 0.689399, 0.776171, 0.860397, 0.944624, 1.02885");
-            values ( \
-              "0.00622567, 0.0370223, 0.037545, 0.0373529, 0.0373608, 0.0359108, 0.0343168, 0.0335644, 0.032569, 0.029623, 0.0304991, 0.0231924, 0.0213747, 0.0183207, 0.0167819, 0.0163435, 0.016338, 0.0160809, 0.0154045, 0.0141124, 0.00924899, 0.00773434, 0.0066695, 0.00480522, 0.00325726, 0.00205084, 0.000913397, 0.000438763, 0.000166084, 0.000105217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.101953, 0.111165, 0.117571, 0.123859, 0.166639, 0.234321, 0.274296, 0.323665, 0.33155, 0.347318, 0.365195, 0.398671, 0.420312, 0.468358, 0.510382, 0.543022, 0.584985, 0.66394, 0.724939, 0.779463, 0.863865, 0.904219, 1.04225, 1.2107, 1.37916");
-            values ( \
-              "0.0408752, 0.0486009, 0.0487263, 0.0487104, 0.0469171, 0.0448024, 0.0430919, 0.0397015, 0.0400224, 0.0399118, 0.0390055, 0.0354713, 0.0336833, 0.026731, 0.0241872, 0.0210418, 0.0179519, 0.0113635, 0.00766077, 0.00533471, 0.00300129, 0.00227352, 0.000840692, 0.000225787, 3.94304e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.107943, 0.107963, 0.195848, 0.293158, 0.359722, 0.417422, 0.431913, 0.520331, 0.590266, 0.618066, 0.660397, 0.775072, 0.906636, 1.01759, 1.10624, 1.18091, 1.36112, 1.52329");
-            values ( \
-              "1e-22, 0.0652975, 0.0567338, 0.0543286, 0.0519632, 0.0492188, 0.0493864, 0.0446208, 0.0370917, 0.0361012, 0.0328817, 0.0221078, 0.0135534, 0.00833, 0.00553026, 0.0038878, 0.00163189, 0.00074981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.107755, 0.107775, 0.239963, 0.42526, 0.561356, 0.603349, 0.663406, 0.695458, 0.784514, 0.804211, 0.851117, 1.08838, 1.15294, 1.26219, 1.3435, 1.39865, 1.49203, 1.59873, 1.74891, 1.87786, 1.96209, 2.21477, 2.55167, 2.80435");
-            values ( \
-              "1e-22, 0.0711614, 0.0647085, 0.0612757, 0.0572394, 0.0566791, 0.0542799, 0.0533875, 0.047174, 0.0470982, 0.0450914, 0.0276583, 0.023495, 0.0180388, 0.0146717, 0.0124755, 0.00952082, 0.00691485, 0.00435395, 0.00290956, 0.00227722, 0.00099485, 0.000333925, 0.000167355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.177297, 0.185275, 0.194633, 0.205844, 0.208628, 0.210168, 0.212005, 0.214204, 0.220588, 0.225482, 0.232195, 0.243703, 0.255642, 0.276864, 0.300799, 0.307322, 0.320367, 0.33172, 0.355545, 0.374027, 0.378587, 0.382656, 0.387771, 0.397094, 0.401309, 0.414125, 0.4231, 0.445225, 0.455685, 0.463636, 0.478581, 0.49858, 0.524393, 0.530406, 0.542431, 0.59223, 0.602271, 0.605224, 0.61113, 0.62294, 0.646562, 0.693806, 0.777345, 0.861571");
-            values ( \
-              "0.00792785, 0.0115862, 0.0133691, 0.0141777, 0.0145782, 0.014557, 0.0162569, 0.0162165, 0.0167809, 0.0169121, 0.0168643, 0.016598, 0.0162591, 0.0154507, 0.0138957, 0.0136151, 0.0138439, 0.0134391, 0.0110721, 0.00940619, 0.0090956, 0.00892702, 0.00907582, 0.00875971, 0.00868273, 0.00787303, 0.00744757, 0.00658209, 0.00602317, 0.00559125, 0.00449401, 0.00344735, 0.00253179, 0.00240676, 0.00204664, 0.00110353, 0.00101547, 0.000937093, 0.000908698, 0.000746541, 0.000585705, 0.000285288, 0.000111716, 9.57214e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.177315, 0.187159, 0.197721, 0.210201, 0.211882, 0.215288, 0.219408, 0.223963, 0.231066, 0.239972, 0.267236, 0.29577, 0.326742, 0.342643, 0.359266, 0.391104, 0.408268, 0.423813, 0.433293, 0.4414, 0.449812, 0.46211, 0.473734, 0.498769, 0.517962, 0.546303, 0.576849, 0.592051, 0.612321, 0.642798, 0.666626, 0.700759, 0.746268, 0.830495, 0.859308");
-            values ( \
-              "0.00960732, 0.017948, 0.0205783, 0.0219708, 0.0243561, 0.0245912, 0.0252273, 0.0255349, 0.0255942, 0.0253633, 0.0244156, 0.0230619, 0.0206582, 0.0213705, 0.0199659, 0.0161725, 0.0146418, 0.0123543, 0.0118928, 0.0116615, 0.0116163, 0.0113578, 0.0108512, 0.00909972, 0.00737648, 0.00533246, 0.00384491, 0.00327461, 0.00265412, 0.00189332, 0.00145828, 0.000998117, 0.000607273, 0.000210134, 0.000178015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.17716, 0.189875, 0.19672, 0.210065, 0.213824, 0.224297, 0.230252, 0.240937, 0.287036, 0.322341, 0.338919, 0.357864, 0.360251, 0.365026, 0.374576, 0.387028, 0.419236, 0.425293, 0.441443, 0.446142, 0.459565, 0.475546, 0.488333, 0.498149, 0.509191, 0.522701, 0.538263, 0.55483, 0.606463, 0.626227, 0.64365, 0.667333, 0.699538, 0.734211, 0.764072, 0.79424, 0.834464, 0.914911, 0.999137, 1.08336, 1.16759");
-            values ( \
-              "0.0108002, 0.0263881, 0.0284039, 0.0310056, 0.0344688, 0.0361158, 0.0362437, 0.0360711, 0.0342984, 0.0325808, 0.0313725, 0.0297713, 0.0299139, 0.0300107, 0.0303724, 0.0297932, 0.026011, 0.0251672, 0.0236588, 0.0230717, 0.0207956, 0.018522, 0.0169924, 0.0164333, 0.0163266, 0.0160998, 0.0153362, 0.0140876, 0.00928063, 0.00778466, 0.00668196, 0.00543145, 0.00410246, 0.00301244, 0.00231006, 0.00176295, 0.00121738, 0.000570921, 0.000251651, 0.000116687, 4.91147e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.177107, 0.194021, 0.210032, 0.213025, 0.226179, 0.232205, 0.243947, 0.344143, 0.368261, 0.400548, 0.418468, 0.444149, 0.465989, 0.508204, 0.552946, 0.595452, 0.630313, 0.747563, 0.773561, 0.836959, 0.879075, 0.958591, 1.07368, 1.24213, 1.41058");
-            values ( \
-              "0.0125904, 0.0361296, 0.040525, 0.0447215, 0.0475521, 0.0476528, 0.0474493, 0.0438786, 0.0426672, 0.0404003, 0.0397866, 0.0398514, 0.037338, 0.0335876, 0.0270676, 0.0242619, 0.0209236, 0.0116696, 0.00986794, 0.00653226, 0.00493899, 0.00287893, 0.00129981, 0.000387792, 0.000110127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.177273, 0.20039, 0.219615, 0.230314, 0.240449, 0.413399, 0.482107, 0.550583, 0.610539, 0.676773, 0.707823, 0.728587, 0.862364, 0.994311, 1.08689, 1.14102, 1.26789, 1.44901, 1.61747, 1.62375");
-            values ( \
-              "0.0159593, 0.0461658, 0.0563374, 0.0579945, 0.0581204, 0.0532823, 0.050099, 0.0480806, 0.0444105, 0.0371451, 0.0359776, 0.0344135, 0.0221093, 0.0135291, 0.00902315, 0.00705423, 0.00388653, 0.00163593, 0.000722358, 0.000711519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.177963, 0.212383, 0.223867, 0.231254, 0.239251, 0.517973, 0.628739, 0.694371, 0.731127, 0.784612, 0.873883, 0.893289, 0.940673, 1.10028, 1.24489, 1.3508, 1.48742, 1.58069, 1.68333, 1.83478, 1.96633, 2.13479, 2.47169, 2.50462");
-            values ( \
-              "0.0217617, 0.0608093, 0.0654678, 0.0664144, 0.0664858, 0.0611302, 0.0578347, 0.056567, 0.0549954, 0.0533836, 0.0471402, 0.0471021, 0.0450457, 0.0331013, 0.023258, 0.0179928, 0.0124291, 0.00948925, 0.00697603, 0.00437058, 0.00289364, 0.0016918, 0.000567871, 0.000536961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.336104, 0.355054, 0.371445, 0.392919, 0.405778, 0.422922, 0.435902, 0.453209, 0.465019, 0.480766, 0.48561, 0.50048, 0.505662, 0.510262, 0.528381, 0.543058, 0.575251, 0.585921, 0.590279, 0.593089, 0.606588, 0.621312, 0.653822, 0.698619, 0.721119, 0.737856, 0.751777, 0.799721, 0.829081, 0.89675, 0.979254, 1.06348");
-            values ( \
-              "0.00199292, 0.00622141, 0.00803786, 0.0100713, 0.0111055, 0.0121845, 0.0128034, 0.0134154, 0.0136776, 0.0137134, 0.0136635, 0.0132576, 0.0130244, 0.0134576, 0.0140443, 0.0129927, 0.00992433, 0.0091052, 0.00899267, 0.00907913, 0.00875752, 0.00787342, 0.00652162, 0.00375997, 0.00285642, 0.00234829, 0.00199221, 0.00109862, 0.000756617, 0.000304311, 0.000116519, 1.37658e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.335717, 0.357856, 0.375903, 0.399472, 0.41875, 0.437372, 0.470736, 0.502677, 0.505603, 0.50954, 0.521334, 0.531111, 0.539742, 0.551251, 0.582786, 0.592489, 0.614824, 0.629995, 0.63946, 0.647941, 0.656835, 0.669232, 0.680886, 0.705787, 0.73931, 0.753372, 0.783917, 0.798895, 0.818864, 0.849082, 0.873537, 0.908635, 0.955432, 1.03966, 1.20811");
-            values ( \
-              "0.00247379, 0.0097862, 0.012727, 0.0159758, 0.0180523, 0.0195337, 0.0211059, 0.0213717, 0.0213542, 0.0220999, 0.0216362, 0.021016, 0.021085, 0.0214849, 0.0180507, 0.0167571, 0.0147029, 0.0124318, 0.0119295, 0.0116969, 0.0116421, 0.011387, 0.0108497, 0.00909165, 0.00624577, 0.0053209, 0.00383653, 0.00327416, 0.00267315, 0.00190223, 0.00145349, 0.000982, 0.00059855, 0.000198469, 1.54037e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.335515, 0.362134, 0.397973, 0.409906, 0.433771, 0.453788, 0.492868, 0.505403, 0.513539, 0.525044, 0.529371, 0.567257, 0.58674, 0.634759, 0.648562, 0.689935, 0.702, 0.727575, 0.740424, 0.756631, 0.800633, 0.822323, 0.842669, 0.879357, 0.931084, 0.982898, 1.06973, 1.15395, 1.23818, 1.32241");
-            values ( \
-              "0.00301459, 0.0147412, 0.0222437, 0.0242403, 0.0273683, 0.0291543, 0.0312771, 0.0316194, 0.0329117, 0.0328071, 0.0326459, 0.0297886, 0.0304932, 0.0249193, 0.0236632, 0.0175642, 0.0165276, 0.0161793, 0.015589, 0.0145199, 0.010362, 0.00859577, 0.00712291, 0.0051634, 0.00326476, 0.00204154, 0.000903407, 0.000448849, 0.000156286, 0.000114911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.351046, 0.376526, 0.3941, 0.412277, 0.426698, 0.455539, 0.480576, 0.505534, 0.514836, 0.528393, 0.541018, 0.575349, 0.624353, 0.62779, 0.632371, 0.641535, 0.659023, 0.692738, 0.708139, 0.714398, 0.72297, 0.759647, 0.773139, 0.788119, 0.802216, 0.837373, 0.879864, 0.928067, 0.954584, 0.980585, 1.01515, 1.04398, 1.08617, 1.14192, 1.16553, 1.21957, 1.28134, 1.36557, 1.44979, 1.61825");
-            values ( \
-              "0.0219799, 0.023367, 0.0280138, 0.0321537, 0.0348016, 0.0387066, 0.0409423, 0.0425083, 0.0444406, 0.0447909, 0.0444964, 0.0427213, 0.0395113, 0.0399493, 0.0400475, 0.0399547, 0.038967, 0.0355156, 0.0343732, 0.0337071, 0.0321623, 0.02711, 0.0261395, 0.0253299, 0.0242818, 0.0209145, 0.0177843, 0.0136851, 0.0116729, 0.00986423, 0.00791912, 0.00653047, 0.00493507, 0.00340693, 0.00287944, 0.00201638, 0.00129315, 0.000734544, 0.000385041, 0.00010861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.351223, 0.410796, 0.448976, 0.50559, 0.510556, 0.522478, 0.534219, 0.620399, 0.689115, 0.757977, 0.817459, 0.883673, 0.915124, 0.935953, 1.06934, 1.20144, 1.29405, 1.34769, 1.47476, 1.57196, 1.65619, 1.82464, 1.86163");
-            values ( \
-              "0.0223633, 0.0386717, 0.0463983, 0.0526799, 0.0548539, 0.0558258, 0.0558309, 0.0533248, 0.050127, 0.0480612, 0.0444194, 0.0371518, 0.0359632, 0.0343839, 0.022114, 0.0135234, 0.00901811, 0.00706626, 0.00389013, 0.00244831, 0.00163369, 0.000720912, 0.000657149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.372917, 0.435219, 0.485064, 0.505538, 0.513939, 0.523108, 0.532508, 0.689731, 0.773957, 0.792865, 0.830679, 0.877051, 0.901273, 0.936912, 0.951052, 0.95966, 0.987357, 1.06676, 1.08822, 1.10908, 1.14708, 1.19727, 1.30701, 1.43492, 1.54258, 1.58419, 1.69663, 1.78785, 1.88077, 1.95107, 2.03529, 2.17296, 2.25719, 2.34141, 2.50987, 2.67832, 2.84677, 3.09945");
-            values ( \
-              "0.0500211, 0.0503696, 0.0586574, 0.0608949, 0.0637316, 0.0646431, 0.0648492, 0.0618934, 0.0598705, 0.0593458, 0.0579243, 0.0569205, 0.0565696, 0.0550318, 0.0547191, 0.0542489, 0.0535679, 0.047975, 0.0470247, 0.0468392, 0.0450202, 0.041515, 0.0331166, 0.0242299, 0.0187097, 0.0168455, 0.0123898, 0.00951533, 0.00720879, 0.00587231, 0.0044832, 0.00291572, 0.00227701, 0.0017099, 0.000997653, 0.000579484, 0.000334956, 0.000167534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.685228, 0.724519, 0.74731, 0.7997, 0.857814, 0.903388, 0.943535, 0.963631, 0.982462, 1.00049, 1.01668, 1.02008, 1.02688, 1.04048, 1.05644, 1.06325, 1.06594, 1.06884, 1.08038, 1.08506, 1.09996, 1.1239, 1.14471, 1.17286, 1.20829, 1.21612, 1.22608, 1.26947, 1.29861, 1.33018, 1.35452, 1.40321, 1.44901");
-            values ( \
-              "0.00113895, 0.00282119, 0.00351295, 0.00563638, 0.00779285, 0.00928114, 0.0100822, 0.00991033, 0.0100593, 0.0108909, 0.00971725, 0.00999683, 0.00947784, 0.0091041, 0.00840992, 0.00834464, 0.0084128, 0.00836868, 0.0084119, 0.00834523, 0.0077266, 0.00706055, 0.00611368, 0.00435626, 0.00297809, 0.00277543, 0.00270609, 0.00161784, 0.00113395, 0.000759017, 0.000555369, 0.000293894, 0.000182128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.709429, 0.76639, 0.872794, 0.921995, 0.965492, 0.987264, 1.0076, 1.02867, 1.04822, 1.06159, 1.068, 1.07297, 1.07741, 1.0902, 1.11041, 1.12403, 1.14796, 1.15493, 1.17576, 1.21583, 1.22169, 1.27386, 1.3131, 1.34498, 1.3838, 1.40972, 1.46155, 1.53972");
-            values ( \
-              "0.0054068, 0.00649743, 0.0125811, 0.0149633, 0.0161971, 0.0160285, 0.0161589, 0.0174981, 0.0155931, 0.0156663, 0.014704, 0.0148134, 0.0144235, 0.0139719, 0.0120163, 0.0117162, 0.011705, 0.0115021, 0.0104021, 0.00717306, 0.00710253, 0.00416854, 0.00275195, 0.00194707, 0.00127163, 0.000954796, 0.000527792, 0.000216522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.709513, 0.765049, 0.827332, 0.897303, 0.952525, 1.00178, 1.02644, 1.0496, 1.07351, 1.09614, 1.10914, 1.11496, 1.1193, 1.13381, 1.1759, 1.18891, 1.21595, 1.22012, 1.23345, 1.26075, 1.29712, 1.33371, 1.37335, 1.41828, 1.46124, 1.50644, 1.54189, 1.6128, 1.69663");
-            values ( \
-              "0.0076733, 0.00895682, 0.0141592, 0.0196213, 0.0231536, 0.0248003, 0.0246466, 0.0247875, 0.0263381, 0.0240028, 0.0240848, 0.0231183, 0.0232048, 0.0223418, 0.0176764, 0.0168328, 0.0165834, 0.0169157, 0.0162652, 0.0139436, 0.0103005, 0.00750758, 0.00533261, 0.0035995, 0.0024524, 0.00163699, 0.00118083, 0.000611374, 0.000270833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.70965, 0.766966, 0.85392, 0.93548, 1.00065, 1.05978, 1.08938, 1.11761, 1.14492, 1.17021, 1.17468, 1.18363, 1.20405, 1.21611, 1.21836, 1.23537, 1.251, 1.2947, 1.32855, 1.45204, 1.51744, 1.56948, 1.61544, 1.6554, 1.69607, 1.75029, 1.83452, 1.91874, 2.00297, 2.17142");
-            values ( \
-              "0.00937958, 0.0120618, 0.0212932, 0.0292493, 0.0339444, 0.0356332, 0.0356011, 0.0357543, 0.0369132, 0.0346715, 0.0349625, 0.0342018, 0.0333092, 0.0316823, 0.0320448, 0.0302837, 0.0280399, 0.0249743, 0.0216504, 0.0116537, 0.00765882, 0.00543506, 0.00400668, 0.00304381, 0.0023082, 0.00160244, 0.000873651, 0.000494274, 0.000260859, 7.46933e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.709136, 0.766, 0.939817, 0.991442, 1.07335, 1.14964, 1.21561, 1.22462, 1.24266, 1.31088, 1.38046, 1.41614, 1.58869, 1.72051, 1.82321, 1.97518, 2.14981, 2.27884");
-            values ( \
-              "0.010612, 0.0146453, 0.0363996, 0.0412691, 0.0462355, 0.0479549, 0.0479563, 0.0487904, 0.0489099, 0.0447771, 0.0372688, 0.0358535, 0.0206504, 0.0123677, 0.00783164, 0.00385722, 0.00166247, 0.000948688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.739227, 0.837087, 0.949004, 1.00985, 1.07644, 1.16066, 1.21561, 1.2347, 1.25657, 1.3758, 1.39934, 1.43549, 1.48914, 1.57848, 1.59786, 1.64539, 1.805, 1.94967, 2.05551, 2.19212, 2.28538, 2.38846, 2.53976, 2.67101, 2.83946, 3.17637, 3.5975");
-            values ( \
-              "0.0206966, 0.0269126, 0.042871, 0.0493419, 0.0542631, 0.0581477, 0.0596046, 0.0605906, 0.0604243, 0.0568083, 0.0566367, 0.0549496, 0.0533979, 0.0471445, 0.0471138, 0.045051, 0.0330981, 0.0232512, 0.0179897, 0.0124259, 0.00948636, 0.00696347, 0.00436315, 0.00289021, 0.0016882, 0.000564088, 0.000176419" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00756524, 0.00757553, 0.00758687, 0.00759775, 0.00760688, 0.00761368", \
-            "0.00917691, 0.00918729, 0.00919962, 0.00921224, 0.0092234, 0.00923205", \
-            "0.0101178, 0.0101262, 0.0101372, 0.0101496, 0.0101616, 0.0101715", \
-            "0.0106549, 0.0106597, 0.0106666, 0.0106755, 0.0106851, 0.010694", \
-            "0.0109743, 0.0109726, 0.0109714, 0.0109712, 0.0109727, 0.0109756", \
-            "0.0111806, 0.0111681, 0.0111513, 0.0111321, 0.0111131, 0.0110974" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0104561, 0.0104652, 0.0104767, 0.0104889, 0.0104999, 0.0105086", \
-            "0.0107676, 0.0107746, 0.0107844, 0.010796, 0.0108073, 0.0108167", \
-            "0.0104125, 0.0103985, 0.0103826, 0.010367, 0.0103536, 0.0103435", \
-            "0.0101749, 0.0101279, 0.0100752, 0.0100121, 0.00995593, 0.0099086", \
-            "0.0104218, 0.010308, 0.0101676, 0.0100156, 0.00987088, 0.00975444", \
-            "0.0105441, 0.0105045, 0.0105329, 0.0104809, 0.0102569, 0.00999596" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.027564, 0.0317904, 0.0339639, 0.0361476, 0.0397806, 0.043418, 0.0506829, 0.0579308, 0.07246, 0.072597, 0.076708, 0.081093, 0.0875077, 0.100337, 0.102412, 0.106563, 0.114865, 0.121498, 0.133309, 0.153774, 0.159756, 0.166136, 0.185924, 0.190696, 0.199894, 0.209153, 0.217833, 0.230044, 0.238372, 0.246763, 0.253719, 0.2658, 0.273071, 0.276209, 0.289419, 0.301156, 0.310216, 0.320116, 0.331215, 0.347067, 0.40764, 0.450448, 0.556444, 0.613189");
-            values ( \
-              "-0.00957264, -0.027247, -0.0263884, -0.0271708, -0.0263569, -0.0271847, -0.0264196, -0.0271393, -0.0259304, -0.0263118, -0.0261121, -0.0259893, -0.0259048, -0.0263826, -0.026587, -0.0266716, -0.0261689, -0.0249527, -0.022027, -0.0153659, -0.0136187, -0.0118782, -0.00701366, -0.00611366, -0.00560697, -0.00523566, -0.00479135, -0.00405376, -0.00343663, -0.00295609, -0.00245687, -0.00170511, -0.00137998, -0.00112542, -0.000470639, -0.000322882, -0.00027222, -0.00033965, -0.000326019, -0.000382908, -0.000298764, -0.000168132, -3.16827e-05, -3.99267e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0287826, 0.0334598, 0.0354073, 0.0374714, 0.0415997, 0.045796, 0.0541204, 0.0624429, 0.0791317, 0.0793116, 0.0847089, 0.0964722, 0.108485, 0.113654, 0.122169, 0.130719, 0.149503, 0.172587, 0.19473, 0.226528, 0.241852, 0.250516, 0.260509, 0.261504, 0.286287, 0.323653, 0.349679, 0.500412, 0.612972");
-            values ( \
-              "-0.0330757, -0.0414189, -0.0401775, -0.0413271, -0.0401359, -0.0413101, -0.040145, -0.0411429, -0.0393193, -0.0398674, -0.0395384, -0.0392025, -0.0395728, -0.0400491, -0.0399987, -0.0388436, -0.0334766, -0.0237132, -0.0158939, -0.00779836, -0.00649021, -0.00615037, -0.00534594, -0.00547419, -0.00340356, -0.00141304, -0.000835908, -0.000225818, -3.16051e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0277578, 0.0335966, 0.0396908, 0.0485488, 0.0498598, 0.0524818, 0.0577257, 0.0682136, 0.0702577, 0.0743459, 0.0825223, 0.0909277, 0.107738, 0.111984, 0.120474, 0.133186, 0.154301, 0.154812, 0.165023, 0.175916, 0.208526, 0.229598, 0.254435, 0.284894, 0.294865, 0.308701, 0.321309, 0.365697, 0.381457, 0.415555, 0.449794, 0.538839, 0.57191");
-            values ( \
-              "-0.0235345, -0.0574525, -0.058937, -0.0572567, -0.0588361, -0.0572458, -0.0587661, -0.056976, -0.058443, -0.0567328, -0.0578862, -0.0558108, -0.0564191, -0.054843, -0.056403, -0.0555097, -0.0555088, -0.0545114, -0.0515444, -0.0476639, -0.0327106, -0.0243379, -0.0168511, -0.0094863, -0.00798237, -0.00646429, -0.00558443, -0.00391683, -0.00301639, -0.0018996, -0.00137377, -0.000581389, -0.000402417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0277687, 0.0352503, 0.0365655, 0.039196, 0.0432655, 0.0499525, 0.0566522, 0.0700514, 0.0835787, 0.110556, 0.110879, 0.120551, 0.138708, 0.152763, 0.166916, 0.195004, 0.207503, 0.220406, 0.273998, 0.290141, 0.30509, 0.324675, 0.352958, 0.362135, 0.370037, 0.380662, 0.395111, 0.416031, 0.44361, 0.482744, 0.507722, 0.564907, 0.624349, 0.670233, 0.726978, 0.840469");
-            values ( \
-              "-0.0348532, -0.0753578, -0.0788359, -0.075299, -0.0787088, -0.0751535, -0.0785088, -0.0748295, -0.0778295, -0.0730271, -0.0746802, -0.0740433, -0.0732009, -0.0730725, -0.0734329, -0.0709992, -0.0676278, -0.0632572, -0.0403442, -0.0343966, -0.0294394, -0.0237666, -0.0164267, -0.01432, -0.0125118, -0.0107146, -0.00878279, -0.00741866, -0.00643918, -0.00469679, -0.0037101, -0.00224245, -0.00127594, -0.000843014, -0.000415155, -9.70915e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0304214, 0.0336393, 0.0874001, 0.185104, 0.225368, 0.265727, 0.292667, 0.378468, 0.458594, 0.509751, 0.589443, 0.679218, 0.784759, 0.871496");
-            values ( \
-              "-0.0940591, -0.0949808, -0.0941323, -0.0895731, -0.0889524, -0.0854463, -0.0790795, -0.04975, -0.0265155, -0.0181037, -0.00975901, -0.00524027, -0.00261846, -0.00163802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0307462, 0.0422463, 0.0507623, 0.0594348, 0.0737902, 0.0882056, 0.146276, 0.203021, 0.326751, 0.354305, 0.391405, 0.43509, 0.633984, 0.728105, 0.872686, 0.971851, 1.12021, 1.24435");
-            values ( \
-              "-0.107881, -0.109476, -0.108759, -0.109176, -0.108304, -0.10867, -0.107387, -0.104888, -0.101249, -0.0999999, -0.0961258, -0.0880996, -0.0396427, -0.0262941, -0.0121357, -0.0069692, -0.00345867, -0.00219161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.041548, 0.0456777, 0.050001, 0.0514816, 0.0543649, 0.0572559, 0.063038, 0.0717665, 0.0906844, 0.0952172, 0.101348, 0.11361, 0.116259, 0.121557, 0.130962, 0.147195, 0.168063, 0.180175, 0.204584, 0.205873, 0.20845, 0.23106, 0.238921, 0.243783, 0.253262, 0.261611, 0.26877, 0.274742, 0.280304, 0.287218, 0.289775, 0.29489, 0.301263, 0.322741, 0.332476, 0.342879, 0.357622, 0.379623, 0.411789, 0.453071, 0.497302");
-            values ( \
-              "-0.0106533, -0.0270853, -0.0268376, -0.0268638, -0.0267659, -0.0268413, -0.0267795, -0.0267917, -0.0261112, -0.0259771, -0.025911, -0.0263484, -0.026605, -0.0266569, -0.0258466, -0.0221129, -0.01528, -0.0118682, -0.0060767, -0.00611882, -0.0058362, -0.00488323, -0.00430497, -0.00412387, -0.00331824, -0.00296177, -0.00233718, -0.00211902, -0.00161693, -0.0014228, -0.00109139, -0.000942843, -0.000481744, -0.000205284, -0.000372663, -0.000262877, -0.000423113, -0.000288234, -0.000368023, -0.00014531, -0.000186681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0415624, 0.0464281, 0.0513851, 0.0538977, 0.0589231, 0.0597026, 0.0612616, 0.0643796, 0.0703627, 0.0763534, 0.0932178, 0.101701, 0.110401, 0.12756, 0.144719, 0.161902, 0.16352, 0.183631, 0.194796, 0.206452, 0.23502, 0.24357, 0.25085, 0.276788, 0.305223, 0.338757, 0.349934, 0.372646, 0.552161, 0.665652");
-            values ( \
-              "-0.0146869, -0.0411241, -0.0408012, -0.0408309, -0.0406944, -0.0407929, -0.0406825, -0.0407882, -0.0406302, -0.040617, -0.0398555, -0.0393671, -0.0392321, -0.0397868, -0.0391627, -0.0335022, -0.0335759, -0.0248703, -0.0205492, -0.0166962, -0.00885673, -0.00745782, -0.0068728, -0.00531787, -0.00312379, -0.00140857, -0.00102886, -0.000790839, -0.000138362, -1.20235e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0416358, 0.0476099, 0.061759, 0.0761102, 0.100458, 0.126006, 0.147178, 0.168479, 0.191603, 0.22636, 0.256756, 0.303224, 0.325356, 0.348803, 0.411145, 0.446519, 0.548256");
-            values ( \
-              "-0.0204902, -0.058649, -0.0580084, -0.0579664, -0.0569782, -0.0554767, -0.0561446, -0.0547675, -0.0469676, -0.0310725, -0.0201073, -0.00872102, -0.00629707, -0.00497377, -0.00239323, -0.00162519, -0.000617944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0439386, 0.0493632, 0.0976797, 0.15281, 0.166864, 0.181017, 0.209106, 0.234504, 0.28875, 0.320427, 0.373601, 0.400472, 0.416779, 0.437518, 0.519944, 0.569546, 0.667727, 0.692073");
-            values ( \
-              "-0.0743259, -0.0774853, -0.0761859, -0.0732184, -0.0730549, -0.0734502, -0.0709819, -0.063259, -0.0400897, -0.0290647, -0.0149579, -0.00976857, -0.00818687, -0.00709074, -0.00378185, -0.00244804, -0.00099258, -0.000869398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0443072, 0.0503069, 0.0518598, 0.0549655, 0.0611769, 0.0632195, 0.0673047, 0.0747523, 0.0822187, 0.0971514, 0.120499, 0.158846, 0.174318, 0.198948, 0.218962, 0.239207, 0.279565, 0.2926, 0.306504, 0.3923, 0.471389, 0.48939, 0.508807, 0.532031, 0.569389, 0.582516, 0.608769, 0.663139, 0.717719, 0.786143, 0.832575, 0.944226, 1.11446");
-            values ( \
-              "-0.0950551, -0.0951396, -0.0948947, -0.0949221, -0.0945623, -0.0946837, -0.0944192, -0.0944456, -0.0941392, -0.0940045, -0.0931696, -0.0916008, -0.0906684, -0.0895737, -0.0890463, -0.0889525, -0.0854464, -0.082719, -0.0790802, -0.0497631, -0.0267796, -0.0230613, -0.0200172, -0.0170228, -0.0127184, -0.011496, -0.00934743, -0.00637628, -0.00449309, -0.00287077, -0.00206686, -0.000882709, -0.000226136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0447062, 0.0504365, 0.16019, 0.279328, 0.340666, 0.368219, 0.40532, 0.449005, 0.649672, 0.776038, 0.896345, 0.992657, 1.07758, 1.19107, 1.28764");
-            values ( \
-              "-0.108874, -0.109513, -0.10718, -0.102801, -0.101249, -0.0999993, -0.0961259, -0.0881028, -0.0392772, -0.0223447, -0.0114206, -0.00671347, -0.00446388, -0.00261447, -0.00185638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0706955, 0.0761087, 0.0845367, 0.0874129, 0.0886054, 0.0896309, 0.0936264, 0.104006, 0.133386, 0.152123, 0.159429, 0.163169, 0.170628, 0.179343, 0.202628, 0.234986, 0.238172, 0.244544, 0.253599, 0.26292, 0.268828, 0.307312, 0.33267, 0.343498, 0.352535, 0.384838, 0.430344, 0.565234, 0.678725");
-            values ( \
-              "-0.00878686, -0.019981, -0.0232285, -0.0238838, -0.0278712, -0.027527, -0.0270793, -0.0268104, -0.0258234, -0.0266916, -0.0263388, -0.0258187, -0.0242254, -0.0220422, -0.0144998, -0.00613511, -0.006195, -0.00554529, -0.00540684, -0.00477057, -0.00455852, -0.00201883, -0.000577207, -0.000327774, -0.000264085, -0.00035727, -0.000336522, -7.41128e-05, -1.10277e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0686046, 0.0770648, 0.0832928, 0.0873628, 0.089198, 0.0956455, 0.112392, 0.13869, 0.150525, 0.159822, 0.17698, 0.195622, 0.234269, 0.260413, 0.275066, 0.307536, 0.336693, 0.367804, 0.386332, 0.527427");
-            values ( \
-              "-0.0013995, -0.0306962, -0.0346215, -0.0359677, -0.0417041, -0.0410366, -0.0404799, -0.039207, -0.0393567, -0.0400392, -0.0389806, -0.0333864, -0.0180261, -0.0106136, -0.00746768, -0.00542216, -0.00319184, -0.00153116, -0.00096907, -0.000351655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.06867, 0.0785627, 0.0873538, 0.0885662, 0.0904237, 0.0961946, 0.116566, 0.149839, 0.158319, 0.175277, 0.179513, 0.187985, 0.200673, 0.223954, 0.259916, 0.286269, 0.32643, 0.337667, 0.351659, 0.365639, 0.387267, 0.403087, 0.44162, 0.476284, 0.57759, 0.691081, 0.747826");
-            values ( \
-              "-0.0027481, -0.0450924, -0.0511815, -0.0587522, -0.059126, -0.0583791, -0.0577016, -0.0558602, -0.0555968, -0.0558985, -0.0563478, -0.0562501, -0.0545189, -0.0470137, -0.0305257, -0.0209277, -0.0105789, -0.0083861, -0.00683537, -0.00568273, -0.0047054, -0.00426452, -0.00241547, -0.00161834, -0.000576043, -0.000115514, -0.000121472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0734189, 0.080736, 0.0873431, 0.0897802, 0.0943953, 0.1031, 0.124027, 0.141899, 0.184718, 0.19908, 0.213357, 0.241434, 0.253984, 0.266939, 0.322184, 0.351501, 0.370262, 0.413148, 0.43207, 0.446419, 0.464545, 0.546068, 0.569079, 0.615198, 0.679855, 0.72626, 0.783005, 0.896496");
-            values ( \
-              "-0.05031, -0.0623145, -0.0676209, -0.0777611, -0.077295, -0.0768919, -0.0763658, -0.075601, -0.0731067, -0.0730992, -0.0734129, -0.0710166, -0.0676138, -0.0632192, -0.0396586, -0.0294291, -0.0240062, -0.0132439, -0.00985282, -0.00839707, -0.00732877, -0.00400726, -0.00326818, -0.00218622, -0.00118761, -0.000751662, -0.000393136, -0.000104943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0734883, 0.0839692, 0.0873594, 0.0892478, 0.091573, 0.093701, 0.153061, 0.231508, 0.251523, 0.271769, 0.312128, 0.325162, 0.339065, 0.358003, 0.424859, 0.494527, 0.506379, 0.530493, 0.551561, 0.598726, 0.62642, 0.658071, 0.685221, 0.717407, 0.767736, 0.845097, 0.892815, 0.949561, 1.00631, 1.17654");
-            values ( \
-              "-0.0569154, -0.0801239, -0.0828949, -0.0938795, -0.095443, -0.0948857, -0.0932315, -0.0895736, -0.0890461, -0.0889525, -0.0854465, -0.0827194, -0.079081, -0.0729974, -0.0497674, -0.0292776, -0.0262135, -0.0216008, -0.0186408, -0.0130891, -0.0104896, -0.00830569, -0.00688883, -0.00552977, -0.00400166, -0.00238498, -0.00169843, -0.00109882, -0.000703125, -0.000175154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0796674, 0.13482, 0.156388, 0.181547, 0.192829, 0.215393, 0.243558, 0.251763, 0.268174, 0.289849, 0.312003, 0.342303, 0.373347, 0.417601, 0.681304, 0.704247, 0.926957, 0.967217, 1.01719, 1.05356, 1.11031, 1.16705, 1.2238, 1.28054, 1.2854");
-            values ( \
-              "-0.110999, -0.115739, -0.100603, -0.114746, -0.0997192, -0.113745, -0.0980318, -0.112403, -0.0970984, -0.110923, -0.0954943, -0.109236, -0.0938812, -0.105948, -0.0323534, -0.0428393, -0.00450178, -0.0162444, -1e-22, -0.0129663, -1e-22, -0.0105311, -1e-22, -0.00908062, -0.0083031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.128458, 0.13777, 0.166977, 0.19508, 0.21024, 0.210807, 0.211941, 0.214209, 0.220022, 0.22561, 0.23296, 0.24032, 0.252545, 0.256555, 0.264575, 0.275313, 0.277419, 0.281633, 0.290059, 0.298573, 0.309348, 0.313979, 0.315231, 0.317735, 0.322744, 0.331637, 0.339855, 0.345875, 0.353519, 0.362595, 0.371207, 0.378162, 0.384068, 0.389608, 0.396371, 0.399004, 0.40427, 0.410577, 0.422774, 0.431635, 0.441156, 0.451317, 0.455368");
-            values ( \
-              "-0.00493725, -0.011789, -0.0199222, -0.0239823, -0.0246693, -0.028374, -0.0241667, -0.0280891, -0.0283489, -0.0245733, -0.0287072, -0.0237567, -0.0250696, -0.0200434, -0.0214511, -0.0138995, -0.0173402, -0.0119347, -0.0137913, -0.00756997, -0.00905841, -0.00403124, -0.00817819, -0.00379539, -0.00773092, -0.00317391, -0.00696587, -0.00240417, -0.00614423, -0.00127742, -0.00498956, -0.000294049, -0.00416006, -1e-22, -0.00346577, -1e-22, -0.00296406, -1e-22, -0.00239469, -1e-22, -0.00239592, -1e-22, -0.000694006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.128555, 0.139585, 0.162628, 0.184, 0.195753, 0.21034, 0.214185, 0.22265, 0.241358, 0.247848, 0.254471, 0.273205, 0.297073, 0.3203, 0.347505, 0.362084, 0.384895, 0.419704, 0.457685, 0.56538, 0.617812");
-            values ( \
-              "-0.00597313, -0.0186469, -0.0286263, -0.0347933, -0.0366453, -0.0377219, -0.0397296, -0.0393338, -0.0401541, -0.0398762, -0.0388311, -0.0334754, -0.0234326, -0.0153893, -0.00829279, -0.00672706, -0.00550514, -0.00281353, -0.00103493, -0.000429007, -0.000322163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.133982, 0.153345, 0.168451, 0.193167, 0.193449, 0.199086, 0.205099, 0.210272, 0.212834, 0.215112, 0.218649, 0.2309, 0.236143, 0.246628, 0.257317, 0.278409, 0.278976, 0.284268, 0.290317, 0.301716, 0.324513, 0.337392, 0.34573, 0.358508, 0.374543, 0.379217, 0.380029, 0.381653, 0.400172, 0.412367, 0.421437, 0.434414, 0.436982, 0.442118, 0.452389, 0.464818, 0.480917, 0.49576, 0.509535, 0.519343, 0.538959, 0.553931, 0.590636, 0.653607, 0.708936, 0.765681, 0.822427");
-            values ( \
-              "-0.0263407, -0.0347227, -0.0434964, -0.051727, -0.0518809, -0.0528794, -0.0537072, -0.0542175, -0.0571367, -0.0569433, -0.0565081, -0.0557972, -0.055661, -0.055704, -0.0563341, -0.0547093, -0.054503, -0.0530933, -0.0511712, -0.0469827, -0.0363873, -0.0306904, -0.0272986, -0.0227192, -0.0179817, -0.0166944, -0.0165469, -0.0160935, -0.011501, -0.00887611, -0.00781114, -0.00639122, -0.00623144, -0.00580132, -0.00527144, -0.00474413, -0.00423481, -0.00355021, -0.00285225, -0.00244439, -0.00193808, -0.00164645, -0.00117589, -0.000614382, -0.000350103, -0.00014857, -9.82631e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.133984, 0.153797, 0.169948, 0.17749, 0.192574, 0.210271, 0.212707, 0.216531, 0.219421, 0.2252, 0.24528, 0.26311, 0.291084, 0.293633, 0.301788, 0.310568, 0.319632, 0.334035, 0.350723, 0.390222, 0.405795, 0.422013, 0.45138, 0.48628, 0.502664, 0.51236, 0.52704, 0.546975, 0.574334, 0.615821, 0.658005, 0.70706, 0.777258, 0.889172, 0.945917");
-            values ( \
-              "-0.0306094, -0.0463916, -0.0580278, -0.0621115, -0.0684959, -0.072447, -0.0761021, -0.076089, -0.0756633, -0.0754075, -0.0740543, -0.0731655, -0.0731684, -0.0733992, -0.0731788, -0.0723068, -0.0706692, -0.0667995, -0.060901, -0.043631, -0.0375003, -0.031831, -0.0231965, -0.0143535, -0.0110031, -0.00959987, -0.00814337, -0.0071435, -0.00612539, -0.00428738, -0.00295002, -0.00191487, -0.000964813, -0.000276055, -0.000171358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.141333, 0.168166, 0.191587, 0.21019, 0.216007, 0.223647, 0.231292, 0.244297, 0.256781, 0.27015, 0.296888, 0.329805, 0.350009, 0.390099, 0.407001, 0.420706, 0.43625, 0.503041, 0.577826, 0.597645, 0.614417, 0.636166, 0.681128, 0.716218, 0.747295, 0.771638, 0.813887, 0.86707, 0.907516, 0.954175, 1.0099, 1.06664, 1.12339, 1.23688");
-            values ( \
-              "-0.0641297, -0.0697138, -0.083542, -0.0894579, -0.0937654, -0.093716, -0.0931882, -0.0928333, -0.0920622, -0.0915255, -0.0899931, -0.0889888, -0.0890024, -0.0854456, -0.0818592, -0.0780519, -0.0730017, -0.0497903, -0.0279016, -0.0235052, -0.0207427, -0.0178269, -0.0126294, -0.00956357, -0.00773007, -0.00646951, -0.00491438, -0.00348575, -0.00267222, -0.00190979, -0.00126998, -0.000803783, -0.000517595, -0.000205976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.147079, 0.181598, 0.186159, 0.190719, 0.19528, 0.19984, 0.205214, 0.210588, 0.21341, 0.216231, 0.219053, 0.224355, 0.257201, 0.277051, 0.313233, 0.390471, 0.413299, 0.442295, 0.456618, 0.466166, 0.476311, 0.487051, 0.498034, 0.514871, 0.530386, 0.5459, 0.56375, 0.584317, 0.639529, 0.689295, 0.703269, 0.723512, 0.735227, 0.748049, 0.757724, 0.773928, 0.795501, 0.832298, 0.864996, 0.886239, 0.915514, 0.95964, 0.97967, 0.994879, 1.01194, 1.02047, 1.03941, 1.05834, 1.08612, 1.12944");
-            values ( \
-              "-0.0903127, -0.0909128, -0.0935996, -0.0958442, -0.0976468, -0.0990072, -0.102904, -0.107489, -0.108842, -0.108436, -0.108205, -0.108245, -0.107456, -0.106898, -0.105695, -0.10279, -0.102119, -0.101438, -0.100993, -0.10056, -0.0999581, -0.0991359, -0.0980781, -0.0959725, -0.0934672, -0.0906573, -0.0870054, -0.0824016, -0.0685097, -0.0565908, -0.0529644, -0.0472179, -0.0442227, -0.0414963, -0.0397276, -0.0370529, -0.0338475, -0.0287387, -0.0247417, -0.0224183, -0.0194141, -0.0151905, -0.0134315, -0.0122154, -0.0109904, -0.0104306, -0.0094126, -0.00849767, -0.00738322, -0.00592298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.259885, 0.286188, 0.304237, 0.311832, 0.33727, 0.36185, 0.3834, 0.407495, 0.417131, 0.420419, 0.426994, 0.437319, 0.44965, 0.467497, 0.496093, 0.502369, 0.503522, 0.505715, 0.510101, 0.514189, 0.524717, 0.549582, 0.563106, 0.584103, 0.592059, 0.602666, 0.611068, 0.644702, 0.66458, 0.695795, 0.735159, 0.830919, 0.887664");
-            values ( \
-              "-0.00680033, -0.00799548, -0.010542, -0.0115379, -0.0145813, -0.0170909, -0.0189938, -0.0219567, -0.0220723, -0.02196, -0.0212572, -0.0198653, -0.0170163, -0.0126873, -0.00637394, -0.00622704, -0.00607874, -0.00596304, -0.00628921, -0.00591752, -0.00525265, -0.00337668, -0.00242001, -0.00107659, -0.000668535, -0.00042056, -0.000364873, -0.000398234, -0.00037772, -0.000333132, -0.000227777, -6.89403e-05, -4.08951e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.259788, 0.282738, 0.329147, 0.345463, 0.372081, 0.395517, 0.416695, 0.43659, 0.455722, 0.457409, 0.489332, 0.5018, 0.505617, 0.510301, 0.532125, 0.539521, 0.546918, 0.570942, 0.591036, 0.630378, 0.64362, 0.665483, 0.74531, 0.841208, 0.954699");
-            values ( \
-              "-0.00988747, -0.0115236, -0.0206624, -0.023471, -0.0274937, -0.0303348, -0.0336814, -0.0345792, -0.0307468, -0.0306835, -0.0199927, -0.0162913, -0.0151963, -0.0148213, -0.00888722, -0.00785706, -0.00720631, -0.00559063, -0.00377424, -0.00149878, -0.00109068, -0.00085077, -0.000481298, -0.00015457, -2.23389e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.259871, 0.277065, 0.294506, 0.312536, 0.340346, 0.358771, 0.388764, 0.415406, 0.439903, 0.463301, 0.48664, 0.487893, 0.505699, 0.507754, 0.525196, 0.539457, 0.557013, 0.595227, 0.604207, 0.616432, 0.630545, 0.672414, 0.698751, 0.706492, 0.736662, 0.773695, 0.834555, 0.882597");
-            values ( \
-              "-0.0122898, -0.0152182, -0.0195193, -0.0248584, -0.0320878, -0.0364039, -0.042412, -0.0462435, -0.0502114, -0.0509066, -0.045057, -0.0450087, -0.0378779, -0.0381756, -0.0302928, -0.0247826, -0.0192352, -0.00961333, -0.00825998, -0.00683032, -0.0057283, -0.00406835, -0.00272897, -0.00242384, -0.00172608, -0.00122346, -0.000655059, -0.000443302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.268324, 0.296838, 0.325072, 0.357544, 0.379245, 0.414815, 0.447008, 0.477332, 0.505681, 0.506918, 0.50939, 0.521434, 0.529213, 0.537947, 0.584319, 0.598134, 0.614343, 0.632062, 0.669796, 0.677684, 0.685847, 0.696887, 0.71103, 0.732067, 0.756109, 0.794512, 0.819156, 0.849333, 0.872703, 0.928974, 0.971384, 1.02813, 1.14162");
-            values ( \
-              "-0.0248944, -0.0267279, -0.0371139, -0.0476599, -0.0539407, -0.0618558, -0.0660285, -0.0696095, -0.0697615, -0.0708398, -0.0703542, -0.0669029, -0.06423, -0.0607816, -0.0408234, -0.0356445, -0.0301755, -0.0249151, -0.0152253, -0.0133318, -0.0117465, -0.00989721, -0.00845906, -0.00723989, -0.00636517, -0.00466018, -0.00372112, -0.00287386, -0.00233069, -0.00137967, -0.000926189, -0.000481349, -0.000124094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.268106, 0.292566, 0.356513, 0.385814, 0.409955, 0.45501, 0.497026, 0.505466, 0.512359, 0.537516, 0.552125, 0.574079, 0.587146, 0.604125, 0.623546, 0.690434, 0.768221, 0.794463, 0.815298, 0.867972, 0.898587, 0.955217, 1.00073, 1.06036, 1.148, 1.20474, 1.31823, 1.43172");
-            values ( \
-              "-0.0275228, -0.0312145, -0.0579965, -0.0684309, -0.075444, -0.0838553, -0.0868145, -0.0876266, -0.0891882, -0.0889967, -0.0884119, -0.0859066, -0.083417, -0.0791812, -0.0729663, -0.0497465, -0.0271263, -0.0218566, -0.0188663, -0.0126809, -0.00996819, -0.00667327, -0.00495239, -0.00336816, -0.00183401, -0.0011922, -0.00048048, -0.000187817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.287881, 0.335693, 0.362764, 0.381654, 0.398531, 0.419692, 0.430273, 0.450951, 0.47007, 0.50675, 0.519358, 0.5388, 0.557633, 0.574842, 0.57949, 0.618401, 0.640738, 0.656175, 0.671611, 0.679674, 0.6958, 0.703863, 0.711843, 0.727801, 0.73578, 0.763934, 0.782355, 0.832816, 0.87804, 0.89191, 0.914904, 0.928138, 0.935975, 0.950932, 0.966002, 0.982646, 1.02173, 1.04115, 1.05855, 1.07595, 1.11169, 1.13589, 1.16048, 1.182, 1.20352, 1.23198, 1.24147, 1.26985, 1.29822, 1.3171");
-            values ( \
-              "-0.0562476, -0.0566066, -0.0694682, -0.0774384, -0.083731, -0.0904211, -0.0932788, -0.0962188, -0.0991776, -0.1055, -0.105077, -0.104238, -0.103611, -0.102825, -0.102776, -0.101756, -0.101061, -0.10029, -0.09928, -0.0985698, -0.0968451, -0.0958305, -0.0946325, -0.0919493, -0.0904642, -0.0843539, -0.0799883, -0.0674676, -0.0566731, -0.0530625, -0.0465807, -0.0433815, -0.04173, -0.0390117, -0.0365383, -0.0340227, -0.0286661, -0.0262407, -0.0242567, -0.0223514, -0.0187214, -0.0163305, -0.0140525, -0.0123042, -0.0107847, -0.00921712, -0.00875016, -0.00756783, -0.00653775, -0.00593655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.544811, 0.593082, 0.620682, 0.634011, 0.685174, 0.724302, 0.757839, 0.801778, 0.813448, 0.82643, 0.839641, 0.861575, 0.88431, 0.893362, 0.901781, 0.913174, 0.927541, 0.944515, 0.9859, 0.993182, 1.00305, 1.02161, 1.03087, 1.04131, 1.05954, 1.08902, 1.17005, 1.21588, 1.23751, 1.26626, 1.34867");
-            values ( \
-              "-0.00351987, -0.00403316, -0.00538085, -0.00619015, -0.0090067, -0.0108608, -0.0123606, -0.0150321, -0.0149301, -0.0150955, -0.0142675, -0.0122148, -0.00902056, -0.00740027, -0.0067261, -0.00637718, -0.00574618, -0.00459969, -0.00155042, -0.00116903, -0.000831645, -0.000662899, -0.00066238, -0.000601796, -0.000575515, -0.000442745, -0.000182466, -0.000124497, -0.000220675, -0.000103043, -1.97166e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.544826, 0.588111, 0.602858, 0.618926, 0.680016, 0.732811, 0.739616, 0.753225, 0.775554, 0.814521, 0.822316, 0.835609, 0.849629, 0.863881, 0.887424, 0.895609, 0.926054, 0.939089, 0.947618, 0.97126, 1.00686, 1.01765, 1.0295, 1.03897, 1.05004, 1.07135, 1.08272, 1.09809, 1.11951, 1.14981, 1.21588, 1.23293, 1.2546, 1.27402, 1.31287, 1.36962");
-            values ( \
-              "-0.00531123, -0.00598382, -0.00685421, -0.00804151, -0.0132544, -0.0172026, -0.0175636, -0.0185669, -0.0200341, -0.0236149, -0.0240709, -0.0242837, -0.0241881, -0.0232342, -0.0195155, -0.0180418, -0.0124347, -0.0096182, -0.00864312, -0.00715281, -0.00415892, -0.00353378, -0.00269013, -0.00216015, -0.00169671, -0.0013097, -0.00123152, -0.00104595, -0.000910528, -0.000640698, -0.000289969, -0.000486676, -0.000308574, -0.000237386, -0.000118763, -5.52601e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.544891, 0.583118, 0.606108, 0.717723, 0.764377, 0.804461, 0.839783, 0.871978, 0.904054, 0.919999, 1.00968, 1.0292, 1.04291, 1.06541, 1.08131, 1.12673, 1.15431, 1.18543, 1.21186, 1.21594, 1.2241, 1.24533, 1.28856");
-            values ( \
-              "-0.0073082, -0.00823342, -0.0102431, -0.0229701, -0.027679, -0.0313261, -0.0355617, -0.0378295, -0.0354988, -0.0323313, -0.0118809, -0.00874398, -0.00736214, -0.00606073, -0.00545211, -0.00298553, -0.00220298, -0.0016111, -0.00130004, -0.00116223, -0.00150225, -0.00110734, -0.000644896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.54494, 0.586818, 0.608312, 0.7488, 0.802734, 0.849204, 0.890495, 0.903428, 0.928485, 0.947344, 0.967188, 1.04368, 1.09666, 1.12413, 1.13896, 1.15815, 1.21598, 1.22423, 1.25108, 1.28758, 1.34342, 1.43041, 1.48715, 1.60065");
-            values ( \
-              "-0.00800421, -0.0116759, -0.0141006, -0.0347303, -0.0416096, -0.0470326, -0.0527605, -0.054211, -0.0549624, -0.05367, -0.0504356, -0.0302795, -0.0174163, -0.0118865, -0.0100237, -0.00864048, -0.00576003, -0.005891, -0.00453489, -0.00323518, -0.00190046, -0.00076456, -0.000414719, -0.000119496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.571599, 0.639953, 0.712269, 0.765904, 0.851877, 0.91664, 0.967722, 1.00404, 1.0161, 1.04005, 1.06646, 1.09244, 1.21585, 1.22274, 1.24032, 1.25849, 1.31124, 1.33055, 1.35629, 1.38275, 1.40782, 1.46636, 1.49756, 1.53884, 1.58712, 1.64386, 1.70061, 1.75735, 1.87085");
-            values ( \
-              "-0.0196443, -0.0229252, -0.0361718, -0.0454854, -0.0588567, -0.0672059, -0.0732497, -0.0751375, -0.0744088, -0.0718616, -0.0664228, -0.0599497, -0.0269282, -0.0262504, -0.022874, -0.0201225, -0.0135345, -0.0115704, -0.00946232, -0.00785121, -0.0065639, -0.00449342, -0.00365637, -0.00277, -0.00195793, -0.00127981, -0.00081446, -0.000517246, -0.000203712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.571346, 0.624821, 0.808244, 0.862185, 0.910225, 0.944171, 1.01701, 1.08512, 1.14186, 1.15183, 1.17176, 1.22267, 1.33171, 1.36807, 1.40501, 1.45178, 1.5253, 1.58516, 1.65171, 1.69008, 1.74682, 1.80511, 1.91713, 2.03062, 2.14411, 2.37109");
-            values ( \
-              "-0.0228364, -0.0239662, -0.0602572, -0.069916, -0.0774287, -0.0821286, -0.0896, -0.0938972, -0.093634, -0.0929418, -0.0908073, -0.0824349, -0.0556037, -0.0457028, -0.0383666, -0.0314306, -0.0224025, -0.0164068, -0.0110189, -0.00887897, -0.00656509, -0.00496747, -0.00293599, -0.00169115, -0.000949468, -0.000285279" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00696604, 0.00697413, 0.00698328, 0.00699234, 0.00700016, 0.00700611", \
-            "0.00785524, 0.0078607, 0.00786763, 0.00787508, 0.00788201, 0.00788755", \
-            "0.0083313, 0.00833415, 0.00833818, 0.00834313, 0.0083483, 0.00835286", \
-            "0.00860278, 0.00860371, 0.0086052, 0.00860737, 0.00861012, 0.00861304", \
-            "0.00875028, 0.00875052, 0.00875092, 0.00875153, 0.00875244, 0.00875369", \
-            "0.00884317, 0.00884333, 0.00884355, 0.00884384, 0.00884419, 0.00884463" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00907367, 0.00908135, 0.00909084, 0.00910082, 0.00910964, 0.00911653", \
-            "0.00985516, 0.00986146, 0.00986935, 0.00987799, 0.0098858, 0.00989203", \
-            "0.0103379, 0.0103432, 0.0103497, 0.0103565, 0.0103627, 0.0103676", \
-            "0.0108146, 0.0108151, 0.0108161, 0.0108175, 0.0108191, 0.0108204", \
-            "0.0112846, 0.0112448, 0.0111974, 0.0111473, 0.0111023, 0.0110662", \
-            "0.0118517, 0.0117715, 0.0116457, 0.011494, 0.0113509, 0.0112364" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.1413, 0.159086, 0.18989, 0.243374, 0.336355, 0.498038", \
-            "0.145943, 0.163788, 0.194799, 0.24845, 0.34161, 0.503418", \
-            "0.157443, 0.175401, 0.206591, 0.260503, 0.35394, 0.516", \
-            "0.183741, 0.201637, 0.23279, 0.28688, 0.380551, 0.542945", \
-            "0.246149, 0.264581, 0.295247, 0.348825, 0.442362, 0.604988", \
-            "0.357612, 0.382756, 0.423894, 0.48914, 0.592031, 0.754419" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.179264, 0.205252, 0.249445, 0.324975, 0.454442, 0.680287", \
-            "0.17921, 0.205201, 0.249415, 0.324955, 0.454429, 0.680277", \
-            "0.179001, 0.205016, 0.249255, 0.324854, 0.454375, 0.680238", \
-            "0.178158, 0.204315, 0.248655, 0.324431, 0.454084, 0.680101", \
-            "0.191899, 0.215089, 0.255478, 0.326536, 0.452859, 0.679425", \
-            "0.255869, 0.279535, 0.317999, 0.375686, 0.485473, 0.69226" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0947825, 0.105631, 0.12427, 0.156309, 0.211514, 0.30702", \
-            "0.0985015, 0.109421, 0.128145, 0.16027, 0.215529, 0.311047", \
-            "0.107148, 0.118169, 0.137055, 0.16937, 0.224842, 0.320519", \
-            "0.128174, 0.138762, 0.158287, 0.190795, 0.246588, 0.342568", \
-            "0.170219, 0.184621, 0.20772, 0.243619, 0.299596, 0.395778", \
-            "0.211532, 0.233087, 0.267732, 0.321839, 0.403127, 0.521235" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0931377, 0.106682, 0.130851, 0.173228, 0.247116, 0.376419", \
-            "0.0928577, 0.106429, 0.130545, 0.172754, 0.246726, 0.375978", \
-            "0.0927, 0.106257, 0.130266, 0.172554, 0.246559, 0.375756", \
-            "0.0951491, 0.107231, 0.130643, 0.172482, 0.246533, 0.375658", \
-            "0.129647, 0.140813, 0.159151, 0.192843, 0.256951, 0.377641", \
-            "0.201261, 0.216911, 0.242211, 0.2829, 0.34582, 0.444989" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0451915, 0.051081, 0.0602142, 0.108351, 0.124139, 0.132338, 0.139999, 0.1486, 0.149596, 0.158623, 0.166793, 0.187679, 0.19881, 0.214854, 0.226311, 0.234348, 0.243102, 0.289494, 0.310164, 0.320969, 0.335489, 0.352116, 0.372312, 0.40719, 0.43842, 0.484813, 0.569057, 0.730568, 0.811324");
-            values ( \
-              "0.00535373, 0.0215342, 0.0212398, 0.0189878, 0.0180079, 0.0170987, 0.0156633, 0.01588, 0.0151386, 0.0148508, 0.0140178, 0.0107223, 0.00949755, 0.00852597, 0.00796529, 0.00817699, 0.00812862, 0.00544858, 0.00516444, 0.0048852, 0.00437991, 0.00350194, 0.00273648, 0.00189621, 0.00136224, 0.000809968, 0.000289849, 1.11556e-05, 5.24603e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0439029, 0.0521417, 0.0714509, 0.0916134, 0.126761, 0.139429, 0.158525, 0.166708, 0.195698, 0.197993, 0.211763, 0.222609, 0.266136, 0.287113, 0.309726, 0.346147, 0.370694, 0.400437, 0.414554, 0.427559, 0.443565, 0.447058, 0.454045, 0.468017, 0.492226, 0.51986, 0.536884, 0.541118, 0.549586, 0.566521, 0.592574, 0.640274, 0.72103, 0.743718");
-            values ( \
-              "0.00101112, 0.0319703, 0.0310531, 0.0296343, 0.0278506, 0.0266329, 0.0239869, 0.0235742, 0.0202831, 0.0190278, 0.0166787, 0.0154397, 0.0116667, 0.0109569, 0.00938093, 0.00839672, 0.00719749, 0.00510924, 0.00460345, 0.00382571, 0.00350801, 0.00313031, 0.00321606, 0.00253267, 0.00228652, 0.00147256, 0.00153782, 0.00115673, 0.00138575, 0.00085854, 0.000963957, 0.000316215, 0.000378219, 0.000271963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0437858, 0.0537976, 0.132962, 0.163766, 0.179647, 0.22124, 0.239496, 0.259227, 0.312324, 0.338531, 0.351372, 0.37527, 0.38842, 0.484002, 0.524005, 0.588405, 0.637974, 0.706496, 0.85797");
-            values ( \
-              "0.00310925, 0.0441714, 0.0394634, 0.0372278, 0.0347921, 0.0308338, 0.026792, 0.0237489, 0.018268, 0.01496, 0.013925, 0.0140624, 0.0137526, 0.00712604, 0.00510386, 0.00301019, 0.0019911, 0.00111383, 0.000319344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0486866, 0.0562039, 0.0589177, 0.104384, 0.124091, 0.180307, 0.198917, 0.24692, 0.250998, 0.259154, 0.275466, 0.301729, 0.322387, 0.364395, 0.4248, 0.436294, 0.449181, 0.463822, 0.498739, 0.60526, 0.631693, 0.673653, 0.723171, 0.767966, 0.803072, 0.835133, 0.87788, 0.958636, 1.03939, 1.12015, 1.28166");
-            values ( \
-              "0.0532717, 0.0565425, 0.0566348, 0.0535402, 0.0526903, 0.0496745, 0.0485146, 0.0434554, 0.043467, 0.0425564, 0.041325, 0.0366334, 0.0336676, 0.0294507, 0.0215846, 0.0213875, 0.0207508, 0.0203161, 0.0184151, 0.0104114, 0.00877352, 0.00670268, 0.00486535, 0.00366021, 0.0028757, 0.00232416, 0.00178196, 0.000998635, 0.000604741, 0.000317398, 9.16346e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0485557, 0.0599129, 0.103221, 0.278757, 0.323848, 0.343957, 0.416465, 0.440313, 0.487742, 0.548676, 0.563909, 0.58028, 0.596417, 0.65933, 0.68945, 0.720783, 0.853497, 0.905218, 0.927679, 0.9726, 1.02084, 1.08949, 1.12101, 1.18404, 1.26479, 1.34555, 1.4263, 1.50706, 1.58782, 1.66857, 1.74933");
-            values ( \
-              "0.0590253, 0.0674733, 0.0649464, 0.0576773, 0.0537772, 0.0532097, 0.0449151, 0.0435483, 0.0389752, 0.0317041, 0.032719, 0.0307964, 0.0306474, 0.0240402, 0.0224985, 0.0196509, 0.0114493, 0.00962737, 0.00812906, 0.00711942, 0.00517655, 0.00420299, 0.00311903, 0.002756, 0.00142689, 0.0013879, 0.000511073, 0.000760754, 9.80976e-05, 0.000474075, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0551037, 0.0551237, 0.168698, 0.312219, 0.406232, 0.47186, 0.506101, 0.614152, 0.671018, 0.713636, 0.734973, 0.745729, 0.784832, 0.96089, 1.07669, 1.1999, 1.32578, 1.4079, 1.52761, 1.65638, 1.8179, 2.14092, 2.38319, 2.46394, 2.5447, 2.62545");
-            values ( \
-              "1e-22, 0.0828729, 0.0714331, 0.0680266, 0.065175, 0.0615263, 0.0609609, 0.0526658, 0.0493042, 0.0454269, 0.043992, 0.0446999, 0.0432196, 0.0294867, 0.0221197, 0.0159995, 0.0110669, 0.00858049, 0.00584106, 0.00380842, 0.00218173, 0.000641501, 0.000536086, 0.000108025, 0.000384485, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0588249, 0.0717526, 0.0809187, 0.0900915, 0.0998598, 0.119014, 0.119679, 0.128993, 0.140586, 0.164184, 0.176488, 0.196841, 0.207296, 0.216375, 0.228348, 0.233366, 0.240056, 0.246666, 0.254614, 0.266143, 0.29711, 0.306634, 0.317174, 0.338452, 0.350872, 0.364412, 0.383449, 0.406988, 0.43028, 0.474644, 0.494196, 0.499548, 0.510253, 0.531662, 0.616038, 0.777549");
-            values ( \
-              "0.00853498, 0.0215813, 0.0208369, 0.0207299, 0.0198917, 0.0193688, 0.0191782, 0.0187081, 0.0179228, 0.0150522, 0.0147764, 0.0115624, 0.0102331, 0.00936868, 0.00870351, 0.00831567, 0.00797963, 0.00815322, 0.0082106, 0.00777233, 0.00582042, 0.00539541, 0.00531228, 0.00481597, 0.0043692, 0.00365269, 0.00286831, 0.00223264, 0.00175574, 0.00108892, 0.00092679, 0.000820185, 0.000785965, 0.000564149, 0.000195151, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0587767, 0.0739527, 0.0829793, 0.0847114, 0.0881755, 0.0951037, 0.107006, 0.129524, 0.153412, 0.16304, 0.171916, 0.181733, 0.182722, 0.200695, 0.218513, 0.239318, 0.284604, 0.295296, 0.312217, 0.334319, 0.346304, 0.370891, 0.390937, 0.410952, 0.438024, 0.462747, 0.50339, 0.532155, 0.586886, 0.627718, 0.703055, 0.739295");
-            values ( \
-              "0.0127499, 0.0319561, 0.0310577, 0.031316, 0.0307375, 0.0306322, 0.0295855, 0.028643, 0.0268765, 0.025658, 0.0238064, 0.0240522, 0.0230912, 0.0217666, 0.0181684, 0.0152414, 0.0114282, 0.01127, 0.0103845, 0.0089587, 0.00890676, 0.00797195, 0.00690579, 0.00551406, 0.00414269, 0.00326727, 0.00222203, 0.00167541, 0.000974213, 0.000652094, 0.000288293, 0.000234311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0636067, 0.0695039, 0.0775472, 0.0986068, 0.113524, 0.17825, 0.196072, 0.230581, 0.276259, 0.353803, 0.366958, 0.391177, 0.419246, 0.499625, 0.539634, 0.604137, 0.653632, 0.721967, 0.883479, 1.04499");
-            values ( \
-              "0.0362945, 0.0439705, 0.0441329, 0.0422427, 0.0416409, 0.0375115, 0.0346588, 0.0316336, 0.0236027, 0.0150096, 0.0139262, 0.0140824, 0.0129678, 0.00709816, 0.00507401, 0.00297589, 0.00195836, 0.00108274, 0.000234122, 2.97834e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0634643, 0.0719994, 0.0802806, 0.0829398, 0.0882584, 0.0988954, 0.101489, 0.106675, 0.117048, 0.139855, 0.178563, 0.179804, 0.197184, 0.217048, 0.230353, 0.247606, 0.266743, 0.289244, 0.315395, 0.317405, 0.321425, 0.329465, 0.380308, 0.433675, 0.454383, 0.464843, 0.480285, 0.496135, 0.513848, 0.532193, 0.554026, 0.579768, 0.58304, 0.602671, 0.64658, 0.690677, 0.717224, 0.754273, 0.798131, 0.830654, 0.87136, 0.911034, 0.979657, 1.06041, 1.14117, 1.30268");
-            values ( \
-              "0.0398708, 0.0567424, 0.0561883, 0.0562634, 0.0556297, 0.0551283, 0.054712, 0.0546073, 0.0537432, 0.0526861, 0.0504676, 0.0505236, 0.0496197, 0.0483378, 0.0471313, 0.0447594, 0.0438177, 0.0408618, 0.0377739, 0.0364057, 0.036073, 0.0347192, 0.0294994, 0.0225552, 0.02078, 0.0211199, 0.0199396, 0.0196976, 0.0182057, 0.0172665, 0.0152919, 0.0135948, 0.0130891, 0.0116346, 0.00881316, 0.00665532, 0.00563054, 0.00441387, 0.00331963, 0.00266601, 0.00202685, 0.00159453, 0.000965039, 0.000592768, 0.000301972, 8.13826e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0635218, 0.0759873, 0.146703, 0.278895, 0.393239, 0.432492, 0.505666, 0.566861, 0.582179, 0.599086, 0.613925, 0.675325, 0.794083, 0.868942, 0.94372, 1.03778, 1.10724, 1.27753, 1.49303");
-            values ( \
-              "0.0475253, 0.0677232, 0.0636219, 0.0585322, 0.0502463, 0.0451006, 0.038631, 0.0318359, 0.0324306, 0.0308195, 0.0303027, 0.02428, 0.0160956, 0.0117276, 0.00837814, 0.00540342, 0.00392088, 0.00170666, 0.000647773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0695553, 0.0695753, 0.192783, 0.374132, 0.422296, 0.488289, 0.522164, 0.630208, 0.660073, 0.730536, 0.751481, 0.761796, 0.781436, 0.822311, 1.03828, 1.19696, 1.3259, 1.38439, 1.4411, 1.59745, 1.76049, 1.922, 2.24502, 2.56804, 2.6488");
-            values ( \
-              "1e-22, 0.0801082, 0.0714449, 0.0667239, 0.0649255, 0.0617605, 0.060715, 0.0529199, 0.0513665, 0.0450735, 0.0442816, 0.0444175, 0.0438656, 0.0415124, 0.025333, 0.0169464, 0.0117004, 0.00979743, 0.00821647, 0.00497795, 0.00290809, 0.00167953, 0.000522285, 0.000122196, 0.000246071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0978205, 0.103568, 0.109012, 0.116746, 0.161015, 0.177688, 0.201243, 0.213546, 0.233781, 0.244067, 0.253226, 0.266957, 0.277347, 0.28399, 0.292024, 0.30332, 0.343195, 0.353579, 0.374852, 0.421893, 0.464311, 0.501991, 0.53572, 0.578306, 0.686876, 0.848388");
-            values ( \
-              "0.00568454, 0.021428, 0.021452, 0.0211969, 0.0190022, 0.0179291, 0.0149897, 0.0148708, 0.0115592, 0.0103244, 0.00936278, 0.00853037, 0.00796183, 0.00817076, 0.00818946, 0.00776809, 0.0053929, 0.00531629, 0.00483577, 0.00282084, 0.00180686, 0.00120751, 0.0008249, 0.000500446, 0.000116762, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0977101, 0.104817, 0.111202, 0.144146, 0.178918, 0.191896, 0.210787, 0.219144, 0.233368, 0.24804, 0.25039, 0.25509, 0.26449, 0.28879, 0.292434, 0.314297, 0.327041, 0.337549, 0.34939, 0.374297, 0.386389, 0.41177, 0.450857, 0.478477, 0.526859, 0.579528, 0.59367, 0.621954, 0.753493, 0.834249, 0.915004");
-            values ( \
-              "0.00640217, 0.0318077, 0.031896, 0.0297599, 0.02784, 0.0267177, 0.0239583, 0.0237257, 0.021673, 0.0204477, 0.0189686, 0.0185462, 0.0165718, 0.0141096, 0.0140104, 0.0121168, 0.0111634, 0.0111869, 0.0102685, 0.00884902, 0.00884735, 0.00775384, 0.00530314, 0.00395943, 0.00245957, 0.00144787, 0.00139015, 0.00092437, 0.000180778, 0.000179593, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.101249, 0.106915, 0.113299, 0.114943, 0.118231, 0.123308, 0.128497, 0.138875, 0.200003, 0.216658, 0.238937, 0.250414, 0.267918, 0.287129, 0.288634, 0.307407, 0.321259, 0.338044, 0.364934, 0.391774, 0.404193, 0.406077, 0.409844, 0.427752, 0.440701, 0.455638, 0.536844, 0.576722, 0.593205, 0.641616, 0.690836, 0.758489, 0.839245, 0.920001, 1.08151");
-            values ( \
-              "0.0394697, 0.0440364, 0.0442762, 0.0439428, 0.0439608, 0.0433827, 0.0431794, 0.0422398, 0.038566, 0.0372333, 0.034041, 0.0334867, 0.0309021, 0.0288094, 0.0275309, 0.02447, 0.0227685, 0.0212061, 0.0182523, 0.0149609, 0.0138777, 0.0139731, 0.0139146, 0.014065, 0.0137347, 0.0130115, 0.00709933, 0.00508028, 0.00443307, 0.00296817, 0.00195676, 0.00108739, 0.000586364, 0.000233682, 2.79763e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.10136, 0.109918, 0.259341, 0.304499, 0.321898, 0.370872, 0.49058, 0.502886, 0.518129, 0.534141, 0.640383, 0.68399, 0.728338, 0.792777, 0.836786, 0.944601, 1.08963, 1.13607");
-            values ( \
-              "0.0395895, 0.0567339, 0.0480496, 0.043233, 0.0420098, 0.0343507, 0.0208516, 0.0211031, 0.0199448, 0.0196774, 0.0116312, 0.00882748, 0.00665511, 0.00438909, 0.00329958, 0.00163908, 0.0006276, 0.000524026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.101368, 0.114138, 0.184718, 0.308395, 0.332814, 0.378332, 0.398004, 0.431555, 0.470483, 0.542235, 0.602675, 0.617918, 0.634243, 0.650401, 0.713317, 0.774682, 0.907972, 0.981683, 1.07414, 1.17495, 1.32099, 1.4825, 1.80553");
-            values ( \
-              "0.0446439, 0.0678422, 0.0637254, 0.0588684, 0.0575265, 0.0539144, 0.0530647, 0.0501337, 0.0451058, 0.0387458, 0.0318767, 0.0325326, 0.0309786, 0.0304645, 0.0242273, 0.0198441, 0.011612, 0.00831674, 0.00538272, 0.00330796, 0.00159664, 0.000690757, 0.000100741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.107044, 0.107064, 0.230672, 0.412021, 0.460176, 0.525645, 0.560036, 0.697493, 0.768465, 0.838901, 1.07619, 1.23476, 1.42225, 1.63475, 1.87984, 2.08974");
-            values ( \
-              "1e-22, 0.0796787, 0.0713697, 0.06664, 0.065019, 0.0617007, 0.0608018, 0.0513261, 0.0451408, 0.0430051, 0.0254009, 0.0170206, 0.00986883, 0.00505839, 0.00230855, 0.00141629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.175468, 0.185005, 0.192976, 0.204886, 0.210128, 0.213304, 0.217748, 0.221401, 0.224955, 0.231453, 0.242045, 0.244562, 0.249594, 0.25719, 0.265301, 0.279889, 0.288756, 0.30021, 0.311613, 0.315928, 0.324556, 0.336615, 0.347081, 0.354095, 0.361932, 0.378447, 0.388727, 0.423662, 0.433449, 0.444845, 0.465063, 0.476198, 0.488972, 0.508593, 0.532902, 0.538974, 0.551118, 0.596039, 0.617178, 0.623246, 0.635383, 0.659655, 0.708199, 0.788954, 0.950466");
-            values ( \
-              "0.00243493, 0.013881, 0.0154316, 0.0172186, 0.0176997, 0.0196983, 0.0202887, 0.0202514, 0.0203122, 0.0199733, 0.0195194, 0.019352, 0.019119, 0.0186358, 0.0180368, 0.0162528, 0.0150552, 0.0150522, 0.0131726, 0.0126469, 0.0111188, 0.00984798, 0.00894835, 0.00865276, 0.00799715, 0.00820844, 0.00783405, 0.00567941, 0.00535029, 0.00527508, 0.0047421, 0.00431355, 0.00362189, 0.00283294, 0.00219448, 0.00209498, 0.00182221, 0.00112965, 0.000927671, 0.000835297, 0.0007634, 0.000552134, 0.000344907, 0.000105854, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.175587, 0.187062, 0.19609, 0.21024, 0.211543, 0.213561, 0.215144, 0.21831, 0.222241, 0.229437, 0.255277, 0.273296, 0.279651, 0.292137, 0.30675, 0.327677, 0.337896, 0.352953, 0.371249, 0.379734, 0.396705, 0.41314, 0.436725, 0.450217, 0.462024, 0.487433, 0.499708, 0.51193, 0.515818, 0.523594, 0.536789, 0.55053, 0.564733, 0.580354, 0.58636, 0.598374, 0.617397, 0.63915, 0.670186, 0.679003, 0.696637, 0.731904, 0.783129, 0.863885, 0.944641, 1.0254");
-            values ( \
-              "0.00234514, 0.0213048, 0.0237368, 0.0264114, 0.028761, 0.0298325, 0.0298744, 0.0303763, 0.0304597, 0.0302978, 0.0286776, 0.0274165, 0.026886, 0.0252551, 0.0228813, 0.0219132, 0.0190261, 0.0170401, 0.0142393, 0.0142621, 0.012291, 0.0114081, 0.010471, 0.00925696, 0.00901935, 0.00835173, 0.00761124, 0.00712209, 0.0067429, 0.00636957, 0.0053413, 0.00473879, 0.00396986, 0.0035503, 0.0032127, 0.00300623, 0.00237694, 0.00205963, 0.00139543, 0.00141694, 0.00105951, 0.000864345, 0.00039953, 0.000269284, 1.3482e-05, 0.000105807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.175616, 0.189914, 0.200804, 0.210242, 0.21363, 0.219261, 0.224829, 0.233953, 0.273605, 0.30665, 0.337072, 0.337933, 0.362119, 0.379072, 0.397453, 0.452262, 0.47873, 0.491426, 0.515258, 0.528344, 0.543267, 0.624056, 0.664044, 0.680265, 0.728485, 0.777975, 0.846305, 0.927061, 1.00782, 1.16933");
-            values ( \
-              "0.00255371, 0.0305245, 0.0344711, 0.0367744, 0.0415187, 0.0424383, 0.0426889, 0.0422426, 0.039581, 0.0371083, 0.0329748, 0.0331227, 0.0307765, 0.0270937, 0.0241046, 0.0182856, 0.0149444, 0.0139161, 0.0140729, 0.0137324, 0.0129857, 0.00710784, 0.0050845, 0.00444927, 0.00298769, 0.00196963, 0.00109342, 0.000571905, 0.000244125, 3.96273e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.175515, 0.193995, 0.210141, 0.214753, 0.222099, 0.227291, 0.237469, 0.249937, 0.325358, 0.346805, 0.381014, 0.391968, 0.438989, 0.442607, 0.449841, 0.464048, 0.482847, 0.536622, 0.558562, 0.579291, 0.589794, 0.605233, 0.621077, 0.65709, 0.728426, 0.772404, 0.815614, 0.877819, 0.920611, 0.970014, 1.04178, 1.11435, 1.19511, 1.27587, 1.43738");
-            values ( \
-              "0.00352203, 0.041081, 0.0474303, 0.0538116, 0.0552652, 0.0552323, 0.0547874, 0.0538177, 0.0495101, 0.0481062, 0.044124, 0.0438453, 0.0380865, 0.036385, 0.0358372, 0.0335772, 0.0320241, 0.0254918, 0.0223773, 0.020948, 0.0209907, 0.0200533, 0.0196013, 0.0171968, 0.0115814, 0.00876474, 0.00665209, 0.00444831, 0.00338126, 0.00243945, 0.00151807, 0.000918068, 0.000538664, 0.000298141, 9.22439e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.175439, 0.199867, 0.225742, 0.420458, 0.467958, 0.485616, 0.641772, 0.676175, 0.720094, 0.802662, 0.918723, 1.05653, 1.19953, 1.34809, 1.55295");
-            values ( \
-              "0.00640027, 0.0523854, 0.066497, 0.0576247, 0.0537357, 0.053203, 0.0373935, 0.0329175, 0.0314431, 0.0242601, 0.0162149, 0.00891003, 0.00456305, 0.00223617, 0.000928549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.187792, 0.209797, 0.226477, 0.306641, 0.523489, 0.647803, 0.790233, 0.870906, 0.887293, 0.923354, 1.16038, 1.32643, 1.5101, 1.67642, 1.93964, 2.26266, 2.2926");
-            values ( \
-              "0.0596263, 0.06411, 0.0752828, 0.0718863, 0.065947, 0.0606794, 0.0510822, 0.0440684, 0.0446108, 0.0433178, 0.0254825, 0.0167351, 0.00979992, 0.00582178, 0.00246563, 0.000827626, 0.000785268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.33531, 0.355096, 0.369989, 0.389676, 0.421, 0.447871, 0.473181, 0.498459, 0.500394, 0.502974, 0.510337, 0.51483, 0.537654, 0.551994, 0.571879, 0.578271, 0.585544, 0.595663, 0.60367, 0.633429, 0.643069, 0.665247, 0.68342, 0.70331, 0.713411, 0.734928, 0.765365, 0.786983, 0.814097, 0.85681, 0.888517, 0.95193, 1.03269, 1.11344");
-            values ( \
-              "0.000916433, 0.00695364, 0.00883196, 0.0109992, 0.0137869, 0.015167, 0.0155895, 0.0144017, 0.0138987, 0.0137372, 0.0149909, 0.0147979, 0.0110483, 0.00951524, 0.00808509, 0.00806384, 0.00825301, 0.00793446, 0.00752356, 0.00565503, 0.00553297, 0.00509127, 0.00442641, 0.00337491, 0.00298577, 0.00237959, 0.00174565, 0.00140123, 0.00103715, 0.000645694, 0.000463437, 0.000211581, 8.84581e-05, 2.45128e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.335302, 0.357989, 0.374533, 0.396264, 0.414185, 0.43085, 0.460767, 0.489045, 0.497354, 0.505663, 0.511537, 0.517453, 0.524515, 0.532774, 0.543921, 0.547821, 0.555619, 0.569616, 0.582453, 0.589091, 0.602367, 0.61897, 0.630628, 0.646011, 0.653676, 0.66403, 0.675309, 0.699955, 0.711072, 0.723211, 0.734851, 0.758267, 0.78872, 0.808524, 0.858272, 0.888908, 0.931054, 0.980905, 1.06166, 1.22317");
-            values ( \
-              "0.00110861, 0.0108481, 0.0138336, 0.0173218, 0.0197991, 0.0216077, 0.0237151, 0.0242537, 0.024085, 0.0234609, 0.023951, 0.022876, 0.0240329, 0.0224264, 0.0209196, 0.0195031, 0.0183854, 0.0157797, 0.0147554, 0.0139053, 0.0129974, 0.0112609, 0.0111962, 0.0103514, 0.00975703, 0.00919445, 0.00910434, 0.00821265, 0.00754372, 0.00696536, 0.00606575, 0.00469052, 0.00347469, 0.0028819, 0.00177942, 0.00131403, 0.000857847, 0.00054759, 0.00020411, 2.04272e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.335506, 0.36273, 0.387294, 0.406961, 0.424771, 0.447023, 0.482091, 0.505709, 0.514986, 0.529001, 0.541386, 0.548183, 0.561777, 0.577107, 0.585794, 0.603169, 0.616791, 0.634577, 0.655428, 0.682577, 0.6997, 0.702647, 0.708541, 0.718447, 0.72897, 0.743339, 0.758291, 0.774348, 0.791331, 0.795248, 0.803082, 0.818749, 0.837235, 0.85917, 0.876099, 0.879097, 0.885094, 0.897088, 0.91675, 0.952329, 0.980133, 0.988645, 1.00567, 1.03972, 1.09966, 1.18042, 1.26117, 1.34193");
-            values ( \
-              "0.00120318, 0.0161553, 0.0220731, 0.0262497, 0.0293612, 0.0323327, 0.0350501, 0.0358221, 0.0386383, 0.0353544, 0.0346242, 0.0327139, 0.0332285, 0.0294777, 0.0285654, 0.0244207, 0.023493, 0.0207991, 0.0193303, 0.0152163, 0.014258, 0.0137645, 0.0142795, 0.0138847, 0.0143401, 0.0132498, 0.0128758, 0.011176, 0.0104884, 0.00960142, 0.00952786, 0.00779758, 0.00715372, 0.00545493, 0.00525644, 0.00459424, 0.00490268, 0.00393218, 0.00384671, 0.00239526, 0.0023837, 0.00170055, 0.001977, 0.00101189, 0.00103235, 0.00010469, 0.000451444, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.350717, 0.369641, 0.392993, 0.423344, 0.439004, 0.472359, 0.505601, 0.510858, 0.515605, 0.522841, 0.537313, 0.556796, 0.566588, 0.583185, 0.601666, 0.624364, 0.663473, 0.714441, 0.763352, 0.779244, 0.793799, 0.799191, 0.809974, 0.825024, 0.840579, 0.860018, 0.881685, 0.936462, 0.968343, 1.00118, 1.03175, 1.06581, 1.10032, 1.14455, 1.2064, 1.28716, 1.36791, 1.52942, 1.61018");
-            values ( \
-              "0.0205958, 0.0229457, 0.0300687, 0.0378225, 0.0408803, 0.0454873, 0.0481751, 0.0502243, 0.0507711, 0.0506864, 0.0499883, 0.04845, 0.047535, 0.0453828, 0.0434969, 0.0418649, 0.035215, 0.0294213, 0.0230786, 0.021238, 0.0213798, 0.0207087, 0.0205538, 0.019626, 0.0189798, 0.0174561, 0.0160171, 0.0116514, 0.00958346, 0.00771619, 0.006359, 0.00509785, 0.00411191, 0.00303476, 0.00200513, 0.00120169, 0.000648089, 0.000193868, 0.000157854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.358377, 0.388172, 0.447149, 0.504745, 0.511031, 0.523604, 0.534584, 0.546135, 0.563187, 0.568926, 0.580402, 0.599153, 0.620778, 0.646555, 0.677685, 0.694409, 0.727857, 0.757756, 0.766597, 0.784279, 0.805551, 0.843229, 0.887431, 0.902071, 0.916135, 0.93185, 1.04487, 1.07663, 1.14331, 1.1755, 1.21401, 1.265, 1.27606, 1.29817, 1.34239, 1.40087, 1.45293, 1.46863, 1.50003, 1.56283, 1.64358, 1.72434, 1.8051, 1.88585, 1.96661, 2.04736, 2.12812");
-            values ( \
-              "0.0342701, 0.0343854, 0.0511151, 0.0592408, 0.0637862, 0.0618041, 0.063354, 0.0608395, 0.0618239, 0.0600042, 0.0608419, 0.0587448, 0.058838, 0.0570875, 0.0531995, 0.0539496, 0.0496035, 0.0473761, 0.0447392, 0.0445683, 0.0413862, 0.0387179, 0.0320459, 0.0330692, 0.0314799, 0.0317819, 0.0213179, 0.0200244, 0.0148408, 0.0136372, 0.0108708, 0.00927043, 0.00814153, 0.00799548, 0.00590469, 0.00505955, 0.00336412, 0.00374607, 0.00262953, 0.00249202, 0.00115111, 0.00130128, 0.000350063, 0.000757924, 1e-22, 0.000511136, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.359317, 0.463988, 0.515666, 0.528072, 0.57416, 0.758322, 0.825135, 0.858069, 0.967953, 1.00115, 1.08136, 1.09737, 1.11449, 1.13366, 1.36823, 1.53971, 1.72027, 1.88272, 2.00808, 2.16959, 2.3311, 2.49261, 2.60015");
-            values ( \
-              "0.0319215, 0.0616311, 0.0714402, 0.0723985, 0.0705485, 0.0651431, 0.0616497, 0.0609558, 0.0528969, 0.0511461, 0.0440246, 0.0446349, 0.0437094, 0.0433188, 0.0256263, 0.016589, 0.00979645, 0.00589067, 0.00392701, 0.00230281, 0.00133707, 0.000767594, 0.000625059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.683449, 0.723833, 0.825386, 0.891915, 0.929593, 0.948517, 0.965614, 0.983101, 1.01164, 1.0218, 1.03096, 1.04172, 1.04306, 1.06144, 1.10157, 1.10481, 1.12188, 1.14171, 1.18007, 1.21589, 1.22516, 1.2845, 1.33661, 1.37299, 1.41457, 1.49533, 1.53637");
-            values ( \
-              "0.000873068, 0.00297993, 0.00726383, 0.0098317, 0.0107641, 0.0107759, 0.0109708, 0.0118194, 0.00960395, 0.00950776, 0.00807284, 0.00754976, 0.00709712, 0.00675257, 0.00551262, 0.00556856, 0.00555249, 0.00512284, 0.00349703, 0.00253704, 0.00281751, 0.00158755, 0.000895923, 0.000604555, 0.00037271, 0.000144716, 0.000113748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.683395, 0.728726, 0.837928, 0.911099, 0.951965, 0.99074, 1.01003, 1.02809, 1.0543, 1.07103, 1.0943, 1.10464, 1.11584, 1.12857, 1.15079, 1.16142, 1.17338, 1.19813, 1.21586, 1.22195, 1.22952, 1.27022, 1.30206, 1.3596, 1.394, 1.44701, 1.5177, 1.59845, 1.67921");
-            values ( \
-              "0.00121626, 0.00476332, 0.011602, 0.0158235, 0.0173257, 0.017667, 0.018961, 0.0169605, 0.0146354, 0.0119757, 0.0095543, 0.00944652, 0.00970424, 0.00929376, 0.00926884, 0.00905653, 0.00868964, 0.00751092, 0.00634057, 0.00660707, 0.00638895, 0.00438995, 0.00326749, 0.00187379, 0.00133498, 0.00078313, 0.000384834, 0.00015218, 7.44863e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.710021, 0.775522, 0.864828, 0.941309, 0.987532, 1.03159, 1.06446, 1.07474, 1.09529, 1.11415, 1.12669, 1.12858, 1.13236, 1.13992, 1.14927, 1.18056, 1.20702, 1.21557, 1.22358, 1.23491, 1.31869, 1.34067, 1.38779, 1.42085, 1.45975, 1.49205, 1.53811, 1.59953, 1.68028, 1.8418");
-            values ( \
-              "0.00736569, 0.0106252, 0.0184961, 0.0245023, 0.0266528, 0.026962, 0.0283374, 0.0247919, 0.0243922, 0.0186733, 0.0187541, 0.0173953, 0.0173961, 0.0163855, 0.0159647, 0.0138285, 0.0144753, 0.0142915, 0.0149905, 0.0147487, 0.0081014, 0.00677849, 0.00464562, 0.00355206, 0.00256983, 0.0019625, 0.00133041, 0.000787684, 0.000380638, 8.80803e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.710372, 0.748762, 0.764891, 0.84926, 0.927251, 0.989026, 1.04408, 1.0973, 1.13921, 1.15115, 1.19377, 1.216, 1.21868, 1.22403, 1.23474, 1.26541, 1.279, 1.33815, 1.44431, 1.47447, 1.52767, 1.56612, 1.59904, 1.64919, 1.70609, 1.78072, 1.86147, 1.94223, 2.10374");
-            values ( \
-              "0.0104301, 0.0110298, 0.0124165, 0.0221653, 0.0307088, 0.0359658, 0.0386587, 0.0388571, 0.0383352, 0.0355119, 0.0286294, 0.0270129, 0.0276124, 0.0276339, 0.0268383, 0.0231718, 0.0228892, 0.0195442, 0.0112699, 0.00934778, 0.00667032, 0.00520261, 0.00420658, 0.00302428, 0.00208174, 0.00124919, 0.00072808, 0.000409204, 0.000129632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.710171, 0.7664, 0.796105, 0.888436, 0.983103, 1.06014, 1.13061, 1.20007, 1.22718, 1.24692, 1.25876, 1.32332, 1.38586, 1.39919, 1.4296, 1.51816, 1.6436, 1.70859, 1.78225, 1.87343, 1.94576, 2.03496, 2.11571, 2.27722, 2.43874, 2.60025");
-            values ( \
-              "0.00997707, 0.0155482, 0.0190236, 0.0320266, 0.0436658, 0.0494799, 0.052284, 0.0511992, 0.0514455, 0.0496247, 0.0476862, 0.0408868, 0.0333696, 0.03318, 0.031965, 0.0241015, 0.0154404, 0.0117088, 0.0084013, 0.00549098, 0.00391151, 0.00255352, 0.00170223, 0.000764386, 0.000338598, 0.000146436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.740665, 0.822004, 0.938048, 0.957936, 1.02992, 1.06529, 1.12083, 1.16774, 1.21603, 1.23619, 1.26347, 1.32507, 1.36191, 1.36435, 1.38712, 1.45453, 1.50721, 1.58549, 1.60145, 1.61896, 1.63856, 1.65897, 1.68086, 1.75822, 1.8552, 1.91151, 1.96651, 2.06925, 2.19947, 2.27522, 2.35255, 2.43331, 2.51017, 2.60497, 2.76648, 2.92799, 3.0895, 3.41253");
-            values ( \
-              "0.0220402, 0.0257965, 0.0437487, 0.0465283, 0.054929, 0.0577643, 0.0616748, 0.0636488, 0.0653113, 0.0662538, 0.0656753, 0.0620279, 0.0614851, 0.0608497, 0.0595659, 0.0543377, 0.0509034, 0.044189, 0.0446237, 0.0438133, 0.043223, 0.0415851, 0.0402428, 0.0340184, 0.0268292, 0.0235002, 0.0204038, 0.0155184, 0.0105965, 0.00839699, 0.00666814, 0.00507867, 0.00396314, 0.00290851, 0.00170104, 0.000986116, 0.000565492, 0.000175047" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00770199, 0.00772302, 0.00774749, 0.00777201, 0.00779319, 0.00780925", \
-            "0.00877202, 0.00879785, 0.00883041, 0.00886591, 0.00889899, 0.0089256", \
-            "0.00935588, 0.00938107, 0.00941486, 0.00945486, 0.00949564, 0.00953107", \
-            "0.0097402, 0.00975286, 0.00977241, 0.00979915, 0.00983058, 0.00986144", \
-            "0.0100542, 0.0100496, 0.010045, 0.0100433, 0.0100471, 0.0100562", \
-            "0.0103475, 0.0103284, 0.0103022, 0.0102696, 0.0102352, 0.0102067" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00963864, 0.00965307, 0.00967145, 0.00969166, 0.00971037, 0.00972534", \
-            "0.00970249, 0.00969938, 0.00969707, 0.00969596, 0.00969601, 0.00969671", \
-            "0.00968369, 0.00965219, 0.00961321, 0.00957057, 0.00953, 0.00949658", \
-            "0.00973236, 0.00968085, 0.00961081, 0.00952734, 0.00944182, 0.00937161", \
-            "0.0100281, 0.00992925, 0.00980424, 0.00965905, 0.0095147, 0.00938901", \
-            "0.00942804, 0.00958334, 0.00982206, 0.00999711, 0.00985658, 0.00962092" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0268558, 0.0315164, 0.0359705, 0.0581546, 0.0876405, 0.105556, 0.111073, 0.116623, 0.132041, 0.16174, 0.184259, 0.19695, 0.206524, 0.243055, 0.263339, 0.279292, 0.289085, 0.31359, 0.342293, 0.443006, 0.470636");
-            values ( \
-              "-0.00723112, -0.0262253, -0.0261805, -0.0264252, -0.0261036, -0.0273434, -0.02729, -0.0267868, -0.0235908, -0.01326, -0.00672564, -0.00539014, -0.00500419, -0.00220079, -0.00158754, -0.000689441, -0.000500222, -0.000613915, -0.000563458, -0.000104382, -9.2107e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0290924, 0.0375227, 0.0459419, 0.0543506, 0.0627143, 0.0794642, 0.0796579, 0.0835334, 0.0965065, 0.117423, 0.125793, 0.129694, 0.137497, 0.147411, 0.18041, 0.215761, 0.226257, 0.251139, 0.258948, 0.293462, 0.318829, 0.341901, 0.353982, 0.367771, 0.386741, 0.414912, 0.474789");
-            values ( \
-              "-0.040049, -0.04044, -0.0401515, -0.040656, -0.0402656, -0.0403168, -0.0400876, -0.039942, -0.0399149, -0.0413302, -0.0410803, -0.0404845, -0.0388038, -0.0356786, -0.0209125, -0.00917121, -0.00691729, -0.00448285, -0.00398341, -0.00312375, -0.00148241, -0.00108333, -0.00114831, -0.000879617, -0.000862829, -0.000470061, -0.00018898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0259015, 0.0337621, 0.0398684, 0.0500231, 0.0601778, 0.0703056, 0.087949, 0.0907146, 0.0962458, 0.107308, 0.111387, 0.119545, 0.131893, 0.152304, 0.172728, 0.177252, 0.20124, 0.233937, 0.242829, 0.245346, 0.25038, 0.260447, 0.286384, 0.2988, 0.303978, 0.314335, 0.330805, 0.346272, 0.358618, 0.371564, 0.378471, 0.392284, 0.405474, 0.422562, 0.440576, 0.465529, 0.496118, 0.546881, 0.604395, 0.661909, 0.719424");
-            values ( \
-              "-0.00236407, -0.0578137, -0.0583765, -0.0579728, -0.0585309, -0.0579163, -0.0578975, -0.0572086, -0.0575212, -0.0567656, -0.0573464, -0.0571591, -0.0586139, -0.0571116, -0.0511409, -0.0493106, -0.0364603, -0.0217472, -0.0191137, -0.0178612, -0.0167754, -0.013377, -0.00599252, -0.00604342, -0.00551301, -0.00582964, -0.00520711, -0.0050558, -0.00383505, -0.00340074, -0.00270349, -0.00257799, -0.00185729, -0.00187377, -0.00117284, -0.0011836, -0.00045635, -0.000492544, -1e-22, -0.000249962, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0266423, 0.0356124, 0.0435421, 0.0535093, 0.0567343, 0.0631843, 0.0731502, 0.0831352, 0.103105, 0.109832, 0.123241, 0.136889, 0.164024, 0.191046, 0.218275, 0.224642, 0.256507, 0.294822, 0.309919, 0.314874, 0.338112, 0.35068, 0.368637, 0.381692, 0.384171, 0.389131, 0.399049, 0.418887, 0.439732, 0.458773, 0.476571, 0.507315, 0.529581, 0.555849, 0.585045, 0.626814, 0.684329, 0.741843, 0.799357, 0.856872");
-            values ( \
-              "-0.0144137, -0.0775372, -0.0782718, -0.0778195, -0.0783152, -0.0777854, -0.0781664, -0.0774439, -0.0772297, -0.0763349, -0.0763105, -0.0754649, -0.0767306, -0.0747608, -0.06666, -0.0640018, -0.0478074, -0.031022, -0.0258338, -0.0234899, -0.0155455, -0.014012, -0.0110497, -0.0100116, -0.00938077, -0.00939149, -0.00822902, -0.00756503, -0.00594144, -0.00520006, -0.00392679, -0.00325228, -0.00221918, -0.00207694, -0.00112423, -0.00104776, -0.000178002, -0.000420565, -1e-22, -0.000281731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0269169, 0.0383942, 0.0479212, 0.105082, 0.180773, 0.219267, 0.257624, 0.296043, 0.425713, 0.552803, 0.599812, 0.639444, 0.76262, 0.800572");
-            values ( \
-              "-0.0286411, -0.0965482, -0.0974286, -0.0965097, -0.0931806, -0.0932399, -0.0909173, -0.0806934, -0.0302985, -0.0111678, -0.00714495, -0.00515583, -0.00203642, -0.00159559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0312835, 0.0424795, 0.0508194, 0.059085, 0.0728974, 0.0867324, 0.11293, 0.14249, 0.190835, 0.1989, 0.215031, 0.247293, 0.256399, 0.274612, 0.314726, 0.368269, 0.386031, 0.438791, 0.517734, 0.5535, 0.588792, 0.666224, 0.749173, 0.798858, 0.887017, 0.989313, 1.04683, 1.10434, 1.3344, 1.39191, 1.44943, 1.50694, 1.56446");
-            values ( \
-              "-0.110508, -0.113103, -0.112889, -0.113423, -0.112705, -0.113016, -0.112025, -0.111891, -0.109862, -0.110048, -0.108976, -0.108361, -0.107645, -0.107713, -0.106565, -0.10385, -0.101463, -0.0898771, -0.0664915, -0.0537743, -0.0459279, -0.0324366, -0.0203603, -0.0148665, -0.00796541, -0.00434389, -0.00367038, -0.00229686, -0.000502218, -0.00079716, -0.000148668, -0.000537368, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0419657, 0.0462503, 0.0506411, 0.069468, 0.102172, 0.116784, 0.126384, 0.146383, 0.197228, 0.203446, 0.231958, 0.256284, 0.286032, 0.294619, 0.312456, 0.342276, 0.419266, 0.424675");
-            values ( \
-              "-0.00966731, -0.0267224, -0.026433, -0.0265119, -0.0262335, -0.0272779, -0.0273527, -0.0235861, -0.00709078, -0.00599129, -0.00427439, -0.00219083, -0.00122703, -0.000599428, -0.0004436, -0.00064224, -0.000177349, -0.000172673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0420151, 0.047152, 0.052148, 0.0605171, 0.0725019, 0.0856047, 0.0940347, 0.110864, 0.127666, 0.135921, 0.144115, 0.161843, 0.190473, 0.199556, 0.213449, 0.228773, 0.238874, 0.264279, 0.273082, 0.283908, 0.29314, 0.307077, 0.316173, 0.33164, 0.343613, 0.353305, 0.364568, 0.377579, 0.394104, 0.41871, 0.450684, 0.495951, 0.549877, 0.607391, 0.664905");
-            values ( \
-              "-0.0120049, -0.0408709, -0.0405454, -0.0404719, -0.0405821, -0.0404217, -0.0401475, -0.0399287, -0.0412773, -0.0414775, -0.0406215, -0.0359413, -0.0227944, -0.0190948, -0.0141887, -0.00953354, -0.0071953, -0.00462086, -0.00398077, -0.00377466, -0.0034214, -0.00314056, -0.00246436, -0.00152618, -0.00136739, -0.00110213, -0.00116141, -0.000913217, -0.000909227, -0.000553406, -0.000457619, -0.000117165, -0.000139445, -1e-22, -8.18434e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0439706, 0.0485033, 0.0596043, 0.0849143, 0.113493, 0.121946, 0.133874, 0.14638, 0.166749, 0.188624, 0.228214, 0.248219, 0.286037, 0.300068, 0.317469, 0.343728, 0.359411, 0.384623, 0.40514, 0.434981, 0.47658, 0.542836");
-            values ( \
-              "-0.0454583, -0.0587837, -0.0583728, -0.0582767, -0.0572968, -0.0571498, -0.0575172, -0.0584976, -0.057486, -0.0507533, -0.0305111, -0.0220416, -0.00996761, -0.00628364, -0.00571749, -0.00542503, -0.00490863, -0.00326146, -0.00241857, -0.00170819, -0.00102762, -0.000435388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.044146, 0.0504879, 0.0978995, 0.138601, 0.151494, 0.178558, 0.205564, 0.220876, 0.23468, 0.287943, 0.346242, 0.357889, 0.392519, 0.407079, 0.484197, 0.51836, 0.565909, 0.635357, 0.642174");
-            values ( \
-              "-0.0514625, -0.0785748, -0.077853, -0.0761558, -0.0758708, -0.0766057, -0.0751747, -0.0710997, -0.0659377, -0.0400297, -0.01759, -0.0148338, -0.0101202, -0.00886466, -0.00442975, -0.0031386, -0.00193676, -0.000882748, -0.000826305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0459077, 0.0532816, 0.0985294, 0.119824, 0.152354, 0.18686, 0.212466, 0.233842, 0.272216, 0.288756, 0.305831, 0.332228, 0.428867, 0.446728, 0.479985, 0.547873, 0.572309, 0.597674, 0.626425, 0.648104, 0.664001, 0.721254, 0.806383, 0.918061, 1.03309, 1.0906");
-            values ( \
-              "-0.0974087, -0.0975412, -0.0969387, -0.0964128, -0.0951965, -0.0936993, -0.093343, -0.0936477, -0.0907786, -0.0874378, -0.0824438, -0.0724096, -0.0338595, -0.0288119, -0.0233426, -0.0135975, -0.0107431, -0.00835062, -0.0065306, -0.00542772, -0.00480351, -0.00315686, -0.0016427, -0.000622272, -0.000202599, -0.000190012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0464104, 0.053135, 0.0657611, 0.128046, 0.157325, 0.206157, 0.213671, 0.258754, 0.295719, 0.32937, 0.384155, 0.388795, 0.398073, 0.41663, 0.449905, 0.473105, 0.528263, 0.574886, 0.590449, 0.662474, 0.693145, 0.724966, 0.768077, 0.803259, 0.845473, 0.889658, 0.94157, 1.01752, 1.13255, 1.24758, 1.36261, 1.47764, 1.59267");
-            values ( \
-              "-0.112497, -0.113298, -0.113478, -0.112457, -0.111745, -0.110263, -0.109895, -0.108311, -0.107421, -0.107011, -0.103492, -0.102947, -0.10167, -0.0984221, -0.0906011, -0.0840534, -0.0675163, -0.0518915, -0.0486168, -0.03579, -0.0306451, -0.0257807, -0.0200476, -0.0160995, -0.0121193, -0.00882372, -0.0064217, -0.0042253, -0.0023275, -0.00125853, -0.000657947, -0.000332683, -0.000162054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0714665, 0.0849516, 0.0873738, 0.0889997, 0.0906507, 0.0923102, 0.0956292, 0.0988685, 0.105017, 0.106815, 0.110412, 0.115935, 0.132593, 0.143703, 0.15082, 0.165147, 0.165328, 0.170781, 0.175347, 0.180414, 0.188418, 0.203015, 0.209854, 0.217917, 0.22901, 0.23212, 0.232989, 0.234728, 0.238205, 0.244238, 0.253752, 0.264438, 0.280032, 0.289963, 0.300782, 0.30966, 0.319409, 0.326716, 0.334585, 0.344929, 0.352646, 0.362103, 0.373263, 0.390845, 0.413317, 0.445971, 0.484172, 0.531537, 0.589051, 0.646565");
-            values ( \
-              "-0.00592916, -0.0229525, -0.0241389, -0.0278746, -0.0281, -0.0271332, -0.0269952, -0.0267182, -0.0266827, -0.0265809, -0.026568, -0.0264388, -0.0262171, -0.0267369, -0.027358, -0.0269509, -0.026929, -0.0259751, -0.0249952, -0.0236294, -0.0209201, -0.0156239, -0.0134221, -0.0110307, -0.00806734, -0.00685882, -0.00679615, -0.00642395, -0.00599136, -0.00547775, -0.00506088, -0.00435925, -0.00299703, -0.00224126, -0.0019704, -0.0016372, -0.00122201, -0.000710203, -0.000583346, -0.000471283, -0.000578108, -0.000543279, -0.000609637, -0.000499163, -0.000445246, -0.000235, -0.0001625, -3.15621e-05, -4.57591e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.071663, 0.0858553, 0.0874415, 0.0882791, 0.0892491, 0.0902228, 0.0921703, 0.0960107, 0.10237, 0.111551, 0.135794, 0.143514, 0.148696, 0.161916, 0.17494, 0.17834, 0.18514, 0.195959, 0.229635, 0.243099, 0.254563, 0.269697, 0.280047, 0.294308, 0.301205, 0.312949, 0.325633, 0.338084, 0.348061, 0.35785, 0.364075, 0.376428, 0.385867, 0.39729, 0.409071, 0.426064, 0.446331, 0.481043, 0.522472, 0.575278, 0.632793");
-            values ( \
-              "-0.00742332, -0.0355978, -0.0368293, -0.0418758, -0.043417, -0.042471, -0.0416393, -0.0411112, -0.0408167, -0.0406547, -0.0400184, -0.0400214, -0.0402573, -0.0413337, -0.0412248, -0.0406809, -0.0392327, -0.0358407, -0.0207477, -0.0156981, -0.012085, -0.00778045, -0.00648543, -0.00503398, -0.00447743, -0.00372682, -0.0036371, -0.00308264, -0.00280955, -0.00189226, -0.00176884, -0.00120756, -0.00127925, -0.00100082, -0.00108851, -0.000767294, -0.000755827, -0.000325553, -0.000287735, -1e-22, -0.000111998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0719347, 0.0851532, 0.0894391, 0.0939294, 0.0993413, 0.127009, 0.160301, 0.18094, 0.198129, 0.207374, 0.222971, 0.261638, 0.273641, 0.289324, 0.325956, 0.342484, 0.351613, 0.384373, 0.400349, 0.413892, 0.444904, 0.458978, 0.475554, 0.493345, 0.519541, 0.551454, 0.606263, 0.663777, 0.721292");
-            values ( \
-              "-0.00351249, -0.0501421, -0.0614012, -0.059275, -0.0588374, -0.0581404, -0.0570673, -0.0585392, -0.0580384, -0.0560577, -0.050739, -0.0310192, -0.0256046, -0.0197385, -0.00812448, -0.00565896, -0.0058013, -0.0052834, -0.0045664, -0.00351544, -0.00216303, -0.00200301, -0.00148594, -0.00137373, -0.000806845, -0.000670911, -0.000141876, -0.000193663, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0720846, 0.0892231, 0.091296, 0.0933089, 0.100862, 0.132726, 0.181811, 0.195353, 0.213325, 0.240259, 0.259505, 0.269395, 0.323265, 0.372207, 0.385547, 0.410891, 0.42854, 0.451557, 0.511188, 0.542155, 0.587068, 0.647226, 0.682439");
-            values ( \
-              "-0.00375852, -0.0791489, -0.0814123, -0.0791715, -0.078565, -0.0779221, -0.0759561, -0.0760621, -0.0768438, -0.0751389, -0.0698842, -0.0659716, -0.0397789, -0.02071, -0.0161852, -0.0121746, -0.0100162, -0.00822002, -0.00479088, -0.00348597, -0.0022236, -0.00114928, -0.000755584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.070789, 0.0915112, 0.0979961, 0.100396, 0.105195, 0.110786, 0.116396, 0.127616, 0.140478, 0.153403, 0.179253, 0.190925, 0.209768, 0.229023, 0.262468, 0.267408, 0.27729, 0.297053, 0.306154, 0.324355, 0.381496, 0.461932, 0.485709, 0.499189, 0.517429, 0.537921, 0.564083, 0.569046, 0.57897, 0.59882, 0.623264, 0.651794, 0.678114, 0.685291, 0.699644, 0.72411, 0.75455, 0.793507, 0.838887, 0.893826, 0.951341, 1.00886, 1.02113");
-            values ( \
-              "-0.000304516, -0.0998286, -0.0962075, -0.0993003, -0.0959187, -0.0989827, -0.0956593, -0.0986597, -0.0951879, -0.0980461, -0.09392, -0.0965401, -0.0925751, -0.0951205, -0.0918628, -0.0953044, -0.0918596, -0.0936051, -0.0889741, -0.0885039, -0.0675539, -0.0325087, -0.0264066, -0.0272211, -0.0211808, -0.0213392, -0.0144016, -0.016903, -0.0123463, -0.0130984, -0.00743333, -0.00857451, -0.00400356, -0.00691595, -0.0031675, -0.0055821, -0.00160678, -0.00399426, -0.000101778, -0.00266877, -1e-22, -0.00198439, -0.00156088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0799177, 0.092761, 0.0959259, 0.101479, 0.105364, 0.109242, 0.192517, 0.306189, 0.364416, 0.393638, 0.42383, 0.453804, 0.488252, 0.568236, 0.608705, 0.623711, 0.759462, 0.802258, 0.880559, 0.924458, 0.975524, 1.04971, 1.10688, 1.16439, 1.27942, 1.34171");
-            values ( \
-              "-0.0790147, -0.115887, -0.113192, -0.114067, -0.113375, -0.113569, -0.111825, -0.108056, -0.107124, -0.105823, -0.102878, -0.0980806, -0.0896722, -0.0660024, -0.0522763, -0.0489262, -0.0258779, -0.0201512, -0.0121286, -0.00882583, -0.00644347, -0.00426645, -0.00327974, -0.00234139, -0.00125442, -0.00105117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.124929, 0.153386, 0.155569, 0.166226, 0.186764, 0.203864, 0.210214, 0.213837, 0.218814, 0.223256, 0.232138, 0.233272, 0.23554, 0.240075, 0.247482, 0.262819, 0.292476, 0.311434, 0.314481, 0.320381, 0.326186, 0.335034, 0.345488, 0.362232, 0.372408, 0.391861, 0.40138, 0.408421, 0.416142, 0.426117, 0.433701, 0.453701, 0.559987, 0.605283, 0.661942");
-            values ( \
-              "-0.000106325, -0.0135692, -0.0141257, -0.0166976, -0.0211232, -0.0243646, -0.0253936, -0.0268668, -0.0266844, -0.0266789, -0.0274219, -0.027334, -0.0275106, -0.0274987, -0.0269554, -0.023768, -0.0133409, -0.00805326, -0.00688569, -0.00603826, -0.005498, -0.00513733, -0.0044421, -0.00298642, -0.00226718, -0.00166915, -0.00120983, -0.000752211, -0.000577827, -0.000498882, -0.000560971, -0.000594595, -0.000157967, -6.02374e-05, -2.86735e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.124795, 0.169864, 0.192123, 0.209826, 0.210644, 0.213918, 0.220437, 0.226826, 0.243464, 0.25118, 0.259887, 0.277571, 0.318347, 0.346915, 0.35743, 0.380438, 0.394306, 0.419112, 0.445024, 0.46695, 0.607339, 0.717938");
-            values ( \
-              "-0.00324799, -0.026841, -0.0340659, -0.0388858, -0.0414423, -0.0406184, -0.0402515, -0.0402545, -0.0413854, -0.0415366, -0.0406961, -0.0360369, -0.0179161, -0.00884971, -0.00685109, -0.00460034, -0.00386048, -0.00320413, -0.00169033, -0.0011899, -0.000183192, -1.96125e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.125673, 0.176709, 0.214652, 0.222571, 0.236805, 0.253433, 0.263557, 0.283804, 0.290054, 0.305578, 0.349577, 0.369145, 0.407048, 0.421923, 0.472054, 0.506437, 0.569126, 0.621882, 0.671681");
-            values ( \
-              "-0.00481442, -0.0414924, -0.0587846, -0.0578089, -0.0573246, -0.0577416, -0.0585939, -0.0575498, -0.0560799, -0.0507507, -0.0285528, -0.0206862, -0.00855971, -0.00589895, -0.00519131, -0.00293054, -0.00130449, -0.00060156, -0.000453423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.141915, 0.167393, 0.21536, 0.219235, 0.226983, 0.255242, 0.268862, 0.295849, 0.322837, 0.338527, 0.351924, 0.406463, 0.461442, 0.472344, 0.507269, 0.529348, 0.590772, 0.655426, 0.745823, 0.767172");
-            values ( \
-              "-0.0305712, -0.0495256, -0.0790011, -0.0779624, -0.0778328, -0.0761793, -0.0759857, -0.0766159, -0.0752914, -0.0710549, -0.0660185, -0.0395183, -0.0182616, -0.0153757, -0.0104372, -0.00850725, -0.0049423, -0.00256326, -0.000936809, -0.000830911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.134715, 0.159352, 0.21514, 0.222123, 0.227943, 0.23764, 0.313229, 0.362277, 0.390361, 0.416679, 0.432283, 0.556731, 0.590944, 0.686479, 0.72131, 0.751102, 0.806548, 0.878652, 0.975446, 1.00074");
-            values ( \
-              "-0.00355979, -0.0547334, -0.0974769, -0.0974292, -0.0966005, -0.0967782, -0.093417, -0.0934686, -0.090593, -0.0846828, -0.0793645, -0.0304709, -0.024389, -0.011064, -0.00792724, -0.00612786, -0.00400336, -0.00235713, -0.00107759, -0.000972711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.147503, 0.167222, 0.190259, 0.210214, 0.214372, 0.220199, 0.225672, 0.236407, 0.246948, 0.261306, 0.275751, 0.303691, 0.33217, 0.391158, 0.413373, 0.447745, 0.465711, 0.501642, 0.507014, 0.517758, 0.539246, 0.57149, 0.604922, 0.645226, 0.696352, 0.738403, 0.780492, 0.810301, 0.842365, 0.885189, 0.897467, 0.922023, 0.963647, 1.00758, 1.05824, 1.1317, 1.18921, 1.24673, 1.36176, 1.47678, 1.59181, 1.64933");
-            values ( \
-              "-0.0558673, -0.0710213, -0.0918506, -0.108181, -0.113213, -0.113633, -0.11265, -0.113044, -0.112267, -0.112372, -0.111641, -0.111139, -0.109838, -0.10796, -0.107542, -0.107088, -0.106503, -0.103544, -0.102957, -0.101434, -0.0975911, -0.0897211, -0.0801365, -0.0679908, -0.0510787, -0.0431346, -0.0358296, -0.0308384, -0.0259109, -0.0201978, -0.0187815, -0.0160532, -0.0121272, -0.0088429, -0.0064739, -0.00430562, -0.0032813, -0.00236317, -0.00127122, -0.00065718, -0.000324244, -0.000303849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.252954, 0.275438, 0.288995, 0.317024, 0.338306, 0.378442, 0.402335, 0.42312, 0.441566, 0.459629, 0.467662, 0.485368, 0.505691, 0.506218, 0.514428, 0.518852, 0.545681, 0.552013, 0.563588, 0.584519, 0.591658, 0.598668, 0.605513, 0.608721, 0.615136, 0.642071, 0.67702, 0.707218, 0.784375, 0.89364");
-            values ( \
-              "-0.00165567, -0.00526916, -0.00663665, -0.00980874, -0.0118944, -0.015327, -0.0172774, -0.0201579, -0.0219269, -0.0211609, -0.0193293, -0.0142282, -0.00891635, -0.00939171, -0.00719566, -0.0066162, -0.00445386, -0.00378857, -0.00276288, -0.00191651, -0.00152629, -0.00102442, -0.000767542, -0.000699236, -0.000638808, -0.000654805, -0.000507831, -0.000318709, -7.31045e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.252899, 0.279189, 0.291744, 0.313669, 0.342653, 0.359809, 0.414985, 0.43747, 0.457576, 0.477331, 0.477805, 0.487297, 0.505636, 0.510972, 0.522734, 0.543362, 0.552681, 0.574836, 0.583947, 0.59301, 0.601807, 0.625028, 0.6325, 0.646238, 0.664853, 0.732152, 0.768224, 0.8123, 0.925488");
-            values ( \
-              "-0.00162751, -0.00870248, -0.0105991, -0.0144127, -0.0187877, -0.0211166, -0.0280009, -0.0323031, -0.035017, -0.0331426, -0.033244, -0.0300385, -0.0227713, -0.0216639, -0.0170152, -0.0103606, -0.0078724, -0.00520004, -0.00450028, -0.0041417, -0.00394332, -0.00296414, -0.00230978, -0.00163157, -0.00128148, -0.000620722, -0.000356274, -0.000168112, -2.64588e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.25292, 0.284908, 0.333456, 0.483467, 0.505657, 0.507951, 0.554891, 0.604089, 0.619322, 0.656084, 0.726427, 0.756539, 0.800919, 0.83775");
-            values ( \
-              "-0.000921526, -0.0138575, -0.0251384, -0.0534677, -0.0494967, -0.050547, -0.0265142, -0.00958651, -0.00614999, -0.0057039, -0.00222513, -0.00155652, -0.000853558, -0.000567053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.252948, 0.306159, 0.337085, 0.372278, 0.395804, 0.433827, 0.466948, 0.496528, 0.505685, 0.512958, 0.523019, 0.528111, 0.53393, 0.54284, 0.552726, 0.606568, 0.621557, 0.662881, 0.674455, 0.689609, 0.708428, 0.726235, 0.76938, 0.788308, 0.826517, 0.84761, 0.870621, 0.93056, 0.975229, 1.03274, 1.09026");
-            values ( \
-              "-0.00180779, -0.025015, -0.0345238, -0.0439145, -0.0497728, -0.0586148, -0.0656712, -0.0735252, -0.0755912, -0.0765879, -0.0751949, -0.0741118, -0.0726345, -0.0699081, -0.0659347, -0.0398299, -0.0335094, -0.0179791, -0.0151958, -0.0129479, -0.0104422, -0.00882111, -0.0063297, -0.00508258, -0.00342067, -0.00280508, -0.00219357, -0.00112486, -0.00066585, -0.00030488, -0.000155739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.270795, 0.303779, 0.355863, 0.427958, 0.47392, 0.505483, 0.514009, 0.530712, 0.545254, 0.552344, 0.566524, 0.583046, 0.591053, 0.632907, 0.684892, 0.763519, 0.770533, 0.78456, 0.803378, 0.823407, 0.84902, 0.853788, 0.863325, 0.882398, 0.937392, 0.962944, 0.969652, 0.983068, 1.0099, 1.04517, 1.08432, 1.12955, 1.18438, 1.2419, 1.29941, 1.35692");
-            values ( \
-              "-0.0131427, -0.0303964, -0.049254, -0.0718039, -0.0844606, -0.0920857, -0.0957234, -0.0916772, -0.0952983, -0.0924347, -0.0945011, -0.0908179, -0.091443, -0.0801237, -0.0579637, -0.0289206, -0.0283319, -0.025268, -0.0229283, -0.0193727, -0.016289, -0.0150559, -0.0142889, -0.0113911, -0.00669109, -0.00585349, -0.0050972, -0.00506208, -0.00371781, -0.00331246, -0.00205389, -0.0018586, -0.000784399, -0.000869575, -0.000132408, -0.000469716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.290638, 0.346342, 0.403103, 0.447899, 0.505452, 0.516214, 0.532927, 0.590314, 0.64214, 0.648489, 0.661186, 0.684111, 0.707826, 0.737867, 0.772241, 0.805605, 0.852534, 0.89802, 0.981272, 1.04294, 1.09821, 1.12403, 1.16521, 1.20901, 1.25896, 1.33086, 1.38838, 1.44589, 1.56092, 1.67595, 1.84849");
-            values ( \
-              "-0.0399894, -0.0534155, -0.0749983, -0.0907609, -0.109, -0.110737, -0.10996, -0.108043, -0.106979, -0.107116, -0.106642, -0.105419, -0.102801, -0.0981345, -0.0896252, -0.0802566, -0.0659481, -0.0508082, -0.0357876, -0.0259053, -0.0187537, -0.015964, -0.0120275, -0.00884321, -0.00650871, -0.00437258, -0.00328247, -0.00241077, -0.00130837, -0.000687814, -0.000283312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.525022, 0.583507, 0.601317, 0.685616, 0.739231, 0.74811, 0.786404, 0.819191, 0.830747, 0.839862, 0.862266, 0.875628, 0.893751, 0.904762, 0.920272, 0.930548, 0.938419, 0.949027, 0.951664, 0.960999, 0.976941, 1.00266, 1.01531, 1.02428, 1.03147, 1.13822, 1.2159, 1.23644, 1.26261, 1.33778");
-            values ( \
-              "-0.00195527, -0.00280786, -0.00339413, -0.00723962, -0.00920648, -0.00945259, -0.0108303, -0.0126692, -0.0134388, -0.013817, -0.0144244, -0.014252, -0.0131987, -0.0119232, -0.0096858, -0.00738349, -0.00709898, -0.00636949, -0.00630521, -0.00563673, -0.00410524, -0.00257804, -0.00159377, -0.00126895, -0.00115958, -0.00031764, -7.08593e-05, -0.000239223, -0.000105276, -1.98796e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.524258, 0.564658, 0.583871, 0.608048, 0.625473, 0.678061, 0.716383, 0.805299, 0.865682, 0.872161, 0.885118, 0.901538, 0.929674, 0.955253, 0.978438, 0.994769, 1.00364, 1.01567, 1.02831, 1.04117, 1.04987, 1.06772, 1.08807, 1.09901, 1.10333, 1.11197, 1.12924, 1.19148, 1.21572, 1.23353, 1.25534, 1.27551, 1.31584, 1.37336");
-            values ( \
-              "-0.00305009, -0.00366607, -0.00444719, -0.00566298, -0.00681767, -0.0106154, -0.0129245, -0.0175411, -0.0224802, -0.0226102, -0.0232717, -0.0230596, -0.0199869, -0.0147475, -0.00925938, -0.00734226, -0.00649992, -0.00571715, -0.00528121, -0.00458151, -0.00372303, -0.00249813, -0.00189759, -0.0017425, -0.00160616, -0.00150021, -0.00116268, -0.000430231, -0.000320434, -0.000525476, -0.000311821, -0.000192017, -8.93735e-05, -2.52634e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.544493, 0.607785, 0.631532, 0.670295, 0.704202, 0.737765, 0.790588, 0.836084, 0.875841, 0.910935, 0.911295, 0.926165, 0.941514, 0.949498, 0.960143, 0.979907, 1.02952, 1.05031, 1.06454, 1.09663, 1.09965, 1.11345, 1.12347, 1.14938, 1.17129, 1.20632, 1.21595, 1.2272, 1.25393, 1.28, 1.32834, 1.44337");
-            values ( \
-              "-0.00679643, -0.0082849, -0.0104268, -0.0144731, -0.0176235, -0.0203844, -0.0243589, -0.0275864, -0.0318548, -0.0351936, -0.0351287, -0.0358557, -0.0357269, -0.0351271, -0.0337148, -0.0294116, -0.0160901, -0.00985843, -0.00839543, -0.00712813, -0.00708854, -0.006105, -0.00518212, -0.00358089, -0.00273032, -0.00174036, -0.00159452, -0.00182881, -0.00115051, -0.000766023, -0.000309251, -3.85955e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.543718, 0.588243, 0.60814, 0.638773, 0.69385, 0.748938, 0.805382, 0.883754, 0.929821, 0.971, 1.00591, 1.01983, 1.0353, 1.0769, 1.1196, 1.14234, 1.17834, 1.21409, 1.21926, 1.23193, 1.26219, 1.29964, 1.34982, 1.42852, 1.54355, 1.60106");
-            values ( \
-              "-0.0088083, -0.00970172, -0.0115427, -0.0149637, -0.0224225, -0.0286278, -0.0344089, -0.0418339, -0.0475725, -0.0521047, -0.0523058, -0.0506129, -0.0472302, -0.0346149, -0.0203007, -0.0161714, -0.0109938, -0.00805018, -0.00841562, -0.00727203, -0.0051874, -0.0034977, -0.00201739, -0.000766961, -0.000159342, -0.000102633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.575713, 0.665402, 0.729145, 0.820728, 0.878242, 0.955415, 1.01084, 1.06144, 1.09974, 1.11061, 1.13235, 1.1628, 1.21566, 1.23441, 1.27217, 1.32212, 1.36398, 1.38999, 1.41104, 1.44259, 1.46689, 1.53435, 1.57701, 1.68442, 1.85697");
-            values ( \
-              "-0.0170064, -0.0230334, -0.0329755, -0.0451828, -0.0522345, -0.0610297, -0.0683463, -0.0737778, -0.0727567, -0.0713367, -0.0661831, -0.0570486, -0.0380193, -0.0329733, -0.025603, -0.0174405, -0.0116216, -0.0089942, -0.00743755, -0.00572998, -0.00478574, -0.00292105, -0.00210308, -0.000861224, -0.000191986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.575381, 0.634595, 0.780105, 0.893202, 0.945648, 1.12912, 1.16284, 1.19313, 1.21572, 1.23016, 1.24998, 1.33097, 1.38138, 1.39767, 1.46694, 1.52934, 1.58381, 1.64908, 1.69243, 1.74441, 1.81984, 1.87735, 1.93487, 2.0499, 2.16492, 2.33747");
-            values ( \
-              "-0.0203719, -0.0218843, -0.046703, -0.0637191, -0.0710541, -0.0949515, -0.0984303, -0.0994768, -0.0986551, -0.0966424, -0.0919575, -0.0682494, -0.0516674, -0.0483864, -0.0359046, -0.0258214, -0.0187466, -0.0121537, -0.00898069, -0.00653928, -0.00432234, -0.00320932, -0.0023926, -0.00130735, -0.000698185, -0.000252128" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00710227, 0.00711366, 0.0071267, 0.00713952, 0.00715052, 0.00715884", \
-            "0.00779916, 0.00780774, 0.00781846, 0.0078299, 0.00784042, 0.00784892", \
-            "0.0081573, 0.00816196, 0.00816841, 0.00817617, 0.00818419, 0.0081913", \
-            "0.00837785, 0.00837916, 0.00838112, 0.00838431, 0.00838838, 0.00839263", \
-            "0.00851296, 0.00851332, 0.00851386, 0.00851469, 0.00851595, 0.00851769", \
-            "0.00860627, 0.00860647, 0.00860676, 0.00860714, 0.00860759, 0.00860814" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00898824, 0.0089998, 0.00901282, 0.00902565, 0.00903724, 0.00904659", \
-            "0.00944892, 0.00945771, 0.00946815, 0.0094787, 0.00948751, 0.00949372", \
-            "0.0097556, 0.00976254, 0.0097704, 0.00977826, 0.00978483, 0.00978953", \
-            "0.0100143, 0.0100146, 0.0100155, 0.0100165, 0.0100173, 0.0100178", \
-            "0.0104646, 0.0104143, 0.0103552, 0.0102952, 0.0102427, 0.0102012", \
-            "0.0108963, 0.0109116, 0.010824, 0.0106656, 0.0105066, 0.0103801" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.135179, 0.152387, 0.182253, 0.234001, 0.323646, 0.479296", \
-            "0.13853, 0.155929, 0.185973, 0.237956, 0.327837, 0.483639", \
-            "0.14802, 0.1655, 0.195771, 0.248034, 0.338255, 0.494379", \
-            "0.173306, 0.191154, 0.221309, 0.273641, 0.36406, 0.520574", \
-            "0.242774, 0.259946, 0.288778, 0.34024, 0.429891, 0.586057", \
-            "0.368402, 0.394031, 0.435689, 0.500603, 0.59797, 0.751559" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.162558, 0.187002, 0.228954, 0.301331, 0.426194, 0.645086", \
-            "0.162452, 0.186962, 0.22891, 0.301255, 0.426157, 0.644996", \
-            "0.162365, 0.186894, 0.228844, 0.301226, 0.426109, 0.644975", \
-            "0.161915, 0.18663, 0.228675, 0.301098, 0.426027, 0.644959", \
-            "0.186391, 0.206345, 0.24183, 0.306801, 0.426086, 0.644819", \
-            "0.273474, 0.295752, 0.330011, 0.381588, 0.478435, 0.667101" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0771408, 0.0877124, 0.105974, 0.137542, 0.192183, 0.287057", \
-            "0.0807601, 0.0914131, 0.10978, 0.141454, 0.196191, 0.291137", \
-            "0.0879236, 0.0987762, 0.117304, 0.149154, 0.204106, 0.299192", \
-            "0.104949, 0.115938, 0.13473, 0.166957, 0.222036, 0.317648", \
-            "0.129595, 0.144509, 0.168381, 0.205836, 0.264908, 0.360883", \
-            "0.148976, 0.170826, 0.205559, 0.258786, 0.337901, 0.454437" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0831026, 0.096711, 0.120833, 0.163208, 0.2372, 0.366196", \
-            "0.0830938, 0.0966864, 0.1208, 0.163193, 0.237187, 0.366169", \
-            "0.0829124, 0.096568, 0.120729, 0.163117, 0.237146, 0.366156", \
-            "0.0882017, 0.100411, 0.122783, 0.163403, 0.236931, 0.366058", \
-            "0.118629, 0.129847, 0.149601, 0.183524, 0.248378, 0.369424", \
-            "0.18035, 0.193999, 0.217277, 0.255681, 0.318433, 0.423247" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0318376, 0.0375399, 0.0483769, 0.104037, 0.118367, 0.142799, 0.160397, 0.180999, 0.195505, 0.216725, 0.223804, 0.237035, 0.253668, 0.281212, 0.292444, 0.313035, 0.332239, 0.352564, 0.379835, 0.403691, 0.432686, 0.471346, 0.537955, 0.69566");
-            values ( \
-              "0.00796837, 0.0196846, 0.0192498, 0.0174439, 0.0167348, 0.0151047, 0.0156035, 0.0137576, 0.0117491, 0.00931569, 0.0094638, 0.00874307, 0.00725644, 0.00635965, 0.0057635, 0.00417036, 0.00304752, 0.00227005, 0.00154183, 0.00108771, 0.000700764, 0.000425218, 0.00010931, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0318081, 0.0385599, 0.0404494, 0.0442284, 0.0524886, 0.057136, 0.0664309, 0.0811268, 0.105293, 0.116147, 0.132014, 0.156931, 0.166115, 0.177635, 0.20358, 0.260024, 0.268334, 0.278842, 0.288766, 0.310505, 0.367663, 0.385847, 0.40851, 0.448833, 0.481475, 0.521794, 0.577838, 0.735544");
-            values ( \
-              "0.0103995, 0.0297627, 0.0294587, 0.0294936, 0.0288826, 0.0288842, 0.028259, 0.0278526, 0.026631, 0.0262842, 0.0253381, 0.0233361, 0.0232235, 0.0238169, 0.0210901, 0.0121444, 0.0121479, 0.0111667, 0.0114785, 0.0105277, 0.00533597, 0.00419365, 0.00314139, 0.00186905, 0.00121155, 0.000794951, 0.000291876, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0317801, 0.0402589, 0.0444859, 0.0487823, 0.0559037, 0.0632036, 0.0776933, 0.0928568, 0.12035, 0.123619, 0.130157, 0.142614, 0.155664, 0.179663, 0.189918, 0.207519, 0.225493, 0.265682, 0.286221, 0.316496, 0.32208, 0.333248, 0.344456, 0.357223, 0.383407, 0.398141, 0.399724, 0.402891, 0.409223, 0.419536, 0.456596, 0.467456, 0.489176, 0.511062, 0.541094, 0.557028, 0.588895, 0.70573, 0.784582, 0.863435");
-            values ( \
-              "0.0139355, 0.0419038, 0.0414908, 0.0414655, 0.0408567, 0.0406874, 0.0397402, 0.0392348, 0.0377392, 0.0378199, 0.0373101, 0.0368517, 0.0357743, 0.0340059, 0.0331285, 0.0335975, 0.0325303, 0.0262436, 0.0222973, 0.0168209, 0.0163492, 0.0165799, 0.0165428, 0.0161122, 0.0137962, 0.0121558, 0.0121153, 0.0116056, 0.0110796, 0.00981287, 0.00663874, 0.0061099, 0.00473108, 0.00394211, 0.00272955, 0.0024807, 0.00161506, 0.000381327, 0.000288355, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0316948, 0.0425685, 0.0731761, 0.153715, 0.172353, 0.24159, 0.264779, 0.288701, 0.326928, 0.385235, 0.398771, 0.410994, 0.414288, 0.444645, 0.562529, 0.608788, 0.665758, 0.72768, 0.831785, 0.98949, 1.06834, 1.14719");
-            values ( \
-              "0.0210956, 0.0547525, 0.0528614, 0.0492483, 0.0486424, 0.0440348, 0.0443094, 0.0421698, 0.0370084, 0.0264082, 0.0264712, 0.0252139, 0.025321, 0.0217579, 0.0109558, 0.00775971, 0.00499493, 0.00304361, 0.00126486, 0.000275986, 0.000292445, 8.0923e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0318493, 0.046366, 0.0479982, 0.0512625, 0.0569461, 0.0626959, 0.0741954, 0.0903652, 0.118152, 0.147226, 0.18576, 0.206007, 0.246501, 0.266979, 0.331357, 0.364024, 0.398811, 0.41148, 0.454801, 0.479113, 0.491696, 0.503511, 0.534194, 0.568572, 0.573608, 0.58368, 0.603823, 0.640104, 0.672338, 0.705204, 0.721177, 0.753123, 0.790074, 0.833433, 0.854968, 0.954892, 1.00548, 1.02249, 1.05651, 1.12456, 1.20342, 1.28227, 1.36112, 1.43997, 1.51883, 1.59768");
-            values ( \
-              "0.032744, 0.0661943, 0.0664267, 0.0659849, 0.0659665, 0.0653753, 0.0650854, 0.0640696, 0.0632583, 0.0619073, 0.0608899, 0.0599148, 0.0586464, 0.0573922, 0.0540485, 0.0541255, 0.0505528, 0.0499228, 0.044034, 0.0399984, 0.0388463, 0.0387493, 0.0368365, 0.0330395, 0.0321607, 0.0312256, 0.0284933, 0.0246068, 0.0215943, 0.0192249, 0.0177578, 0.0156858, 0.0129705, 0.0107231, 0.00936532, 0.00548131, 0.00440922, 0.00375842, 0.00337473, 0.00207981, 0.00156589, 0.000775695, 0.000727251, 0.000236751, 0.000382875, 1.55764e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0379846, 0.0380046, 0.192567, 0.207001, 0.23587, 0.296679, 0.375531, 0.389642, 0.417865, 0.487242, 0.527329, 0.579963, 0.650181, 0.66949, 0.684653, 0.721462, 0.966755, 1.07086, 1.156, 1.30632, 1.41988, 1.54537, 1.70308, 1.78193, 1.86078, 1.93964, 2.01849, 2.09734, 2.17619, 2.25505, 2.3339, 2.41275");
-            values ( \
-              "1e-22, 0.0776539, 0.0709691, 0.0709117, 0.0699569, 0.0688013, 0.0662231, 0.0660363, 0.064527, 0.06286, 0.0613982, 0.0584917, 0.0517418, 0.050518, 0.0506889, 0.0488665, 0.0271574, 0.0204549, 0.0159567, 0.009839, 0.00664216, 0.00422481, 0.00233865, 0.00200552, 0.00125765, 0.00119327, 0.000644696, 0.000735086, 0.000298914, 0.000477851, 0.000104191, 0.000333885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0469311, 0.0515458, 0.0529292, 0.055191, 0.0574914, 0.0620923, 0.0675804, 0.0777163, 0.0883277, 0.109263, 0.109848, 0.118033, 0.132355, 0.156772, 0.174365, 0.194946, 0.209442, 0.230654, 0.237734, 0.250936, 0.267558, 0.295081, 0.306287, 0.326588, 0.345796, 0.366173, 0.393374, 0.412339, 0.417145, 0.445978, 0.484422, 0.549486, 0.707191");
-            values ( \
-              "0.0140336, 0.0197437, 0.0197694, 0.0195248, 0.0195391, 0.0192284, 0.0191505, 0.0186941, 0.0184697, 0.017686, 0.0177275, 0.0174541, 0.016745, 0.0151156, 0.0156132, 0.0137667, 0.0117604, 0.00932257, 0.00946689, 0.0087496, 0.0072634, 0.00636703, 0.00577214, 0.00419747, 0.00306198, 0.00227622, 0.00154567, 0.00123396, 0.00109037, 0.000702629, 0.000433595, 0.000110318, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0472896, 0.0527982, 0.055696, 0.0596757, 0.0676351, 0.071337, 0.0787409, 0.0935486, 0.0953005, 0.0988043, 0.105812, 0.145303, 0.174175, 0.195055, 0.203125, 0.217781, 0.234157, 0.236088, 0.273486, 0.282479, 0.292966, 0.302983, 0.31337, 0.324649, 0.334152, 0.345925, 0.358281, 0.381679, 0.39603, 0.399808, 0.407365, 0.42233, 0.462593, 0.473396, 0.495003, 0.535133, 0.590991, 0.748696");
-            values ( \
-              "0.0195536, 0.0297507, 0.0296539, 0.0293018, 0.0290189, 0.0287227, 0.0285202, 0.0277668, 0.0278096, 0.0275461, 0.0273711, 0.0254507, 0.0231379, 0.0237169, 0.0226692, 0.0211463, 0.0183562, 0.0182079, 0.0122349, 0.0121495, 0.0112012, 0.0114872, 0.0109621, 0.0105302, 0.00962106, 0.00875491, 0.00742027, 0.0053451, 0.00453679, 0.00420318, 0.0039318, 0.00315341, 0.00187673, 0.00173986, 0.0012196, 0.000802685, 0.000294378, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0467867, 0.0547421, 0.170137, 0.204344, 0.230313, 0.257913, 0.331794, 0.357408, 0.370848, 0.436517, 0.496159, 0.547759, 0.600817, 0.714676, 0.872381");
-            values ( \
-              "0.0176566, 0.0418252, 0.0360042, 0.0331924, 0.033501, 0.0299937, 0.0166193, 0.0166548, 0.016132, 0.00954679, 0.00510874, 0.00296255, 0.00166168, 0.00040756, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0467342, 0.0573491, 0.059931, 0.0879482, 0.186344, 0.256336, 0.279514, 0.303437, 0.341764, 0.399963, 0.413483, 0.425702, 0.42898, 0.45935, 0.576916, 0.623325, 0.680548, 0.741983, 0.844658, 1.00236, 1.16007");
-            values ( \
-              "0.0228436, 0.0548314, 0.0544793, 0.0529063, 0.0486502, 0.0440741, 0.044287, 0.042216, 0.0369684, 0.0264521, 0.0264407, 0.0252602, 0.0252906, 0.0218065, 0.0110269, 0.00781409, 0.00503492, 0.00309648, 0.00132795, 0.000324267, 5.25815e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0542786, 0.0542986, 0.13318, 0.250713, 0.32592, 0.379175, 0.448084, 0.503458, 0.544889, 0.678499, 0.782709, 0.888213, 0.986046, 1.11382, 1.27129, 1.32507");
-            values ( \
-              "1e-22, 0.0726964, 0.0631963, 0.0590563, 0.0549895, 0.0538621, 0.0473482, 0.038825, 0.0372559, 0.0225019, 0.0144886, 0.00858547, 0.0050859, 0.00250359, 0.00100061, 0.000887798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.051617, 0.051637, 0.22167, 0.39017, 0.479403, 0.501881, 0.594719, 0.683888, 0.69904, 0.754031, 0.913974, 1.04767, 1.2962, 1.51121, 1.63831, 1.9123");
-            values ( \
-              "1e-22, 0.0764543, 0.0707187, 0.0664294, 0.0630141, 0.0630662, 0.058688, 0.0503273, 0.0508939, 0.047546, 0.0327775, 0.0226147, 0.0107702, 0.00513685, 0.00325463, 0.00130532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0733465, 0.0956819, 0.102724, 0.109959, 0.144932, 0.167463, 0.191858, 0.2034, 0.214951, 0.230468, 0.263908, 0.271043, 0.278242, 0.285935, 0.302947, 0.330228, 0.341229, 0.360411, 0.377314, 0.400367, 0.428005, 0.451866, 0.480864, 0.519527, 0.585527, 0.66438");
-            values ( \
-              "0.00273576, 0.0198151, 0.0192203, 0.0188881, 0.0177457, 0.0167636, 0.0151279, 0.0155841, 0.0152039, 0.013559, 0.00946785, 0.00941178, 0.00919172, 0.00871625, 0.00721917, 0.00637494, 0.00576721, 0.00429954, 0.0032525, 0.00232423, 0.00157695, 0.00111936, 0.000728737, 0.000407068, 0.000132731, 3.90311e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0738509, 0.0967703, 0.0992391, 0.102509, 0.107085, 0.116235, 0.128419, 0.13104, 0.136282, 0.145914, 0.155915, 0.175917, 0.181902, 0.193873, 0.209834, 0.230979, 0.275605, 0.283321, 0.294985, 0.308513, 0.318122, 0.328717, 0.338855, 0.349218, 0.360536, 0.369921, 0.383507, 0.387422, 0.393532, 0.405087, 0.416688, 0.430912, 0.435293, 0.444055, 0.45943, 0.476165, 0.499454, 0.507111, 0.522425, 0.551105, 0.588614, 0.628325");
-            values ( \
-              "0.00257511, 0.0312368, 0.0281614, 0.0305462, 0.0275344, 0.0297496, 0.0265474, 0.0290914, 0.0262359, 0.0284575, 0.0254366, 0.0270508, 0.0240829, 0.0256992, 0.0218703, 0.0249828, 0.0163008, 0.017617, 0.0131995, 0.0135313, 0.0109007, 0.0124397, 0.0102595, 0.0121813, 0.00929964, 0.0108254, 0.00729761, 0.00933771, 0.00632933, 0.00760343, 0.00426748, 0.00570667, 0.00309657, 0.00499592, 0.00198528, 0.00372353, 0.000739709, 0.00288545, 0.000263141, 0.00212911, 1e-22, 0.00105997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0734136, 0.0972447, 0.101476, 0.113014, 0.187729, 0.205425, 0.230606, 0.239669, 0.257279, 0.275217, 0.306279, 0.3154, 0.333641, 0.364748, 0.371744, 0.383032, 0.394312, 0.406714, 0.420068, 0.432855, 0.482779, 0.50335, 0.529469, 0.564488, 0.592782, 0.633315, 0.680827, 0.742558, 0.82141, 0.900263");
-            values ( \
-              "0.00256302, 0.0420657, 0.041475, 0.0406127, 0.0370407, 0.0358774, 0.0338332, 0.0332654, 0.0335246, 0.0326355, 0.0275716, 0.0263442, 0.0226677, 0.0169968, 0.016372, 0.0165846, 0.0165879, 0.0160969, 0.0150301, 0.0137755, 0.0085909, 0.00694171, 0.00531644, 0.00371349, 0.00276092, 0.00180325, 0.00108662, 0.000545682, 0.000230206, 8.96817e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0734417, 0.100115, 0.112929, 0.123607, 0.224831, 0.246719, 0.280092, 0.291971, 0.315155, 0.339068, 0.37735, 0.435576, 0.449097, 0.464597, 0.495041, 0.612221, 0.644772, 0.687107, 0.758652, 0.815059, 0.878687, 0.957539, 1.11524, 1.1941");
-            values ( \
-              "0.00503553, 0.0547382, 0.0535809, 0.0530183, 0.0484124, 0.0471708, 0.0446333, 0.0441893, 0.04418, 0.0423248, 0.0368735, 0.0265573, 0.0263456, 0.025201, 0.0218934, 0.0111444, 0.00873678, 0.00634237, 0.00362684, 0.00230919, 0.00143604, 0.000695666, 0.000162878, 0.000143686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0735088, 0.103156, 0.113626, 0.163674, 0.256931, 0.317943, 0.356506, 0.382287, 0.415031, 0.462259, 0.48735, 0.535463, 0.567787, 0.585044, 0.689989, 0.722585, 0.842493, 0.905617, 0.945093, 0.987785, 1.07614, 1.20562, 1.36332, 1.414");
-            values ( \
-              "0.00808473, 0.0663516, 0.0655195, 0.0633135, 0.0600856, 0.0575969, 0.0552641, 0.0543401, 0.0538887, 0.0497583, 0.0466913, 0.0394412, 0.0380463, 0.0367351, 0.0246028, 0.0217607, 0.0129848, 0.00948502, 0.00778212, 0.00616154, 0.00380717, 0.00184036, 0.000739209, 0.000593348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0935564, 0.0935764, 0.243521, 0.347917, 0.426769, 0.51577, 0.538436, 0.631286, 0.701012, 0.720424, 0.735583, 0.753702, 0.760239, 0.790612, 0.950205, 1.0164, 1.08403, 1.16295, 1.21018, 1.2582, 1.35623, 1.46853, 1.54738, 1.59581, 1.67467, 1.75352, 1.91122, 2.06893, 2.22663, 2.46319");
-            values ( \
-              "1e-22, 0.0818101, 0.0711222, 0.0686669, 0.0663731, 0.0630747, 0.0630133, 0.0586354, 0.0519328, 0.0503838, 0.0508403, 0.049823, 0.0497805, 0.0474881, 0.0328649, 0.0274121, 0.0226845, 0.018296, 0.0159404, 0.0137501, 0.0100052, 0.00682492, 0.00520383, 0.00435883, 0.00331699, 0.00246752, 0.00138352, 0.000768844, 0.000422072, 0.000210733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.126955, 0.177541, 0.18455, 0.209764, 0.210243, 0.210883, 0.212161, 0.220672, 0.231146, 0.241832, 0.253581, 0.277963, 0.290572, 0.301595, 0.312969, 0.346938, 0.357478, 0.364411, 0.371978, 0.381139, 0.389595, 0.39838, 0.407547, 0.416527, 0.425425, 0.427144, 0.430583, 0.436727, 0.444471, 0.453626, 0.461906, 0.472527, 0.474467, 0.478347, 0.486107, 0.497279, 0.511796, 0.531855, 0.537461, 0.548675, 0.571101, 0.605965, 0.673861, 0.752713");
-            values ( \
-              "0.000293, 0.0116683, 0.0130212, 0.016863, 0.0184823, 0.0188969, 0.0189886, 0.0182436, 0.0177847, 0.0174208, 0.0167569, 0.0152163, 0.0156288, 0.0151444, 0.0139814, 0.00987363, 0.00913486, 0.00930787, 0.00861087, 0.00802358, 0.00717484, 0.00702591, 0.00670402, 0.00633944, 0.00585402, 0.00568125, 0.00560841, 0.00498942, 0.00453982, 0.00372021, 0.00342758, 0.00275039, 0.00286894, 0.00251183, 0.00245362, 0.00190067, 0.00174484, 0.00111916, 0.00123998, 0.000850854, 0.000795326, 0.000293251, 0.000239222, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.127583, 0.19034, 0.217801, 0.222763, 0.254916, 0.296365, 0.310742, 0.325268, 0.397653, 0.404619, 0.41514, 0.424873, 0.446604, 0.502881, 0.545915, 0.608905, 0.685063, 0.84032");
-            values ( \
-              "0.000550189, 0.0210529, 0.0284298, 0.0276733, 0.0262511, 0.0232382, 0.0236825, 0.0230441, 0.0121226, 0.0121217, 0.0112387, 0.0115284, 0.0105555, 0.00541109, 0.00309625, 0.00136492, 0.000462802, 5.10144e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.127441, 0.198269, 0.210064, 0.215046, 0.221258, 0.227071, 0.229395, 0.234043, 0.270042, 0.280825, 0.326291, 0.343844, 0.361819, 0.402001, 0.420477, 0.451403, 0.458347, 0.469618, 0.481051, 0.493635, 0.507091, 0.569241, 0.601264, 0.62959, 0.651351, 0.680099, 0.718175, 0.765461, 0.826721, 0.905574, 0.984426");
-            values ( \
-              "0.000749822, 0.0326203, 0.0365504, 0.0401957, 0.0402458, 0.0392377, 0.0393333, 0.0389977, 0.0373202, 0.0367007, 0.0332704, 0.0335736, 0.0326172, 0.0263421, 0.0226128, 0.0169768, 0.0164279, 0.0165847, 0.0166221, 0.0160623, 0.0150115, 0.00858864, 0.00616003, 0.00461278, 0.00371291, 0.00272965, 0.00182667, 0.00111965, 0.000550419, 0.000246314, 8.20078e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.127723, 0.210238, 0.213883, 0.224189, 0.232157, 0.245939, 0.250069, 0.25833, 0.274389, 0.291026, 0.3243, 0.333623, 0.352269, 0.378813, 0.410157, 0.425933, 0.44987, 0.476106, 0.499506, 0.511945, 0.524701, 0.536165, 0.549629, 0.562368, 0.572578, 0.589213, 0.607495, 0.62741, 0.65103, 0.653921, 0.659705, 0.671272, 0.691744, 0.720339, 0.741192, 0.745406, 0.753833, 0.770688, 0.80212, 0.841783, 0.864206, 0.909051, 0.974029, 1.05288, 1.13173, 1.14649");
-            values ( \
-              "0.00098679, 0.04828, 0.0547312, 0.0519352, 0.0527431, 0.0507651, 0.0518803, 0.0502017, 0.0507965, 0.0487758, 0.0483897, 0.046569, 0.0464836, 0.0432554, 0.0448574, 0.0411809, 0.0400657, 0.0340307, 0.031096, 0.027441, 0.027207, 0.0257886, 0.0259261, 0.023402, 0.0234575, 0.0206004, 0.0202075, 0.017128, 0.016135, 0.0145715, 0.0152745, 0.0129129, 0.0123548, 0.0088924, 0.00878319, 0.00728476, 0.00803806, 0.00591861, 0.00574883, 0.00315485, 0.00377513, 0.00160156, 0.00191946, 7.10752e-05, 0.000968519, 0.000787274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.150514, 0.175795, 0.187309, 0.21003, 0.214835, 0.220854, 0.226412, 0.228233, 0.231876, 0.251774, 0.285037, 0.361374, 0.404724, 0.453652, 0.469089, 0.499963, 0.532627, 0.536435, 0.544052, 0.559285, 0.584151, 0.605548, 0.614173, 0.626184, 0.638163, 0.652346, 0.667509, 0.701208, 0.711437, 0.731897, 0.762014, 0.799693, 0.858499, 0.905234, 0.937249, 0.980541, 1.01153, 1.05284, 1.11635, 1.15083, 1.16169, 1.18341, 1.22685, 1.30571, 1.38456, 1.46341, 1.54226, 1.69997, 1.77882");
-            values ( \
-              "0.0179565, 0.0388399, 0.0460266, 0.0592278, 0.06491, 0.0657261, 0.0642749, 0.0644051, 0.064198, 0.0633577, 0.06211, 0.0594663, 0.0575587, 0.0546572, 0.0545552, 0.0537716, 0.0513268, 0.0508715, 0.0502435, 0.0485537, 0.0452537, 0.0420277, 0.0405914, 0.0390046, 0.0387968, 0.0381066, 0.0371735, 0.0335661, 0.0322454, 0.0298617, 0.0261453, 0.0225433, 0.017907, 0.0145736, 0.0125117, 0.0101034, 0.00861741, 0.00694979, 0.00493287, 0.0041033, 0.0038543, 0.00344066, 0.00269325, 0.00174865, 0.00110652, 0.000725398, 0.00044895, 0.000179244, 0.000131928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.150538, 0.216139, 0.232538, 0.435095, 0.602899, 0.625602, 0.718294, 0.808561, 0.823711, 0.874358, 1.06232, 1.14117, 1.28978, 1.44551, 1.56056, 1.63941, 1.84063, 2.13107");
-            values ( \
-              "0.0178532, 0.0760897, 0.0739374, 0.0687025, 0.0631035, 0.0629878, 0.0586223, 0.0504153, 0.0507999, 0.0477572, 0.0307363, 0.0246151, 0.0162761, 0.00993721, 0.00671858, 0.00510106, 0.00248399, 0.000923984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.253007, 0.299662, 0.315966, 0.350258, 0.378708, 0.403375, 0.437721, 0.467675, 0.495719, 0.505744, 0.510115, 0.517984, 0.521216, 0.52768, 0.550251, 0.56508, 0.575582, 0.582421, 0.589863, 0.607165, 0.617034, 0.626886, 0.634725, 0.64354, 0.644241, 0.645644, 0.648448, 0.652152, 0.658539, 0.667302, 0.676568, 0.687354, 0.691424, 0.699563, 0.712469, 0.727269, 0.747687, 0.75425, 0.767375, 0.793625, 0.832887, 0.905679, 0.984532");
-            values ( \
-              "0.0004365, 0.00289905, 0.00405463, 0.00683432, 0.00877008, 0.0103051, 0.012361, 0.0135798, 0.0141627, 0.0154418, 0.0158189, 0.0153512, 0.0149055, 0.0143381, 0.0116888, 0.00984328, 0.0091973, 0.00931418, 0.00865455, 0.00738002, 0.00719715, 0.00676178, 0.00635857, 0.00583056, 0.00569341, 0.00576336, 0.00538068, 0.00525162, 0.00455921, 0.00409317, 0.00337141, 0.00303141, 0.00269328, 0.00256502, 0.00198399, 0.00176684, 0.00116642, 0.00122439, 0.000852518, 0.000717761, 0.00025865, 0.00018637, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.253104, 0.303849, 0.366699, 0.414394, 0.451232, 0.483328, 0.505841, 0.512996, 0.526109, 0.537712, 0.541491, 0.54905, 0.560201, 0.574363, 0.591991, 0.617076, 0.620705, 0.627963, 0.636962, 0.647651, 0.658616, 0.669595, 0.681007, 0.683395, 0.688172, 0.697726, 0.709712, 0.72055, 0.734867, 0.737671, 0.743279, 0.754496, 0.772161, 0.794882, 0.8074, 0.81064, 0.81712, 0.83008, 0.856001, 0.905811, 0.983234");
-            values ( \
-              "0.000507351, 0.00484047, 0.0121382, 0.0167866, 0.02004, 0.0221796, 0.0228267, 0.0250397, 0.0230514, 0.0240993, 0.0221965, 0.0224872, 0.0198887, 0.0186551, 0.0149409, 0.0117597, 0.0122974, 0.0113363, 0.0119726, 0.011139, 0.011113, 0.00966608, 0.00920664, 0.00848866, 0.00847118, 0.00704141, 0.00637236, 0.00509197, 0.00463396, 0.00403587, 0.00419231, 0.00322582, 0.00299007, 0.00185056, 0.00199477, 0.00147181, 0.00178936, 0.00109547, 0.00116897, 0.000253378, 0.000380601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.252664, 0.311526, 0.359472, 0.431263, 0.480368, 0.505401, 0.507457, 0.511569, 0.517989, 0.523968, 0.535574, 0.541405, 0.553065, 0.57667, 0.616752, 0.670122, 0.673157, 0.679227, 0.688683, 0.69914, 0.712976, 0.783857, 0.803533, 0.815757, 0.817978, 0.82242, 0.831303, 0.844258, 0.864925, 0.895911, 0.907387, 0.930341, 0.971268, 1.04041, 1.11926, 1.19811");
-            values ( \
-              "0.000493865, 0.00811561, 0.0160819, 0.026354, 0.0324526, 0.0347133, 0.0370742, 0.035525, 0.0357399, 0.0344039, 0.0341148, 0.03328, 0.0340412, 0.0324643, 0.0263972, 0.0162989, 0.0170811, 0.0164024, 0.0171195, 0.016326, 0.0160688, 0.00834901, 0.00723692, 0.00595281, 0.00625451, 0.0055715, 0.00548209, 0.00442216, 0.00394279, 0.00250725, 0.00260512, 0.00168838, 0.00141916, 0.000365396, 0.000433424, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.267465, 0.311045, 0.426957, 0.458738, 0.505766, 0.518586, 0.53311, 0.593249, 0.624426, 0.640296, 0.664229, 0.726648, 0.739211, 0.75062, 0.767204, 0.805047, 0.903777, 0.936522, 0.984248, 1.01591, 1.05944, 1.11643, 1.18031, 1.25916, 1.33801, 1.49572");
-            values ( \
-              "0.00495458, 0.0107308, 0.0342704, 0.0400043, 0.0476211, 0.0491247, 0.0483531, 0.0440541, 0.0442438, 0.0418118, 0.0394253, 0.0279753, 0.0267494, 0.0264716, 0.0251213, 0.0210793, 0.0119243, 0.0093707, 0.00656172, 0.00515819, 0.00366109, 0.00233404, 0.00140008, 0.000723904, 0.000385398, 0.000109875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.275029, 0.311641, 0.411332, 0.506235, 0.512232, 0.521463, 0.528492, 0.542551, 0.586063, 0.663104, 0.716206, 0.785139, 0.840478, 0.853601, 0.881993, 0.978698, 1.01559, 1.11967, 1.15163, 1.22504, 1.26465, 1.36296, 1.45069, 1.52954, 1.68725, 1.70751");
-            values ( \
-              "0.00733648, 0.0134288, 0.0384475, 0.0596443, 0.061936, 0.0619168, 0.0611738, 0.0608846, 0.0591929, 0.0549969, 0.0538049, 0.0472815, 0.0389218, 0.0388541, 0.0372025, 0.0258715, 0.0224317, 0.0145625, 0.0125104, 0.00866469, 0.00703064, 0.00413967, 0.00257638, 0.00163808, 0.000666427, 0.000630197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.310919, 0.355371, 0.407566, 0.467943, 0.505421, 0.518371, 0.534694, 0.550693, 0.558756, 0.574883, 0.604642, 0.725405, 0.773049, 0.820787, 0.838648, 0.926476, 0.942045, 0.973183, 1.01332, 1.03014, 1.06058, 1.09823, 1.22768, 1.33342, 1.42816, 1.54377, 1.63444, 1.75071, 1.82956, 1.88132, 1.89493, 1.92214, 2.05542, 2.21312, 2.37083, 2.52853, 2.76509");
-            values ( \
-              "0.0279335, 0.0283739, 0.043525, 0.060054, 0.0695473, 0.0721118, 0.0717552, 0.0709897, 0.0710234, 0.0704573, 0.0698263, 0.0664885, 0.0645654, 0.062971, 0.0630291, 0.0590099, 0.0574443, 0.0547845, 0.0507043, 0.0507543, 0.0497497, 0.0468669, 0.0348833, 0.0260507, 0.020154, 0.0143483, 0.0107281, 0.00723341, 0.00557205, 0.00456778, 0.00442415, 0.00395997, 0.00244738, 0.00137244, 0.000764428, 0.000422857, 0.00020188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.531766, 0.633607, 0.667525, 0.700212, 0.783296, 0.828141, 0.883019, 0.931216, 0.954654, 0.976689, 0.996017, 1.01425, 1.01722, 1.02318, 1.03509, 1.05132, 1.0577, 1.06759, 1.07571, 1.08636, 1.09352, 1.11128, 1.13008, 1.16356, 1.18379, 1.21619, 1.21749, 1.22177, 1.25151, 1.2724, 1.29103, 1.31587, 1.36554, 1.43706, 1.51591");
-            values ( \
-              "0.000327705, 0.00120023, 0.00171862, 0.00245222, 0.00528063, 0.00648141, 0.00770446, 0.00839419, 0.00842616, 0.00900846, 0.00989421, 0.00959849, 0.00991061, 0.00978707, 0.00987697, 0.00926065, 0.00888691, 0.00923064, 0.00919137, 0.00880129, 0.00832722, 0.00790624, 0.00700025, 0.00449879, 0.00345266, 0.00226757, 0.00263487, 0.00258111, 0.0016963, 0.00124864, 0.000946683, 0.000647964, 0.00029894, 8.88741e-05, 2.69602e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.531602, 0.639656, 0.694116, 0.820806, 0.88913, 0.929257, 0.953951, 0.979072, 1.00213, 1.0254, 1.04481, 1.07626, 1.08758, 1.09996, 1.11439, 1.12506, 1.13704, 1.13947, 1.1524, 1.17294, 1.216, 1.22365, 1.27318, 1.32025, 1.35357, 1.38001, 1.43289, 1.46176");
-            values ( \
-              "0.000456428, 0.00205296, 0.00358317, 0.00964545, 0.0120929, 0.0133001, 0.0136943, 0.0139277, 0.0147426, 0.016507, 0.0159496, 0.016154, 0.0143884, 0.0138641, 0.0122715, 0.0127236, 0.0124507, 0.0127347, 0.0122475, 0.0106924, 0.00653082, 0.00659194, 0.00365719, 0.00201118, 0.00131027, 0.000929897, 0.00045079, 0.000339824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.531516, 0.649684, 0.696014, 0.720495, 0.784659, 0.868822, 0.934325, 0.991331, 1.01982, 1.04373, 1.06941, 1.12482, 1.1384, 1.15255, 1.16793, 1.18127, 1.19331, 1.19895, 1.21592, 1.22334, 1.30278, 1.33894, 1.38392, 1.43132, 1.45964, 1.51628, 1.59513, 1.67398, 1.75284");
-            values ( \
-              "0.000534433, 0.00346566, 0.00542564, 0.00687346, 0.0115808, 0.0164212, 0.0196268, 0.0218378, 0.022398, 0.0236426, 0.0259194, 0.0248606, 0.0223907, 0.0214031, 0.0186846, 0.0181341, 0.0178895, 0.0180499, 0.0173369, 0.0175243, 0.00891075, 0.00621077, 0.00393478, 0.0023999, 0.00178996, 0.000957302, 0.000401618, 0.000157993, 7.47885e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.608447, 0.704603, 0.812203, 0.909122, 0.984411, 1.04948, 1.08202, 1.13792, 1.18855, 1.21612, 1.22004, 1.22788, 1.24261, 1.28236, 1.41215, 1.4678, 1.52168, 1.60155, 1.65627, 1.72923, 1.7746");
-            values ( \
-              "0.00604907, 0.00814127, 0.0176075, 0.0247967, 0.0298837, 0.0333955, 0.0346024, 0.0387091, 0.0371174, 0.0330564, 0.0335546, 0.0325603, 0.0296431, 0.0267918, 0.0132771, 0.00889037, 0.0059495, 0.00318554, 0.00206436, 0.0011462, 0.000907504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.608046, 0.687421, 0.717019, 0.853736, 0.969192, 1.0796, 1.12168, 1.20176, 1.20599, 1.21572, 1.21825, 1.22332, 1.27339, 1.30465, 1.34929, 1.35112, 1.36213, 1.37164, 1.38436, 1.40146, 1.43186, 1.50675, 1.54149, 1.59505, 1.6714, 1.72711, 1.74839, 1.79094, 1.85361, 1.88755, 1.91914, 1.96127, 2.03881, 2.19652, 2.35422, 2.51193");
-            values ( \
-              "0.00893194, 0.00914721, 0.0116432, 0.0258042, 0.0363457, 0.0455126, 0.0483851, 0.0526616, 0.0532392, 0.0536784, 0.0544633, 0.0546569, 0.0509696, 0.0474511, 0.0410583, 0.0404449, 0.0396133, 0.0393556, 0.0387924, 0.0375417, 0.0342378, 0.0252667, 0.0221269, 0.017891, 0.0126361, 0.00956907, 0.00862579, 0.00685808, 0.00494046, 0.00406437, 0.00341659, 0.00275998, 0.00174408, 0.00070118, 0.000271738, 9.57668e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.607883, 0.720516, 0.883883, 1.05706, 1.16632, 1.21555, 1.23573, 1.32308, 1.35938, 1.3997, 1.45455, 1.53736, 1.55281, 1.58107, 1.61842, 1.74765, 1.85292, 1.94863, 2.06403, 2.1549, 2.27165, 2.40193, 2.57514, 2.73285, 2.89055, 3.28481");
-            values ( \
-              "0.00785221, 0.0143514, 0.0332481, 0.0517732, 0.0623125, 0.0664397, 0.066964, 0.0636114, 0.0628958, 0.0613647, 0.0583789, 0.0505102, 0.0509444, 0.049846, 0.0469463, 0.0349071, 0.0261045, 0.0201395, 0.0143443, 0.0107162, 0.00721026, 0.00455483, 0.00244628, 0.00136885, 0.000759583, 0.000208425" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00770057, 0.0077216, 0.00774541, 0.00776861, 0.00778811, 0.0078026", \
-            "0.0088231, 0.00884583, 0.00887268, 0.00890051, 0.00892519, 0.00894426", \
-            "0.00943118, 0.00944922, 0.00947205, 0.00949704, 0.00952104, 0.0095408", \
-            "0.00978716, 0.00979712, 0.00981113, 0.0098282, 0.00984594, 0.00986138", \
-            "0.0100422, 0.010039, 0.0100367, 0.0100366, 0.0100395, 0.0100446", \
-            "0.0102619, 0.0102451, 0.010223, 0.0101978, 0.0101735, 0.0101543" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00971795, 0.00973697, 0.00975695, 0.0097743, 0.00978712, 0.00979559", \
-            "0.00976546, 0.00977282, 0.00977783, 0.00977875, 0.00978093, 0.00977785", \
-            "0.00966557, 0.00963726, 0.00960439, 0.00957033, 0.00953826, 0.00951368", \
-            "0.00973093, 0.0096549, 0.00956592, 0.00947674, 0.00939865, 0.0093393", \
-            "0.0101852, 0.0100229, 0.00983307, 0.00963114, 0.0094524, 0.00931977", \
-            "0.00954221, 0.00958957, 0.00976203, 0.00995073, 0.00983004, 0.0095664" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.029163, 0.0321619, 0.0492253, 0.0608987, 0.0694196, 0.072164, 0.0776529, 0.0841895, 0.0846715, 0.0856353, 0.087563, 0.0907285, 0.0959154, 0.0985671, 0.111627, 0.137585, 0.166302, 0.179925, 0.20437, 0.231359, 0.251916, 0.255903, 0.277704, 0.327015, 0.415749");
-            values ( \
-              "-0.0131089, -0.0390238, -0.0379215, -0.0359114, -0.0336776, -0.0337505, -0.0309512, -0.0293077, -0.0284345, -0.028789, -0.0280893, -0.028214, -0.0274322, -0.0273239, -0.0240862, -0.0150777, -0.00688969, -0.00541416, -0.0040851, -0.00188187, -0.00126425, -0.00129654, -0.000374164, -0.000482673, -0.000242953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0292105, 0.0328434, 0.0424163, 0.0546147, 0.0668727, 0.0719457, 0.0805867, 0.0872832, 0.0948076, 0.0953037, 0.0962958, 0.0982801, 0.10214, 0.111369, 0.125201, 0.136915, 0.155712, 0.177696, 0.202733, 0.213348, 0.226863, 0.244984, 0.257172, 0.295077, 0.30928, 0.319001, 0.329294, 0.44898, 0.549532");
-            values ( \
-              "-0.0178951, -0.0569437, -0.0562404, -0.0549493, -0.0526994, -0.051006, -0.0492705, -0.0460832, -0.0433728, -0.0428271, -0.0429488, -0.0425135, -0.042437, -0.0410899, -0.036784, -0.0319707, -0.0234904, -0.0153138, -0.00803987, -0.00672938, -0.00571927, -0.00402551, -0.00308571, -0.00221342, -0.0013584, -0.00108792, -0.000922628, -0.000268053, -3.68247e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0292478, 0.0338156, 0.0618394, 0.0776235, 0.0835468, 0.0950608, 0.11359, 0.116293, 0.130702, 0.139021, 0.155366, 0.185529, 0.202527, 0.221398, 0.254696, 0.270146, 0.281571, 0.297484, 0.311624, 0.340905, 0.365935, 0.392925, 0.467423, 0.499833");
-            values ( \
-              "-0.0262927, -0.0773108, -0.0744083, -0.0716557, -0.0698565, -0.0674714, -0.0601922, -0.0602846, -0.0585917, -0.0561609, -0.0499947, -0.0348634, -0.0271062, -0.0199231, -0.0104364, -0.00677583, -0.00538463, -0.00489427, -0.00494581, -0.0042967, -0.00275621, -0.00184322, -0.000771667, -0.000554699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0292759, 0.0352783, 0.0724143, 0.0966474, 0.121701, 0.137998, 0.161014, 0.171821, 0.201002, 0.266143, 0.311268, 0.339679, 0.365798, 0.49216, 0.576952");
-            values ( \
-              "-0.0400337, -0.0971651, -0.0935827, -0.0902395, -0.0853297, -0.0798138, -0.0774434, -0.0750854, -0.0651933, -0.0349109, -0.0194698, -0.0117262, -0.00889883, -0.00299102, -0.00132029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0310528, 0.0310728, 0.0544379, 0.123456, 0.159752, 0.180991, 0.210307, 0.238033, 0.280104, 0.340752, 0.413287, 0.425432, 0.456746, 0.513303, 0.548144, 0.610097, 0.702864, 0.782035, 0.99556");
-            values ( \
-              "-1e-22, -0.114556, -0.112033, -0.106826, -0.102558, -0.0972144, -0.0953584, -0.0908479, -0.0789802, -0.0544505, -0.0283038, -0.0253075, -0.0206785, -0.0136028, -0.00992143, -0.00580447, -0.00278933, -0.00143621, -6.80283e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0312857, 0.0313057, 0.0561492, 0.174409, 0.227341, 0.260352, 0.277178, 0.294536, 0.323555, 0.35356, 0.383423, 0.418172, 0.520432, 0.552746, 0.568492, 0.658426, 0.691411, 0.730051, 0.78437, 0.837923, 0.884248, 0.960294, 1.0682, 1.1771, 1.286, 1.44935");
-            values ( \
-              "-1e-22, -0.127332, -0.124695, -0.119176, -0.115302, -0.110865, -0.109647, -0.109428, -0.10665, -0.102843, -0.0977984, -0.0892425, -0.0592991, -0.0486691, -0.0446737, -0.0304594, -0.0257978, -0.0206879, -0.0148611, -0.010325, -0.00757734, -0.00488734, -0.00277119, -0.00154809, -0.000834698, -0.000383892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0429514, 0.0465082, 0.0519913, 0.0595159, 0.0646775, 0.0749066, 0.0794656, 0.0864758, 0.0989608, 0.100164, 0.102572, 0.106995, 0.112899, 0.12326, 0.128278, 0.151411, 0.166661, 0.17758, 0.180792, 0.181641, 0.183338, 0.192521, 0.20117, 0.219102, 0.241796, 0.256115, 0.266911, 0.270304, 0.277089, 0.283648, 0.299168, 0.324037, 0.353911, 0.424294");
-            values ( \
-              "-0.00485967, -0.0390656, -0.0388224, -0.0383124, -0.0377469, -0.0361194, -0.034723, -0.0334745, -0.0286501, -0.0286522, -0.028155, -0.0279885, -0.0271187, -0.0247163, -0.0232701, -0.0152831, -0.0108497, -0.00808865, -0.00689171, -0.0069002, -0.00650804, -0.00554304, -0.00514058, -0.00403378, -0.00225033, -0.00142655, -0.00137116, -0.00121642, -0.00109418, -0.000684765, -0.000282665, -0.000436857, -0.000453397, -0.000204879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0429505, 0.0472107, 0.0630419, 0.0689545, 0.0812094, 0.0862455, 0.0904697, 0.0949184, 0.100769, 0.109073, 0.109618, 0.110707, 0.112885, 0.116621, 0.125686, 0.138848, 0.15255, 0.169677, 0.190257, 0.218043, 0.22849, 0.270437, 0.30837, 0.325353, 0.346012, 0.469907, 0.516885");
-            values ( \
-              "-0.00694598, -0.057008, -0.055692, -0.0549512, -0.0527435, -0.0510539, -0.0500791, -0.0494093, -0.0463888, -0.0435139, -0.0427906, -0.0430238, -0.0424716, -0.0424835, -0.0411245, -0.0370428, -0.0313989, -0.0236146, -0.0158582, -0.00780952, -0.00671035, -0.00314543, -0.00225846, -0.00127473, -0.000895264, -0.000228393, -0.000127867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0429785, 0.0482809, 0.074422, 0.0860802, 0.105206, 0.109494, 0.127997, 0.131883, 0.146465, 0.167671, 0.208424, 0.237018, 0.279997, 0.291025, 0.304416, 0.351137, 0.384967, 0.411854, 0.489313, 0.579394");
-            values ( \
-              "-0.0121174, -0.0773738, -0.0746781, -0.0727727, -0.0681452, -0.0677942, -0.060078, -0.0604859, -0.058419, -0.0509416, -0.0307555, -0.0194663, -0.00769348, -0.0059579, -0.00500902, -0.00443724, -0.00251665, -0.001709, -0.000647249, -0.000106126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0429784, 0.0498083, 0.0842185, 0.111148, 0.136267, 0.152566, 0.175273, 0.18631, 0.215491, 0.280662, 0.309533, 0.35351, 0.380197, 0.507585, 0.597121");
-            values ( \
-              "-0.0234739, -0.0972419, -0.0938454, -0.0901828, -0.0853299, -0.0798342, -0.0774869, -0.0750834, -0.0651898, -0.0348978, -0.0245917, -0.0118323, -0.00886318, -0.0029661, -0.00120622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0457828, 0.0484802, 0.0615667, 0.138291, 0.173526, 0.197343, 0.223756, 0.252708, 0.272213, 0.294781, 0.355393, 0.432531, 0.44648, 0.520283, 0.576303, 0.630087, 0.692042, 0.760457, 0.855798, 0.964698, 1.01915");
-            values ( \
-              "-0.114449, -0.114458, -0.112786, -0.106897, -0.102604, -0.0969918, -0.0953626, -0.0907433, -0.0859643, -0.0788817, -0.054539, -0.0273023, -0.0242279, -0.0145715, -0.00882389, -0.00555287, -0.0034247, -0.00199418, -0.000836733, -0.000224955, -0.000375766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0460382, 0.0460582, 0.0708871, 0.188982, 0.241849, 0.275508, 0.292122, 0.309376, 0.345696, 0.368268, 0.398246, 0.432883, 0.538406, 0.5718, 0.586948, 0.671905, 0.742319, 0.803847, 0.855996, 0.901928, 0.956558, 1.06048, 1.16938, 1.27828, 1.49608");
-            values ( \
-              "-1e-22, -0.127255, -0.124786, -0.119135, -0.115389, -0.110891, -0.109578, -0.109493, -0.105988, -0.102708, -0.0979019, -0.089121, -0.0583934, -0.0473982, -0.0439695, -0.0305753, -0.0209114, -0.0143345, -0.0101487, -0.00738363, -0.00535844, -0.00306267, -0.00169468, -0.0008951, -0.000188806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0726617, 0.0774399, 0.0813605, 0.0873592, 0.0879308, 0.0883123, 0.0890753, 0.0902514, 0.0921192, 0.0973958, 0.107875, 0.11849, 0.119099, 0.131574, 0.135929, 0.140554, 0.145449, 0.152517, 0.160787, 0.188727, 0.20844, 0.212889, 0.22143, 0.22853, 0.238066, 0.251408, 0.272504, 0.28193, 0.293405, 0.308827, 0.322981, 0.331514, 0.356212, 0.384795, 0.480614, 0.525784");
-            values ( \
-              "-0.00442323, -0.0282452, -0.0312485, -0.0341842, -0.0382938, -0.0386674, -0.0387073, -0.038523, -0.0385324, -0.0380381, -0.0361051, -0.0332227, -0.0334872, -0.0289471, -0.0282632, -0.0278748, -0.0271707, -0.0255602, -0.0232763, -0.0138265, -0.00853174, -0.00700873, -0.00588128, -0.00536399, -0.00487793, -0.00403203, -0.00233144, -0.00175482, -0.00141253, -0.00109964, -0.000446508, -0.000299877, -0.000434808, -0.000455656, -0.000116799, -6.35932e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.072586, 0.0786009, 0.0831539, 0.0874111, 0.0886561, 0.0897965, 0.0913035, 0.0943176, 0.101906, 0.107938, 0.114454, 0.127149, 0.127796, 0.12909, 0.141416, 0.144554, 0.15354, 0.158537, 0.166706, 0.176213, 0.190842, 0.197368, 0.205279, 0.21432, 0.228222, 0.24423, 0.253968, 0.27722, 0.280107, 0.285882, 0.29519, 0.317694, 0.329045, 0.341867, 0.344468, 0.349671, 0.357235, 0.366536, 0.376074, 0.386245, 0.397141, 0.411129, 0.428361, 0.454867, 0.49007, 0.535523, 0.589942");
-            values ( \
-              "-0.00278637, -0.0423922, -0.046949, -0.0499553, -0.055315, -0.0567001, -0.0562053, -0.0562775, -0.0552741, -0.0541267, -0.0526913, -0.0489549, -0.0492035, -0.0487922, -0.0435562, -0.0429305, -0.0419839, -0.0410098, -0.0386243, -0.0353244, -0.0288822, -0.0258466, -0.0225109, -0.0189512, -0.0142983, -0.00950192, -0.00734351, -0.00542905, -0.00509719, -0.00469678, -0.00360752, -0.00271314, -0.00266717, -0.00210226, -0.00211294, -0.00163427, -0.00141754, -0.000990816, -0.00101578, -0.00081728, -0.000916256, -0.000715525, -0.000743967, -0.000436798, -0.000367821, -7.67344e-05, -0.00011377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0712649, 0.0857596, 0.0873948, 0.0886429, 0.090403, 0.0918414, 0.0937131, 0.10077, 0.114211, 0.123972, 0.13432, 0.142318, 0.142843, 0.147746, 0.153349, 0.160017, 0.160993, 0.166844, 0.173733, 0.180884, 0.190983, 0.202725, 0.223937, 0.229214, 0.243075, 0.25494, 0.27046, 0.284347, 0.309707, 0.322443, 0.329274, 0.340825, 0.355189, 0.369108, 0.385288, 0.400208, 0.406172, 0.418051, 0.431419, 0.444887, 0.458559, 0.474951, 0.493295, 0.51986, 0.550477, 0.603217, 0.657667");
-            values ( \
-              "-0.0152559, -0.0663129, -0.0676503, -0.0747322, -0.0772391, -0.0763282, -0.0766153, -0.0758676, -0.0738282, -0.0719668, -0.0690503, -0.0678265, -0.0672532, -0.0655063, -0.0629276, -0.0607227, -0.060544, -0.0600759, -0.0593397, -0.0576734, -0.054532, -0.0498979, -0.0394624, -0.03658, -0.0300859, -0.0250242, -0.0194046, -0.0152645, -0.00845502, -0.00609074, -0.00550107, -0.00488274, -0.00502107, -0.00465029, -0.00445383, -0.00347827, -0.00330111, -0.00246615, -0.00221702, -0.00166976, -0.0016487, -0.00117705, -0.00115474, -0.000639513, -0.000596294, -0.000106231, -0.000190861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0722333, 0.0822598, 0.0873706, 0.0894781, 0.0940813, 0.138285, 0.167439, 0.183168, 0.192595, 0.200571, 0.208804, 0.219417, 0.2486, 0.313674, 0.342629, 0.373843, 0.386319, 0.413288, 0.541212, 0.642975, 0.657257");
-            values ( \
-              "-0.00359255, -0.0786609, -0.0852603, -0.0962915, -0.0964634, -0.0913322, -0.0861854, -0.0805361, -0.078889, -0.0785422, -0.0774036, -0.0750901, -0.0651879, -0.0349423, -0.0246007, -0.0147473, -0.0118865, -0.00886498, -0.00294925, -0.000953818, -0.000882012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0721568, 0.0853007, 0.0907699, 0.0923729, 0.0951181, 0.109833, 0.171526, 0.20813, 0.228533, 0.24122, 0.258035, 0.286114, 0.304989, 0.328182, 0.388983, 0.465935, 0.479652, 0.553669, 0.609426, 0.663315, 0.726042, 0.793802, 0.887961, 1.05131");
-            values ( \
-              "-0.012116, -0.0972466, -0.114184, -0.113121, -0.113523, -0.111903, -0.106935, -0.102398, -0.0975731, -0.0961173, -0.0952578, -0.0907168, -0.0861652, -0.078854, -0.0544984, -0.0272718, -0.0243025, -0.014608, -0.0088764, -0.00559073, -0.00343862, -0.00202472, -0.00087688, -0.000349206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.076255, 0.0897186, 0.0939362, 0.125963, 0.223025, 0.269511, 0.321487, 0.33598, 0.35733, 0.401914, 0.431805, 0.466524, 0.615896, 0.737663, 0.796445, 0.839958, 0.891355, 0.936511, 1.03877, 1.14767, 1.25657, 1.30499");
-            values ( \
-              "-0.0603841, -0.125525, -0.126219, -0.123801, -0.119119, -0.116013, -0.109644, -0.109819, -0.108231, -0.102993, -0.0976483, -0.0893809, -0.0449445, -0.0260255, -0.0186521, -0.0142338, -0.00989345, -0.00747877, -0.00419693, -0.00238489, -0.0013325, -0.00114887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.132277, 0.139315, 0.14592, 0.155196, 0.164472, 0.184143, 0.196968, 0.205889, 0.210406, 0.211529, 0.224018, 0.239328, 0.261523, 0.291297, 0.300486, 0.329927, 0.349524, 0.35889, 0.381404, 0.405903, 0.453868, 0.518923");
-            values ( \
-              "-0.00633169, -0.0157808, -0.0196377, -0.022285, -0.0261224, -0.0304018, -0.0303022, -0.0291515, -0.0274417, -0.0292035, -0.0272316, -0.023345, -0.0156118, -0.00704421, -0.00584035, -0.00402334, -0.00242946, -0.00182352, -0.0012631, -0.000352314, -0.00047568, -0.000305612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.132088, 0.141237, 0.148677, 0.157956, 0.15916, 0.166384, 0.176528, 0.191751, 0.206375, 0.210279, 0.212623, 0.216706, 0.21995, 0.221068, 0.223305, 0.23227, 0.237018, 0.245179, 0.254678, 0.270082, 0.275939, 0.283154, 0.291401, 0.305453, 0.324345, 0.334288, 0.346992, 0.357131, 0.358776, 0.362067, 0.368647, 0.378627, 0.391887, 0.40456, 0.422402, 0.43098, 0.44975, 0.459444, 0.470199, 0.482412, 0.49891, 0.520824, 0.555435, 0.596601, 0.648178");
-            values ( \
-              "-0.00437835, -0.025304, -0.0293388, -0.0346806, -0.0351003, -0.0385718, -0.0426131, -0.0464719, -0.0463355, -0.0452694, -0.0474232, -0.0458823, -0.0443368, -0.0441656, -0.0435434, -0.0420439, -0.0410337, -0.0386701, -0.0353539, -0.0285337, -0.025793, -0.022744, -0.0194773, -0.0146628, -0.00904327, -0.0071273, -0.00615439, -0.00527305, -0.00507789, -0.00484295, -0.00408893, -0.00339922, -0.00287942, -0.00270884, -0.00207313, -0.00149694, -0.000958239, -0.000960917, -0.000838545, -0.000862462, -0.000691436, -0.000619987, -0.000328678, -0.000226354, -3.54275e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.131982, 0.144119, 0.165463, 0.18609, 0.204262, 0.210263, 0.213897, 0.2191, 0.221513, 0.239871, 0.245832, 0.259693, 0.281563, 0.308979, 0.332318, 0.353645, 0.392278, 0.407397, 0.463671, 0.495645, 0.521931, 0.598388, 0.688468");
-            values ( \
-              "-0.00250607, -0.0365001, -0.0519082, -0.062646, -0.0673833, -0.0670558, -0.069672, -0.0681809, -0.0681476, -0.0609563, -0.060418, -0.0578319, -0.05015, -0.0361142, -0.025675, -0.0179871, -0.00752092, -0.00547011, -0.00436246, -0.00261303, -0.00179953, -0.000724682, -0.000165582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.131849, 0.14801, 0.159299, 0.174873, 0.200834, 0.210241, 0.214436, 0.220985, 0.223721, 0.229193, 0.236727, 0.247052, 0.257755, 0.268665, 0.272098, 0.278963, 0.298641, 0.311818, 0.327824, 0.370036, 0.392677, 0.421826, 0.453472, 0.465752, 0.476173, 0.492499, 0.511417, 0.554054, 0.583075, 0.620431, 0.663855, 0.72207, 0.818162, 0.872612");
-            values ( \
-              "-0.00145729, -0.0495408, -0.0598914, -0.0723259, -0.0862, -0.0884622, -0.0920941, -0.0916293, -0.090692, -0.0897719, -0.087786, -0.0862096, -0.0824372, -0.0796809, -0.0790955, -0.0788187, -0.0751964, -0.0711356, -0.0653034, -0.0448613, -0.0349934, -0.024574, -0.014638, -0.0118462, -0.0105087, -0.0088559, -0.00788546, -0.00614159, -0.00446492, -0.00296639, -0.00188606, -0.000971799, -0.000261062, -0.00018098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.138738, 0.153097, 0.161939, 0.188631, 0.206001, 0.210129, 0.212965, 0.217409, 0.220187, 0.222963, 0.254343, 0.290558, 0.304252, 0.319675, 0.338619, 0.365372, 0.384839, 0.407442, 0.468079, 0.545183, 0.558902, 0.632914, 0.688615, 0.742515, 0.748027, 0.759052, 0.778743, 0.805685, 0.835466, 0.87339, 0.91386, 0.967406, 1.02186, 1.07631, 1.13076");
-            values ( \
-              "-0.0364324, -0.0636152, -0.0731487, -0.0954482, -0.10451, -0.105735, -0.110127, -0.11103, -0.110173, -0.110179, -0.106634, -0.102051, -0.0985436, -0.0963337, -0.0952423, -0.0908355, -0.0858995, -0.0789477, -0.0545305, -0.0272877, -0.0242873, -0.0145922, -0.00886427, -0.00557658, -0.00564576, -0.00489275, -0.00448537, -0.00341555, -0.00299619, -0.00200693, -0.00169567, -0.000863397, -0.000754306, -0.000246502, -0.00036015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.149121, 0.181303, 0.206696, 0.210317, 0.212813, 0.214125, 0.216749, 0.23516, 0.286402, 0.312835, 0.366615, 0.380029, 0.397297, 0.409957, 0.422886, 0.459045, 0.481737, 0.51171, 0.546342, 0.595243, 0.652474, 0.685318, 0.700112, 0.784804, 0.853633, 0.921455, 0.972357, 1.01696, 1.06827, 1.17075, 1.27965, 1.3341, 1.38855, 1.443, 1.49745, 1.5519, 1.60635");
-            values ( \
-              "-0.0942251, -0.100246, -0.116926, -0.118458, -0.124437, -0.124143, -0.124184, -0.122912, -0.120147, -0.118444, -0.114212, -0.112097, -0.110346, -0.109752, -0.109368, -0.105938, -0.102767, -0.0978452, -0.0891753, -0.0752446, -0.0581814, -0.0473602, -0.0440498, -0.0306746, -0.0211857, -0.0139573, -0.00992855, -0.0073366, -0.00542892, -0.00312953, -0.0017374, -0.00145762, -0.000922887, -0.000838168, -0.000457723, -0.000489467, -0.000200902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.252863, 0.270064, 0.299331, 0.324696, 0.34569, 0.364618, 0.382362, 0.39996, 0.403741, 0.410836, 0.419624, 0.424642, 0.438489, 0.473992, 0.481461, 0.483341, 0.4871, 0.494619, 0.5056, 0.506506, 0.508319, 0.528599, 0.539824, 0.561248, 0.574404, 0.589274, 0.616317, 0.758561, 0.8087");
-            values ( \
-              "-0.00124316, -0.00780684, -0.0133481, -0.0172342, -0.0198121, -0.0213044, -0.0224962, -0.0217769, -0.0214928, -0.0190833, -0.0178957, -0.0178327, -0.0151978, -0.00722568, -0.00624964, -0.00628841, -0.00593357, -0.00559566, -0.00482723, -0.00541735, -0.00550749, -0.00324551, -0.00237652, -0.00157136, -0.000807378, -0.00051308, -0.000577639, -6.3661e-05, -5.08767e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.252871, 0.273505, 0.298673, 0.333923, 0.357074, 0.377839, 0.397291, 0.416701, 0.420773, 0.428781, 0.436716, 0.438173, 0.441087, 0.454392, 0.477936, 0.505477, 0.506388, 0.516071, 0.52331, 0.553321, 0.570702, 0.58436, 0.605219, 0.609428, 0.62921, 0.638873, 0.649643, 0.661677, 0.678276, 0.699905, 0.734512, 0.82627");
-            values ( \
-              "-0.000770974, -0.012382, -0.019235, -0.0271959, -0.031392, -0.0338801, -0.0357643, -0.0341595, -0.0333175, -0.0304055, -0.0303358, -0.0296666, -0.029355, -0.0255996, -0.0181651, -0.010293, -0.0111933, -0.00906375, -0.0079901, -0.00455054, -0.00355295, -0.00320444, -0.00219642, -0.00181893, -0.00115116, -0.00113564, -0.000968161, -0.00097064, -0.000760932, -0.000668319, -0.000348886, -3.88578e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.268706, 0.29517, 0.316115, 0.348481, 0.375096, 0.398887, 0.4213, 0.426904, 0.438113, 0.443807, 0.460278, 0.467201, 0.486071, 0.505725, 0.511989, 0.526824, 0.543606, 0.571897, 0.586019, 0.595949, 0.634119, 0.649956, 0.67194, 0.685205, 0.714182, 0.77143, 0.804403, 0.853705, 0.908155");
-            values ( \
-              "-0.0201458, -0.024503, -0.031888, -0.0410996, -0.0478237, -0.0514234, -0.0539914, -0.0535685, -0.0519986, -0.0492802, -0.0472992, -0.0460197, -0.0389857, -0.0309071, -0.0298891, -0.0237983, -0.0180748, -0.00967173, -0.00670328, -0.00585101, -0.00508528, -0.00454029, -0.00316366, -0.00254829, -0.00177006, -0.000895547, -0.000574132, -0.00026075, -0.00010924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.268638, 0.286002, 0.32108, 0.351397, 0.370148, 0.402176, 0.431006, 0.4587, 0.465612, 0.475989, 0.485999, 0.487214, 0.489645, 0.50411, 0.505632, 0.508675, 0.512916, 0.563285, 0.601138, 0.641646, 0.653394, 0.66451, 0.681721, 0.743496, 0.771618, 0.808181, 0.852021, 0.911596, 0.945584");
-            values ( \
-              "-0.0208893, -0.0265826, -0.041783, -0.053294, -0.0597238, -0.0688988, -0.0738819, -0.0749492, -0.0737794, -0.0706028, -0.0709238, -0.0704169, -0.0705092, -0.0677867, -0.0671543, -0.0681883, -0.0672815, -0.0431055, -0.0279656, -0.0147982, -0.0123032, -0.0108262, -0.0090404, -0.00609871, -0.00445823, -0.00300822, -0.00190899, -0.000969221, -0.000722189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.268673, 0.295801, 0.32109, 0.353026, 0.402199, 0.442971, 0.480536, 0.505662, 0.511756, 0.527407, 0.541331, 0.575541, 0.598104, 0.669332, 0.735884, 0.76352, 0.854036, 0.912986, 0.938627, 1.03171, 1.11334, 1.22071, 1.32961");
-            values ( \
-              "-0.0166162, -0.0363132, -0.0489274, -0.0633047, -0.0826827, -0.0934569, -0.0975054, -0.0948805, -0.0966271, -0.095254, -0.0934494, -0.0861279, -0.0787503, -0.0506116, -0.0270915, -0.0223519, -0.0111721, -0.00668823, -0.00543587, -0.00269217, -0.00140575, -0.000533109, -0.000170149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.282592, 0.333566, 0.383698, 0.423349, 0.448246, 0.498041, 0.505618, 0.508989, 0.513073, 0.534747, 0.555023, 0.57261, 0.590142, 0.613757, 0.644355, 0.672583, 0.702471, 0.737192, 0.790307, 0.886475, 0.976195, 1.00784, 1.04448, 1.1123, 1.16318, 1.2078, 1.2591, 1.36244, 1.47134, 1.58024, 1.79805");
-            values ( \
-              "-0.0413728, -0.0607521, -0.0845715, -0.100289, -0.107639, -0.116072, -0.116398, -0.118349, -0.118504, -0.116252, -0.114788, -0.112165, -0.110487, -0.109326, -0.10654, -0.102773, -0.0978625, -0.0891774, -0.0739998, -0.0448481, -0.0306055, -0.0262003, -0.0212002, -0.0139766, -0.00990994, -0.00735821, -0.00545264, -0.00313803, -0.0017517, -0.00094038, -0.000220894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.539888, 0.567988, 0.580554, 0.6645, 0.698805, 0.728947, 0.756874, 0.784117, 0.803846, 0.819779, 0.82934, 0.835975, 0.841819, 0.844922, 0.851128, 0.861108, 0.869224, 0.878504, 0.890149, 0.895376, 0.905829, 0.914036, 0.92326, 0.934098, 0.938203, 0.946412, 0.954042, 0.967178, 0.977466, 0.984748, 0.993155, 1.00319, 1.01485, 1.03418, 1.06003, 1.0947, 1.13352, 1.18254, 1.21584, 1.23523, 1.26046, 1.28356");
-            values ( \
-              "-0.00352147, -0.00381717, -0.00440301, -0.0104087, -0.0123006, -0.0134859, -0.0143251, -0.0142809, -0.0143604, -0.013081, -0.0112156, -0.0102752, -0.0098222, -0.00996488, -0.00964647, -0.00854084, -0.00710129, -0.00694604, -0.00623972, -0.00615909, -0.00530079, -0.00480239, -0.00381448, -0.00333912, -0.00299931, -0.00277548, -0.00211771, -0.00133968, -0.00124488, -0.00103879, -0.00111716, -0.000924977, -0.00095928, -0.000679367, -0.000585917, -0.000253665, -0.000213668, -7.09149e-06, -8.79682e-05, -0.000245727, -0.000116497, -5.17012e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.540019, 0.566524, 0.585694, 0.666666, 0.69976, 0.715902, 0.748769, 0.778873, 0.808018, 0.83142, 0.848758, 0.85689, 0.872696, 0.885107, 0.917367, 0.925031, 0.949609, 0.989264, 1.00682, 1.01659, 1.02745, 1.0486, 1.11991, 1.20844, 1.21601, 1.22585, 1.25285, 1.3212");
-            values ( \
-              "-0.0046439, -0.00559276, -0.0070154, -0.0154675, -0.0184219, -0.019596, -0.0217444, -0.0231672, -0.023461, -0.0232071, -0.0201401, -0.0179628, -0.017165, -0.0153269, -0.00916114, -0.00874183, -0.00628284, -0.0042231, -0.00288806, -0.0024281, -0.00204197, -0.00163626, -0.000655539, -0.000134865, -0.000138237, -0.000521146, -0.000249476, -3.71658e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.557502, 0.608088, 0.67734, 0.700314, 0.742651, 0.779609, 0.813432, 0.845757, 0.874705, 0.886635, 0.89584, 0.902898, 0.917685, 0.969377, 0.987787, 0.999593, 1.0123, 1.02604, 1.04279, 1.08547, 1.11232, 1.12025, 1.13612, 1.17954, 1.21113, 1.21576, 1.22502, 1.24762, 1.3062, 1.36065");
-            values ( \
-              "-0.0107779, -0.0125412, -0.0225517, -0.0254826, -0.0303487, -0.0335749, -0.0362712, -0.0368468, -0.0352478, -0.031816, -0.0301244, -0.0299228, -0.0272066, -0.0154247, -0.0107145, -0.00841131, -0.00799382, -0.00733337, -0.00696199, -0.00401498, -0.0028449, -0.00270171, -0.00214796, -0.00121619, -0.000854996, -0.000708087, -0.00125774, -0.000815787, -0.000265879, -0.000130078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.558088, 0.608231, 0.625989, 0.655244, 0.700659, 0.733792, 0.783739, 0.866818, 0.904623, 0.913269, 0.943705, 0.951723, 0.972567, 1.00154, 1.05439, 1.05924, 1.06893, 1.08157, 1.11186, 1.13244, 1.15599, 1.18581, 1.20928, 1.2159, 1.22308, 1.22927, 1.23862, 1.28353, 1.31495, 1.35313, 1.40401, 1.45846, 1.51291");
-            values ( \
-              "-0.0124912, -0.0161453, -0.0190294, -0.0245886, -0.0324186, -0.0375533, -0.0449078, -0.0540958, -0.0548959, -0.0549907, -0.0484637, -0.0484467, -0.044261, -0.0358157, -0.0212944, -0.0194866, -0.0172045, -0.0150537, -0.0112539, -0.00972183, -0.00823829, -0.00613794, -0.00481397, -0.00454088, -0.00502124, -0.00481273, -0.00423179, -0.00252352, -0.00178585, -0.00108514, -0.000592181, -0.000257033, -0.000147351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.571021, 0.628673, 0.741796, 0.836822, 0.857216, 0.896913, 0.934285, 0.94566, 0.96841, 0.992579, 1.00966, 1.0254, 1.0423, 1.06027, 1.10083, 1.16831, 1.18573, 1.21561, 1.22543, 1.27797, 1.31584, 1.341, 1.36812, 1.42907, 1.46206, 1.49908, 1.59362, 1.70252, 1.75697");
-            values ( \
-              "-0.0192597, -0.0232408, -0.0460688, -0.0626247, -0.0656163, -0.0709923, -0.0750971, -0.0760535, -0.0769275, -0.0756142, -0.0724084, -0.0719163, -0.0689511, -0.0646224, -0.0530266, -0.0315861, -0.0277125, -0.0232868, -0.0229101, -0.0150707, -0.010617, -0.00853657, -0.00672738, -0.00420241, -0.00332754, -0.00247624, -0.00113673, -0.000424169, -0.00027408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.57713, 0.62808, 0.724016, 0.808007, 0.85189, 0.897149, 0.929786, 0.998453, 1.02689, 1.06245, 1.07366, 1.09607, 1.11108, 1.14132, 1.18944, 1.21583, 1.22875, 1.34193, 1.44756, 1.47742, 1.53843, 1.60465, 1.64957, 1.67786, 1.70077, 1.78577, 1.89467, 2.00357, 2.11248, 2.22138");
-            values ( \
-              "-0.0230777, -0.0260325, -0.0473126, -0.0648073, -0.0733429, -0.0814384, -0.0866422, -0.0954423, -0.0979308, -0.0992007, -0.0992955, -0.0968688, -0.0972941, -0.0956559, -0.0880324, -0.0816403, -0.0794041, -0.045376, -0.0285522, -0.0244818, -0.0170236, -0.0108904, -0.00822097, -0.00682744, -0.00598156, -0.00376888, -0.00211759, -0.00115478, -0.000601078, -0.000293019" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00709848, 0.00711377, 0.00713139, 0.00714911, 0.0071646, 0.00717649", \
-            "0.00784263, 0.00785598, 0.00787289, 0.00789139, 0.00790878, 0.00792298", \
-            "0.00825785, 0.00826581, 0.00827702, 0.00829082, 0.00830529, 0.00831818", \
-            "0.00852863, 0.00853038, 0.00853352, 0.00853856, 0.00854538, 0.00855288", \
-            "0.00870419, 0.00870257, 0.00870059, 0.00869869, 0.00869766, 0.00869807", \
-            "0.00881227, 0.00880933, 0.00880515, 0.00879984, 0.00879398, 0.0087886" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.009165, 0.00918213, 0.00920319, 0.00922573, 0.00924699, 0.00926432", \
-            "0.00976168, 0.00976888, 0.00977911, 0.00979143, 0.00980405, 0.0098147", \
-            "0.0101577, 0.0101493, 0.0101396, 0.0101306, 0.0101234, 0.0101184", \
-            "0.0105466, 0.0105178, 0.0104836, 0.0104456, 0.0104146, 0.0103858", \
-            "0.0110062, 0.010944, 0.0108665, 0.0107818, 0.0107012, 0.0106352", \
-            "0.0113447, 0.0113983, 0.0113246, 0.0111811, 0.0110153, 0.0108745" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.1413, 0.159086, 0.18989, 0.243374, 0.336355, 0.498038", \
-            "0.145943, 0.163788, 0.194799, 0.24845, 0.34161, 0.503418", \
-            "0.157443, 0.175401, 0.206591, 0.260503, 0.35394, 0.516", \
-            "0.183741, 0.201637, 0.23279, 0.28688, 0.380551, 0.542945", \
-            "0.246149, 0.264581, 0.295247, 0.348825, 0.442362, 0.604988", \
-            "0.357612, 0.382756, 0.423894, 0.48914, 0.592031, 0.754419" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.179264, 0.205252, 0.249445, 0.324975, 0.454442, 0.680287", \
-            "0.17921, 0.205201, 0.249415, 0.324955, 0.454429, 0.680277", \
-            "0.179001, 0.205016, 0.249255, 0.324854, 0.454375, 0.680238", \
-            "0.178158, 0.204315, 0.248655, 0.324431, 0.454084, 0.680101", \
-            "0.191899, 0.215089, 0.255478, 0.326536, 0.452859, 0.679425", \
-            "0.255869, 0.279535, 0.317999, 0.375686, 0.485473, 0.69226" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0947825, 0.105631, 0.12427, 0.156309, 0.211514, 0.30702", \
-            "0.0985015, 0.109421, 0.128145, 0.16027, 0.215529, 0.311047", \
-            "0.107148, 0.118169, 0.137055, 0.16937, 0.224842, 0.320519", \
-            "0.128174, 0.138762, 0.158287, 0.190795, 0.246588, 0.342568", \
-            "0.170219, 0.184621, 0.20772, 0.243619, 0.299596, 0.395778", \
-            "0.211532, 0.233087, 0.267732, 0.321839, 0.403127, 0.521235" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0931377, 0.106682, 0.130851, 0.173228, 0.247116, 0.376419", \
-            "0.0928577, 0.106429, 0.130545, 0.172754, 0.246726, 0.375978", \
-            "0.0927, 0.106257, 0.130266, 0.172554, 0.246559, 0.375756", \
-            "0.0951491, 0.107231, 0.130643, 0.172482, 0.246533, 0.375658", \
-            "0.129647, 0.140813, 0.159151, 0.192843, 0.256951, 0.377641", \
-            "0.201261, 0.216911, 0.242211, 0.2829, 0.34582, 0.444989" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0451915, 0.051081, 0.0602142, 0.108351, 0.124139, 0.132338, 0.139999, 0.1486, 0.149596, 0.158623, 0.166793, 0.187679, 0.19881, 0.214854, 0.226311, 0.234348, 0.243102, 0.289494, 0.310164, 0.320969, 0.335489, 0.352116, 0.372312, 0.40719, 0.43842, 0.484813, 0.569057, 0.730568, 0.811324");
-            values ( \
-              "0.00535373, 0.0215342, 0.0212398, 0.0189878, 0.0180079, 0.0170987, 0.0156633, 0.01588, 0.0151386, 0.0148508, 0.0140178, 0.0107223, 0.00949755, 0.00852597, 0.00796529, 0.00817699, 0.00812862, 0.00544858, 0.00516444, 0.0048852, 0.00437991, 0.00350194, 0.00273648, 0.00189621, 0.00136224, 0.000809968, 0.000289849, 1.11556e-05, 5.24603e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0439029, 0.0521417, 0.0714509, 0.0916134, 0.126761, 0.139429, 0.158525, 0.166708, 0.195698, 0.197993, 0.211763, 0.222609, 0.266136, 0.287113, 0.309726, 0.346147, 0.370694, 0.400437, 0.414554, 0.427559, 0.443565, 0.447058, 0.454045, 0.468017, 0.492226, 0.51986, 0.536884, 0.541118, 0.549586, 0.566521, 0.592574, 0.640274, 0.72103, 0.743718");
-            values ( \
-              "0.00101112, 0.0319703, 0.0310531, 0.0296343, 0.0278506, 0.0266329, 0.0239869, 0.0235742, 0.0202831, 0.0190278, 0.0166787, 0.0154397, 0.0116667, 0.0109569, 0.00938093, 0.00839672, 0.00719749, 0.00510924, 0.00460345, 0.00382571, 0.00350801, 0.00313031, 0.00321606, 0.00253267, 0.00228652, 0.00147256, 0.00153782, 0.00115673, 0.00138575, 0.00085854, 0.000963957, 0.000316215, 0.000378219, 0.000271963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0437858, 0.0537976, 0.132962, 0.163766, 0.179647, 0.22124, 0.239496, 0.259227, 0.312324, 0.338531, 0.351372, 0.37527, 0.38842, 0.484002, 0.524005, 0.588405, 0.637974, 0.706496, 0.85797");
-            values ( \
-              "0.00310925, 0.0441714, 0.0394634, 0.0372278, 0.0347921, 0.0308338, 0.026792, 0.0237489, 0.018268, 0.01496, 0.013925, 0.0140624, 0.0137526, 0.00712604, 0.00510386, 0.00301019, 0.0019911, 0.00111383, 0.000319344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0486866, 0.0562039, 0.0589177, 0.104384, 0.124091, 0.180307, 0.198917, 0.24692, 0.250998, 0.259154, 0.275466, 0.301729, 0.322387, 0.364395, 0.4248, 0.436294, 0.449181, 0.463822, 0.498739, 0.60526, 0.631693, 0.673653, 0.723171, 0.767966, 0.803072, 0.835133, 0.87788, 0.958636, 1.03939, 1.12015, 1.28166");
-            values ( \
-              "0.0532717, 0.0565425, 0.0566348, 0.0535402, 0.0526903, 0.0496745, 0.0485146, 0.0434554, 0.043467, 0.0425564, 0.041325, 0.0366334, 0.0336676, 0.0294507, 0.0215846, 0.0213875, 0.0207508, 0.0203161, 0.0184151, 0.0104114, 0.00877352, 0.00670268, 0.00486535, 0.00366021, 0.0028757, 0.00232416, 0.00178196, 0.000998635, 0.000604741, 0.000317398, 9.16346e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0485557, 0.0599129, 0.103221, 0.278757, 0.323848, 0.343957, 0.416465, 0.440313, 0.487742, 0.548676, 0.563909, 0.58028, 0.596417, 0.65933, 0.68945, 0.720783, 0.853497, 0.905218, 0.927679, 0.9726, 1.02084, 1.08949, 1.12101, 1.18404, 1.26479, 1.34555, 1.4263, 1.50706, 1.58782, 1.66857, 1.74933");
-            values ( \
-              "0.0590253, 0.0674733, 0.0649464, 0.0576773, 0.0537772, 0.0532097, 0.0449151, 0.0435483, 0.0389752, 0.0317041, 0.032719, 0.0307964, 0.0306474, 0.0240402, 0.0224985, 0.0196509, 0.0114493, 0.00962737, 0.00812906, 0.00711942, 0.00517655, 0.00420299, 0.00311903, 0.002756, 0.00142689, 0.0013879, 0.000511073, 0.000760754, 9.80976e-05, 0.000474075, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0551037, 0.0551237, 0.168698, 0.312219, 0.406232, 0.47186, 0.506101, 0.614152, 0.671018, 0.713636, 0.734973, 0.745729, 0.784832, 0.96089, 1.07669, 1.1999, 1.32578, 1.4079, 1.52761, 1.65638, 1.8179, 2.14092, 2.38319, 2.46394, 2.5447, 2.62545");
-            values ( \
-              "1e-22, 0.0828729, 0.0714331, 0.0680266, 0.065175, 0.0615263, 0.0609609, 0.0526658, 0.0493042, 0.0454269, 0.043992, 0.0446999, 0.0432196, 0.0294867, 0.0221197, 0.0159995, 0.0110669, 0.00858049, 0.00584106, 0.00380842, 0.00218173, 0.000641501, 0.000536086, 0.000108025, 0.000384485, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0588249, 0.0717526, 0.0809187, 0.0900915, 0.0998598, 0.119014, 0.119679, 0.128993, 0.140586, 0.164184, 0.176488, 0.196841, 0.207296, 0.216375, 0.228348, 0.233366, 0.240056, 0.246666, 0.254614, 0.266143, 0.29711, 0.306634, 0.317174, 0.338452, 0.350872, 0.364412, 0.383449, 0.406988, 0.43028, 0.474644, 0.494196, 0.499548, 0.510253, 0.531662, 0.616038, 0.777549");
-            values ( \
-              "0.00853498, 0.0215813, 0.0208369, 0.0207299, 0.0198917, 0.0193688, 0.0191782, 0.0187081, 0.0179228, 0.0150522, 0.0147764, 0.0115624, 0.0102331, 0.00936868, 0.00870351, 0.00831567, 0.00797963, 0.00815322, 0.0082106, 0.00777233, 0.00582042, 0.00539541, 0.00531228, 0.00481597, 0.0043692, 0.00365269, 0.00286831, 0.00223264, 0.00175574, 0.00108892, 0.00092679, 0.000820185, 0.000785965, 0.000564149, 0.000195151, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0587767, 0.0739527, 0.0829793, 0.0847114, 0.0881755, 0.0951037, 0.107006, 0.129524, 0.153412, 0.16304, 0.171916, 0.181733, 0.182722, 0.200695, 0.218513, 0.239318, 0.284604, 0.295296, 0.312217, 0.334319, 0.346304, 0.370891, 0.390937, 0.410952, 0.438024, 0.462747, 0.50339, 0.532155, 0.586886, 0.627718, 0.703055, 0.739295");
-            values ( \
-              "0.0127499, 0.0319561, 0.0310577, 0.031316, 0.0307375, 0.0306322, 0.0295855, 0.028643, 0.0268765, 0.025658, 0.0238064, 0.0240522, 0.0230912, 0.0217666, 0.0181684, 0.0152414, 0.0114282, 0.01127, 0.0103845, 0.0089587, 0.00890676, 0.00797195, 0.00690579, 0.00551406, 0.00414269, 0.00326727, 0.00222203, 0.00167541, 0.000974213, 0.000652094, 0.000288293, 0.000234311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0636067, 0.0695039, 0.0775472, 0.0986068, 0.113524, 0.17825, 0.196072, 0.230581, 0.276259, 0.353803, 0.366958, 0.391177, 0.419246, 0.499625, 0.539634, 0.604137, 0.653632, 0.721967, 0.883479, 1.04499");
-            values ( \
-              "0.0362945, 0.0439705, 0.0441329, 0.0422427, 0.0416409, 0.0375115, 0.0346588, 0.0316336, 0.0236027, 0.0150096, 0.0139262, 0.0140824, 0.0129678, 0.00709816, 0.00507401, 0.00297589, 0.00195836, 0.00108274, 0.000234122, 2.97834e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0634643, 0.0719994, 0.0802806, 0.0829398, 0.0882584, 0.0988954, 0.101489, 0.106675, 0.117048, 0.139855, 0.178563, 0.179804, 0.197184, 0.217048, 0.230353, 0.247606, 0.266743, 0.289244, 0.315395, 0.317405, 0.321425, 0.329465, 0.380308, 0.433675, 0.454383, 0.464843, 0.480285, 0.496135, 0.513848, 0.532193, 0.554026, 0.579768, 0.58304, 0.602671, 0.64658, 0.690677, 0.717224, 0.754273, 0.798131, 0.830654, 0.87136, 0.911034, 0.979657, 1.06041, 1.14117, 1.30268");
-            values ( \
-              "0.0398708, 0.0567424, 0.0561883, 0.0562634, 0.0556297, 0.0551283, 0.054712, 0.0546073, 0.0537432, 0.0526861, 0.0504676, 0.0505236, 0.0496197, 0.0483378, 0.0471313, 0.0447594, 0.0438177, 0.0408618, 0.0377739, 0.0364057, 0.036073, 0.0347192, 0.0294994, 0.0225552, 0.02078, 0.0211199, 0.0199396, 0.0196976, 0.0182057, 0.0172665, 0.0152919, 0.0135948, 0.0130891, 0.0116346, 0.00881316, 0.00665532, 0.00563054, 0.00441387, 0.00331963, 0.00266601, 0.00202685, 0.00159453, 0.000965039, 0.000592768, 0.000301972, 8.13826e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0635218, 0.0759873, 0.146703, 0.278895, 0.393239, 0.432492, 0.505666, 0.566861, 0.582179, 0.599086, 0.613925, 0.675325, 0.794083, 0.868942, 0.94372, 1.03778, 1.10724, 1.27753, 1.49303");
-            values ( \
-              "0.0475253, 0.0677232, 0.0636219, 0.0585322, 0.0502463, 0.0451006, 0.038631, 0.0318359, 0.0324306, 0.0308195, 0.0303027, 0.02428, 0.0160956, 0.0117276, 0.00837814, 0.00540342, 0.00392088, 0.00170666, 0.000647773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0695553, 0.0695753, 0.192783, 0.374132, 0.422296, 0.488289, 0.522164, 0.630208, 0.660073, 0.730536, 0.751481, 0.761796, 0.781436, 0.822311, 1.03828, 1.19696, 1.3259, 1.38439, 1.4411, 1.59745, 1.76049, 1.922, 2.24502, 2.56804, 2.6488");
-            values ( \
-              "1e-22, 0.0801082, 0.0714449, 0.0667239, 0.0649255, 0.0617605, 0.060715, 0.0529199, 0.0513665, 0.0450735, 0.0442816, 0.0444175, 0.0438656, 0.0415124, 0.025333, 0.0169464, 0.0117004, 0.00979743, 0.00821647, 0.00497795, 0.00290809, 0.00167953, 0.000522285, 0.000122196, 0.000246071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0978205, 0.103568, 0.109012, 0.116746, 0.161015, 0.177688, 0.201243, 0.213546, 0.233781, 0.244067, 0.253226, 0.266957, 0.277347, 0.28399, 0.292024, 0.30332, 0.343195, 0.353579, 0.374852, 0.421893, 0.464311, 0.501991, 0.53572, 0.578306, 0.686876, 0.848388");
-            values ( \
-              "0.00568454, 0.021428, 0.021452, 0.0211969, 0.0190022, 0.0179291, 0.0149897, 0.0148708, 0.0115592, 0.0103244, 0.00936278, 0.00853037, 0.00796183, 0.00817076, 0.00818946, 0.00776809, 0.0053929, 0.00531629, 0.00483577, 0.00282084, 0.00180686, 0.00120751, 0.0008249, 0.000500446, 0.000116762, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0977101, 0.104817, 0.111202, 0.144146, 0.178918, 0.191896, 0.210787, 0.219144, 0.233368, 0.24804, 0.25039, 0.25509, 0.26449, 0.28879, 0.292434, 0.314297, 0.327041, 0.337549, 0.34939, 0.374297, 0.386389, 0.41177, 0.450857, 0.478477, 0.526859, 0.579528, 0.59367, 0.621954, 0.753493, 0.834249, 0.915004");
-            values ( \
-              "0.00640217, 0.0318077, 0.031896, 0.0297599, 0.02784, 0.0267177, 0.0239583, 0.0237257, 0.021673, 0.0204477, 0.0189686, 0.0185462, 0.0165718, 0.0141096, 0.0140104, 0.0121168, 0.0111634, 0.0111869, 0.0102685, 0.00884902, 0.00884735, 0.00775384, 0.00530314, 0.00395943, 0.00245957, 0.00144787, 0.00139015, 0.00092437, 0.000180778, 0.000179593, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.101249, 0.106915, 0.113299, 0.114943, 0.118231, 0.123308, 0.128497, 0.138875, 0.200003, 0.216658, 0.238937, 0.250414, 0.267918, 0.287129, 0.288634, 0.307407, 0.321259, 0.338044, 0.364934, 0.391774, 0.404193, 0.406077, 0.409844, 0.427752, 0.440701, 0.455638, 0.536844, 0.576722, 0.593205, 0.641616, 0.690836, 0.758489, 0.839245, 0.920001, 1.08151");
-            values ( \
-              "0.0394697, 0.0440364, 0.0442762, 0.0439428, 0.0439608, 0.0433827, 0.0431794, 0.0422398, 0.038566, 0.0372333, 0.034041, 0.0334867, 0.0309021, 0.0288094, 0.0275309, 0.02447, 0.0227685, 0.0212061, 0.0182523, 0.0149609, 0.0138777, 0.0139731, 0.0139146, 0.014065, 0.0137347, 0.0130115, 0.00709933, 0.00508028, 0.00443307, 0.00296817, 0.00195676, 0.00108739, 0.000586364, 0.000233682, 2.79763e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.10136, 0.109918, 0.259341, 0.304499, 0.321898, 0.370872, 0.49058, 0.502886, 0.518129, 0.534141, 0.640383, 0.68399, 0.728338, 0.792777, 0.836786, 0.944601, 1.08963, 1.13607");
-            values ( \
-              "0.0395895, 0.0567339, 0.0480496, 0.043233, 0.0420098, 0.0343507, 0.0208516, 0.0211031, 0.0199448, 0.0196774, 0.0116312, 0.00882748, 0.00665511, 0.00438909, 0.00329958, 0.00163908, 0.0006276, 0.000524026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.101368, 0.114138, 0.184718, 0.308395, 0.332814, 0.378332, 0.398004, 0.431555, 0.470483, 0.542235, 0.602675, 0.617918, 0.634243, 0.650401, 0.713317, 0.774682, 0.907972, 0.981683, 1.07414, 1.17495, 1.32099, 1.4825, 1.80553");
-            values ( \
-              "0.0446439, 0.0678422, 0.0637254, 0.0588684, 0.0575265, 0.0539144, 0.0530647, 0.0501337, 0.0451058, 0.0387458, 0.0318767, 0.0325326, 0.0309786, 0.0304645, 0.0242273, 0.0198441, 0.011612, 0.00831674, 0.00538272, 0.00330796, 0.00159664, 0.000690757, 0.000100741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.107044, 0.107064, 0.230672, 0.412021, 0.460176, 0.525645, 0.560036, 0.697493, 0.768465, 0.838901, 1.07619, 1.23476, 1.42225, 1.63475, 1.87984, 2.08974");
-            values ( \
-              "1e-22, 0.0796787, 0.0713697, 0.06664, 0.065019, 0.0617007, 0.0608018, 0.0513261, 0.0451408, 0.0430051, 0.0254009, 0.0170206, 0.00986883, 0.00505839, 0.00230855, 0.00141629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.175468, 0.185005, 0.192976, 0.204886, 0.210128, 0.213304, 0.217748, 0.221401, 0.224955, 0.231453, 0.242045, 0.244562, 0.249594, 0.25719, 0.265301, 0.279889, 0.288756, 0.30021, 0.311613, 0.315928, 0.324556, 0.336615, 0.347081, 0.354095, 0.361932, 0.378447, 0.388727, 0.423662, 0.433449, 0.444845, 0.465063, 0.476198, 0.488972, 0.508593, 0.532902, 0.538974, 0.551118, 0.596039, 0.617178, 0.623246, 0.635383, 0.659655, 0.708199, 0.788954, 0.950466");
-            values ( \
-              "0.00243493, 0.013881, 0.0154316, 0.0172186, 0.0176997, 0.0196983, 0.0202887, 0.0202514, 0.0203122, 0.0199733, 0.0195194, 0.019352, 0.019119, 0.0186358, 0.0180368, 0.0162528, 0.0150552, 0.0150522, 0.0131726, 0.0126469, 0.0111188, 0.00984798, 0.00894835, 0.00865276, 0.00799715, 0.00820844, 0.00783405, 0.00567941, 0.00535029, 0.00527508, 0.0047421, 0.00431355, 0.00362189, 0.00283294, 0.00219448, 0.00209498, 0.00182221, 0.00112965, 0.000927671, 0.000835297, 0.0007634, 0.000552134, 0.000344907, 0.000105854, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.175587, 0.187062, 0.19609, 0.21024, 0.211543, 0.213561, 0.215144, 0.21831, 0.222241, 0.229437, 0.255277, 0.273296, 0.279651, 0.292137, 0.30675, 0.327677, 0.337896, 0.352953, 0.371249, 0.379734, 0.396705, 0.41314, 0.436725, 0.450217, 0.462024, 0.487433, 0.499708, 0.51193, 0.515818, 0.523594, 0.536789, 0.55053, 0.564733, 0.580354, 0.58636, 0.598374, 0.617397, 0.63915, 0.670186, 0.679003, 0.696637, 0.731904, 0.783129, 0.863885, 0.944641, 1.0254");
-            values ( \
-              "0.00234514, 0.0213048, 0.0237368, 0.0264114, 0.028761, 0.0298325, 0.0298744, 0.0303763, 0.0304597, 0.0302978, 0.0286776, 0.0274165, 0.026886, 0.0252551, 0.0228813, 0.0219132, 0.0190261, 0.0170401, 0.0142393, 0.0142621, 0.012291, 0.0114081, 0.010471, 0.00925696, 0.00901935, 0.00835173, 0.00761124, 0.00712209, 0.0067429, 0.00636957, 0.0053413, 0.00473879, 0.00396986, 0.0035503, 0.0032127, 0.00300623, 0.00237694, 0.00205963, 0.00139543, 0.00141694, 0.00105951, 0.000864345, 0.00039953, 0.000269284, 1.3482e-05, 0.000105807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.175616, 0.189914, 0.200804, 0.210242, 0.21363, 0.219261, 0.224829, 0.233953, 0.273605, 0.30665, 0.337072, 0.337933, 0.362119, 0.379072, 0.397453, 0.452262, 0.47873, 0.491426, 0.515258, 0.528344, 0.543267, 0.624056, 0.664044, 0.680265, 0.728485, 0.777975, 0.846305, 0.927061, 1.00782, 1.16933");
-            values ( \
-              "0.00255371, 0.0305245, 0.0344711, 0.0367744, 0.0415187, 0.0424383, 0.0426889, 0.0422426, 0.039581, 0.0371083, 0.0329748, 0.0331227, 0.0307765, 0.0270937, 0.0241046, 0.0182856, 0.0149444, 0.0139161, 0.0140729, 0.0137324, 0.0129857, 0.00710784, 0.0050845, 0.00444927, 0.00298769, 0.00196963, 0.00109342, 0.000571905, 0.000244125, 3.96273e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.175515, 0.193995, 0.210141, 0.214753, 0.222099, 0.227291, 0.237469, 0.249937, 0.325358, 0.346805, 0.381014, 0.391968, 0.438989, 0.442607, 0.449841, 0.464048, 0.482847, 0.536622, 0.558562, 0.579291, 0.589794, 0.605233, 0.621077, 0.65709, 0.728426, 0.772404, 0.815614, 0.877819, 0.920611, 0.970014, 1.04178, 1.11435, 1.19511, 1.27587, 1.43738");
-            values ( \
-              "0.00352203, 0.041081, 0.0474303, 0.0538116, 0.0552652, 0.0552323, 0.0547874, 0.0538177, 0.0495101, 0.0481062, 0.044124, 0.0438453, 0.0380865, 0.036385, 0.0358372, 0.0335772, 0.0320241, 0.0254918, 0.0223773, 0.020948, 0.0209907, 0.0200533, 0.0196013, 0.0171968, 0.0115814, 0.00876474, 0.00665209, 0.00444831, 0.00338126, 0.00243945, 0.00151807, 0.000918068, 0.000538664, 0.000298141, 9.22439e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.175439, 0.199867, 0.225742, 0.420458, 0.467958, 0.485616, 0.641772, 0.676175, 0.720094, 0.802662, 0.918723, 1.05653, 1.19953, 1.34809, 1.55295");
-            values ( \
-              "0.00640027, 0.0523854, 0.066497, 0.0576247, 0.0537357, 0.053203, 0.0373935, 0.0329175, 0.0314431, 0.0242601, 0.0162149, 0.00891003, 0.00456305, 0.00223617, 0.000928549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.187792, 0.209797, 0.226477, 0.306641, 0.523489, 0.647803, 0.790233, 0.870906, 0.887293, 0.923354, 1.16038, 1.32643, 1.5101, 1.67642, 1.93964, 2.26266, 2.2926");
-            values ( \
-              "0.0596263, 0.06411, 0.0752828, 0.0718863, 0.065947, 0.0606794, 0.0510822, 0.0440684, 0.0446108, 0.0433178, 0.0254825, 0.0167351, 0.00979992, 0.00582178, 0.00246563, 0.000827626, 0.000785268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.33531, 0.355096, 0.369989, 0.389676, 0.421, 0.447871, 0.473181, 0.498459, 0.500394, 0.502974, 0.510337, 0.51483, 0.537654, 0.551994, 0.571879, 0.578271, 0.585544, 0.595663, 0.60367, 0.633429, 0.643069, 0.665247, 0.68342, 0.70331, 0.713411, 0.734928, 0.765365, 0.786983, 0.814097, 0.85681, 0.888517, 0.95193, 1.03269, 1.11344");
-            values ( \
-              "0.000916433, 0.00695364, 0.00883196, 0.0109992, 0.0137869, 0.015167, 0.0155895, 0.0144017, 0.0138987, 0.0137372, 0.0149909, 0.0147979, 0.0110483, 0.00951524, 0.00808509, 0.00806384, 0.00825301, 0.00793446, 0.00752356, 0.00565503, 0.00553297, 0.00509127, 0.00442641, 0.00337491, 0.00298577, 0.00237959, 0.00174565, 0.00140123, 0.00103715, 0.000645694, 0.000463437, 0.000211581, 8.84581e-05, 2.45128e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.335302, 0.357989, 0.374533, 0.396264, 0.414185, 0.43085, 0.460767, 0.489045, 0.497354, 0.505663, 0.511537, 0.517453, 0.524515, 0.532774, 0.543921, 0.547821, 0.555619, 0.569616, 0.582453, 0.589091, 0.602367, 0.61897, 0.630628, 0.646011, 0.653676, 0.66403, 0.675309, 0.699955, 0.711072, 0.723211, 0.734851, 0.758267, 0.78872, 0.808524, 0.858272, 0.888908, 0.931054, 0.980905, 1.06166, 1.22317");
-            values ( \
-              "0.00110861, 0.0108481, 0.0138336, 0.0173218, 0.0197991, 0.0216077, 0.0237151, 0.0242537, 0.024085, 0.0234609, 0.023951, 0.022876, 0.0240329, 0.0224264, 0.0209196, 0.0195031, 0.0183854, 0.0157797, 0.0147554, 0.0139053, 0.0129974, 0.0112609, 0.0111962, 0.0103514, 0.00975703, 0.00919445, 0.00910434, 0.00821265, 0.00754372, 0.00696536, 0.00606575, 0.00469052, 0.00347469, 0.0028819, 0.00177942, 0.00131403, 0.000857847, 0.00054759, 0.00020411, 2.04272e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.335506, 0.36273, 0.387294, 0.406961, 0.424771, 0.447023, 0.482091, 0.505709, 0.514986, 0.529001, 0.541386, 0.548183, 0.561777, 0.577107, 0.585794, 0.603169, 0.616791, 0.634577, 0.655428, 0.682577, 0.6997, 0.702647, 0.708541, 0.718447, 0.72897, 0.743339, 0.758291, 0.774348, 0.791331, 0.795248, 0.803082, 0.818749, 0.837235, 0.85917, 0.876099, 0.879097, 0.885094, 0.897088, 0.91675, 0.952329, 0.980133, 0.988645, 1.00567, 1.03972, 1.09966, 1.18042, 1.26117, 1.34193");
-            values ( \
-              "0.00120318, 0.0161553, 0.0220731, 0.0262497, 0.0293612, 0.0323327, 0.0350501, 0.0358221, 0.0386383, 0.0353544, 0.0346242, 0.0327139, 0.0332285, 0.0294777, 0.0285654, 0.0244207, 0.023493, 0.0207991, 0.0193303, 0.0152163, 0.014258, 0.0137645, 0.0142795, 0.0138847, 0.0143401, 0.0132498, 0.0128758, 0.011176, 0.0104884, 0.00960142, 0.00952786, 0.00779758, 0.00715372, 0.00545493, 0.00525644, 0.00459424, 0.00490268, 0.00393218, 0.00384671, 0.00239526, 0.0023837, 0.00170055, 0.001977, 0.00101189, 0.00103235, 0.00010469, 0.000451444, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.350717, 0.369641, 0.392993, 0.423344, 0.439004, 0.472359, 0.505601, 0.510858, 0.515605, 0.522841, 0.537313, 0.556796, 0.566588, 0.583185, 0.601666, 0.624364, 0.663473, 0.714441, 0.763352, 0.779244, 0.793799, 0.799191, 0.809974, 0.825024, 0.840579, 0.860018, 0.881685, 0.936462, 0.968343, 1.00118, 1.03175, 1.06581, 1.10032, 1.14455, 1.2064, 1.28716, 1.36791, 1.52942, 1.61018");
-            values ( \
-              "0.0205958, 0.0229457, 0.0300687, 0.0378225, 0.0408803, 0.0454873, 0.0481751, 0.0502243, 0.0507711, 0.0506864, 0.0499883, 0.04845, 0.047535, 0.0453828, 0.0434969, 0.0418649, 0.035215, 0.0294213, 0.0230786, 0.021238, 0.0213798, 0.0207087, 0.0205538, 0.019626, 0.0189798, 0.0174561, 0.0160171, 0.0116514, 0.00958346, 0.00771619, 0.006359, 0.00509785, 0.00411191, 0.00303476, 0.00200513, 0.00120169, 0.000648089, 0.000193868, 0.000157854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.358377, 0.388172, 0.447149, 0.504745, 0.511031, 0.523604, 0.534584, 0.546135, 0.563187, 0.568926, 0.580402, 0.599153, 0.620778, 0.646555, 0.677685, 0.694409, 0.727857, 0.757756, 0.766597, 0.784279, 0.805551, 0.843229, 0.887431, 0.902071, 0.916135, 0.93185, 1.04487, 1.07663, 1.14331, 1.1755, 1.21401, 1.265, 1.27606, 1.29817, 1.34239, 1.40087, 1.45293, 1.46863, 1.50003, 1.56283, 1.64358, 1.72434, 1.8051, 1.88585, 1.96661, 2.04736, 2.12812");
-            values ( \
-              "0.0342701, 0.0343854, 0.0511151, 0.0592408, 0.0637862, 0.0618041, 0.063354, 0.0608395, 0.0618239, 0.0600042, 0.0608419, 0.0587448, 0.058838, 0.0570875, 0.0531995, 0.0539496, 0.0496035, 0.0473761, 0.0447392, 0.0445683, 0.0413862, 0.0387179, 0.0320459, 0.0330692, 0.0314799, 0.0317819, 0.0213179, 0.0200244, 0.0148408, 0.0136372, 0.0108708, 0.00927043, 0.00814153, 0.00799548, 0.00590469, 0.00505955, 0.00336412, 0.00374607, 0.00262953, 0.00249202, 0.00115111, 0.00130128, 0.000350063, 0.000757924, 1e-22, 0.000511136, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.359317, 0.463988, 0.515666, 0.528072, 0.57416, 0.758322, 0.825135, 0.858069, 0.967953, 1.00115, 1.08136, 1.09737, 1.11449, 1.13366, 1.36823, 1.53971, 1.72027, 1.88272, 2.00808, 2.16959, 2.3311, 2.49261, 2.60015");
-            values ( \
-              "0.0319215, 0.0616311, 0.0714402, 0.0723985, 0.0705485, 0.0651431, 0.0616497, 0.0609558, 0.0528969, 0.0511461, 0.0440246, 0.0446349, 0.0437094, 0.0433188, 0.0256263, 0.016589, 0.00979645, 0.00589067, 0.00392701, 0.00230281, 0.00133707, 0.000767594, 0.000625059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.683449, 0.723833, 0.825386, 0.891915, 0.929593, 0.948517, 0.965614, 0.983101, 1.01164, 1.0218, 1.03096, 1.04172, 1.04306, 1.06144, 1.10157, 1.10481, 1.12188, 1.14171, 1.18007, 1.21589, 1.22516, 1.2845, 1.33661, 1.37299, 1.41457, 1.49533, 1.53637");
-            values ( \
-              "0.000873068, 0.00297993, 0.00726383, 0.0098317, 0.0107641, 0.0107759, 0.0109708, 0.0118194, 0.00960395, 0.00950776, 0.00807284, 0.00754976, 0.00709712, 0.00675257, 0.00551262, 0.00556856, 0.00555249, 0.00512284, 0.00349703, 0.00253704, 0.00281751, 0.00158755, 0.000895923, 0.000604555, 0.00037271, 0.000144716, 0.000113748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.683395, 0.728726, 0.837928, 0.911099, 0.951965, 0.99074, 1.01003, 1.02809, 1.0543, 1.07103, 1.0943, 1.10464, 1.11584, 1.12857, 1.15079, 1.16142, 1.17338, 1.19813, 1.21586, 1.22195, 1.22952, 1.27022, 1.30206, 1.3596, 1.394, 1.44701, 1.5177, 1.59845, 1.67921");
-            values ( \
-              "0.00121626, 0.00476332, 0.011602, 0.0158235, 0.0173257, 0.017667, 0.018961, 0.0169605, 0.0146354, 0.0119757, 0.0095543, 0.00944652, 0.00970424, 0.00929376, 0.00926884, 0.00905653, 0.00868964, 0.00751092, 0.00634057, 0.00660707, 0.00638895, 0.00438995, 0.00326749, 0.00187379, 0.00133498, 0.00078313, 0.000384834, 0.00015218, 7.44863e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.710021, 0.775522, 0.864828, 0.941309, 0.987532, 1.03159, 1.06446, 1.07474, 1.09529, 1.11415, 1.12669, 1.12858, 1.13236, 1.13992, 1.14927, 1.18056, 1.20702, 1.21557, 1.22358, 1.23491, 1.31869, 1.34067, 1.38779, 1.42085, 1.45975, 1.49205, 1.53811, 1.59953, 1.68028, 1.8418");
-            values ( \
-              "0.00736569, 0.0106252, 0.0184961, 0.0245023, 0.0266528, 0.026962, 0.0283374, 0.0247919, 0.0243922, 0.0186733, 0.0187541, 0.0173953, 0.0173961, 0.0163855, 0.0159647, 0.0138285, 0.0144753, 0.0142915, 0.0149905, 0.0147487, 0.0081014, 0.00677849, 0.00464562, 0.00355206, 0.00256983, 0.0019625, 0.00133041, 0.000787684, 0.000380638, 8.80803e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.710372, 0.748762, 0.764891, 0.84926, 0.927251, 0.989026, 1.04408, 1.0973, 1.13921, 1.15115, 1.19377, 1.216, 1.21868, 1.22403, 1.23474, 1.26541, 1.279, 1.33815, 1.44431, 1.47447, 1.52767, 1.56612, 1.59904, 1.64919, 1.70609, 1.78072, 1.86147, 1.94223, 2.10374");
-            values ( \
-              "0.0104301, 0.0110298, 0.0124165, 0.0221653, 0.0307088, 0.0359658, 0.0386587, 0.0388571, 0.0383352, 0.0355119, 0.0286294, 0.0270129, 0.0276124, 0.0276339, 0.0268383, 0.0231718, 0.0228892, 0.0195442, 0.0112699, 0.00934778, 0.00667032, 0.00520261, 0.00420658, 0.00302428, 0.00208174, 0.00124919, 0.00072808, 0.000409204, 0.000129632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.710171, 0.7664, 0.796105, 0.888436, 0.983103, 1.06014, 1.13061, 1.20007, 1.22718, 1.24692, 1.25876, 1.32332, 1.38586, 1.39919, 1.4296, 1.51816, 1.6436, 1.70859, 1.78225, 1.87343, 1.94576, 2.03496, 2.11571, 2.27722, 2.43874, 2.60025");
-            values ( \
-              "0.00997707, 0.0155482, 0.0190236, 0.0320266, 0.0436658, 0.0494799, 0.052284, 0.0511992, 0.0514455, 0.0496247, 0.0476862, 0.0408868, 0.0333696, 0.03318, 0.031965, 0.0241015, 0.0154404, 0.0117088, 0.0084013, 0.00549098, 0.00391151, 0.00255352, 0.00170223, 0.000764386, 0.000338598, 0.000146436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.740665, 0.822004, 0.938048, 0.957936, 1.02992, 1.06529, 1.12083, 1.16774, 1.21603, 1.23619, 1.26347, 1.32507, 1.36191, 1.36435, 1.38712, 1.45453, 1.50721, 1.58549, 1.60145, 1.61896, 1.63856, 1.65897, 1.68086, 1.75822, 1.8552, 1.91151, 1.96651, 2.06925, 2.19947, 2.27522, 2.35255, 2.43331, 2.51017, 2.60497, 2.76648, 2.92799, 3.0895, 3.41253");
-            values ( \
-              "0.0220402, 0.0257965, 0.0437487, 0.0465283, 0.054929, 0.0577643, 0.0616748, 0.0636488, 0.0653113, 0.0662538, 0.0656753, 0.0620279, 0.0614851, 0.0608497, 0.0595659, 0.0543377, 0.0509034, 0.044189, 0.0446237, 0.0438133, 0.043223, 0.0415851, 0.0402428, 0.0340184, 0.0268292, 0.0235002, 0.0204038, 0.0155184, 0.0105965, 0.00839699, 0.00666814, 0.00507867, 0.00396314, 0.00290851, 0.00170104, 0.000986116, 0.000565492, 0.000175047" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00770199, 0.00772302, 0.00774749, 0.00777201, 0.00779319, 0.00780925", \
-            "0.00877202, 0.00879785, 0.00883041, 0.00886591, 0.00889899, 0.0089256", \
-            "0.00935588, 0.00938107, 0.00941486, 0.00945486, 0.00949564, 0.00953107", \
-            "0.0097402, 0.00975286, 0.00977241, 0.00979915, 0.00983058, 0.00986144", \
-            "0.0100542, 0.0100496, 0.010045, 0.0100433, 0.0100471, 0.0100562", \
-            "0.0103475, 0.0103284, 0.0103022, 0.0102696, 0.0102352, 0.0102067" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00963864, 0.00965307, 0.00967145, 0.00969166, 0.00971037, 0.00972534", \
-            "0.00970249, 0.00969938, 0.00969707, 0.00969596, 0.00969601, 0.00969671", \
-            "0.00968369, 0.00965219, 0.00961321, 0.00957057, 0.00953, 0.00949658", \
-            "0.00973236, 0.00968085, 0.00961081, 0.00952734, 0.00944182, 0.00937161", \
-            "0.0100281, 0.00992925, 0.00980424, 0.00965905, 0.0095147, 0.00938901", \
-            "0.00942804, 0.00958334, 0.00982206, 0.00999711, 0.00985658, 0.00962092" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0268558, 0.0315164, 0.0359705, 0.0581546, 0.0876405, 0.105556, 0.111073, 0.116623, 0.132041, 0.16174, 0.184259, 0.19695, 0.206524, 0.243055, 0.263339, 0.279292, 0.289085, 0.31359, 0.342293, 0.443006, 0.470636");
-            values ( \
-              "-0.00723112, -0.0262253, -0.0261805, -0.0264252, -0.0261036, -0.0273434, -0.02729, -0.0267868, -0.0235908, -0.01326, -0.00672564, -0.00539014, -0.00500419, -0.00220079, -0.00158754, -0.000689441, -0.000500222, -0.000613915, -0.000563458, -0.000104382, -9.2107e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0290924, 0.0375227, 0.0459419, 0.0543506, 0.0627143, 0.0794642, 0.0796579, 0.0835334, 0.0965065, 0.117423, 0.125793, 0.129694, 0.137497, 0.147411, 0.18041, 0.215761, 0.226257, 0.251139, 0.258948, 0.293462, 0.318829, 0.341901, 0.353982, 0.367771, 0.386741, 0.414912, 0.474789");
-            values ( \
-              "-0.040049, -0.04044, -0.0401515, -0.040656, -0.0402656, -0.0403168, -0.0400876, -0.039942, -0.0399149, -0.0413302, -0.0410803, -0.0404845, -0.0388038, -0.0356786, -0.0209125, -0.00917121, -0.00691729, -0.00448285, -0.00398341, -0.00312375, -0.00148241, -0.00108333, -0.00114831, -0.000879617, -0.000862829, -0.000470061, -0.00018898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0259015, 0.0337621, 0.0398684, 0.0500231, 0.0601778, 0.0703056, 0.087949, 0.0907146, 0.0962458, 0.107308, 0.111387, 0.119545, 0.131893, 0.152304, 0.172728, 0.177252, 0.20124, 0.233937, 0.242829, 0.245346, 0.25038, 0.260447, 0.286384, 0.2988, 0.303978, 0.314335, 0.330805, 0.346272, 0.358618, 0.371564, 0.378471, 0.392284, 0.405474, 0.422562, 0.440576, 0.465529, 0.496118, 0.546881, 0.604395, 0.661909, 0.719424");
-            values ( \
-              "-0.00236407, -0.0578137, -0.0583765, -0.0579728, -0.0585309, -0.0579163, -0.0578975, -0.0572086, -0.0575212, -0.0567656, -0.0573464, -0.0571591, -0.0586139, -0.0571116, -0.0511409, -0.0493106, -0.0364603, -0.0217472, -0.0191137, -0.0178612, -0.0167754, -0.013377, -0.00599252, -0.00604342, -0.00551301, -0.00582964, -0.00520711, -0.0050558, -0.00383505, -0.00340074, -0.00270349, -0.00257799, -0.00185729, -0.00187377, -0.00117284, -0.0011836, -0.00045635, -0.000492544, -1e-22, -0.000249962, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0266423, 0.0356124, 0.0435421, 0.0535093, 0.0567343, 0.0631843, 0.0731502, 0.0831352, 0.103105, 0.109832, 0.123241, 0.136889, 0.164024, 0.191046, 0.218275, 0.224642, 0.256507, 0.294822, 0.309919, 0.314874, 0.338112, 0.35068, 0.368637, 0.381692, 0.384171, 0.389131, 0.399049, 0.418887, 0.439732, 0.458773, 0.476571, 0.507315, 0.529581, 0.555849, 0.585045, 0.626814, 0.684329, 0.741843, 0.799357, 0.856872");
-            values ( \
-              "-0.0144137, -0.0775372, -0.0782718, -0.0778195, -0.0783152, -0.0777854, -0.0781664, -0.0774439, -0.0772297, -0.0763349, -0.0763105, -0.0754649, -0.0767306, -0.0747608, -0.06666, -0.0640018, -0.0478074, -0.031022, -0.0258338, -0.0234899, -0.0155455, -0.014012, -0.0110497, -0.0100116, -0.00938077, -0.00939149, -0.00822902, -0.00756503, -0.00594144, -0.00520006, -0.00392679, -0.00325228, -0.00221918, -0.00207694, -0.00112423, -0.00104776, -0.000178002, -0.000420565, -1e-22, -0.000281731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0269169, 0.0383942, 0.0479212, 0.105082, 0.180773, 0.219267, 0.257624, 0.296043, 0.425713, 0.552803, 0.599812, 0.639444, 0.76262, 0.800572");
-            values ( \
-              "-0.0286411, -0.0965482, -0.0974286, -0.0965097, -0.0931806, -0.0932399, -0.0909173, -0.0806934, -0.0302985, -0.0111678, -0.00714495, -0.00515583, -0.00203642, -0.00159559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0312835, 0.0424795, 0.0508194, 0.059085, 0.0728974, 0.0867324, 0.11293, 0.14249, 0.190835, 0.1989, 0.215031, 0.247293, 0.256399, 0.274612, 0.314726, 0.368269, 0.386031, 0.438791, 0.517734, 0.5535, 0.588792, 0.666224, 0.749173, 0.798858, 0.887017, 0.989313, 1.04683, 1.10434, 1.3344, 1.39191, 1.44943, 1.50694, 1.56446");
-            values ( \
-              "-0.110508, -0.113103, -0.112889, -0.113423, -0.112705, -0.113016, -0.112025, -0.111891, -0.109862, -0.110048, -0.108976, -0.108361, -0.107645, -0.107713, -0.106565, -0.10385, -0.101463, -0.0898771, -0.0664915, -0.0537743, -0.0459279, -0.0324366, -0.0203603, -0.0148665, -0.00796541, -0.00434389, -0.00367038, -0.00229686, -0.000502218, -0.00079716, -0.000148668, -0.000537368, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0419657, 0.0462503, 0.0506411, 0.069468, 0.102172, 0.116784, 0.126384, 0.146383, 0.197228, 0.203446, 0.231958, 0.256284, 0.286032, 0.294619, 0.312456, 0.342276, 0.419266, 0.424675");
-            values ( \
-              "-0.00966731, -0.0267224, -0.026433, -0.0265119, -0.0262335, -0.0272779, -0.0273527, -0.0235861, -0.00709078, -0.00599129, -0.00427439, -0.00219083, -0.00122703, -0.000599428, -0.0004436, -0.00064224, -0.000177349, -0.000172673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0420151, 0.047152, 0.052148, 0.0605171, 0.0725019, 0.0856047, 0.0940347, 0.110864, 0.127666, 0.135921, 0.144115, 0.161843, 0.190473, 0.199556, 0.213449, 0.228773, 0.238874, 0.264279, 0.273082, 0.283908, 0.29314, 0.307077, 0.316173, 0.33164, 0.343613, 0.353305, 0.364568, 0.377579, 0.394104, 0.41871, 0.450684, 0.495951, 0.549877, 0.607391, 0.664905");
-            values ( \
-              "-0.0120049, -0.0408709, -0.0405454, -0.0404719, -0.0405821, -0.0404217, -0.0401475, -0.0399287, -0.0412773, -0.0414775, -0.0406215, -0.0359413, -0.0227944, -0.0190948, -0.0141887, -0.00953354, -0.0071953, -0.00462086, -0.00398077, -0.00377466, -0.0034214, -0.00314056, -0.00246436, -0.00152618, -0.00136739, -0.00110213, -0.00116141, -0.000913217, -0.000909227, -0.000553406, -0.000457619, -0.000117165, -0.000139445, -1e-22, -8.18434e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0439706, 0.0485033, 0.0596043, 0.0849143, 0.113493, 0.121946, 0.133874, 0.14638, 0.166749, 0.188624, 0.228214, 0.248219, 0.286037, 0.300068, 0.317469, 0.343728, 0.359411, 0.384623, 0.40514, 0.434981, 0.47658, 0.542836");
-            values ( \
-              "-0.0454583, -0.0587837, -0.0583728, -0.0582767, -0.0572968, -0.0571498, -0.0575172, -0.0584976, -0.057486, -0.0507533, -0.0305111, -0.0220416, -0.00996761, -0.00628364, -0.00571749, -0.00542503, -0.00490863, -0.00326146, -0.00241857, -0.00170819, -0.00102762, -0.000435388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.044146, 0.0504879, 0.0978995, 0.138601, 0.151494, 0.178558, 0.205564, 0.220876, 0.23468, 0.287943, 0.346242, 0.357889, 0.392519, 0.407079, 0.484197, 0.51836, 0.565909, 0.635357, 0.642174");
-            values ( \
-              "-0.0514625, -0.0785748, -0.077853, -0.0761558, -0.0758708, -0.0766057, -0.0751747, -0.0710997, -0.0659377, -0.0400297, -0.01759, -0.0148338, -0.0101202, -0.00886466, -0.00442975, -0.0031386, -0.00193676, -0.000882748, -0.000826305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0459077, 0.0532816, 0.0985294, 0.119824, 0.152354, 0.18686, 0.212466, 0.233842, 0.272216, 0.288756, 0.305831, 0.332228, 0.428867, 0.446728, 0.479985, 0.547873, 0.572309, 0.597674, 0.626425, 0.648104, 0.664001, 0.721254, 0.806383, 0.918061, 1.03309, 1.0906");
-            values ( \
-              "-0.0974087, -0.0975412, -0.0969387, -0.0964128, -0.0951965, -0.0936993, -0.093343, -0.0936477, -0.0907786, -0.0874378, -0.0824438, -0.0724096, -0.0338595, -0.0288119, -0.0233426, -0.0135975, -0.0107431, -0.00835062, -0.0065306, -0.00542772, -0.00480351, -0.00315686, -0.0016427, -0.000622272, -0.000202599, -0.000190012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0464104, 0.053135, 0.0657611, 0.128046, 0.157325, 0.206157, 0.213671, 0.258754, 0.295719, 0.32937, 0.384155, 0.388795, 0.398073, 0.41663, 0.449905, 0.473105, 0.528263, 0.574886, 0.590449, 0.662474, 0.693145, 0.724966, 0.768077, 0.803259, 0.845473, 0.889658, 0.94157, 1.01752, 1.13255, 1.24758, 1.36261, 1.47764, 1.59267");
-            values ( \
-              "-0.112497, -0.113298, -0.113478, -0.112457, -0.111745, -0.110263, -0.109895, -0.108311, -0.107421, -0.107011, -0.103492, -0.102947, -0.10167, -0.0984221, -0.0906011, -0.0840534, -0.0675163, -0.0518915, -0.0486168, -0.03579, -0.0306451, -0.0257807, -0.0200476, -0.0160995, -0.0121193, -0.00882372, -0.0064217, -0.0042253, -0.0023275, -0.00125853, -0.000657947, -0.000332683, -0.000162054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0714665, 0.0849516, 0.0873738, 0.0889997, 0.0906507, 0.0923102, 0.0956292, 0.0988685, 0.105017, 0.106815, 0.110412, 0.115935, 0.132593, 0.143703, 0.15082, 0.165147, 0.165328, 0.170781, 0.175347, 0.180414, 0.188418, 0.203015, 0.209854, 0.217917, 0.22901, 0.23212, 0.232989, 0.234728, 0.238205, 0.244238, 0.253752, 0.264438, 0.280032, 0.289963, 0.300782, 0.30966, 0.319409, 0.326716, 0.334585, 0.344929, 0.352646, 0.362103, 0.373263, 0.390845, 0.413317, 0.445971, 0.484172, 0.531537, 0.589051, 0.646565");
-            values ( \
-              "-0.00592916, -0.0229525, -0.0241389, -0.0278746, -0.0281, -0.0271332, -0.0269952, -0.0267182, -0.0266827, -0.0265809, -0.026568, -0.0264388, -0.0262171, -0.0267369, -0.027358, -0.0269509, -0.026929, -0.0259751, -0.0249952, -0.0236294, -0.0209201, -0.0156239, -0.0134221, -0.0110307, -0.00806734, -0.00685882, -0.00679615, -0.00642395, -0.00599136, -0.00547775, -0.00506088, -0.00435925, -0.00299703, -0.00224126, -0.0019704, -0.0016372, -0.00122201, -0.000710203, -0.000583346, -0.000471283, -0.000578108, -0.000543279, -0.000609637, -0.000499163, -0.000445246, -0.000235, -0.0001625, -3.15621e-05, -4.57591e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.071663, 0.0858553, 0.0874415, 0.0882791, 0.0892491, 0.0902228, 0.0921703, 0.0960107, 0.10237, 0.111551, 0.135794, 0.143514, 0.148696, 0.161916, 0.17494, 0.17834, 0.18514, 0.195959, 0.229635, 0.243099, 0.254563, 0.269697, 0.280047, 0.294308, 0.301205, 0.312949, 0.325633, 0.338084, 0.348061, 0.35785, 0.364075, 0.376428, 0.385867, 0.39729, 0.409071, 0.426064, 0.446331, 0.481043, 0.522472, 0.575278, 0.632793");
-            values ( \
-              "-0.00742332, -0.0355978, -0.0368293, -0.0418758, -0.043417, -0.042471, -0.0416393, -0.0411112, -0.0408167, -0.0406547, -0.0400184, -0.0400214, -0.0402573, -0.0413337, -0.0412248, -0.0406809, -0.0392327, -0.0358407, -0.0207477, -0.0156981, -0.012085, -0.00778045, -0.00648543, -0.00503398, -0.00447743, -0.00372682, -0.0036371, -0.00308264, -0.00280955, -0.00189226, -0.00176884, -0.00120756, -0.00127925, -0.00100082, -0.00108851, -0.000767294, -0.000755827, -0.000325553, -0.000287735, -1e-22, -0.000111998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0719347, 0.0851532, 0.0894391, 0.0939294, 0.0993413, 0.127009, 0.160301, 0.18094, 0.198129, 0.207374, 0.222971, 0.261638, 0.273641, 0.289324, 0.325956, 0.342484, 0.351613, 0.384373, 0.400349, 0.413892, 0.444904, 0.458978, 0.475554, 0.493345, 0.519541, 0.551454, 0.606263, 0.663777, 0.721292");
-            values ( \
-              "-0.00351249, -0.0501421, -0.0614012, -0.059275, -0.0588374, -0.0581404, -0.0570673, -0.0585392, -0.0580384, -0.0560577, -0.050739, -0.0310192, -0.0256046, -0.0197385, -0.00812448, -0.00565896, -0.0058013, -0.0052834, -0.0045664, -0.00351544, -0.00216303, -0.00200301, -0.00148594, -0.00137373, -0.000806845, -0.000670911, -0.000141876, -0.000193663, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0720846, 0.0892231, 0.091296, 0.0933089, 0.100862, 0.132726, 0.181811, 0.195353, 0.213325, 0.240259, 0.259505, 0.269395, 0.323265, 0.372207, 0.385547, 0.410891, 0.42854, 0.451557, 0.511188, 0.542155, 0.587068, 0.647226, 0.682439");
-            values ( \
-              "-0.00375852, -0.0791489, -0.0814123, -0.0791715, -0.078565, -0.0779221, -0.0759561, -0.0760621, -0.0768438, -0.0751389, -0.0698842, -0.0659716, -0.0397789, -0.02071, -0.0161852, -0.0121746, -0.0100162, -0.00822002, -0.00479088, -0.00348597, -0.0022236, -0.00114928, -0.000755584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.070789, 0.0915112, 0.0979961, 0.100396, 0.105195, 0.110786, 0.116396, 0.127616, 0.140478, 0.153403, 0.179253, 0.190925, 0.209768, 0.229023, 0.262468, 0.267408, 0.27729, 0.297053, 0.306154, 0.324355, 0.381496, 0.461932, 0.485709, 0.499189, 0.517429, 0.537921, 0.564083, 0.569046, 0.57897, 0.59882, 0.623264, 0.651794, 0.678114, 0.685291, 0.699644, 0.72411, 0.75455, 0.793507, 0.838887, 0.893826, 0.951341, 1.00886, 1.02113");
-            values ( \
-              "-0.000304516, -0.0998286, -0.0962075, -0.0993003, -0.0959187, -0.0989827, -0.0956593, -0.0986597, -0.0951879, -0.0980461, -0.09392, -0.0965401, -0.0925751, -0.0951205, -0.0918628, -0.0953044, -0.0918596, -0.0936051, -0.0889741, -0.0885039, -0.0675539, -0.0325087, -0.0264066, -0.0272211, -0.0211808, -0.0213392, -0.0144016, -0.016903, -0.0123463, -0.0130984, -0.00743333, -0.00857451, -0.00400356, -0.00691595, -0.0031675, -0.0055821, -0.00160678, -0.00399426, -0.000101778, -0.00266877, -1e-22, -0.00198439, -0.00156088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0799177, 0.092761, 0.0959259, 0.101479, 0.105364, 0.109242, 0.192517, 0.306189, 0.364416, 0.393638, 0.42383, 0.453804, 0.488252, 0.568236, 0.608705, 0.623711, 0.759462, 0.802258, 0.880559, 0.924458, 0.975524, 1.04971, 1.10688, 1.16439, 1.27942, 1.34171");
-            values ( \
-              "-0.0790147, -0.115887, -0.113192, -0.114067, -0.113375, -0.113569, -0.111825, -0.108056, -0.107124, -0.105823, -0.102878, -0.0980806, -0.0896722, -0.0660024, -0.0522763, -0.0489262, -0.0258779, -0.0201512, -0.0121286, -0.00882583, -0.00644347, -0.00426645, -0.00327974, -0.00234139, -0.00125442, -0.00105117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.124929, 0.153386, 0.155569, 0.166226, 0.186764, 0.203864, 0.210214, 0.213837, 0.218814, 0.223256, 0.232138, 0.233272, 0.23554, 0.240075, 0.247482, 0.262819, 0.292476, 0.311434, 0.314481, 0.320381, 0.326186, 0.335034, 0.345488, 0.362232, 0.372408, 0.391861, 0.40138, 0.408421, 0.416142, 0.426117, 0.433701, 0.453701, 0.559987, 0.605283, 0.661942");
-            values ( \
-              "-0.000106325, -0.0135692, -0.0141257, -0.0166976, -0.0211232, -0.0243646, -0.0253936, -0.0268668, -0.0266844, -0.0266789, -0.0274219, -0.027334, -0.0275106, -0.0274987, -0.0269554, -0.023768, -0.0133409, -0.00805326, -0.00688569, -0.00603826, -0.005498, -0.00513733, -0.0044421, -0.00298642, -0.00226718, -0.00166915, -0.00120983, -0.000752211, -0.000577827, -0.000498882, -0.000560971, -0.000594595, -0.000157967, -6.02374e-05, -2.86735e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.124795, 0.169864, 0.192123, 0.209826, 0.210644, 0.213918, 0.220437, 0.226826, 0.243464, 0.25118, 0.259887, 0.277571, 0.318347, 0.346915, 0.35743, 0.380438, 0.394306, 0.419112, 0.445024, 0.46695, 0.607339, 0.717938");
-            values ( \
-              "-0.00324799, -0.026841, -0.0340659, -0.0388858, -0.0414423, -0.0406184, -0.0402515, -0.0402545, -0.0413854, -0.0415366, -0.0406961, -0.0360369, -0.0179161, -0.00884971, -0.00685109, -0.00460034, -0.00386048, -0.00320413, -0.00169033, -0.0011899, -0.000183192, -1.96125e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.125673, 0.176709, 0.214652, 0.222571, 0.236805, 0.253433, 0.263557, 0.283804, 0.290054, 0.305578, 0.349577, 0.369145, 0.407048, 0.421923, 0.472054, 0.506437, 0.569126, 0.621882, 0.671681");
-            values ( \
-              "-0.00481442, -0.0414924, -0.0587846, -0.0578089, -0.0573246, -0.0577416, -0.0585939, -0.0575498, -0.0560799, -0.0507507, -0.0285528, -0.0206862, -0.00855971, -0.00589895, -0.00519131, -0.00293054, -0.00130449, -0.00060156, -0.000453423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.141915, 0.167393, 0.21536, 0.219235, 0.226983, 0.255242, 0.268862, 0.295849, 0.322837, 0.338527, 0.351924, 0.406463, 0.461442, 0.472344, 0.507269, 0.529348, 0.590772, 0.655426, 0.745823, 0.767172");
-            values ( \
-              "-0.0305712, -0.0495256, -0.0790011, -0.0779624, -0.0778328, -0.0761793, -0.0759857, -0.0766159, -0.0752914, -0.0710549, -0.0660185, -0.0395183, -0.0182616, -0.0153757, -0.0104372, -0.00850725, -0.0049423, -0.00256326, -0.000936809, -0.000830911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.134715, 0.159352, 0.21514, 0.222123, 0.227943, 0.23764, 0.313229, 0.362277, 0.390361, 0.416679, 0.432283, 0.556731, 0.590944, 0.686479, 0.72131, 0.751102, 0.806548, 0.878652, 0.975446, 1.00074");
-            values ( \
-              "-0.00355979, -0.0547334, -0.0974769, -0.0974292, -0.0966005, -0.0967782, -0.093417, -0.0934686, -0.090593, -0.0846828, -0.0793645, -0.0304709, -0.024389, -0.011064, -0.00792724, -0.00612786, -0.00400336, -0.00235713, -0.00107759, -0.000972711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.147503, 0.167222, 0.190259, 0.210214, 0.214372, 0.220199, 0.225672, 0.236407, 0.246948, 0.261306, 0.275751, 0.303691, 0.33217, 0.391158, 0.413373, 0.447745, 0.465711, 0.501642, 0.507014, 0.517758, 0.539246, 0.57149, 0.604922, 0.645226, 0.696352, 0.738403, 0.780492, 0.810301, 0.842365, 0.885189, 0.897467, 0.922023, 0.963647, 1.00758, 1.05824, 1.1317, 1.18921, 1.24673, 1.36176, 1.47678, 1.59181, 1.64933");
-            values ( \
-              "-0.0558673, -0.0710213, -0.0918506, -0.108181, -0.113213, -0.113633, -0.11265, -0.113044, -0.112267, -0.112372, -0.111641, -0.111139, -0.109838, -0.10796, -0.107542, -0.107088, -0.106503, -0.103544, -0.102957, -0.101434, -0.0975911, -0.0897211, -0.0801365, -0.0679908, -0.0510787, -0.0431346, -0.0358296, -0.0308384, -0.0259109, -0.0201978, -0.0187815, -0.0160532, -0.0121272, -0.0088429, -0.0064739, -0.00430562, -0.0032813, -0.00236317, -0.00127122, -0.00065718, -0.000324244, -0.000303849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.252954, 0.275438, 0.288995, 0.317024, 0.338306, 0.378442, 0.402335, 0.42312, 0.441566, 0.459629, 0.467662, 0.485368, 0.505691, 0.506218, 0.514428, 0.518852, 0.545681, 0.552013, 0.563588, 0.584519, 0.591658, 0.598668, 0.605513, 0.608721, 0.615136, 0.642071, 0.67702, 0.707218, 0.784375, 0.89364");
-            values ( \
-              "-0.00165567, -0.00526916, -0.00663665, -0.00980874, -0.0118944, -0.015327, -0.0172774, -0.0201579, -0.0219269, -0.0211609, -0.0193293, -0.0142282, -0.00891635, -0.00939171, -0.00719566, -0.0066162, -0.00445386, -0.00378857, -0.00276288, -0.00191651, -0.00152629, -0.00102442, -0.000767542, -0.000699236, -0.000638808, -0.000654805, -0.000507831, -0.000318709, -7.31045e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.252899, 0.279189, 0.291744, 0.313669, 0.342653, 0.359809, 0.414985, 0.43747, 0.457576, 0.477331, 0.477805, 0.487297, 0.505636, 0.510972, 0.522734, 0.543362, 0.552681, 0.574836, 0.583947, 0.59301, 0.601807, 0.625028, 0.6325, 0.646238, 0.664853, 0.732152, 0.768224, 0.8123, 0.925488");
-            values ( \
-              "-0.00162751, -0.00870248, -0.0105991, -0.0144127, -0.0187877, -0.0211166, -0.0280009, -0.0323031, -0.035017, -0.0331426, -0.033244, -0.0300385, -0.0227713, -0.0216639, -0.0170152, -0.0103606, -0.0078724, -0.00520004, -0.00450028, -0.0041417, -0.00394332, -0.00296414, -0.00230978, -0.00163157, -0.00128148, -0.000620722, -0.000356274, -0.000168112, -2.64588e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.25292, 0.284908, 0.333456, 0.483467, 0.505657, 0.507951, 0.554891, 0.604089, 0.619322, 0.656084, 0.726427, 0.756539, 0.800919, 0.83775");
-            values ( \
-              "-0.000921526, -0.0138575, -0.0251384, -0.0534677, -0.0494967, -0.050547, -0.0265142, -0.00958651, -0.00614999, -0.0057039, -0.00222513, -0.00155652, -0.000853558, -0.000567053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.252948, 0.306159, 0.337085, 0.372278, 0.395804, 0.433827, 0.466948, 0.496528, 0.505685, 0.512958, 0.523019, 0.528111, 0.53393, 0.54284, 0.552726, 0.606568, 0.621557, 0.662881, 0.674455, 0.689609, 0.708428, 0.726235, 0.76938, 0.788308, 0.826517, 0.84761, 0.870621, 0.93056, 0.975229, 1.03274, 1.09026");
-            values ( \
-              "-0.00180779, -0.025015, -0.0345238, -0.0439145, -0.0497728, -0.0586148, -0.0656712, -0.0735252, -0.0755912, -0.0765879, -0.0751949, -0.0741118, -0.0726345, -0.0699081, -0.0659347, -0.0398299, -0.0335094, -0.0179791, -0.0151958, -0.0129479, -0.0104422, -0.00882111, -0.0063297, -0.00508258, -0.00342067, -0.00280508, -0.00219357, -0.00112486, -0.00066585, -0.00030488, -0.000155739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.270795, 0.303779, 0.355863, 0.427958, 0.47392, 0.505483, 0.514009, 0.530712, 0.545254, 0.552344, 0.566524, 0.583046, 0.591053, 0.632907, 0.684892, 0.763519, 0.770533, 0.78456, 0.803378, 0.823407, 0.84902, 0.853788, 0.863325, 0.882398, 0.937392, 0.962944, 0.969652, 0.983068, 1.0099, 1.04517, 1.08432, 1.12955, 1.18438, 1.2419, 1.29941, 1.35692");
-            values ( \
-              "-0.0131427, -0.0303964, -0.049254, -0.0718039, -0.0844606, -0.0920857, -0.0957234, -0.0916772, -0.0952983, -0.0924347, -0.0945011, -0.0908179, -0.091443, -0.0801237, -0.0579637, -0.0289206, -0.0283319, -0.025268, -0.0229283, -0.0193727, -0.016289, -0.0150559, -0.0142889, -0.0113911, -0.00669109, -0.00585349, -0.0050972, -0.00506208, -0.00371781, -0.00331246, -0.00205389, -0.0018586, -0.000784399, -0.000869575, -0.000132408, -0.000469716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.290638, 0.346342, 0.403103, 0.447899, 0.505452, 0.516214, 0.532927, 0.590314, 0.64214, 0.648489, 0.661186, 0.684111, 0.707826, 0.737867, 0.772241, 0.805605, 0.852534, 0.89802, 0.981272, 1.04294, 1.09821, 1.12403, 1.16521, 1.20901, 1.25896, 1.33086, 1.38838, 1.44589, 1.56092, 1.67595, 1.84849");
-            values ( \
-              "-0.0399894, -0.0534155, -0.0749983, -0.0907609, -0.109, -0.110737, -0.10996, -0.108043, -0.106979, -0.107116, -0.106642, -0.105419, -0.102801, -0.0981345, -0.0896252, -0.0802566, -0.0659481, -0.0508082, -0.0357876, -0.0259053, -0.0187537, -0.015964, -0.0120275, -0.00884321, -0.00650871, -0.00437258, -0.00328247, -0.00241077, -0.00130837, -0.000687814, -0.000283312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.525022, 0.583507, 0.601317, 0.685616, 0.739231, 0.74811, 0.786404, 0.819191, 0.830747, 0.839862, 0.862266, 0.875628, 0.893751, 0.904762, 0.920272, 0.930548, 0.938419, 0.949027, 0.951664, 0.960999, 0.976941, 1.00266, 1.01531, 1.02428, 1.03147, 1.13822, 1.2159, 1.23644, 1.26261, 1.33778");
-            values ( \
-              "-0.00195527, -0.00280786, -0.00339413, -0.00723962, -0.00920648, -0.00945259, -0.0108303, -0.0126692, -0.0134388, -0.013817, -0.0144244, -0.014252, -0.0131987, -0.0119232, -0.0096858, -0.00738349, -0.00709898, -0.00636949, -0.00630521, -0.00563673, -0.00410524, -0.00257804, -0.00159377, -0.00126895, -0.00115958, -0.00031764, -7.08593e-05, -0.000239223, -0.000105276, -1.98796e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.524258, 0.564658, 0.583871, 0.608048, 0.625473, 0.678061, 0.716383, 0.805299, 0.865682, 0.872161, 0.885118, 0.901538, 0.929674, 0.955253, 0.978438, 0.994769, 1.00364, 1.01567, 1.02831, 1.04117, 1.04987, 1.06772, 1.08807, 1.09901, 1.10333, 1.11197, 1.12924, 1.19148, 1.21572, 1.23353, 1.25534, 1.27551, 1.31584, 1.37336");
-            values ( \
-              "-0.00305009, -0.00366607, -0.00444719, -0.00566298, -0.00681767, -0.0106154, -0.0129245, -0.0175411, -0.0224802, -0.0226102, -0.0232717, -0.0230596, -0.0199869, -0.0147475, -0.00925938, -0.00734226, -0.00649992, -0.00571715, -0.00528121, -0.00458151, -0.00372303, -0.00249813, -0.00189759, -0.0017425, -0.00160616, -0.00150021, -0.00116268, -0.000430231, -0.000320434, -0.000525476, -0.000311821, -0.000192017, -8.93735e-05, -2.52634e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.544493, 0.607785, 0.631532, 0.670295, 0.704202, 0.737765, 0.790588, 0.836084, 0.875841, 0.910935, 0.911295, 0.926165, 0.941514, 0.949498, 0.960143, 0.979907, 1.02952, 1.05031, 1.06454, 1.09663, 1.09965, 1.11345, 1.12347, 1.14938, 1.17129, 1.20632, 1.21595, 1.2272, 1.25393, 1.28, 1.32834, 1.44337");
-            values ( \
-              "-0.00679643, -0.0082849, -0.0104268, -0.0144731, -0.0176235, -0.0203844, -0.0243589, -0.0275864, -0.0318548, -0.0351936, -0.0351287, -0.0358557, -0.0357269, -0.0351271, -0.0337148, -0.0294116, -0.0160901, -0.00985843, -0.00839543, -0.00712813, -0.00708854, -0.006105, -0.00518212, -0.00358089, -0.00273032, -0.00174036, -0.00159452, -0.00182881, -0.00115051, -0.000766023, -0.000309251, -3.85955e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.543718, 0.588243, 0.60814, 0.638773, 0.69385, 0.748938, 0.805382, 0.883754, 0.929821, 0.971, 1.00591, 1.01983, 1.0353, 1.0769, 1.1196, 1.14234, 1.17834, 1.21409, 1.21926, 1.23193, 1.26219, 1.29964, 1.34982, 1.42852, 1.54355, 1.60106");
-            values ( \
-              "-0.0088083, -0.00970172, -0.0115427, -0.0149637, -0.0224225, -0.0286278, -0.0344089, -0.0418339, -0.0475725, -0.0521047, -0.0523058, -0.0506129, -0.0472302, -0.0346149, -0.0203007, -0.0161714, -0.0109938, -0.00805018, -0.00841562, -0.00727203, -0.0051874, -0.0034977, -0.00201739, -0.000766961, -0.000159342, -0.000102633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.575713, 0.665402, 0.729145, 0.820728, 0.878242, 0.955415, 1.01084, 1.06144, 1.09974, 1.11061, 1.13235, 1.1628, 1.21566, 1.23441, 1.27217, 1.32212, 1.36398, 1.38999, 1.41104, 1.44259, 1.46689, 1.53435, 1.57701, 1.68442, 1.85697");
-            values ( \
-              "-0.0170064, -0.0230334, -0.0329755, -0.0451828, -0.0522345, -0.0610297, -0.0683463, -0.0737778, -0.0727567, -0.0713367, -0.0661831, -0.0570486, -0.0380193, -0.0329733, -0.025603, -0.0174405, -0.0116216, -0.0089942, -0.00743755, -0.00572998, -0.00478574, -0.00292105, -0.00210308, -0.000861224, -0.000191986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.575381, 0.634595, 0.780105, 0.893202, 0.945648, 1.12912, 1.16284, 1.19313, 1.21572, 1.23016, 1.24998, 1.33097, 1.38138, 1.39767, 1.46694, 1.52934, 1.58381, 1.64908, 1.69243, 1.74441, 1.81984, 1.87735, 1.93487, 2.0499, 2.16492, 2.33747");
-            values ( \
-              "-0.0203719, -0.0218843, -0.046703, -0.0637191, -0.0710541, -0.0949515, -0.0984303, -0.0994768, -0.0986551, -0.0966424, -0.0919575, -0.0682494, -0.0516674, -0.0483864, -0.0359046, -0.0258214, -0.0187466, -0.0121537, -0.00898069, -0.00653928, -0.00432234, -0.00320932, -0.0023926, -0.00130735, -0.000698185, -0.000252128" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00710227, 0.00711366, 0.0071267, 0.00713952, 0.00715052, 0.00715884", \
-            "0.00779916, 0.00780774, 0.00781846, 0.0078299, 0.00784042, 0.00784892", \
-            "0.0081573, 0.00816196, 0.00816841, 0.00817617, 0.00818419, 0.0081913", \
-            "0.00837785, 0.00837916, 0.00838112, 0.00838431, 0.00838838, 0.00839263", \
-            "0.00851296, 0.00851332, 0.00851386, 0.00851469, 0.00851595, 0.00851769", \
-            "0.00860627, 0.00860647, 0.00860676, 0.00860714, 0.00860759, 0.00860814" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00898824, 0.0089998, 0.00901282, 0.00902565, 0.00903724, 0.00904659", \
-            "0.00944892, 0.00945771, 0.00946815, 0.0094787, 0.00948751, 0.00949372", \
-            "0.0097556, 0.00976254, 0.0097704, 0.00977826, 0.00978483, 0.00978953", \
-            "0.0100143, 0.0100146, 0.0100155, 0.0100165, 0.0100173, 0.0100178", \
-            "0.0104646, 0.0104143, 0.0103552, 0.0102952, 0.0102427, 0.0102012", \
-            "0.0108963, 0.0109116, 0.010824, 0.0106656, 0.0105066, 0.0103801" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.119863, 0.137647, 0.168538, 0.222178, 0.31534, 0.477275", \
-            "0.123625, 0.141506, 0.172554, 0.226356, 0.319687, 0.481769", \
-            "0.133589, 0.151571, 0.182775, 0.236437, 0.330383, 0.492687", \
-            "0.160198, 0.177977, 0.20897, 0.26292, 0.356635, 0.518878", \
-            "0.228607, 0.246986, 0.277139, 0.330079, 0.422868, 0.584883", \
-            "0.348823, 0.376289, 0.42039, 0.489074, 0.590531, 0.749801" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.186442, 0.21236, 0.256592, 0.331435, 0.460415, 0.685967", \
-            "0.186433, 0.21234, 0.256587, 0.331448, 0.460396, 0.685974", \
-            "0.186394, 0.212322, 0.256576, 0.33143, 0.460424, 0.685947", \
-            "0.186561, 0.211824, 0.25633, 0.331347, 0.460356, 0.685951", \
-            "0.214222, 0.234939, 0.271947, 0.33812, 0.460578, 0.685766", \
-            "0.308, 0.329258, 0.363257, 0.415717, 0.514473, 0.708109" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0870633, 0.098403, 0.11796, 0.151708, 0.210061, 0.311287", \
-            "0.0908462, 0.102234, 0.121849, 0.155676, 0.214122, 0.315387", \
-            "0.0997951, 0.111003, 0.130981, 0.164915, 0.223495, 0.324899", \
-            "0.12166, 0.133137, 0.152874, 0.186706, 0.245692, 0.347294", \
-            "0.162853, 0.178188, 0.202676, 0.240549, 0.299516, 0.401105", \
-            "0.202905, 0.225827, 0.262718, 0.319881, 0.405425, 0.529242" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0962738, 0.11089, 0.136682, 0.182204, 0.26181, 0.400348", \
-            "0.0961854, 0.110886, 0.136668, 0.182201, 0.261776, 0.40036", \
-            "0.0961578, 0.110765, 0.136665, 0.182138, 0.261802, 0.400298", \
-            "0.100376, 0.113584, 0.13783, 0.18212, 0.261799, 0.400344", \
-            "0.137644, 0.149617, 0.169316, 0.20504, 0.273467, 0.402414", \
-            "0.212342, 0.228622, 0.255264, 0.298102, 0.364068, 0.470186" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0250525, 0.0286261, 0.0337769, 0.040531, 0.0428827, 0.047586, 0.0717041, 0.102267, 0.127415, 0.139157, 0.168514, 0.180349, 0.188945, 0.196055, 0.199345, 0.205924, 0.213053, 0.22162, 0.234112, 0.268224, 0.289506, 0.300385, 0.317882, 0.33267, 0.353242, 0.379109, 0.383684, 0.392832, 0.411128, 0.430663, 0.458763, 0.466738, 0.482689, 0.514591, 0.650086, 0.731927");
-            values ( \
-              "0.0202551, 0.0232742, 0.0220397, 0.0209959, 0.0209578, 0.0205374, 0.0194962, 0.0175902, 0.014826, 0.0142133, 0.00956096, 0.00830974, 0.0080001, 0.00806203, 0.00792776, 0.00794986, 0.00829509, 0.00833472, 0.00783686, 0.0057655, 0.00541115, 0.00510086, 0.0044098, 0.00357144, 0.00279997, 0.00213847, 0.00212767, 0.00184897, 0.00161807, 0.00122554, 0.000989414, 0.000808578, 0.000774891, 0.000451625, 4.71015e-05, 9.16087e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.025107, 0.0297318, 0.0358816, 0.0432969, 0.0533508, 0.0925947, 0.111805, 0.12893, 0.144169, 0.160066, 0.194035, 0.205908, 0.214442, 0.222792, 0.227909, 0.234732, 0.247864, 0.258304, 0.269369, 0.281085, 0.289779, 0.298633, 0.308795, 0.333293, 0.356953, 0.37585, 0.402711, 0.431119, 0.474552, 0.520819, 0.580617, 0.644402, 0.726244, 0.889927");
-            values ( \
-              "0.0246147, 0.0341105, 0.0322455, 0.031149, 0.0304325, 0.0281646, 0.0267201, 0.0247716, 0.0227441, 0.0214332, 0.0147803, 0.0135376, 0.0132625, 0.0133791, 0.0131702, 0.012867, 0.0118398, 0.0116013, 0.0111702, 0.0104752, 0.00975872, 0.00942897, 0.00926861, 0.00831446, 0.00700495, 0.00563453, 0.00426111, 0.00324731, 0.00214261, 0.00135749, 0.000745889, 0.000403292, 0.000151148, 1.66529e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0251002, 0.0312571, 0.0377733, 0.0472565, 0.110702, 0.141879, 0.201092, 0.214308, 0.237656, 0.246443, 0.261916, 0.269502, 0.283209, 0.315161, 0.331837, 0.361674, 0.375245, 0.461821, 0.503262, 0.534802, 0.597645, 0.657392, 0.764212, 0.890273");
-            values ( \
-              "0.0305739, 0.0462473, 0.0441539, 0.042956, 0.0390883, 0.0365308, 0.0293443, 0.0259444, 0.0217788, 0.0210822, 0.0209517, 0.0206238, 0.0195961, 0.0157785, 0.0143873, 0.0144307, 0.0137195, 0.00741353, 0.00524231, 0.00404964, 0.0023962, 0.00144557, 0.000556427, 0.000320262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0250635, 0.0334878, 0.0443989, 0.0535796, 0.161229, 0.184001, 0.244784, 0.260205, 0.29388, 0.306628, 0.328038, 0.34852, 0.405924, 0.418768, 0.433487, 0.448542, 0.483783, 0.579563, 0.607952, 0.643234, 0.724527, 0.77291, 0.850209, 0.93205, 1.01389, 1.07885");
-            values ( \
-              "0.039788, 0.0580082, 0.0556345, 0.0549489, 0.0491053, 0.0472455, 0.0409901, 0.0389587, 0.0323444, 0.0309545, 0.0305714, 0.0291442, 0.0219629, 0.0218161, 0.0209617, 0.0205167, 0.0184121, 0.0110321, 0.0091733, 0.00736445, 0.00434281, 0.00317011, 0.00189545, 0.00108914, 0.00061753, 0.000486276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0283202, 0.0283402, 0.118555, 0.195839, 0.269562, 0.351368, 0.408008, 0.44542, 0.460498, 0.517799, 0.531733, 0.562934, 0.67954, 0.79715, 0.890361, 1.00229, 1.07469, 1.16414, 1.40966, 1.42254");
-            values ( \
-              "1e-22, 0.070205, 0.0630306, 0.0600786, 0.0562037, 0.0494488, 0.0416141, 0.0406656, 0.0395715, 0.0330249, 0.0328862, 0.0316018, 0.0216842, 0.0139661, 0.00925127, 0.00551162, 0.0038925, 0.00253058, 0.00077059, 0.000746606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0285904, 0.0286104, 0.199292, 0.290217, 0.383301, 0.485236, 0.515157, 0.583207, 0.598521, 0.616856, 0.637337, 0.681368, 0.704038, 0.722355, 0.743215, 0.782245, 0.924506, 1.00099, 1.05057, 1.12845, 1.23024, 1.34367, 1.46621, 1.54805, 1.63704, 1.75263, 1.91631, 2.07999, 2.32552, 2.57104");
-            values ( \
-              "1e-22, 0.0767697, 0.0698869, 0.0676729, 0.0646932, 0.0598862, 0.0576265, 0.0514574, 0.0505269, 0.0506259, 0.0499169, 0.0467766, 0.0446937, 0.0446242, 0.0442366, 0.0420908, 0.0311507, 0.0257161, 0.0228025, 0.0187307, 0.0141597, 0.0101167, 0.00691945, 0.00534653, 0.00401965, 0.00276482, 0.00161939, 0.000946089, 0.000413597, 0.000188836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0413384, 0.0413584, 0.066257, 0.0965052, 0.106349, 0.117811, 0.141917, 0.154634, 0.164509, 0.170624, 0.182854, 0.193952, 0.202789, 0.221636, 0.229028, 0.237771, 0.249421, 0.258627, 0.281077, 0.291456, 0.31299, 0.331633, 0.333522, 0.337301, 0.344858, 0.364044, 0.388837, 0.416922, 0.469635, 0.509213, 0.561983, 0.643825, 0.807508");
-            values ( \
-              "1e-22, 0.0255854, 0.0203659, 0.0189611, 0.0183555, 0.0174329, 0.0148952, 0.0140632, 0.0125912, 0.011359, 0.0096253, 0.00833684, 0.00805396, 0.00795928, 0.00836592, 0.00828051, 0.00778879, 0.0071442, 0.00581146, 0.00565462, 0.00518432, 0.00448, 0.0042819, 0.00415127, 0.00367944, 0.00292128, 0.00225841, 0.00169222, 0.000951696, 0.00060756, 0.000366764, 0.000105569, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0412994, 0.0413194, 0.0797038, 0.107122, 0.1308, 0.14899, 0.159792, 0.172752, 0.207132, 0.21581, 0.225038, 0.23192, 0.247853, 0.26064, 0.283111, 0.304724, 0.336623, 0.348979, 0.372044, 0.387156, 0.412349, 0.442588, 0.485012, 0.516804, 0.56851, 0.607712, 0.686115, 0.849798");
-            values ( \
-              "1e-22, 0.0347673, 0.0298113, 0.0281261, 0.0263188, 0.0241646, 0.0225726, 0.0216338, 0.015058, 0.0138459, 0.01336, 0.0134236, 0.0129825, 0.0119674, 0.0112509, 0.00972265, 0.00882287, 0.00824024, 0.00695276, 0.0058564, 0.00448925, 0.00335917, 0.00225648, 0.00164273, 0.000979053, 0.00067883, 0.000278949, 3.85982e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0434479, 0.0434679, 0.0794105, 0.0958435, 0.117877, 0.125399, 0.156574, 0.181539, 0.215731, 0.228981, 0.252438, 0.261209, 0.276647, 0.297916, 0.32987, 0.34657, 0.376216, 0.404487, 0.479127, 0.519893, 0.593207, 0.637258, 0.693101, 0.841704, 1.00539");
-            values ( \
-              "1e-22, 0.0520619, 0.0416155, 0.0410803, 0.0393555, 0.0392629, 0.0366879, 0.0333011, 0.0295076, 0.0258075, 0.0216874, 0.0211606, 0.0210223, 0.0196611, 0.0158386, 0.0143263, 0.0144814, 0.0129648, 0.00720818, 0.00512073, 0.00278646, 0.00191403, 0.00117345, 0.000274483, 1.83392e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0434921, 0.0435121, 0.0941486, 0.177181, 0.233609, 0.275235, 0.321287, 0.352803, 0.421269, 0.463411, 0.498513, 0.594448, 0.658094, 0.739574, 0.865369, 1.02905, 1.04506");
-            values ( \
-              "1e-22, 0.0619388, 0.0536715, 0.0491197, 0.0438513, 0.0388745, 0.0309191, 0.0300859, 0.0219697, 0.0204839, 0.0183938, 0.0110059, 0.00733817, 0.00436978, 0.00186468, 0.000588719, 0.000560024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0434308, 0.0434508, 0.129456, 0.23962, 0.272223, 0.31927, 0.358206, 0.424008, 0.436018, 0.448388, 0.478076, 0.529754, 0.57308, 0.610893, 0.678118, 0.802199, 0.887288, 0.92593, 1.01757, 1.08948, 1.18022, 1.34391, 1.42575, 1.50323");
-            values ( \
-              "1e-22, 0.0702511, 0.0633005, 0.0588118, 0.0569091, 0.0534917, 0.0503426, 0.0416019, 0.0410515, 0.0411345, 0.0394178, 0.0332666, 0.0318889, 0.0289169, 0.0228328, 0.0145496, 0.0100311, 0.00841936, 0.00551822, 0.0038947, 0.00251554, 0.0011241, 0.000771043, 0.000626883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0460136, 0.0460336, 0.199076, 0.305179, 0.38702, 0.500247, 0.535693, 0.604137, 0.613413, 0.628614, 0.651146, 0.670249, 0.718528, 0.744286, 0.777873, 1.00597, 1.05927, 1.11489, 1.20039, 1.33378, 1.43404, 1.50566, 1.5875, 1.65348, 1.73171, 1.89539, 2.05908, 2.22276, 2.38644, 2.63197");
-            values ( \
-              "1e-22, 0.0791788, 0.0703771, 0.0675777, 0.0651401, 0.0599073, 0.0571781, 0.0511308, 0.0506526, 0.0507223, 0.0500036, 0.0488387, 0.0447791, 0.0445602, 0.0433105, 0.02633, 0.0231876, 0.0201434, 0.0160484, 0.0108857, 0.00799404, 0.00643745, 0.00490334, 0.00395798, 0.00306456, 0.00177867, 0.00102149, 0.000578198, 0.000319446, 0.00019909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0651987, 0.0910474, 0.0960916, 0.105536, 0.121521, 0.131891, 0.152143, 0.177291, 0.189057, 0.218364, 0.230185, 0.238792, 0.245898, 0.249208, 0.255828, 0.262959, 0.271526, 0.283997, 0.318041, 0.32885, 0.350207, 0.367715, 0.382649, 0.403268, 0.442239, 0.479591, 0.516381, 0.565931, 0.703697, 0.785539");
-            values ( \
-              "0.00177115, 0.0240082, 0.0218854, 0.0204351, 0.0194465, 0.0189734, 0.0175301, 0.0148452, 0.0142027, 0.0095733, 0.00830377, 0.00800762, 0.00805697, 0.00793, 0.00795031, 0.00829484, 0.00833631, 0.00783258, 0.00576089, 0.00563004, 0.00511282, 0.00442295, 0.00358764, 0.00281768, 0.00188183, 0.00126262, 0.000835396, 0.000468222, 6.77776e-05, 6.47698e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0651437, 0.0853675, 0.0873805, 0.0882333, 0.0891521, 0.0899932, 0.093687, 0.0969687, 0.101308, 0.109497, 0.119125, 0.142604, 0.16636, 0.18365, 0.195265, 0.208229, 0.217089, 0.227916, 0.242602, 0.251278, 0.260505, 0.267388, 0.274486, 0.28332, 0.29611, 0.307797, 0.318583, 0.331025, 0.340143, 0.349404, 0.359781, 0.371933, 0.395554, 0.407425, 0.409651, 0.423012, 0.433913, 0.448412, 0.461429, 0.480897, 0.49635, 0.516955, 0.547849, 0.568296, 0.574265, 0.586205, 0.610084, 0.657843, 0.739684, 0.903368");
-            values ( \
-              "0.0013018, 0.0277794, 0.02912, 0.0336078, 0.0356471, 0.0355894, 0.033641, 0.0323128, 0.0313143, 0.0302338, 0.0295657, 0.0281832, 0.0262607, 0.0242279, 0.0226153, 0.0216055, 0.0202298, 0.0177129, 0.0150905, 0.0138162, 0.0133902, 0.0133955, 0.0133202, 0.0129562, 0.0119929, 0.0115456, 0.0112755, 0.0104272, 0.0097152, 0.00943787, 0.0092234, 0.00884226, 0.00764848, 0.00694755, 0.00675852, 0.00583822, 0.00518657, 0.00447015, 0.00393528, 0.00326476, 0.00281797, 0.00234103, 0.00170922, 0.0014257, 0.00131375, 0.00119933, 0.000914648, 0.000590864, 0.000222293, 2.42412e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0650843, 0.0873824, 0.0887759, 0.0902768, 0.0954995, 0.0984479, 0.103237, 0.11161, 0.121247, 0.161004, 0.176003, 0.192176, 0.238774, 0.251306, 0.264576, 0.266325, 0.276817, 0.288032, 0.296802, 0.304741, 0.312242, 0.319789, 0.333507, 0.348936, 0.365465, 0.382156, 0.41185, 0.425376, 0.440147, 0.456534, 0.473351, 0.480132, 0.514521, 0.533035, 0.555339, 0.578366, 0.605286, 0.636957, 0.673454, 0.722055, 0.778857, 0.860698, 1.02438");
-            values ( \
-              "0.000523602, 0.0401832, 0.0467131, 0.049174, 0.0457556, 0.0444489, 0.0433096, 0.0421702, 0.0414958, 0.0390762, 0.0380358, 0.0365116, 0.0309629, 0.0293558, 0.0259631, 0.0257744, 0.0235895, 0.0217909, 0.0210624, 0.0211104, 0.0209325, 0.0206437, 0.0195778, 0.0178518, 0.0157593, 0.0144049, 0.0144134, 0.0137456, 0.012895, 0.0116206, 0.010444, 0.00979549, 0.00727773, 0.00628841, 0.00518232, 0.00429925, 0.00348508, 0.00264808, 0.00195222, 0.00129268, 0.000815704, 0.000374519, 7.69222e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0652071, 0.0928341, 0.0983521, 0.112613, 0.207377, 0.23459, 0.295212, 0.310748, 0.344803, 0.35754, 0.379249, 0.399112, 0.45658, 0.469391, 0.484067, 0.49911, 0.534337, 0.60143, 0.658524, 0.708982, 0.775052, 0.849192, 0.900796, 0.982637, 1.06448, 1.13787");
-            values ( \
-              "0.00247983, 0.0610037, 0.0574621, 0.0545837, 0.0493638, 0.0472847, 0.0409944, 0.0389832, 0.0322676, 0.0309506, 0.0305676, 0.0291624, 0.021934, 0.0218347, 0.0209363, 0.0205403, 0.0184384, 0.0131005, 0.00915093, 0.00663109, 0.0043211, 0.00265, 0.0019191, 0.00106559, 0.000641144, 0.000478685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0760087, 0.0903989, 0.10254, 0.117287, 0.247215, 0.322019, 0.394404, 0.460471, 0.498972, 0.514548, 0.570428, 0.614924, 0.731314, 0.848083, 0.939964, 1.05593, 1.21316, 1.44138");
-            values ( \
-              "0.0343053, 0.0744807, 0.0673021, 0.0654299, 0.0600454, 0.0561321, 0.0503335, 0.0415488, 0.0405176, 0.0393366, 0.0329726, 0.0315627, 0.0216618, 0.0139914, 0.00932578, 0.0054555, 0.00255913, 0.000906478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0761301, 0.0954895, 0.105248, 0.120277, 0.176974, 0.341863, 0.421861, 0.49985, 0.551557, 0.635156, 0.649953, 0.664262, 0.682768, 0.701249, 0.751576, 0.771404, 0.780918, 0.819865, 1.01758, 1.08075, 1.17969, 1.25708, 1.31074, 1.40115, 1.51226, 1.66726, 1.72915, 1.89283, 2.05652, 2.2202, 2.62941");
-            values ( \
-              "0.0485732, 0.0807273, 0.0754964, 0.0739407, 0.0719827, 0.0676105, 0.0651763, 0.0616324, 0.0588522, 0.0514204, 0.0506717, 0.0507056, 0.0502401, 0.0492137, 0.0451072, 0.0443768, 0.0446585, 0.0430686, 0.0281245, 0.0239682, 0.0187056, 0.0152553, 0.0129827, 0.00990517, 0.00701182, 0.00426785, 0.00350075, 0.00204729, 0.001191, 0.000690268, 0.000187131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.128278, 0.143357, 0.157422, 0.174411, 0.199829, 0.210318, 0.214289, 0.220137, 0.222867, 0.241329, 0.265389, 0.278049, 0.28805, 0.294069, 0.306108, 0.317202, 0.326098, 0.33158, 0.337269, 0.345071, 0.352476, 0.361198, 0.372861, 0.382059, 0.404488, 0.415036, 0.436485, 0.454953, 0.456877, 0.460723, 0.468415, 0.487586, 0.499168, 0.512513, 0.521936, 0.540458, 0.593456, 0.606146, 0.631527, 0.682288, 0.764129, 0.927813");
-            values ( \
-              "0.0034309, 0.00689619, 0.00985945, 0.0131458, 0.0174777, 0.0188389, 0.0202077, 0.0196081, 0.0191375, 0.0174489, 0.0148891, 0.014121, 0.0125547, 0.0113806, 0.00963206, 0.00836509, 0.00804892, 0.00806229, 0.00796776, 0.00796176, 0.00836086, 0.00828053, 0.00778282, 0.00714729, 0.0058201, 0.00567322, 0.00518703, 0.00447894, 0.00427828, 0.0041401, 0.00366903, 0.00292045, 0.00263581, 0.00225776, 0.00209573, 0.00169611, 0.000953522, 0.000862055, 0.000622383, 0.000372293, 0.000117722, 3.752e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.114313, 0.19248, 0.210207, 0.212674, 0.216459, 0.219353, 0.255317, 0.283078, 0.297674, 0.330469, 0.342517, 0.351202, 0.359232, 0.368347, 0.392486, 0.402921, 0.427979, 0.45037, 0.463318, 0.496529, 0.522748, 0.549773, 0.583323, 0.634814, 0.699568, 0.831643, 0.846232");
-            values ( \
-              "0.00037823, 0.0243191, 0.0284498, 0.0305661, 0.0305214, 0.0295264, 0.0261082, 0.0227248, 0.021388, 0.0150692, 0.0135769, 0.013288, 0.0133795, 0.0130796, 0.0115534, 0.0114674, 0.00971899, 0.00918733, 0.0086685, 0.00687082, 0.00510483, 0.00389811, 0.00283936, 0.00172362, 0.000903424, 0.000217332, 0.000200483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.128648, 0.150496, 0.167658, 0.188424, 0.21021, 0.213289, 0.217837, 0.219298, 0.22222, 0.227263, 0.23571, 0.269391, 0.295765, 0.312991, 0.316214, 0.329033, 0.340402, 0.353946, 0.373856, 0.384001, 0.399515, 0.407387, 0.420806, 0.436144, 0.452831, 0.469472, 0.475659, 0.500199, 0.513979, 0.528806, 0.545323, 0.562085, 0.567255, 0.597336, 0.639028, 0.676673, 0.704392, 0.740442, 0.757535, 0.761957, 0.78849, 0.87571, 0.957551, 1.03939, 1.12123");
-            values ( \
-              "0.00455618, 0.0170815, 0.0244048, 0.0325802, 0.0401136, 0.0428904, 0.0427471, 0.0419985, 0.0413896, 0.0407283, 0.0399977, 0.03758, 0.0347172, 0.0323525, 0.0321332, 0.0308061, 0.0288765, 0.0256673, 0.0219391, 0.0211119, 0.0209585, 0.0206242, 0.0196143, 0.0178819, 0.0157989, 0.0144012, 0.0144588, 0.0144188, 0.0136849, 0.0128323, 0.0115217, 0.0103624, 0.00981655, 0.00757383, 0.00534288, 0.00392543, 0.00318064, 0.00230616, 0.00205292, 0.00192938, 0.00154274, 0.000721065, 0.00037843, 0.000153828, 0.00010304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.128777, 0.156824, 0.176968, 0.210219, 0.213129, 0.216568, 0.21985, 0.226414, 0.232867, 0.240084, 0.280196, 0.317084, 0.332939, 0.378884, 0.391237, 0.431689, 0.440886, 0.450695, 0.460409, 0.471807, 0.484076, 0.523149, 0.541044, 0.555258, 0.56884, 0.57174, 0.589138, 0.604639, 0.624039, 0.645943, 0.689247, 0.719755, 0.747415, 0.78289, 0.796681, 0.824263, 0.860946, 0.911169, 0.936817, 0.969899, 1.01401, 1.09585, 1.17769, 1.25953, 1.42322");
-            values ( \
-              "0.00408075, 0.0253054, 0.0362385, 0.0522285, 0.0556848, 0.0560982, 0.0545937, 0.0535472, 0.0529017, 0.0524244, 0.0502484, 0.0477891, 0.0463914, 0.0414851, 0.0400513, 0.0324124, 0.031229, 0.03068, 0.030717, 0.0302833, 0.0294496, 0.0246848, 0.0222821, 0.0217946, 0.0212011, 0.0211304, 0.0203157, 0.0194827, 0.0181991, 0.0165638, 0.0131446, 0.0108749, 0.00912467, 0.00728193, 0.00666731, 0.00558353, 0.00440466, 0.00316227, 0.00266794, 0.00214229, 0.00161221, 0.000908632, 0.000532951, 0.000289349, 8.74447e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.129279, 0.165775, 0.21404, 0.218819, 0.222951, 0.236424, 0.377094, 0.427628, 0.483905, 0.547612, 0.558729, 0.571702, 0.586212, 0.601306, 0.652298, 0.666116, 0.68063, 0.71651, 0.786464, 0.900225, 0.978772, 1.03268, 1.1377, 1.21047, 1.30987, 1.47355, 1.5554, 1.60692");
-            values ( \
-              "0.00374592, 0.0355676, 0.0670584, 0.0673168, 0.0655669, 0.0641101, 0.0580949, 0.0547812, 0.0501634, 0.0416108, 0.0410762, 0.0411036, 0.0405641, 0.0394526, 0.0335196, 0.032794, 0.0326341, 0.030522, 0.0240811, 0.016197, 0.0116475, 0.0091133, 0.00562145, 0.00395169, 0.00244662, 0.00109276, 0.000750285, 0.000657034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.152717, 0.182606, 0.215088, 0.222581, 0.238038, 0.304363, 0.429355, 0.511197, 0.624412, 0.659848, 0.728262, 0.737577, 0.752783, 0.775296, 0.819605, 0.842685, 0.868449, 0.88299, 0.902059, 1.13002, 1.18336, 1.32471, 1.38602, 1.49135, 1.55802, 1.6297, 1.71154, 1.80371, 1.93779, 2.10147, 2.26516, 2.37395");
-            values ( \
-              "0.0502251, 0.0525423, 0.0772025, 0.0744922, 0.0729326, 0.0708556, 0.0676296, 0.0650951, 0.0598636, 0.0572229, 0.0510913, 0.0506937, 0.0506851, 0.0500425, 0.0468611, 0.0447441, 0.0445259, 0.0441883, 0.0432763, 0.0263689, 0.0231606, 0.0160724, 0.0135015, 0.00986254, 0.00802908, 0.00641007, 0.00493553, 0.00367876, 0.00238225, 0.00139637, 0.000818362, 0.000609737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.252784, 0.285926, 0.303484, 0.346165, 0.388953, 0.42265, 0.452368, 0.481338, 0.495871, 0.505521, 0.509197, 0.530873, 0.539321, 0.554134, 0.562245, 0.570089, 0.579336, 0.618609, 0.640233, 0.651313, 0.669995, 0.688159, 0.709154, 0.735531, 0.773284, 0.817789, 0.881877, 0.945068, 1.02691, 1.10875");
-            values ( \
-              "0.00183725, 0.00285783, 0.00404363, 0.00761804, 0.0105533, 0.0125454, 0.013561, 0.0133057, 0.0136226, 0.0119041, 0.0121146, 0.00871816, 0.00818884, 0.00800567, 0.00805929, 0.00848342, 0.00822347, 0.00598946, 0.00557633, 0.00526, 0.0045055, 0.00349053, 0.00276178, 0.00211086, 0.00143266, 0.000880916, 0.000431832, 0.000212907, 7.30422e-05, 3.27739e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.253057, 0.293927, 0.354644, 0.400927, 0.432939, 0.445909, 0.463202, 0.469166, 0.481095, 0.498894, 0.509814, 0.514335, 0.532321, 0.546752, 0.557879, 0.566591, 0.57266, 0.587806, 0.601096, 0.623393, 0.644183, 0.676746, 0.700014, 0.73595, 0.764161, 0.784807, 0.805153, 0.863886, 0.908552, 0.968107, 1.04995, 1.21363");
-            values ( \
-              "0.00259307, 0.00502439, 0.0122578, 0.0170031, 0.0199197, 0.0209096, 0.0218208, 0.0219966, 0.022127, 0.0217738, 0.0225173, 0.0222067, 0.0177757, 0.0150963, 0.0136665, 0.013304, 0.0134023, 0.0129327, 0.0119248, 0.0112531, 0.00978781, 0.00890559, 0.00767217, 0.00526083, 0.00396675, 0.00325468, 0.00267923, 0.00150183, 0.000952304, 0.000563547, 0.00019194, 8.10133e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.252992, 0.297588, 0.356468, 0.390952, 0.419634, 0.4602, 0.495789, 0.512965, 0.530081, 0.542737, 0.55468, 0.567956, 0.591511, 0.600272, 0.60819, 0.62323, 0.636905, 0.668902, 0.684993, 0.691575, 0.717869, 0.748547, 0.80103, 0.836031, 0.866182, 0.909287, 0.944579, 0.969618, 1.02055, 1.07876, 1.1606, 1.24244, 1.32429");
-            values ( \
-              "0.00285679, 0.00774166, 0.0173185, 0.0225077, 0.0265775, 0.0317046, 0.0346175, 0.0352296, 0.0325964, 0.0312787, 0.0292975, 0.0260371, 0.0217669, 0.0210315, 0.0210929, 0.0206256, 0.0195446, 0.0157619, 0.0144406, 0.0146648, 0.0144768, 0.0125775, 0.00838525, 0.00623537, 0.00487414, 0.00342531, 0.00258714, 0.00207153, 0.00136925, 0.000815445, 0.000407681, 0.000184847, 0.000100957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.252838, 0.308267, 0.364593, 0.427212, 0.447999, 0.489572, 0.512409, 0.528427, 0.537225, 0.554821, 0.580899, 0.582844, 0.586733, 0.60853, 0.646526, 0.655752, 0.665461, 0.67526, 0.686605, 0.698956, 0.736932, 0.754628, 0.769132, 0.786382, 0.808403, 0.825076, 0.845539, 0.921806, 0.95424, 0.985888, 1.00715, 1.03484, 1.06648, 1.11207, 1.15088, 1.20311, 1.27276, 1.3546, 1.43644, 1.51828, 1.60012");
-            values ( \
-              "0.00268397, 0.0121752, 0.0240871, 0.0362714, 0.0399491, 0.046806, 0.0499918, 0.0481471, 0.0474699, 0.0457308, 0.0427168, 0.0426998, 0.0423485, 0.0397417, 0.032374, 0.0312455, 0.0306771, 0.030727, 0.0302665, 0.0294199, 0.0247923, 0.0224122, 0.0219405, 0.0212027, 0.0201166, 0.0191294, 0.0177016, 0.0117801, 0.00957219, 0.00785283, 0.00683859, 0.00573481, 0.0046769, 0.00346601, 0.00268328, 0.00189644, 0.00119666, 0.000672205, 0.000395275, 0.000212912, 0.000133772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.288692, 0.335045, 0.400343, 0.453062, 0.505478, 0.514554, 0.53726, 0.548767, 0.60367, 0.622135, 0.682176, 0.708353, 0.759108, 0.768282, 0.780897, 0.794172, 0.808547, 0.863684, 0.881021, 0.896267, 0.912962, 0.930728, 0.998194, 1.06303, 1.10685, 1.11355, 1.15378, 1.24587, 1.3, 1.35129, 1.42529, 1.52039, 1.60223, 1.68407, 1.84775, 2.01144, 2.09328");
-            values ( \
-              "0.0197284, 0.021466, 0.0376966, 0.0499974, 0.060912, 0.0622239, 0.0604883, 0.0602302, 0.0574608, 0.0562519, 0.0515746, 0.0488716, 0.0417385, 0.0411894, 0.0410972, 0.0408611, 0.0399624, 0.0338906, 0.0325501, 0.0327663, 0.0315203, 0.0305844, 0.0241018, 0.0195101, 0.01677, 0.0161402, 0.013677, 0.00908435, 0.00708346, 0.00564138, 0.00389951, 0.00246116, 0.00170379, 0.00109062, 0.000473325, 0.000198202, 0.000172731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.289253, 0.343675, 0.4392, 0.510232, 0.515784, 0.52107, 0.541819, 0.607224, 0.714443, 0.783426, 0.837936, 0.870223, 0.936263, 0.950999, 0.965457, 0.984088, 1.00258, 1.0528, 1.07253, 1.08196, 1.12073, 1.31971, 1.38248, 1.48073, 1.55817, 1.6118, 1.70218, 1.81295, 1.8948, 1.96815, 2.03029, 2.19397, 2.35765, 2.52134, 2.68502, 2.93054");
-            values ( \
-              "0.019349, 0.0266531, 0.0533923, 0.0721436, 0.0720687, 0.0711138, 0.0703051, 0.0686634, 0.0655204, 0.0625032, 0.0598563, 0.0574674, 0.0514178, 0.0506732, 0.0507125, 0.0502243, 0.0491977, 0.0450919, 0.0443927, 0.0446587, 0.0430767, 0.028046, 0.0239291, 0.0187065, 0.0152523, 0.0129827, 0.0099059, 0.00701992, 0.00546788, 0.00427018, 0.00349961, 0.00204651, 0.00119041, 0.000689765, 0.000398419, 0.000187514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.536328, 0.616357, 0.664142, 0.682882, 0.777482, 0.808796, 0.862431, 0.910224, 0.934134, 0.957069, 0.976649, 0.990019, 1.00616, 1.01978, 1.02925, 1.03973, 1.04871, 1.06263, 1.07503, 1.11629, 1.11694, 1.11823, 1.12081, 1.13403, 1.15375, 1.18945, 1.21606, 1.22688, 1.27237, 1.29561, 1.32854, 1.36371, 1.39081, 1.44503, 1.50778");
-            values ( \
-              "0.000763274, 0.0011814, 0.00199681, 0.00247024, 0.00576213, 0.0066396, 0.00787313, 0.00839275, 0.008212, 0.00842131, 0.00836128, 0.00751927, 0.00708454, 0.00645167, 0.00653857, 0.0064916, 0.00722101, 0.00792527, 0.00775731, 0.00617794, 0.00625774, 0.0061996, 0.00621592, 0.00604438, 0.00545403, 0.00371836, 0.00289675, 0.00294057, 0.00184486, 0.00144275, 0.000997091, 0.000676656, 0.0005017, 0.000266055, 0.000139011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.536239, 0.623025, 0.652516, 0.698385, 0.777594, 0.825561, 0.883639, 0.934873, 0.960593, 0.984388, 1.00814, 1.02522, 1.03499, 1.04787, 1.05724, 1.06731, 1.07631, 1.10377, 1.11392, 1.14125, 1.16088, 1.17514, 1.21595, 1.22131, 1.25577, 1.29116, 1.33284, 1.36575, 1.4147, 1.51244, 1.59428, 1.6104");
-            values ( \
-              "0.00110667, 0.00201808, 0.00275309, 0.00448347, 0.00866484, 0.0106753, 0.0126828, 0.0137119, 0.0136215, 0.0138918, 0.0140128, 0.0124125, 0.0123147, 0.0112851, 0.011381, 0.0112668, 0.0119167, 0.0115096, 0.0115559, 0.0103236, 0.0100754, 0.00964382, 0.00721964, 0.0073049, 0.00526123, 0.00378305, 0.0025445, 0.00186296, 0.00113439, 0.000411025, 0.00018134, 0.000167126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.536319, 0.633934, 0.664928, 0.705296, 0.769304, 0.827211, 0.90412, 0.945041, 0.974539, 1.01276, 1.02859, 1.04455, 1.10172, 1.11166, 1.12051, 1.13258, 1.14443, 1.17949, 1.19502, 1.20561, 1.21604, 1.22275, 1.23394, 1.30524, 1.3423, 1.38489, 1.44466, 1.50037, 1.53217, 1.59577, 1.67761, 1.75946, 1.8413");
-            values ( \
-              "0.00144016, 0.00340158, 0.00463703, 0.00689155, 0.0116027, 0.0151734, 0.0191632, 0.0209008, 0.0216185, 0.0218695, 0.0224424, 0.0226628, 0.0188745, 0.018824, 0.0192209, 0.0193091, 0.0189057, 0.0159615, 0.0150132, 0.0156235, 0.0153345, 0.0159152, 0.015519, 0.00963098, 0.00710252, 0.00503986, 0.00309487, 0.00194134, 0.00150058, 0.000851889, 0.00042582, 0.000192789, 0.000106027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.536406, 0.65059, 0.664709, 0.692947, 0.77996, 0.835121, 0.895194, 0.970594, 1.036, 1.09742, 1.11896, 1.13716, 1.15544, 1.15844, 1.17647, 1.18556, 1.19642, 1.21028, 1.21616, 1.22743, 1.26608, 1.29323, 1.33902, 1.45896, 1.48649, 1.53538, 1.56381, 1.60024, 1.6756, 1.72593, 1.80777, 1.97145, 2.13513");
-            values ( \
-              "0.00159465, 0.00561962, 0.00640376, 0.00826671, 0.0160098, 0.0204513, 0.0248172, 0.0298473, 0.0330862, 0.0339608, 0.0353383, 0.0329389, 0.0320236, 0.0312392, 0.0298241, 0.0296716, 0.0299024, 0.0296268, 0.0292501, 0.0289562, 0.0240779, 0.0228525, 0.0200521, 0.010815, 0.00903439, 0.00662446, 0.00558463, 0.00435291, 0.00264399, 0.00194379, 0.00106698, 0.000327941, 8.71466e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.607801, 0.708229, 0.858382, 0.958072, 1.03991, 1.097, 1.12584, 1.19821, 1.22256, 1.28194, 1.29251, 1.30422, 1.3187, 1.3333, 1.383, 1.39818, 1.41346, 1.45084, 1.54059, 1.67881, 1.76962, 1.81922, 1.87159, 1.94465, 2.02504, 2.08625, 2.16809, 2.33177, 2.37734");
-            values ( \
-              "0.00951169, 0.0119132, 0.0271249, 0.0361069, 0.0428982, 0.046936, 0.0484695, 0.0506998, 0.0503085, 0.041806, 0.0411535, 0.0411501, 0.0406935, 0.0396685, 0.0341508, 0.0333253, 0.0331752, 0.0307593, 0.0226883, 0.0135608, 0.00907122, 0.00722492, 0.00565151, 0.00399283, 0.00272076, 0.00202073, 0.0013568, 0.0006075, 0.000513964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.60785, 0.70717, 0.876104, 1.04952, 1.12986, 1.22198, 1.24255, 1.25715, 1.32046, 1.36386, 1.38893, 1.4559, 1.47065, 1.48493, 1.50341, 1.52185, 1.57229, 1.59166, 1.6016, 1.64133, 1.68654, 1.81889, 1.88677, 1.98957, 2.06117, 2.12186, 2.22457, 2.28588, 2.35943, 2.44128, 2.49669, 2.53837, 2.59394, 2.67578, 2.75762, 2.83946, 3.00315, 3.16683, 3.41235");
-            values ( \
-              "0.0112442, 0.0139453, 0.0329245, 0.0511274, 0.0588031, 0.0663856, 0.0652394, 0.0647769, 0.0617689, 0.0594778, 0.057551, 0.0514101, 0.0506602, 0.0506878, 0.0502062, 0.0491859, 0.0451109, 0.0445507, 0.0447223, 0.0430177, 0.0397318, 0.0295016, 0.0248498, 0.0192524, 0.0159344, 0.0133849, 0.00985157, 0.00817326, 0.00647137, 0.0049999, 0.00417191, 0.00365208, 0.00305456, 0.00233563, 0.00178859, 0.0013648, 0.000795558, 0.000463326, 0.000204157" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00617721, 0.00619004, 0.00620504, 0.00622014, 0.00623321, 0.00624312", \
-            "0.00690042, 0.00691265, 0.00692818, 0.00694523, 0.0069612, 0.0069741", \
-            "0.00728806, 0.00729636, 0.00730773, 0.00732125, 0.00733607, 0.00734922", \
-            "0.00752901, 0.00753102, 0.00753461, 0.00754022, 0.00754726, 0.00755482", \
-            "0.0076981, 0.00769195, 0.00768441, 0.00767693, 0.00767113, 0.00766808", \
-            "0.0078257, 0.00781529, 0.00780053, 0.00778179, 0.00776113, 0.00774244" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00755322, 0.00755594, 0.00755986, 0.00756422, 0.00756813, 0.00757111", \
-            "0.00757273, 0.00756129, 0.00754729, 0.00753225, 0.00751836, 0.0075072", \
-            "0.00745183, 0.0074305, 0.00740331, 0.00737573, 0.00734558, 0.00731872", \
-            "0.00740709, 0.00737029, 0.00732682, 0.00727852, 0.00723134, 0.00719151", \
-            "0.00762099, 0.0075462, 0.00745501, 0.00735674, 0.00726328, 0.00718724", \
-            "0.00804163, 0.00806689, 0.00794195, 0.00773242, 0.00751819, 0.0073461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0203444, 0.0242613, 0.0303671, 0.0340491, 0.0357409, 0.0391245, 0.044675, 0.0502314, 0.071999, 0.0798266, 0.0946766, 0.109529, 0.123362, 0.125749, 0.145702, 0.158363, 0.182992, 0.210741, 0.26952, 0.280754, 0.297722, 0.348757, 0.453139");
-            values ( \
-              "-0.011986, -0.0277931, -0.0268999, -0.0269652, -0.0267989, -0.0269254, -0.02677, -0.0268241, -0.0259822, -0.0259499, -0.0264354, -0.0260452, -0.0223912, -0.022221, -0.0154435, -0.0119345, -0.00611175, -0.00476809, -0.00107127, -0.000527032, -0.000310681, -0.000362395, -0.000210343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0203205, 0.0249813, 0.0298854, 0.0348434, 0.054815, 0.078223, 0.0888446, 0.106004, 0.123164, 0.140218, 0.141961, 0.163468, 0.179797, 0.212292, 0.221992, 0.255414, 0.270114, 0.287313, 0.315978, 0.327068, 0.351827, 0.500021");
-            values ( \
-              "-0.0162764, -0.04191, -0.041106, -0.0408602, -0.0406285, -0.0394828, -0.0391867, -0.0398306, -0.0391198, -0.0335888, -0.0335551, -0.0243181, -0.01831, -0.00916541, -0.00738848, -0.00526808, -0.0040446, -0.00295828, -0.00149298, -0.0010783, -0.000808352, -0.000252254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0222427, 0.0222627, 0.0321938, 0.0787793, 0.104475, 0.125649, 0.146817, 0.170072, 0.204837, 0.233227, 0.27131, 0.296869, 0.315633, 0.375957, 0.419828, 0.517063, 0.532533");
-            values ( \
-              "-1e-22, -0.0615168, -0.0584463, -0.0569769, -0.0554988, -0.0561229, -0.054807, -0.0469679, -0.0310597, -0.0206985, -0.0107736, -0.00689419, -0.00557972, -0.00294429, -0.00171225, -0.000647866, -0.000597907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0226598, 0.0226798, 0.027872, 0.0358258, 0.0615242, 0.0959712, 0.131287, 0.167443, 0.175713, 0.187817, 0.218984, 0.265406, 0.303248, 0.355525, 0.376354, 0.391791, 0.483539, 0.572641, 0.642673, 0.657088");
-            values ( \
-              "-1e-22, -0.0807729, -0.0781807, -0.0771784, -0.0766623, -0.0752201, -0.0730951, -0.0732905, -0.072698, -0.0706599, -0.0608589, -0.0408051, -0.0277394, -0.0140842, -0.0102729, -0.00849992, -0.00429862, -0.00195165, -0.000980314, -0.000913288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0230723, 0.0230923, 0.0354058, 0.107661, 0.168506, 0.217801, 0.257885, 0.29328, 0.372857, 0.449514, 0.493041, 0.559303, 0.636787, 0.736961, 0.872329");
-            values ( \
-              "-1e-22, -0.0983801, -0.0950234, -0.0929537, -0.089813, -0.0889945, -0.0854498, -0.0765734, -0.0491271, -0.0268478, -0.0193355, -0.0116747, -0.00660706, -0.00344937, -0.00136789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0231733, 0.0231933, 0.0280244, 0.0345047, 0.0496308, 0.104771, 0.138504, 0.257521, 0.318988, 0.349782, 0.382008, 0.413924, 0.558378, 0.599223, 0.641358, 0.696929, 0.780309, 0.848984, 0.89055, 0.941555, 0.985093, 1.07337, 1.13291, 1.31151, 1.37936");
-            values ( \
-              "-1e-22, -0.112363, -0.110462, -0.109643, -0.108924, -0.107964, -0.107032, -0.102828, -0.101202, -0.0997728, -0.096246, -0.0910844, -0.0561111, -0.0451429, -0.0371925, -0.0292522, -0.0196448, -0.0133871, -0.0103841, -0.00783398, -0.00629222, -0.00415131, -0.00313443, -0.0013183, -0.00107884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0327314, 0.0402325, 0.0439962, 0.0453883, 0.0501197, 0.0646159, 0.0913674, 0.0998629, 0.109059, 0.123529, 0.128472, 0.140008, 0.159472, 0.169419, 0.196334, 0.198825, 0.203807, 0.211915, 0.22815, 0.235907, 0.237073, 0.239407, 0.244073, 0.248977, 0.255069, 0.260438, 0.268422, 0.27583, 0.283988, 0.299298, 0.315816, 0.324811, 0.336155, 0.350443, 0.371681, 0.402372, 0.442496, 0.487485, 0.540162, 0.599697");
-            values ( \
-              "-0.00353707, -0.0281207, -0.027291, -0.0271252, -0.0269082, -0.0267511, -0.0259015, -0.0260388, -0.0266011, -0.0259721, -0.0249632, -0.0220552, -0.0157018, -0.0128022, -0.00608076, -0.00614359, -0.00568046, -0.00544246, -0.00457212, -0.00409988, -0.003933, -0.00389368, -0.00347325, -0.00324873, -0.00275978, -0.00248632, -0.00191766, -0.00159951, -0.00105775, -0.000397778, -0.000285546, -0.000369173, -0.000321701, -0.000404592, -0.000325319, -0.000338469, -0.000179168, -0.000162562, -3.15931e-05, -6.33927e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0365888, 0.0373817, 0.0389341, 0.0407932, 0.0443453, 0.0483857, 0.0525979, 0.063295, 0.0810983, 0.0860932, 0.103275, 0.120433, 0.137592, 0.154337, 0.156384, 0.178635, 0.200991, 0.227601, 0.236317, 0.243644, 0.267679, 0.285563, 0.303025, 0.31301, 0.324282, 0.341574, 0.365354, 0.377785, 0.446194, 0.541917, 0.660987");
-            values ( \
-              "-0.0387207, -0.0455011, -0.0465877, -0.0427856, -0.0414168, -0.0410529, -0.0407931, -0.0407409, -0.0401571, -0.0397785, -0.0391535, -0.0398681, -0.0390816, -0.0337399, -0.0335361, -0.0240417, -0.0161513, -0.00887975, -0.00750621, -0.00682022, -0.00545597, -0.00393487, -0.002861, -0.00250188, -0.00171809, -0.00104386, -0.000781939, -0.000847373, -0.000421813, -0.000105945, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0369908, 0.0374539, 0.0390112, 0.0404111, 0.0424656, 0.0466522, 0.0509125, 0.0567751, 0.075901, 0.0847476, 0.115544, 0.125629, 0.140094, 0.161217, 0.171316, 0.181575, 0.217484, 0.238587, 0.261373, 0.279136, 0.295854, 0.308708, 0.320463, 0.328128, 0.371637, 0.38344, 0.403161, 0.429619, 0.465232, 0.520314, 0.626385, 0.68592");
-            values ( \
-              "-0.0582877, -0.0632993, -0.0657032, -0.0612161, -0.0599044, -0.058717, -0.0583453, -0.0581211, -0.0577299, -0.0573519, -0.0556426, -0.0556099, -0.056236, -0.0547675, -0.0517582, -0.0481384, -0.0318146, -0.0235972, -0.0168388, -0.0123612, -0.00878116, -0.00712195, -0.00609829, -0.00565744, -0.00397561, -0.00328649, -0.00243434, -0.00179389, -0.00128429, -0.000739142, -0.00020966, -0.00010423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0375454, 0.0390783, 0.0424695, 0.050473, 0.0907548, 0.145935, 0.182558, 0.202463, 0.233627, 0.280052, 0.317195, 0.370868, 0.407145, 0.514638, 0.595455, 0.654764");
-            values ( \
-              "-0.0815989, -0.0861314, -0.07934, -0.0773457, -0.0761218, -0.0731626, -0.073276, -0.0706626, -0.0608667, -0.0408114, -0.0279381, -0.0139176, -0.00846078, -0.00372505, -0.00182248, -0.00106685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0377972, 0.039036, 0.0404321, 0.0426482, 0.0450611, 0.0517451, 0.0563711, 0.092392, 0.113637, 0.144784, 0.152485, 0.192159, 0.232, 0.235053, 0.245522, 0.25922, 0.273424, 0.29425, 0.318461, 0.372053, 0.391399, 0.463318, 0.474997, 0.490568, 0.514086, 0.570018, 0.583491, 0.610436, 0.637717, 0.660068, 0.708857, 0.771138, 0.866056, 0.925591, 0.985126, 1.1042");
-            values ( \
-              "-0.0993398, -0.10417, -0.0992642, -0.0970997, -0.0959878, -0.0950531, -0.0947103, -0.0939017, -0.0932073, -0.0919132, -0.0913869, -0.0894657, -0.0887457, -0.0888496, -0.0884841, -0.0872622, -0.0850731, -0.0805003, -0.0729893, -0.0541506, -0.047857, -0.0270614, -0.0245533, -0.0217952, -0.0184921, -0.0120383, -0.0108073, -0.00881685, -0.00726774, -0.00622767, -0.00453685, -0.00302008, -0.00153612, -0.000977466, -0.000600012, -0.00022266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0381447, 0.0390845, 0.0431961, 0.0493171, 0.060404, 0.15332, 0.272337, 0.333804, 0.389005, 0.412319, 0.437476, 0.565275, 0.603854, 0.637618, 0.695197, 0.7681, 0.828634, 0.89565, 0.933882, 0.9928, 1.0449, 1.15878, 1.27785, 1.39692, 1.41182");
-            values ( \
-              "-0.113915, -0.118489, -0.111233, -0.109727, -0.109034, -0.107153, -0.102822, -0.101196, -0.097448, -0.0940974, -0.0891312, -0.0580423, -0.0476531, -0.0403057, -0.0314846, -0.0224956, -0.0164236, -0.0109948, -0.00885401, -0.00647629, -0.00505256, -0.00296362, -0.0016632, -0.000907725, -0.000867076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0601786, 0.0733016, 0.0873917, 0.0889828, 0.0909414, 0.092745, 0.0958421, 0.0990703, 0.104912, 0.113702, 0.125926, 0.128618, 0.134001, 0.143485, 0.157605, 0.162269, 0.167598, 0.174427, 0.197546, 0.210065, 0.220885, 0.229729, 0.233232, 0.240239, 0.249396, 0.258907, 0.266717, 0.27117, 0.294032, 0.300288, 0.31605, 0.318197, 0.322492, 0.328766, 0.33702, 0.345458, 0.392894, 0.454919, 0.495942, 0.544269, 0.59932, 0.658855");
-            values ( \
-              "-0.00275089, -0.0188632, -0.0258068, -0.0283333, -0.0281009, -0.0271258, -0.0271252, -0.0267912, -0.026697, -0.0262521, -0.0259168, -0.0259221, -0.0260435, -0.0265807, -0.026038, -0.0250738, -0.0238344, -0.0220384, -0.0145625, -0.0111441, -0.0086766, -0.00621628, -0.00618175, -0.00552981, -0.00536764, -0.00471292, -0.00437336, -0.00399779, -0.00250576, -0.00211115, -0.00124825, -0.00109226, -0.000850272, -0.000564644, -0.000381409, -0.000321959, -0.000372434, -0.000266779, -0.000170422, -0.000101024, -4.30893e-05, -2.55617e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0599941, 0.077695, 0.0872292, 0.0882451, 0.0915313, 0.0939014, 0.0971829, 0.11451, 0.131579, 0.137381, 0.148984, 0.154537, 0.163053, 0.171601, 0.190365, 0.214219, 0.229184, 0.242742, 0.25516, 0.26079, 0.269984, 0.285337, 0.292959, 0.301718, 0.302498, 0.304057, 0.307176, 0.313398, 0.327176, 0.336883, 0.364522, 0.38773, 0.399032, 0.411302, 0.426287, 0.447075, 0.477819, 0.522063, 0.630479, 0.690014");
-            values ( \
-              "-0.00621714, -0.0320797, -0.0389976, -0.0436559, -0.0417032, -0.0411708, -0.040951, -0.0401693, -0.0393124, -0.0391997, -0.0395616, -0.0400405, -0.04001, -0.0388328, -0.033479, -0.0234328, -0.0179513, -0.0139097, -0.0105837, -0.009183, -0.00741623, -0.00634595, -0.00600503, -0.00533623, -0.00539596, -0.005186, -0.00504108, -0.00443971, -0.00342953, -0.00296803, -0.00142891, -0.000887247, -0.000876431, -0.000756157, -0.000759195, -0.000603308, -0.000524516, -0.000282899, -5.09002e-05, -6.90051e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0596975, 0.0809311, 0.0894589, 0.0916671, 0.0943782, 0.099001, 0.102889, 0.109826, 0.149177, 0.162649, 0.174873, 0.195985, 0.217955, 0.257036, 0.284753, 0.32915, 0.343979, 0.372994, 0.437955, 0.464061, 0.55281, 0.579276");
-            values ( \
-              "-0.00713977, -0.0485153, -0.0601572, -0.0602973, -0.0582672, -0.0584708, -0.0578778, -0.0579099, -0.0556366, -0.0556284, -0.056302, -0.0547193, -0.0475122, -0.0297949, -0.0200095, -0.00896206, -0.00710423, -0.00521229, -0.00243426, -0.00180054, -0.000758225, -0.000653248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0648627, 0.0752614, 0.0874102, 0.0888819, 0.0907766, 0.0924512, 0.0984541, 0.135324, 0.176555, 0.188394, 0.204179, 0.20867, 0.217654, 0.235621, 0.256139, 0.268143, 0.312806, 0.347364, 0.377196, 0.406959, 0.427475, 0.442062, 0.460106, 0.540376, 0.565176, 0.609879, 0.672732, 0.717819, 0.752553");
-            values ( \
-              "-0.0235063, -0.0557588, -0.0728722, -0.0789537, -0.0800086, -0.0779267, -0.0770506, -0.0756803, -0.0733249, -0.0730927, -0.0732503, -0.0734806, -0.0732812, -0.0710554, -0.0653585, -0.0607969, -0.0414988, -0.0292532, -0.0209164, -0.0135499, -0.00996082, -0.00847164, -0.0074213, -0.0040562, -0.00325726, -0.0022055, -0.00122001, -0.000767491, -0.000554223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0647823, 0.0787871, 0.0912231, 0.0934135, 0.1013, 0.161504, 0.226222, 0.267157, 0.305306, 0.326011, 0.347469, 0.4102, 0.493903, 0.535275, 0.598247, 0.617317, 0.650592, 0.708856, 0.766978, 0.842065, 0.948302, 0.987975");
-            values ( \
-              "-0.0279612, -0.0745781, -0.097698, -0.0951509, -0.0944772, -0.0927087, -0.0894394, -0.0889312, -0.0858298, -0.0813165, -0.0750193, -0.0530039, -0.0280645, -0.0203467, -0.0126515, -0.0109125, -0.00842286, -0.00562244, -0.0038605, -0.00232309, -0.0010395, -0.000887936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0682005, 0.0916523, 0.0937006, 0.100999, 0.188194, 0.307331, 0.368604, 0.391431, 0.430773, 0.460608, 0.489699, 0.666688, 0.71911, 0.793605, 0.905408, 0.948178, 1.0004, 1.06805, 1.16674, 1.34535, 1.40146");
-            values ( \
-              "-0.0627983, -0.11211, -0.109591, -0.109002, -0.107089, -0.102815, -0.10128, -0.10021, -0.0964688, -0.0915526, -0.0851819, -0.0413445, -0.0329839, -0.0235339, -0.0128577, -0.00988125, -0.00748106, -0.00535307, -0.00337196, -0.00142588, -0.00121278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.113839, 0.130544, 0.155836, 0.178001, 0.210167, 0.211982, 0.215613, 0.221051, 0.2251, 0.226696, 0.229886, 0.235569, 0.241379, 0.253, 0.266195, 0.276447, 0.278468, 0.282512, 0.290598, 0.299287, 0.309798, 0.31488, 0.316278, 0.319073, 0.324662, 0.333308, 0.34197, 0.349611, 0.354294, 0.363481, 0.371285, 0.377308, 0.383622, 0.389948, 0.39822, 0.401097, 0.40685, 0.413591, 0.422595, 0.430982, 0.439835, 0.450038, 0.463317, 0.482465, 0.510393, 0.547896, 0.584721");
-            values ( \
-              "-6.2908e-05, -0.00925374, -0.0152341, -0.019841, -0.0253708, -0.0277207, -0.025364, -0.0270994, -0.0260259, -0.0270531, -0.0263975, -0.0269045, -0.0255062, -0.0234867, -0.019506, -0.015629, -0.0155859, -0.013733, -0.0121689, -0.00939413, -0.00745879, -0.00576, -0.00645532, -0.00548687, -0.00598057, -0.00484912, -0.00518497, -0.00393434, -0.00444026, -0.00297374, -0.00332585, -0.0020644, -0.00253039, -0.00130157, -0.00173851, -0.000670773, -0.00121973, -7.56998e-05, -0.000791184, -1e-22, -0.000767407, -1e-22, -0.000803961, -1e-22, -0.000759187, -1e-22, -0.000505821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.113983, 0.137638, 0.16025, 0.18428, 0.213938, 0.221176, 0.231131, 0.238312, 0.252675, 0.260732, 0.274097, 0.29796, 0.317776, 0.346266, 0.354797, 0.362053, 0.385496, 0.41868, 0.441044, 0.461012, 0.568984, 0.664909, 0.78398");
-            values ( \
-              "-0.00120483, -0.016668, -0.0244768, -0.0319676, -0.0400613, -0.0392755, -0.0395545, -0.0400917, -0.0394418, -0.0375813, -0.0333812, -0.0234431, -0.016422, -0.00870541, -0.00740201, -0.00678836, -0.00543737, -0.00299957, -0.00181549, -0.00104273, -0.000430991, -0.000126818, -4.07355e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.123089, 0.135913, 0.149453, 0.163938, 0.185013, 0.202085, 0.210242, 0.212951, 0.215808, 0.219046, 0.22552, 0.231133, 0.247435, 0.258003, 0.279095, 0.290926, 0.302402, 0.337956, 0.346126, 0.35901, 0.379414, 0.405961, 0.412361, 0.425453, 0.438245, 0.454773, 0.489042, 0.514342, 0.534179, 0.547945, 0.564811, 0.606502, 0.683615, 0.74315, 0.802685");
-            values ( \
-              "-0.0143105, -0.0225631, -0.0296349, -0.0364696, -0.0458549, -0.0527936, -0.0557719, -0.0576686, -0.0573305, -0.0564906, -0.0562534, -0.0557465, -0.0556629, -0.0563695, -0.0546747, -0.0511958, -0.0469818, -0.0307305, -0.0274157, -0.0227689, -0.0168283, -0.010274, -0.00900969, -0.00726935, -0.00612191, -0.00524442, -0.003974, -0.00267774, -0.00206728, -0.00179902, -0.00152207, -0.00102596, -0.00044253, -0.000220571, -9.8242e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.123013, 0.140373, 0.149144, 0.212077, 0.214067, 0.21622, 0.253987, 0.263662, 0.283012, 0.291813, 0.305852, 0.320187, 0.351349, 0.397766, 0.434221, 0.489933, 0.510619, 0.525238, 0.543327, 0.631869, 0.667934, 0.719973, 0.79939, 0.826409");
-            values ( \
-              "-0.0146051, -0.0328776, -0.038926, -0.0768558, -0.0770557, -0.0761163, -0.0736001, -0.073223, -0.0730729, -0.073515, -0.0729249, -0.0707189, -0.0608718, -0.0408003, -0.0281586, -0.0136364, -0.0100059, -0.00843469, -0.0074507, -0.00372826, -0.00272182, -0.00169917, -0.00075733, -0.000627748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.122893, 0.146509, 0.176934, 0.214473, 0.21953, 0.224489, 0.231924, 0.241501, 0.256001, 0.270787, 0.310466, 0.330457, 0.350653, 0.390092, 0.416259, 0.436867, 0.503772, 0.580211, 0.595868, 0.614202, 0.637557, 0.681703, 0.711916, 0.744425, 0.769428, 0.813677, 0.871877, 0.957398, 1.01338, 1.13245, 1.25152");
-            values ( \
-              "-0.0152807, -0.0455176, -0.0686905, -0.0944846, -0.0941531, -0.0933607, -0.093463, -0.092733, -0.0923392, -0.0913419, -0.0895037, -0.0890981, -0.0889012, -0.0856491, -0.0795884, -0.0729683, -0.0497219, -0.0274028, -0.0240664, -0.0209815, -0.0178564, -0.0126876, -0.0099621, -0.00788479, -0.00660544, -0.00494089, -0.00338946, -0.00187151, -0.00123984, -0.000482906, -0.000185369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.137061, 0.167842, 0.214206, 0.216211, 0.224822, 0.289753, 0.372854, 0.452668, 0.483462, 0.515688, 0.547605, 0.693085, 0.734107, 0.752087, 0.804797, 0.866155, 0.947079, 0.982788, 1.02296, 1.07197, 1.11784, 1.21101, 1.27054, 1.44915, 1.51948");
-            values ( \
-              "-0.0632161, -0.0711507, -0.109657, -0.108759, -0.108262, -0.106544, -0.10343, -0.101209, -0.0997672, -0.0962512, -0.0910804, -0.0558548, -0.0448693, -0.0410682, -0.032732, -0.024865, -0.0164667, -0.0133755, -0.0104693, -0.00797123, -0.00632231, -0.00407607, -0.00307733, -0.00129214, -0.000943267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.239478, 0.263007, 0.288955, 0.303127, 0.339637, 0.393783, 0.412246, 0.415763, 0.422798, 0.435196, 0.444779, 0.454347, 0.505723, 0.509195, 0.514549, 0.544605, 0.55324, 0.583718, 0.589462, 0.597854, 0.605738, 0.624243, 0.633281, 0.642906, 0.65588, 0.674224, 0.700799, 0.739021, 0.780897, 0.829825, 0.886723, 0.946259");
-            values ( \
-              "-0.00434233, -0.00488223, -0.00764543, -0.00902526, -0.0122557, -0.0164864, -0.0184912, -0.019168, -0.0198862, -0.0207111, -0.0204273, -0.0196527, -0.00740068, -0.00756883, -0.0066831, -0.00479055, -0.00406588, -0.0019336, -0.00167509, -0.00107302, -0.000666917, -0.000356198, -0.000406621, -0.000360131, -0.000421651, -0.000360912, -0.000367675, -0.000226929, -0.000186559, -6.37629e-05, -6.61369e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.239418, 0.260089, 0.300333, 0.329116, 0.380357, 0.407365, 0.431117, 0.452359, 0.472377, 0.473515, 0.505479, 0.507119, 0.520617, 0.550375, 0.557442, 0.605853, 0.646928, 0.665066, 0.788852, 0.824799");
-            values ( \
-              "-0.00607081, -0.0070592, -0.0133111, -0.0172942, -0.0236275, -0.0265497, -0.0305396, -0.0332135, -0.0309083, -0.0311127, -0.0201791, -0.0207153, -0.0160087, -0.00822957, -0.00745759, -0.00380551, -0.00148689, -0.00102066, -0.000358188, -0.000292586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.252897, 0.291026, 0.320618, 0.364214, 0.398857, 0.428936, 0.45553, 0.479682, 0.503825, 0.504232, 0.505633, 0.506808, 0.508583, 0.520022, 0.538742, 0.548991, 0.565996, 0.582319, 0.606598, 0.612698, 0.619999, 0.63176, 0.639159, 0.649104, 0.677347, 0.693746, 0.704504, 0.717975, 0.731642, 0.760077, 0.800602, 0.87014, 0.988086, 1.04762");
-            values ( \
-              "-0.0141285, -0.0168684, -0.0229558, -0.031133, -0.0370341, -0.0416141, -0.0472382, -0.0505952, -0.0456153, -0.0457538, -0.0453706, -0.0458624, -0.0454405, -0.0397367, -0.0312158, -0.0270473, -0.021156, -0.0165615, -0.0104233, -0.00925262, -0.00815115, -0.00680009, -0.00620244, -0.00561991, -0.00452289, -0.00380326, -0.00317757, -0.00259444, -0.00216647, -0.00161101, -0.0010924, -0.000512624, -0.000109553, -7.21881e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.252864, 0.287186, 0.302869, 0.334235, 0.387459, 0.410216, 0.462304, 0.505601, 0.512741, 0.521989, 0.529026, 0.536176, 0.550475, 0.598794, 0.611116, 0.635599, 0.65252, 0.685352, 0.703304, 0.713668, 0.72967, 0.750764, 0.778369, 0.818184, 0.856155, 0.877501, 0.931361, 0.969109, 1.01989, 1.07943, 1.13896");
-            values ( \
-              "-0.0176955, -0.0210026, -0.0256185, -0.0339052, -0.0469346, -0.0520959, -0.0627196, -0.0723959, -0.0725838, -0.0708511, -0.0690924, -0.0670201, -0.0619393, -0.0411216, -0.0364203, -0.0282846, -0.0234937, -0.0150311, -0.0113889, -0.00982497, -0.00826427, -0.00717279, -0.00612116, -0.00430583, -0.00307924, -0.00256911, -0.00157473, -0.00108067, -0.000640698, -0.000326985, -0.000172483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.252845, 0.285421, 0.341872, 0.399296, 0.421894, 0.470153, 0.505582, 0.512141, 0.525261, 0.538723, 0.552335, 0.571109, 0.593338, 0.614049, 0.638371, 0.677216, 0.692793, 0.695404, 0.700627, 0.720691, 0.744576, 0.778936, 0.800922, 0.843153, 0.872451, 0.8834, 0.938762, 0.965498, 0.996314, 1.01411, 1.04887, 1.08193, 1.12286, 1.16805, 1.2271, 1.28663, 1.34617, 1.4057, 1.46524");
-            values ( \
-              "-0.0188766, -0.0251936, -0.0440617, -0.0614936, -0.0679135, -0.0807264, -0.0886944, -0.0912966, -0.0878864, -0.0902764, -0.0879103, -0.0890958, -0.0842261, -0.0813397, -0.0722927, -0.0598474, -0.0533211, -0.05324, -0.0510753, -0.0448915, -0.0383191, -0.027994, -0.0232702, -0.0172058, -0.0140629, -0.012526, -0.00812425, -0.00704629, -0.00541601, -0.00515299, -0.0038167, -0.0033766, -0.00226596, -0.00192066, -0.000961546, -0.000861514, -0.000270706, -0.000423436, -1.84974e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.270561, 0.322153, 0.376748, 0.432556, 0.505434, 0.515905, 0.532226, 0.592479, 0.638275, 0.653714, 0.684593, 0.718413, 0.74025, 0.761834, 0.894639, 0.923469, 0.955803, 1.0102, 1.04395, 1.08251, 1.14858, 1.18095, 1.22261, 1.25938, 1.31543, 1.36196, 1.47424, 1.59331, 1.71238, 1.95053");
-            values ( \
-              "-0.0378893, -0.0434666, -0.0632785, -0.0823783, -0.104915, -0.105911, -0.105057, -0.102779, -0.101503, -0.101231, -0.0997468, -0.0961378, -0.0925941, -0.0881647, -0.0556915, -0.0477304, -0.0405964, -0.0321423, -0.0276961, -0.0230973, -0.0164164, -0.0136386, -0.0105244, -0.00861813, -0.00637563, -0.00511061, -0.0030149, -0.001688, -0.000916157, -0.000251336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.478323, 0.535598, 0.581841, 0.672412, 0.716272, 0.776201, 0.811048, 0.828455, 0.841421, 0.856239, 0.884824, 0.910176, 0.927647, 0.937061, 0.958623, 1.01084, 1.02619, 1.04264, 1.13802, 1.21599, 1.23565, 1.28535, 1.33364");
-            values ( \
-              "-0.000818872, -0.00189764, -0.00321785, -0.0069296, -0.00847628, -0.0103343, -0.0119884, -0.0130923, -0.0131639, -0.0136943, -0.0127471, -0.00997699, -0.00722865, -0.00696732, -0.00591439, -0.00188451, -0.00101165, -0.000729567, -0.000354458, -0.000135216, -0.000291752, -0.000100707, -4.23497e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.515517, 0.574862, 0.703429, 0.796266, 0.84425, 0.855362, 0.88266, 0.897973, 0.928599, 0.953302, 0.977531, 1.00782, 1.02848, 1.03972, 1.05194, 1.06186, 1.08197, 1.09407, 1.10278, 1.11396, 1.12889, 1.14791, 1.17699, 1.21587, 1.21833, 1.22052, 1.23215, 1.27292, 1.32549, 1.38503");
-            values ( \
-              "-0.00434258, -0.00461445, -0.0123065, -0.0168102, -0.0203518, -0.021008, -0.021919, -0.0216412, -0.0183397, -0.0141561, -0.0092551, -0.00716471, -0.00507514, -0.00422141, -0.00356426, -0.00270602, -0.00170766, -0.00154457, -0.00130473, -0.00127568, -0.00102642, -0.000952421, -0.000631445, -0.000451521, -0.000872849, -0.000766151, -0.000621173, -0.000309421, -0.000132824, -4.87002e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.51537, 0.571948, 0.601961, 0.681575, 0.726123, 0.781455, 0.828993, 0.870724, 0.907711, 0.939973, 0.949131, 0.961341, 1.05174, 1.06319, 1.0736, 1.08146, 1.09086, 1.12105, 1.14988, 1.16445, 1.19026, 1.21584, 1.22625, 1.25101, 1.31378, 1.37331, 1.43285, 1.49238");
-            values ( \
-              "-0.00616482, -0.00656178, -0.0088036, -0.0158924, -0.0194102, -0.0233287, -0.0263861, -0.030241, -0.0334199, -0.0336837, -0.0328649, -0.0312415, -0.0113411, -0.00944331, -0.00823041, -0.00752393, -0.00694307, -0.00542166, -0.00365738, -0.00298287, -0.00223032, -0.00170828, -0.00201384, -0.00146009, -0.000639087, -0.000307811, -0.000115528, -7.44697e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.515573, 0.565762, 0.597957, 0.68022, 0.734388, 0.816563, 0.879863, 0.944295, 0.971328, 0.99264, 1.01288, 1.05998, 1.14807, 1.17269, 1.18653, 1.20535, 1.21608, 1.21972, 1.2254, 1.25694, 1.30052, 1.36697, 1.44278, 1.47452");
-            values ( \
-              "-0.00788806, -0.00852019, -0.0114305, -0.0209266, -0.0267174, -0.0346078, -0.0400772, -0.0473325, -0.0493168, -0.0498227, -0.0487013, -0.0387749, -0.0161204, -0.0115738, -0.00998339, -0.00863353, -0.00809412, -0.00852242, -0.00829585, -0.0061904, -0.00411036, -0.00223146, -0.00103237, -0.000770893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.540921, 0.608423, 0.697656, 0.758143, 0.860184, 0.955744, 1.01366, 1.06054, 1.07846, 1.0981, 1.11844, 1.14487, 1.23043, 1.25677, 1.2822, 1.3264, 1.36766, 1.38532, 1.41653, 1.47391, 1.53506, 1.56992, 1.61165, 1.70719");
-            values ( \
-              "-0.0150389, -0.0157557, -0.0282337, -0.0360985, -0.0483136, -0.058532, -0.0655236, -0.0698317, -0.0701813, -0.0692483, -0.0665895, -0.0604683, -0.0379941, -0.0298546, -0.0242852, -0.017724, -0.0127396, -0.0111005, -0.00869608, -0.00583149, -0.00391551, -0.0031436, -0.00231791, -0.00117238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.54079, 0.613481, 0.745169, 0.82496, 0.931806, 1.02972, 1.13743, 1.16284, 1.20438, 1.216, 1.22762, 1.25798, 1.43735, 1.48911, 1.53114, 1.62003, 1.6686, 1.70985, 1.74678, 1.80295, 1.90309, 2.02216, 2.14123, 2.20142");
-            values ( \
-              "-0.0150368, -0.0193829, -0.0398817, -0.051516, -0.0661654, -0.0782953, -0.0909991, -0.093343, -0.0945481, -0.0940312, -0.0927776, -0.0863308, -0.0417788, -0.0334083, -0.0277567, -0.0179482, -0.0136084, -0.0105742, -0.00860883, -0.00640899, -0.0040136, -0.00228265, -0.00126103, -0.000965789" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.005922, 0.00593136, 0.00594194, 0.00595227, 0.00596107, 0.0059677", \
-            "0.00649119, 0.00649883, 0.00650816, 0.00651799, 0.00652696, 0.00653413", \
-            "0.00679093, 0.00679517, 0.00680101, 0.00680801, 0.0068151, 0.00682116", \
-            "0.00697351, 0.00697394, 0.00697509, 0.00697716, 0.00698006, 0.00698325", \
-            "0.0070901, 0.00708888, 0.00708694, 0.00708493, 0.00708337, 0.00708263", \
-            "0.00716297, 0.00716057, 0.00715724, 0.00715312, 0.0071487, 0.0071447" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00749446, 0.00750301, 0.00751279, 0.00752258, 0.00753172, 0.00753896", \
-            "0.00782893, 0.00783014, 0.00783222, 0.00783497, 0.00783743, 0.00783932", \
-            "0.0080157, 0.00800487, 0.00799269, 0.00798083, 0.00797097, 0.00796376", \
-            "0.00826057, 0.00823396, 0.00820254, 0.00816905, 0.00813872, 0.0081188", \
-            "0.00863376, 0.00857627, 0.00850658, 0.00843436, 0.00836943, 0.00831708", \
-            "0.00885799, 0.00890603, 0.00888347, 0.00876551, 0.00863515, 0.00852834" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.135757, 0.154983, 0.188324, 0.246067, 0.346142, 0.519925", \
-            "0.13946, 0.158782, 0.192266, 0.25017, 0.350409, 0.524315", \
-            "0.149343, 0.168757, 0.202391, 0.260253, 0.361022, 0.535172", \
-            "0.175627, 0.194885, 0.228419, 0.286574, 0.387207, 0.561601", \
-            "0.244956, 0.263498, 0.296046, 0.352062, 0.452208, 0.626672", \
-            "0.374334, 0.40199, 0.446821, 0.516489, 0.620861, 0.792029" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.182092, 0.209786, 0.255915, 0.334782, 0.473168, 0.716228", \
-            "0.182095, 0.209837, 0.255927, 0.334789, 0.473181, 0.716229", \
-            "0.182037, 0.209797, 0.25591, 0.334787, 0.473173, 0.716236", \
-            "0.181242, 0.209038, 0.255682, 0.334691, 0.47314, 0.716225", \
-            "0.204277, 0.227288, 0.267023, 0.337823, 0.472761, 0.716192", \
-            "0.290194, 0.314509, 0.351823, 0.409631, 0.519608, 0.733234" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.069504, 0.0801535, 0.0985279, 0.130276, 0.185172, 0.280137", \
-            "0.0731742, 0.0838819, 0.102349, 0.134199, 0.189189, 0.284225", \
-            "0.081944, 0.0927558, 0.111349, 0.143332, 0.198234, 0.293627", \
-            "0.103755, 0.114494, 0.133025, 0.165146, 0.220398, 0.315711", \
-            "0.136567, 0.152666, 0.178073, 0.216869, 0.27429, 0.369623", \
-            "0.163408, 0.187256, 0.225338, 0.283621, 0.369504, 0.492213" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0843953, 0.0980057, 0.1221, 0.164376, 0.238342, 0.36721", \
-            "0.0843937, 0.0980016, 0.122116, 0.164407, 0.238402, 0.36732", \
-            "0.0843138, 0.0979685, 0.122075, 0.164358, 0.238302, 0.367199", \
-            "0.0929751, 0.104339, 0.125646, 0.165197, 0.238339, 0.367196", \
-            "0.134394, 0.145179, 0.163338, 0.194294, 0.25488, 0.371708", \
-            "0.210649, 0.22543, 0.250132, 0.289881, 0.351487, 0.447932" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0250184, 0.0294283, 0.0353666, 0.0459327, 0.0642607, 0.0683016, 0.0763833, 0.0917453, 0.116401, 0.143428, 0.154993, 0.166516, 0.206331, 0.219758, 0.225114, 0.230115, 0.234827, 0.242327, 0.249501, 0.250542, 0.252622, 0.256784, 0.263113, 0.273912, 0.283972, 0.294181, 0.301053, 0.310002, 0.32099, 0.330993, 0.342728, 0.352643, 0.367081, 0.384525, 0.403063, 0.430467, 0.441411, 0.463299, 0.507076, 0.57852, 0.639416");
-            values ( \
-              "0.0150292, 0.0203112, 0.0189644, 0.0178584, 0.0170293, 0.0169784, 0.0166534, 0.0162897, 0.0153256, 0.0134797, 0.0139512, 0.0134705, 0.00968353, 0.00871438, 0.00899683, 0.00871315, 0.0089099, 0.0083392, 0.00809123, 0.00782548, 0.00792807, 0.00752037, 0.00749064, 0.00684462, 0.00658303, 0.00579866, 0.0055565, 0.00468366, 0.00424092, 0.00351302, 0.0032626, 0.00268846, 0.0024809, 0.00181343, 0.00165207, 0.000983699, 0.00106606, 0.000613884, 0.000518573, 4.31693e-05, 0.000123998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0249444, 0.0303904, 0.037388, 0.0438636, 0.0616295, 0.0890316, 0.103081, 0.131601, 0.143057, 0.160623, 0.162544, 0.174071, 0.184464, 0.211616, 0.228547, 0.239632, 0.254824, 0.26447, 0.271989, 0.282913, 0.285838, 0.291687, 0.30195, 0.326667, 0.362248, 0.375485, 0.390685, 0.4183, 0.440164, 0.460527, 0.491187, 0.526913, 0.574548, 0.658739, 0.699146");
-            values ( \
-              "0.0198731, 0.0299624, 0.0280685, 0.0271742, 0.0259744, 0.0248437, 0.0244702, 0.023134, 0.0221175, 0.0208642, 0.0207994, 0.0211591, 0.0211445, 0.0180596, 0.0158792, 0.0149301, 0.0129226, 0.0120567, 0.0118121, 0.0116873, 0.0117315, 0.0116164, 0.0112883, 0.009701, 0.00665339, 0.00574207, 0.00485415, 0.00362663, 0.00288222, 0.00231306, 0.00165806, 0.00111715, 0.000651198, 0.000235014, 0.000186167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0248947, 0.0318843, 0.0407513, 0.0541337, 0.0863845, 0.122655, 0.155728, 0.188622, 0.195914, 0.210498, 0.222826, 0.275298, 0.311038, 0.325255, 0.337876, 0.344903, 0.358955, 0.373746, 0.45736, 0.507117, 0.548411, 0.585863, 0.646358, 0.693292, 0.777897, 0.815509");
-            values ( \
-              "0.0263804, 0.0412626, 0.0387629, 0.0372901, 0.0356343, 0.0342713, 0.0327417, 0.0301599, 0.0298945, 0.0303258, 0.029786, 0.0236577, 0.0185688, 0.0170012, 0.0164607, 0.0164551, 0.0160953, 0.0153877, 0.00809966, 0.00523098, 0.00364116, 0.00261344, 0.00151674, 0.000984316, 0.000439888, 0.000361798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0283441, 0.0283641, 0.0968787, 0.156614, 0.203658, 0.234158, 0.25384, 0.277573, 0.337835, 0.381901, 0.392778, 0.422932, 0.477508, 0.497415, 0.57914, 0.609355, 0.661906, 0.712365, 0.766188, 0.818816, 0.853629, 0.923255, 1.00786, 1.09246, 1.26167");
-            values ( \
-              "1e-22, 0.0538061, 0.0467339, 0.0448188, 0.0427628, 0.0404832, 0.0398104, 0.0399147, 0.0340407, 0.0279287, 0.0267907, 0.0249513, 0.0200355, 0.0186996, 0.0119572, 0.00981143, 0.00697148, 0.00499635, 0.00350843, 0.00242337, 0.001945, 0.00116748, 0.000672313, 0.000340691, 9.06911e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0281952, 0.0282152, 0.12653, 0.149809, 0.181692, 0.280458, 0.309841, 0.341183, 0.353696, 0.402996, 0.415736, 0.437343, 0.443074, 0.482955, 0.498826, 0.515888, 0.537683, 0.558855, 0.599782, 0.655265, 0.697434, 0.76865, 0.826529, 0.918631, 0.980605, 1.05018, 1.10923, 1.17246, 1.25677, 1.34138, 1.51059, 1.7644");
-            values ( \
-              "1e-22, 0.0627213, 0.0566452, 0.0559156, 0.0552286, 0.0520567, 0.050494, 0.0491891, 0.0494367, 0.0470646, 0.0459584, 0.0447449, 0.0442317, 0.0401856, 0.0382977, 0.0371878, 0.0362625, 0.0348525, 0.0311494, 0.0255904, 0.0220125, 0.0171518, 0.0136189, 0.00910396, 0.00686386, 0.00500523, 0.00374185, 0.0027726, 0.00187469, 0.00121633, 0.000526539, 0.000168882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0279854, 0.0280054, 0.181849, 0.315951, 0.366251, 0.471137, 0.52297, 0.604291, 0.688462, 0.709754, 0.729241, 0.773512, 1.05604, 1.22209, 1.35392, 1.47563, 1.64044, 1.71397, 1.97216, 2.2902");
-            values ( \
-              "1e-22, 0.0696233, 0.0642664, 0.0619369, 0.0607285, 0.0574113, 0.0567345, 0.0537543, 0.0482761, 0.0472752, 0.0471415, 0.0450651, 0.0245008, 0.016302, 0.0112967, 0.00789733, 0.00475595, 0.0037844, 0.00166238, 0.000609573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0390533, 0.0441706, 0.0468131, 0.0547395, 0.0602833, 0.0703411, 0.0825982, 0.106047, 0.125926, 0.15465, 0.163933, 0.174392, 0.200199, 0.216066, 0.231975, 0.246612, 0.254182, 0.271989, 0.293589, 0.304098, 0.330842, 0.340506, 0.351574, 0.377489, 0.426977, 0.454532, 0.49487, 0.548655, 0.63056, 0.715165");
-            values ( \
-              "0.00883731, 0.0215861, 0.0204139, 0.0186104, 0.018047, 0.0174205, 0.0169452, 0.0162629, 0.0155072, 0.0136841, 0.0136973, 0.0139337, 0.0116733, 0.0100788, 0.0088682, 0.00883549, 0.00860307, 0.00757557, 0.00670679, 0.00615792, 0.00436266, 0.00387867, 0.00334037, 0.00245819, 0.00135336, 0.000957622, 0.000568816, 0.000296723, 7.70371e-05, 3.93869e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0421539, 0.0421739, 0.0759235, 0.140067, 0.177046, 0.200122, 0.209629, 0.226219, 0.244368, 0.248066, 0.271271, 0.280889, 0.311959, 0.323833, 0.382899, 0.396874, 0.427376, 0.487196, 0.557355, 0.683282");
-            values ( \
-              "1e-22, 0.0323152, 0.0260857, 0.0234414, 0.020654, 0.0213257, 0.0195485, 0.0182316, 0.0155902, 0.015642, 0.0127267, 0.0119465, 0.0115191, 0.010874, 0.00623138, 0.00529022, 0.00381718, 0.00200305, 0.000910581, 0.000203817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0421577, 0.0421777, 0.0988967, 0.103495, 0.112692, 0.131085, 0.13731, 0.172569, 0.198292, 0.210562, 0.230133, 0.24762, 0.287292, 0.330683, 0.343827, 0.357158, 0.359659, 0.374664, 0.388002, 0.40514, 0.443939, 0.474918, 0.517185, 0.55167, 0.57681, 0.612773, 0.653702, 0.708275, 0.792879, 0.877484, 0.962088");
-            values ( \
-              "1e-22, 0.0417352, 0.0358132, 0.0355281, 0.0352786, 0.0344862, 0.034378, 0.0326001, 0.0304871, 0.0298934, 0.0303337, 0.0285967, 0.0239824, 0.0178646, 0.0168161, 0.0163554, 0.016507, 0.0161268, 0.0153374, 0.0141139, 0.0103556, 0.00787181, 0.00543836, 0.00404405, 0.00321897, 0.00235102, 0.00162998, 0.000968193, 0.000446833, 0.000183695, 9.78616e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0421782, 0.0421982, 0.111714, 0.126062, 0.148194, 0.171302, 0.215865, 0.218336, 0.233166, 0.250216, 0.268528, 0.292134, 0.336515, 0.352858, 0.403919, 0.446317, 0.480628, 0.522982, 0.596993, 0.626544, 0.661512, 0.717069, 0.762298, 0.801447, 0.84048, 0.892523, 0.977128, 1.14634, 1.31555");
-            values ( \
-              "1e-22, 0.0525718, 0.0467167, 0.0463295, 0.0454676, 0.0448272, 0.0427403, 0.0427704, 0.0418129, 0.0403733, 0.0398556, 0.0398798, 0.0354252, 0.0340059, 0.0270613, 0.024306, 0.0209501, 0.0178106, 0.0116605, 0.00971842, 0.00769948, 0.00533056, 0.00398277, 0.00300744, 0.00230185, 0.00165534, 0.000870693, 0.00024502, 5.62018e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0447221, 0.0447421, 0.153605, 0.230016, 0.414025, 0.452614, 0.527318, 0.554974, 0.596023, 0.71245, 0.84207, 0.954423, 1.12398, 1.27256, 1.40928");
-            values ( \
-              "1e-22, 0.0639908, 0.0561921, 0.054366, 0.047356, 0.0447288, 0.0372285, 0.0361952, 0.0329962, 0.0220503, 0.0136152, 0.00834725, 0.00375917, 0.00186257, 0.00114452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0474796, 0.0474996, 0.1966, 0.381499, 0.486378, 0.542694, 0.627122, 0.722505, 0.742301, 0.788755, 0.948442, 1.07215, 1.23597, 1.36746, 1.43065, 1.49283, 1.65723, 1.81596, 1.90057, 1.98517, 2.15438, 2.4928, 2.74661");
-            values ( \
-              "1e-22, 0.0733183, 0.0644057, 0.0608528, 0.0575349, 0.0565, 0.0534673, 0.0472833, 0.0472169, 0.045076, 0.0330895, 0.0244571, 0.0163747, 0.0113678, 0.00946682, 0.0078723, 0.00475519, 0.00290675, 0.00226379, 0.00170071, 0.000989896, 0.000330365, 0.0001653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0659132, 0.0798879, 0.0873444, 0.0897226, 0.0932309, 0.0978586, 0.10518, 0.114942, 0.152221, 0.165939, 0.183402, 0.193013, 0.207081, 0.219002, 0.248523, 0.268144, 0.273176, 0.278257, 0.282756, 0.291056, 0.300077, 0.312181, 0.321425, 0.34209, 0.37047, 0.392188, 0.416698, 0.453378, 0.490939, 0.554165, 0.625472, 0.710077, 0.794681");
-            values ( \
-              "2.42507e-06, 0.0139715, 0.0170953, 0.0213322, 0.0198783, 0.0186791, 0.0177765, 0.0171197, 0.0159153, 0.0152548, 0.0140811, 0.0135791, 0.0138971, 0.0132623, 0.0103783, 0.00882226, 0.00890469, 0.00882463, 0.0088478, 0.00850207, 0.00792799, 0.00738295, 0.00706503, 0.00602779, 0.00412021, 0.003137, 0.00234943, 0.00150768, 0.00093682, 0.00040299, 0.000178336, 2.7681e-05, 3.50309e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0656309, 0.0851496, 0.0873674, 0.0885031, 0.0894309, 0.0959817, 0.0999575, 0.107297, 0.116118, 0.125747, 0.152957, 0.175489, 0.193425, 0.212425, 0.2354, 0.245008, 0.261594, 0.279746, 0.283445, 0.296711, 0.301545, 0.306706, 0.316339, 0.324619, 0.347367, 0.359217, 0.37165, 0.392354, 0.403566, 0.418278, 0.432254, 0.462752, 0.477373, 0.522487, 0.545078, 0.551892, 0.592772, 0.641342, 0.725131, 0.89434");
-            values ( \
-              "0.0013958, 0.0242171, 0.0255337, 0.030987, 0.0316673, 0.028704, 0.0276969, 0.0265831, 0.025931, 0.0254084, 0.0243967, 0.0234473, 0.0221682, 0.0206463, 0.0213403, 0.0195424, 0.0182384, 0.015585, 0.0156461, 0.0141052, 0.0133042, 0.0127207, 0.0119443, 0.0117918, 0.0115155, 0.0108778, 0.0100905, 0.00838953, 0.00733538, 0.0062274, 0.005294, 0.00382121, 0.00327357, 0.00200903, 0.00161006, 0.00145091, 0.000914268, 0.000561446, 0.000173768, 3.8774e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0659327, 0.0918279, 0.0939714, 0.100831, 0.106829, 0.117177, 0.127872, 0.173023, 0.201367, 0.246226, 0.264872, 0.322721, 0.368053, 0.380502, 0.394326, 0.395382, 0.397495, 0.401721, 0.423403, 0.456199, 0.493855, 0.530602, 0.557954, 0.583963, 0.647271, 0.691585, 0.750671, 0.835276, 1.00448");
-            values ( \
-              "0.00137407, 0.0439012, 0.0417582, 0.0388949, 0.0377271, 0.0367352, 0.036089, 0.0343258, 0.032997, 0.0296285, 0.030545, 0.0240608, 0.0176303, 0.0168154, 0.0162973, 0.0165144, 0.0163576, 0.016389, 0.0154467, 0.012736, 0.00908975, 0.00656706, 0.00516933, 0.00411333, 0.00232312, 0.0015466, 0.000958478, 0.000369046, 4.22032e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0660283, 0.0926093, 0.10221, 0.109815, 0.118108, 0.132081, 0.207018, 0.24832, 0.284174, 0.30423, 0.327426, 0.349125, 0.389009, 0.43505, 0.478221, 0.516604, 0.559779, 0.631669, 0.65925, 0.715675, 0.764161, 0.819574, 0.899929, 0.963917, 1.04852, 1.21773, 1.30233");
-            values ( \
-              "0.00271029, 0.0555118, 0.05046, 0.048945, 0.0482413, 0.0474026, 0.0447535, 0.0430018, 0.0405649, 0.0397291, 0.0399914, 0.0376022, 0.0339271, 0.0275262, 0.0246244, 0.0208968, 0.0176983, 0.0117451, 0.00989966, 0.00683183, 0.004992, 0.00339816, 0.00196342, 0.00128866, 0.000682795, 0.000194941, 0.000134293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0662106, 0.0954675, 0.107507, 0.116936, 0.127308, 0.156627, 0.266306, 0.333837, 0.392611, 0.405116, 0.454407, 0.494509, 0.556002, 0.60553, 0.74738, 0.881088, 0.973599, 1.02497, 1.07756, 1.15301, 1.25179, 1.421, 1.59021, 1.59093");
-            values ( \
-              "0.00659789, 0.0650359, 0.0600817, 0.0588626, 0.0582794, 0.0571871, 0.0543004, 0.0519485, 0.0491882, 0.049438, 0.0470667, 0.0442291, 0.0377568, 0.0352647, 0.0220832, 0.0134167, 0.00893793, 0.00707959, 0.00561599, 0.00386832, 0.00240986, 0.00104878, 0.000449211, 0.00044837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0779519, 0.096787, 0.124111, 0.155748, 0.418175, 0.523043, 0.574858, 0.663141, 0.752605, 0.81839, 1.1311, 1.31735, 1.4655, 1.56556, 1.71822, 1.93601, 2.18747");
-            values ( \
-              "0.0600595, 0.0727918, 0.0668244, 0.0657988, 0.0608006, 0.0574826, 0.0566644, 0.0534484, 0.0474975, 0.0454614, 0.0232555, 0.0146227, 0.00953615, 0.00707048, 0.00442417, 0.00226074, 0.0011607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.12815, 0.160295, 0.178943, 0.206711, 0.210482, 0.213362, 0.2175, 0.220829, 0.227486, 0.229482, 0.241457, 0.253971, 0.280988, 0.29491, 0.307375, 0.331732, 0.343849, 0.347291, 0.356628, 0.360643, 0.364915, 0.369431, 0.378365, 0.388025, 0.402175, 0.411851, 0.432352, 0.438954, 0.458654, 0.48071, 0.50458, 0.540854, 0.578838, 0.600362, 0.643411, 0.717668, 0.734676");
-            values ( \
-              "0.00343723, 0.00892083, 0.0119582, 0.0160279, 0.016461, 0.0178259, 0.017613, 0.0169812, 0.0166187, 0.0164446, 0.0159316, 0.0152934, 0.0135168, 0.0139798, 0.013185, 0.0107988, 0.00978431, 0.00939592, 0.0088159, 0.00890884, 0.00882461, 0.00887385, 0.00854381, 0.00793595, 0.00731649, 0.00695816, 0.00589132, 0.00539086, 0.00409872, 0.00311366, 0.00235168, 0.0015181, 0.000939787, 0.000745299, 0.000398464, 0.000165271, 0.000137622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.129415, 0.164173, 0.184276, 0.210206, 0.212274, 0.213996, 0.216523, 0.226567, 0.24874, 0.269032, 0.293253, 0.300003, 0.313505, 0.328479, 0.332508, 0.340568, 0.354007, 0.370919, 0.37187, 0.377573, 0.390583, 0.400228, 0.40768, 0.418235, 0.42321, 0.433159, 0.44406, 0.456821, 0.478473, 0.491014, 0.519861, 0.550537, 0.555136, 0.564333, 0.613412, 0.633116, 0.639525, 0.652344, 0.67798, 0.729253, 0.813858, 0.983067");
-            values ( \
-              "0.00501755, 0.0144152, 0.0193178, 0.0250168, 0.0269631, 0.0272691, 0.0265985, 0.0252918, 0.0242, 0.0230879, 0.0211748, 0.0208422, 0.0211853, 0.0206029, 0.019782, 0.0190289, 0.0172964, 0.0154672, 0.0154806, 0.014927, 0.0131118, 0.0121782, 0.0118314, 0.0117257, 0.0117231, 0.0115285, 0.0110485, 0.0102402, 0.0085228, 0.00732937, 0.00527767, 0.00379997, 0.00368259, 0.00328319, 0.00192419, 0.00160799, 0.00143961, 0.00130697, 0.000930339, 0.000564905, 0.000162633, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.129322, 0.152063, 0.170658, 0.193109, 0.210276, 0.212815, 0.220475, 0.226812, 0.237705, 0.27456, 0.295668, 0.333615, 0.353064, 0.378321, 0.400863, 0.409644, 0.457162, 0.468877, 0.482285, 0.483678, 0.496682, 0.511544, 0.527996, 0.544242, 0.560758, 0.579498, 0.616506, 0.645216, 0.673546, 0.699056, 0.735402, 0.77746, 0.833538, 0.918143, 1.00275, 1.08735");
-            values ( \
-              "0.00514076, 0.0156568, 0.0225014, 0.0301308, 0.035454, 0.0389411, 0.0369702, 0.0361067, 0.0353333, 0.0337777, 0.032572, 0.0296668, 0.0305109, 0.027687, 0.0248948, 0.0240998, 0.0174458, 0.0167704, 0.0163002, 0.0164458, 0.0161103, 0.0153579, 0.0140882, 0.0126317, 0.0109299, 0.00926401, 0.00668426, 0.00520384, 0.00406167, 0.00326788, 0.00233582, 0.0015967, 0.000970937, 0.000415008, 0.000202353, 7.3555e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.128794, 0.158334, 0.179987, 0.210115, 0.213051, 0.217212, 0.220595, 0.234192, 0.269102, 0.294456, 0.325776, 0.341467, 0.365358, 0.390665, 0.393586, 0.397481, 0.405272, 0.420852, 0.444309, 0.448427, 0.47449, 0.519703, 0.532701, 0.54657, 0.562976, 0.604786, 0.645016, 0.693735, 0.722856, 0.752768, 0.787869, 0.803197, 0.833853, 0.868259, 0.91913, 0.98162, 1.06494, 1.14955, 1.23415, 1.31875, 1.40336");
-            values ( \
-              "0.00450658, 0.0233634, 0.033606, 0.0465902, 0.0499662, 0.0501776, 0.0486548, 0.0470686, 0.0456124, 0.0448228, 0.0434883, 0.0427086, 0.0410084, 0.0396065, 0.0399246, 0.0400367, 0.0399937, 0.0393015, 0.0371033, 0.0365384, 0.0341916, 0.0278911, 0.0266667, 0.0259423, 0.0248058, 0.0208635, 0.0178807, 0.0137337, 0.0114866, 0.00950401, 0.00756208, 0.00685082, 0.00558622, 0.00444964, 0.00314266, 0.00205124, 0.00115292, 0.000624959, 0.000353955, 0.000184953, 0.000112341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.147106, 0.174525, 0.210109, 0.215202, 0.225393, 0.233514, 0.247917, 0.353401, 0.414957, 0.455962, 0.491408, 0.494159, 0.505162, 0.519832, 0.542965, 0.579061, 0.622003, 0.637628, 0.674571, 0.716352, 0.793392, 0.836067, 0.907419, 0.964467, 1.05638, 1.12051, 1.19025, 1.24833, 1.31018, 1.39265, 1.47726, 1.64647, 1.90028");
-            values ( \
-              "0.033945, 0.0376067, 0.0568014, 0.061947, 0.0587013, 0.0579089, 0.0571485, 0.0542833, 0.0521923, 0.0500729, 0.0490364, 0.0491918, 0.048874, 0.0482547, 0.0468853, 0.0444789, 0.0401696, 0.0382155, 0.0363626, 0.033241, 0.0256224, 0.0220046, 0.0171369, 0.0136545, 0.00913858, 0.00682269, 0.00496575, 0.0037345, 0.00278648, 0.00189581, 0.00123571, 0.000536836, 0.000167794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.162427, 0.205748, 0.210164, 0.212252, 0.218611, 0.223967, 0.226889, 0.232486, 0.241547, 0.25893, 0.276761, 0.428191, 0.467898, 0.505591, 0.558417, 0.610518, 0.636413, 0.662308, 0.70671, 0.727017, 0.748281, 0.754285, 0.763518, 0.791611, 0.813276, 0.834245, 0.854626, 0.876148, 0.894949, 0.912664, 0.935559, 0.962393, 1.12711, 1.16333, 1.19955, 1.24845, 1.29261, 1.3512, 1.39226, 1.42015, 1.44855, 1.50044, 1.56116, 1.58419, 1.61363, 1.66074, 1.71376, 1.77721, 1.81233, 1.85425");
-            values ( \
-              "0.0611552, 0.063556, 0.0678952, 0.0715983, 0.0687719, 0.0674092, 0.0670139, 0.0665003, 0.066063, 0.0655453, 0.0651603, 0.0623909, 0.0615819, 0.0606987, 0.0590229, 0.057553, 0.0570114, 0.0565953, 0.0549829, 0.0541844, 0.0534976, 0.0531868, 0.0525367, 0.0508189, 0.0491255, 0.0478107, 0.0472988, 0.0466532, 0.0458877, 0.0449412, 0.0434103, 0.0414698, 0.0289795, 0.0265316, 0.0243016, 0.0217149, 0.0195167, 0.0167739, 0.0150153, 0.0139258, 0.0128884, 0.0111715, 0.00929464, 0.00865919, 0.00791444, 0.00686341, 0.00584717, 0.00481142, 0.00430913, 0.00377345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.249741, 0.288465, 0.296627, 0.335828, 0.353575, 0.400029, 0.436307, 0.444259, 0.45665, 0.467823, 0.497887, 0.505719, 0.510186, 0.517623, 0.524318, 0.526053, 0.546994, 0.567406, 0.572116, 0.576447, 0.580791, 0.585675, 0.594633, 0.602343, 0.604374, 0.608437, 0.616561, 0.636221, 0.653666, 0.667404, 0.684843, 0.696943, 0.710481, 0.720884, 0.739916, 0.757844, 0.784697, 0.795064, 0.815797, 0.857265, 0.932538, 1.01714, 1.10175");
-            values ( \
-              "0.0014087, 0.00261035, 0.00308389, 0.00583793, 0.00699268, 0.00973439, 0.0116952, 0.0120747, 0.0125636, 0.0128278, 0.0130437, 0.0138979, 0.014281, 0.0140506, 0.0130847, 0.0130297, 0.0109478, 0.0091471, 0.00892656, 0.00889372, 0.00891732, 0.00887685, 0.00860779, 0.0080409, 0.00799953, 0.00774644, 0.00745882, 0.00657928, 0.00552476, 0.0045388, 0.00361947, 0.00313712, 0.00267079, 0.00237016, 0.0018891, 0.00152118, 0.00108974, 0.000955043, 0.000738083, 0.000426345, 0.000153254, 4.25711e-05, 1.72192e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.252744, 0.292521, 0.361614, 0.411586, 0.45055, 0.472003, 0.48434, 0.49491, 0.505481, 0.510932, 0.523671, 0.53429, 0.564824, 0.582229, 0.5868, 0.595545, 0.613606, 0.621249, 0.629905, 0.638984, 0.651883, 0.663466, 0.688092, 0.722352, 0.750023, 0.770986, 0.795069, 0.815687, 0.84511, 0.878446, 0.922893, 1.0075, 1.0921, 1.17671");
-            values ( \
-              "0.00247867, 0.00433637, 0.0113045, 0.0157862, 0.0189833, 0.0204062, 0.0209194, 0.0211915, 0.0212308, 0.0216168, 0.0212543, 0.0215131, 0.0180861, 0.0158583, 0.0155863, 0.0146305, 0.0123435, 0.0119569, 0.0117644, 0.0117808, 0.0114453, 0.0108888, 0.00908325, 0.00611926, 0.00453049, 0.00363572, 0.00281892, 0.00227525, 0.00163564, 0.00113242, 0.000694604, 0.000242304, 9.53502e-05, 2.76315e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.252841, 0.299588, 0.362157, 0.430615, 0.473874, 0.505577, 0.511284, 0.522697, 0.534969, 0.546129, 0.54881, 0.554171, 0.564115, 0.574927, 0.588523, 0.607909, 0.612633, 0.622079, 0.628142, 0.665421, 0.679348, 0.692119, 0.69776, 0.709041, 0.723855, 0.757395, 0.79869, 0.841675, 0.87876, 0.902849, 0.945079, 0.991153, 1.0272, 1.09928, 1.18388, 1.19283");
-            values ( \
-              "0.00297707, 0.00713233, 0.0160335, 0.0248209, 0.0298442, 0.0325963, 0.0346305, 0.030991, 0.0315287, 0.0294102, 0.0303955, 0.0298477, 0.0307402, 0.02951, 0.0284793, 0.0257061, 0.0255034, 0.0241708, 0.0238256, 0.0183481, 0.0169639, 0.0164487, 0.0165052, 0.0161791, 0.0155801, 0.0128424, 0.00892655, 0.00611833, 0.00444297, 0.00359768, 0.00246084, 0.00162993, 0.00117228, 0.000596962, 0.000263854, 0.000252652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.279195, 0.320528, 0.373019, 0.412593, 0.433632, 0.447105, 0.460578, 0.466563, 0.472549, 0.478534, 0.484519, 0.49564, 0.50676, 0.509317, 0.524054, 0.549591, 0.56189, 0.579755, 0.586091, 0.592428, 0.605101, 0.608021, 0.613861, 0.619701, 0.631381, 0.640629, 0.656696, 0.662821, 0.689584, 0.718801, 0.732293, 0.735479, 0.745035, 0.762608, 0.779448, 0.792206, 0.79839, 0.810757, 0.856615, 0.87605, 0.921549, 0.945415, 0.960413, 0.986477, 1.00914, 1.03913, 1.06807, 1.09666, 1.12525, 1.15209");
-            values ( \
-              "0.0125847, 0.0130615, 0.022693, 0.0296408, 0.033147, 0.036414, 0.0404787, 0.0406782, 0.041015, 0.041489, 0.0421003, 0.0436005, 0.0455745, 0.0457973, 0.0445637, 0.0430486, 0.0422327, 0.0409441, 0.0405836, 0.0402605, 0.039727, 0.0400007, 0.040005, 0.0399045, 0.0393084, 0.0386481, 0.0372358, 0.0365536, 0.0339828, 0.0301605, 0.0279726, 0.0276647, 0.0268633, 0.0257746, 0.0245497, 0.0234169, 0.0226972, 0.021536, 0.0180488, 0.0164688, 0.0125895, 0.0108408, 0.00986519, 0.00837755, 0.00723256, 0.005862, 0.00485082, 0.00402397, 0.0033219, 0.00276759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.336223, 0.438736, 0.450724, 0.474698, 0.47867, 0.486614, 0.496616, 0.502392, 0.506473, 0.507629, 0.511094, 0.513773, 0.516452, 0.521759, 0.52972, 0.599952, 0.634561, 0.668676, 0.686803, 0.70493, 0.707586, 0.711303, 0.720863, 0.74488, 0.781088, 0.787271, 0.794366, 0.823007, 0.850171, 0.863449, 0.867875, 0.870566, 0.877743, 0.892096, 0.916209, 0.955809, 1.00318, 1.03362, 1.05996, 1.1132, 1.15038, 1.18138, 1.22161, 1.25967, 1.29148, 1.32974, 1.36402, 1.3983, 1.44442, 1.48699");
-            values ( \
-              "0.0373993, 0.0421112, 0.0451747, 0.0519559, 0.0520136, 0.0524293, 0.0535219, 0.0546015, 0.0569771, 0.0569788, 0.0569079, 0.0567754, 0.0565752, 0.0559172, 0.0555199, 0.053237, 0.0517116, 0.0499967, 0.0494348, 0.0491152, 0.0491502, 0.0491018, 0.0487677, 0.0475146, 0.0451754, 0.0448496, 0.0442709, 0.041425, 0.0383629, 0.0374111, 0.0371887, 0.0371546, 0.0368589, 0.036076, 0.0343506, 0.0307648, 0.0258194, 0.0232594, 0.0213277, 0.0176672, 0.0152756, 0.0134212, 0.0113413, 0.00959642, 0.0082459, 0.00687345, 0.00590323, 0.00504139, 0.00406439, 0.00327673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.253065, 0.469551, 0.515098, 0.546706, 0.568812, 0.598596, 0.632954, 0.739156, 0.823754, 0.871533, 0.964833, 1.04348, 1.06395, 1.08465, 1.12546, 1.28559, 1.41033, 1.57151, 1.70229, 1.83248, 1.99607, 2.06648, 2.15059, 2.3198, 2.48901, 2.65822, 2.71652");
-            values ( \
-              "0.00210196, 0.0558186, 0.0657849, 0.0639945, 0.0639379, 0.0630573, 0.0626872, 0.060358, 0.0575065, 0.0567393, 0.0534304, 0.0481122, 0.0472636, 0.0470153, 0.0450501, 0.0331182, 0.0244202, 0.0164609, 0.0114595, 0.00781932, 0.00473567, 0.00381038, 0.0029312, 0.00171492, 0.000997731, 0.000577244, 0.000520983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.57146, 0.654747, 0.699371, 0.778834, 0.825996, 0.883511, 0.934141, 0.958494, 0.982359, 1.0023, 1.0217, 1.02601, 1.03464, 1.04327, 1.05244, 1.06742, 1.07514, 1.08465, 1.14166, 1.16788, 1.19874, 1.21591, 1.21943, 1.22629, 1.29176, 1.32858, 1.40613, 1.44511");
-            values ( \
-              "0.00130015, 0.00158184, 0.00256068, 0.00502669, 0.00617714, 0.00735568, 0.00797712, 0.00793177, 0.00843715, 0.00923999, 0.0087269, 0.00907451, 0.0088896, 0.00897026, 0.00887331, 0.00860726, 0.00884707, 0.00884425, 0.00658519, 0.00471355, 0.00333382, 0.00275071, 0.0030636, 0.00296812, 0.0013247, 0.000820511, 0.000293605, 0.00020071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.571108, 0.673528, 0.700467, 0.790273, 0.842405, 0.904495, 0.95876, 0.985931, 1.00971, 1.03392, 1.05553, 1.06334, 1.0729, 1.08008, 1.09503, 1.11171, 1.13043, 1.14317, 1.15774, 1.17919, 1.2156, 1.2219, 1.2669, 1.32034, 1.35689, 1.38804, 1.45181, 1.53642, 1.56216");
-            values ( \
-              "0.00174073, 0.00302735, 0.00399888, 0.00807727, 0.00996819, 0.0118928, 0.0130572, 0.0131326, 0.0139203, 0.0153629, 0.0145791, 0.0152379, 0.014598, 0.0149436, 0.0142464, 0.0126076, 0.0120032, 0.0121719, 0.0118243, 0.0105735, 0.00748748, 0.00758977, 0.00480878, 0.00273668, 0.00184166, 0.00130157, 0.000634134, 0.000231471, 0.000201259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.571325, 0.656963, 0.870259, 0.939291, 1.00083, 1.03093, 1.05487, 1.07795, 1.10091, 1.10521, 1.13449, 1.19126, 1.21591, 1.22245, 1.23105, 1.33681, 1.3933, 1.45019, 1.54186, 1.6159, 1.65143");
-            values ( \
-              "0.00305467, 0.00382619, 0.0155578, 0.0186728, 0.0207633, 0.0211421, 0.0222062, 0.0241245, 0.0233707, 0.0239278, 0.0230198, 0.0173753, 0.0169284, 0.0174174, 0.0171751, 0.00783013, 0.0048055, 0.00290308, 0.0012668, 0.000637869, 0.00048632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.571264, 0.656582, 0.669872, 0.696452, 0.725913, 0.790744, 0.810985, 0.851467, 0.913452, 0.993016, 1.06247, 1.1062, 1.12462, 1.14735, 1.1829, 1.18778, 1.19754, 1.20486, 1.21256, 1.21597, 1.22201, 1.26102, 1.27506, 1.30633, 1.32331, 1.38627, 1.43665, 1.45788, 1.4816, 1.51706, 1.54173, 1.56676, 1.59854, 1.65455, 1.67853, 1.71234, 1.75742, 1.84203, 1.92663, 2.01124, 2.18045");
-            values ( \
-              "0.00427199, 0.00538305, 0.00605202, 0.00765659, 0.00981429, 0.015149, 0.016628, 0.0194296, 0.0234528, 0.0283429, 0.0317069, 0.0329477, 0.0343145, 0.0357292, 0.0352044, 0.034819, 0.0345509, 0.0340445, 0.0334272, 0.032909, 0.0330828, 0.0278033, 0.0270271, 0.024706, 0.0227725, 0.0177653, 0.0133543, 0.0117255, 0.0101342, 0.0080313, 0.00684551, 0.005809, 0.0047126, 0.00321403, 0.00273706, 0.00217691, 0.0015965, 0.000881441, 0.000483343, 0.000268219, 8.32264e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.607904, 0.701145, 0.855627, 0.978011, 1.06262, 1.12501, 1.15383, 1.19308, 1.21558, 1.22878, 1.24248, 1.31149, 1.38543, 1.39113, 1.41841, 1.4603, 1.52575, 1.56597, 1.63528, 1.70372, 1.74396, 1.79475, 1.852, 1.92165, 1.98238, 2.06326, 2.14787, 2.23247, 2.40168, 2.65549");
-            values ( \
-              "0.00949303, 0.0102018, 0.0242718, 0.0343052, 0.0408201, 0.0449737, 0.0464681, 0.047895, 0.0491747, 0.0493903, 0.0491939, 0.0447764, 0.0373837, 0.0374233, 0.0360205, 0.0325616, 0.0259677, 0.0224856, 0.0176932, 0.0134829, 0.0114066, 0.00905072, 0.00697357, 0.00507101, 0.00377345, 0.0025657, 0.00173031, 0.00112279, 0.000485229, 0.000157731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.607762, 0.713408, 0.886301, 1.07173, 1.14105, 1.21543, 1.23417, 1.32523, 1.3759, 1.39976, 1.43568, 1.45211, 1.45975, 1.48621, 1.57016, 1.59071, 1.61246, 1.63696, 1.66294, 1.88993, 1.95149, 2.05592, 2.13769, 2.19264, 2.26905, 2.31939, 2.45437, 2.53897, 2.58712, 2.75633, 2.92554, 3.09475, 3.26396, 3.60238");
-            values ( \
-              "0.0103104, 0.0133656, 0.0310032, 0.0488268, 0.0550136, 0.0610195, 0.061215, 0.0580624, 0.0568553, 0.0566026, 0.0549697, 0.0546617, 0.0541301, 0.0533243, 0.0476085, 0.0473263, 0.0465801, 0.0455679, 0.0438963, 0.0270511, 0.023289, 0.0180977, 0.0145885, 0.012525, 0.010035, 0.00863701, 0.00573348, 0.00445659, 0.00379569, 0.00222288, 0.00129066, 0.000741884, 0.000419993, 0.000121086" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00655214, 0.00657004, 0.00659026, 0.00660994, 0.00662648, 0.00663873", \
-            "0.00746451, 0.00748411, 0.00750756, 0.00753177, 0.00755318, 0.00756969", \
-            "0.00801633, 0.00803081, 0.00804947, 0.00807041, 0.00809093, 0.00810769", \
-            "0.00840222, 0.00840469, 0.00840973, 0.00841753, 0.00842705, 0.00843705", \
-            "0.00866886, 0.00865836, 0.00864686, 0.00863506, 0.00862712, 0.00862348", \
-            "0.00884884, 0.00883348, 0.00881316, 0.0087883, 0.00876186, 0.00873867" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0081527, 0.00816261, 0.00816927, 0.0081787, 0.00818829, 0.00819229", \
-            "0.00819733, 0.00818476, 0.00816946, 0.00815237, 0.00813594, 0.00812257", \
-            "0.00808534, 0.00805038, 0.00800562, 0.00796262, 0.0079215, 0.00788972", \
-            "0.00802967, 0.00797107, 0.00789632, 0.00782285, 0.00775632, 0.00770414", \
-            "0.00821022, 0.00813666, 0.00802303, 0.00788361, 0.00775615, 0.0076571", \
-            "0.00770351, 0.00772406, 0.00784149, 0.00803205, 0.0079953, 0.00781321" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0213171, 0.0241153, 0.0255379, 0.0271011, 0.0295953, 0.0371422, 0.0423185, 0.0525851, 0.0628176, 0.0670291, 0.0771141, 0.0805633, 0.0842317, 0.0869955, 0.0910089, 0.0936024, 0.0970604, 0.107222, 0.130369, 0.14564, 0.156778, 0.159802, 0.171347, 0.180758, 0.198, 0.210568, 0.232592, 0.24493, 0.248999, 0.257136, 0.263802, 0.279205, 0.287155, 0.29575, 0.306246, 0.320732, 0.340489, 0.372041, 0.407993, 0.452216, 0.505884");
-            values ( \
-              "-0.0164934, -0.0391225, -0.0391455, -0.0389042, -0.0388664, -0.038208, -0.0375734, -0.0358495, -0.0334337, -0.0319857, -0.0270411, -0.0257918, -0.025717, -0.0266989, -0.0270157, -0.0267222, -0.0260253, -0.0232676, -0.0152816, -0.010849, -0.0080025, -0.0068897, -0.00556169, -0.0051184, -0.00403483, -0.00295988, -0.0015174, -0.00142948, -0.00119713, -0.00104468, -0.00053522, -0.000259889, -0.000424534, -0.000350402, -0.000515415, -0.000410839, -0.00047634, -0.000242675, -0.000225357, -2.33819e-05, -7.83944e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0213543, 0.0247382, 0.034309, 0.0370636, 0.0417408, 0.055077, 0.0591954, 0.0656303, 0.0726078, 0.0794343, 0.0850454, 0.0911333, 0.0948967, 0.0979686, 0.103852, 0.106155, 0.115349, 0.122293, 0.146997, 0.161701, 0.180772, 0.195299, 0.20626, 0.220166, 0.238543, 0.250232, 0.264306, 0.288455, 0.301451, 0.309521, 0.401558, 0.488949");
-            values ( \
-              "-0.0232413, -0.0570359, -0.0562443, -0.0557909, -0.0554861, -0.053271, -0.0520913, -0.0508069, -0.0485483, -0.0454217, -0.0418173, -0.0391177, -0.0396451, -0.0408981, -0.0410894, -0.0406105, -0.0378352, -0.0353112, -0.0242617, -0.0184068, -0.0122484, -0.00817274, -0.0067673, -0.00568461, -0.00393577, -0.00314302, -0.00288033, -0.00217371, -0.00140063, -0.0011353, -0.000471816, -9.68393e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0209654, 0.025643, 0.0537142, 0.0800535, 0.0870525, 0.109153, 0.116888, 0.123677, 0.132572, 0.148499, 0.18414, 0.214417, 0.252464, 0.266505, 0.275208, 0.322928, 0.365911, 0.400437, 0.481055");
-            values ( \
-              "-0.0239763, -0.0771993, -0.0742491, -0.0689961, -0.0667412, -0.0557772, -0.0585042, -0.0585193, -0.0560376, -0.0500139, -0.0321791, -0.0199291, -0.00916529, -0.00618056, -0.00534932, -0.00466444, -0.00244091, -0.00156926, -0.000641928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0227985, 0.0228185, 0.0296027, 0.0721806, 0.111651, 0.137924, 0.146034, 0.151887, 0.169546, 0.194086, 0.251553, 0.286894, 0.33093, 0.358655, 0.473172, 0.567995, 0.593292");
-            values ( \
-              "-1e-22, -0.0982286, -0.0965617, -0.0925143, -0.0854274, -0.0742917, -0.0770572, -0.0775854, -0.0738857, -0.0651337, -0.038042, -0.0249355, -0.0120474, -0.00894417, -0.0033794, -0.00120477, -0.00104115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.022927, 0.022947, 0.0281181, 0.0387573, 0.0873589, 0.114026, 0.134077, 0.158163, 0.182217, 0.187486, 0.200054, 0.210018, 0.231153, 0.254882, 0.273271, 0.339367, 0.410998, 0.423152, 0.451956, 0.505817, 0.543845, 0.605137, 0.629055, 0.695327, 0.778956, 0.8902, 1.00435");
-            values ( \
-              "-1e-22, -0.115198, -0.113688, -0.112439, -0.109072, -0.106771, -0.104555, -0.100449, -0.0923455, -0.0919572, -0.0950436, -0.0943352, -0.0907339, -0.0849266, -0.0786913, -0.0525272, -0.0270739, -0.0246747, -0.0205959, -0.0138291, -0.00981406, -0.0058241, -0.00482265, -0.00293119, -0.00151545, -0.000554579, -0.000162313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0231461, 0.0231661, 0.0285393, 0.0480454, 0.124468, 0.164501, 0.201101, 0.231763, 0.267554, 0.284396, 0.287924, 0.304236, 0.346582, 0.361588, 0.38489, 0.411313, 0.505088, 0.5498, 0.567896, 0.638571, 0.708475, 0.773388, 0.813996, 0.858314, 0.901808, 0.961105, 1.01818, 1.07525, 1.1894, 1.30354, 1.47476");
-            values ( \
-              "-1e-22, -0.128011, -0.126328, -0.124402, -0.12114, -0.119054, -0.116593, -0.113233, -0.106298, -0.108866, -0.108947, -0.107917, -0.102882, -0.100581, -0.0958987, -0.0892918, -0.0618241, -0.0474683, -0.0435333, -0.0324567, -0.0225098, -0.0152634, -0.0116201, -0.00855047, -0.00658203, -0.00473544, -0.00354947, -0.00261242, -0.0014236, -0.000750732, -0.000297472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0365014, 0.0371963, 0.0384214, 0.0395694, 0.0420841, 0.0497301, 0.0565301, 0.065164, 0.0671386, 0.0781689, 0.0935856, 0.0972867, 0.103122, 0.106121, 0.110139, 0.120441, 0.140075, 0.153118, 0.172063, 0.18053, 0.212101, 0.237468, 0.246035, 0.271334, 0.278107, 0.285747, 0.293299, 0.3342, 0.422484, 0.519403");
-            values ( \
-              "-0.0343612, -0.0400188, -0.0418071, -0.0397639, -0.0391179, -0.0382423, -0.0377366, -0.0359908, -0.0357917, -0.0331985, -0.0261544, -0.0255523, -0.0270508, -0.0269024, -0.0263616, -0.0236345, -0.0167799, -0.0127003, -0.00748928, -0.00599498, -0.00400647, -0.00201677, -0.00162597, -0.000997145, -0.000616688, -0.000389773, -0.000314968, -0.000464465, -0.000172277, -2.60949e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0367836, 0.0386527, 0.0399426, 0.0426063, 0.0608193, 0.0714609, 0.0856324, 0.0894493, 0.104821, 0.108951, 0.111902, 0.117665, 0.125907, 0.136604, 0.162104, 0.178518, 0.206188, 0.216715, 0.229921, 0.253832, 0.269229, 0.282891, 0.303881, 0.313767, 0.322576, 0.335446, 0.448431");
-            values ( \
-              "-0.0526659, -0.0606427, -0.058004, -0.0569123, -0.0547488, -0.0527102, -0.0490972, -0.0476207, -0.0392932, -0.0394243, -0.0407584, -0.0411534, -0.0390775, -0.0353429, -0.0239687, -0.0175607, -0.00907393, -0.00702301, -0.0061315, -0.00389601, -0.00290688, -0.00281689, -0.00209758, -0.00147324, -0.00117126, -0.000944335, -0.000400929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0372149, 0.0385094, 0.0429522, 0.0682148, 0.0970765, 0.10713, 0.120658, 0.124387, 0.132202, 0.141156, 0.162997, 0.212248, 0.236957, 0.276043, 0.289235, 0.343665, 0.39101, 0.459493, 0.48689");
-            values ( \
-              "-0.0771012, -0.0825448, -0.0771647, -0.0742083, -0.0683057, -0.0643835, -0.0564918, -0.0559962, -0.0587978, -0.0577963, -0.049873, -0.0262284, -0.0174485, -0.0070071, -0.0053803, -0.0043619, -0.00208638, -0.00094425, -0.000777254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0375238, 0.0385427, 0.0432767, 0.0480402, 0.0806749, 0.11747, 0.126644, 0.152529, 0.16457, 0.170249, 0.185631, 0.208679, 0.268851, 0.295109, 0.341217, 0.373124, 0.463449, 0.505571, 0.601222");
-            values ( \
-              "-0.0975605, -0.103377, -0.0970828, -0.0962166, -0.0931, -0.087431, -0.0851202, -0.0741819, -0.0776543, -0.0771053, -0.0734395, -0.065218, -0.0369304, -0.0270798, -0.0127959, -0.00894511, -0.0044747, -0.00284375, -0.00113222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0377643, 0.0385488, 0.0417732, 0.0462573, 0.102092, 0.149498, 0.172806, 0.198659, 0.203082, 0.214507, 0.222936, 0.245825, 0.261397, 0.287997, 0.348889, 0.421569, 0.448311, 0.521269, 0.558645, 0.619891, 0.708316, 0.789877, 0.853772");
-            values ( \
-              "-0.115311, -0.12075, -0.114726, -0.113298, -0.109105, -0.104423, -0.100471, -0.0920083, -0.0920724, -0.0949435, -0.0946127, -0.0906553, -0.0871532, -0.0787741, -0.0545079, -0.0282422, -0.0231779, -0.0137517, -0.00983066, -0.0058402, -0.00297332, -0.00156622, -0.00116775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.037963, 0.0385745, 0.0421019, 0.0472302, 0.0551323, 0.0752213, 0.139188, 0.191426, 0.217611, 0.244738, 0.281396, 0.296899, 0.312963, 0.336589, 0.361416, 0.391365, 0.426046, 0.529367, 0.564085, 0.580114, 0.699111, 0.740296, 0.787306, 0.842557, 0.889393, 0.972892, 1.08538, 1.19953, 1.31367, 1.48489");
-            values ( \
-              "-0.128883, -0.133726, -0.127351, -0.125746, -0.12497, -0.123755, -0.121221, -0.118278, -0.116365, -0.113539, -0.106346, -0.108732, -0.10852, -0.106173, -0.102769, -0.0978517, -0.0891652, -0.0590047, -0.0475872, -0.0440271, -0.02587, -0.0203539, -0.0152805, -0.0106188, -0.00762923, -0.00468885, -0.00256923, -0.00136189, -0.000678966, -0.000390004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0608918, 0.0717232, 0.0873623, 0.0890254, 0.0908762, 0.0930347, 0.0970972, 0.105901, 0.112702, 0.117697, 0.125594, 0.128976, 0.13167, 0.134475, 0.137512, 0.140382, 0.144398, 0.1546, 0.18264, 0.191083, 0.202177, 0.207427, 0.211947, 0.217972, 0.234811, 0.246383, 0.269261, 0.283571, 0.294334, 0.304113, 0.310742, 0.317644, 0.327388, 0.367044, 0.452766, 0.546166");
-            values ( \
-              "-0.00481537, -0.0254457, -0.0357196, -0.0384305, -0.0383774, -0.0372864, -0.0367316, -0.034768, -0.0330554, -0.0310204, -0.027044, -0.0258191, -0.0255566, -0.0264082, -0.0270193, -0.0269471, -0.026335, -0.0236445, -0.014103, -0.0116856, -0.00882586, -0.00711243, -0.00636444, -0.00573482, -0.00476721, -0.00401055, -0.00219373, -0.00147429, -0.00134112, -0.00106705, -0.000706417, -0.000432475, -0.000307082, -0.000466475, -0.000181354, -2.76852e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0608738, 0.0706562, 0.0791989, 0.0873428, 0.0895484, 0.0921798, 0.0947516, 0.0951806, 0.0960386, 0.101187, 0.10783, 0.11971, 0.121251, 0.124334, 0.136401, 0.139603, 0.14316, 0.146109, 0.151309, 0.153238, 0.16189, 0.170903, 0.183202, 0.191708, 0.193249, 0.19633, 0.212643, 0.229631, 0.240775, 0.251354, 0.264406, 0.288035, 0.303767, 0.317286, 0.331955, 0.338219, 0.348024, 0.356622, 0.370057, 0.380235, 0.424394, 0.452341, 0.538767");
-            values ( \
-              "-0.00158342, -0.0355526, -0.0445536, -0.052056, -0.0562077, -0.0560116, -0.0546783, -0.0547472, -0.0547061, -0.0537409, -0.0522605, -0.0491883, -0.0486744, -0.0473857, -0.0404372, -0.0392282, -0.0394468, -0.0408047, -0.0411769, -0.0409883, -0.0385928, -0.0352282, -0.0300301, -0.0259137, -0.0254576, -0.0239537, -0.0175805, -0.0121933, -0.00899143, -0.00699889, -0.0061115, -0.00391454, -0.00288624, -0.00279524, -0.00231944, -0.00209427, -0.00149765, -0.00116378, -0.000948606, -0.000878501, -0.000682789, -0.000467953, -0.000104326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0609068, 0.0748855, 0.0873838, 0.0895404, 0.092451, 0.102608, 0.118848, 0.135946, 0.155043, 0.15827, 0.165757, 0.172541, 0.181408, 0.197372, 0.243512, 0.263114, 0.30158, 0.315513, 0.323821, 0.327557, 0.333615, 0.340604, 0.369045, 0.418909, 0.445747, 0.536776, 0.542127");
-            values ( \
-              "-0.00691572, -0.0545416, -0.070894, -0.0777286, -0.0757549, -0.0742916, -0.0712739, -0.0669216, -0.0566175, -0.0559752, -0.0586262, -0.0584522, -0.0559749, -0.0499229, -0.0275135, -0.0200467, -0.0091668, -0.0061968, -0.00532289, -0.005356, -0.00496395, -0.00508072, -0.00472991, -0.00229059, -0.00162976, -0.000519712, -0.000496531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0609943, 0.0812983, 0.0874882, 0.0892805, 0.0917609, 0.0967874, 0.10852, 0.126317, 0.149131, 0.161262, 0.187103, 0.195108, 0.201204, 0.218447, 0.233217, 0.243287, 0.29803, 0.32233, 0.372715, 0.384793, 0.40023, 0.421035, 0.465144, 0.518099, 0.541521, 0.617313, 0.653756");
-            values ( \
-              "-0.0153258, -0.0795513, -0.0892947, -0.0980375, -0.0960765, -0.0949642, -0.093895, -0.0917281, -0.0880244, -0.0851463, -0.0741383, -0.0769695, -0.0776497, -0.0739563, -0.0691855, -0.0651874, -0.0392046, -0.0296243, -0.013518, -0.0113737, -0.00953566, -0.00814771, -0.00637035, -0.00359759, -0.00283455, -0.00126172, -0.000917801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0698201, 0.0822904, 0.0848758, 0.0874611, 0.0880902, 0.0884047, 0.0893482, 0.0918664, 0.0937913, 0.0958571, 0.104046, 0.133538, 0.152992, 0.165717, 0.176766, 0.19719, 0.204543, 0.211551, 0.213887, 0.223842, 0.232595, 0.234931, 0.237268, 0.242094, 0.2466, 0.250256, 0.256716, 0.264021, 0.270057, 0.278358, 0.284648, 0.293033, 0.302159, 0.312023, 0.319763, 0.333797, 0.374923, 0.390323, 0.429514, 0.457577, 0.467543, 0.475018, 0.488526, 0.533155, 0.555262, 0.577369, 0.597293, 0.612597, 0.638583, 0.660472");
-            values ( \
-              "-0.0964274, -0.0973424, -0.102196, -0.108587, -0.114294, -0.114316, -0.114205, -0.112864, -0.112375, -0.112019, -0.111316, -0.109292, -0.10764, -0.106338, -0.105067, -0.102379, -0.100974, -0.0990742, -0.0983296, -0.0945326, -0.0916783, -0.0919487, -0.0924117, -0.0939775, -0.0943623, -0.0945126, -0.0944241, -0.0935578, -0.0925772, -0.0909991, -0.0896061, -0.087553, -0.0850976, -0.082184, -0.0796634, -0.0743225, -0.0577552, -0.0517692, -0.0379137, -0.0277738, -0.0255512, -0.0242255, -0.0222949, -0.0165936, -0.0136405, -0.0111866, -0.00941876, -0.00828158, -0.00667705, -0.00558965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.069772, 0.0820742, 0.0918071, 0.0943883, 0.0976562, 0.101467, 0.156645, 0.199798, 0.249366, 0.281411, 0.316592, 0.331655, 0.337532, 0.347553, 0.370864, 0.396262, 0.41247, 0.43408, 0.460898, 0.554674, 0.598358, 0.616399, 0.691261, 0.760623, 0.800349, 0.859923, 0.90286, 0.951018, 1.01811, 1.07519, 1.13226, 1.24641, 1.36055, 1.53177");
-            values ( \
-              "-0.0983135, -0.105137, -0.12735, -0.124524, -0.124861, -0.124229, -0.122014, -0.119933, -0.116743, -0.113238, -0.106296, -0.108663, -0.108939, -0.108465, -0.106173, -0.102935, -0.100363, -0.0959901, -0.0893018, -0.0618376, -0.0478314, -0.0436398, -0.0319742, -0.0222034, -0.0176024, -0.0119097, -0.00886232, -0.00662098, -0.00457122, -0.0033994, -0.0025321, -0.00138548, -0.000737656, -0.000267081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.111955, 0.135566, 0.14711, 0.16543, 0.180752, 0.194804, 0.199833, 0.210333, 0.212179, 0.214643, 0.220293, 0.223623, 0.227868, 0.232343, 0.238096, 0.260371, 0.27235, 0.283311, 0.290013, 0.29696, 0.306223, 0.315494, 0.326484, 0.353218, 0.361984, 0.380117, 0.386638, 0.393515, 0.400287, 0.411053, 0.418763, 0.427446, 0.437084, 0.472172, 0.502865, 0.580462, 0.632452");
-            values ( \
-              "-0.00145606, -0.0150196, -0.0186785, -0.0238375, -0.0269186, -0.0284168, -0.0280775, -0.0257069, -0.0264689, -0.0261665, -0.0271405, -0.0270718, -0.0262999, -0.0251947, -0.0235944, -0.015841, -0.0121939, -0.00938149, -0.00727637, -0.00609451, -0.00543372, -0.00491441, -0.00424331, -0.00212793, -0.0016744, -0.00127104, -0.00108744, -0.000668725, -0.000460818, -0.000297917, -0.000379101, -0.000392519, -0.000474077, -0.000438463, -0.000281971, -6.46483e-05, -4.60682e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.119766, 0.129122, 0.138878, 0.151717, 0.171994, 0.188892, 0.204296, 0.210305, 0.212077, 0.219665, 0.224308, 0.227811, 0.231113, 0.236493, 0.240878, 0.252537, 0.276409, 0.287057, 0.301174, 0.319529, 0.329069, 0.339398, 0.352995, 0.358269, 0.379613, 0.39242, 0.404707, 0.418339, 0.421822, 0.42879, 0.436491, 0.446606, 0.456658, 0.467063, 0.479312, 0.494141, 0.515696, 0.543468, 0.584886, 0.633432");
-            values ( \
-              "-0.0108127, -0.018171, -0.0235342, -0.0292642, -0.0376358, -0.04263, -0.0453175, -0.0446919, -0.0454927, -0.040445, -0.0394288, -0.0400965, -0.0413586, -0.0408501, -0.0399755, -0.0360821, -0.02532, -0.0208782, -0.0158549, -0.0102543, -0.0077973, -0.00666953, -0.00560963, -0.00505174, -0.0031877, -0.00299543, -0.00256147, -0.00232703, -0.00194647, -0.00168719, -0.00120388, -0.00109613, -0.000875467, -0.000943594, -0.000784197, -0.000804596, -0.000564944, -0.000476192, -0.000182214, -0.000139473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.119772, 0.13251, 0.139406, 0.152703, 0.171058, 0.182209, 0.201625, 0.21029, 0.214126, 0.219087, 0.223216, 0.227411, 0.232434, 0.238195, 0.241365, 0.248828, 0.255584, 0.258686, 0.264421, 0.271122, 0.280531, 0.29935, 0.306646, 0.325239, 0.344706, 0.350974, 0.35561, 0.389132, 0.40095, 0.407094, 0.419237, 0.434688, 0.464789, 0.480268, 0.484115, 0.49181, 0.507199, 0.520997, 0.535882, 0.552203, 0.572066, 0.599441, 0.634748, 0.691711");
-            values ( \
-              "-0.0101141, -0.0270246, -0.0321387, -0.0405076, -0.0509908, -0.0567064, -0.0650341, -0.0673885, -0.0690322, -0.0672317, -0.0652642, -0.0629158, -0.0597654, -0.0566504, -0.05585, -0.0585533, -0.0584963, -0.0577371, -0.0560397, -0.0537309, -0.049935, -0.0406314, -0.0367831, -0.028131, -0.020581, -0.0184974, -0.0171154, -0.0080002, -0.00602706, -0.0054553, -0.0049442, -0.00498994, -0.00435382, -0.00334965, -0.00323481, -0.00268306, -0.00222659, -0.00174716, -0.00161092, -0.00122872, -0.00109615, -0.000663694, -0.000505006, -0.000112511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.119851, 0.13712, 0.151141, 0.169353, 0.197642, 0.214718, 0.231329, 0.244396, 0.249723, 0.262929, 0.270311, 0.27846, 0.284069, 0.289629, 0.297263, 0.306536, 0.326457, 0.367036, 0.392289, 0.414825, 0.436604, 0.452255, 0.464955, 0.479085, 0.49117, 0.511497, 0.553606, 0.581842, 0.616906, 0.661454, 0.720994, 0.820787, 0.87786");
-            values ( \
-              "-0.0095654, -0.0381524, -0.0498892, -0.0632237, -0.0823368, -0.0914512, -0.0882787, -0.0852609, -0.0832769, -0.0766668, -0.0742455, -0.0770513, -0.077598, -0.0768187, -0.0751587, -0.0725294, -0.0652154, -0.0456301, -0.0346092, -0.0264487, -0.0194597, -0.0145654, -0.0117533, -0.0100151, -0.00890826, -0.00778885, -0.0060634, -0.00444301, -0.0030272, -0.00190399, -0.000967437, -0.00024537, -0.000165407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.130526, 0.149805, 0.171416, 0.213278, 0.218112, 0.220148, 0.224221, 0.240138, 0.280136, 0.296897, 0.315816, 0.320621, 0.33145, 0.340262, 0.363956, 0.380822, 0.406013, 0.477645, 0.539286, 0.551267, 0.567241, 0.63929, 0.674599, 0.736674, 0.763797, 0.827158, 0.906272, 1.01328, 1.12743");
-            values ( \
-              "-0.0538629, -0.0571425, -0.0763763, -0.110079, -0.109936, -0.109133, -0.109029, -0.107479, -0.1027, -0.0986937, -0.0922301, -0.091881, -0.09477, -0.0947538, -0.0906222, -0.0867972, -0.0787714, -0.050452, -0.028406, -0.0254704, -0.0230186, -0.0137231, -0.00999857, -0.00589271, -0.00474655, -0.00294223, -0.00157437, -0.000596878, -0.000168984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.130716, 0.151807, 0.175998, 0.213452, 0.21781, 0.221427, 0.228659, 0.236638, 0.247045, 0.257535, 0.278516, 0.309795, 0.333142, 0.364899, 0.400002, 0.415043, 0.421038, 0.430794, 0.453599, 0.479744, 0.503011, 0.544461, 0.638419, 0.677168, 0.694677, 0.738478, 0.817559, 0.874264, 0.904972, 0.944699, 0.989773, 1.02424, 1.05552, 1.09723, 1.1543, 1.26845, 1.38259, 1.49674, 1.61088");
-            values ( \
-              "-0.0532821, -0.0654591, -0.0892686, -0.123452, -0.123571, -0.122491, -0.122928, -0.121862, -0.121947, -0.120944, -0.120329, -0.118036, -0.116822, -0.113245, -0.106319, -0.108712, -0.108891, -0.108534, -0.106305, -0.102979, -0.0991229, -0.0893366, -0.0617384, -0.0493532, -0.0445536, -0.0375397, -0.0257391, -0.0186244, -0.015476, -0.0117591, -0.00870058, -0.00700766, -0.00583741, -0.00471412, -0.00343697, -0.00188377, -0.00099797, -0.000504777, -0.000239949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.226076, 0.250477, 0.268613, 0.289322, 0.319786, 0.344613, 0.367014, 0.374033, 0.389622, 0.392989, 0.401002, 0.41114, 0.416997, 0.424078, 0.431233, 0.445542, 0.485991, 0.505856, 0.510726, 0.535193, 0.544821, 0.555191, 0.567588, 0.582302, 0.595344, 0.610757, 0.620023, 0.648144, 0.671937, 0.740964, 0.785352");
-            values ( \
-              "-0.00111557, -0.00526234, -0.00774508, -0.0110275, -0.0145048, -0.0168771, -0.0178191, -0.0178272, -0.0161466, -0.0164735, -0.0186513, -0.0194415, -0.0194203, -0.0195146, -0.0188673, -0.0165647, -0.00699427, -0.00560803, -0.00576351, -0.00335038, -0.00257321, -0.00197325, -0.00165993, -0.00086424, -0.000475601, -0.000464307, -0.000553928, -0.000529129, -0.000369814, -0.00011042, -9.19724e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.225774, 0.254454, 0.284664, 0.329785, 0.356883, 0.381162, 0.388666, 0.405408, 0.420597, 0.43146, 0.43777, 0.449962, 0.52532, 0.567108, 0.628802, 0.642863, 0.712997");
-            values ( \
-              "-0.000928341, -0.00853196, -0.0150246, -0.0231322, -0.0268786, -0.0288943, -0.0285816, -0.0266333, -0.0311021, -0.0315914, -0.0315185, -0.0306437, -0.00891782, -0.00424126, -0.0014657, -0.00111122, -0.000627477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.240416, 0.260558, 0.297157, 0.329202, 0.345887, 0.376664, 0.404005, 0.411913, 0.428023, 0.430946, 0.440259, 0.447962, 0.456084, 0.460459, 0.469209, 0.480518, 0.505694, 0.509218, 0.536154, 0.553935, 0.589916, 0.602677, 0.609244, 0.654183, 0.681662, 0.68403, 0.688766, 0.698239, 0.721606, 0.736123, 0.75469, 0.806264, 0.902591");
-            values ( \
-              "-0.0102591, -0.0132277, -0.0238131, -0.0317229, -0.0353957, -0.0414003, -0.044838, -0.0445957, -0.0425794, -0.0426207, -0.0469643, -0.0488118, -0.0491019, -0.0490922, -0.0486091, -0.0466385, -0.0367782, -0.0362346, -0.0241932, -0.0180582, -0.00777659, -0.00606621, -0.00554492, -0.00483265, -0.00331842, -0.00302843, -0.00294306, -0.00239465, -0.00176202, -0.00162215, -0.00119973, -0.00059006, -8.05793e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.252914, 0.287342, 0.30987, 0.353228, 0.37016, 0.406457, 0.424623, 0.438562, 0.447096, 0.463419, 0.46975, 0.480434, 0.486728, 0.49277, 0.498704, 0.511484, 0.518337, 0.528005, 0.569714, 0.596137, 0.61724, 0.650531, 0.662307, 0.673919, 0.689881, 0.708398, 0.750485, 0.782039, 0.824556, 0.870623, 0.935935, 1.04282");
-            values ( \
-              "-0.0214706, -0.0262847, -0.0341541, -0.0474169, -0.0521452, -0.0612561, -0.0646617, -0.0667658, -0.0667525, -0.0650387, -0.0659752, -0.0714036, -0.0724577, -0.072696, -0.0726099, -0.0716044, -0.0693416, -0.0652815, -0.0451227, -0.0337368, -0.026181, -0.01537, -0.0125887, -0.01096, -0.00924073, -0.00810212, -0.00629255, -0.00447777, -0.00283438, -0.00175163, -0.000816941, -0.000178489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.252817, 0.281177, 0.33334, 0.381884, 0.405131, 0.449732, 0.470302, 0.489002, 0.497278, 0.505554, 0.508802, 0.5153, 0.521063, 0.527102, 0.532967, 0.539807, 0.555167, 0.565456, 0.585032, 0.607517, 0.668122, 0.736053, 0.745295, 0.759352, 0.796147, 0.819075, 0.832957, 0.887855, 0.942413, 0.947981, 0.959117, 0.979225, 1.00681, 1.03754, 1.07683, 1.11895, 1.17524, 1.23232, 1.28939, 1.34646");
-            values ( \
-              "-0.0223048, -0.0283578, -0.0490266, -0.0662272, -0.0738963, -0.0870376, -0.0917928, -0.0951361, -0.0953953, -0.0950013, -0.0952841, -0.0930926, -0.091916, -0.0937897, -0.0949055, -0.0948763, -0.0926187, -0.0907171, -0.0860087, -0.0788365, -0.0545798, -0.0301238, -0.0272754, -0.0242662, -0.0192598, -0.0166824, -0.0146098, -0.00893103, -0.00559216, -0.00563353, -0.00490303, -0.00445701, -0.00339888, -0.00294016, -0.00196276, -0.00162035, -0.000809369, -0.000686172, -0.000213822, -0.000316659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.27031, 0.336016, 0.431569, 0.511262, 0.520598, 0.527197, 0.536909, 0.549985, 0.565055, 0.580451, 0.593563, 0.602658, 0.61262, 0.620157, 0.622426, 0.626963, 0.647842, 0.681212, 0.711278, 0.745813, 0.835191, 0.887404, 0.925676, 1.00562, 1.08839, 1.15358, 1.1971, 1.25411, 1.33529, 1.44944, 1.56358, 1.79188");
-            values ( \
-              "-0.0393581, -0.0558318, -0.0931507, -0.120056, -0.116399, -0.118247, -0.115713, -0.116011, -0.112829, -0.111023, -0.107115, -0.106635, -0.107486, -0.109302, -0.10866, -0.109021, -0.107217, -0.103086, -0.0975113, -0.0894822, -0.0633319, -0.0463682, -0.0396507, -0.0275083, -0.017168, -0.011084, -0.00848962, -0.00584613, -0.00373451, -0.00200606, -0.00102237, -0.000171182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.455418, 0.535548, 0.56476, 0.643916, 0.681153, 0.717855, 0.734489, 0.744392, 0.763436, 0.771436, 0.777438, 0.783528, 0.790193, 0.818784, 0.835892, 0.858002, 0.868802, 0.879919, 0.892418, 0.901741, 0.915699, 0.91683, 0.939268, 0.949232, 0.957681, 0.974811, 0.988831, 0.996196, 1.01251, 1.02122, 1.04587, 1.06812, 1.13389, 1.21596, 1.23701, 1.2642");
-            values ( \
-              "-0.000131693, -0.00251232, -0.00362365, -0.008099, -0.00977651, -0.0109309, -0.0111398, -0.0109583, -0.00992985, -0.0101598, -0.0112436, -0.0118438, -0.0121033, -0.0124964, -0.0124531, -0.0116428, -0.0107972, -0.00951966, -0.00743423, -0.00720994, -0.00633683, -0.00638253, -0.00464966, -0.00364395, -0.00310703, -0.00238068, -0.00145252, -0.00112928, -0.000939033, -0.000940311, -0.000805393, -0.000609767, -0.000215009, -3.34957e-05, -0.00018277, -8.16173e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.455409, 0.553054, 0.573374, 0.624898, 0.657591, 0.698404, 0.717219, 0.738205, 0.756122, 0.766402, 0.786827, 0.795461, 0.801571, 0.808455, 0.818411, 0.82455, 0.830515, 0.842445, 0.863593, 0.898885, 0.916685, 0.942206, 0.949057, 0.985388, 1.01839, 1.0362, 1.04586, 1.06622, 1.08402, 1.12571, 1.16057, 1.20294, 1.21605, 1.22945, 1.26302, 1.29414");
-            values ( \
-              "-1.02088e-06, -0.00474113, -0.00601839, -0.0103919, -0.012974, -0.015681, -0.016662, -0.017603, -0.0180265, -0.0178097, -0.0164456, -0.017062, -0.0186329, -0.0194286, -0.0199194, -0.0199926, -0.0201993, -0.0204556, -0.0206219, -0.017781, -0.0148479, -0.00963258, -0.00919376, -0.00552632, -0.00396773, -0.00265363, -0.00216155, -0.00167299, -0.00140437, -0.000846942, -0.000522695, -0.000234006, -0.000209535, -0.000426441, -0.000192497, -0.000103524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.481027, 0.503293, 0.541202, 0.574875, 0.67728, 0.738449, 0.764249, 0.778002, 0.790529, 0.801243, 0.825342, 0.84181, 0.850514, 0.885911, 0.908631, 0.947904, 1.02497, 1.03574, 1.04993, 1.06631, 1.08348, 1.10697, 1.13779, 1.18982, 1.21622, 1.23317, 1.27473, 1.37324");
-            values ( \
-              "-0.00262445, -0.00358844, -0.00591949, -0.00855927, -0.0198676, -0.0252832, -0.0270215, -0.0277669, -0.0281672, -0.0279949, -0.0263573, -0.0302071, -0.0310277, -0.0323794, -0.0325006, -0.0276193, -0.00918269, -0.00816367, -0.00739721, -0.00708095, -0.00625447, -0.00452447, -0.00292613, -0.00155864, -0.00112008, -0.00125617, -0.000619032, -9.78503e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.503121, 0.556556, 0.582485, 0.687937, 0.734315, 0.791388, 0.830467, 0.842763, 0.858685, 0.870439, 0.881174, 0.891848, 0.907928, 0.955202, 0.978075, 1.02021, 1.10482, 1.13532, 1.15493, 1.21609, 1.22312, 1.27322, 1.32153, 1.38985, 1.50012");
-            values ( \
-              "-0.00601393, -0.00941182, -0.0121687, -0.026813, -0.0325327, -0.0385938, -0.0417635, -0.0423354, -0.0421268, -0.0413551, -0.0411308, -0.0442813, -0.0469042, -0.049046, -0.0485311, -0.0410934, -0.0169712, -0.0123859, -0.0103822, -0.00634356, -0.00650588, -0.00370897, -0.00217495, -0.000954866, -0.00020775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.542239, 0.608077, 0.678573, 0.73898, 0.845121, 0.884356, 0.919396, 0.938624, 0.952333, 0.965186, 0.977486, 0.989637, 1.01382, 1.02989, 1.05568, 1.08133, 1.12907, 1.21575, 1.22197, 1.23827, 1.31385, 1.36256, 1.41384, 1.45116, 1.51967, 1.60857, 1.72272, 1.77979");
-            values ( \
-              "-0.0184939, -0.0186314, -0.0304262, -0.0396849, -0.0539855, -0.0584134, -0.0612986, -0.0613672, -0.0609026, -0.0618984, -0.0659458, -0.0675949, -0.0692757, -0.0698796, -0.0703981, -0.0683311, -0.0573283, -0.0297451, -0.0292616, -0.0261029, -0.0149195, -0.00954392, -0.00613511, -0.00460896, -0.00275489, -0.00134514, -0.000481267, -0.000314622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.542516, 0.605402, 0.725962, 0.807501, 0.888823, 0.95272, 1.00979, 1.02923, 1.04449, 1.06211, 1.07797, 1.08968, 1.10044, 1.11636, 1.15893, 1.18047, 1.20568, 1.22694, 1.34078, 1.36129, 1.3828, 1.50476, 1.5619, 1.63422, 1.67861, 1.71257, 1.74259, 1.83715, 1.95129, 2.06544, 2.29373");
-            values ( \
-              "-0.0189317, -0.0208545, -0.0423263, -0.0558248, -0.0683433, -0.0773218, -0.0841935, -0.0857667, -0.0863305, -0.0863814, -0.0877243, -0.0912518, -0.0932296, -0.094584, -0.0965764, -0.0964125, -0.0942342, -0.0907817, -0.0573532, -0.0503297, -0.0447051, -0.0258039, -0.0185871, -0.0115324, -0.00869517, -0.00692885, -0.00580972, -0.00347291, -0.00187702, -0.000968883, -0.0001928" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00571766, 0.0057253, 0.00573418, 0.00574314, 0.00575098, 0.005757", \
-            "0.00623282, 0.00623875, 0.00624625, 0.00625429, 0.00626181, 0.00626798", \
-            "0.00651212, 0.00651529, 0.00651975, 0.00652519, 0.00653084, 0.00653583", \
-            "0.00668129, 0.00668164, 0.00668256, 0.00668421, 0.00668648, 0.00668907", \
-            "0.00678617, 0.00678376, 0.0067819, 0.00678004, 0.00677859, 0.00677784", \
-            "0.00684711, 0.0068453, 0.00684275, 0.00683952, 0.00683595, 0.00683264" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00724146, 0.00724783, 0.00725513, 0.00726253, 0.00726937, 0.00727543", \
-            "0.007632, 0.00763231, 0.00763314, 0.00763439, 0.00763563, 0.00763638", \
-            "0.00785877, 0.00785042, 0.00784065, 0.00783067, 0.00782213, 0.00781557", \
-            "0.00811996, 0.00810047, 0.00807635, 0.00805101, 0.00802647, 0.00800715", \
-            "0.0083917, 0.00835352, 0.00830473, 0.00825256, 0.00820409, 0.00816385", \
-            "0.00872227, 0.0086657, 0.00858002, 0.00847374, 0.0083687, 0.00828156" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.135757, 0.154983, 0.188324, 0.246067, 0.346142, 0.519925", \
-            "0.13946, 0.158782, 0.192266, 0.25017, 0.350409, 0.524315", \
-            "0.149343, 0.168757, 0.202391, 0.260253, 0.361022, 0.535172", \
-            "0.175627, 0.194885, 0.228419, 0.286574, 0.387207, 0.561601", \
-            "0.244956, 0.263498, 0.296046, 0.352062, 0.452208, 0.626672", \
-            "0.374334, 0.40199, 0.446821, 0.516489, 0.620861, 0.792029" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.182092, 0.209786, 0.255915, 0.334782, 0.473168, 0.716228", \
-            "0.182095, 0.209837, 0.255927, 0.334789, 0.473181, 0.716229", \
-            "0.182037, 0.209797, 0.25591, 0.334787, 0.473173, 0.716236", \
-            "0.181242, 0.209038, 0.255682, 0.334691, 0.47314, 0.716225", \
-            "0.204277, 0.227288, 0.267023, 0.337823, 0.472761, 0.716192", \
-            "0.290194, 0.314509, 0.351823, 0.409631, 0.519608, 0.733234" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0870633, 0.098403, 0.11796, 0.151708, 0.210061, 0.311287", \
-            "0.0908462, 0.102234, 0.121849, 0.155676, 0.214122, 0.315387", \
-            "0.0997951, 0.111003, 0.130981, 0.164915, 0.223495, 0.324899", \
-            "0.12166, 0.133137, 0.152874, 0.186706, 0.245692, 0.347294", \
-            "0.162853, 0.178188, 0.202676, 0.240549, 0.299516, 0.401105", \
-            "0.202905, 0.225827, 0.262718, 0.319881, 0.405425, 0.529242" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.0962738, 0.11089, 0.136682, 0.182204, 0.26181, 0.400348", \
-            "0.0961854, 0.110886, 0.136668, 0.182201, 0.261776, 0.40036", \
-            "0.0961578, 0.110765, 0.136665, 0.182138, 0.261802, 0.400298", \
-            "0.100376, 0.113584, 0.13783, 0.18212, 0.261799, 0.400344", \
-            "0.137644, 0.149617, 0.169316, 0.20504, 0.273467, 0.402414", \
-            "0.212342, 0.228622, 0.255264, 0.298102, 0.364068, 0.470186" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0250184, 0.0294283, 0.0353666, 0.0459327, 0.0642607, 0.0683016, 0.0763833, 0.0917453, 0.116401, 0.143428, 0.154993, 0.166516, 0.206331, 0.219758, 0.225114, 0.230115, 0.234827, 0.242327, 0.249501, 0.250542, 0.252622, 0.256784, 0.263113, 0.273912, 0.283972, 0.294181, 0.301053, 0.310002, 0.32099, 0.330993, 0.342728, 0.352643, 0.367081, 0.384525, 0.403063, 0.430467, 0.441411, 0.463299, 0.507076, 0.57852, 0.639416");
-            values ( \
-              "0.0150292, 0.0203112, 0.0189644, 0.0178584, 0.0170293, 0.0169784, 0.0166534, 0.0162897, 0.0153256, 0.0134797, 0.0139512, 0.0134705, 0.00968353, 0.00871438, 0.00899683, 0.00871315, 0.0089099, 0.0083392, 0.00809123, 0.00782548, 0.00792807, 0.00752037, 0.00749064, 0.00684462, 0.00658303, 0.00579866, 0.0055565, 0.00468366, 0.00424092, 0.00351302, 0.0032626, 0.00268846, 0.0024809, 0.00181343, 0.00165207, 0.000983699, 0.00106606, 0.000613884, 0.000518573, 4.31693e-05, 0.000123998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0249444, 0.0303904, 0.037388, 0.0438636, 0.0616295, 0.0890316, 0.103081, 0.131601, 0.143057, 0.160623, 0.162544, 0.174071, 0.184464, 0.211616, 0.228547, 0.239632, 0.254824, 0.26447, 0.271989, 0.282913, 0.285838, 0.291687, 0.30195, 0.326667, 0.362248, 0.375485, 0.390685, 0.4183, 0.440164, 0.460527, 0.491187, 0.526913, 0.574548, 0.658739, 0.699146");
-            values ( \
-              "0.0198731, 0.0299624, 0.0280685, 0.0271742, 0.0259744, 0.0248437, 0.0244702, 0.023134, 0.0221175, 0.0208642, 0.0207994, 0.0211591, 0.0211445, 0.0180596, 0.0158792, 0.0149301, 0.0129226, 0.0120567, 0.0118121, 0.0116873, 0.0117315, 0.0116164, 0.0112883, 0.009701, 0.00665339, 0.00574207, 0.00485415, 0.00362663, 0.00288222, 0.00231306, 0.00165806, 0.00111715, 0.000651198, 0.000235014, 0.000186167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0248947, 0.0318843, 0.0407513, 0.0541337, 0.0863845, 0.122655, 0.155728, 0.188622, 0.195914, 0.210498, 0.222826, 0.275298, 0.311038, 0.325255, 0.337876, 0.344903, 0.358955, 0.373746, 0.45736, 0.507117, 0.548411, 0.585863, 0.646358, 0.693292, 0.777897, 0.815509");
-            values ( \
-              "0.0263804, 0.0412626, 0.0387629, 0.0372901, 0.0356343, 0.0342713, 0.0327417, 0.0301599, 0.0298945, 0.0303258, 0.029786, 0.0236577, 0.0185688, 0.0170012, 0.0164607, 0.0164551, 0.0160953, 0.0153877, 0.00809966, 0.00523098, 0.00364116, 0.00261344, 0.00151674, 0.000984316, 0.000439888, 0.000361798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0283441, 0.0283641, 0.0968787, 0.156614, 0.203658, 0.234158, 0.25384, 0.277573, 0.337835, 0.381901, 0.392778, 0.422932, 0.477508, 0.497415, 0.57914, 0.609355, 0.661906, 0.712365, 0.766188, 0.818816, 0.853629, 0.923255, 1.00786, 1.09246, 1.26167");
-            values ( \
-              "1e-22, 0.0538061, 0.0467339, 0.0448188, 0.0427628, 0.0404832, 0.0398104, 0.0399147, 0.0340407, 0.0279287, 0.0267907, 0.0249513, 0.0200355, 0.0186996, 0.0119572, 0.00981143, 0.00697148, 0.00499635, 0.00350843, 0.00242337, 0.001945, 0.00116748, 0.000672313, 0.000340691, 9.06911e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0281952, 0.0282152, 0.12653, 0.149809, 0.181692, 0.280458, 0.309841, 0.341183, 0.353696, 0.402996, 0.415736, 0.437343, 0.443074, 0.482955, 0.498826, 0.515888, 0.537683, 0.558855, 0.599782, 0.655265, 0.697434, 0.76865, 0.826529, 0.918631, 0.980605, 1.05018, 1.10923, 1.17246, 1.25677, 1.34138, 1.51059, 1.7644");
-            values ( \
-              "1e-22, 0.0627213, 0.0566452, 0.0559156, 0.0552286, 0.0520567, 0.050494, 0.0491891, 0.0494367, 0.0470646, 0.0459584, 0.0447449, 0.0442317, 0.0401856, 0.0382977, 0.0371878, 0.0362625, 0.0348525, 0.0311494, 0.0255904, 0.0220125, 0.0171518, 0.0136189, 0.00910396, 0.00686386, 0.00500523, 0.00374185, 0.0027726, 0.00187469, 0.00121633, 0.000526539, 0.000168882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0279854, 0.0280054, 0.181849, 0.315951, 0.366251, 0.471137, 0.52297, 0.604291, 0.688462, 0.709754, 0.729241, 0.773512, 1.05604, 1.22209, 1.35392, 1.47563, 1.64044, 1.71397, 1.97216, 2.2902");
-            values ( \
-              "1e-22, 0.0696233, 0.0642664, 0.0619369, 0.0607285, 0.0574113, 0.0567345, 0.0537543, 0.0482761, 0.0472752, 0.0471415, 0.0450651, 0.0245008, 0.016302, 0.0112967, 0.00789733, 0.00475595, 0.0037844, 0.00166238, 0.000609573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0390533, 0.0441706, 0.0468131, 0.0547395, 0.0602833, 0.0703411, 0.0825982, 0.106047, 0.125926, 0.15465, 0.163933, 0.174392, 0.200199, 0.216066, 0.231975, 0.246612, 0.254182, 0.271989, 0.293589, 0.304098, 0.330842, 0.340506, 0.351574, 0.377489, 0.426977, 0.454532, 0.49487, 0.548655, 0.63056, 0.715165");
-            values ( \
-              "0.00883731, 0.0215861, 0.0204139, 0.0186104, 0.018047, 0.0174205, 0.0169452, 0.0162629, 0.0155072, 0.0136841, 0.0136973, 0.0139337, 0.0116733, 0.0100788, 0.0088682, 0.00883549, 0.00860307, 0.00757557, 0.00670679, 0.00615792, 0.00436266, 0.00387867, 0.00334037, 0.00245819, 0.00135336, 0.000957622, 0.000568816, 0.000296723, 7.70371e-05, 3.93869e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0421539, 0.0421739, 0.0759235, 0.140067, 0.177046, 0.200122, 0.209629, 0.226219, 0.244368, 0.248066, 0.271271, 0.280889, 0.311959, 0.323833, 0.382899, 0.396874, 0.427376, 0.487196, 0.557355, 0.683282");
-            values ( \
-              "1e-22, 0.0323152, 0.0260857, 0.0234414, 0.020654, 0.0213257, 0.0195485, 0.0182316, 0.0155902, 0.015642, 0.0127267, 0.0119465, 0.0115191, 0.010874, 0.00623138, 0.00529022, 0.00381718, 0.00200305, 0.000910581, 0.000203817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0421577, 0.0421777, 0.0988967, 0.103495, 0.112692, 0.131085, 0.13731, 0.172569, 0.198292, 0.210562, 0.230133, 0.24762, 0.287292, 0.330683, 0.343827, 0.357158, 0.359659, 0.374664, 0.388002, 0.40514, 0.443939, 0.474918, 0.517185, 0.55167, 0.57681, 0.612773, 0.653702, 0.708275, 0.792879, 0.877484, 0.962088");
-            values ( \
-              "1e-22, 0.0417352, 0.0358132, 0.0355281, 0.0352786, 0.0344862, 0.034378, 0.0326001, 0.0304871, 0.0298934, 0.0303337, 0.0285967, 0.0239824, 0.0178646, 0.0168161, 0.0163554, 0.016507, 0.0161268, 0.0153374, 0.0141139, 0.0103556, 0.00787181, 0.00543836, 0.00404405, 0.00321897, 0.00235102, 0.00162998, 0.000968193, 0.000446833, 0.000183695, 9.78616e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0421782, 0.0421982, 0.111714, 0.126062, 0.148194, 0.171302, 0.215865, 0.218336, 0.233166, 0.250216, 0.268528, 0.292134, 0.336515, 0.352858, 0.403919, 0.446317, 0.480628, 0.522982, 0.596993, 0.626544, 0.661512, 0.717069, 0.762298, 0.801447, 0.84048, 0.892523, 0.977128, 1.14634, 1.31555");
-            values ( \
-              "1e-22, 0.0525718, 0.0467167, 0.0463295, 0.0454676, 0.0448272, 0.0427403, 0.0427704, 0.0418129, 0.0403733, 0.0398556, 0.0398798, 0.0354252, 0.0340059, 0.0270613, 0.024306, 0.0209501, 0.0178106, 0.0116605, 0.00971842, 0.00769948, 0.00533056, 0.00398277, 0.00300744, 0.00230185, 0.00165534, 0.000870693, 0.00024502, 5.62018e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0447221, 0.0447421, 0.153605, 0.230016, 0.414025, 0.452614, 0.527318, 0.554974, 0.596023, 0.71245, 0.84207, 0.954423, 1.12398, 1.27256, 1.40928");
-            values ( \
-              "1e-22, 0.0639908, 0.0561921, 0.054366, 0.047356, 0.0447288, 0.0372285, 0.0361952, 0.0329962, 0.0220503, 0.0136152, 0.00834725, 0.00375917, 0.00186257, 0.00114452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0474796, 0.0474996, 0.1966, 0.381499, 0.486378, 0.542694, 0.627122, 0.722505, 0.742301, 0.788755, 0.948442, 1.07215, 1.23597, 1.36746, 1.43065, 1.49283, 1.65723, 1.81596, 1.90057, 1.98517, 2.15438, 2.4928, 2.74661");
-            values ( \
-              "1e-22, 0.0733183, 0.0644057, 0.0608528, 0.0575349, 0.0565, 0.0534673, 0.0472833, 0.0472169, 0.045076, 0.0330895, 0.0244571, 0.0163747, 0.0113678, 0.00946682, 0.0078723, 0.00475519, 0.00290675, 0.00226379, 0.00170071, 0.000989896, 0.000330365, 0.0001653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0659132, 0.0798879, 0.0873444, 0.0897226, 0.0932309, 0.0978586, 0.10518, 0.114942, 0.152221, 0.165939, 0.183402, 0.193013, 0.207081, 0.219002, 0.248523, 0.268144, 0.273176, 0.278257, 0.282756, 0.291056, 0.300077, 0.312181, 0.321425, 0.34209, 0.37047, 0.392188, 0.416698, 0.453378, 0.490939, 0.554165, 0.625472, 0.710077, 0.794681");
-            values ( \
-              "2.42507e-06, 0.0139715, 0.0170953, 0.0213322, 0.0198783, 0.0186791, 0.0177765, 0.0171197, 0.0159153, 0.0152548, 0.0140811, 0.0135791, 0.0138971, 0.0132623, 0.0103783, 0.00882226, 0.00890469, 0.00882463, 0.0088478, 0.00850207, 0.00792799, 0.00738295, 0.00706503, 0.00602779, 0.00412021, 0.003137, 0.00234943, 0.00150768, 0.00093682, 0.00040299, 0.000178336, 2.7681e-05, 3.50309e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0656309, 0.0851496, 0.0873674, 0.0885031, 0.0894309, 0.0959817, 0.0999575, 0.107297, 0.116118, 0.125747, 0.152957, 0.175489, 0.193425, 0.212425, 0.2354, 0.245008, 0.261594, 0.279746, 0.283445, 0.296711, 0.301545, 0.306706, 0.316339, 0.324619, 0.347367, 0.359217, 0.37165, 0.392354, 0.403566, 0.418278, 0.432254, 0.462752, 0.477373, 0.522487, 0.545078, 0.551892, 0.592772, 0.641342, 0.725131, 0.89434");
-            values ( \
-              "0.0013958, 0.0242171, 0.0255337, 0.030987, 0.0316673, 0.028704, 0.0276969, 0.0265831, 0.025931, 0.0254084, 0.0243967, 0.0234473, 0.0221682, 0.0206463, 0.0213403, 0.0195424, 0.0182384, 0.015585, 0.0156461, 0.0141052, 0.0133042, 0.0127207, 0.0119443, 0.0117918, 0.0115155, 0.0108778, 0.0100905, 0.00838953, 0.00733538, 0.0062274, 0.005294, 0.00382121, 0.00327357, 0.00200903, 0.00161006, 0.00145091, 0.000914268, 0.000561446, 0.000173768, 3.8774e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0659327, 0.0918279, 0.0939714, 0.100831, 0.106829, 0.117177, 0.127872, 0.173023, 0.201367, 0.246226, 0.264872, 0.322721, 0.368053, 0.380502, 0.394326, 0.395382, 0.397495, 0.401721, 0.423403, 0.456199, 0.493855, 0.530602, 0.557954, 0.583963, 0.647271, 0.691585, 0.750671, 0.835276, 1.00448");
-            values ( \
-              "0.00137407, 0.0439012, 0.0417582, 0.0388949, 0.0377271, 0.0367352, 0.036089, 0.0343258, 0.032997, 0.0296285, 0.030545, 0.0240608, 0.0176303, 0.0168154, 0.0162973, 0.0165144, 0.0163576, 0.016389, 0.0154467, 0.012736, 0.00908975, 0.00656706, 0.00516933, 0.00411333, 0.00232312, 0.0015466, 0.000958478, 0.000369046, 4.22032e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0660283, 0.0926093, 0.10221, 0.109815, 0.118108, 0.132081, 0.207018, 0.24832, 0.284174, 0.30423, 0.327426, 0.349125, 0.389009, 0.43505, 0.478221, 0.516604, 0.559779, 0.631669, 0.65925, 0.715675, 0.764161, 0.819574, 0.899929, 0.963917, 1.04852, 1.21773, 1.30233");
-            values ( \
-              "0.00271029, 0.0555118, 0.05046, 0.048945, 0.0482413, 0.0474026, 0.0447535, 0.0430018, 0.0405649, 0.0397291, 0.0399914, 0.0376022, 0.0339271, 0.0275262, 0.0246244, 0.0208968, 0.0176983, 0.0117451, 0.00989966, 0.00683183, 0.004992, 0.00339816, 0.00196342, 0.00128866, 0.000682795, 0.000194941, 0.000134293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0662106, 0.0954675, 0.107507, 0.116936, 0.127308, 0.156627, 0.266306, 0.333837, 0.392611, 0.405116, 0.454407, 0.494509, 0.556002, 0.60553, 0.74738, 0.881088, 0.973599, 1.02497, 1.07756, 1.15301, 1.25179, 1.421, 1.59021, 1.59093");
-            values ( \
-              "0.00659789, 0.0650359, 0.0600817, 0.0588626, 0.0582794, 0.0571871, 0.0543004, 0.0519485, 0.0491882, 0.049438, 0.0470667, 0.0442291, 0.0377568, 0.0352647, 0.0220832, 0.0134167, 0.00893793, 0.00707959, 0.00561599, 0.00386832, 0.00240986, 0.00104878, 0.000449211, 0.00044837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0779519, 0.096787, 0.124111, 0.155748, 0.418175, 0.523043, 0.574858, 0.663141, 0.752605, 0.81839, 1.1311, 1.31735, 1.4655, 1.56556, 1.71822, 1.93601, 2.18747");
-            values ( \
-              "0.0600595, 0.0727918, 0.0668244, 0.0657988, 0.0608006, 0.0574826, 0.0566644, 0.0534484, 0.0474975, 0.0454614, 0.0232555, 0.0146227, 0.00953615, 0.00707048, 0.00442417, 0.00226074, 0.0011607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.12815, 0.160295, 0.178943, 0.206711, 0.210482, 0.213362, 0.2175, 0.220829, 0.227486, 0.229482, 0.241457, 0.253971, 0.280988, 0.29491, 0.307375, 0.331732, 0.343849, 0.347291, 0.356628, 0.360643, 0.364915, 0.369431, 0.378365, 0.388025, 0.402175, 0.411851, 0.432352, 0.438954, 0.458654, 0.48071, 0.50458, 0.540854, 0.578838, 0.600362, 0.643411, 0.717668, 0.734676");
-            values ( \
-              "0.00343723, 0.00892083, 0.0119582, 0.0160279, 0.016461, 0.0178259, 0.017613, 0.0169812, 0.0166187, 0.0164446, 0.0159316, 0.0152934, 0.0135168, 0.0139798, 0.013185, 0.0107988, 0.00978431, 0.00939592, 0.0088159, 0.00890884, 0.00882461, 0.00887385, 0.00854381, 0.00793595, 0.00731649, 0.00695816, 0.00589132, 0.00539086, 0.00409872, 0.00311366, 0.00235168, 0.0015181, 0.000939787, 0.000745299, 0.000398464, 0.000165271, 0.000137622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.129415, 0.164173, 0.184276, 0.210206, 0.212274, 0.213996, 0.216523, 0.226567, 0.24874, 0.269032, 0.293253, 0.300003, 0.313505, 0.328479, 0.332508, 0.340568, 0.354007, 0.370919, 0.37187, 0.377573, 0.390583, 0.400228, 0.40768, 0.418235, 0.42321, 0.433159, 0.44406, 0.456821, 0.478473, 0.491014, 0.519861, 0.550537, 0.555136, 0.564333, 0.613412, 0.633116, 0.639525, 0.652344, 0.67798, 0.729253, 0.813858, 0.983067");
-            values ( \
-              "0.00501755, 0.0144152, 0.0193178, 0.0250168, 0.0269631, 0.0272691, 0.0265985, 0.0252918, 0.0242, 0.0230879, 0.0211748, 0.0208422, 0.0211853, 0.0206029, 0.019782, 0.0190289, 0.0172964, 0.0154672, 0.0154806, 0.014927, 0.0131118, 0.0121782, 0.0118314, 0.0117257, 0.0117231, 0.0115285, 0.0110485, 0.0102402, 0.0085228, 0.00732937, 0.00527767, 0.00379997, 0.00368259, 0.00328319, 0.00192419, 0.00160799, 0.00143961, 0.00130697, 0.000930339, 0.000564905, 0.000162633, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.129322, 0.152063, 0.170658, 0.193109, 0.210276, 0.212815, 0.220475, 0.226812, 0.237705, 0.27456, 0.295668, 0.333615, 0.353064, 0.378321, 0.400863, 0.409644, 0.457162, 0.468877, 0.482285, 0.483678, 0.496682, 0.511544, 0.527996, 0.544242, 0.560758, 0.579498, 0.616506, 0.645216, 0.673546, 0.699056, 0.735402, 0.77746, 0.833538, 0.918143, 1.00275, 1.08735");
-            values ( \
-              "0.00514076, 0.0156568, 0.0225014, 0.0301308, 0.035454, 0.0389411, 0.0369702, 0.0361067, 0.0353333, 0.0337777, 0.032572, 0.0296668, 0.0305109, 0.027687, 0.0248948, 0.0240998, 0.0174458, 0.0167704, 0.0163002, 0.0164458, 0.0161103, 0.0153579, 0.0140882, 0.0126317, 0.0109299, 0.00926401, 0.00668426, 0.00520384, 0.00406167, 0.00326788, 0.00233582, 0.0015967, 0.000970937, 0.000415008, 0.000202353, 7.3555e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.128794, 0.158334, 0.179987, 0.210115, 0.213051, 0.217212, 0.220595, 0.234192, 0.269102, 0.294456, 0.325776, 0.341467, 0.365358, 0.390665, 0.393586, 0.397481, 0.405272, 0.420852, 0.444309, 0.448427, 0.47449, 0.519703, 0.532701, 0.54657, 0.562976, 0.604786, 0.645016, 0.693735, 0.722856, 0.752768, 0.787869, 0.803197, 0.833853, 0.868259, 0.91913, 0.98162, 1.06494, 1.14955, 1.23415, 1.31875, 1.40336");
-            values ( \
-              "0.00450658, 0.0233634, 0.033606, 0.0465902, 0.0499662, 0.0501776, 0.0486548, 0.0470686, 0.0456124, 0.0448228, 0.0434883, 0.0427086, 0.0410084, 0.0396065, 0.0399246, 0.0400367, 0.0399937, 0.0393015, 0.0371033, 0.0365384, 0.0341916, 0.0278911, 0.0266667, 0.0259423, 0.0248058, 0.0208635, 0.0178807, 0.0137337, 0.0114866, 0.00950401, 0.00756208, 0.00685082, 0.00558622, 0.00444964, 0.00314266, 0.00205124, 0.00115292, 0.000624959, 0.000353955, 0.000184953, 0.000112341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.147106, 0.174525, 0.210109, 0.215202, 0.225393, 0.233514, 0.247917, 0.353401, 0.414957, 0.455962, 0.491408, 0.494159, 0.505162, 0.519832, 0.542965, 0.579061, 0.622003, 0.637628, 0.674571, 0.716352, 0.793392, 0.836067, 0.907419, 0.964467, 1.05638, 1.12051, 1.19025, 1.24833, 1.31018, 1.39265, 1.47726, 1.64647, 1.90028");
-            values ( \
-              "0.033945, 0.0376067, 0.0568014, 0.061947, 0.0587013, 0.0579089, 0.0571485, 0.0542833, 0.0521923, 0.0500729, 0.0490364, 0.0491918, 0.048874, 0.0482547, 0.0468853, 0.0444789, 0.0401696, 0.0382155, 0.0363626, 0.033241, 0.0256224, 0.0220046, 0.0171369, 0.0136545, 0.00913858, 0.00682269, 0.00496575, 0.0037345, 0.00278648, 0.00189581, 0.00123571, 0.000536836, 0.000167794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.162427, 0.205748, 0.210164, 0.212252, 0.218611, 0.223967, 0.226889, 0.232486, 0.241547, 0.25893, 0.276761, 0.428191, 0.467898, 0.505591, 0.558417, 0.610518, 0.636413, 0.662308, 0.70671, 0.727017, 0.748281, 0.754285, 0.763518, 0.791611, 0.813276, 0.834245, 0.854626, 0.876148, 0.894949, 0.912664, 0.935559, 0.962393, 1.12711, 1.16333, 1.19955, 1.24845, 1.29261, 1.3512, 1.39226, 1.42015, 1.44855, 1.50044, 1.56116, 1.58419, 1.61363, 1.66074, 1.71376, 1.77721, 1.81233, 1.85425");
-            values ( \
-              "0.0611552, 0.063556, 0.0678952, 0.0715983, 0.0687719, 0.0674092, 0.0670139, 0.0665003, 0.066063, 0.0655453, 0.0651603, 0.0623909, 0.0615819, 0.0606987, 0.0590229, 0.057553, 0.0570114, 0.0565953, 0.0549829, 0.0541844, 0.0534976, 0.0531868, 0.0525367, 0.0508189, 0.0491255, 0.0478107, 0.0472988, 0.0466532, 0.0458877, 0.0449412, 0.0434103, 0.0414698, 0.0289795, 0.0265316, 0.0243016, 0.0217149, 0.0195167, 0.0167739, 0.0150153, 0.0139258, 0.0128884, 0.0111715, 0.00929464, 0.00865919, 0.00791444, 0.00686341, 0.00584717, 0.00481142, 0.00430913, 0.00377345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.249741, 0.288465, 0.296627, 0.335828, 0.353575, 0.400029, 0.436307, 0.444259, 0.45665, 0.467823, 0.497887, 0.505719, 0.510186, 0.517623, 0.524318, 0.526053, 0.546994, 0.567406, 0.572116, 0.576447, 0.580791, 0.585675, 0.594633, 0.602343, 0.604374, 0.608437, 0.616561, 0.636221, 0.653666, 0.667404, 0.684843, 0.696943, 0.710481, 0.720884, 0.739916, 0.757844, 0.784697, 0.795064, 0.815797, 0.857265, 0.932538, 1.01714, 1.10175");
-            values ( \
-              "0.0014087, 0.00261035, 0.00308389, 0.00583793, 0.00699268, 0.00973439, 0.0116952, 0.0120747, 0.0125636, 0.0128278, 0.0130437, 0.0138979, 0.014281, 0.0140506, 0.0130847, 0.0130297, 0.0109478, 0.0091471, 0.00892656, 0.00889372, 0.00891732, 0.00887685, 0.00860779, 0.0080409, 0.00799953, 0.00774644, 0.00745882, 0.00657928, 0.00552476, 0.0045388, 0.00361947, 0.00313712, 0.00267079, 0.00237016, 0.0018891, 0.00152118, 0.00108974, 0.000955043, 0.000738083, 0.000426345, 0.000153254, 4.25711e-05, 1.72192e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.252744, 0.292521, 0.361614, 0.411586, 0.45055, 0.472003, 0.48434, 0.49491, 0.505481, 0.510932, 0.523671, 0.53429, 0.564824, 0.582229, 0.5868, 0.595545, 0.613606, 0.621249, 0.629905, 0.638984, 0.651883, 0.663466, 0.688092, 0.722352, 0.750023, 0.770986, 0.795069, 0.815687, 0.84511, 0.878446, 0.922893, 1.0075, 1.0921, 1.17671");
-            values ( \
-              "0.00247867, 0.00433637, 0.0113045, 0.0157862, 0.0189833, 0.0204062, 0.0209194, 0.0211915, 0.0212308, 0.0216168, 0.0212543, 0.0215131, 0.0180861, 0.0158583, 0.0155863, 0.0146305, 0.0123435, 0.0119569, 0.0117644, 0.0117808, 0.0114453, 0.0108888, 0.00908325, 0.00611926, 0.00453049, 0.00363572, 0.00281892, 0.00227525, 0.00163564, 0.00113242, 0.000694604, 0.000242304, 9.53502e-05, 2.76315e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.252841, 0.299588, 0.362157, 0.430615, 0.473874, 0.505577, 0.511284, 0.522697, 0.534969, 0.546129, 0.54881, 0.554171, 0.564115, 0.574927, 0.588523, 0.607909, 0.612633, 0.622079, 0.628142, 0.665421, 0.679348, 0.692119, 0.69776, 0.709041, 0.723855, 0.757395, 0.79869, 0.841675, 0.87876, 0.902849, 0.945079, 0.991153, 1.0272, 1.09928, 1.18388, 1.19283");
-            values ( \
-              "0.00297707, 0.00713233, 0.0160335, 0.0248209, 0.0298442, 0.0325963, 0.0346305, 0.030991, 0.0315287, 0.0294102, 0.0303955, 0.0298477, 0.0307402, 0.02951, 0.0284793, 0.0257061, 0.0255034, 0.0241708, 0.0238256, 0.0183481, 0.0169639, 0.0164487, 0.0165052, 0.0161791, 0.0155801, 0.0128424, 0.00892655, 0.00611833, 0.00444297, 0.00359768, 0.00246084, 0.00162993, 0.00117228, 0.000596962, 0.000263854, 0.000252652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.279195, 0.320528, 0.373019, 0.412593, 0.433632, 0.447105, 0.460578, 0.466563, 0.472549, 0.478534, 0.484519, 0.49564, 0.50676, 0.509317, 0.524054, 0.549591, 0.56189, 0.579755, 0.586091, 0.592428, 0.605101, 0.608021, 0.613861, 0.619701, 0.631381, 0.640629, 0.656696, 0.662821, 0.689584, 0.718801, 0.732293, 0.735479, 0.745035, 0.762608, 0.779448, 0.792206, 0.79839, 0.810757, 0.856615, 0.87605, 0.921549, 0.945415, 0.960413, 0.986477, 1.00914, 1.03913, 1.06807, 1.09666, 1.12525, 1.15209");
-            values ( \
-              "0.0125847, 0.0130615, 0.022693, 0.0296408, 0.033147, 0.036414, 0.0404787, 0.0406782, 0.041015, 0.041489, 0.0421003, 0.0436005, 0.0455745, 0.0457973, 0.0445637, 0.0430486, 0.0422327, 0.0409441, 0.0405836, 0.0402605, 0.039727, 0.0400007, 0.040005, 0.0399045, 0.0393084, 0.0386481, 0.0372358, 0.0365536, 0.0339828, 0.0301605, 0.0279726, 0.0276647, 0.0268633, 0.0257746, 0.0245497, 0.0234169, 0.0226972, 0.021536, 0.0180488, 0.0164688, 0.0125895, 0.0108408, 0.00986519, 0.00837755, 0.00723256, 0.005862, 0.00485082, 0.00402397, 0.0033219, 0.00276759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.336223, 0.438736, 0.450724, 0.474698, 0.47867, 0.486614, 0.496616, 0.502392, 0.506473, 0.507629, 0.511094, 0.513773, 0.516452, 0.521759, 0.52972, 0.599952, 0.634561, 0.668676, 0.686803, 0.70493, 0.707586, 0.711303, 0.720863, 0.74488, 0.781088, 0.787271, 0.794366, 0.823007, 0.850171, 0.863449, 0.867875, 0.870566, 0.877743, 0.892096, 0.916209, 0.955809, 1.00318, 1.03362, 1.05996, 1.1132, 1.15038, 1.18138, 1.22161, 1.25967, 1.29148, 1.32974, 1.36402, 1.3983, 1.44442, 1.48699");
-            values ( \
-              "0.0373993, 0.0421112, 0.0451747, 0.0519559, 0.0520136, 0.0524293, 0.0535219, 0.0546015, 0.0569771, 0.0569788, 0.0569079, 0.0567754, 0.0565752, 0.0559172, 0.0555199, 0.053237, 0.0517116, 0.0499967, 0.0494348, 0.0491152, 0.0491502, 0.0491018, 0.0487677, 0.0475146, 0.0451754, 0.0448496, 0.0442709, 0.041425, 0.0383629, 0.0374111, 0.0371887, 0.0371546, 0.0368589, 0.036076, 0.0343506, 0.0307648, 0.0258194, 0.0232594, 0.0213277, 0.0176672, 0.0152756, 0.0134212, 0.0113413, 0.00959642, 0.0082459, 0.00687345, 0.00590323, 0.00504139, 0.00406439, 0.00327673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.253065, 0.469551, 0.515098, 0.546706, 0.568812, 0.598596, 0.632954, 0.739156, 0.823754, 0.871533, 0.964833, 1.04348, 1.06395, 1.08465, 1.12546, 1.28559, 1.41033, 1.57151, 1.70229, 1.83248, 1.99607, 2.06648, 2.15059, 2.3198, 2.48901, 2.65822, 2.71652");
-            values ( \
-              "0.00210196, 0.0558186, 0.0657849, 0.0639945, 0.0639379, 0.0630573, 0.0626872, 0.060358, 0.0575065, 0.0567393, 0.0534304, 0.0481122, 0.0472636, 0.0470153, 0.0450501, 0.0331182, 0.0244202, 0.0164609, 0.0114595, 0.00781932, 0.00473567, 0.00381038, 0.0029312, 0.00171492, 0.000997731, 0.000577244, 0.000520983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.57146, 0.654747, 0.699371, 0.778834, 0.825996, 0.883511, 0.934141, 0.958494, 0.982359, 1.0023, 1.0217, 1.02601, 1.03464, 1.04327, 1.05244, 1.06742, 1.07514, 1.08465, 1.14166, 1.16788, 1.19874, 1.21591, 1.21943, 1.22629, 1.29176, 1.32858, 1.40613, 1.44511");
-            values ( \
-              "0.00130015, 0.00158184, 0.00256068, 0.00502669, 0.00617714, 0.00735568, 0.00797712, 0.00793177, 0.00843715, 0.00923999, 0.0087269, 0.00907451, 0.0088896, 0.00897026, 0.00887331, 0.00860726, 0.00884707, 0.00884425, 0.00658519, 0.00471355, 0.00333382, 0.00275071, 0.0030636, 0.00296812, 0.0013247, 0.000820511, 0.000293605, 0.00020071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.571108, 0.673528, 0.700467, 0.790273, 0.842405, 0.904495, 0.95876, 0.985931, 1.00971, 1.03392, 1.05553, 1.06334, 1.0729, 1.08008, 1.09503, 1.11171, 1.13043, 1.14317, 1.15774, 1.17919, 1.2156, 1.2219, 1.2669, 1.32034, 1.35689, 1.38804, 1.45181, 1.53642, 1.56216");
-            values ( \
-              "0.00174073, 0.00302735, 0.00399888, 0.00807727, 0.00996819, 0.0118928, 0.0130572, 0.0131326, 0.0139203, 0.0153629, 0.0145791, 0.0152379, 0.014598, 0.0149436, 0.0142464, 0.0126076, 0.0120032, 0.0121719, 0.0118243, 0.0105735, 0.00748748, 0.00758977, 0.00480878, 0.00273668, 0.00184166, 0.00130157, 0.000634134, 0.000231471, 0.000201259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.571325, 0.656963, 0.870259, 0.939291, 1.00083, 1.03093, 1.05487, 1.07795, 1.10091, 1.10521, 1.13449, 1.19126, 1.21591, 1.22245, 1.23105, 1.33681, 1.3933, 1.45019, 1.54186, 1.6159, 1.65143");
-            values ( \
-              "0.00305467, 0.00382619, 0.0155578, 0.0186728, 0.0207633, 0.0211421, 0.0222062, 0.0241245, 0.0233707, 0.0239278, 0.0230198, 0.0173753, 0.0169284, 0.0174174, 0.0171751, 0.00783013, 0.0048055, 0.00290308, 0.0012668, 0.000637869, 0.00048632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.571264, 0.656582, 0.669872, 0.696452, 0.725913, 0.790744, 0.810985, 0.851467, 0.913452, 0.993016, 1.06247, 1.1062, 1.12462, 1.14735, 1.1829, 1.18778, 1.19754, 1.20486, 1.21256, 1.21597, 1.22201, 1.26102, 1.27506, 1.30633, 1.32331, 1.38627, 1.43665, 1.45788, 1.4816, 1.51706, 1.54173, 1.56676, 1.59854, 1.65455, 1.67853, 1.71234, 1.75742, 1.84203, 1.92663, 2.01124, 2.18045");
-            values ( \
-              "0.00427199, 0.00538305, 0.00605202, 0.00765659, 0.00981429, 0.015149, 0.016628, 0.0194296, 0.0234528, 0.0283429, 0.0317069, 0.0329477, 0.0343145, 0.0357292, 0.0352044, 0.034819, 0.0345509, 0.0340445, 0.0334272, 0.032909, 0.0330828, 0.0278033, 0.0270271, 0.024706, 0.0227725, 0.0177653, 0.0133543, 0.0117255, 0.0101342, 0.0080313, 0.00684551, 0.005809, 0.0047126, 0.00321403, 0.00273706, 0.00217691, 0.0015965, 0.000881441, 0.000483343, 0.000268219, 8.32264e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.607904, 0.701145, 0.855627, 0.978011, 1.06262, 1.12501, 1.15383, 1.19308, 1.21558, 1.22878, 1.24248, 1.31149, 1.38543, 1.39113, 1.41841, 1.4603, 1.52575, 1.56597, 1.63528, 1.70372, 1.74396, 1.79475, 1.852, 1.92165, 1.98238, 2.06326, 2.14787, 2.23247, 2.40168, 2.65549");
-            values ( \
-              "0.00949303, 0.0102018, 0.0242718, 0.0343052, 0.0408201, 0.0449737, 0.0464681, 0.047895, 0.0491747, 0.0493903, 0.0491939, 0.0447764, 0.0373837, 0.0374233, 0.0360205, 0.0325616, 0.0259677, 0.0224856, 0.0176932, 0.0134829, 0.0114066, 0.00905072, 0.00697357, 0.00507101, 0.00377345, 0.0025657, 0.00173031, 0.00112279, 0.000485229, 0.000157731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.607762, 0.713408, 0.886301, 1.07173, 1.14105, 1.21543, 1.23417, 1.32523, 1.3759, 1.39976, 1.43568, 1.45211, 1.45975, 1.48621, 1.57016, 1.59071, 1.61246, 1.63696, 1.66294, 1.88993, 1.95149, 2.05592, 2.13769, 2.19264, 2.26905, 2.31939, 2.45437, 2.53897, 2.58712, 2.75633, 2.92554, 3.09475, 3.26396, 3.60238");
-            values ( \
-              "0.0103104, 0.0133656, 0.0310032, 0.0488268, 0.0550136, 0.0610195, 0.061215, 0.0580624, 0.0568553, 0.0566026, 0.0549697, 0.0546617, 0.0541301, 0.0533243, 0.0476085, 0.0473263, 0.0465801, 0.0455679, 0.0438963, 0.0270511, 0.023289, 0.0180977, 0.0145885, 0.012525, 0.010035, 0.00863701, 0.00573348, 0.00445659, 0.00379569, 0.00222288, 0.00129066, 0.000741884, 0.000419993, 0.000121086" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00617721, 0.00619004, 0.00620504, 0.00622014, 0.00623321, 0.00624312", \
-            "0.00690042, 0.00691265, 0.00692818, 0.00694523, 0.0069612, 0.0069741", \
-            "0.00728806, 0.00729636, 0.00730773, 0.00732125, 0.00733607, 0.00734922", \
-            "0.00752901, 0.00753102, 0.00753461, 0.00754022, 0.00754726, 0.00755482", \
-            "0.0076981, 0.00769195, 0.00768441, 0.00767693, 0.00767113, 0.00766808", \
-            "0.0078257, 0.00781529, 0.00780053, 0.00778179, 0.00776113, 0.00774244" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00755322, 0.00755594, 0.00755986, 0.00756422, 0.00756813, 0.00757111", \
-            "0.00757273, 0.00756129, 0.00754729, 0.00753225, 0.00751836, 0.0075072", \
-            "0.00745183, 0.0074305, 0.00740331, 0.00737573, 0.00734558, 0.00731872", \
-            "0.00740709, 0.00737029, 0.00732682, 0.00727852, 0.00723134, 0.00719151", \
-            "0.00762099, 0.0075462, 0.00745501, 0.00735674, 0.00726328, 0.00718724", \
-            "0.00804163, 0.00806689, 0.00794195, 0.00773242, 0.00751819, 0.0073461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0203444, 0.0242613, 0.0303671, 0.0340491, 0.0357409, 0.0391245, 0.044675, 0.0502314, 0.071999, 0.0798266, 0.0946766, 0.109529, 0.123362, 0.125749, 0.145702, 0.158363, 0.182992, 0.210741, 0.26952, 0.280754, 0.297722, 0.348757, 0.453139");
-            values ( \
-              "-0.011986, -0.0277931, -0.0268999, -0.0269652, -0.0267989, -0.0269254, -0.02677, -0.0268241, -0.0259822, -0.0259499, -0.0264354, -0.0260452, -0.0223912, -0.022221, -0.0154435, -0.0119345, -0.00611175, -0.00476809, -0.00107127, -0.000527032, -0.000310681, -0.000362395, -0.000210343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00376452");
-            index_3 ("0.0203205, 0.0249813, 0.0298854, 0.0348434, 0.054815, 0.078223, 0.0888446, 0.106004, 0.123164, 0.140218, 0.141961, 0.163468, 0.179797, 0.212292, 0.221992, 0.255414, 0.270114, 0.287313, 0.315978, 0.327068, 0.351827, 0.500021");
-            values ( \
-              "-0.0162764, -0.04191, -0.041106, -0.0408602, -0.0406285, -0.0394828, -0.0391867, -0.0398306, -0.0391198, -0.0335888, -0.0335551, -0.0243181, -0.01831, -0.00916541, -0.00738848, -0.00526808, -0.0040446, -0.00295828, -0.00149298, -0.0010783, -0.000808352, -0.000252254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00656081");
-            index_3 ("0.0222427, 0.0222627, 0.0321938, 0.0787793, 0.104475, 0.125649, 0.146817, 0.170072, 0.204837, 0.233227, 0.27131, 0.296869, 0.315633, 0.375957, 0.419828, 0.517063, 0.532533");
-            values ( \
-              "-1e-22, -0.0615168, -0.0584463, -0.0569769, -0.0554988, -0.0561229, -0.054807, -0.0469679, -0.0310597, -0.0206985, -0.0107736, -0.00689419, -0.00557972, -0.00294429, -0.00171225, -0.000647866, -0.000597907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0114342");
-            index_3 ("0.0226598, 0.0226798, 0.027872, 0.0358258, 0.0615242, 0.0959712, 0.131287, 0.167443, 0.175713, 0.187817, 0.218984, 0.265406, 0.303248, 0.355525, 0.376354, 0.391791, 0.483539, 0.572641, 0.642673, 0.657088");
-            values ( \
-              "-1e-22, -0.0807729, -0.0781807, -0.0771784, -0.0766623, -0.0752201, -0.0730951, -0.0732905, -0.072698, -0.0706599, -0.0608589, -0.0408051, -0.0277394, -0.0140842, -0.0102729, -0.00849992, -0.00429862, -0.00195165, -0.000980314, -0.000913288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0199276");
-            index_3 ("0.0230723, 0.0230923, 0.0354058, 0.107661, 0.168506, 0.217801, 0.257885, 0.29328, 0.372857, 0.449514, 0.493041, 0.559303, 0.636787, 0.736961, 0.872329");
-            values ( \
-              "-1e-22, -0.0983801, -0.0950234, -0.0929537, -0.089813, -0.0889945, -0.0854498, -0.0765734, -0.0491271, -0.0268478, -0.0193355, -0.0116747, -0.00660706, -0.00344937, -0.00136789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0347298");
-            index_3 ("0.0231733, 0.0231933, 0.0280244, 0.0345047, 0.0496308, 0.104771, 0.138504, 0.257521, 0.318988, 0.349782, 0.382008, 0.413924, 0.558378, 0.599223, 0.641358, 0.696929, 0.780309, 0.848984, 0.89055, 0.941555, 0.985093, 1.07337, 1.13291, 1.31151, 1.37936");
-            values ( \
-              "-1e-22, -0.112363, -0.110462, -0.109643, -0.108924, -0.107964, -0.107032, -0.102828, -0.101202, -0.0997728, -0.096246, -0.0910844, -0.0561111, -0.0451429, -0.0371925, -0.0292522, -0.0196448, -0.0133871, -0.0103841, -0.00783398, -0.00629222, -0.00415131, -0.00313443, -0.0013183, -0.00107884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0327314, 0.0402325, 0.0439962, 0.0453883, 0.0501197, 0.0646159, 0.0913674, 0.0998629, 0.109059, 0.123529, 0.128472, 0.140008, 0.159472, 0.169419, 0.196334, 0.198825, 0.203807, 0.211915, 0.22815, 0.235907, 0.237073, 0.239407, 0.244073, 0.248977, 0.255069, 0.260438, 0.268422, 0.27583, 0.283988, 0.299298, 0.315816, 0.324811, 0.336155, 0.350443, 0.371681, 0.402372, 0.442496, 0.487485, 0.540162, 0.599697");
-            values ( \
-              "-0.00353707, -0.0281207, -0.027291, -0.0271252, -0.0269082, -0.0267511, -0.0259015, -0.0260388, -0.0266011, -0.0259721, -0.0249632, -0.0220552, -0.0157018, -0.0128022, -0.00608076, -0.00614359, -0.00568046, -0.00544246, -0.00457212, -0.00409988, -0.003933, -0.00389368, -0.00347325, -0.00324873, -0.00275978, -0.00248632, -0.00191766, -0.00159951, -0.00105775, -0.000397778, -0.000285546, -0.000369173, -0.000321701, -0.000404592, -0.000325319, -0.000338469, -0.000179168, -0.000162562, -3.15931e-05, -6.33927e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00376452");
-            index_3 ("0.0365888, 0.0373817, 0.0389341, 0.0407932, 0.0443453, 0.0483857, 0.0525979, 0.063295, 0.0810983, 0.0860932, 0.103275, 0.120433, 0.137592, 0.154337, 0.156384, 0.178635, 0.200991, 0.227601, 0.236317, 0.243644, 0.267679, 0.285563, 0.303025, 0.31301, 0.324282, 0.341574, 0.365354, 0.377785, 0.446194, 0.541917, 0.660987");
-            values ( \
-              "-0.0387207, -0.0455011, -0.0465877, -0.0427856, -0.0414168, -0.0410529, -0.0407931, -0.0407409, -0.0401571, -0.0397785, -0.0391535, -0.0398681, -0.0390816, -0.0337399, -0.0335361, -0.0240417, -0.0161513, -0.00887975, -0.00750621, -0.00682022, -0.00545597, -0.00393487, -0.002861, -0.00250188, -0.00171809, -0.00104386, -0.000781939, -0.000847373, -0.000421813, -0.000105945, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00656081");
-            index_3 ("0.0369908, 0.0374539, 0.0390112, 0.0404111, 0.0424656, 0.0466522, 0.0509125, 0.0567751, 0.075901, 0.0847476, 0.115544, 0.125629, 0.140094, 0.161217, 0.171316, 0.181575, 0.217484, 0.238587, 0.261373, 0.279136, 0.295854, 0.308708, 0.320463, 0.328128, 0.371637, 0.38344, 0.403161, 0.429619, 0.465232, 0.520314, 0.626385, 0.68592");
-            values ( \
-              "-0.0582877, -0.0632993, -0.0657032, -0.0612161, -0.0599044, -0.058717, -0.0583453, -0.0581211, -0.0577299, -0.0573519, -0.0556426, -0.0556099, -0.056236, -0.0547675, -0.0517582, -0.0481384, -0.0318146, -0.0235972, -0.0168388, -0.0123612, -0.00878116, -0.00712195, -0.00609829, -0.00565744, -0.00397561, -0.00328649, -0.00243434, -0.00179389, -0.00128429, -0.000739142, -0.00020966, -0.00010423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0114342");
-            index_3 ("0.0375454, 0.0390783, 0.0424695, 0.050473, 0.0907548, 0.145935, 0.182558, 0.202463, 0.233627, 0.280052, 0.317195, 0.370868, 0.407145, 0.514638, 0.595455, 0.654764");
-            values ( \
-              "-0.0815989, -0.0861314, -0.07934, -0.0773457, -0.0761218, -0.0731626, -0.073276, -0.0706626, -0.0608667, -0.0408114, -0.0279381, -0.0139176, -0.00846078, -0.00372505, -0.00182248, -0.00106685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0199276");
-            index_3 ("0.0377972, 0.039036, 0.0404321, 0.0426482, 0.0450611, 0.0517451, 0.0563711, 0.092392, 0.113637, 0.144784, 0.152485, 0.192159, 0.232, 0.235053, 0.245522, 0.25922, 0.273424, 0.29425, 0.318461, 0.372053, 0.391399, 0.463318, 0.474997, 0.490568, 0.514086, 0.570018, 0.583491, 0.610436, 0.637717, 0.660068, 0.708857, 0.771138, 0.866056, 0.925591, 0.985126, 1.1042");
-            values ( \
-              "-0.0993398, -0.10417, -0.0992642, -0.0970997, -0.0959878, -0.0950531, -0.0947103, -0.0939017, -0.0932073, -0.0919132, -0.0913869, -0.0894657, -0.0887457, -0.0888496, -0.0884841, -0.0872622, -0.0850731, -0.0805003, -0.0729893, -0.0541506, -0.047857, -0.0270614, -0.0245533, -0.0217952, -0.0184921, -0.0120383, -0.0108073, -0.00881685, -0.00726774, -0.00622767, -0.00453685, -0.00302008, -0.00153612, -0.000977466, -0.000600012, -0.00022266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0347298");
-            index_3 ("0.0381447, 0.0390845, 0.0431961, 0.0493171, 0.060404, 0.15332, 0.272337, 0.333804, 0.389005, 0.412319, 0.437476, 0.565275, 0.603854, 0.637618, 0.695197, 0.7681, 0.828634, 0.89565, 0.933882, 0.9928, 1.0449, 1.15878, 1.27785, 1.39692, 1.41182");
-            values ( \
-              "-0.113915, -0.118489, -0.111233, -0.109727, -0.109034, -0.107153, -0.102822, -0.101196, -0.097448, -0.0940974, -0.0891312, -0.0580423, -0.0476531, -0.0403057, -0.0314846, -0.0224956, -0.0164236, -0.0109948, -0.00885401, -0.00647629, -0.00505256, -0.00296362, -0.0016632, -0.000907725, -0.000867076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0601786, 0.0733016, 0.0873917, 0.0889828, 0.0909414, 0.092745, 0.0958421, 0.0990703, 0.104912, 0.113702, 0.125926, 0.128618, 0.134001, 0.143485, 0.157605, 0.162269, 0.167598, 0.174427, 0.197546, 0.210065, 0.220885, 0.229729, 0.233232, 0.240239, 0.249396, 0.258907, 0.266717, 0.27117, 0.294032, 0.300288, 0.31605, 0.318197, 0.322492, 0.328766, 0.33702, 0.345458, 0.392894, 0.454919, 0.495942, 0.544269, 0.59932, 0.658855");
-            values ( \
-              "-0.00275089, -0.0188632, -0.0258068, -0.0283333, -0.0281009, -0.0271258, -0.0271252, -0.0267912, -0.026697, -0.0262521, -0.0259168, -0.0259221, -0.0260435, -0.0265807, -0.026038, -0.0250738, -0.0238344, -0.0220384, -0.0145625, -0.0111441, -0.0086766, -0.00621628, -0.00618175, -0.00552981, -0.00536764, -0.00471292, -0.00437336, -0.00399779, -0.00250576, -0.00211115, -0.00124825, -0.00109226, -0.000850272, -0.000564644, -0.000381409, -0.000321959, -0.000372434, -0.000266779, -0.000170422, -0.000101024, -4.30893e-05, -2.55617e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00376452");
-            index_3 ("0.0599941, 0.077695, 0.0872292, 0.0882451, 0.0915313, 0.0939014, 0.0971829, 0.11451, 0.131579, 0.137381, 0.148984, 0.154537, 0.163053, 0.171601, 0.190365, 0.214219, 0.229184, 0.242742, 0.25516, 0.26079, 0.269984, 0.285337, 0.292959, 0.301718, 0.302498, 0.304057, 0.307176, 0.313398, 0.327176, 0.336883, 0.364522, 0.38773, 0.399032, 0.411302, 0.426287, 0.447075, 0.477819, 0.522063, 0.630479, 0.690014");
-            values ( \
-              "-0.00621714, -0.0320797, -0.0389976, -0.0436559, -0.0417032, -0.0411708, -0.040951, -0.0401693, -0.0393124, -0.0391997, -0.0395616, -0.0400405, -0.04001, -0.0388328, -0.033479, -0.0234328, -0.0179513, -0.0139097, -0.0105837, -0.009183, -0.00741623, -0.00634595, -0.00600503, -0.00533623, -0.00539596, -0.005186, -0.00504108, -0.00443971, -0.00342953, -0.00296803, -0.00142891, -0.000887247, -0.000876431, -0.000756157, -0.000759195, -0.000603308, -0.000524516, -0.000282899, -5.09002e-05, -6.90051e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00656081");
-            index_3 ("0.0596975, 0.0809311, 0.0894589, 0.0916671, 0.0943782, 0.099001, 0.102889, 0.109826, 0.149177, 0.162649, 0.174873, 0.195985, 0.217955, 0.257036, 0.284753, 0.32915, 0.343979, 0.372994, 0.437955, 0.464061, 0.55281, 0.579276");
-            values ( \
-              "-0.00713977, -0.0485153, -0.0601572, -0.0602973, -0.0582672, -0.0584708, -0.0578778, -0.0579099, -0.0556366, -0.0556284, -0.056302, -0.0547193, -0.0475122, -0.0297949, -0.0200095, -0.00896206, -0.00710423, -0.00521229, -0.00243426, -0.00180054, -0.000758225, -0.000653248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0114342");
-            index_3 ("0.0648627, 0.0752614, 0.0874102, 0.0888819, 0.0907766, 0.0924512, 0.0984541, 0.135324, 0.176555, 0.188394, 0.204179, 0.20867, 0.217654, 0.235621, 0.256139, 0.268143, 0.312806, 0.347364, 0.377196, 0.406959, 0.427475, 0.442062, 0.460106, 0.540376, 0.565176, 0.609879, 0.672732, 0.717819, 0.752553");
-            values ( \
-              "-0.0235063, -0.0557588, -0.0728722, -0.0789537, -0.0800086, -0.0779267, -0.0770506, -0.0756803, -0.0733249, -0.0730927, -0.0732503, -0.0734806, -0.0732812, -0.0710554, -0.0653585, -0.0607969, -0.0414988, -0.0292532, -0.0209164, -0.0135499, -0.00996082, -0.00847164, -0.0074213, -0.0040562, -0.00325726, -0.0022055, -0.00122001, -0.000767491, -0.000554223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0199276");
-            index_3 ("0.0647823, 0.0787871, 0.0912231, 0.0934135, 0.1013, 0.161504, 0.226222, 0.267157, 0.305306, 0.326011, 0.347469, 0.4102, 0.493903, 0.535275, 0.598247, 0.617317, 0.650592, 0.708856, 0.766978, 0.842065, 0.948302, 0.987975");
-            values ( \
-              "-0.0279612, -0.0745781, -0.097698, -0.0951509, -0.0944772, -0.0927087, -0.0894394, -0.0889312, -0.0858298, -0.0813165, -0.0750193, -0.0530039, -0.0280645, -0.0203467, -0.0126515, -0.0109125, -0.00842286, -0.00562244, -0.0038605, -0.00232309, -0.0010395, -0.000887936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0347298");
-            index_3 ("0.0682005, 0.0916523, 0.0937006, 0.100999, 0.188194, 0.307331, 0.368604, 0.391431, 0.430773, 0.460608, 0.489699, 0.666688, 0.71911, 0.793605, 0.905408, 0.948178, 1.0004, 1.06805, 1.16674, 1.34535, 1.40146");
-            values ( \
-              "-0.0627983, -0.11211, -0.109591, -0.109002, -0.107089, -0.102815, -0.10128, -0.10021, -0.0964688, -0.0915526, -0.0851819, -0.0413445, -0.0329839, -0.0235339, -0.0128577, -0.00988125, -0.00748106, -0.00535307, -0.00337196, -0.00142588, -0.00121278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.113839, 0.130544, 0.155836, 0.178001, 0.210167, 0.211982, 0.215613, 0.221051, 0.2251, 0.226696, 0.229886, 0.235569, 0.241379, 0.253, 0.266195, 0.276447, 0.278468, 0.282512, 0.290598, 0.299287, 0.309798, 0.31488, 0.316278, 0.319073, 0.324662, 0.333308, 0.34197, 0.349611, 0.354294, 0.363481, 0.371285, 0.377308, 0.383622, 0.389948, 0.39822, 0.401097, 0.40685, 0.413591, 0.422595, 0.430982, 0.439835, 0.450038, 0.463317, 0.482465, 0.510393, 0.547896, 0.584721");
-            values ( \
-              "-6.2908e-05, -0.00925374, -0.0152341, -0.019841, -0.0253708, -0.0277207, -0.025364, -0.0270994, -0.0260259, -0.0270531, -0.0263975, -0.0269045, -0.0255062, -0.0234867, -0.019506, -0.015629, -0.0155859, -0.013733, -0.0121689, -0.00939413, -0.00745879, -0.00576, -0.00645532, -0.00548687, -0.00598057, -0.00484912, -0.00518497, -0.00393434, -0.00444026, -0.00297374, -0.00332585, -0.0020644, -0.00253039, -0.00130157, -0.00173851, -0.000670773, -0.00121973, -7.56998e-05, -0.000791184, -1e-22, -0.000767407, -1e-22, -0.000803961, -1e-22, -0.000759187, -1e-22, -0.000505821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00376452");
-            index_3 ("0.113983, 0.137638, 0.16025, 0.18428, 0.213938, 0.221176, 0.231131, 0.238312, 0.252675, 0.260732, 0.274097, 0.29796, 0.317776, 0.346266, 0.354797, 0.362053, 0.385496, 0.41868, 0.441044, 0.461012, 0.568984, 0.664909, 0.78398");
-            values ( \
-              "-0.00120483, -0.016668, -0.0244768, -0.0319676, -0.0400613, -0.0392755, -0.0395545, -0.0400917, -0.0394418, -0.0375813, -0.0333812, -0.0234431, -0.016422, -0.00870541, -0.00740201, -0.00678836, -0.00543737, -0.00299957, -0.00181549, -0.00104273, -0.000430991, -0.000126818, -4.07355e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00656081");
-            index_3 ("0.123089, 0.135913, 0.149453, 0.163938, 0.185013, 0.202085, 0.210242, 0.212951, 0.215808, 0.219046, 0.22552, 0.231133, 0.247435, 0.258003, 0.279095, 0.290926, 0.302402, 0.337956, 0.346126, 0.35901, 0.379414, 0.405961, 0.412361, 0.425453, 0.438245, 0.454773, 0.489042, 0.514342, 0.534179, 0.547945, 0.564811, 0.606502, 0.683615, 0.74315, 0.802685");
-            values ( \
-              "-0.0143105, -0.0225631, -0.0296349, -0.0364696, -0.0458549, -0.0527936, -0.0557719, -0.0576686, -0.0573305, -0.0564906, -0.0562534, -0.0557465, -0.0556629, -0.0563695, -0.0546747, -0.0511958, -0.0469818, -0.0307305, -0.0274157, -0.0227689, -0.0168283, -0.010274, -0.00900969, -0.00726935, -0.00612191, -0.00524442, -0.003974, -0.00267774, -0.00206728, -0.00179902, -0.00152207, -0.00102596, -0.00044253, -0.000220571, -9.8242e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0114342");
-            index_3 ("0.123013, 0.140373, 0.149144, 0.212077, 0.214067, 0.21622, 0.253987, 0.263662, 0.283012, 0.291813, 0.305852, 0.320187, 0.351349, 0.397766, 0.434221, 0.489933, 0.510619, 0.525238, 0.543327, 0.631869, 0.667934, 0.719973, 0.79939, 0.826409");
-            values ( \
-              "-0.0146051, -0.0328776, -0.038926, -0.0768558, -0.0770557, -0.0761163, -0.0736001, -0.073223, -0.0730729, -0.073515, -0.0729249, -0.0707189, -0.0608718, -0.0408003, -0.0281586, -0.0136364, -0.0100059, -0.00843469, -0.0074507, -0.00372826, -0.00272182, -0.00169917, -0.00075733, -0.000627748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0199276");
-            index_3 ("0.122893, 0.146509, 0.176934, 0.214473, 0.21953, 0.224489, 0.231924, 0.241501, 0.256001, 0.270787, 0.310466, 0.330457, 0.350653, 0.390092, 0.416259, 0.436867, 0.503772, 0.580211, 0.595868, 0.614202, 0.637557, 0.681703, 0.711916, 0.744425, 0.769428, 0.813677, 0.871877, 0.957398, 1.01338, 1.13245, 1.25152");
-            values ( \
-              "-0.0152807, -0.0455176, -0.0686905, -0.0944846, -0.0941531, -0.0933607, -0.093463, -0.092733, -0.0923392, -0.0913419, -0.0895037, -0.0890981, -0.0889012, -0.0856491, -0.0795884, -0.0729683, -0.0497219, -0.0274028, -0.0240664, -0.0209815, -0.0178564, -0.0126876, -0.0099621, -0.00788479, -0.00660544, -0.00494089, -0.00338946, -0.00187151, -0.00123984, -0.000482906, -0.000185369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0347298");
-            index_3 ("0.137061, 0.167842, 0.214206, 0.216211, 0.224822, 0.289753, 0.372854, 0.452668, 0.483462, 0.515688, 0.547605, 0.693085, 0.734107, 0.752087, 0.804797, 0.866155, 0.947079, 0.982788, 1.02296, 1.07197, 1.11784, 1.21101, 1.27054, 1.44915, 1.51948");
-            values ( \
-              "-0.0632161, -0.0711507, -0.109657, -0.108759, -0.108262, -0.106544, -0.10343, -0.101209, -0.0997672, -0.0962512, -0.0910804, -0.0558548, -0.0448693, -0.0410682, -0.032732, -0.024865, -0.0164667, -0.0133755, -0.0104693, -0.00797123, -0.00632231, -0.00407607, -0.00307733, -0.00129214, -0.000943267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.239478, 0.263007, 0.288955, 0.303127, 0.339637, 0.393783, 0.412246, 0.415763, 0.422798, 0.435196, 0.444779, 0.454347, 0.505723, 0.509195, 0.514549, 0.544605, 0.55324, 0.583718, 0.589462, 0.597854, 0.605738, 0.624243, 0.633281, 0.642906, 0.65588, 0.674224, 0.700799, 0.739021, 0.780897, 0.829825, 0.886723, 0.946259");
-            values ( \
-              "-0.00434233, -0.00488223, -0.00764543, -0.00902526, -0.0122557, -0.0164864, -0.0184912, -0.019168, -0.0198862, -0.0207111, -0.0204273, -0.0196527, -0.00740068, -0.00756883, -0.0066831, -0.00479055, -0.00406588, -0.0019336, -0.00167509, -0.00107302, -0.000666917, -0.000356198, -0.000406621, -0.000360131, -0.000421651, -0.000360912, -0.000367675, -0.000226929, -0.000186559, -6.37629e-05, -6.61369e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00376452");
-            index_3 ("0.239418, 0.260089, 0.300333, 0.329116, 0.380357, 0.407365, 0.431117, 0.452359, 0.472377, 0.473515, 0.505479, 0.507119, 0.520617, 0.550375, 0.557442, 0.605853, 0.646928, 0.665066, 0.788852, 0.824799");
-            values ( \
-              "-0.00607081, -0.0070592, -0.0133111, -0.0172942, -0.0236275, -0.0265497, -0.0305396, -0.0332135, -0.0309083, -0.0311127, -0.0201791, -0.0207153, -0.0160087, -0.00822957, -0.00745759, -0.00380551, -0.00148689, -0.00102066, -0.000358188, -0.000292586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00656081");
-            index_3 ("0.252897, 0.291026, 0.320618, 0.364214, 0.398857, 0.428936, 0.45553, 0.479682, 0.503825, 0.504232, 0.505633, 0.506808, 0.508583, 0.520022, 0.538742, 0.548991, 0.565996, 0.582319, 0.606598, 0.612698, 0.619999, 0.63176, 0.639159, 0.649104, 0.677347, 0.693746, 0.704504, 0.717975, 0.731642, 0.760077, 0.800602, 0.87014, 0.988086, 1.04762");
-            values ( \
-              "-0.0141285, -0.0168684, -0.0229558, -0.031133, -0.0370341, -0.0416141, -0.0472382, -0.0505952, -0.0456153, -0.0457538, -0.0453706, -0.0458624, -0.0454405, -0.0397367, -0.0312158, -0.0270473, -0.021156, -0.0165615, -0.0104233, -0.00925262, -0.00815115, -0.00680009, -0.00620244, -0.00561991, -0.00452289, -0.00380326, -0.00317757, -0.00259444, -0.00216647, -0.00161101, -0.0010924, -0.000512624, -0.000109553, -7.21881e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0114342");
-            index_3 ("0.252864, 0.287186, 0.302869, 0.334235, 0.387459, 0.410216, 0.462304, 0.505601, 0.512741, 0.521989, 0.529026, 0.536176, 0.550475, 0.598794, 0.611116, 0.635599, 0.65252, 0.685352, 0.703304, 0.713668, 0.72967, 0.750764, 0.778369, 0.818184, 0.856155, 0.877501, 0.931361, 0.969109, 1.01989, 1.07943, 1.13896");
-            values ( \
-              "-0.0176955, -0.0210026, -0.0256185, -0.0339052, -0.0469346, -0.0520959, -0.0627196, -0.0723959, -0.0725838, -0.0708511, -0.0690924, -0.0670201, -0.0619393, -0.0411216, -0.0364203, -0.0282846, -0.0234937, -0.0150311, -0.0113889, -0.00982497, -0.00826427, -0.00717279, -0.00612116, -0.00430583, -0.00307924, -0.00256911, -0.00157473, -0.00108067, -0.000640698, -0.000326985, -0.000172483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0199276");
-            index_3 ("0.252845, 0.285421, 0.341872, 0.399296, 0.421894, 0.470153, 0.505582, 0.512141, 0.525261, 0.538723, 0.552335, 0.571109, 0.593338, 0.614049, 0.638371, 0.677216, 0.692793, 0.695404, 0.700627, 0.720691, 0.744576, 0.778936, 0.800922, 0.843153, 0.872451, 0.8834, 0.938762, 0.965498, 0.996314, 1.01411, 1.04887, 1.08193, 1.12286, 1.16805, 1.2271, 1.28663, 1.34617, 1.4057, 1.46524");
-            values ( \
-              "-0.0188766, -0.0251936, -0.0440617, -0.0614936, -0.0679135, -0.0807264, -0.0886944, -0.0912966, -0.0878864, -0.0902764, -0.0879103, -0.0890958, -0.0842261, -0.0813397, -0.0722927, -0.0598474, -0.0533211, -0.05324, -0.0510753, -0.0448915, -0.0383191, -0.027994, -0.0232702, -0.0172058, -0.0140629, -0.012526, -0.00812425, -0.00704629, -0.00541601, -0.00515299, -0.0038167, -0.0033766, -0.00226596, -0.00192066, -0.000961546, -0.000861514, -0.000270706, -0.000423436, -1.84974e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0347298");
-            index_3 ("0.270561, 0.322153, 0.376748, 0.432556, 0.505434, 0.515905, 0.532226, 0.592479, 0.638275, 0.653714, 0.684593, 0.718413, 0.74025, 0.761834, 0.894639, 0.923469, 0.955803, 1.0102, 1.04395, 1.08251, 1.14858, 1.18095, 1.22261, 1.25938, 1.31543, 1.36196, 1.47424, 1.59331, 1.71238, 1.95053");
-            values ( \
-              "-0.0378893, -0.0434666, -0.0632785, -0.0823783, -0.104915, -0.105911, -0.105057, -0.102779, -0.101503, -0.101231, -0.0997468, -0.0961378, -0.0925941, -0.0881647, -0.0556915, -0.0477304, -0.0405964, -0.0321423, -0.0276961, -0.0230973, -0.0164164, -0.0136386, -0.0105244, -0.00861813, -0.00637563, -0.00511061, -0.0030149, -0.001688, -0.000916157, -0.000251336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.478323, 0.535598, 0.581841, 0.672412, 0.716272, 0.776201, 0.811048, 0.828455, 0.841421, 0.856239, 0.884824, 0.910176, 0.927647, 0.937061, 0.958623, 1.01084, 1.02619, 1.04264, 1.13802, 1.21599, 1.23565, 1.28535, 1.33364");
-            values ( \
-              "-0.000818872, -0.00189764, -0.00321785, -0.0069296, -0.00847628, -0.0103343, -0.0119884, -0.0130923, -0.0131639, -0.0136943, -0.0127471, -0.00997699, -0.00722865, -0.00696732, -0.00591439, -0.00188451, -0.00101165, -0.000729567, -0.000354458, -0.000135216, -0.000291752, -0.000100707, -4.23497e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00376452");
-            index_3 ("0.515517, 0.574862, 0.703429, 0.796266, 0.84425, 0.855362, 0.88266, 0.897973, 0.928599, 0.953302, 0.977531, 1.00782, 1.02848, 1.03972, 1.05194, 1.06186, 1.08197, 1.09407, 1.10278, 1.11396, 1.12889, 1.14791, 1.17699, 1.21587, 1.21833, 1.22052, 1.23215, 1.27292, 1.32549, 1.38503");
-            values ( \
-              "-0.00434258, -0.00461445, -0.0123065, -0.0168102, -0.0203518, -0.021008, -0.021919, -0.0216412, -0.0183397, -0.0141561, -0.0092551, -0.00716471, -0.00507514, -0.00422141, -0.00356426, -0.00270602, -0.00170766, -0.00154457, -0.00130473, -0.00127568, -0.00102642, -0.000952421, -0.000631445, -0.000451521, -0.000872849, -0.000766151, -0.000621173, -0.000309421, -0.000132824, -4.87002e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00656081");
-            index_3 ("0.51537, 0.571948, 0.601961, 0.681575, 0.726123, 0.781455, 0.828993, 0.870724, 0.907711, 0.939973, 0.949131, 0.961341, 1.05174, 1.06319, 1.0736, 1.08146, 1.09086, 1.12105, 1.14988, 1.16445, 1.19026, 1.21584, 1.22625, 1.25101, 1.31378, 1.37331, 1.43285, 1.49238");
-            values ( \
-              "-0.00616482, -0.00656178, -0.0088036, -0.0158924, -0.0194102, -0.0233287, -0.0263861, -0.030241, -0.0334199, -0.0336837, -0.0328649, -0.0312415, -0.0113411, -0.00944331, -0.00823041, -0.00752393, -0.00694307, -0.00542166, -0.00365738, -0.00298287, -0.00223032, -0.00170828, -0.00201384, -0.00146009, -0.000639087, -0.000307811, -0.000115528, -7.44697e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0114342");
-            index_3 ("0.515573, 0.565762, 0.597957, 0.68022, 0.734388, 0.816563, 0.879863, 0.944295, 0.971328, 0.99264, 1.01288, 1.05998, 1.14807, 1.17269, 1.18653, 1.20535, 1.21608, 1.21972, 1.2254, 1.25694, 1.30052, 1.36697, 1.44278, 1.47452");
-            values ( \
-              "-0.00788806, -0.00852019, -0.0114305, -0.0209266, -0.0267174, -0.0346078, -0.0400772, -0.0473325, -0.0493168, -0.0498227, -0.0487013, -0.0387749, -0.0161204, -0.0115738, -0.00998339, -0.00863353, -0.00809412, -0.00852242, -0.00829585, -0.0061904, -0.00411036, -0.00223146, -0.00103237, -0.000770893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0199276");
-            index_3 ("0.540921, 0.608423, 0.697656, 0.758143, 0.860184, 0.955744, 1.01366, 1.06054, 1.07846, 1.0981, 1.11844, 1.14487, 1.23043, 1.25677, 1.2822, 1.3264, 1.36766, 1.38532, 1.41653, 1.47391, 1.53506, 1.56992, 1.61165, 1.70719");
-            values ( \
-              "-0.0150389, -0.0157557, -0.0282337, -0.0360985, -0.0483136, -0.058532, -0.0655236, -0.0698317, -0.0701813, -0.0692483, -0.0665895, -0.0604683, -0.0379941, -0.0298546, -0.0242852, -0.017724, -0.0127396, -0.0111005, -0.00869608, -0.00583149, -0.00391551, -0.0031436, -0.00231791, -0.00117238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0347298");
-            index_3 ("0.54079, 0.613481, 0.745169, 0.82496, 0.931806, 1.02972, 1.13743, 1.16284, 1.20438, 1.216, 1.22762, 1.25798, 1.43735, 1.48911, 1.53114, 1.62003, 1.6686, 1.70985, 1.74678, 1.80295, 1.90309, 2.02216, 2.14123, 2.20142");
-            values ( \
-              "-0.0150368, -0.0193829, -0.0398817, -0.051516, -0.0661654, -0.0782953, -0.0909991, -0.093343, -0.0945481, -0.0940312, -0.0927776, -0.0863308, -0.0417788, -0.0334083, -0.0277567, -0.0179482, -0.0136084, -0.0105742, -0.00860883, -0.00640899, -0.0040136, -0.00228265, -0.00126103, -0.000965789" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00571766, 0.0057253, 0.00573418, 0.00574314, 0.00575098, 0.005757", \
-            "0.00623282, 0.00623875, 0.00624625, 0.00625429, 0.00626181, 0.00626798", \
-            "0.00651212, 0.00651529, 0.00651975, 0.00652519, 0.00653084, 0.00653583", \
-            "0.00668129, 0.00668164, 0.00668256, 0.00668421, 0.00668648, 0.00668907", \
-            "0.00678617, 0.00678376, 0.0067819, 0.00678004, 0.00677859, 0.00677784", \
-            "0.00684711, 0.0068453, 0.00684275, 0.00683952, 0.00683595, 0.00683264" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00724146, 0.00724783, 0.00725513, 0.00726253, 0.00726937, 0.00727543", \
-            "0.007632, 0.00763231, 0.00763314, 0.00763439, 0.00763563, 0.00763638", \
-            "0.00785877, 0.00785042, 0.00784065, 0.00783067, 0.00782213, 0.00781557", \
-            "0.00811996, 0.00810047, 0.00807635, 0.00805101, 0.00802647, 0.00800715", \
-            "0.0083917, 0.00835352, 0.00830473, 0.00825256, 0.00820409, 0.00816385", \
-            "0.00872227, 0.0086657, 0.00858002, 0.00847374, 0.0083687, 0.00828156" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00839774, 0.00841534, 0.00844889, 0.00851009, 0.00860189, 0.00877953", \
-            "0.0083691, 0.00839591, 0.00843576, 0.00849714, 0.00859626, 0.00877409", \
-            "0.00834013, 0.00835741, 0.00840551, 0.00847156, 0.0085783, 0.00876129", \
-            "0.00829066, 0.00832329, 0.00838491, 0.00843877, 0.00855399, 0.00873778", \
-            "0.00823673, 0.00827825, 0.00832243, 0.008399, 0.00850187, 0.00872707", \
-            "0.00831124, 0.00832408, 0.00835092, 0.00840602, 0.00851698, 0.00867599" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00241831, 0.00244029, 0.00246287, 0.00249882, 0.00254882, 0.00264347", \
-            "0.00234142, 0.00236666, 0.00239266, 0.00242786, 0.00248229, 0.00257166", \
-            "0.0022542, 0.00228496, 0.00230982, 0.00235033, 0.00239594, 0.00249689", \
-            "0.00216295, 0.002187, 0.00223532, 0.00226747, 0.00234471, 0.0024336", \
-            "0.00209116, 0.00212157, 0.00216789, 0.0022043, 0.00227032, 0.00239369", \
-            "0.00216751, 0.00218725, 0.00219092, 0.00224256, 0.00231056, 0.0023658" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00888069, 0.00890293, 0.00892489, 0.00895911, 0.00900699, 0.00909962", \
-            "0.00885678, 0.00887812, 0.00890583, 0.00894351, 0.00899433, 0.00908934", \
-            "0.00882523, 0.00884936, 0.00888455, 0.00892381, 0.00898027, 0.0090805", \
-            "0.00877348, 0.00880559, 0.00882579, 0.00890154, 0.00894121, 0.00905861", \
-            "0.0087273, 0.00875588, 0.00877099, 0.00881526, 0.0088804, 0.00903611", \
-            "0.0088219, 0.0088242, 0.00885225, 0.00886073, 0.008893, 0.00899388" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00215208, 0.00217501, 0.00220445, 0.00224344, 0.00230426, 0.0024328", \
-            "0.00212033, 0.00214947, 0.00218358, 0.00221848, 0.00228584, 0.00241588", \
-            "0.00207643, 0.0020993, 0.00214398, 0.00218859, 0.00225349, 0.00238517", \
-            "0.00201229, 0.0020347, 0.00208305, 0.00215002, 0.00220641, 0.0023576", \
-            "0.00195233, 0.00197919, 0.00203163, 0.00209674, 0.00218083, 0.00231975", \
-            "0.00202453, 0.0020387, 0.00207952, 0.0021254, 0.00219126, 0.00229851" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00888069, 0.00890293, 0.00892489, 0.00895911, 0.00900699, 0.00909962", \
-            "0.00885678, 0.00887812, 0.00890583, 0.00894351, 0.00899433, 0.00908934", \
-            "0.00882523, 0.00884936, 0.00888455, 0.00892381, 0.00898027, 0.0090805", \
-            "0.00877348, 0.00880559, 0.00882579, 0.00890154, 0.00894121, 0.00905861", \
-            "0.0087273, 0.00875588, 0.00877099, 0.00881526, 0.0088804, 0.00903611", \
-            "0.0088219, 0.0088242, 0.00885225, 0.00886073, 0.008893, 0.00899388" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00241831, 0.00244029, 0.00246287, 0.00249882, 0.00254882, 0.00264347", \
-            "0.00234142, 0.00236666, 0.00239266, 0.00242786, 0.00248229, 0.00257166", \
-            "0.0022542, 0.00228496, 0.00230982, 0.00235033, 0.00239594, 0.00249689", \
-            "0.00216295, 0.002187, 0.00223532, 0.00226747, 0.00234471, 0.0024336", \
-            "0.00209116, 0.00212157, 0.00216789, 0.0022043, 0.00227032, 0.00239369", \
-            "0.00216751, 0.00218725, 0.00219092, 0.00224256, 0.00231056, 0.0023658" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00885848, 0.00890162, 0.00897333, 0.0090348, 0.00912549, 0.00925069", \
-            "0.00883944, 0.00888864, 0.0089647, 0.00903716, 0.0091288, 0.0092526", \
-            "0.0087955, 0.00884727, 0.00892222, 0.00900961, 0.00910182, 0.00923617", \
-            "0.00872676, 0.00877374, 0.00885935, 0.00895308, 0.00906759, 0.00920618", \
-            "0.00859926, 0.00865991, 0.00873206, 0.00885082, 0.00898049, 0.00916534", \
-            "0.00856265, 0.00859325, 0.00863974, 0.00872283, 0.00886785, 0.00908147" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00305086, 0.00307567, 0.0031014, 0.00313959, 0.00317716, 0.00326476", \
-            "0.00301669, 0.00303994, 0.00307293, 0.00311116, 0.0031524, 0.00323801", \
-            "0.00294772, 0.00298561, 0.00302614, 0.00306457, 0.00314703, 0.00323497", \
-            "0.00282807, 0.00287838, 0.0029242, 0.00299538, 0.00307537, 0.00319124", \
-            "0.00269452, 0.00272885, 0.00280398, 0.00288161, 0.00300375, 0.00313008", \
-            "0.00270407, 0.00273581, 0.00277587, 0.00285269, 0.00294127, 0.00305249" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00858519, 0.00862902, 0.00868108, 0.00873372, 0.00879818, 0.00891514", \
-            "0.00853699, 0.00859188, 0.00864065, 0.00870563, 0.0087801, 0.00890132", \
-            "0.00848074, 0.00852457, 0.00859925, 0.00866598, 0.00875849, 0.00888317", \
-            "0.0083842, 0.00846311, 0.00854204, 0.0086067, 0.00871824, 0.00885375", \
-            "0.00833644, 0.00837608, 0.00843163, 0.0085661, 0.00866242, 0.00882953", \
-            "0.00850061, 0.00850623, 0.00855657, 0.00858818, 0.00864072, 0.00881739" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00225991, 0.00228463, 0.00231807, 0.00235993, 0.0024103, 0.00251133", \
-            "0.00224929, 0.00227959, 0.00231677, 0.00236415, 0.00241851, 0.00252158", \
-            "0.00221628, 0.00224739, 0.00229288, 0.0023493, 0.00241274, 0.00252468", \
-            "0.00210883, 0.00215357, 0.00221354, 0.00228802, 0.0023753, 0.00250747", \
-            "0.00197534, 0.00202103, 0.00207725, 0.00218653, 0.00230687, 0.00245399", \
-            "0.00201487, 0.00204214, 0.00208057, 0.00214092, 0.00223253, 0.00236859" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00885848, 0.00890162, 0.00897333, 0.0090348, 0.00912549, 0.00925069", \
-            "0.00883944, 0.00888864, 0.0089647, 0.00903716, 0.0091288, 0.0092526", \
-            "0.0087955, 0.00884727, 0.00892222, 0.00900961, 0.00910182, 0.00923617", \
-            "0.00872676, 0.00877374, 0.00885935, 0.00895308, 0.00906759, 0.00920618", \
-            "0.00859926, 0.00865991, 0.00873206, 0.00885082, 0.00898049, 0.00916534", \
-            "0.00856265, 0.00859325, 0.00863974, 0.00872283, 0.00886785, 0.00908147" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00305086, 0.00307567, 0.0031014, 0.00313959, 0.00317716, 0.00326476", \
-            "0.00301669, 0.00303994, 0.00307293, 0.00311116, 0.0031524, 0.00323801", \
-            "0.00294772, 0.00298561, 0.00302614, 0.00306457, 0.00314703, 0.00323497", \
-            "0.00282807, 0.00287838, 0.0029242, 0.00299538, 0.00307537, 0.00319124", \
-            "0.00269452, 0.00272885, 0.00280398, 0.00288161, 0.00300375, 0.00313008", \
-            "0.00270407, 0.00273581, 0.00277587, 0.00285269, 0.00294127, 0.00305249" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00775346, 0.00780892, 0.00789059, 0.00798616, 0.0081089, 0.00823323", \
-            "0.00772401, 0.00779089, 0.00787223, 0.00797279, 0.008085, 0.00823755", \
-            "0.00768989, 0.00775087, 0.00784073, 0.00794106, 0.00807922, 0.0082335", \
-            "0.00764132, 0.00769966, 0.0077962, 0.00791449, 0.00804144, 0.00819618", \
-            "0.00764042, 0.00766836, 0.00775876, 0.00785961, 0.00800812, 0.00817472", \
-            "0.00787401, 0.00787467, 0.00786969, 0.00792265, 0.00799383, 0.00816396" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00326509, 0.00329157, 0.00332605, 0.00337184, 0.00343045, 0.00355168", \
-            "0.00325787, 0.00328821, 0.00331981, 0.00337482, 0.00345096, 0.00354693", \
-            "0.00324191, 0.00327469, 0.0033097, 0.00336441, 0.00343911, 0.0035622", \
-            "0.00320041, 0.00322685, 0.00327272, 0.0033356, 0.00341159, 0.00355623", \
-            "0.0031785, 0.00321353, 0.00325665, 0.00330239, 0.00339554, 0.00351791", \
-            "0.0033174, 0.00333565, 0.00336032, 0.00339282, 0.00343347, 0.00353379" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00703286, 0.0070598, 0.00710431, 0.00716673, 0.00727171, 0.00742997", \
-            "0.00700902, 0.00703748, 0.00708033, 0.00715499, 0.00726566, 0.00742533", \
-            "0.00696499, 0.00699823, 0.00705324, 0.00711741, 0.00724944, 0.00742191", \
-            "0.00692907, 0.00695874, 0.0070054, 0.00708604, 0.00720871, 0.0073848", \
-            "0.00695039, 0.00697324, 0.00701221, 0.00704479, 0.0071679, 0.00738419", \
-            "0.00724006, 0.00724333, 0.00724066, 0.00724991, 0.00726922, 0.00741565" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00272664, 0.00275598, 0.00279201, 0.00284438, 0.00291079, 0.00302321", \
-            "0.00270976, 0.00273849, 0.00278201, 0.00283277, 0.00289519, 0.00300812", \
-            "0.00267664, 0.00270962, 0.00276365, 0.00281854, 0.00288168, 0.0029862", \
-            "0.00260171, 0.00264704, 0.00269911, 0.00276559, 0.00284796, 0.00296389", \
-            "0.00252929, 0.00256213, 0.00261324, 0.00268189, 0.00279696, 0.0029333", \
-            "0.00265438, 0.00266572, 0.00268579, 0.00273801, 0.00281223, 0.00291195" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00775346, 0.00780892, 0.00789059, 0.00798616, 0.0081089, 0.00823323", \
-            "0.00772401, 0.00779089, 0.00787223, 0.00797279, 0.008085, 0.00823755", \
-            "0.00768989, 0.00775087, 0.00784073, 0.00794106, 0.00807922, 0.0082335", \
-            "0.00764132, 0.00769966, 0.0077962, 0.00791449, 0.00804144, 0.00819618", \
-            "0.00764042, 0.00766836, 0.00775876, 0.00785961, 0.00800812, 0.00817472", \
-            "0.00787401, 0.00787467, 0.00786969, 0.00792265, 0.00799383, 0.00816396" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00376452, 0.00656081, 0.0114342, 0.0199276, 0.0347298");
-          values ( \
-            "0.00326509, 0.00329157, 0.00332605, 0.00337184, 0.00343045, 0.00355168", \
-            "0.00325787, 0.00328821, 0.00331981, 0.00337482, 0.00345096, 0.00354693", \
-            "0.00324191, 0.00327469, 0.0033097, 0.00336441, 0.00343911, 0.0035622", \
-            "0.00320041, 0.00322685, 0.00327272, 0.0033356, 0.00341159, 0.00355623", \
-            "0.0031785, 0.00321353, 0.00325665, 0.00330239, 0.00339554, 0.00351791", \
-            "0.0033174, 0.00333565, 0.00336032, 0.00339282, 0.00343347, 0.00353379" \
-          );
-        }
-      }
-    }
-    pin (S) {
-      direction : output;
-      function : "(A * B * CI) + (A * !B * !CI) + (!A * B * !CI) + (!A * !B * CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0828205;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.139313, 0.156696, 0.187898, 0.24744, 0.368209, 0.618346", \
-            "0.141867, 0.159216, 0.190379, 0.24998, 0.370861, 0.620987", \
-            "0.146711, 0.164099, 0.195285, 0.254944, 0.375873, 0.626054", \
-            "0.158122, 0.175487, 0.206617, 0.266268, 0.387317, 0.637566", \
-            "0.179892, 0.197821, 0.229648, 0.290078, 0.411308, 0.661586", \
-            "0.202283, 0.221466, 0.25467, 0.31564, 0.437327, 0.688443" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0436423, 0.0620153, 0.100339, 0.182275, 0.356952, 0.72248", \
-            "0.0435397, 0.0620779, 0.100352, 0.182325, 0.356972, 0.722528", \
-            "0.0434968, 0.0620576, 0.100375, 0.1823, 0.357004, 0.722546", \
-            "0.04363, 0.0620244, 0.100381, 0.182333, 0.357019, 0.722551", \
-            "0.04577, 0.0640634, 0.10214, 0.183348, 0.357406, 0.722609", \
-            "0.0510359, 0.0691027, 0.10599, 0.185764, 0.359349, 0.723786" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.148195, 0.163431, 0.189668, 0.236881, 0.327557, 0.511852", \
-            "0.153014, 0.168398, 0.194578, 0.241779, 0.332399, 0.516606", \
-            "0.165359, 0.180668, 0.20698, 0.25412, 0.344655, 0.528808", \
-            "0.19174, 0.207228, 0.233813, 0.281164, 0.371719, 0.555745", \
-            "0.246199, 0.262395, 0.28961, 0.337856, 0.42888, 0.613013", \
-            "0.339977, 0.358409, 0.388816, 0.440273, 0.534096, 0.719602" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0352808, 0.0487927, 0.0751648, 0.128557, 0.241718, 0.483571", \
-            "0.0353587, 0.0487977, 0.0751766, 0.128556, 0.241713, 0.483572", \
-            "0.0353022, 0.0488503, 0.0751565, 0.128556, 0.241692, 0.483571", \
-            "0.0353443, 0.048865, 0.0751681, 0.128526, 0.24168, 0.483576", \
-            "0.0377443, 0.0511756, 0.0769854, 0.129689, 0.242372, 0.483644", \
-            "0.0449071, 0.0590058, 0.0849204, 0.136612, 0.247022, 0.485813" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0829756, 0.0999423, 0.124628, 0.133353, 0.140302, 0.146904, 0.153458, 0.160039, 0.171141, 0.182529, 0.201732, 0.21755, 0.232809");
-            values ( \
-              "0.000370153, 0.00827041, 0.045426, 0.0538358, 0.0579414, 0.0596118, 0.058768, 0.0527799, 0.0335395, 0.0199984, 0.00781134, 0.00348391, 0.00168635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0940273, 0.106022, 0.122584, 0.128311, 0.135055, 0.145413, 0.154934, 0.164225, 0.173658, 0.178342, 0.183338, 0.191639, 0.200681, 0.205495, 0.215042, 0.225711, 0.234408, 0.242609, 0.248416, 0.259715, 0.272165, 0.282094, 0.301952, 0.33546, 0.376389");
-            values ( \
-              "0.00470316, 0.0221991, 0.055073, 0.0645659, 0.0731163, 0.0826349, 0.0865585, 0.0873276, 0.0836043, 0.0792885, 0.0726942, 0.0594552, 0.0463804, 0.0402915, 0.0300249, 0.0213089, 0.0158812, 0.0119909, 0.00979173, 0.00654971, 0.00422038, 0.0029631, 0.00143343, 0.000386033, 7.87826e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0937231, 0.109917, 0.129505, 0.138278, 0.150258, 0.165709, 0.180492, 0.195274, 0.204605, 0.210866, 0.22339, 0.254507, 0.277554, 0.303944, 0.32569, 0.337685, 0.354608, 0.386376, 0.413999, 0.440034");
-            values ( \
-              "0.00738587, 0.0339212, 0.0772735, 0.0910719, 0.103884, 0.112448, 0.11387, 0.111316, 0.107303, 0.103459, 0.0925682, 0.0592621, 0.0396198, 0.0239284, 0.0153715, 0.0119591, 0.00833066, 0.00419887, 0.0022665, 0.00145166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0939434, 0.115687, 0.130834, 0.142376, 0.148123, 0.159617, 0.176067, 0.195656, 0.201996, 0.214677, 0.227995, 0.254154, 0.266828, 0.280346, 0.302829, 0.35841, 0.386061, 0.41542, 0.431928, 0.465146, 0.484648, 0.506668, 0.536029, 0.562842, 0.603664, 0.658094, 0.739722, 0.82135");
-            values ( \
-              "0.0133758, 0.0504304, 0.0860102, 0.105667, 0.113117, 0.123807, 0.132107, 0.134933, 0.134824, 0.133669, 0.131538, 0.125378, 0.121344, 0.116035, 0.10449, 0.0702445, 0.055107, 0.0415021, 0.035142, 0.0247077, 0.0199496, 0.0155878, 0.0111176, 0.00813997, 0.00502547, 0.00257272, 0.000883579, 0.000303452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.112903, 0.140912, 0.158519, 0.174211, 0.193996, 0.223214, 0.271934, 0.322494, 0.369475, 0.40944, 0.432928, 0.479905, 0.593789, 0.673816, 0.744404, 0.793674, 0.827131, 0.866313, 0.918555, 0.978724, 1.0697, 1.15133, 1.23295, 1.30157");
-            values ( \
-              "0.100039, 0.109217, 0.130993, 0.141338, 0.147589, 0.149178, 0.145428, 0.139086, 0.132165, 0.124895, 0.119569, 0.106482, 0.0700499, 0.0482366, 0.0334951, 0.0255785, 0.0211891, 0.0169255, 0.0124362, 0.00868766, 0.00499815, 0.00302114, 0.00180298, 0.00140831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.113211, 0.140239, 0.15359, 0.174323, 0.193333, 0.214564, 0.22277, 0.239182, 0.271108, 0.317761, 0.36552, 0.497461, 0.559775, 0.626144, 0.707772, 0.742417, 0.802507, 1.07343, 1.20016, 1.298, 1.4, 1.48163, 1.55754, 1.59551, 1.67143, 1.73366, 1.81529, 1.90363, 1.98526, 2.06689, 2.23015, 2.3934, 2.63829, 2.88317");
-            values ( \
-              "0.110171, 0.11054, 0.129788, 0.146959, 0.154111, 0.157234, 0.157775, 0.157991, 0.157372, 0.154996, 0.152265, 0.143697, 0.139239, 0.13393, 0.12619, 0.122077, 0.114131, 0.0711841, 0.0533239, 0.0417815, 0.0319279, 0.0255598, 0.0206271, 0.0185351, 0.0148651, 0.012411, 0.00973353, 0.00748292, 0.00586501, 0.00457244, 0.00278207, 0.00168833, 0.000800317, 0.000375082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.102593, 0.11115, 0.116112, 0.138704, 0.146396, 0.153315, 0.159904, 0.16646, 0.173014, 0.184656, 0.194317, 0.203049, 0.215913, 0.230528, 0.248123, 0.268338");
-            values ( \
-              "0.00213709, 0.00714388, 0.0130863, 0.0469393, 0.0542197, 0.058178, 0.0598619, 0.0588461, 0.0528861, 0.0327297, 0.0210287, 0.0139403, 0.00733953, 0.00348721, 0.00144293, 0.000554722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.100818, 0.118477, 0.135715, 0.148271, 0.158659, 0.168195, 0.177493, 0.186777, 0.191531, 0.214312, 0.228998, 0.242259, 0.255701, 0.273332, 0.293497, 0.314985");
-            values ( \
-              "0.000992694, 0.0195088, 0.0545082, 0.0728811, 0.0824203, 0.086532, 0.0872213, 0.0836943, 0.0793641, 0.0458518, 0.0294007, 0.0191099, 0.0120732, 0.00647991, 0.00317313, 0.00201338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0, 0.00117819, 0.00499402, 0.0137173, 0.0289814, 0.0365922, 0.0998089, 0.119195, 0.156684, 0.176957, 0.190495, 0.208499, 0.223426, 0.284112, 0.314948, 0.340634, 0.369819, 0.402899, 0.438374");
-            values ( \
-              "0.000397084, 0.00430734, 0.00272007, 0.00253395, 0.00905034, 1e-22, 2e-22, 0.024469, 0.0973485, 0.111614, 0.113854, 0.111038, 0.10395, 0.0447665, 0.0250015, 0.0148236, 0.00798177, 0.00389579, 0.00176928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.120047, 0.143023, 0.150465, 0.15897, 0.165976, 0.175316, 0.189223, 0.209275, 0.226966, 0.241179, 0.267333, 0.280147, 0.293816, 0.315728, 0.371623, 0.398247, 0.427899, 0.446039, 0.458324, 0.482892, 0.498044, 0.514994, 0.535983, 0.565138, 0.601232, 0.649357, 0.730985, 0.812613");
-            values ( \
-              "0.0589902, 0.0840813, 0.0979104, 0.110284, 0.11801, 0.125506, 0.131926, 0.134916, 0.133767, 0.131484, 0.125386, 0.121279, 0.115901, 0.104648, 0.0702277, 0.0556194, 0.041795, 0.0348104, 0.0306298, 0.0235053, 0.019901, 0.0164679, 0.0129674, 0.00923992, 0.00604791, 0.00337013, 0.00116148, 0.00039922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.1204, 0.140874, 0.154011, 0.172178, 0.187471, 0.206879, 0.227948, 0.253519, 0.285206, 0.334212, 0.382006, 0.423443, 0.446196, 0.491703, 0.607083, 0.68725, 0.757539, 0.8066, 0.840426, 0.880049, 0.932881, 0.992186, 1.08194, 1.16357, 1.2452, 1.31746");
-            values ( \
-              "0.076908, 0.0832272, 0.108996, 0.13134, 0.141504, 0.147418, 0.149201, 0.148255, 0.145299, 0.139314, 0.132276, 0.124727, 0.119563, 0.106919, 0.07004, 0.0481975, 0.0335208, 0.0256241, 0.0211832, 0.0168774, 0.012361, 0.00868067, 0.00503334, 0.00303887, 0.0018178, 0.00139801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.1375, 0.205449, 0.221275, 0.235901, 0.263222, 0.29683, 0.330935, 0.429, 0.531407, 0.639326, 0.720954, 0.755605, 0.815698, 0.88742, 1.08664, 1.21338, 1.31122, 1.4132, 1.49483, 1.5708, 1.60881, 1.68482, 1.74702, 1.82865, 1.91683, 1.99846, 2.08009, 2.24335, 2.4066, 2.65149, 2.89637");
-            values ( \
-              "0.145604, 0.153581, 0.156487, 0.157596, 0.157941, 0.15675, 0.155019, 0.149076, 0.142209, 0.133973, 0.126135, 0.122118, 0.114079, 0.103155, 0.0712141, 0.0533514, 0.0418054, 0.0319511, 0.0255371, 0.0206357, 0.0185197, 0.0148662, 0.0123979, 0.00973659, 0.00748843, 0.00586107, 0.00457663, 0.00278508, 0.00169026, 0.000800485, 0.00037415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.134107, 0.145611, 0.169198, 0.178927, 0.185301, 0.190364, 0.195351, 0.199985, 0.220643, 0.230046, 0.244417, 0.257218, 0.273608, 0.288396");
-            values ( \
-              "0.00252645, 0.0117699, 0.047013, 0.0555715, 0.0587365, 0.0597711, 0.0593469, 0.0567618, 0.0255145, 0.0164676, 0.00809439, 0.00422107, 0.00186391, 0.0012524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.132597, 0.148761, 0.163434, 0.178733, 0.189111, 0.198641, 0.207936, 0.217218, 0.222004, 0.243047, 0.25977, 0.285029, 0.302982, 0.328029, 0.335562");
-            values ( \
-              "0.00176349, 0.0195026, 0.0498241, 0.0729688, 0.0824801, 0.0865566, 0.0872447, 0.0836985, 0.0793346, 0.0481942, 0.029131, 0.0125447, 0.00665399, 0.00273399, 0.00221708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.131333, 0.191269, 0.200179, 0.209411, 0.224221, 0.23903, 0.247033, 0.258416, 0.266005, 0.305766, 0.317875, 0.333699, 0.34819, 0.369218, 0.385581, 0.40518");
-            values ( \
-              "0.000409417, 0.101921, 0.108721, 0.113197, 0.112721, 0.111127, 0.107722, 0.0997551, 0.0932067, 0.0520606, 0.0422017, 0.0313585, 0.0236345, 0.0152037, 0.010899, 0.00719722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.147791, 0.162769, 0.174659, 0.185451, 0.191847, 0.204637, 0.219747, 0.239366, 0.245683, 0.258317, 0.271686, 0.297847, 0.310541, 0.324081, 0.346492, 0.402123, 0.429647, 0.45905, 0.475774, 0.509472, 0.528425, 0.549808, 0.578319, 0.606525, 0.649394, 0.706553, 0.788181, 0.869809");
-            values ( \
-              "0.0550409, 0.0597322, 0.0866874, 0.105154, 0.113339, 0.124935, 0.132085, 0.134959, 0.134781, 0.13368, 0.131496, 0.125379, 0.121315, 0.116005, 0.104494, 0.0702289, 0.0551621, 0.0415284, 0.0350884, 0.0245399, 0.0199331, 0.0156881, 0.0113042, 0.00814406, 0.00490599, 0.00242147, 0.000831476, 0.000286234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.14768, 0.169281, 0.179924, 0.19092, 0.207316, 0.218258, 0.237539, 0.252792, 0.267207, 0.291342, 0.31599, 0.366569, 0.398812, 0.419761, 0.461659, 0.506672, 0.62871, 0.687868, 0.738875, 0.779684, 0.811247, 0.871889, 0.898471, 0.940237, 0.999803, 1.02564, 1.0773, 1.15893, 1.24056, 1.32219, 1.40381, 1.56707");
-            values ( \
-              "0.0551924, 0.0779865, 0.101017, 0.1183, 0.135297, 0.141495, 0.147554, 0.148887, 0.149134, 0.147634, 0.14537, 0.139114, 0.134494, 0.131079, 0.123202, 0.111668, 0.0728394, 0.0558745, 0.0434165, 0.0351328, 0.0296127, 0.0210926, 0.0181302, 0.0142029, 0.0099736, 0.00853503, 0.00625466, 0.00376429, 0.00227334, 0.00135313, 0.000820556, 0.000297713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.163923, 0.233547, 0.248349, 0.266681, 0.294323, 0.361713, 0.459781, 0.562191, 0.670112, 0.75174, 0.786394, 0.846489, 1.11744, 1.24419, 1.32503, 1.37602, 1.444, 1.52563, 1.58262, 1.63966, 1.71571, 1.7779, 1.88891, 2.0293, 2.19256, 2.35581, 2.68233, 2.92721");
-            values ( \
-              "0.128043, 0.152863, 0.156025, 0.1576, 0.157961, 0.155034, 0.149091, 0.142223, 0.133987, 0.126115, 0.122132, 0.114059, 0.0712279, 0.0533649, 0.0436362, 0.0382618, 0.0319669, 0.0255197, 0.0217553, 0.0185006, 0.0148782, 0.0123788, 0.00890908, 0.00584432, 0.00355857, 0.00215764, 0.00078452, 0.000390155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.210368, 0.218883, 0.232505, 0.236731, 0.242073, 0.249796, 0.256734, 0.263337, 0.269904, 0.276468, 0.285295, 0.288127, 0.291365, 0.29784, 0.306373, 0.31255, 0.319573, 0.324364, 0.334026, 0.339768, 0.351253, 0.371994, 0.374298");
-            values ( \
-              "0.00638433, 0.0118864, 0.0340877, 0.0402127, 0.046699, 0.0540461, 0.0580375, 0.0597578, 0.0587581, 0.0528399, 0.0370109, 0.0327126, 0.0282954, 0.0209787, 0.0140195, 0.0103057, 0.00726246, 0.00568795, 0.00348669, 0.00262518, 0.00147273, 0.000529531, 0.000496405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.215087, 0.224847, 0.238916, 0.251478, 0.261842, 0.271362, 0.280655, 0.290403, 0.294786, 0.299794, 0.30801, 0.3172, 0.322122, 0.331623, 0.34177, 0.350017, 0.356479, 0.367128, 0.37701, 0.389887, 0.407055, 0.436259, 0.471098");
-            values ( \
-              "0.0199233, 0.026717, 0.0553074, 0.0730334, 0.0827561, 0.0864395, 0.0874104, 0.0833837, 0.0792504, 0.0726421, 0.0595357, 0.0462557, 0.0400576, 0.0298836, 0.0215784, 0.0163368, 0.0131092, 0.00904295, 0.00636349, 0.00402898, 0.00216273, 0.000703477, 0.000191289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.21486, 0.226425, 0.243343, 0.259553, 0.266552, 0.280549, 0.284909, 0.290722, 0.296782, 0.31159, 0.316966, 0.327166, 0.342918, 0.370001, 0.385984, 0.408874, 0.422218, 0.440665, 0.451642, 0.470053, 0.491054, 0.507736, 0.541101, 0.593612, 0.658032");
-            values ( \
-              "0.023131, 0.0347263, 0.0727369, 0.0972765, 0.103891, 0.111845, 0.112948, 0.113696, 0.11376, 0.111036, 0.109053, 0.103409, 0.0892208, 0.0601177, 0.04579, 0.0299148, 0.023024, 0.0157944, 0.0125931, 0.00846763, 0.00539187, 0.00377767, 0.00174304, 0.000497033, 6.82561e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.223411, 0.242269, 0.2574, 0.264509, 0.278729, 0.292429, 0.312275, 0.330571, 0.344383, 0.370545, 0.396905, 0.419072, 0.486444, 0.531447, 0.560615, 0.584111, 0.607617, 0.64072, 0.669183, 0.703075, 0.748264, 0.829892, 0.838566");
-            values ( \
-              "0.064401, 0.0760619, 0.10406, 0.113241, 0.12585, 0.131997, 0.13493, 0.133712, 0.131457, 0.125373, 0.115943, 0.104559, 0.0636055, 0.0416556, 0.03093, 0.0240263, 0.0185485, 0.0127468, 0.00915463, 0.0061507, 0.00356034, 0.00122758, 0.00116997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.225918, 0.264085, 0.280034, 0.291371, 0.311439, 0.326275, 0.340311, 0.364443, 0.389096, 0.439678, 0.492871, 0.536008, 0.578431, 0.701865, 0.76154, 0.81213, 0.85233, 0.883347, 0.945002, 1.01369, 1.07311, 1.15008, 1.23171, 1.31334, 1.39497, 1.4118");
-            values ( \
-              "0.0717701, 0.118773, 0.1351, 0.141644, 0.147679, 0.148966, 0.149094, 0.147667, 0.145328, 0.139086, 0.131091, 0.122914, 0.112048, 0.0728213, 0.0557339, 0.043381, 0.0352243, 0.0297764, 0.0210906, 0.014173, 0.00996436, 0.0062696, 0.00377045, 0.00227996, 0.00135427, 0.00128179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.235545, 0.273067, 0.291039, 0.309984, 0.325265, 0.339448, 0.366649, 0.400305, 0.434474, 0.532543, 0.634953, 0.742875, 0.824503, 0.859158, 0.919253, 0.990977, 1.19021, 1.25528, 1.31696, 1.3978, 1.44878, 1.51676, 1.59839, 1.65539, 1.71243, 1.78847, 1.85066, 1.96168, 2.10209, 2.26534, 2.4286, 2.59186, 2.75511, 3");
-            values ( \
-              "0.129606, 0.133489, 0.147161, 0.154107, 0.156658, 0.157674, 0.157949, 0.156758, 0.155008, 0.149067, 0.142203, 0.133969, 0.12613, 0.122117, 0.114072, 0.103157, 0.0712229, 0.0616471, 0.0533613, 0.0436377, 0.0382601, 0.0319689, 0.0255176, 0.0217492, 0.0184928, 0.0148866, 0.0123698, 0.00889953, 0.00583472, 0.00354893, 0.00214797, 0.00129375, 0.000774602, 0.000400138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.376521, 0.388244, 0.403702, 0.418277, 0.425676, 0.432642, 0.439511, 0.446372, 0.458984, 0.4709, 0.483133, 0.493194, 0.508598, 0.522558, 0.537874");
-            values ( \
-              "0.00730135, 0.0153488, 0.0360732, 0.0503692, 0.0547123, 0.0568804, 0.0564888, 0.0514234, 0.0311269, 0.0182386, 0.0101261, 0.00614533, 0.00264018, 0.00140249, 0.000846989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.374636, 0.387441, 0.406463, 0.420027, 0.431039, 0.441012, 0.450657, 0.460282, 0.465194, 0.470185, 0.48756, 0.492186, 0.506599, 0.518245, 0.528006, 0.542444, 0.552132, 0.567165, 0.587209, 0.603374");
-            values ( \
-              "0.0069961, 0.018204, 0.0498617, 0.0681877, 0.0783026, 0.0830845, 0.0845267, 0.081731, 0.0777978, 0.0717574, 0.0462866, 0.0405228, 0.0258017, 0.0176696, 0.012733, 0.00772411, 0.00550603, 0.00324194, 0.00156775, 0.00100168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.382328, 0.394091, 0.409029, 0.414058, 0.417714, 0.423663, 0.426638, 0.429613, 0.431352, 0.436568, 0.440046, 0.443524, 0.447001, 0.450479, 0.4526, 0.456841, 0.461083, 0.465325, 0.468971, 0.472617, 0.477738, 0.482858, 0.48665, 0.490443, 0.494235, 0.498625, 0.500415, 0.502205, 0.504123, 0.506041, 0.50839, 0.510738, 0.513239, 0.516034, 0.538776, 0.543515, 0.548253, 0.55664, 0.565026, 0.577396, 0.582665, 0.587934, 0.591447, 0.598472, 0.60815, 0.615935, 0.620444, 0.62878, 0.640481, 0.650637");
-            values ( \
-              "0.0313876, 0.0321336, 0.0622009, 0.0712927, 0.0772526, 0.0859168, 0.0897677, 0.0932978, 0.0949857, 0.0995571, 0.102097, 0.104373, 0.106387, 0.108137, 0.109076, 0.110217, 0.110985, 0.111378, 0.111273, 0.110963, 0.109962, 0.108614, 0.107307, 0.105867, 0.104295, 0.102311, 0.101196, 0.0998005, 0.0963495, 0.0937664, 0.0925803, 0.0911058, 0.0892033, 0.0867259, 0.0624583, 0.0578504, 0.0534915, 0.0464492, 0.0400635, 0.0316425, 0.0285795, 0.025836, 0.0241413, 0.0210155, 0.0172356, 0.0145437, 0.0132475, 0.0111566, 0.00874886, 0.00688264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.375255, 0.399664, 0.416031, 0.4285, 0.434299, 0.445899, 0.463172, 0.483702, 0.489542, 0.505725, 0.521544, 0.532055, 0.543007, 0.56491, 0.586115, 0.604774, 0.638956, 0.662513, 0.680639, 0.705472, 0.724695, 0.750327, 0.767923, 0.782048, 0.800883, 0.835808, 0.851798, 0.875977, 0.908215, 0.972692, 1.05432, 1.13595");
-            values ( \
-              "0.0104758, 0.0463615, 0.0804351, 0.100668, 0.108257, 0.11946, 0.129238, 0.132973, 0.133112, 0.131878, 0.129382, 0.127307, 0.124635, 0.117333, 0.10714, 0.0960607, 0.0746119, 0.0612356, 0.0518806, 0.0407612, 0.0335129, 0.0255132, 0.0210518, 0.0179963, 0.0145472, 0.00969481, 0.00804934, 0.00606078, 0.0041245, 0.00183761, 0.000629763, 0.000216925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.396789, 0.43088, 0.447805, 0.461522, 0.481899, 0.503701, 0.530653, 0.545315, 0.584901, 0.661983, 0.692703, 0.721314, 0.778536, 0.893258, 0.981439, 1.06293, 1.11633, 1.18187, 1.24261, 1.35697, 1.43859, 1.52022, 1.54799");
-            values ( \
-              "0.0851462, 0.109984, 0.12898, 0.138398, 0.145376, 0.147736, 0.147294, 0.146341, 0.142258, 0.13145, 0.125899, 0.119549, 0.103295, 0.066779, 0.0438159, 0.0284519, 0.0210941, 0.0144403, 0.0100615, 0.00504714, 0.00304201, 0.00182497, 0.00166262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.40504, 0.445414, 0.465602, 0.48828, 0.505835, 0.521345, 0.531371, 0.541512, 0.580159, 0.650047, 0.786069, 0.848454, 0.99642, 1.09117, 1.36214, 1.4889, 1.58672, 1.68868, 1.81782, 1.93597, 2.00718, 2.08881, 2.22041, 2.38367, 2.54692, 2.87344, 3.11832");
-            values ( \
-              "0.127784, 0.130284, 0.145395, 0.153207, 0.155715, 0.156952, 0.156912, 0.157427, 0.156375, 0.152595, 0.143814, 0.13935, 0.126309, 0.114245, 0.0710694, 0.0532127, 0.0416831, 0.0318385, 0.0222554, 0.0158753, 0.0130534, 0.0101096, 0.00680224, 0.00412461, 0.00248011, 0.000866728, 0.000515896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.739435, 0.752396, 0.761158, 0.783941, 0.793782, 0.802306, 0.81015, 0.817755, 0.825346, 0.840381, 0.850267, 0.859845, 0.87169, 0.885618, 0.905793, 0.924973");
-            values ( \
-              "0.00660441, 0.00815484, 0.0147125, 0.0358739, 0.0431822, 0.0481264, 0.0508733, 0.051607, 0.0480292, 0.0274847, 0.0179594, 0.0115568, 0.00655184, 0.00331837, 0.00128397, 0.000576626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.734773, 0.753715, 0.757822, 0.763176, 0.779196, 0.788325, 0.795373, 0.807945, 0.819032, 0.82948, 0.839835, 0.850182, 0.863916, 0.867852, 0.872351, 0.88135, 0.887725, 0.896898, 0.904518, 0.911033, 0.918367, 0.928839, 0.935752, 0.948784, 0.966159, 0.990829");
-            values ( \
-              "0.00520995, 0.0118208, 0.0154198, 0.0209962, 0.0406181, 0.0512308, 0.0587336, 0.069613, 0.0758362, 0.0784954, 0.0773989, 0.0694995, 0.0504059, 0.0454442, 0.0400893, 0.0308022, 0.0253652, 0.0189112, 0.0147078, 0.0118135, 0.00917204, 0.00638357, 0.00500978, 0.00318143, 0.00173505, 0.000782749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.729961, 0.759853, 0.79884, 0.813377, 0.830903, 0.846995, 0.862783, 0.87897, 0.884824, 0.896824, 0.909593, 0.927433, 0.937913, 0.951295, 0.962016, 0.976311, 0.985526, 1.00287, 1.02225, 1.0311, 1.04463, 1.06268, 1.09877, 1.15166");
-            values ( \
-              "0.00219324, 0.0201878, 0.0739171, 0.0893031, 0.101632, 0.106043, 0.106095, 0.100384, 0.0965338, 0.0857422, 0.0723108, 0.054954, 0.0460138, 0.0361629, 0.0295649, 0.0223477, 0.0185665, 0.0130086, 0.00861102, 0.00713865, 0.00534462, 0.00361316, 0.00158491, 0.000431244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.73516, 0.769082, 0.804311, 0.810589, 0.823145, 0.841846, 0.868987, 0.874732, 0.88086, 0.893116, 0.902132, 0.912657, 0.923594, 0.945467, 0.95279, 0.967437, 0.985749, 1.02011, 1.04338, 1.06126, 1.08651, 1.10605, 1.1321, 1.14917, 1.16283, 1.18104, 1.21586, 1.22814, 1.24478, 1.26697, 1.29914, 1.36348, 1.44511, 1.52674");
-            values ( \
-              "0.0060376, 0.0348601, 0.0889658, 0.0968476, 0.10984, 0.122362, 0.129657, 0.12989, 0.129859, 0.129013, 0.127824, 0.125946, 0.123461, 0.116618, 0.113544, 0.106401, 0.0957065, 0.074407, 0.0612779, 0.0520831, 0.0408005, 0.0334615, 0.0253783, 0.0210721, 0.0181155, 0.0147572, 0.00985883, 0.00847704, 0.00701582, 0.00541279, 0.00370247, 0.00164941, 0.000569677, 0.000192132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.73517, 0.781854, 0.809176, 0.828935, 0.83996, 0.862011, 0.886057, 0.901623, 0.940757, 0.991699, 1.04515, 1.0993, 1.14342, 1.25089, 1.31483, 1.4066, 1.43873, 1.49835, 1.56636, 1.62608, 1.73324, 1.81487, 1.8965, 1.94363");
-            values ( \
-              "0.0052327, 0.056387, 0.100279, 0.122375, 0.130746, 0.140936, 0.145369, 0.145929, 0.143949, 0.138296, 0.130594, 0.12002, 0.108108, 0.074004, 0.0556494, 0.0350209, 0.0294492, 0.0210995, 0.0142392, 0.00997319, 0.00523277, 0.00315112, 0.00189462, 0.00160805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.772725, 0.811266, 0.835515, 0.864739, 0.896658, 0.933833, 0.986453, 1.08506, 1.25627, 1.33589, 1.49392, 1.86622, 2.00859, 2.08226, 2.2232, 2.3082, 2.46281, 2.68312, 3.00918");
-            values ( \
-              "0.10133, 0.105858, 0.132285, 0.148245, 0.154689, 0.15594, 0.154166, 0.148506, 0.136962, 0.130344, 0.110935, 0.0538574, 0.0376392, 0.0309044, 0.0208938, 0.0163838, 0.0104137, 0.00538863, 0.00200139" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00751663, 0.00752981, 0.0075451, 0.00755901, 0.00756907, 0.0075752", \
-            "0.00910393, 0.00911367, 0.00912652, 0.00913964, 0.00915011, 0.00915691", \
-            "0.0100627, 0.0100669, 0.0100739, 0.0100828, 0.0100911, 0.0100972", \
-            "0.0105929, 0.0105933, 0.0105947, 0.0105978, 0.0106022, 0.0106063", \
-            "0.0108609, 0.0108602, 0.0108595, 0.0108591, 0.0108597, 0.0108613", \
-            "0.0110805, 0.01108, 0.0110791, 0.0110775, 0.0110758, 0.0110746" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0102979, 0.010306, 0.0103179, 0.010331, 0.0103421, 0.0103494", \
-            "0.0103866, 0.010384, 0.0103841, 0.0103882, 0.010394, 0.010399", \
-            "0.0100536, 0.0100448, 0.0100342, 0.010026, 0.0100227, 0.0100229", \
-            "0.00992739, 0.00992304, 0.00991441, 0.00990178, 0.00988927, 0.00988134", \
-            "0.0101999, 0.0102119, 0.0102209, 0.0102235, 0.0102207, 0.0102116", \
-            "0.0101264, 0.0101248, 0.0101341, 0.0102006, 0.0102492, 0.0102747" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.113539, 0.117338, 0.118948, 0.121928, 0.126941, 0.129071, 0.133333, 0.137408, 0.144267, 0.150364, 0.156023, 0.161466, 0.166876, 0.172704, 0.175224, 0.178585, 0.181115, 0.184311, 0.186823, 0.188808, 0.191304, 0.194836, 0.196112, 0.197318, 0.198926, 0.202142, 0.207781");
-            values ( \
-              "-0.0151916, -0.0188535, -0.0202918, -0.0263939, -0.037077, -0.0402422, -0.0471153, -0.0524782, -0.0609979, -0.066545, -0.070685, -0.0724573, -0.0714026, -0.0620436, -0.0545253, -0.0433124, -0.0354205, -0.0267075, -0.021045, -0.0172842, -0.0133378, -0.00915689, -0.00794971, -0.00697219, -0.0058698, -0.00408401, -0.00223331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.115383, 0.122177, 0.132323, 0.145998, 0.155173, 0.163455, 0.171251, 0.178839, 0.186419, 0.19097, 0.21349, 0.220755, 0.228981, 0.242662");
-            values ( \
-              "-0.0240696, -0.0345279, -0.0590378, -0.0821477, -0.0937219, -0.101015, -0.105702, -0.10712, -0.10369, -0.0972357, -0.0338769, -0.0210818, -0.0118842, -0.00465034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.115968, 0.125015, 0.140017, 0.15184, 0.15974, 0.173224, 0.185617, 0.197551, 0.20934, 0.221124, 0.22603, 0.257732, 0.269871, 0.285043, 0.294086, 0.308658, 0.328088, 0.328472");
-            values ( \
-              "-0.0303549, -0.0484849, -0.0858665, -0.10664, -0.117281, -0.130847, -0.138541, -0.142229, -0.141647, -0.134548, -0.128621, -0.0563479, -0.0362464, -0.0200002, -0.0138468, -0.0075347, -0.00317569, -0.00314262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.110635, 0.130302, 0.141032, 0.157646, 0.170849, 0.182124, 0.203754, 0.224228, 0.244421, 0.264603, 0.279039, 0.28623, 0.2972, 0.310656, 0.330048, 0.342596, 0.355282, 0.372196, 0.37837, 0.389998, 0.401097, 0.422765, 0.435136, 0.459879, 0.505121, 0.5579");
-            values ( \
-              "-0.000468084, -0.0701031, -0.0971965, -0.127168, -0.143771, -0.154236, -0.166667, -0.171809, -0.171915, -0.167637, -0.160675, -0.155576, -0.144371, -0.124656, -0.0934147, -0.0754312, -0.0598297, -0.0430135, -0.0380224, -0.029964, -0.0237523, -0.0149137, -0.0113803, -0.00652779, -0.00215887, -0.000561996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.110057, 0.139623, 0.157014, 0.171467, 0.181179, 0.200602, 0.221985, 0.241764, 0.25983, 0.291493, 0.308627, 0.335028, 0.356355, 0.374128, 0.409675, 0.427837, 0.452053, 0.487414, 0.520489, 0.540585, 0.57033, 0.595036, 0.615556, 0.639007, 0.672168, 0.70621, 0.732217, 0.78423, 0.849061, 0.978721");
-            values ( \
-              "-0.00795693, -0.0978107, -0.132696, -0.152799, -0.162862, -0.177282, -0.18641, -0.19082, -0.192519, -0.192394, -0.19104, -0.187735, -0.183962, -0.179876, -0.168069, -0.15864, -0.140857, -0.109926, -0.0833591, -0.0694875, -0.0523141, -0.0409018, -0.0331576, -0.0258969, -0.0181626, -0.0125653, -0.00940018, -0.00523895, -0.00243207, -0.000515236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.131862, 0.200535, 0.22308, 0.247782, 0.273186, 0.333544, 0.472617, 0.584848, 0.627288, 0.683053, 0.747883, 0.866283, 0.948862, 1.04509, 1.09511, 1.15995, 1.21194, 1.26872, 1.39838, 1.52804, 1.544");
-            values ( \
-              "-0.132011, -0.182562, -0.194367, -0.20051, -0.204262, -0.205202, -0.197264, -0.18642, -0.18044, -0.168944, -0.147916, -0.0966626, -0.066975, -0.0420781, -0.032687, -0.023541, -0.0176796, -0.0130385, -0.00638105, -0.00307004, -0.00292113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.12885, 0.133981, 0.136679, 0.142311, 0.144287, 0.148238, 0.152683, 0.15957, 0.165684, 0.171354, 0.176806, 0.182222, 0.188056, 0.190569, 0.196479, 0.199682, 0.202188, 0.203879, 0.206133, 0.209987, 0.211373, 0.212825, 0.21476, 0.21863, 0.22637, 0.227235");
-            values ( \
-              "-0.0146018, -0.0198507, -0.0249789, -0.0369434, -0.039878, -0.0462677, -0.0522352, -0.0607755, -0.0664105, -0.0705368, -0.0723784, -0.0713051, -0.0619742, -0.0544995, -0.0353666, -0.0266472, -0.0210424, -0.0178043, -0.014082, -0.00937128, -0.00801342, -0.00684173, -0.00558079, -0.00357776, -0.00149231, -0.00140518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.124647, 0.156331, 0.170468, 0.178752, 0.186549, 0.194138, 0.201719, 0.206254, 0.210099, 0.22168, 0.228793, 0.236055, 0.244282, 0.251242, 0.259196, 0.273852, 0.275341");
-            values ( \
-              "-0.00254448, -0.0747353, -0.0936632, -0.101048, -0.105649, -0.107149, -0.103645, -0.0972652, -0.0881275, -0.0517388, -0.0338793, -0.0210889, -0.0118904, -0.00721712, -0.00400666, -0.00123522, -0.00119154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.127747, 0.143081, 0.149098, 0.159151, 0.168628, 0.174941, 0.188425, 0.200825, 0.212761, 0.224552, 0.236337, 0.241239, 0.245351, 0.250143, 0.266134, 0.272946, 0.285086, 0.291589, 0.300259, 0.309302, 0.314159, 0.323875, 0.343305, 0.358204");
-            values ( \
-              "-0.00153328, -0.0567525, -0.0723367, -0.0933264, -0.10898, -0.117211, -0.130841, -0.13849, -0.142234, -0.141606, -0.13456, -0.128618, -0.121439, -0.110622, -0.0707255, -0.0563471, -0.0362464, -0.0282508, -0.0199983, -0.0138452, -0.0113374, -0.00753323, -0.00317665, -0.00189032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.128013, 0.146479, 0.152263, 0.167291, 0.173203, 0.185026, 0.197674, 0.219281, 0.239776, 0.259969, 0.280151, 0.287816, 0.294589, 0.301779, 0.312749, 0.326205, 0.345597, 0.358145, 0.370831, 0.387746, 0.393919, 0.405548, 0.416647, 0.438315, 0.450687, 0.47543, 0.520677, 0.573468");
-            values ( \
-              "-0.00864011, -0.0714314, -0.0869132, -0.117791, -0.127143, -0.142361, -0.154197, -0.166723, -0.171752, -0.171969, -0.167579, -0.164358, -0.160673, -0.155573, -0.14437, -0.124653, -0.0934151, -0.075429, -0.0598278, -0.0430147, -0.0380206, -0.0299651, -0.0237505, -0.014912, -0.0113817, -0.0065262, -0.00215995, -0.000560166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.127548, 0.153728, 0.172559, 0.185054, 0.196709, 0.217522, 0.237489, 0.257233, 0.275353, 0.312725, 0.350561, 0.391924, 0.405384, 0.423332, 0.446964, 0.475943, 0.527822, 0.560056, 0.578216, 0.608052, 0.640562, 0.661832, 0.70247, 0.724724, 0.769234, 0.834064, 0.898894, 0.963724");
-            values ( \
-              "-0.0149493, -0.0949317, -0.132933, -0.150361, -0.163044, -0.177984, -0.186534, -0.190702, -0.192631, -0.192065, -0.187797, -0.179343, -0.175438, -0.168846, -0.156331, -0.133691, -0.0895581, -0.0670209, -0.056399, -0.0419163, -0.0300286, -0.0239906, -0.0154821, -0.0121471, -0.00737543, -0.00348072, -0.0016254, -0.000763654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.148273, 0.183361, 0.197115, 0.21719, 0.237895, 0.262859, 0.28816, 0.311967, 0.348421, 0.445036, 0.48659, 0.599725, 0.642167, 0.697931, 0.762762, 0.845411, 0.902195, 0.954238, 1.03471, 1.0759, 1.12393, 1.18796, 1.23242, 1.27759, 1.33782, 1.40265, 1.53231, 1.66197, 1.79163");
-            values ( \
-              "-0.147668, -0.152007, -0.168281, -0.18389, -0.19422, -0.200686, -0.204148, -0.205004, -0.205083, -0.200229, -0.197226, -0.186314, -0.180341, -0.16904, -0.14782, -0.111355, -0.0883631, -0.0700305, -0.0477607, -0.0389388, -0.0305129, -0.021924, -0.0172456, -0.013553, -0.0098617, -0.00684713, -0.00333308, -0.00160516, -0.00076111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.166737, 0.172236, 0.174781, 0.18044, 0.186329, 0.190754, 0.19762, 0.203722, 0.209383, 0.214829, 0.220241, 0.225651, 0.22859, 0.234485, 0.237683, 0.240194, 0.244673, 0.248206, 0.252298, 0.255515, 0.259891");
-            values ( \
-              "-0.0150187, -0.0204978, -0.0251806, -0.0372322, -0.0464878, -0.0524569, -0.0609043, -0.066542, -0.0706101, -0.0724628, -0.0713433, -0.0627645, -0.0545059, -0.0354079, -0.0266962, -0.0210408, -0.0133399, -0.00915349, -0.0058601, -0.00409132, -0.00264426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.16888, 0.17434, 0.184359, 0.199153, 0.208344, 0.216644, 0.224448, 0.232043, 0.23963, 0.244078, 0.266716, 0.273967, 0.282197, 0.297169, 0.302357");
-            values ( \
-              "-0.0242442, -0.0315433, -0.0563903, -0.0819753, -0.0935019, -0.100935, -0.105555, -0.10708, -0.103592, -0.0973817, -0.0338562, -0.0210898, -0.0118874, -0.00398519, -0.00301165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.170395, 0.177698, 0.187261, 0.197306, 0.208278, 0.220389, 0.226513, 0.23895, 0.250863, 0.262656, 0.274445, 0.279335, 0.288251, 0.311056, 0.323197, 0.33837, 0.347413, 0.361986, 0.378477");
-            values ( \
-              "-0.0322298, -0.0467661, -0.0728782, -0.0935172, -0.11107, -0.125286, -0.130705, -0.138511, -0.142155, -0.141619, -0.134503, -0.12862, -0.110612, -0.0563434, -0.0362439, -0.0199984, -0.0138456, -0.00753387, -0.00383507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.152426, 0.223378, 0.235621, 0.257231, 0.27772, 0.297914, 0.319829, 0.332539, 0.339725, 0.350695, 0.364152, 0.383545, 0.396093, 0.408779, 0.425694, 0.431867, 0.443497, 0.454595, 0.476264, 0.488636, 0.513378, 0.558635, 0.611433");
-            values ( \
-              "-0.00143065, -0.142865, -0.15422, -0.166737, -0.171744, -0.171969, -0.166891, -0.160665, -0.155566, -0.144366, -0.124649, -0.0934134, -0.0754272, -0.0598266, -0.0430149, -0.0380198, -0.0299646, -0.0237496, -0.0149114, -0.0113818, -0.00652585, -0.00215966, -0.000559591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.173271, 0.191624, 0.20902, 0.218553, 0.234477, 0.248891, 0.270887, 0.28404, 0.298832, 0.313105, 0.34165, 0.350475, 0.368123, 0.38834, 0.426393, 0.433738, 0.455082, 0.468963, 0.490341, 0.514239, 0.55554, 0.582048, 0.601973, 0.628539, 0.648985, 0.665157, 0.68672, 0.723176, 0.735716, 0.764118, 0.796577, 0.861408, 0.926238, 0.991068, 1.0559");
-            values ( \
-              "-0.0622138, -0.0945621, -0.130697, -0.145028, -0.162936, -0.17418, -0.184997, -0.188697, -0.191231, -0.19248, -0.192471, -0.191951, -0.190334, -0.187706, -0.180198, -0.178232, -0.171266, -0.165258, -0.152528, -0.133194, -0.0975008, -0.0774312, -0.0644435, -0.0498324, -0.0405996, -0.0344172, -0.0274851, -0.0185736, -0.0162259, -0.0119122, -0.00831464, -0.00392391, -0.00183941, -0.000859416, -0.000400519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.173097, 0.204549, 0.234604, 0.255183, 0.275361, 0.300734, 0.325815, 0.349423, 0.422299, 0.496342, 0.57235, 0.651328, 0.679622, 0.735387, 0.800217, 0.882875, 0.978283, 1.07213, 1.16142, 1.22551, 1.28494, 1.37518, 1.44001, 1.50484, 1.6345, 1.82899");
-            values ( \
-              "-0.0635048, -0.126153, -0.168231, -0.184544, -0.194083, -0.200988, -0.20399, -0.205194, -0.203646, -0.199219, -0.193136, -0.184432, -0.180198, -0.169182, -0.147676, -0.111449, -0.0744709, -0.0478463, -0.0305702, -0.0218517, -0.0159546, -0.00981102, -0.00690547, -0.00481802, -0.00234912, -0.000812272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.254405, 0.259529, 0.269817, 0.285077, 0.291195, 0.296862, 0.302313, 0.307728, 0.313141, 0.32198, 0.327689, 0.332164, 0.339797, 0.343019, 0.349465, 0.359841, 0.368268");
-            values ( \
-              "-0.0148342, -0.0195045, -0.0400394, -0.0607506, -0.066486, -0.0705171, -0.0724364, -0.0712852, -0.0627622, -0.0353772, -0.0210467, -0.0133254, -0.00587884, -0.00406463, -0.00198122, -0.00055577, -0.000478585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.25717, 0.262318, 0.268894, 0.276047, 0.28708, 0.296278, 0.304581, 0.312387, 0.319984, 0.327572, 0.331996, 0.335954, 0.34754, 0.354661, 0.361908, 0.370139, 0.377133, 0.385126, 0.398546");
-            values ( \
-              "-0.0243842, -0.0314684, -0.0486556, -0.0635901, -0.0818939, -0.0934613, -0.100897, -0.10554, -0.107061, -0.103587, -0.0974205, -0.0880992, -0.0517234, -0.0338528, -0.0210931, -0.0118893, -0.00720758, -0.00398139, -0.00146471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.259226, 0.275404, 0.281764, 0.285441, 0.292795, 0.301218, 0.3147, 0.327094, 0.339028, 0.350819, 0.362931, 0.3675, 0.37641, 0.392401, 0.399214, 0.411354, 0.417856, 0.426526, 0.435569, 0.440427, 0.450142, 0.469573, 0.482902");
-            values ( \
-              "-0.030766, -0.0729614, -0.0861587, -0.0936778, -0.105677, -0.11748, -0.130681, -0.138686, -0.142073, -0.141767, -0.1342, -0.128633, -0.110625, -0.0707291, -0.0563473, -0.0362469, -0.0282514, -0.0199981, -0.013845, -0.011338, -0.007533, -0.00317717, -0.00202572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.259971, 0.284457, 0.299559, 0.311417, 0.32398, 0.345575, 0.366056, 0.386245, 0.406424, 0.414164, 0.420901, 0.428053, 0.439024, 0.45248, 0.471872, 0.484421, 0.497107, 0.514022, 0.520195, 0.531824, 0.542923, 0.558406, 0.564592, 0.576964, 0.601707, 0.646963, 0.699761");
-            values ( \
-              "-0.0392336, -0.101057, -0.127681, -0.142617, -0.15447, -0.166724, -0.171876, -0.171906, -0.167661, -0.164326, -0.160649, -0.155572, -0.144366, -0.124652, -0.0934126, -0.0754286, -0.0598276, -0.0430135, -0.0380207, -0.0299642, -0.0237504, -0.0170341, -0.014912, -0.0113811, -0.00652636, -0.00215902, -0.000560215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.25991, 0.290896, 0.298877, 0.313085, 0.32294, 0.342649, 0.36369, 0.383439, 0.401519, 0.438889, 0.472388, 0.485337, 0.515813, 0.546898, 0.557373, 0.578322, 0.602605, 0.644109, 0.670515, 0.690271, 0.716613, 0.737313, 0.753673, 0.775486, 0.811707, 0.828198, 0.852254, 0.884328, 0.948476, 1.01331, 1.07814, 1.14297");
-            values ( \
-              "-0.0450114, -0.119426, -0.133662, -0.153065, -0.163357, -0.177619, -0.186613, -0.190805, -0.192634, -0.192056, -0.188415, -0.18636, -0.179924, -0.169873, -0.165302, -0.152783, -0.133272, -0.0973994, -0.0773629, -0.0644856, -0.0500245, -0.040609, -0.0343578, -0.0274038, -0.0185291, -0.0155075, -0.0119307, -0.00839511, -0.00397011, -0.00188786, -0.000855601, -0.000425136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.274947, 0.313629, 0.342131, 0.363868, 0.389548, 0.414455, 0.437911, 0.474358, 0.572037, 0.61, 0.72567, 0.768117, 0.823879, 0.888709, 0.971358, 1.08019, 1.16066, 1.24987, 1.3139, 1.35836, 1.46379, 1.52862, 1.65828, 1.7207");
-            values ( \
-              "-0.147297, -0.158052, -0.183493, -0.194355, -0.200916, -0.204206, -0.205029, -0.205084, -0.200155, -0.197418, -0.186313, -0.18034, -0.169041, -0.147811, -0.11136, -0.0700332, -0.0477619, -0.0305151, -0.0219249, -0.0172467, -0.00986018, -0.00684605, -0.00333232, -0.00271331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.450309, 0.455967, 0.462239, 0.469398, 0.475034, 0.486392, 0.493019, 0.499084, 0.505747, 0.507495, 0.510511, 0.513803, 0.516589, 0.526153, 0.531192, 0.534937, 0.539021, 0.542063, 0.548424, 0.556904, 0.566866");
-            values ( \
-              "-0.0107029, -0.0138609, -0.022907, -0.0349333, -0.042554, -0.0557129, -0.0617836, -0.0661282, -0.0686772, -0.068622, -0.06783, -0.0647246, -0.0597527, -0.0321805, -0.0204289, -0.0141281, -0.0091963, -0.00665884, -0.00332338, -0.00125144, -0.000473124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.454404, 0.460636, 0.46239, 0.464145, 0.466975, 0.469804, 0.47169, 0.475463, 0.481727, 0.486732, 0.491187, 0.493962, 0.496142, 0.498322, 0.500194, 0.506811, 0.50982, 0.512326, 0.515639, 0.516984, 0.518597, 0.520498, 0.522398, 0.52324, 0.524922, 0.525686, 0.527214, 0.527977, 0.528756, 0.530314, 0.531093, 0.532651, 0.534208, 0.535766, 0.537324, 0.538471, 0.539617, 0.54413, 0.549448, 0.553135, 0.555559, 0.557983, 0.560406, 0.561834, 0.563882, 0.565419, 0.567102, 0.568786, 0.572292, 0.575275");
-            values ( \
-              "-0.0251424, -0.026689, -0.0296852, -0.0329547, -0.03897, -0.0446891, -0.0483372, -0.0552385, -0.0653919, -0.0729512, -0.0790602, -0.0825651, -0.0851265, -0.0875284, -0.0892356, -0.095079, -0.0975246, -0.0989758, -0.101134, -0.101817, -0.102445, -0.103013, -0.103394, -0.103425, -0.103329, -0.103194, -0.102753, -0.102446, -0.101956, -0.100738, -0.10001, -0.0983146, -0.0963019, -0.0939714, -0.091323, -0.0889001, -0.0862209, -0.072984, -0.0566202, -0.0460034, -0.0400668, -0.0346612, -0.0297866, -0.0271855, -0.0236222, -0.0212243, -0.0190172, -0.0169878, -0.0133974, -0.0106376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.450856, 0.47476, 0.481973, 0.486107, 0.494375, 0.503198, 0.505594, 0.515603, 0.520686, 0.530168, 0.535577, 0.542371, 0.554367, 0.56636, 0.570353, 0.574613, 0.580199, 0.591017, 0.597564, 0.603911, 0.612373, 0.617336, 0.623569, 0.63188, 0.639708, 0.643665, 0.651578, 0.667405, 0.692884, 0.723363");
-            values ( \
-              "-0.00709303, -0.0624236, -0.076916, -0.0844753, -0.0980703, -0.1101, -0.112999, -0.123433, -0.127867, -0.134394, -0.137035, -0.139259, -0.139664, -0.133193, -0.12882, -0.122102, -0.110061, -0.0828894, -0.0676352, -0.0546457, -0.0402767, -0.0334378, -0.026293, -0.0188661, -0.0137261, -0.0116699, -0.00838826, -0.00422251, -0.00127404, -0.000292365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.451011, 0.471961, 0.485639, 0.49355, 0.501999, 0.51535, 0.527471, 0.538593, 0.549524, 0.570289, 0.590631, 0.610963, 0.622769, 0.632584, 0.652213, 0.684651, 0.696457, 0.715925, 0.726501, 0.738783, 0.75516, 0.765358, 0.775744, 0.789591, 0.817287, 0.864917, 0.921394");
-            values ( \
-              "-0.0061002, -0.0601051, -0.0911379, -0.106196, -0.119641, -0.137051, -0.149443, -0.157938, -0.163905, -0.170164, -0.171026, -0.167035, -0.161823, -0.155296, -0.132331, -0.0814704, -0.0660326, -0.0455145, -0.0368312, -0.028618, -0.0202138, -0.0162422, -0.0129741, -0.00956981, -0.00509942, -0.00156387, -0.000356446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.45085, 0.481465, 0.491119, 0.505536, 0.526707, 0.537782, 0.554298, 0.568175, 0.595093, 0.606279, 0.625072, 0.643716, 0.681179, 0.695593, 0.720728, 0.74056, 0.762249, 0.804662, 0.845488, 0.874348, 0.889338, 0.917706, 0.942091, 0.962093, 0.985667, 1.01892, 1.05331, 1.07962, 1.13225, 1.19708, 1.26191, 1.32674");
-            values ( \
-              "-0.00784723, -0.0861356, -0.106902, -0.131609, -0.158099, -0.168083, -0.178752, -0.184637, -0.19085, -0.191877, -0.19238, -0.191662, -0.187724, -0.185305, -0.179849, -0.174035, -0.165247, -0.135987, -0.100434, -0.078358, -0.0683137, -0.0520851, -0.0408014, -0.0332489, -0.0259827, -0.0181606, -0.0125109, -0.00936796, -0.00514652, -0.00242257, -0.00112759, -0.000531259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.472784, 0.503371, 0.534643, 0.56819, 0.593738, 0.61897, 0.642528, 0.679049, 0.715456, 0.77522, 0.865523, 0.930353, 0.9728, 1.02856, 1.09339, 1.23284, 1.28487, 1.36533, 1.40653, 1.45457, 1.51862, 1.57811, 1.66844, 1.73327, 1.86293, 1.99259, 2.12225");
-            values ( \
-              "-0.127501, -0.132422, -0.171266, -0.192439, -0.200014, -0.20356, -0.204843, -0.204846, -0.203529, -0.200131, -0.19307, -0.186216, -0.180252, -0.169124, -0.147727, -0.0882992, -0.0700803, -0.0478109, -0.038981, -0.0305505, -0.0218826, -0.015977, -0.00982797, -0.00688161, -0.00336643, -0.00163754, -0.000792598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.887557, 0.9016, 0.916308, 0.932553, 0.940587, 0.947845, 0.954637, 0.961249, 0.968688, 0.980922, 0.986359, 0.992904, 0.997282, 1.00072, 1.00758, 1.01876, 1.07446");
-            values ( \
-              "-0.0105321, -0.0158232, -0.0315889, -0.045512, -0.0513914, -0.0557501, -0.05864, -0.059208, -0.0524761, -0.0247594, -0.0154518, -0.00823366, -0.00531018, -0.00373208, -0.00179995, -0.000538236, -6.72888e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.883303, 0.902227, 0.908365, 0.919289, 0.946487, 0.966327, 0.975298, 0.984151, 0.993541, 1.01148, 1.01974, 1.02486, 1.02926, 1.03528, 1.04331, 1.05804, 1.07506, 1.09665");
-            values ( \
-              "-0.00421229, -0.0217645, -0.0293042, -0.0445364, -0.0738766, -0.0884257, -0.091284, -0.0911172, -0.0800334, -0.0362138, -0.022096, -0.0158997, -0.0118545, -0.00787424, -0.0044776, -0.00145215, -0.00043915, -0.000128001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.884886, 0.911036, 0.928416, 0.952325, 0.968679, 0.983168, 0.996676, 1.00977, 1.02285, 1.02895, 1.03515, 1.06602, 1.07558, 1.08877, 1.09808, 1.11304, 1.13297, 1.13631");
-            values ( \
-              "-0.00327239, -0.0380329, -0.0644625, -0.0943614, -0.110298, -0.120452, -0.126839, -0.128955, -0.124957, -0.119278, -0.109677, -0.0460574, -0.0326359, -0.0196818, -0.0135898, -0.00736851, -0.00308369, -0.00280946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.886954, 0.939968, 0.969106, 0.980013, 1.00183, 1.0094, 1.02665, 1.04819, 1.0578, 1.06951, 1.09093, 1.09611, 1.10203, 1.11388, 1.14901, 1.16207, 1.18359, 1.2052, 1.21578, 1.2393, 1.25655, 1.28005, 1.31176");
-            values ( \
-              "-0.0040634, -0.0876828, -0.123788, -0.13384, -0.149425, -0.153261, -0.159576, -0.162918, -0.162738, -0.161033, -0.151932, -0.148055, -0.142352, -0.126889, -0.0746294, -0.0589841, -0.0388965, -0.0249883, -0.0200079, -0.0123172, -0.00843819, -0.00499445, -0.00255696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.88823, 0.94317, 0.950895, 0.966344, 0.979487, 1.00577, 1.02469, 1.06253, 1.07849, 1.09672, 1.1167, 1.14198, 1.18069, 1.19469, 1.20863, 1.22363, 1.23447, 1.2572, 1.2982, 1.33041, 1.35693, 1.3707, 1.39826, 1.42214, 1.43847, 1.47114, 1.49436, 1.52836, 1.57369, 1.63852, 1.70335, 1.76818");
-            values ( \
-              "-0.00432784, -0.0966641, -0.108035, -0.127939, -0.141969, -0.163143, -0.173256, -0.18463, -0.186467, -0.187334, -0.186896, -0.184718, -0.178156, -0.174482, -0.170011, -0.163737, -0.158492, -0.142814, -0.107563, -0.0818517, -0.0642857, -0.0564514, -0.0428951, -0.0336416, -0.0284201, -0.0200118, -0.015578, -0.0107404, -0.00648022, -0.00302653, -0.00144015, -0.000648969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.918926, 0.960204, 0.996044, 1.03844, 1.06589, 1.10209, 1.13983, 1.1763, 1.24818, 1.32718, 1.39201, 1.43461, 1.49029, 1.55512, 1.69457, 1.74662, 1.8271, 1.91629, 1.98031, 2.03986, 2.13027, 2.1951, 2.32476, 2.58408");
-            values ( \
-              "-0.108961, -0.124197, -0.162023, -0.186785, -0.194992, -0.200265, -0.20173, -0.201213, -0.198174, -0.192847, -0.186153, -0.180193, -0.169099, -0.147717, -0.0882999, -0.0700771, -0.0478041, -0.0305541, -0.0218894, -0.0159771, -0.00982352, -0.00687873, -0.00336511, -0.00079242" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00695564, 0.00696744, 0.00698069, 0.00699257, 0.00700114, 0.00700636", \
-            "0.00782515, 0.00783203, 0.00784126, 0.00785092, 0.0078587, 0.00786382", \
-            "0.0082887, 0.00829068, 0.00829441, 0.00829979, 0.00830535, 0.00830966", \
-            "0.00854412, 0.00854446, 0.0085451, 0.00854658, 0.00854906, 0.00855177", \
-            "0.00867762, 0.00867766, 0.00867777, 0.00867797, 0.00867846, 0.00867943", \
-            "0.00876928, 0.00876925, 0.00876917, 0.00876908, 0.00876904, 0.00876908" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00900087, 0.00899965, 0.00901327, 0.00902635, 0.00903626, 0.00904252", \
-            "0.00969077, 0.00969671, 0.00970441, 0.00971281, 0.0097204, 0.00972554", \
-            "0.010197, 0.0101983, 0.0102003, 0.0102035, 0.0102071, 0.0102103", \
-            "0.0108902, 0.0108857, 0.0108809, 0.0108759, 0.010872, 0.0108699", \
-            "0.0114196, 0.0114162, 0.0114106, 0.0114035, 0.0113961, 0.0113903", \
-            "0.0119152, 0.0119627, 0.0120003, 0.0120213, 0.0120294, 0.0120306" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.118718, 0.135992, 0.167657, 0.228684, 0.351353, 0.602983", \
-            "0.122505, 0.139636, 0.171258, 0.232121, 0.354699, 0.606245", \
-            "0.130515, 0.147545, 0.17887, 0.239485, 0.361863, 0.613284", \
-            "0.147995, 0.164809, 0.195849, 0.256014, 0.378135, 0.629346", \
-            "0.177565, 0.194922, 0.226236, 0.286786, 0.409081, 0.660192", \
-            "0.206134, 0.224692, 0.257152, 0.317984, 0.440404, 0.692184" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0410467, 0.0596685, 0.0986653, 0.181637, 0.357006, 0.722393", \
-            "0.041053, 0.059651, 0.0986871, 0.181623, 0.35701, 0.722397", \
-            "0.041024, 0.0596664, 0.0986771, 0.18162, 0.357009, 0.722396", \
-            "0.0409737, 0.059603, 0.0986248, 0.181586, 0.356992, 0.722392", \
-            "0.0431503, 0.0613959, 0.100161, 0.182235, 0.357104, 0.722397", \
-            "0.0488623, 0.0664241, 0.103326, 0.184035, 0.358638, 0.723273" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.195386, 0.213021, 0.242862, 0.293612, 0.386859, 0.571354", \
-            "0.199447, 0.217163, 0.246654, 0.297697, 0.391155, 0.575668", \
-            "0.210084, 0.227904, 0.257588, 0.308468, 0.401942, 0.586686", \
-            "0.234128, 0.251865, 0.281585, 0.33268, 0.42619, 0.61101", \
-            "0.285183, 0.30321, 0.333338, 0.384752, 0.478605, 0.663423", \
-            "0.373911, 0.393887, 0.426428, 0.481529, 0.578807, 0.765047" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.042563, 0.0576877, 0.0852606, 0.139117, 0.249885, 0.487093", \
-            "0.0425621, 0.0576443, 0.0853575, 0.139172, 0.249873, 0.486991", \
-            "0.0425561, 0.0575335, 0.0852143, 0.13914, 0.249858, 0.487068", \
-            "0.0425847, 0.057587, 0.0852793, 0.139066, 0.249806, 0.48696", \
-            "0.0440149, 0.0586505, 0.0859065, 0.1394, 0.249743, 0.486869", \
-            "0.0497489, 0.0653946, 0.0939628, 0.146727, 0.255393, 0.489577" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0822939, 0.106873, 0.113844, 0.120226, 0.126411, 0.132586, 0.137118, 0.149637, 0.159524, 0.16927, 0.17953, 0.191825, 0.20655, 0.217625");
-            values ( \
-              "0.0114366, 0.0524514, 0.0591659, 0.0625039, 0.0634873, 0.0616742, 0.0569988, 0.0343572, 0.0216393, 0.0135243, 0.00805303, 0.00428186, 0.00204684, 0.00151421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0817685, 0.0979014, 0.10702, 0.116061, 0.125616, 0.134624, 0.143516, 0.152403, 0.156239, 0.16033, 0.174523, 0.183308, 0.19289, 0.197974, 0.206233, 0.216689, 0.221511, 0.231156, 0.239263, 0.250473, 0.265421, 0.294103, 0.327798");
-            values ( \
-              "0.00079228, 0.0492387, 0.0678177, 0.0804383, 0.0881647, 0.0911072, 0.0904148, 0.086018, 0.0820631, 0.0765955, 0.0534721, 0.0415623, 0.0308448, 0.0262781, 0.020002, 0.0140033, 0.0118438, 0.00842495, 0.00631708, 0.00423752, 0.00245998, 0.000804583, 0.00021426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.075881, 0.124789, 0.131574, 0.145143, 0.15324, 0.160621, 0.174974, 0.183564, 0.190643, 0.2048, 0.227631, 0.246561, 0.261156, 0.274997, 0.284, 0.303619, 0.314194, 0.332914, 0.354473, 0.371712, 0.406191, 0.429148");
-            values ( \
-              "0.00180984, 0.105032, 0.110565, 0.116346, 0.116897, 0.116314, 0.112593, 0.108629, 0.104079, 0.0911195, 0.0657723, 0.0481356, 0.0368594, 0.0283668, 0.0237533, 0.0159357, 0.0127799, 0.00855626, 0.00538141, 0.00368778, 0.00167084, 0.00113911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0902802, 0.104039, 0.10974, 0.115899, 0.128217, 0.137638, 0.148381, 0.157939, 0.176813, 0.196155, 0.209289, 0.235358, 0.248849, 0.263239, 0.291164, 0.347704, 0.36363, 0.393667, 0.416591, 0.432438, 0.466027, 0.481284, 0.511799, 0.534624, 0.559941, 0.593698, 0.661211, 0.742883, 0.824555");
-            values ( \
-              "0.0274159, 0.0733834, 0.0878101, 0.100782, 0.119139, 0.127497, 0.133124, 0.135583, 0.136557, 0.134301, 0.131826, 0.125435, 0.121072, 0.115315, 0.100326, 0.0656404, 0.0570467, 0.0427578, 0.0339246, 0.0287229, 0.0198981, 0.0167618, 0.0118108, 0.00904915, 0.00672536, 0.00449568, 0.00191436, 0.000655519, 0.000223341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.100871, 0.125477, 0.144921, 0.157879, 0.175851, 0.191244, 0.206221, 0.23025, 0.254986, 0.326124, 0.377255, 0.416006, 0.474152, 0.563191, 0.624581, 0.676886, 0.721083, 0.754989, 0.81065, 0.877268, 0.937464, 1.00021, 1.04829, 1.12996, 1.21163, 1.2933, 1.45665");
-            values ( \
-              "0.105851, 0.120725, 0.139996, 0.1458, 0.149308, 0.149843, 0.149442, 0.14765, 0.145187, 0.136142, 0.127912, 0.119637, 0.103082, 0.0743263, 0.0565234, 0.0436637, 0.0346837, 0.0288546, 0.0211198, 0.0143611, 0.0100331, 0.0068879, 0.0051398, 0.0030945, 0.00185576, 0.00111191, 0.000397782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.106381, 0.144092, 0.159219, 0.17635, 0.192041, 0.207038, 0.235927, 0.268719, 0.400295, 0.502687, 0.584359, 0.663199, 0.726756, 0.808428, 1.0553, 1.17985, 1.26152, 1.32323, 1.39658, 1.52285, 1.62061, 1.69395, 1.77562, 1.91502, 1.99669, 2.16004, 2.32338, 2.5684, 2.81341");
-            values ( \
-              "0.133524, 0.143585, 0.151575, 0.155876, 0.157493, 0.158001, 0.157754, 0.156468, 0.149023, 0.142223, 0.136131, 0.129188, 0.122188, 0.110975, 0.0716385, 0.0539761, 0.044081, 0.0375881, 0.0309088, 0.0217611, 0.0164664, 0.0132938, 0.0104529, 0.00689568, 0.00538565, 0.00327885, 0.00199002, 0.000941048, 0.000440804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0976724, 0.101347, 0.112775, 0.121093, 0.128066, 0.13445, 0.140636, 0.146812, 0.149398, 0.152026, 0.164011, 0.174073, 0.182452, 0.188526, 0.195401, 0.200106, 0.20952, 0.215054, 0.226123, 0.246312, 0.252066");
-            values ( \
-              "0.0116741, 0.0175906, 0.0405808, 0.0524874, 0.0590955, 0.0625395, 0.0634378, 0.0617047, 0.059432, 0.0559922, 0.0341186, 0.0213209, 0.0142441, 0.01049, 0.0074086, 0.0058263, 0.00360255, 0.00272022, 0.00155407, 0.00054503, 0.000492158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0980263, 0.106413, 0.112183, 0.121596, 0.130339, 0.138538, 0.142638, 0.150481, 0.15365, 0.157749, 0.165947, 0.168783, 0.177017, 0.190016, 0.198749, 0.213794, 0.225401, 0.230882, 0.243898, 0.253401, 0.265088, 0.28067, 0.309102, 0.342566");
-            values ( \
-              "0.00380792, 0.0346968, 0.0492569, 0.0682334, 0.0803607, 0.0873552, 0.089393, 0.0910859, 0.0910242, 0.090323, 0.0864737, 0.0839023, 0.0727357, 0.0518024, 0.0401157, 0.0249889, 0.0169391, 0.0140467, 0.00891517, 0.00635624, 0.00419177, 0.002374, 0.000786912, 0.000211378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0983111, 0.112699, 0.125464, 0.137157, 0.145655, 0.160368, 0.174737, 0.189094, 0.196646, 0.204723, 0.220409, 0.247455, 0.263454, 0.286141, 0.299319, 0.317973, 0.329057, 0.347268, 0.367906, 0.384249, 0.416934, 0.445161");
-            values ( \
-              "0.000233506, 0.0561871, 0.0860369, 0.103228, 0.110668, 0.116567, 0.116438, 0.112657, 0.109211, 0.104112, 0.0895113, 0.0601611, 0.0457808, 0.0300367, 0.0231638, 0.0158447, 0.0125686, 0.0085088, 0.00545965, 0.00381632, 0.00181078, 0.00109584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0986171, 0.125853, 0.138116, 0.145366, 0.159866, 0.171982, 0.189887, 0.210183, 0.22332, 0.249388, 0.262885, 0.277282, 0.305044, 0.361657, 0.407533, 0.430841, 0.446985, 0.480066, 0.495061, 0.525051, 0.548411, 0.574228, 0.60865, 0.677495, 0.759167, 0.840839");
-            values ( \
-              "0.00575215, 0.0925934, 0.113973, 0.122237, 0.132232, 0.135674, 0.136628, 0.134313, 0.131817, 0.125444, 0.121068, 0.11531, 0.100418, 0.0656851, 0.042828, 0.0338474, 0.0285658, 0.0198978, 0.0168111, 0.0119203, 0.00907607, 0.00670575, 0.00444615, 0.00185707, 0.000635736, 0.000216633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.113357, 0.132424, 0.145953, 0.162669, 0.17185, 0.190213, 0.205414, 0.220197, 0.244229, 0.268964, 0.3401, 0.39125, 0.429988, 0.488135, 0.577172, 0.638578, 0.690871, 0.73505, 0.768961, 0.824631, 0.891254, 0.951448, 1.01418, 1.06226, 1.14393, 1.2256, 1.47062");
-            values ( \
-              "0.0985385, 0.109055, 0.129061, 0.142033, 0.145712, 0.1493, 0.149836, 0.149422, 0.147656, 0.145174, 0.13614, 0.127909, 0.119635, 0.103081, 0.0743254, 0.0565197, 0.0436621, 0.0346869, 0.0288556, 0.0211193, 0.0143602, 0.0100334, 0.00688853, 0.00513976, 0.00309514, 0.00185548, 0.000398218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.124752, 0.19061, 0.20611, 0.220904, 0.249403, 0.282394, 0.414168, 0.51656, 0.598232, 0.677074, 0.740631, 0.822303, 1.06917, 1.19373, 1.2754, 1.3371, 1.41045, 1.49212, 1.55069, 1.63448, 1.70781, 1.78948, 1.9289, 2.01057, 2.17391, 2.33726, 2.58227, 2.82729");
-            values ( \
-              "0.152507, 0.15585, 0.157448, 0.15799, 0.157737, 0.156491, 0.149001, 0.1422, 0.136152, 0.12921, 0.122166, 0.110996, 0.071621, 0.0539596, 0.0440966, 0.037602, 0.0308959, 0.0246727, 0.0209239, 0.0164612, 0.0132995, 0.010448, 0.00689103, 0.00539017, 0.00328311, 0.00199388, 0.000937766, 0.000443566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.129561, 0.136462, 0.14442, 0.150489, 0.154732, 0.161693, 0.168071, 0.174253, 0.180424, 0.18307, 0.185759, 0.197693, 0.20784, 0.215556, 0.224879, 0.236547, 0.242848, 0.252591, 0.265583, 0.275523");
-            values ( \
-              "0.00159303, 0.0209797, 0.037245, 0.0470917, 0.0525519, 0.0592209, 0.0625441, 0.0635138, 0.0616891, 0.0593837, 0.0558212, 0.0340041, 0.0211651, 0.0146083, 0.00913852, 0.00502859, 0.00365156, 0.00223523, 0.00115784, 0.000800823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.128195, 0.152768, 0.159456, 0.163855, 0.173422, 0.182418, 0.19131, 0.200452, 0.208118, 0.222316, 0.231091, 0.245766, 0.254041, 0.264476, 0.278911, 0.287036, 0.29827, 0.313249, 0.341309, 0.342096");
-            values ( \
-              "0.00159142, 0.0640766, 0.0749644, 0.080377, 0.0882271, 0.0910609, 0.0904669, 0.08574, 0.0766025, 0.0534771, 0.0415739, 0.0262777, 0.0199909, 0.0140048, 0.00843519, 0.00632196, 0.00423724, 0.00245549, 0.000827833, 0.000813495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.12916, 0.161747, 0.168253, 0.17893, 0.186625, 0.193611, 0.207985, 0.222362, 0.237977, 0.29668, 0.319442, 0.332684, 0.351212, 0.380501, 0.417705, 0.450612, 0.454904");
-            values ( \
-              "0.00608588, 0.0913222, 0.100701, 0.110648, 0.114553, 0.116364, 0.116342, 0.112615, 0.104106, 0.0458158, 0.0300181, 0.02312, 0.0158547, 0.00851488, 0.00380075, 0.00179277, 0.00168487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.132991, 0.15107, 0.158725, 0.171216, 0.178294, 0.19245, 0.204897, 0.222615, 0.243093, 0.256232, 0.2823, 0.295804, 0.310208, 0.337767, 0.394473, 0.44024, 0.464026, 0.48054, 0.512987, 0.527656, 0.556993, 0.581017, 0.607449, 0.642691, 0.713175, 0.754721");
-            values ( \
-              "0.00287229, 0.0738758, 0.0926844, 0.114352, 0.122322, 0.132133, 0.135686, 0.136655, 0.134325, 0.131809, 0.125451, 0.121063, 0.115303, 0.100531, 0.0657418, 0.0429165, 0.0337519, 0.0283698, 0.0198969, 0.0168731, 0.0120572, 0.00911021, 0.00668241, 0.00438547, 0.001789, 0.00119003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.147589, 0.167644, 0.182417, 0.20448, 0.221902, 0.237571, 0.252818, 0.276846, 0.30158, 0.372723, 0.423833, 0.4626, 0.520748, 0.609789, 0.67116, 0.723479, 0.767698, 0.801598, 0.857248, 0.923862, 0.98406, 1.0949, 1.17657, 1.25824, 1.50326");
-            values ( \
-              "0.111786, 0.113926, 0.133013, 0.14585, 0.149235, 0.14987, 0.149421, 0.147673, 0.145162, 0.136139, 0.127914, 0.119636, 0.103082, 0.0743253, 0.0565286, 0.043664, 0.034681, 0.0288525, 0.0211193, 0.0143611, 0.0100336, 0.00513899, 0.00309458, 0.00185524, 0.000398096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.151925, 0.205811, 0.222619, 0.238476, 0.253634, 0.28204, 0.31507, 0.416069, 0.49662, 0.630954, 0.709796, 0.773353, 0.855025, 1.1019, 1.22645, 1.36982, 1.44317, 1.58341, 1.6672, 1.82221, 1.96162, 2.04329, 2.20664, 2.36998, 2.49747");
-            values ( \
-              "0.125392, 0.151578, 0.1559, 0.157446, 0.158058, 0.15772, 0.156527, 0.150957, 0.145821, 0.136173, 0.129228, 0.122147, 0.111014, 0.0716055, 0.0539444, 0.0376163, 0.030882, 0.020912, 0.0164497, 0.010437, 0.00688037, 0.00540064, 0.00329327, 0.00200377, 0.00144414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.210248, 0.214677, 0.225217, 0.233581, 0.240548, 0.24692, 0.25309, 0.259249, 0.261841, 0.264473, 0.276433, 0.286499, 0.294728, 0.300867, 0.307886, 0.312543, 0.321849, 0.338134, 0.357931, 0.362598");
-            values ( \
-              "0.0166898, 0.0184486, 0.040021, 0.0523813, 0.0592554, 0.0626616, 0.0636585, 0.0618206, 0.0595516, 0.0560671, 0.0341421, 0.0213187, 0.0143413, 0.0105213, 0.00737495, 0.00581128, 0.00361083, 0.00158113, 0.00056639, 0.000520954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.212328, 0.2181, 0.227941, 0.238073, 0.242487, 0.25205, 0.261032, 0.26991, 0.278783, 0.286753, 0.304677, 0.319303, 0.332367, 0.347945, 0.365682, 0.386458, 0.410203, 0.414357");
-            values ( \
-              "0.0237089, 0.0322322, 0.0565135, 0.0746929, 0.0804146, 0.0882682, 0.0912747, 0.090544, 0.0861413, 0.0765925, 0.0481323, 0.030802, 0.0201539, 0.0118098, 0.0063004, 0.00298929, 0.0012217, 0.0011535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.218005, 0.227973, 0.236878, 0.249328, 0.257327, 0.27203, 0.286378, 0.300719, 0.316344, 0.332026, 0.359069, 0.37507, 0.397738, 0.410901, 0.429578, 0.458869, 0.495786, 0.52841, 0.543244");
-            values ( \
-              "0.0620167, 0.0649529, 0.0852025, 0.103802, 0.11074, 0.116728, 0.116533, 0.112756, 0.104155, 0.0895328, 0.0601659, 0.0457797, 0.0300442, 0.0231768, 0.015845, 0.0085092, 0.00382205, 0.00181562, 0.0014367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.220374, 0.256378, 0.269116, 0.282968, 0.300286, 0.321139, 0.360336, 0.388328, 0.414673, 0.471923, 0.515128, 0.545551, 0.591089, 0.625144, 0.656004, 0.712998, 0.762469, 0.808337");
-            values ( \
-              "0.0710128, 0.122281, 0.131551, 0.135754, 0.136775, 0.134397, 0.125492, 0.115274, 0.101216, 0.0660848, 0.0442872, 0.0325519, 0.0198938, 0.0135362, 0.00944355, 0.00482843, 0.0026315, 0.00166125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.225539, 0.271069, 0.282384, 0.299047, 0.315072, 0.330682, 0.354697, 0.379432, 0.450628, 0.501429, 0.540417, 0.598566, 0.687638, 0.748745, 0.801261, 0.845778, 0.879594, 0.935094, 1.00163, 1.06186, 1.17292, 1.25459, 1.33626, 1.41001");
-            values ( \
-              "0.102778, 0.141315, 0.146073, 0.149284, 0.149956, 0.149479, 0.147704, 0.145181, 0.136135, 0.127962, 0.119644, 0.10309, 0.0743257, 0.0565972, 0.0436791, 0.0346364, 0.0288295, 0.0211203, 0.0143684, 0.0100353, 0.00513423, 0.00309036, 0.00185401, 0.0014154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.234423, 0.287254, 0.311758, 0.33096, 0.361009, 0.393213, 0.5242, 0.626591, 0.708263, 0.787105, 0.850662, 0.932334, 1.1792, 1.30376, 1.38543, 1.44713, 1.52049, 1.60216, 1.66072, 1.74451, 1.81785, 1.89952, 2.03893, 2.1206, 2.28395, 2.44729, 2.69231, 2.93732");
-            values ( \
-              "0.15287, 0.153011, 0.157226, 0.158066, 0.15774, 0.156467, 0.149009, 0.142206, 0.136147, 0.129205, 0.12217, 0.110992, 0.0716224, 0.0539606, 0.0440957, 0.0376016, 0.0308959, 0.0246726, 0.0209229, 0.0164612, 0.0132992, 0.0104483, 0.00689118, 0.00539001, 0.00328296, 0.00199376, 0.000937816, 0.000443593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.376766, 0.385752, 0.413351, 0.423599, 0.430118, 0.436603, 0.443085, 0.454078, 0.465357, 0.473362, 0.484225, 0.496857, 0.509222, 0.533904, 0.556097");
-            values ( \
-              "0.00577396, 0.0128383, 0.0515142, 0.0587452, 0.0604977, 0.0595131, 0.053372, 0.0338715, 0.0202205, 0.0137512, 0.00801223, 0.00422488, 0.00199451, 0.000685479, 0.000433733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.380099, 0.406767, 0.419146, 0.429363, 0.438754, 0.447931, 0.457271, 0.462518, 0.47743, 0.495116, 0.510257, 0.522034, 0.540955, 0.560509, 0.577551");
-            values ( \
-              "0.00521658, 0.0560645, 0.0742343, 0.0838096, 0.0877366, 0.0882762, 0.0843207, 0.0791544, 0.0559657, 0.0335556, 0.0205802, 0.0138554, 0.00715622, 0.00359181, 0.0024891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.374303, 0.391059, 0.416366, 0.429763, 0.44301, 0.450117, 0.464804, 0.480312, 0.495104, 0.551607, 0.579554, 0.609863, 0.638821, 0.670821, 0.682855");
-            values ( \
-              "0.0091039, 0.0259775, 0.0817368, 0.100504, 0.110615, 0.113224, 0.114559, 0.111162, 0.103497, 0.0478682, 0.0285105, 0.0154731, 0.00836784, 0.0041897, 0.00364093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.398878, 0.419443, 0.426174, 0.429991, 0.433808, 0.437625, 0.441442, 0.445258, 0.449075, 0.455169, 0.458217, 0.461264, 0.465865, 0.470467, 0.475069, 0.479671, 0.487054, 0.490264, 0.496683, 0.499892, 0.520663, 0.524152, 0.534179, 0.541986, 0.552753, 0.557891, 0.560678, 0.56904, 0.574614, 0.585762, 0.591336, 0.601878, 0.61935, 0.643673, 0.654118, 0.664563, 0.677326, 0.692626, 0.708793, 0.719035, 0.728395, 0.737755, 0.750093, 0.770014, 0.781389, 0.78518, 0.800346, 0.815513, 0.832767, 0.847013");
-            values ( \
-              "0.0934468, 0.0954593, 0.107459, 0.112928, 0.117696, 0.121442, 0.1247, 0.127471, 0.129754, 0.132099, 0.133024, 0.133784, 0.134242, 0.134576, 0.134785, 0.13487, 0.134748, 0.13451, 0.133789, 0.133307, 0.129556, 0.128847, 0.126535, 0.12439, 0.12083, 0.11892, 0.117751, 0.114006, 0.111265, 0.105406, 0.102287, 0.0960461, 0.0850227, 0.0701893, 0.064058, 0.058299, 0.0519685, 0.0450065, 0.0381521, 0.0341623, 0.0310727, 0.0281885, 0.0247876, 0.0196811, 0.0172514, 0.0165089, 0.0139912, 0.011783, 0.00968361, 0.0080879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.391341, 0.419998, 0.433724, 0.44831, 0.46042, 0.478646, 0.494226, 0.52382, 0.5366, 0.57979, 0.659782, 0.691403, 0.71915, 0.774645, 0.866516, 0.938572, 0.983273, 1.01572, 1.05168, 1.11369, 1.14243, 1.19992, 1.2466, 1.29799, 1.3665, 1.44817, 1.52985, 1.61152, 1.77486");
-            values ( \
-              "0.0431366, 0.10083, 0.122903, 0.137004, 0.143483, 0.147897, 0.148911, 0.14798, 0.147143, 0.142646, 0.131508, 0.125782, 0.119615, 0.103899, 0.0742459, 0.0536477, 0.0429654, 0.0362907, 0.0298859, 0.0211207, 0.0179194, 0.012775, 0.00968024, 0.00711096, 0.00466528, 0.00281153, 0.00168051, 0.00101124, 0.000363409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.391302, 0.428258, 0.441043, 0.461004, 0.478759, 0.494461, 0.505397, 0.509286, 0.52452, 0.537972, 0.552619, 0.604497, 0.70264, 0.784312, 0.912961, 0.994633, 1.0292, 1.08927, 1.16096, 1.35984, 1.4863, 1.58447, 1.68712, 1.76879, 1.84356, 1.95546, 2.01838, 2.10005, 2.19167, 2.27334, 2.35501, 2.51835, 2.6817, 2.92671, 3.17173");
-            values ( \
-              "0.0429712, 0.11844, 0.135009, 0.148961, 0.154481, 0.156443, 0.157028, 0.156915, 0.157518, 0.157515, 0.15717, 0.154805, 0.149011, 0.143639, 0.134002, 0.126179, 0.12216, 0.114135, 0.103206, 0.0712941, 0.0534367, 0.0418319, 0.0319061, 0.0254971, 0.0206672, 0.0149787, 0.0124649, 0.00978685, 0.00744878, 0.00582755, 0.00454927, 0.00276655, 0.00167795, 0.000792454, 0.000371191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.743685, 0.758492, 0.762793, 0.781545, 0.798515, 0.806626, 0.814088, 0.821337, 0.828573, 0.841062, 0.851661, 0.860048, 0.871364, 0.884667, 0.905024, 0.917331");
-            values ( \
-              "0.00177131, 0.00873385, 0.0118875, 0.0306116, 0.0453883, 0.0505753, 0.0534934, 0.0540201, 0.0497966, 0.0308544, 0.019471, 0.0131828, 0.00762585, 0.00395387, 0.0014782, 0.00092401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.743529, 0.762675, 0.767467, 0.800144, 0.812052, 0.822578, 0.832553, 0.842533, 0.852466, 0.866604, 0.872706, 0.882663, 0.893871, 0.901955, 0.910524, 0.925138, 0.934351, 0.948549, 0.96748, 0.997302, 1.0334");
-            values ( \
-              "0.00129649, 0.015556, 0.0207246, 0.0621343, 0.0734836, 0.0796626, 0.0820165, 0.0801515, 0.071268, 0.0505166, 0.0425527, 0.0317269, 0.0223196, 0.0170883, 0.0128053, 0.00771232, 0.00559103, 0.00339403, 0.0017083, 0.000552277, 0.000135956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.747128, 0.764992, 0.769271, 0.773272, 0.777844, 0.793229, 0.79776, 0.806823, 0.81795, 0.834598, 0.850071, 0.865389, 0.880697, 0.88124, 0.884494, 0.888834, 0.897513, 0.907233, 0.918341, 0.927359, 0.938316, 0.952524, 0.956592, 0.960355, 0.965373, 0.974673, 0.986685, 0.989941, 0.996454, 1.00839, 1.02519, 1.03264, 1.03598, 1.04267, 1.05605, 1.08282, 1.10701");
-            values ( \
-              "0.00656428, 0.0206356, 0.0260841, 0.031663, 0.0384412, 0.0627543, 0.0695522, 0.0823344, 0.0946901, 0.106228, 0.109859, 0.108659, 0.102363, 0.102029, 0.0997586, 0.0962886, 0.0879765, 0.0775127, 0.0657456, 0.0569808, 0.0473689, 0.0366375, 0.033999, 0.0316674, 0.0287658, 0.0239779, 0.018834, 0.0176306, 0.0154242, 0.0120264, 0.00840665, 0.00717381, 0.0066792, 0.00578487, 0.00432787, 0.00238058, 0.00156095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.759335, 0.779204, 0.796759, 0.809944, 0.816099, 0.828409, 0.845747, 0.867133, 0.872385, 0.88289, 0.898772, 0.926035, 0.937019, 0.949573, 0.966156, 0.987961, 1.02195, 1.04622, 1.06486, 1.08894, 1.10738, 1.13197, 1.15059, 1.16541, 1.18517, 1.21597, 1.22976, 1.2477, 1.27162, 1.30602, 1.37481, 1.40411");
-            values ( \
-              "0.0257094, 0.0441234, 0.0747109, 0.0954459, 0.103788, 0.116426, 0.127346, 0.132069, 0.132376, 0.131883, 0.130117, 0.124183, 0.120883, 0.116253, 0.108493, 0.095924, 0.0747063, 0.0610207, 0.0514593, 0.0407509, 0.0337856, 0.026022, 0.0212464, 0.0180244, 0.0144111, 0.0100912, 0.00854482, 0.00696854, 0.00526395, 0.00349396, 0.00145536, 0.00111233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.758973, 0.792047, 0.816073, 0.832259, 0.844958, 0.868452, 0.889632, 0.905608, 0.944104, 0.994956, 1.04836, 1.10248, 1.12517, 1.14645, 1.25, 1.27605, 1.30473, 1.3621, 1.3877, 1.42705, 1.47951, 1.50853, 1.55542, 1.61794, 1.65392, 1.70677, 1.77723, 1.8589, 1.94057, 2.02224, 2.18559");
-            values ( \
-              "0.0182247, 0.0687639, 0.109485, 0.127576, 0.136811, 0.145233, 0.147493, 0.147302, 0.14442, 0.138486, 0.130775, 0.120084, 0.114351, 0.108251, 0.0753136, 0.0674971, 0.0592636, 0.0448238, 0.0392939, 0.0318787, 0.023834, 0.0202208, 0.0154244, 0.0106382, 0.00858222, 0.00624124, 0.00404392, 0.00243067, 0.00145604, 0.000871976, 0.000311829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.786758, 0.825034, 0.845718, 0.863795, 0.880246, 0.895116, 0.921065, 0.952301, 0.990827, 1.0893, 1.27067, 1.35533, 1.4163, 1.49797, 1.74487, 1.86944, 2.01277, 2.08614, 2.2264, 2.31024, 2.46523, 2.60461, 2.68628, 2.84962, 3.01297, 3.15341");
-            values ( \
-              "0.121239, 0.123842, 0.142114, 0.150539, 0.154308, 0.155938, 0.156692, 0.155985, 0.15421, 0.148539, 0.136342, 0.128922, 0.122142, 0.110983, 0.0716138, 0.0539528, 0.0376071, 0.0308934, 0.0209196, 0.0164564, 0.0104451, 0.00688917, 0.00539196, 0.0032848, 0.00199548, 0.00155109" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00759914, 0.00761, 0.00762294, 0.00763485, 0.00764347, 0.00764871", \
-            "0.00921653, 0.0092283, 0.00924388, 0.00925979, 0.00927231, 0.00928036", \
-            "0.0101095, 0.0101194, 0.010134, 0.0101511, 0.0101665, 0.0101774", \
-            "0.0106446, 0.0106468, 0.0106519, 0.0106608, 0.0106717, 0.0106812", \
-            "0.0109842, 0.0109832, 0.010982, 0.0109818, 0.010984, 0.0109883", \
-            "0.0111721, 0.011172, 0.0111718, 0.0111712, 0.0111705, 0.0111707" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0105774, 0.0105891, 0.010605, 0.0106217, 0.0106347, 0.010643", \
-            "0.0108727, 0.010879, 0.0108896, 0.0109034, 0.0109163, 0.0109254", \
-            "0.0104747, 0.0104667, 0.0104577, 0.0104507, 0.0104467, 0.010445", \
-            "0.0101538, 0.010149, 0.0101404, 0.0101287, 0.0101175, 0.0101095", \
-            "0.0102957, 0.0103044, 0.0103037, 0.0103025, 0.0102923, 0.0102846", \
-            "0.0102387, 0.0102373, 0.010251, 0.0103244, 0.0103705, 0.0103903" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.139137, 0.158618, 0.169234, 0.180048, 0.19611, 0.209487, 0.215883, 0.222272, 0.238157, 0.243365, 0.251275, 0.260951, 0.265857");
-            values ( \
-              "-0.000647449, -0.0147117, -0.0304262, -0.0418778, -0.0549119, -0.0610937, -0.0606692, -0.0550583, -0.0194981, -0.0120609, -0.00547787, -0.00196685, -0.00134402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.144676, 0.155218, 0.162587, 0.17574, 0.189953, 0.201288, 0.211293, 0.220535, 0.229417, 0.238225, 0.247028, 0.268629, 0.278221, 0.285586, 0.298586, 0.307399");
-            values ( \
-              "-0.0124385, -0.018159, -0.0251105, -0.0479029, -0.0657038, -0.0765708, -0.0847491, -0.0894603, -0.0924629, -0.0907775, -0.0808356, -0.0303696, -0.016759, -0.0102794, -0.004135, -0.0024779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.149097, 0.165329, 0.176707, 0.194254, 0.206251, 0.222325, 0.236774, 0.250368, 0.263615, 0.276849, 0.281128, 0.285546, 0.291524, 0.316165, 0.330209, 0.337155, 0.346415, 0.353399, 0.364057, 0.378266, 0.397664");
-            values ( \
-              "-0.0286284, -0.0349041, -0.0565584, -0.0827628, -0.0969139, -0.111165, -0.120328, -0.125518, -0.127263, -0.122901, -0.119475, -0.114271, -0.10401, -0.0529661, -0.0324076, -0.0250192, -0.0174521, -0.0132426, -0.00861583, -0.00475655, -0.00227411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.14059, 0.162956, 0.196097, 0.214496, 0.231587, 0.256301, 0.2791, 0.301094, 0.322928, 0.344756, 0.34969, 0.355328, 0.366604, 0.395818, 0.422093, 0.441252, 0.450246, 0.468233, 0.48347, 0.508308, 0.541426, 0.590507, 0.649858");
-            values ( \
-              "-0.0256338, -0.0363953, -0.0938488, -0.116669, -0.132267, -0.148012, -0.156206, -0.159675, -0.158124, -0.149723, -0.146268, -0.141254, -0.127559, -0.0842315, -0.0528138, -0.0363223, -0.030277, -0.0207723, -0.0150202, -0.00874688, -0.00408952, -0.00120782, -0.000253946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.14075, 0.17168, 0.198871, 0.214166, 0.228472, 0.250292, 0.274912, 0.307101, 0.333649, 0.355249, 0.394373, 0.433501, 0.457341, 0.476277, 0.51415, 0.5804, 0.602512, 0.656954, 0.699923, 0.733381, 0.768372, 0.795204, 0.848869, 0.910635");
-            values ( \
-              "-0.0386309, -0.0543556, -0.103115, -0.122884, -0.138241, -0.155235, -0.168928, -0.178973, -0.183078, -0.184615, -0.183052, -0.177541, -0.171102, -0.16378, -0.139282, -0.084104, -0.0689779, -0.0406084, -0.026055, -0.018177, -0.0124468, -0.0092748, -0.00502932, -0.00251245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.140494, 0.227422, 0.27407, 0.306526, 0.341736, 0.376264, 0.428082, 0.50286, 0.599362, 0.695449, 0.742597, 0.808727, 1.00035, 1.08854, 1.20511, 1.347, 1.47926, 1.50852");
-            values ( \
-              "-0.0332701, -0.141441, -0.175468, -0.187995, -0.195742, -0.199222, -0.2005, -0.19781, -0.190674, -0.178442, -0.168879, -0.146997, -0.0696637, -0.0457431, -0.0253533, -0.0118979, -0.00577079, -0.00510753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.157244, 0.167959, 0.17312, 0.183901, 0.194718, 0.210778, 0.224154, 0.23055, 0.236938, 0.252824, 0.258032, 0.265942, 0.27562, 0.281671");
-            values ( \
-              "-0.00228696, -0.0122375, -0.0145519, -0.0303755, -0.0418529, -0.0548858, -0.0610693, -0.0606943, -0.0550353, -0.0194973, -0.0120613, -0.00547744, -0.00196634, -0.00119839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.157418, 0.170337, 0.17245, 0.180458, 0.187619, 0.196606, 0.216121, 0.226102, 0.235339, 0.244214, 0.25302, 0.262458, 0.276183, 0.283338, 0.289355, 0.298237, 0.30465, 0.3132, 0.328097, 0.345347, 0.367224");
-            values ( \
-              "-0.0062748, -0.018692, -0.0195032, -0.0308042, -0.0437467, -0.0560824, -0.0771529, -0.0843772, -0.0899239, -0.0921316, -0.0911554, -0.0796745, -0.0453283, -0.0304868, -0.021159, -0.0118604, -0.00768029, -0.00422186, -0.00133256, -0.000403546, -9.92958e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.00415961, 0.00544623, 0.00773032, 0.0136356, 0.0171133, 0.0235079, 0.0283072, 0.040544, 0.0413732, 0.0498192, 0.0520073, 0.0561407, 0.0586977, 0.0627661, 0.0672588, 0.142326, 0.171145, 0.176933, 0.180681, 0.197203, 0.21782, 0.224832, 0.238856, 0.245159, 0.255424, 0.259145, 0.269039, 0.273941, 0.282274, 0.295476, 0.301281, 0.307274, 0.310228, 0.329749, 0.340604, 0.352535, 0.358865");
-            values ( \
-              "-1e-22, -0.00584388, -0.00611442, -0.00559222, -0.00572292, -0.00746488, -0.0101398, -0.0194358, -0.014461, -0.00613362, -0.00476292, -0.00444261, -0.00392843, -0.000699887, -1e-22, -2e-22, -0.0169532, -0.0253987, -0.0294155, -0.0592225, -0.0886252, -0.0961579, -0.109371, -0.113915, -0.119997, -0.121724, -0.125306, -0.126418, -0.126978, -0.122874, -0.117847, -0.109414, -0.103921, -0.0623329, -0.043583, -0.0283359, -0.0223088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.00159576, 0.00288238, 0.0209702, 0.0379802, 0.0460255, 0.0657362, 0.138149, 0.166529, 0.235074, 0.272755, 0.295534, 0.317537, 0.339376, 0.366133, 0.438213, 0.48518, 0.521062");
-            values ( \
-              "-1e-22, -0.00614927, -0.00930928, -0.0245807, -0.0123405, -1e-22, -2e-22, -0.0155075, -0.120842, -0.147996, -0.156073, -0.159693, -0.158032, -0.146265, -0.0531467, -0.0205505, -0.00978952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.155028, 0.186778, 0.213856, 0.22919, 0.243422, 0.265297, 0.289845, 0.322108, 0.348417, 0.370173, 0.409296, 0.448422, 0.472276, 0.491198, 0.529043, 0.595356, 0.617417, 0.671862, 0.71486, 0.748311, 0.783279, 0.810091, 0.863714, 0.925507");
-            values ( \
-              "-0.0358286, -0.0547887, -0.103302, -0.123081, -0.13833, -0.155339, -0.168962, -0.179014, -0.183081, -0.18462, -0.183062, -0.177538, -0.171101, -0.163779, -0.139305, -0.0840769, -0.0689883, -0.0406126, -0.026051, -0.0181747, -0.0124484, -0.00927856, -0.00503349, -0.00251382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.155485, 0.24282, 0.266837, 0.289445, 0.322172, 0.35733, 0.391166, 0.443443, 0.488159, 0.518218, 0.578335, 0.627137, 0.673738, 0.739868, 0.794486, 0.936843, 1.02677, 1.06731, 1.12099, 1.16881, 1.23258, 1.31014, 1.35798, 1.42411, 1.49024, 1.55637, 1.66569");
-            values ( \
-              "-0.0317022, -0.141587, -0.16182, -0.175544, -0.188088, -0.195819, -0.199229, -0.200531, -0.199378, -0.19778, -0.193895, -0.189474, -0.183926, -0.173083, -0.1582, -0.0981757, -0.0662247, -0.0546326, -0.0420654, -0.0330761, -0.0236976, -0.0157183, -0.0122211, -0.00847713, -0.00595564, -0.00408545, -0.00232074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.19511, 0.204109, 0.206569, 0.212113, 0.220106, 0.230928, 0.239492, 0.246971, 0.253838, 0.260362, 0.266759, 0.27315, 0.289037, 0.294241, 0.302158, 0.311847, 0.317104");
-            values ( \
-              "-0.00570378, -0.0121355, -0.012425, -0.0185781, -0.0301219, -0.0416088, -0.0491756, -0.0546461, -0.0585426, -0.0608755, -0.0608504, -0.0548714, -0.0194897, -0.0120648, -0.00547477, -0.0019624, -0.00129712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.19443, 0.207295, 0.213111, 0.227026, 0.241106, 0.252387, 0.262346, 0.271566, 0.280431, 0.289227, 0.298019, 0.319422, 0.325663, 0.334468, 0.340761, 0.349152, 0.362768");
-            values ( \
-              "-0.00518694, -0.0198953, -0.0247282, -0.0484339, -0.0660601, -0.0769808, -0.08491, -0.0897205, -0.0925445, -0.090946, -0.0808548, -0.0306987, -0.0210233, -0.0118452, -0.00773846, -0.00428156, -0.00161238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.189646, 0.212122, 0.23745, 0.250152, 0.257144, 0.273208, 0.285695, 0.291532, 0.299314, 0.305153, 0.312939, 0.317485, 0.322558, 0.32778, 0.338224, 0.342388, 0.362207, 0.370493, 0.381168, 0.385919, 0.395419, 0.404039, 0.408711, 0.418053, 0.436739, 0.463221, 0.495669");
-            values ( \
-              "-0.0044832, -0.0290766, -0.0722004, -0.0889481, -0.0968349, -0.111192, -0.119208, -0.12205, -0.124936, -0.126383, -0.127157, -0.126763, -0.125391, -0.122728, -0.111453, -0.104015, -0.0617673, -0.0471369, -0.0322684, -0.02705, -0.0187547, -0.0133511, -0.0110678, -0.00756532, -0.00338068, -0.00100662, -0.00022371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.179403, 0.213767, 0.247523, 0.26453, 0.28258, 0.307317, 0.330106, 0.352104, 0.37394, 0.395771, 0.400699, 0.406331, 0.417595, 0.446981, 0.472919, 0.492265, 0.501353, 0.519528, 0.534509, 0.558971, 0.591587, 0.640555, 0.699663");
-            values ( \
-              "-0.00440896, -0.0359533, -0.0943195, -0.115534, -0.132226, -0.147959, -0.156194, -0.15964, -0.158124, -0.149701, -0.146263, -0.14126, -0.127588, -0.0840261, -0.0530039, -0.036323, -0.0302182, -0.0206475, -0.0150114, -0.00881554, -0.00417372, -0.0012384, -0.000261713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.181048, 0.223523, 0.250333, 0.266716, 0.279846, 0.303127, 0.326229, 0.359548, 0.382671, 0.406545, 0.445682, 0.484793, 0.508404, 0.52756, 0.565871, 0.631131, 0.654054, 0.691747, 0.740133, 0.77484, 0.798921, 0.835721, 0.884787, 0.950918, 0.969319");
-            values ( \
-              "-0.0116338, -0.056104, -0.103606, -0.124676, -0.138441, -0.156489, -0.16897, -0.179375, -0.182961, -0.184603, -0.183129, -0.177496, -0.171178, -0.163788, -0.138926, -0.0845167, -0.068817, -0.0479254, -0.0292779, -0.0202042, -0.0155906, -0.0104285, -0.00600807, -0.00277111, -0.00246631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.209817, 0.244408, 0.27859, 0.302214, 0.325171, 0.357612, 0.392875, 0.404311, 0.427185, 0.472018, 0.49338, 0.553913, 0.630135, 0.654374, 0.722317, 0.748267, 0.793648, 0.859779, 0.994414, 1.0514, 1.08083, 1.13969, 1.18488, 1.25606, 1.31986, 1.39813, 1.46426, 1.53039, 1.59652, 1.72878, 1.92717");
-            values ( \
-              "-0.0959868, -0.0965255, -0.141538, -0.161946, -0.175392, -0.188299, -0.195592, -0.197309, -0.199057, -0.200613, -0.20034, -0.197951, -0.192559, -0.19017, -0.182292, -0.178111, -0.168906, -0.146959, -0.0896072, -0.0696889, -0.0607653, -0.0457471, -0.0365054, -0.0253352, -0.0181085, -0.011921, -0.00830303, -0.0057968, -0.0040112, -0.0019253, -0.000651324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.277802, 0.285468, 0.289581, 0.290639, 0.292756, 0.295871, 0.29772, 0.301419, 0.305756, 0.316608, 0.325183, 0.332668, 0.339539, 0.346066, 0.352466, 0.358859, 0.361171, 0.369423, 0.371732, 0.374749, 0.377327, 0.379952, 0.383724, 0.385448, 0.387871, 0.391102, 0.397564, 0.400429");
-            values ( \
-              "-0.0043566, -0.00861174, -0.0118606, -0.0115251, -0.0133306, -0.0162082, -0.0184608, -0.0236551, -0.0300836, -0.0415993, -0.049165, -0.0546397, -0.0584816, -0.0608802, -0.0608038, -0.0548849, -0.0504628, -0.0302428, -0.025205, -0.0194869, -0.0154482, -0.0120637, -0.00831437, -0.00699789, -0.00547415, -0.00392231, -0.00196188, -0.00159926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.277098, 0.298826, 0.312525, 0.326657, 0.337959, 0.34793, 0.357157, 0.366028, 0.374828, 0.383624, 0.405058, 0.411243, 0.420068, 0.426391, 0.434821, 0.440591");
-            values ( \
-              "-0.0045917, -0.0247138, -0.0482052, -0.065935, -0.0768424, -0.0848564, -0.0896294, -0.0925195, -0.0908846, -0.0808517, -0.0306459, -0.0210618, -0.0118546, -0.00773021, -0.00426018, -0.0031328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.274923, 0.301374, 0.313113, 0.322887, 0.342591, 0.358672, 0.373125, 0.386721, 0.39997, 0.413344, 0.421866, 0.427882, 0.452525, 0.466569, 0.473511, 0.482766, 0.489759, 0.500429, 0.514655, 0.534073");
-            values ( \
-              "-0.00437235, -0.0343015, -0.0565995, -0.0721529, -0.0968828, -0.111103, -0.120324, -0.125474, -0.127269, -0.122795, -0.114313, -0.104005, -0.0529627, -0.0324056, -0.025021, -0.0174578, -0.0132429, -0.00861193, -0.00475009, -0.0022688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.273941, 0.300679, 0.327057, 0.33914, 0.356074, 0.368421, 0.393159, 0.415899, 0.437887, 0.459716, 0.48154, 0.486482, 0.492131, 0.503428, 0.532363, 0.544313, 0.559184, 0.578039, 0.58688, 0.604563, 0.620216, 0.64566, 0.679586, 0.711308");
-            values ( \
-              "-0.0153032, -0.0378402, -0.0852402, -0.102521, -0.121684, -0.132461, -0.148093, -0.156308, -0.159675, -0.158187, -0.149702, -0.146272, -0.141249, -0.127507, -0.0845675, -0.0689536, -0.0525078, -0.0363221, -0.0303698, -0.0209772, -0.0150354, -0.00863839, -0.00395527, -0.00215614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.274066, 0.309959, 0.334348, 0.348233, 0.363301, 0.379905, 0.397396, 0.4119, 0.44091, 0.472928, 0.492204, 0.531297, 0.570435, 0.594719, 0.613225, 0.650237, 0.718427, 0.749935, 0.7935, 0.837326, 0.870582, 0.904824, 0.930999, 0.98335, 1.04948, 1.05403");
-            values ( \
-              "-0.0222792, -0.0578526, -0.101534, -0.12003, -0.136576, -0.150472, -0.161862, -0.168871, -0.17851, -0.183508, -0.184464, -0.183233, -0.177384, -0.170955, -0.163792, -0.139962, -0.0833128, -0.0625215, -0.0407704, -0.0259182, -0.01813, -0.012516, -0.00938868, -0.00517958, -0.00239909, -0.00233406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.300758, 0.347736, 0.388384, 0.411026, 0.4435, 0.478922, 0.490115, 0.512501, 0.557273, 0.580242, 0.639698, 0.71608, 0.795215, 0.861345, 0.87955, 0.915961, 0.97079, 1.05832, 1.11049, 1.14824, 1.18878, 1.24246, 1.29029, 1.35406, 1.4077, 1.47945, 1.54558, 1.61172, 1.74398, 1.87624, 2.0085");
-            values ( \
-              "-0.0993517, -0.123241, -0.162456, -0.175622, -0.188431, -0.195712, -0.19736, -0.199079, -0.200624, -0.200325, -0.197949, -0.192536, -0.18407, -0.172942, -0.168911, -0.158072, -0.136225, -0.0982697, -0.0784768, -0.0661412, -0.0547164, -0.041984, -0.0330009, -0.0237708, -0.0178414, -0.0121525, -0.00854499, -0.00588877, -0.00282255, -0.00133923, -0.000625914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.476558, 0.49169, 0.502473, 0.513849, 0.530753, 0.537849, 0.54452, 0.551046, 0.558484, 0.575502, 0.582182, 0.587712, 0.592256, 0.601344, 0.608929");
-            values ( \
-              "-0.00817627, -0.0141756, -0.0274111, -0.0388953, -0.052606, -0.0570173, -0.0594795, -0.0598845, -0.0526335, -0.0162943, -0.00859473, -0.00493306, -0.00307625, -0.00113067, -0.000613023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.469563, 0.49168, 0.516684, 0.536227, 0.546448, 0.555829, 0.564814, 0.573702, 0.582582, 0.601234, 0.609637, 0.619245, 0.633236, 0.648052, 0.686791");
-            values ( \
-              "-0.00242844, -0.0188805, -0.0536288, -0.0748771, -0.0830617, -0.0884935, -0.0913741, -0.0902652, -0.0802216, -0.0359679, -0.0218468, -0.0117119, -0.00447694, -0.00143434, -0.000111426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.469801, 0.497448, 0.521292, 0.541561, 0.557898, 0.572486, 0.58617, 0.599483, 0.612782, 0.620471, 0.627475, 0.652183, 0.666218, 0.682221, 0.689408, 0.700363, 0.714969, 0.730078");
-            values ( \
-              "-0.00490868, -0.0305413, -0.0691058, -0.0948555, -0.109958, -0.119279, -0.124907, -0.126651, -0.122614, -0.115358, -0.103832, -0.0528694, -0.0323721, -0.0175905, -0.0132459, -0.0085177, -0.00461345, -0.00274329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.469661, 0.498157, 0.50973, 0.525855, 0.538157, 0.551174, 0.567808, 0.592683, 0.615506, 0.637542, 0.659403, 0.681257, 0.686135, 0.691709, 0.702856, 0.733825, 0.755426, 0.776388, 0.796097, 0.813407, 0.83063, 0.843893, 0.870419, 0.919203, 0.97677");
-            values ( \
-              "-0.0121144, -0.036576, -0.0540179, -0.0828823, -0.100558, -0.116027, -0.131425, -0.147493, -0.155876, -0.159432, -0.157982, -0.149613, -0.146224, -0.141301, -0.127861, -0.082144, -0.056055, -0.0373278, -0.0249718, -0.0172949, -0.011955, -0.00895075, -0.0049237, -0.00147343, -0.000327775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.469308, 0.509156, 0.530311, 0.54729, 0.563696, 0.576827, 0.60585, 0.624147, 0.652973, 0.677231, 0.692282, 0.722386, 0.749297, 0.771254, 0.811423, 0.824896, 0.840293, 0.900626, 0.925218, 0.950334, 0.983821, 0.996433, 1.02023, 1.04224, 1.08522, 1.10964, 1.15846, 1.22459, 1.29072, 1.35686");
-            values ( \
-              "-0.0151665, -0.0570338, -0.094083, -0.118236, -0.136237, -0.147693, -0.16588, -0.17337, -0.180734, -0.183701, -0.18435, -0.183807, -0.181053, -0.177094, -0.164696, -0.157854, -0.14769, -0.0969719, -0.0784432, -0.0622644, -0.0448634, -0.0395198, -0.0309602, -0.0245677, -0.0154731, -0.0118548, -0.00684538, -0.00317557, -0.00146028, -0.000673157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.505435, 0.552573, 0.587942, 0.611018, 0.64421, 0.690196, 0.711709, 0.754736, 0.785642, 0.839738, 0.916178, 0.995367, 1.02148, 1.07372, 1.11384, 1.15968, 1.27891, 1.3389, 1.37237, 1.43754, 1.51299, 1.57574, 1.62972, 1.7087, 1.77484, 1.9071, 2.03936, 2.17162");
-            values ( \
-              "-0.120628, -0.128364, -0.161571, -0.175334, -0.18835, -0.197196, -0.19902, -0.200512, -0.200118, -0.197894, -0.192486, -0.184018, -0.180229, -0.170285, -0.158861, -0.141012, -0.0900395, -0.0690817, -0.0590904, -0.0430332, -0.0293816, -0.0211785, -0.015921, -0.0104211, -0.00724917, -0.00349684, -0.00167654, -0.000799571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.898837, 0.919618, 0.930257, 0.954382, 0.973605, 0.98921, 0.996546, 1.00387, 1.00565, 1.02379, 1.03067, 1.03863, 1.04561, 1.05733, 1.05815");
-            values ( \
-              "-0.00054908, -0.00762728, -0.0133935, -0.03422, -0.0463825, -0.0528054, -0.053398, -0.049233, -0.0467269, -0.0144592, -0.00777611, -0.00362864, -0.00179186, -0.00051432, -0.000510484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.898817, 0.922929, 0.933407, 0.949276, 0.979655, 0.991172, 1.00171, 1.01169, 1.02148, 1.03125, 1.05565, 1.06353, 1.07286, 1.08863, 1.10011");
-            values ( \
-              "-0.000825343, -0.01256, -0.0206485, -0.0390685, -0.0661288, -0.0738373, -0.0791524, -0.0825949, -0.0824376, -0.074474, -0.0264268, -0.0165889, -0.00917913, -0.00317088, -0.00161365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.877324, 0.923342, 0.924416, 0.930866, 0.939466, 0.961474, 0.97707, 0.984991, 1.00083, 1.00867, 1.01967, 1.03467, 1.0491, 1.06351, 1.07785, 1.0992, 1.11041, 1.11724, 1.12252, 1.12923, 1.13859, 1.14838, 1.15588, 1.17089, 1.18371");
-            values ( \
-              "-1e-22, -0.0152265, -0.0158536, -0.0218438, -0.0309154, -0.0586671, -0.075432, -0.0829781, -0.0961375, -0.101564, -0.108035, -0.114541, -0.117608, -0.115257, -0.101267, -0.0605896, -0.0424526, -0.0335572, -0.0277833, -0.0216889, -0.0151429, -0.0103405, -0.00766371, -0.00412325, -0.0027435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.919901, 0.944473, 0.965652, 0.985341, 1.00525, 1.02971, 1.04172, 1.06624, 1.08946, 1.11229, 1.13512, 1.14269, 1.15077, 1.16148, 1.19468, 1.21586, 1.244, 1.26373, 1.27703, 1.29905, 1.32842, 1.34148");
-            values ( \
-              "-0.0413764, -0.0416115, -0.06965, -0.0928606, -0.111856, -0.129758, -0.136476, -0.146755, -0.151788, -0.152169, -0.145186, -0.140379, -0.132903, -0.119475, -0.0732418, -0.0500811, -0.0296875, -0.0196812, -0.0148613, -0.00924295, -0.00476782, -0.00387457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.930532, 0.970398, 0.99854, 1.0127, 1.04007, 1.06284, 1.10603, 1.14676, 1.18681, 1.21587, 1.22738, 1.24782, 1.26971, 1.27479, 1.28497, 1.29771, 1.3574, 1.38192, 1.40688, 1.44015, 1.45304, 1.47732, 1.49921, 1.52987, 1.54194, 1.56609, 1.61439, 1.68052, 1.74666, 1.81279");
-            values ( \
-              "-0.0609261, -0.0793639, -0.112416, -0.125547, -0.146277, -0.158711, -0.173891, -0.179594, -0.179831, -0.176663, -0.174767, -0.170338, -0.162785, -0.160322, -0.154955, -0.146385, -0.0966784, -0.078368, -0.0623239, -0.0449696, -0.0395654, -0.0308042, -0.0245097, -0.0176049, -0.015486, -0.011871, -0.00692426, -0.00318946, -0.00149011, -0.000663981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.933921, 0.991783, 1.03615, 1.06184, 1.1012, 1.1444, 1.18433, 1.21564, 1.24821, 1.29622, 1.34716, 1.41152, 1.45212, 1.51825, 1.53649, 1.57296, 1.62775, 1.71525, 1.76744, 1.80522, 1.84576, 1.89944, 1.94725, 2.01099, 2.06466, 2.13645, 2.20258, 2.26871, 2.40097, 2.53323, 2.66549");
-            values ( \
-              "-0.0682366, -0.108508, -0.149171, -0.165164, -0.181886, -0.191879, -0.19623, -0.197373, -0.19784, -0.196843, -0.194072, -0.188583, -0.183881, -0.172971, -0.168804, -0.158094, -0.136146, -0.0982313, -0.0785251, -0.0661737, -0.0546698, -0.042022, -0.033039, -0.0237387, -0.0178779, -0.0121843, -0.00851086, -0.00592054, -0.00285378, -0.0013697, -0.000655539" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00693656, 0.00694584, 0.00695655, 0.00696618, 0.00697301, 0.00697718", \
-            "0.00784211, 0.00785003, 0.00786022, 0.00787043, 0.00787835, 0.00788343", \
-            "0.00834015, 0.00834645, 0.00835562, 0.00836627, 0.00837558, 0.00838204", \
-            "0.00863008, 0.00863437, 0.00864121, 0.00865021, 0.00865939, 0.00866651", \
-            "0.00879591, 0.00879774, 0.00880105, 0.00880627, 0.0088128, 0.00881894", \
-            "0.00889285, 0.00889328, 0.00889415, 0.00889581, 0.00889859, 0.00890219" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00905875, 0.00907082, 0.00908735, 0.00910267, 0.00911415, 0.00912134", \
-            "0.00990136, 0.00991711, 0.00993801, 0.00995962, 0.00997723, 0.00998889", \
-            "0.0104027, 0.0104145, 0.0104318, 0.0104529, 0.010473, 0.0104877", \
-            "0.0106543, 0.0106561, 0.0106609, 0.0106701, 0.0106825, 0.0106945", \
-            "0.0109812, 0.010974, 0.0109639, 0.0109544, 0.0109497, 0.0109509", \
-            "0.0115081, 0.0115322, 0.0115462, 0.0115511, 0.0115467, 0.0115388" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.296309, 0.345498, 0.442127, 0.631991, 1.01088, 1.77165", \
-            "0.300952, 0.350237, 0.446934, 0.637389, 1.01588, 1.77722", \
-            "0.312472, 0.36196, 0.458952, 0.649558, 1.02869, 1.79002", \
-            "0.338033, 0.387546, 0.484675, 0.675654, 1.05514, 1.81695", \
-            "0.396471, 0.446568, 0.544126, 0.735242, 1.11486, 1.87726", \
-            "0.514739, 0.571089, 0.678801, 0.877465, 1.25902, 2.02179" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0424973, 0.0610851, 0.0997794, 0.182475, 0.357713, 0.724404", \
-            "0.0425589, 0.0610833, 0.099787, 0.182431, 0.357644, 0.724404", \
-            "0.0425515, 0.0610796, 0.0997824, 0.182437, 0.357648, 0.724433", \
-            "0.042558, 0.0610829, 0.0997845, 0.182406, 0.357664, 0.724399", \
-            "0.0426194, 0.0611026, 0.0997794, 0.182467, 0.357652, 0.724395", \
-            "0.0435832, 0.0619018, 0.100137, 0.1825, 0.357649, 0.724408" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.245077, 0.28796, 0.368462, 0.521006, 0.813247, 1.38354", \
-            "0.248495, 0.291486, 0.372772, 0.525448, 0.817598, 1.38758", \
-            "0.255243, 0.29845, 0.37996, 0.532734, 0.824838, 1.39616", \
-            "0.271085, 0.3146, 0.396363, 0.54992, 0.842744, 1.4147", \
-            "0.303609, 0.349668, 0.433718, 0.590145, 0.882959, 1.45587", \
-            "0.348292, 0.402442, 0.500479, 0.672833, 0.977555, 1.55415" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0418538, 0.058705, 0.0885065, 0.143521, 0.256141, 0.49457", \
-            "0.0420158, 0.0590874, 0.0887499, 0.144063, 0.256427, 0.494863", \
-            "0.0420493, 0.0591482, 0.0888316, 0.144247, 0.256743, 0.494859", \
-            "0.0420154, 0.0591506, 0.0889044, 0.144156, 0.256575, 0.494822", \
-            "0.0422884, 0.0592417, 0.0889693, 0.144069, 0.25661, 0.49481", \
-            "0.0430033, 0.0601467, 0.0897406, 0.144408, 0.256623, 0.494815" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.253254, 0.260222, 0.262074, 0.279823, 0.28962, 0.297406, 0.300799, 0.303781, 0.310122, 0.312913, 0.315727, 0.328441, 0.33813, 0.344936, 0.350513, 0.359088, 0.364065, 0.374395, 0.380736, 0.393418, 0.414229, 0.42008");
-            values ( \
-              "0.008893, 0.0134476, 0.0157189, 0.0431455, 0.0544354, 0.0598295, 0.0611047, 0.061602, 0.0606815, 0.0585136, 0.054973, 0.0323352, 0.0205129, 0.0148072, 0.0112131, 0.00730814, 0.00569453, 0.0034131, 0.00250971, 0.00136808, 0.00051281, 0.000464663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.286816, 0.295031, 0.317605, 0.324347, 0.334582, 0.343923, 0.35302, 0.362101, 0.367047, 0.387884, 0.404535, 0.418524, 0.426973, 0.4385, 0.449089, 0.469955, 0.488193, 0.502464");
-            values ( \
-              "0.0165919, 0.0226863, 0.0642565, 0.0736238, 0.0839993, 0.0884118, 0.0892225, 0.0853281, 0.0803475, 0.04805, 0.0288513, 0.0181962, 0.0136657, 0.00918674, 0.0063517, 0.00309204, 0.00162934, 0.00111809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.354805, 0.370792, 0.382048, 0.386813, 0.396343, 0.404913, 0.420353, 0.435019, 0.449676, 0.455362, 0.460082, 0.465094, 0.47299, 0.48242, 0.500521, 0.513862, 0.523939, 0.536781, 0.546831, 0.560229, 0.570616, 0.57903, 0.590248, 0.608775, 0.617319, 0.629837, 0.646527, 0.679908, 0.731711, 0.794565");
-            values ( \
-              "0.0314217, 0.0486318, 0.071024, 0.0795016, 0.0940058, 0.10341, 0.113137, 0.115096, 0.112433, 0.110092, 0.107624, 0.104268, 0.0975314, 0.0874985, 0.0671097, 0.054164, 0.045431, 0.0359177, 0.0296861, 0.022816, 0.0185238, 0.0156118, 0.012385, 0.00837624, 0.00699861, 0.00536923, 0.00375342, 0.00177891, 0.0005056, 9.52921e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.482263, 0.508769, 0.531549, 0.548146, 0.560407, 0.583932, 0.591783, 0.612495, 0.633413, 0.651477, 0.668256, 0.777656, 0.82134, 0.846991, 0.879125, 0.898214, 0.933203, 0.973311, 1.00545, 1.06972, 1.09013");
-            values ( \
-              "0.0390073, 0.0696889, 0.106625, 0.123458, 0.1302, 0.134953, 0.134668, 0.131905, 0.127061, 0.121426, 0.114555, 0.0514195, 0.0333705, 0.0254101, 0.017891, 0.0144198, 0.00964504, 0.00605774, 0.00412158, 0.00186719, 0.00157328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.740756, 0.779385, 0.794187, 0.814971, 0.835658, 0.856719, 0.865512, 0.883098, 0.922264, 0.965137, 1.01836, 1.04772, 1.07569, 1.13163, 1.24068, 1.30977, 1.35152, 1.40007, 1.47768, 1.55314, 1.60635, 1.66605, 1.74566, 1.85666, 1.93712");
-            values ( \
-              "0.0690763, 0.0925958, 0.112537, 0.132228, 0.142622, 0.146853, 0.147543, 0.147361, 0.144316, 0.138998, 0.131034, 0.125664, 0.119423, 0.103553, 0.068761, 0.049906, 0.0404516, 0.0312915, 0.0203101, 0.0131356, 0.00957144, 0.00669417, 0.00410806, 0.00203111, 0.001287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.23049, 1.273, 1.31832, 1.34413, 1.37228, 1.40833, 1.43932, 1.46937, 1.51347, 1.67075, 1.76846, 1.84083, 1.89533, 2.00433, 2.19444, 2.32361, 2.42451, 2.50834, 2.57294, 2.69359, 2.83096, 2.94195, 3.0306, 3.19196, 3.30296, 3.52495, 3.69297");
-            values ( \
-              "0.0663415, 0.0740156, 0.121954, 0.139844, 0.149881, 0.154413, 0.15455, 0.153718, 0.151555, 0.141861, 0.134587, 0.128029, 0.121933, 0.106662, 0.0761436, 0.0572584, 0.0446986, 0.0360352, 0.0302954, 0.0216762, 0.0146176, 0.0105535, 0.00810746, 0.00498876, 0.00356876, 0.00181231, 0.00136159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.268224, 0.276021, 0.278695, 0.292329, 0.29843, 0.306006, 0.312755, 0.319154, 0.325516, 0.331873, 0.338542, 0.342751, 0.348978, 0.354085, 0.358231, 0.362381, 0.36803, 0.373546, 0.3809, 0.389772, 0.395682, 0.407502, 0.427941, 0.436808");
-            values ( \
-              "0.00797834, 0.0139206, 0.0175485, 0.0391794, 0.0473196, 0.0553901, 0.0597987, 0.0617338, 0.0606247, 0.0541362, 0.0410312, 0.0339751, 0.0254794, 0.0200537, 0.0164315, 0.0133668, 0.010105, 0.0076725, 0.00526229, 0.00339177, 0.00258011, 0.0014372, 0.00057171, 0.000428329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.302404, 0.310457, 0.327242, 0.339756, 0.34999, 0.359331, 0.368427, 0.377508, 0.382473, 0.403289, 0.419941, 0.433937, 0.446475, 0.464495, 0.485367, 0.503611, 0.511136");
-            values ( \
-              "0.0171697, 0.0228991, 0.0543579, 0.0737365, 0.0839053, 0.0885088, 0.0891344, 0.0854129, 0.0803284, 0.0480548, 0.0288493, 0.0181954, 0.011878, 0.0063496, 0.00309353, 0.00162675, 0.00135822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.369682, 0.385384, 0.398041, 0.402221, 0.410579, 0.420333, 0.435775, 0.450443, 0.465102, 0.470674, 0.475449, 0.48052, 0.488414, 0.497845, 0.515947, 0.529288, 0.539365, 0.552208, 0.562257, 0.575656, 0.586043, 0.594456, 0.605675, 0.624202, 0.632745, 0.645263, 0.661954, 0.695335, 0.747143, 0.810003");
-            values ( \
-              "0.0297361, 0.046911, 0.0720172, 0.0794684, 0.0923565, 0.103419, 0.113088, 0.115115, 0.112398, 0.110138, 0.107655, 0.104265, 0.0975304, 0.0874965, 0.0671091, 0.0541637, 0.0454305, 0.0359175, 0.029686, 0.0228157, 0.0185239, 0.015612, 0.0123849, 0.0083764, 0.00699879, 0.00536942, 0.00375323, 0.0017791, 0.000505334, 9.54461e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.497486, 0.517515, 0.534608, 0.557159, 0.567658, 0.576398, 0.589954, 0.602468, 0.625549, 0.634227, 0.655393, 0.677066, 0.698614, 0.769131, 0.809975, 0.839413, 0.856946, 0.886183, 0.920805, 0.951779, 1.00824, 1.05717, 1.12989");
-            values ( \
-              "0.0408194, 0.0563547, 0.0873724, 0.11751, 0.125734, 0.130199, 0.133828, 0.13465, 0.132434, 0.130735, 0.125358, 0.117742, 0.107102, 0.0641803, 0.0438973, 0.032627, 0.0271309, 0.0197397, 0.0133865, 0.00935602, 0.00484519, 0.00267235, 0.00116779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.750576, 0.779383, 0.800066, 0.816313, 0.830685, 0.853196, 0.880617, 0.885396, 0.90178, 0.923625, 0.943395, 0.980875, 1.03411, 1.08803, 1.13241, 1.23895, 1.30277, 1.35357, 1.39546, 1.42785, 1.48734, 1.51333, 1.55547, 1.61541, 1.6765, 1.72309, 1.81628, 1.92728, 2.03828, 2.14927");
-            values ( \
-              "0.0588407, 0.0692131, 0.0999025, 0.119832, 0.132212, 0.143407, 0.14766, 0.147779, 0.147363, 0.145748, 0.143653, 0.138943, 0.131017, 0.120293, 0.108115, 0.0740518, 0.055712, 0.0433627, 0.0348998, 0.0293075, 0.0210303, 0.0181352, 0.0141997, 0.00995101, 0.00691178, 0.00521271, 0.00291805, 0.00144126, 0.000709446, 0.000348103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.25651, 1.323, 1.35764, 1.38853, 1.42397, 1.45526, 1.48561, 1.52976, 1.68698, 1.78469, 1.85713, 1.91157, 2.02044, 2.21068, 2.33892, 2.44039, 2.52563, 2.59145, 2.70985, 2.84647, 2.95747, 3.04718, 3.21076, 3.32175, 3.54375, 3.70721");
-            values ( \
-              "0.0728533, 0.111047, 0.138361, 0.149933, 0.154396, 0.154558, 0.153723, 0.151551, 0.141858, 0.134586, 0.128023, 0.121931, 0.106683, 0.0761439, 0.0573821, 0.0447376, 0.035935, 0.030107, 0.0216748, 0.014648, 0.0105771, 0.00809877, 0.00494932, 0.0035415, 0.00179869, 0.00136326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.305804, 0.312615, 0.316776, 0.330306, 0.33545, 0.343023, 0.349772, 0.356169, 0.36253, 0.368888, 0.375639, 0.379713, 0.38593, 0.391075, 0.395292, 0.400914, 0.405387, 0.409891, 0.415209, 0.42292, 0.432313, 0.439754, 0.454637, 0.477995, 0.506869");
-            values ( \
-              "0.00933774, 0.0135042, 0.0190463, 0.0405308, 0.0473886, 0.0553647, 0.059849, 0.0617057, 0.0606608, 0.0541097, 0.0408975, 0.0340942, 0.02559, 0.020039, 0.0163557, 0.0124159, 0.00990302, 0.00789874, 0.0060795, 0.00409591, 0.00259279, 0.00185762, 0.000891742, 0.000333638, 6.97235e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.340489, 0.347741, 0.364514, 0.377024, 0.387256, 0.396596, 0.405692, 0.414772, 0.419741, 0.440553, 0.457205, 0.471201, 0.483739, 0.501759, 0.522632, 0.540877, 0.548844");
-            values ( \
-              "0.021595, 0.0229036, 0.054362, 0.0737376, 0.0839264, 0.0885047, 0.0891486, 0.0854065, 0.080326, 0.0480558, 0.0288491, 0.0181954, 0.0118777, 0.00634917, 0.00309387, 0.00162622, 0.00134209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.407515, 0.422815, 0.43564, 0.439795, 0.448105, 0.457903, 0.473343, 0.488009, 0.502667, 0.508303, 0.513047, 0.518085, 0.52598, 0.535411, 0.553513, 0.566853, 0.57693, 0.589773, 0.599822, 0.613221, 0.623608, 0.632022, 0.64324, 0.661767, 0.670311, 0.682829, 0.699519, 0.732901, 0.784705, 0.84756");
-            values ( \
-              "0.0310811, 0.0466401, 0.0720881, 0.079502, 0.092314, 0.103443, 0.113094, 0.115127, 0.112396, 0.110112, 0.107638, 0.104266, 0.0975309, 0.0874969, 0.0671095, 0.0541635, 0.0454308, 0.0359172, 0.0296858, 0.022816, 0.0185236, 0.0156117, 0.012385, 0.00837612, 0.00699852, 0.00536916, 0.00375344, 0.00177886, 0.000505637, 9.52424e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.539112, 0.558348, 0.579165, 0.585437, 0.597982, 0.614305, 0.636415, 0.640398, 0.648364, 0.664296, 0.678569, 0.693278, 0.720525, 0.742417, 0.796748, 0.829149, 0.855283, 0.87725, 0.894299, 0.924083, 0.958852, 0.989765, 1.02153, 1.04579, 1.09432, 1.18477, 1.29153");
-            values ( \
-              "0.0580414, 0.0618463, 0.0979843, 0.106794, 0.120162, 0.130372, 0.134822, 0.134865, 0.134382, 0.13229, 0.129249, 0.125378, 0.115321, 0.103676, 0.0700552, 0.0525799, 0.0408092, 0.0326548, 0.0272866, 0.0197363, 0.0133612, 0.00935035, 0.00646903, 0.00486314, 0.0026996, 0.000812239, 0.000177775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.791151, 0.869871, 0.891992, 0.926466, 0.969452, 1.02007, 1.0733, 1.1273, 1.3412, 1.43532, 1.52654, 1.5942, 1.65434, 1.76338, 1.88653");
-            values ( \
-              "0.0539701, 0.132201, 0.14326, 0.147781, 0.145086, 0.138959, 0.131016, 0.120277, 0.0559155, 0.0347749, 0.0210294, 0.0142381, 0.00996668, 0.00517767, 0.00292493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.29356, 1.35935, 1.39923, 1.42673, 1.4633, 1.49403, 1.52383, 1.56762, 1.72521, 1.82311, 1.89418, 1.94979, 2.06079, 2.32398, 2.43416, 2.58481, 2.69108, 2.74712, 2.89565, 3.00665, 3.16231, 3.2733, 3.4953, 3.7173, 4.05029");
-            values ( \
-              "0.0705553, 0.109113, 0.140154, 0.149869, 0.154442, 0.154555, 0.153716, 0.151577, 0.141857, 0.13457, 0.128142, 0.121932, 0.106355, 0.0647586, 0.0500198, 0.0339957, 0.0253959, 0.0217177, 0.0142034, 0.0102196, 0.00641729, 0.00460335, 0.00234344, 0.00119038, 0.000415403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.393569, 0.399585, 0.403646, 0.417265, 0.422445, 0.43002, 0.43677, 0.443169, 0.44953, 0.455888, 0.462638, 0.466715, 0.472932, 0.478077, 0.482292, 0.487912, 0.492388, 0.496895, 0.502212, 0.509923, 0.519314, 0.526753, 0.541631, 0.564965, 0.593809");
-            values ( \
-              "0.0124453, 0.0134203, 0.0189057, 0.0404891, 0.047343, 0.0553757, 0.0598154, 0.0617192, 0.0606359, 0.0541247, 0.0408933, 0.0340798, 0.0255758, 0.0200496, 0.0163678, 0.0124064, 0.00991474, 0.00790909, 0.00606784, 0.00410685, 0.00260358, 0.00184734, 0.000902668, 0.000323577, 8.05571e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.425516, 0.434747, 0.451528, 0.464041, 0.474274, 0.483615, 0.492711, 0.501792, 0.506756, 0.527573, 0.544226, 0.558221, 0.57076, 0.58878, 0.60965, 0.627894, 0.635504");
-            values ( \
-              "0.0120554, 0.0229027, 0.0543613, 0.0737384, 0.0839078, 0.0885091, 0.089134, 0.0854141, 0.0803293, 0.0480531, 0.0288507, 0.0181941, 0.0118793, 0.00635096, 0.00309234, 0.00162805, 0.00135583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.49355, 0.503558, 0.522858, 0.526968, 0.535188, 0.545079, 0.560519, 0.575186, 0.589845, 0.595483, 0.600226, 0.605263, 0.613158, 0.622589, 0.64069, 0.654031, 0.664108, 0.676951, 0.687, 0.700399, 0.710786, 0.7192, 0.730418, 0.748945, 0.757489, 0.770007, 0.786697, 0.820079, 0.871877, 0.934725");
-            values ( \
-              "0.0325637, 0.0342815, 0.0722196, 0.0794306, 0.0922281, 0.103387, 0.113136, 0.11508, 0.112437, 0.11011, 0.107634, 0.104267, 0.0975288, 0.087498, 0.0671079, 0.0541645, 0.0454298, 0.0359183, 0.0296867, 0.022815, 0.0185245, 0.0156126, 0.0123842, 0.00837704, 0.00699937, 0.00536998, 0.00375264, 0.00177967, 0.000504916, 9.60923e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.623103, 0.64282, 0.66009, 0.671635, 0.681424, 0.692594, 0.701826, 0.720291, 0.727914, 0.740799, 0.753886, 0.780828, 0.805718, 0.833433, 0.891419, 0.93351, 0.967408, 0.991141, 1.01896, 1.03463, 1.06596, 1.0901, 1.12702, 1.17624, 1.24927");
-            values ( \
-              "0.0419721, 0.0561435, 0.0874432, 0.104977, 0.116363, 0.125423, 0.130199, 0.134481, 0.134707, 0.133824, 0.131847, 0.125407, 0.116329, 0.101585, 0.0659279, 0.0446995, 0.0317544, 0.0246698, 0.0181845, 0.0152636, 0.010654, 0.00806217, 0.00523292, 0.00288433, 0.00124181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.878565, 0.916001, 0.945546, 0.956756, 0.979176, 1.00607, 1.01519, 1.02561, 1.04645, 1.07611, 1.10694, 1.15799, 1.19058, 1.2175, 1.27134, 1.39266, 1.44735, 1.51319, 1.54997, 1.61331, 1.64309, 1.70267, 1.74768, 1.79752, 1.86397, 1.97497, 2.08596, 2.10067");
-            values ( \
-              "0.0570091, 0.0851662, 0.122979, 0.132223, 0.143355, 0.147646, 0.14776, 0.147468, 0.146038, 0.142876, 0.138951, 0.131397, 0.125448, 0.119422, 0.104205, 0.065718, 0.0509704, 0.0364439, 0.0299585, 0.0210526, 0.0177274, 0.0125335, 0.00956905, 0.00710282, 0.00475831, 0.0023413, 0.0011735, 0.00111513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.3785, 1.44177, 1.4875, 1.51486, 1.54989, 1.58143, 1.612, 1.65625, 1.81011, 1.91105, 1.98365, 2.03795, 2.14655, 2.38392, 2.46335, 2.56599, 2.65432, 2.72278, 2.83627, 2.97128, 3.08228, 3.1743, 3.34143, 3.45243, 3.67442, 4.11841");
-            values ( \
-              "0.0645911, 0.103035, 0.140182, 0.149905, 0.154315, 0.154598, 0.153687, 0.151584, 0.142082, 0.134589, 0.128008, 0.121932, 0.106723, 0.0689185, 0.0576437, 0.0448257, 0.0357161, 0.0297033, 0.0216742, 0.0147159, 0.010626, 0.00808177, 0.00488554, 0.00349501, 0.00177476, 0.000456655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.598786, 0.605539, 0.609624, 0.623315, 0.628529, 0.636125, 0.642888, 0.649297, 0.655666, 0.662032, 0.668751, 0.672885, 0.679116, 0.684241, 0.688415, 0.69398, 0.698519, 0.703093, 0.708397, 0.716098, 0.721742, 0.732834, 0.747623, 0.770868, 0.799556");
-            values ( \
-              "0.00972603, 0.0133071, 0.0187089, 0.0402822, 0.0472172, 0.0552223, 0.0597336, 0.0616116, 0.060598, 0.0540658, 0.0409261, 0.0340194, 0.0255138, 0.0200427, 0.0163996, 0.0124422, 0.00993761, 0.00790513, 0.00604286, 0.00411798, 0.003119, 0.0018361, 0.000927926, 0.000309357, 0.000100355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.633206, 0.641391, 0.658208, 0.670735, 0.680976, 0.690321, 0.69942, 0.708504, 0.713439, 0.73429, 0.750942, 0.764929, 0.777479, 0.795497, 0.816361, 0.834598, 0.842783");
-            values ( \
-              "0.0166347, 0.0227897, 0.0542608, 0.0736585, 0.0838751, 0.0884597, 0.0891219, 0.0853851, 0.0803546, 0.0480483, 0.0288478, 0.0181986, 0.011877, 0.00634989, 0.0030937, 0.00162813, 0.00133528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.701713, 0.717581, 0.729883, 0.734106, 0.742551, 0.752208, 0.767647, 0.782312, 0.796969, 0.802666, 0.807381, 0.812388, 0.820283, 0.829713, 0.847815, 0.861155, 0.871232, 0.884075, 0.894125, 0.907524, 0.91791, 0.926324, 0.937542, 0.95607, 0.964613, 0.977131, 0.993822, 1.0272, 1.07893, 1.14169");
-            values ( \
-              "0.0299486, 0.0476289, 0.0720347, 0.0795031, 0.0925572, 0.103427, 0.113132, 0.115103, 0.112425, 0.110084, 0.10762, 0.104266, 0.0975309, 0.0874968, 0.0671098, 0.0541632, 0.0454311, 0.0359169, 0.0296855, 0.0228162, 0.0185233, 0.0156114, 0.0123853, 0.00837583, 0.00699824, 0.00536888, 0.00375362, 0.00177859, 0.000507101, 9.56411e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.831984, 0.85693, 0.880065, 0.896787, 0.908913, 0.935049, 0.950593, 0.960994, 0.981797, 1.0002, 1.01675, 1.04617, 1.09157, 1.12619, 1.16981, 1.19542, 1.22765, 1.28173, 1.32175, 1.35381, 1.41792, 1.45381");
-            values ( \
-              "0.0443344, 0.0689823, 0.106797, 0.123522, 0.130318, 0.134905, 0.133595, 0.131859, 0.12714, 0.121383, 0.114516, 0.0982552, 0.0699692, 0.0514158, 0.033369, 0.0254389, 0.0178801, 0.00963643, 0.00605923, 0.00413103, 0.00187215, 0.00135611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.085, 1.12717, 1.14656, 1.16427, 1.18547, 1.21303, 1.21871, 1.24146, 1.26387, 1.31449, 1.36771, 1.42149, 1.47383, 1.58673, 1.66988, 1.73375, 1.77832, 1.81995, 1.86885, 1.93404, 2.03589, 2.11816, 2.22916, 2.28544");
-            values ( \
-              "0.0510031, 0.090437, 0.116124, 0.132178, 0.142941, 0.147601, 0.147739, 0.146985, 0.145111, 0.138983, 0.131038, 0.120316, 0.10573, 0.0697383, 0.047295, 0.0340276, 0.0267055, 0.0211551, 0.0159863, 0.0108663, 0.00590753, 0.00356005, 0.00175701, 0.0014188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.58671, 1.64769, 1.66946, 1.69545, 1.72342, 1.75806, 1.78979, 1.82056, 1.8649, 2.01868, 2.11958, 2.19245, 2.24652, 2.35466, 2.5871, 2.66865, 2.77281, 2.86767, 2.93483, 3.04486, 3.17824, 3.28924, 3.38361, 3.55238, 3.66338, 3.88537, 4.32936");
-            values ( \
-              "0.0651698, 0.100161, 0.121823, 0.139823, 0.149887, 0.154287, 0.154592, 0.153689, 0.151573, 0.142075, 0.134592, 0.127985, 0.121931, 0.106793, 0.0697258, 0.0580824, 0.0450217, 0.0352652, 0.0294221, 0.021673, 0.0147849, 0.0106769, 0.00806388, 0.00485031, 0.00346995, 0.00176202, 0.000453452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.06946, 1.07656, 1.07792, 1.098, 1.10789, 1.11177, 1.11615, 1.12272, 1.12921, 1.1357, 1.14133, 1.14739, 1.15073, 1.15731, 1.16537, 1.17202, 1.17692, 1.18049, 1.18761, 1.19482, 1.20651, 1.21594, 1.23884, 1.24382");
-            values ( \
-              "0.0102321, 0.0117157, 0.0131408, 0.0409499, 0.0521384, 0.0553748, 0.0581424, 0.0602933, 0.0596069, 0.053358, 0.0426082, 0.0325235, 0.0279046, 0.0204823, 0.0139211, 0.00998397, 0.00782926, 0.00654964, 0.00458418, 0.00322456, 0.00184955, 0.00119764, 0.000585282, 0.000522975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.1096, 1.11892, 1.1428, 1.14988, 1.16038, 1.16989, 1.17911, 1.18831, 1.19242, 1.19665, 1.21041, 1.21465, 1.22701, 1.2378, 1.24364, 1.25356, 1.26538, 1.27587, 1.28715, 1.29586, 1.31327, 1.32897");
-            values ( \
-              "0.0137621, 0.0208526, 0.0615259, 0.0715242, 0.0821378, 0.0871521, 0.0881015, 0.0846541, 0.0807498, 0.0751878, 0.0529196, 0.0470393, 0.0327716, 0.0231739, 0.0190965, 0.0136524, 0.00909558, 0.00631143, 0.00428294, 0.00317357, 0.00172277, 0.00112648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.19016, 1.20608, 1.21593, 1.22484, 1.23235, 1.24173, 1.25728, 1.27204, 1.28678, 1.30154, 1.30632, 1.31179, 1.31959, 1.3352, 1.34785, 1.35579, 1.37168, 1.38317, 1.39107, 1.40633, 1.41011, 1.41601, 1.42275, 1.43367, 1.44888, 1.45469, 1.46631, 1.48955, 1.53547, 1.58878");
-            values ( \
-              "0.0302697, 0.0453035, 0.064387, 0.0805122, 0.0917358, 0.10233, 0.112373, 0.114626, 0.112122, 0.104709, 0.100903, 0.0957948, 0.0874342, 0.0698014, 0.0571235, 0.0498906, 0.0374175, 0.0301585, 0.0258703, 0.0190575, 0.0176655, 0.0156679, 0.0136411, 0.0108722, 0.00788983, 0.00698289, 0.005454, 0.00329399, 0.00112074, 0.000304038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.32917, 1.35384, 1.37735, 1.39473, 1.40626, 1.42932, 1.43241, 1.44838, 1.45837, 1.47834, 1.49904, 1.51413, 1.54362, 1.58895, 1.62378, 1.66704, 1.69232, 1.72518, 1.74469, 1.77929, 1.81872, 1.8502, 1.91315, 1.95975");
-            values ( \
-              "0.0448853, 0.0681013, 0.106473, 0.123798, 0.130164, 0.134805, 0.134818, 0.133485, 0.131828, 0.127337, 0.120843, 0.114502, 0.0982124, 0.0699621, 0.0513186, 0.0334152, 0.0255789, 0.0178403, 0.0143386, 0.00960868, 0.00607924, 0.00419146, 0.00191507, 0.00123511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.58376, 1.61232, 1.63295, 1.64897, 1.66357, 1.68607, 1.71371, 1.72086, 1.74377, 1.76315, 1.81377, 1.867, 1.92096, 1.96517, 2.07184, 2.13525, 2.18636, 2.22872, 2.26153, 2.32024, 2.34583, 2.38811, 2.44816, 2.50964, 2.55658, 2.65046, 2.76146, 2.87246, 2.98346");
-            values ( \
-              "0.0600409, 0.0693135, 0.0999284, 0.119586, 0.13223, 0.143394, 0.147684, 0.147791, 0.146803, 0.14508, 0.138955, 0.131011, 0.120289, 0.108154, 0.0740497, 0.0558231, 0.043384, 0.0348316, 0.0291806, 0.0210297, 0.0181765, 0.0142206, 0.00995961, 0.00690136, 0.00519352, 0.00289412, 0.00142918, 0.000703659, 0.000345075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("2.08141, 2.12359, 2.16891, 2.19451, 2.22286, 2.25872, 2.28981, 2.31995, 2.36409, 2.51807, 2.61904, 2.69143, 2.74591, 2.85487, 3.04502, 3.1739, 3.27498, 3.35925, 3.42422, 3.54418, 3.68132, 3.79231, 3.88129, 4.04333, 4.15433, 4.37633, 4.59832, 4.93131");
-            values ( \
-              "0.0674897, 0.0739662, 0.122019, 0.139715, 0.149937, 0.154369, 0.154593, 0.153681, 0.151591, 0.142086, 0.134587, 0.128026, 0.121932, 0.106667, 0.0761426, 0.0572956, 0.0447113, 0.0360038, 0.0302377, 0.0216761, 0.0146274, 0.0105603, 0.00810515, 0.00497694, 0.00355996, 0.00180774, 0.000916304, 0.000322853" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00756524, 0.00757903, 0.00759383, 0.00760639, 0.00761503, 0.00762013", \
-            "0.00917691, 0.009191, 0.0092076, 0.00922278, 0.0092338, 0.00924053", \
-            "0.0101178, 0.0101294, 0.0101449, 0.0101609, 0.0101736, 0.0101818", \
-            "0.0106549, 0.0106617, 0.010672, 0.0106845, 0.010696, 0.010704", \
-            "0.0109736, 0.0109716, 0.0109707, 0.0109724, 0.0109764, 0.0109805", \
-            "0.0111808, 0.0111634, 0.0111395, 0.0111143, 0.0110944, 0.0110829" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0104561, 0.0104686, 0.0104844, 0.0104993, 0.0105104, 0.0105172", \
-            "0.0107676, 0.0107774, 0.0107916, 0.0108067, 0.0108187, 0.0108263", \
-            "0.0104125, 0.0103937, 0.0103727, 0.0103543, 0.0103415, 0.0103337", \
-            "0.0101805, 0.0101152, 0.0100383, 0.00996205, 0.00990186, 0.00986149", \
-            "0.010422, 0.010266, 0.0100715, 0.00988167, 0.00972852, 0.00963422", \
-            "0.0105438, 0.0105073, 0.0105172, 0.010272, 0.00993677, 0.0097155" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.205339, 0.210575, 0.218274, 0.227298, 0.230921, 0.238167, 0.242349, 0.251399, 0.259318, 0.265881, 0.272425, 0.288673, 0.294915, 0.302705, 0.308826, 0.317684");
-            values ( \
-              "-0.0142354, -0.0179738, -0.0323021, -0.0434546, -0.0466086, -0.0521367, -0.0543858, -0.0579733, -0.0595672, -0.0592076, -0.0534584, -0.0190956, -0.0108123, -0.0050169, -0.00265556, -0.00114459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.229463, 0.235705, 0.251921, 0.264844, 0.270806, 0.281294, 0.292901, 0.300366, 0.304836, 0.313907, 0.322983, 0.338376, 0.346347, 0.355446, 0.361973, 0.366058, 0.374228, 0.390325, 0.390567");
-            values ( \
-              "-0.0196304, -0.0265549, -0.0560036, -0.0691994, -0.0734279, -0.0794821, -0.0853104, -0.0882063, -0.0891895, -0.0886089, -0.0789445, -0.0428222, -0.0274753, -0.0156359, -0.0101874, -0.0077332, -0.00437774, -0.00126447, -0.00125239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.269867, 0.286967, 0.297361, 0.309841, 0.324736, 0.346603, 0.35879, 0.375917, 0.38958, 0.403241, 0.416956, 0.435445, 0.446471, 0.457261, 0.471645, 0.484909, 0.494233, 0.51288, 0.541768, 0.576684");
-            values ( \
-              "-0.000463239, -0.038689, -0.0580114, -0.0750941, -0.0888311, -0.105169, -0.113099, -0.121151, -0.123733, -0.120516, -0.105016, -0.0666455, -0.0469676, -0.0321227, -0.0186436, -0.0110127, -0.00757658, -0.00337938, -0.000896915, -0.000152868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.34839, 0.442276, 0.455911, 0.47185, 0.482096, 0.502588, 0.512624, 0.526006, 0.542429, 0.550936, 0.567951, 0.573871, 0.585551, 0.599422, 0.632315, 0.644811, 0.668353, 0.681174, 0.695825, 0.712631, 0.737897, 0.771586, 0.797566");
-            values ( \
-              "-0.00152075, -0.112054, -0.12341, -0.134622, -0.140446, -0.149309, -0.152211, -0.154653, -0.1555, -0.154664, -0.150033, -0.146969, -0.137951, -0.120619, -0.0737736, -0.0591087, -0.0376175, -0.029117, -0.0214149, -0.0149846, -0.00864378, -0.00403653, -0.00255131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.561899, 0.58472, 0.604148, 0.662303, 0.681837, 0.696529, 0.719965, 0.739869, 0.780732, 0.82084, 0.860952, 0.864467, 0.876518, 0.889836, 0.90398, 0.925806, 1.00631, 1.03088, 1.06776, 1.09123, 1.11856, 1.15499, 1.17646, 1.20935, 1.25322, 1.33713, 1.42103, 1.50493");
-            values ( \
-              "-0.0614337, -0.0646314, -0.0849471, -0.136182, -0.149516, -0.157565, -0.167331, -0.173042, -0.179191, -0.179424, -0.17474, -0.174026, -0.171295, -0.167456, -0.16213, -0.150286, -0.0855062, -0.0686894, -0.0483055, -0.0382012, -0.0288397, -0.0195568, -0.0155312, -0.0108578, -0.00664782, -0.00242901, -0.000880215, -0.000318327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.935083, 0.986144, 1.05462, 1.07361, 1.11068, 1.15988, 1.2014, 1.23766, 1.2991, 1.34396, 1.39146, 1.46922, 1.50294, 1.5564, 1.5914, 1.6481, 1.7365, 1.82604, 1.86684, 1.92078, 1.96893, 2.03313, 2.11047, 2.15814, 2.24204, 2.32595, 2.40985, 2.49376, 2.66157");
-            values ( \
-              "-0.0817331, -0.0871321, -0.141537, -0.154119, -0.172592, -0.186988, -0.193026, -0.195186, -0.195332, -0.193436, -0.190231, -0.182667, -0.177935, -0.167619, -0.157708, -0.135544, -0.0976921, -0.0660636, -0.0545575, -0.0419236, -0.0329325, -0.0236286, -0.0157188, -0.0121775, -0.0077039, -0.00484641, -0.0030475, -0.00190629, -0.000745737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.218582, 0.233488, 0.239408, 0.244735, 0.252568, 0.255569, 0.266634, 0.274982, 0.278399, 0.282698, 0.286757, 0.302787, 0.308092, 0.311969, 0.31628, 0.319646, 0.326378, 0.338364, 0.352791, 0.39851");
-            values ( \
-              "-0.00794687, -0.0345103, -0.041615, -0.0464089, -0.0522978, -0.0538292, -0.0580297, -0.0593323, -0.0591093, -0.0572024, -0.0525676, -0.0189774, -0.011775, -0.00810133, -0.0052984, -0.00377146, -0.00186258, -0.00049359, -0.000157071, -5.68784e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.243879, 0.250583, 0.262643, 0.271621, 0.284752, 0.295134, 0.309619, 0.31884, 0.327991, 0.337115, 0.35256, 0.36053, 0.3696, 0.376177, 0.388524, 0.404487, 0.423086, 0.446814");
-            values ( \
-              "-0.0191769, -0.0289838, -0.0512978, -0.0623118, -0.0730683, -0.0789272, -0.0857711, -0.0887487, -0.0880576, -0.0787623, -0.04268, -0.0274155, -0.0156463, -0.0101691, -0.00434626, -0.00127609, -0.000334941, -9.86333e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.278771, 0.333633, 0.361486, 0.376722, 0.390931, 0.404633, 0.41832, 0.432051, 0.461668, 0.47237, 0.486617, 0.49535, 0.509524, 0.528422, 0.534947");
-            values ( \
-              "-0.00312734, -0.0838943, -0.104715, -0.114475, -0.120845, -0.123576, -0.12033, -0.104956, -0.0467617, -0.0320745, -0.0187065, -0.0132781, -0.00748861, -0.00334787, -0.00278069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.384072, 0.418443, 0.430738, 0.470594, 0.483607, 0.496973, 0.520944, 0.543715, 0.566154, 0.588578, 0.596906, 0.60511, 0.647352, 0.660115, 0.682246, 0.70439, 0.721255, 0.738583, 0.751824, 0.778307, 0.8133");
-            values ( \
-              "-0.0267808, -0.0747659, -0.0882077, -0.122231, -0.131702, -0.139724, -0.149885, -0.154717, -0.154618, -0.147222, -0.141468, -0.133196, -0.0740414, -0.0590653, -0.0387028, -0.0246931, -0.0172879, -0.0119371, -0.00894764, -0.00493596, -0.00251594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.57274, 0.598183, 0.617575, 0.674396, 0.693223, 0.711349, 0.735091, 0.754853, 0.795788, 0.835927, 0.879485, 0.891178, 0.904718, 0.919098, 0.940925, 1.02143, 1.04602, 1.08293, 1.10636, 1.13365, 1.17004, 1.19159, 1.22461, 1.26865, 1.35255, 1.43645, 1.52036");
-            values ( \
-              "-0.0496056, -0.0634849, -0.083324, -0.132927, -0.146426, -0.156834, -0.16686, -0.172686, -0.178966, -0.179334, -0.173984, -0.171358, -0.167492, -0.162096, -0.150268, -0.0855129, -0.0686835, -0.0482873, -0.0381993, -0.02885, -0.0195751, -0.0155318, -0.0108433, -0.00662595, -0.002421, -0.000877326, -0.000317266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.942893, 0.996706, 1.08756, 1.11489, 1.14736, 1.17423, 1.21502, 1.25214, 1.31491, 1.35599, 1.40603, 1.48377, 1.51778, 1.57099, 1.60484, 1.66259, 1.76592, 1.83534, 1.87458, 1.93318, 1.98766, 2.0603, 2.10514, 2.15548, 2.22259, 2.30649, 2.3904, 2.4743, 2.49964");
-            values ( \
-              "-0.073068, -0.0836475, -0.152311, -0.167084, -0.17946, -0.186341, -0.192505, -0.194989, -0.195158, -0.193466, -0.190253, -0.182605, -0.177883, -0.167611, -0.158075, -0.135589, -0.0918491, -0.0676911, -0.0563714, -0.0423705, -0.0322354, -0.0220953, -0.0174412, -0.0133497, -0.00929137, -0.00585269, -0.00368491, -0.00230525, -0.00213063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.245983, 0.272161, 0.277074, 0.284917, 0.287796, 0.292157, 0.298993, 0.304705, 0.307508, 0.311245, 0.314135, 0.317988, 0.335921, 0.34401, 0.349361, 0.353707, 0.362399, 0.370492");
-            values ( \
-              "-0.000885065, -0.0419788, -0.046376, -0.0522399, -0.0537185, -0.0557184, -0.0579705, -0.0590848, -0.059272, -0.0589418, -0.0577479, -0.0541658, -0.0177527, -0.00835255, -0.00492793, -0.00316558, -0.00124628, -0.00065356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.273858, 0.289754, 0.297241, 0.302316, 0.310891, 0.322039, 0.337348, 0.351198, 0.360358, 0.369492, 0.39292, 0.401988, 0.408572, 0.420935, 0.436725, 0.44028");
-            values ( \
-              "-0.00660171, -0.0421827, -0.054853, -0.0604137, -0.0684537, -0.0758645, -0.0836909, -0.0886177, -0.0879914, -0.0786769, -0.0274012, -0.0156447, -0.0101644, -0.00434074, -0.00129689, -0.00119527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.311758, 0.366186, 0.394185, 0.409444, 0.423697, 0.437378, 0.451076, 0.464816, 0.494473, 0.505143, 0.519341, 0.528122, 0.542366, 0.561357, 0.567808");
-            values ( \
-              "-0.00360179, -0.083753, -0.104564, -0.114328, -0.120757, -0.123465, -0.120273, -0.104891, -0.0467025, -0.0320734, -0.0187468, -0.0132839, -0.00747332, -0.0033195, -0.00276285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.412734, 0.444532, 0.463043, 0.472284, 0.503095, 0.52955, 0.553577, 0.576358, 0.598816, 0.621259, 0.629514, 0.637754, 0.692743, 0.714907, 0.737132, 0.75398, 0.771241, 0.784423, 0.810786, 0.835274");
-            values ( \
-              "-0.0148879, -0.0664147, -0.0878924, -0.0958312, -0.121793, -0.139426, -0.149683, -0.154568, -0.154514, -0.14715, -0.141472, -0.133196, -0.0591283, -0.0387252, -0.0246684, -0.0172775, -0.0119477, -0.00896762, -0.0049615, -0.0032519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.594509, 0.649356, 0.704892, 0.722316, 0.743887, 0.767906, 0.787482, 0.828491, 0.868662, 0.912152, 0.937252, 0.951868, 0.981101, 1.05993, 1.08591, 1.13152, 1.15591, 1.18842, 1.22298, 1.27858, 1.35272, 1.39056");
-            values ( \
-              "-0.0230186, -0.0819402, -0.130223, -0.143298, -0.156136, -0.166451, -0.1723, -0.178769, -0.179205, -0.173936, -0.16752, -0.162059, -0.145128, -0.0813464, -0.0643011, -0.041233, -0.0321627, -0.0228335, -0.015764, -0.00855754, -0.00359231, -0.00255731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.983366, 1.04407, 1.12115, 1.1515, 1.20175, 1.22095, 1.24655, 1.286, 1.34951, 1.38839, 1.4399, 1.51763, 1.55187, 1.60487, 1.63783, 1.69639, 1.80103, 1.86871, 1.90691, 1.96666, 2.02231, 2.09651, 2.13974, 2.1885, 2.25352, 2.33742, 2.42133, 2.50523, 2.75695");
-            values ( \
-              "-0.0871774, -0.0924869, -0.151985, -0.168223, -0.185, -0.188762, -0.192223, -0.194948, -0.195138, -0.193547, -0.190228, -0.182614, -0.177842, -0.167604, -0.158356, -0.135622, -0.09138, -0.0678512, -0.0567908, -0.0424534, -0.0321087, -0.0218221, -0.0173718, -0.0134086, -0.00944176, -0.00594855, -0.00374537, -0.00234348, -0.000579816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.326932, 0.33402, 0.345139, 0.354423, 0.359469, 0.367899, 0.376316, 0.384849, 0.388624, 0.391341, 0.394964, 0.413335, 0.418784, 0.426492, 0.432549, 0.443012, 0.449614");
-            values ( \
-              "-0.00308812, -0.0188857, -0.0374241, -0.0467074, -0.0504658, -0.0551244, -0.057964, -0.0592909, -0.0589333, -0.0578218, -0.054603, -0.0175907, -0.0106774, -0.00502325, -0.00267137, -0.000850265, -0.000536498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.349125, 0.365247, 0.372237, 0.382856, 0.394838, 0.405236, 0.415169, 0.429021, 0.438183, 0.447318, 0.470749, 0.479819, 0.486402, 0.498764, 0.506211");
-            values ( \
-              "-0.000734613, -0.0377752, -0.0506794, -0.0635461, -0.0730687, -0.0788842, -0.0837538, -0.0886588, -0.0879278, -0.0787128, -0.0274003, -0.0156417, -0.0101633, -0.00434088, -0.00290033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.393961, 0.423881, 0.435024, 0.449688, 0.480072, 0.487202, 0.50146, 0.515158, 0.528866, 0.542612, 0.572307, 0.582945, 0.597093, 0.605922, 0.620236, 0.63932, 0.648372");
-            values ( \
-              "-0.000270522, -0.0606263, -0.0751595, -0.0882627, -0.10996, -0.114209, -0.120662, -0.123389, -0.12022, -0.104851, -0.0466408, -0.0320688, -0.0187829, -0.0132848, -0.00745249, -0.00329597, -0.00251836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.480167, 0.541238, 0.549907, 0.581866, 0.608283, 0.632285, 0.655056, 0.677506, 0.699942, 0.708234, 0.716457, 0.771456, 0.793603, 0.815787, 0.832644, 0.849938, 0.863149, 0.889571, 0.910043");
-            values ( \
-              "-0.000464295, -0.0871512, -0.0950948, -0.122042, -0.139583, -0.149783, -0.154634, -0.154556, -0.147176, -0.14146, -0.133188, -0.0590945, -0.0387131, -0.024681, -0.0172831, -0.0119429, -0.00895821, -0.00494929, -0.00351847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.663427, 0.692008, 0.729132, 0.784689, 0.824427, 0.848324, 0.867947, 0.908919, 0.949075, 0.992605, 1.03227, 1.0541, 1.1592, 1.19614, 1.2468, 1.30477, 1.33791, 1.38211, 1.39926");
-            values ( \
-              "-0.013987, -0.0391026, -0.0815061, -0.130212, -0.156507, -0.166707, -0.172482, -0.178883, -0.179245, -0.173952, -0.162071, -0.15025, -0.0686773, -0.0482696, -0.0288595, -0.0155323, -0.0108295, -0.00660517, -0.00574848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.05622, 1.10593, 1.13421, 1.19508, 1.23881, 1.28107, 1.3018, 1.32945, 1.3658, 1.42927, 1.46826, 1.5197, 1.59743, 1.63167, 1.68466, 1.71762, 1.77619, 1.88084, 1.9485, 1.98669, 2.04646, 2.10212, 2.17634, 2.21955, 2.26829, 2.33327, 2.41718, 2.50108, 2.58499, 2.8367");
-            values ( \
-              "-0.0719765, -0.0812573, -0.100093, -0.148578, -0.17172, -0.184986, -0.189003, -0.192612, -0.194958, -0.195162, -0.193561, -0.190215, -0.182627, -0.17784, -0.167602, -0.158359, -0.135622, -0.0913731, -0.0678535, -0.0567964, -0.0424549, -0.0321072, -0.0218182, -0.0173712, -0.0134098, -0.0094438, -0.0059502, -0.00374608, -0.00234427, -0.000579751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.505687, 0.518807, 0.52496, 0.534376, 0.542323, 0.549572, 0.556462, 0.563114, 0.569007, 0.571175, 0.573803, 0.576679, 0.592757, 0.59809, 0.601976, 0.606287, 0.609651, 0.616381, 0.627651, 0.629586");
-            values ( \
-              "-0.00261594, -0.0267003, -0.0364958, -0.0461227, -0.0518123, -0.0554376, -0.0577258, -0.0588985, -0.0587064, -0.0578137, -0.0558516, -0.0523779, -0.0189399, -0.0117314, -0.00807433, -0.00528426, -0.00376855, -0.00186239, -0.000549268, -0.000496871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.536591, 0.554181, 0.566139, 0.577495, 0.587898, 0.602513, 0.61176, 0.620935, 0.630083, 0.653529, 0.662596, 0.66919, 0.68157, 0.689957");
-            values ( \
-              "-0.0176382, -0.0493638, -0.0638719, -0.0727919, -0.0786394, -0.0855384, -0.0884836, -0.0878623, -0.0785936, -0.027382, -0.015641, -0.0101586, -0.00433493, -0.00271042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.58342, 0.602618, 0.615169, 0.61989, 0.629205, 0.664979, 0.672116, 0.686389, 0.700092, 0.713809, 0.727562, 0.745993, 0.75729, 0.767902, 0.78201, 0.790879, 0.80525, 0.82441, 0.852265, 0.88616");
-            values ( \
-              "-0.00832459, -0.0499084, -0.0697436, -0.0749589, -0.0840866, -0.109903, -0.114074, -0.120614, -0.12328, -0.120195, -0.104781, -0.0667269, -0.0465865, -0.0320617, -0.0188095, -0.0132836, -0.00743435, -0.00327807, -0.000890318, -0.000198995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.666706, 0.738975, 0.769769, 0.78315, 0.796159, 0.820181, 0.842901, 0.865343, 0.88777, 0.896101, 0.904305, 0.913902, 0.94655, 0.959314, 0.968918, 0.981446, 0.991616, 1.00359, 1.02046, 1.02785, 1.03778, 1.05103, 1.07751, 1.12815, 1.18793");
-            values ( \
-              "-0.00188471, -0.0962642, -0.122242, -0.131973, -0.139719, -0.149905, -0.154686, -0.154611, -0.147191, -0.141451, -0.13318, -0.12052, -0.0740367, -0.0590611, -0.0493717, -0.0387006, -0.0315803, -0.0246923, -0.0172875, -0.0147709, -0.011937, -0.00894765, -0.00493621, -0.0013964, -0.000287606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.868156, 0.915645, 0.971855, 1.0113, 1.03522, 1.05483, 1.09581, 1.13597, 1.1795, 1.20466, 1.21917, 1.241, 1.34611, 1.38306, 1.4337, 1.47002, 1.49168, 1.52486, 1.5691, 1.62517");
-            values ( \
-              "-0.0353952, -0.0816402, -0.130376, -0.156443, -0.166661, -0.17244, -0.178855, -0.179227, -0.173942, -0.167493, -0.162064, -0.150245, -0.0686757, -0.0482643, -0.028863, -0.0195985, -0.0155329, -0.0108254, -0.00659887, -0.00380037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.24962, 1.31066, 1.39026, 1.42114, 1.47038, 1.49042, 1.51713, 1.55488, 1.61746, 1.65911, 1.70877, 1.78652, 1.82048, 1.87374, 1.90781, 1.96536, 2.06838, 2.13823, 2.17773, 2.23605, 2.29024, 2.36249, 2.40773, 2.45845, 2.52609, 2.60999, 2.6939, 2.7778, 3.02951");
-            values ( \
-              "-0.0782603, -0.0915111, -0.152449, -0.168818, -0.185055, -0.188943, -0.192477, -0.194967, -0.195179, -0.193462, -0.190213, -0.18262, -0.177879, -0.1676, -0.157997, -0.135573, -0.0919624, -0.06765, -0.0562649, -0.0423497, -0.0322674, -0.0221632, -0.0174592, -0.013336, -0.00925403, -0.00583004, -0.00366959, -0.00229665, -0.000567628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.904264, 0.921421, 0.933661, 0.941761, 0.949203, 0.956167, 0.961276, 0.966263, 0.969598, 0.976304, 0.992808, 0.99918, 1.00709, 1.01332, 1.02405, 1.05271, 1.11176");
-            values ( \
-              "-0.00405237, -0.0316037, -0.0446202, -0.0507664, -0.054505, -0.0569082, -0.0579947, -0.0583258, -0.0577853, -0.0525498, -0.0188275, -0.0106036, -0.00490252, -0.00258061, -0.000789529, -0.000107304, -3.57125e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.934302, 0.972893, 0.985012, 0.995669, 1.01041, 1.01979, 1.02906, 1.03831, 1.06188, 1.07096, 1.07766, 1.0903, 1.09861");
-            values ( \
-              "-0.00298679, -0.0614104, -0.0712913, -0.0773983, -0.084287, -0.0874482, -0.0869842, -0.0780059, -0.0272062, -0.0155655, -0.0100499, -0.00421848, -0.00265338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.00446, 1.00634, 1.01559, 1.02823, 1.03671, 1.05008, 1.06151, 1.07882, 1.08709, 1.09428, 1.10866, 1.12247, 1.13628, 1.15009, 1.16829, 1.18047, 1.19051, 1.19494, 1.20379, 1.2109, 1.21613, 1.22776, 1.23486, 1.24904, 1.25577");
-            values ( \
-              "-0.0194177, -0.0209747, -0.0340669, -0.0557179, -0.0677735, -0.0816239, -0.0904818, -0.10292, -0.108652, -0.112962, -0.119699, -0.122608, -0.119685, -0.10444, -0.0670584, -0.0455188, -0.0319496, -0.0271659, -0.0193777, -0.0146829, -0.0119468, -0.00770523, -0.00575886, -0.00318025, -0.00256432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.09764, 1.18905, 1.20742, 1.21574, 1.22548, 1.23372, 1.24519, 1.25777, 1.28065, 1.30317, 1.32568, 1.32944, 1.33374, 1.34209, 1.35184, 1.37135, 1.38459, 1.39748, 1.41467, 1.42096, 1.426, 1.43272, 1.44397, 1.45965, 1.4659, 1.4784, 1.50339, 1.5504, 1.60523");
-            values ( \
-              "-0.000201361, -0.105918, -0.121475, -0.127789, -0.133932, -0.138802, -0.144273, -0.149076, -0.15403, -0.154139, -0.146827, -0.144594, -0.141398, -0.133127, -0.120363, -0.0917484, -0.0738937, -0.0588158, -0.042413, -0.0374884, -0.0339076, -0.0296002, -0.0234705, -0.0168442, -0.0147476, -0.0112632, -0.00645796, -0.00205565, -0.000505857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.31978, 1.42268, 1.46107, 1.48498, 1.5046, 1.54558, 1.58575, 1.62927, 1.65443, 1.66895, 1.69078, 1.7959, 1.83285, 1.88347, 1.94146, 1.97469, 2.01898, 2.06532");
-            values ( \
-              "-0.0413126, -0.131317, -0.156484, -0.166624, -0.172459, -0.178813, -0.179242, -0.173931, -0.167489, -0.162055, -0.150241, -0.0686731, -0.048258, -0.0288655, -0.0155325, -0.0108203, -0.00659158, -0.00428132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.70879, 1.76792, 1.84373, 1.87218, 1.90389, 1.93026, 1.97099, 2.00813, 2.06889, 2.11596, 2.16201, 2.2398, 2.27318, 2.32697, 2.36331, 2.41881, 2.50658, 2.55902, 2.59696, 2.63762, 2.69145, 2.73934, 2.80319, 2.88105, 2.92905, 3.01295, 3.09686, 3.18076, 3.26467, 3.43248");
-            values ( \
-              "-0.0921651, -0.0941585, -0.152747, -0.167928, -0.179822, -0.186465, -0.192589, -0.195014, -0.195221, -0.19326, -0.190225, -0.182603, -0.177962, -0.167602, -0.157251, -0.135477, -0.0978854, -0.0782602, -0.0659585, -0.0545016, -0.041904, -0.0329604, -0.0236929, -0.0157189, -0.0121562, -0.00769035, -0.00483791, -0.00304216, -0.00190298, -0.000744459" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00696604, 0.00697693, 0.00698904, 0.00699973, 0.00700731, 0.00701185", \
-            "0.00785524, 0.00786276, 0.00787229, 0.00788162, 0.00788869, 0.00789315", \
-            "0.0083313, 0.00833529, 0.00834122, 0.00834799, 0.00835384, 0.00835784", \
-            "0.00860278, 0.00860411, 0.00860647, 0.00860995, 0.00861373, 0.00861678", \
-            "0.00875028, 0.00875063, 0.00875127, 0.00875238, 0.00875405, 0.00875587", \
-            "0.00884279, 0.00884301, 0.00884334, 0.00884377, 0.00884435, 0.00884514" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00907367, 0.00908415, 0.0090972, 0.00910915, 0.00911793, 0.00912331", \
-            "0.00985516, 0.00986378, 0.00987479, 0.00988536, 0.00989328, 0.00989809", \
-            "0.0103379, 0.0103451, 0.0103539, 0.0103623, 0.0103685, 0.0103722", \
-            "0.0108146, 0.0108154, 0.010817, 0.010819, 0.0108207, 0.0108219", \
-            "0.0112847, 0.0112307, 0.0111661, 0.0111054, 0.0110595, 0.0110302", \
-            "0.0118539, 0.0117342, 0.0115491, 0.0113596, 0.0112147, 0.0111237" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.296309, 0.345498, 0.442127, 0.631991, 1.01088, 1.77165", \
-            "0.300952, 0.350237, 0.446934, 0.637389, 1.01588, 1.77722", \
-            "0.312472, 0.36196, 0.458952, 0.649558, 1.02869, 1.79002", \
-            "0.338033, 0.387546, 0.484675, 0.675654, 1.05514, 1.81695", \
-            "0.396471, 0.446568, 0.544126, 0.735242, 1.11486, 1.87726", \
-            "0.514739, 0.571089, 0.678801, 0.877465, 1.25902, 2.02179" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0424973, 0.0610851, 0.0997794, 0.182475, 0.357713, 0.724404", \
-            "0.0425589, 0.0610833, 0.099787, 0.182431, 0.357644, 0.724404", \
-            "0.0425515, 0.0610796, 0.0997824, 0.182437, 0.357648, 0.724433", \
-            "0.042558, 0.0610829, 0.0997845, 0.182406, 0.357664, 0.724399", \
-            "0.0426194, 0.0611026, 0.0997794, 0.182467, 0.357652, 0.724395", \
-            "0.0435832, 0.0619018, 0.100137, 0.1825, 0.357649, 0.724408" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.237508, 0.275418, 0.348414, 0.488924, 0.76262, 1.30144", \
-            "0.240289, 0.278289, 0.351218, 0.491958, 0.764181, 1.30451", \
-            "0.247351, 0.285484, 0.358776, 0.498751, 0.772815, 1.31215", \
-            "0.264525, 0.302999, 0.37666, 0.517172, 0.790495, 1.33016", \
-            "0.299884, 0.34086, 0.416859, 0.560573, 0.834792, 1.37524", \
-            "0.347468, 0.397025, 0.487799, 0.648816, 0.936219, 1.48" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0348955, 0.0487359, 0.0759487, 0.130799, 0.245753, 0.488991", \
-            "0.0348735, 0.0487205, 0.0758655, 0.130577, 0.245663, 0.489003", \
-            "0.034883, 0.048717, 0.0758099, 0.130713, 0.245473, 0.489011", \
-            "0.0348801, 0.0487136, 0.0757738, 0.130626, 0.245518, 0.48894", \
-            "0.0350046, 0.0487561, 0.0758285, 0.130589, 0.245763, 0.488948", \
-            "0.035473, 0.0492973, 0.0762393, 0.13057, 0.245863, 0.48898" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.253254, 0.260222, 0.262074, 0.279823, 0.28962, 0.297406, 0.300799, 0.303781, 0.310122, 0.312913, 0.315727, 0.328441, 0.33813, 0.344936, 0.350513, 0.359088, 0.364065, 0.374395, 0.380736, 0.393418, 0.414229, 0.42008");
-            values ( \
-              "0.008893, 0.0134476, 0.0157189, 0.0431455, 0.0544354, 0.0598295, 0.0611047, 0.061602, 0.0606815, 0.0585136, 0.054973, 0.0323352, 0.0205129, 0.0148072, 0.0112131, 0.00730814, 0.00569453, 0.0034131, 0.00250971, 0.00136808, 0.00051281, 0.000464663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.286816, 0.295031, 0.317605, 0.324347, 0.334582, 0.343923, 0.35302, 0.362101, 0.367047, 0.387884, 0.404535, 0.418524, 0.426973, 0.4385, 0.449089, 0.469955, 0.488193, 0.502464");
-            values ( \
-              "0.0165919, 0.0226863, 0.0642565, 0.0736238, 0.0839993, 0.0884118, 0.0892225, 0.0853281, 0.0803475, 0.04805, 0.0288513, 0.0181962, 0.0136657, 0.00918674, 0.0063517, 0.00309204, 0.00162934, 0.00111809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.354805, 0.370792, 0.382048, 0.386813, 0.396343, 0.404913, 0.420353, 0.435019, 0.449676, 0.455362, 0.460082, 0.465094, 0.47299, 0.48242, 0.500521, 0.513862, 0.523939, 0.536781, 0.546831, 0.560229, 0.570616, 0.57903, 0.590248, 0.608775, 0.617319, 0.629837, 0.646527, 0.679908, 0.731711, 0.794565");
-            values ( \
-              "0.0314217, 0.0486318, 0.071024, 0.0795016, 0.0940058, 0.10341, 0.113137, 0.115096, 0.112433, 0.110092, 0.107624, 0.104268, 0.0975314, 0.0874985, 0.0671097, 0.054164, 0.045431, 0.0359177, 0.0296861, 0.022816, 0.0185238, 0.0156118, 0.012385, 0.00837624, 0.00699861, 0.00536923, 0.00375342, 0.00177891, 0.0005056, 9.52921e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.482263, 0.508769, 0.531549, 0.548146, 0.560407, 0.583932, 0.591783, 0.612495, 0.633413, 0.651477, 0.668256, 0.777656, 0.82134, 0.846991, 0.879125, 0.898214, 0.933203, 0.973311, 1.00545, 1.06972, 1.09013");
-            values ( \
-              "0.0390073, 0.0696889, 0.106625, 0.123458, 0.1302, 0.134953, 0.134668, 0.131905, 0.127061, 0.121426, 0.114555, 0.0514195, 0.0333705, 0.0254101, 0.017891, 0.0144198, 0.00964504, 0.00605774, 0.00412158, 0.00186719, 0.00157328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.740756, 0.779385, 0.794187, 0.814971, 0.835658, 0.856719, 0.865512, 0.883098, 0.922264, 0.965137, 1.01836, 1.04772, 1.07569, 1.13163, 1.24068, 1.30977, 1.35152, 1.40007, 1.47768, 1.55314, 1.60635, 1.66605, 1.74566, 1.85666, 1.93712");
-            values ( \
-              "0.0690763, 0.0925958, 0.112537, 0.132228, 0.142622, 0.146853, 0.147543, 0.147361, 0.144316, 0.138998, 0.131034, 0.125664, 0.119423, 0.103553, 0.068761, 0.049906, 0.0404516, 0.0312915, 0.0203101, 0.0131356, 0.00957144, 0.00669417, 0.00410806, 0.00203111, 0.001287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.23049, 1.273, 1.31832, 1.34413, 1.37228, 1.40833, 1.43932, 1.46937, 1.51347, 1.67075, 1.76846, 1.84083, 1.89533, 2.00433, 2.19444, 2.32361, 2.42451, 2.50834, 2.57294, 2.69359, 2.83096, 2.94195, 3.0306, 3.19196, 3.30296, 3.52495, 3.69297");
-            values ( \
-              "0.0663415, 0.0740156, 0.121954, 0.139844, 0.149881, 0.154413, 0.15455, 0.153718, 0.151555, 0.141861, 0.134587, 0.128029, 0.121933, 0.106662, 0.0761436, 0.0572584, 0.0446986, 0.0360352, 0.0302954, 0.0216762, 0.0146176, 0.0105535, 0.00810746, 0.00498876, 0.00356876, 0.00181231, 0.00136159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.268224, 0.276021, 0.278695, 0.292329, 0.29843, 0.306006, 0.312755, 0.319154, 0.325516, 0.331873, 0.338542, 0.342751, 0.348978, 0.354085, 0.358231, 0.362381, 0.36803, 0.373546, 0.3809, 0.389772, 0.395682, 0.407502, 0.427941, 0.436808");
-            values ( \
-              "0.00797834, 0.0139206, 0.0175485, 0.0391794, 0.0473196, 0.0553901, 0.0597987, 0.0617338, 0.0606247, 0.0541362, 0.0410312, 0.0339751, 0.0254794, 0.0200537, 0.0164315, 0.0133668, 0.010105, 0.0076725, 0.00526229, 0.00339177, 0.00258011, 0.0014372, 0.00057171, 0.000428329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.302404, 0.310457, 0.327242, 0.339756, 0.34999, 0.359331, 0.368427, 0.377508, 0.382473, 0.403289, 0.419941, 0.433937, 0.446475, 0.464495, 0.485367, 0.503611, 0.511136");
-            values ( \
-              "0.0171697, 0.0228991, 0.0543579, 0.0737365, 0.0839053, 0.0885088, 0.0891344, 0.0854129, 0.0803284, 0.0480548, 0.0288493, 0.0181954, 0.011878, 0.0063496, 0.00309353, 0.00162675, 0.00135822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.369682, 0.385384, 0.398041, 0.402221, 0.410579, 0.420333, 0.435775, 0.450443, 0.465102, 0.470674, 0.475449, 0.48052, 0.488414, 0.497845, 0.515947, 0.529288, 0.539365, 0.552208, 0.562257, 0.575656, 0.586043, 0.594456, 0.605675, 0.624202, 0.632745, 0.645263, 0.661954, 0.695335, 0.747143, 0.810003");
-            values ( \
-              "0.0297361, 0.046911, 0.0720172, 0.0794684, 0.0923565, 0.103419, 0.113088, 0.115115, 0.112398, 0.110138, 0.107655, 0.104265, 0.0975304, 0.0874965, 0.0671091, 0.0541637, 0.0454305, 0.0359175, 0.029686, 0.0228157, 0.0185239, 0.015612, 0.0123849, 0.0083764, 0.00699879, 0.00536942, 0.00375323, 0.0017791, 0.000505334, 9.54461e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.497486, 0.517515, 0.534608, 0.557159, 0.567658, 0.576398, 0.589954, 0.602468, 0.625549, 0.634227, 0.655393, 0.677066, 0.698614, 0.769131, 0.809975, 0.839413, 0.856946, 0.886183, 0.920805, 0.951779, 1.00824, 1.05717, 1.12989");
-            values ( \
-              "0.0408194, 0.0563547, 0.0873724, 0.11751, 0.125734, 0.130199, 0.133828, 0.13465, 0.132434, 0.130735, 0.125358, 0.117742, 0.107102, 0.0641803, 0.0438973, 0.032627, 0.0271309, 0.0197397, 0.0133865, 0.00935602, 0.00484519, 0.00267235, 0.00116779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.750576, 0.779383, 0.800066, 0.816313, 0.830685, 0.853196, 0.880617, 0.885396, 0.90178, 0.923625, 0.943395, 0.980875, 1.03411, 1.08803, 1.13241, 1.23895, 1.30277, 1.35357, 1.39546, 1.42785, 1.48734, 1.51333, 1.55547, 1.61541, 1.6765, 1.72309, 1.81628, 1.92728, 2.03828, 2.14927");
-            values ( \
-              "0.0588407, 0.0692131, 0.0999025, 0.119832, 0.132212, 0.143407, 0.14766, 0.147779, 0.147363, 0.145748, 0.143653, 0.138943, 0.131017, 0.120293, 0.108115, 0.0740518, 0.055712, 0.0433627, 0.0348998, 0.0293075, 0.0210303, 0.0181352, 0.0141997, 0.00995101, 0.00691178, 0.00521271, 0.00291805, 0.00144126, 0.000709446, 0.000348103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.25651, 1.323, 1.35764, 1.38853, 1.42397, 1.45526, 1.48561, 1.52976, 1.68698, 1.78469, 1.85713, 1.91157, 2.02044, 2.21068, 2.33892, 2.44039, 2.52563, 2.59145, 2.70985, 2.84647, 2.95747, 3.04718, 3.21076, 3.32175, 3.54375, 3.70721");
-            values ( \
-              "0.0728533, 0.111047, 0.138361, 0.149933, 0.154396, 0.154558, 0.153723, 0.151551, 0.141858, 0.134586, 0.128023, 0.121931, 0.106683, 0.0761439, 0.0573821, 0.0447376, 0.035935, 0.030107, 0.0216748, 0.014648, 0.0105771, 0.00809877, 0.00494932, 0.0035415, 0.00179869, 0.00136326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.305804, 0.312615, 0.316776, 0.330306, 0.33545, 0.343023, 0.349772, 0.356169, 0.36253, 0.368888, 0.375639, 0.379713, 0.38593, 0.391075, 0.395292, 0.400914, 0.405387, 0.409891, 0.415209, 0.42292, 0.432313, 0.439754, 0.454637, 0.477995, 0.506869");
-            values ( \
-              "0.00933774, 0.0135042, 0.0190463, 0.0405308, 0.0473886, 0.0553647, 0.059849, 0.0617057, 0.0606608, 0.0541097, 0.0408975, 0.0340942, 0.02559, 0.020039, 0.0163557, 0.0124159, 0.00990302, 0.00789874, 0.0060795, 0.00409591, 0.00259279, 0.00185762, 0.000891742, 0.000333638, 6.97235e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.340489, 0.347741, 0.364514, 0.377024, 0.387256, 0.396596, 0.405692, 0.414772, 0.419741, 0.440553, 0.457205, 0.471201, 0.483739, 0.501759, 0.522632, 0.540877, 0.548844");
-            values ( \
-              "0.021595, 0.0229036, 0.054362, 0.0737376, 0.0839264, 0.0885047, 0.0891486, 0.0854065, 0.080326, 0.0480558, 0.0288491, 0.0181954, 0.0118777, 0.00634917, 0.00309387, 0.00162622, 0.00134209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.407515, 0.422815, 0.43564, 0.439795, 0.448105, 0.457903, 0.473343, 0.488009, 0.502667, 0.508303, 0.513047, 0.518085, 0.52598, 0.535411, 0.553513, 0.566853, 0.57693, 0.589773, 0.599822, 0.613221, 0.623608, 0.632022, 0.64324, 0.661767, 0.670311, 0.682829, 0.699519, 0.732901, 0.784705, 0.84756");
-            values ( \
-              "0.0310811, 0.0466401, 0.0720881, 0.079502, 0.092314, 0.103443, 0.113094, 0.115127, 0.112396, 0.110112, 0.107638, 0.104266, 0.0975309, 0.0874969, 0.0671095, 0.0541635, 0.0454308, 0.0359172, 0.0296858, 0.022816, 0.0185236, 0.0156117, 0.012385, 0.00837612, 0.00699852, 0.00536916, 0.00375344, 0.00177886, 0.000505637, 9.52424e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.539112, 0.558348, 0.579165, 0.585437, 0.597982, 0.614305, 0.636415, 0.640398, 0.648364, 0.664296, 0.678569, 0.693278, 0.720525, 0.742417, 0.796748, 0.829149, 0.855283, 0.87725, 0.894299, 0.924083, 0.958852, 0.989765, 1.02153, 1.04579, 1.09432, 1.18477, 1.29153");
-            values ( \
-              "0.0580414, 0.0618463, 0.0979843, 0.106794, 0.120162, 0.130372, 0.134822, 0.134865, 0.134382, 0.13229, 0.129249, 0.125378, 0.115321, 0.103676, 0.0700552, 0.0525799, 0.0408092, 0.0326548, 0.0272866, 0.0197363, 0.0133612, 0.00935035, 0.00646903, 0.00486314, 0.0026996, 0.000812239, 0.000177775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.791151, 0.869871, 0.891992, 0.926466, 0.969452, 1.02007, 1.0733, 1.1273, 1.3412, 1.43532, 1.52654, 1.5942, 1.65434, 1.76338, 1.88653");
-            values ( \
-              "0.0539701, 0.132201, 0.14326, 0.147781, 0.145086, 0.138959, 0.131016, 0.120277, 0.0559155, 0.0347749, 0.0210294, 0.0142381, 0.00996668, 0.00517767, 0.00292493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.29356, 1.35935, 1.39923, 1.42673, 1.4633, 1.49403, 1.52383, 1.56762, 1.72521, 1.82311, 1.89418, 1.94979, 2.06079, 2.32398, 2.43416, 2.58481, 2.69108, 2.74712, 2.89565, 3.00665, 3.16231, 3.2733, 3.4953, 3.7173, 4.05029");
-            values ( \
-              "0.0705553, 0.109113, 0.140154, 0.149869, 0.154442, 0.154555, 0.153716, 0.151577, 0.141857, 0.13457, 0.128142, 0.121932, 0.106355, 0.0647586, 0.0500198, 0.0339957, 0.0253959, 0.0217177, 0.0142034, 0.0102196, 0.00641729, 0.00460335, 0.00234344, 0.00119038, 0.000415403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.393569, 0.399585, 0.403646, 0.417265, 0.422445, 0.43002, 0.43677, 0.443169, 0.44953, 0.455888, 0.462638, 0.466715, 0.472932, 0.478077, 0.482292, 0.487912, 0.492388, 0.496895, 0.502212, 0.509923, 0.519314, 0.526753, 0.541631, 0.564965, 0.593809");
-            values ( \
-              "0.0124453, 0.0134203, 0.0189057, 0.0404891, 0.047343, 0.0553757, 0.0598154, 0.0617192, 0.0606359, 0.0541247, 0.0408933, 0.0340798, 0.0255758, 0.0200496, 0.0163678, 0.0124064, 0.00991474, 0.00790909, 0.00606784, 0.00410685, 0.00260358, 0.00184734, 0.000902668, 0.000323577, 8.05571e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.425516, 0.434747, 0.451528, 0.464041, 0.474274, 0.483615, 0.492711, 0.501792, 0.506756, 0.527573, 0.544226, 0.558221, 0.57076, 0.58878, 0.60965, 0.627894, 0.635504");
-            values ( \
-              "0.0120554, 0.0229027, 0.0543613, 0.0737384, 0.0839078, 0.0885091, 0.089134, 0.0854141, 0.0803293, 0.0480531, 0.0288507, 0.0181941, 0.0118793, 0.00635096, 0.00309234, 0.00162805, 0.00135583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.49355, 0.503558, 0.522858, 0.526968, 0.535188, 0.545079, 0.560519, 0.575186, 0.589845, 0.595483, 0.600226, 0.605263, 0.613158, 0.622589, 0.64069, 0.654031, 0.664108, 0.676951, 0.687, 0.700399, 0.710786, 0.7192, 0.730418, 0.748945, 0.757489, 0.770007, 0.786697, 0.820079, 0.871877, 0.934725");
-            values ( \
-              "0.0325637, 0.0342815, 0.0722196, 0.0794306, 0.0922281, 0.103387, 0.113136, 0.11508, 0.112437, 0.11011, 0.107634, 0.104267, 0.0975288, 0.087498, 0.0671079, 0.0541645, 0.0454298, 0.0359183, 0.0296867, 0.022815, 0.0185245, 0.0156126, 0.0123842, 0.00837704, 0.00699937, 0.00536998, 0.00375264, 0.00177967, 0.000504916, 9.60923e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.623103, 0.64282, 0.66009, 0.671635, 0.681424, 0.692594, 0.701826, 0.720291, 0.727914, 0.740799, 0.753886, 0.780828, 0.805718, 0.833433, 0.891419, 0.93351, 0.967408, 0.991141, 1.01896, 1.03463, 1.06596, 1.0901, 1.12702, 1.17624, 1.24927");
-            values ( \
-              "0.0419721, 0.0561435, 0.0874432, 0.104977, 0.116363, 0.125423, 0.130199, 0.134481, 0.134707, 0.133824, 0.131847, 0.125407, 0.116329, 0.101585, 0.0659279, 0.0446995, 0.0317544, 0.0246698, 0.0181845, 0.0152636, 0.010654, 0.00806217, 0.00523292, 0.00288433, 0.00124181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.878565, 0.916001, 0.945546, 0.956756, 0.979176, 1.00607, 1.01519, 1.02561, 1.04645, 1.07611, 1.10694, 1.15799, 1.19058, 1.2175, 1.27134, 1.39266, 1.44735, 1.51319, 1.54997, 1.61331, 1.64309, 1.70267, 1.74768, 1.79752, 1.86397, 1.97497, 2.08596, 2.10067");
-            values ( \
-              "0.0570091, 0.0851662, 0.122979, 0.132223, 0.143355, 0.147646, 0.14776, 0.147468, 0.146038, 0.142876, 0.138951, 0.131397, 0.125448, 0.119422, 0.104205, 0.065718, 0.0509704, 0.0364439, 0.0299585, 0.0210526, 0.0177274, 0.0125335, 0.00956905, 0.00710282, 0.00475831, 0.0023413, 0.0011735, 0.00111513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.3785, 1.44177, 1.4875, 1.51486, 1.54989, 1.58143, 1.612, 1.65625, 1.81011, 1.91105, 1.98365, 2.03795, 2.14655, 2.38392, 2.46335, 2.56599, 2.65432, 2.72278, 2.83627, 2.97128, 3.08228, 3.1743, 3.34143, 3.45243, 3.67442, 4.11841");
-            values ( \
-              "0.0645911, 0.103035, 0.140182, 0.149905, 0.154315, 0.154598, 0.153687, 0.151584, 0.142082, 0.134589, 0.128008, 0.121932, 0.106723, 0.0689185, 0.0576437, 0.0448257, 0.0357161, 0.0297033, 0.0216742, 0.0147159, 0.010626, 0.00808177, 0.00488554, 0.00349501, 0.00177476, 0.000456655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.598786, 0.605539, 0.609624, 0.623315, 0.628529, 0.636125, 0.642888, 0.649297, 0.655666, 0.662032, 0.668751, 0.672885, 0.679116, 0.684241, 0.688415, 0.69398, 0.698519, 0.703093, 0.708397, 0.716098, 0.721742, 0.732834, 0.747623, 0.770868, 0.799556");
-            values ( \
-              "0.00972603, 0.0133071, 0.0187089, 0.0402822, 0.0472172, 0.0552223, 0.0597336, 0.0616116, 0.060598, 0.0540658, 0.0409261, 0.0340194, 0.0255138, 0.0200427, 0.0163996, 0.0124422, 0.00993761, 0.00790513, 0.00604286, 0.00411798, 0.003119, 0.0018361, 0.000927926, 0.000309357, 0.000100355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.633206, 0.641391, 0.658208, 0.670735, 0.680976, 0.690321, 0.69942, 0.708504, 0.713439, 0.73429, 0.750942, 0.764929, 0.777479, 0.795497, 0.816361, 0.834598, 0.842783");
-            values ( \
-              "0.0166347, 0.0227897, 0.0542608, 0.0736585, 0.0838751, 0.0884597, 0.0891219, 0.0853851, 0.0803546, 0.0480483, 0.0288478, 0.0181986, 0.011877, 0.00634989, 0.0030937, 0.00162813, 0.00133528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.701713, 0.717581, 0.729883, 0.734106, 0.742551, 0.752208, 0.767647, 0.782312, 0.796969, 0.802666, 0.807381, 0.812388, 0.820283, 0.829713, 0.847815, 0.861155, 0.871232, 0.884075, 0.894125, 0.907524, 0.91791, 0.926324, 0.937542, 0.95607, 0.964613, 0.977131, 0.993822, 1.0272, 1.07893, 1.14169");
-            values ( \
-              "0.0299486, 0.0476289, 0.0720347, 0.0795031, 0.0925572, 0.103427, 0.113132, 0.115103, 0.112425, 0.110084, 0.10762, 0.104266, 0.0975309, 0.0874968, 0.0671098, 0.0541632, 0.0454311, 0.0359169, 0.0296855, 0.0228162, 0.0185233, 0.0156114, 0.0123853, 0.00837583, 0.00699824, 0.00536888, 0.00375362, 0.00177859, 0.000507101, 9.56411e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.831984, 0.85693, 0.880065, 0.896787, 0.908913, 0.935049, 0.950593, 0.960994, 0.981797, 1.0002, 1.01675, 1.04617, 1.09157, 1.12619, 1.16981, 1.19542, 1.22765, 1.28173, 1.32175, 1.35381, 1.41792, 1.45381");
-            values ( \
-              "0.0443344, 0.0689823, 0.106797, 0.123522, 0.130318, 0.134905, 0.133595, 0.131859, 0.12714, 0.121383, 0.114516, 0.0982552, 0.0699692, 0.0514158, 0.033369, 0.0254389, 0.0178801, 0.00963643, 0.00605923, 0.00413103, 0.00187215, 0.00135611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.085, 1.12717, 1.14656, 1.16427, 1.18547, 1.21303, 1.21871, 1.24146, 1.26387, 1.31449, 1.36771, 1.42149, 1.47383, 1.58673, 1.66988, 1.73375, 1.77832, 1.81995, 1.86885, 1.93404, 2.03589, 2.11816, 2.22916, 2.28544");
-            values ( \
-              "0.0510031, 0.090437, 0.116124, 0.132178, 0.142941, 0.147601, 0.147739, 0.146985, 0.145111, 0.138983, 0.131038, 0.120316, 0.10573, 0.0697383, 0.047295, 0.0340276, 0.0267055, 0.0211551, 0.0159863, 0.0108663, 0.00590753, 0.00356005, 0.00175701, 0.0014188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.58671, 1.64769, 1.66946, 1.69545, 1.72342, 1.75806, 1.78979, 1.82056, 1.8649, 2.01868, 2.11958, 2.19245, 2.24652, 2.35466, 2.5871, 2.66865, 2.77281, 2.86767, 2.93483, 3.04486, 3.17824, 3.28924, 3.38361, 3.55238, 3.66338, 3.88537, 4.32936");
-            values ( \
-              "0.0651698, 0.100161, 0.121823, 0.139823, 0.149887, 0.154287, 0.154592, 0.153689, 0.151573, 0.142075, 0.134592, 0.127985, 0.121931, 0.106793, 0.0697258, 0.0580824, 0.0450217, 0.0352652, 0.0294221, 0.021673, 0.0147849, 0.0106769, 0.00806388, 0.00485031, 0.00346995, 0.00176202, 0.000453452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.06946, 1.07656, 1.07792, 1.098, 1.10789, 1.11177, 1.11615, 1.12272, 1.12921, 1.1357, 1.14133, 1.14739, 1.15073, 1.15731, 1.16537, 1.17202, 1.17692, 1.18049, 1.18761, 1.19482, 1.20651, 1.21594, 1.23884, 1.24382");
-            values ( \
-              "0.0102321, 0.0117157, 0.0131408, 0.0409499, 0.0521384, 0.0553748, 0.0581424, 0.0602933, 0.0596069, 0.053358, 0.0426082, 0.0325235, 0.0279046, 0.0204823, 0.0139211, 0.00998397, 0.00782926, 0.00654964, 0.00458418, 0.00322456, 0.00184955, 0.00119764, 0.000585282, 0.000522975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.1096, 1.11892, 1.1428, 1.14988, 1.16038, 1.16989, 1.17911, 1.18831, 1.19242, 1.19665, 1.21041, 1.21465, 1.22701, 1.2378, 1.24364, 1.25356, 1.26538, 1.27587, 1.28715, 1.29586, 1.31327, 1.32897");
-            values ( \
-              "0.0137621, 0.0208526, 0.0615259, 0.0715242, 0.0821378, 0.0871521, 0.0881015, 0.0846541, 0.0807498, 0.0751878, 0.0529196, 0.0470393, 0.0327716, 0.0231739, 0.0190965, 0.0136524, 0.00909558, 0.00631143, 0.00428294, 0.00317357, 0.00172277, 0.00112648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.19016, 1.20608, 1.21593, 1.22484, 1.23235, 1.24173, 1.25728, 1.27204, 1.28678, 1.30154, 1.30632, 1.31179, 1.31959, 1.3352, 1.34785, 1.35579, 1.37168, 1.38317, 1.39107, 1.40633, 1.41011, 1.41601, 1.42275, 1.43367, 1.44888, 1.45469, 1.46631, 1.48955, 1.53547, 1.58878");
-            values ( \
-              "0.0302697, 0.0453035, 0.064387, 0.0805122, 0.0917358, 0.10233, 0.112373, 0.114626, 0.112122, 0.104709, 0.100903, 0.0957948, 0.0874342, 0.0698014, 0.0571235, 0.0498906, 0.0374175, 0.0301585, 0.0258703, 0.0190575, 0.0176655, 0.0156679, 0.0136411, 0.0108722, 0.00788983, 0.00698289, 0.005454, 0.00329399, 0.00112074, 0.000304038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.32917, 1.35384, 1.37735, 1.39473, 1.40626, 1.42932, 1.43241, 1.44838, 1.45837, 1.47834, 1.49904, 1.51413, 1.54362, 1.58895, 1.62378, 1.66704, 1.69232, 1.72518, 1.74469, 1.77929, 1.81872, 1.8502, 1.91315, 1.95975");
-            values ( \
-              "0.0448853, 0.0681013, 0.106473, 0.123798, 0.130164, 0.134805, 0.134818, 0.133485, 0.131828, 0.127337, 0.120843, 0.114502, 0.0982124, 0.0699621, 0.0513186, 0.0334152, 0.0255789, 0.0178403, 0.0143386, 0.00960868, 0.00607924, 0.00419146, 0.00191507, 0.00123511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.58376, 1.61232, 1.63295, 1.64897, 1.66357, 1.68607, 1.71371, 1.72086, 1.74377, 1.76315, 1.81377, 1.867, 1.92096, 1.96517, 2.07184, 2.13525, 2.18636, 2.22872, 2.26153, 2.32024, 2.34583, 2.38811, 2.44816, 2.50964, 2.55658, 2.65046, 2.76146, 2.87246, 2.98346");
-            values ( \
-              "0.0600409, 0.0693135, 0.0999284, 0.119586, 0.13223, 0.143394, 0.147684, 0.147791, 0.146803, 0.14508, 0.138955, 0.131011, 0.120289, 0.108154, 0.0740497, 0.0558231, 0.043384, 0.0348316, 0.0291806, 0.0210297, 0.0181765, 0.0142206, 0.00995961, 0.00690136, 0.00519352, 0.00289412, 0.00142918, 0.000703659, 0.000345075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("2.08141, 2.12359, 2.16891, 2.19451, 2.22286, 2.25872, 2.28981, 2.31995, 2.36409, 2.51807, 2.61904, 2.69143, 2.74591, 2.85487, 3.04502, 3.1739, 3.27498, 3.35925, 3.42422, 3.54418, 3.68132, 3.79231, 3.88129, 4.04333, 4.15433, 4.37633, 4.59832, 4.93131");
-            values ( \
-              "0.0674897, 0.0739662, 0.122019, 0.139715, 0.149937, 0.154369, 0.154593, 0.153681, 0.151591, 0.142086, 0.134587, 0.128026, 0.121932, 0.106667, 0.0761426, 0.0572956, 0.0447113, 0.0360038, 0.0302377, 0.0216761, 0.0146274, 0.0105603, 0.00810515, 0.00497694, 0.00355996, 0.00180774, 0.000916304, 0.000322853" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0075897, 0.00760177, 0.00761471, 0.00762574, 0.00763331, 0.00763781", \
-            "0.00920015, 0.00921212, 0.00922629, 0.0092393, 0.00924877, 0.00925456", \
-            "0.0101246, 0.0101347, 0.0101483, 0.0101624, 0.0101735, 0.0101807", \
-            "0.0106302, 0.010636, 0.0106451, 0.0106562, 0.0106664, 0.0106736", \
-            "0.0108952, 0.0108903, 0.0108854, 0.0108827, 0.0108829, 0.0108846", \
-            "0.0110931, 0.0110757, 0.0110511, 0.0110242, 0.0110024, 0.0109891" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0104845, 0.0104959, 0.0105101, 0.0105239, 0.0105334, 0.0105399", \
-            "0.010602, 0.0106045, 0.0106104, 0.0106185, 0.0106258, 0.0106307", \
-            "0.0101806, 0.0101559, 0.0101265, 0.0101002, 0.0100815, 0.0100701", \
-            "0.00999363, 0.00993134, 0.00985167, 0.00977056, 0.00970432, 0.00965988", \
-            "0.0103252, 0.0101739, 0.00999079, 0.00980266, 0.00964862, 0.00955552", \
-            "0.0103971, 0.0104143, 0.0104698, 0.0102067, 0.00987295, 0.00964791" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.202588, 0.207405, 0.209267, 0.218465, 0.22363, 0.233586, 0.239614, 0.245201, 0.25058, 0.255952, 0.261709, 0.273267, 0.279681, 0.285382, 0.28827, 0.292121, 0.296103");
-            values ( \
-              "-0.0139314, -0.0188085, -0.0214349, -0.0400023, -0.0484586, -0.0616922, -0.0674386, -0.0715499, -0.0733023, -0.0720874, -0.0625567, -0.0268213, -0.0142039, -0.00764989, -0.00556494, -0.00365281, -0.00251772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.224879, 0.2329, 0.245991, 0.261687, 0.267122, 0.275402, 0.283184, 0.290759, 0.298398, 0.302895, 0.306693, 0.318265, 0.325383, 0.332625, 0.337984, 0.347829, 0.355806, 0.35973");
-            values ( \
-              "-0.0184359, -0.0300212, -0.0607808, -0.0870643, -0.0935578, -0.101303, -0.105781, -0.107432, -0.103701, -0.0973339, -0.0882312, -0.0517629, -0.0338752, -0.0211111, -0.0145535, -0.00721869, -0.0039993, -0.00327688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.266899, 0.271547, 0.277551, 0.301625, 0.317984, 0.330482, 0.339034, 0.344258, 0.354705, 0.359513, 0.365923, 0.372555, 0.380297, 0.392577, 0.411066, 0.423392, 0.433678, 0.449105, 0.455928, 0.469608, 0.482845");
-            values ( \
-              "-0.0183884, -0.0225967, -0.0330243, -0.0884103, -0.114721, -0.12783, -0.134162, -0.13695, -0.140697, -0.141356, -0.141032, -0.138958, -0.133531, -0.113522, -0.0681209, -0.0445058, -0.0303077, -0.0163848, -0.0123474, -0.00696011, -0.00420109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.354307, 0.375313, 0.400885, 0.407163, 0.41972, 0.432775, 0.453398, 0.464572, 0.4759, 0.496448, 0.516995, 0.528436, 0.538802, 0.559534, 0.588316, 0.604759, 0.614468, 0.6289, 0.642239, 0.660025, 0.675293, 0.700188, 0.733381, 0.786225, 0.850424");
-            values ( \
-              "-0.0142644, -0.05176, -0.108311, -0.11909, -0.136498, -0.149082, -0.162133, -0.165905, -0.168458, -0.16917, -0.165494, -0.16064, -0.154072, -0.130165, -0.0852309, -0.063764, -0.0531204, -0.0399975, -0.0305013, -0.0209519, -0.0151087, -0.00876066, -0.00407171, -0.00105358, -0.000177675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.513216, 0.636771, 0.675015, 0.693525, 0.729741, 0.770119, 0.797125, 0.829794, 0.851685, 0.894528, 0.979536, 1.03248, 1.07613, 1.12089, 1.17037, 1.22316, 1.2509");
-            values ( \
-              "-0.00952052, -0.172431, -0.18594, -0.187965, -0.189034, -0.185061, -0.180534, -0.172174, -0.163692, -0.135054, -0.0680528, -0.0406704, -0.0259207, -0.0160376, -0.00934253, -0.00513013, -0.00404541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.879409, 0.922166, 0.960411, 0.979376, 1.00288, 1.02128, 1.04231, 1.07895, 1.10504, 1.13097, 1.20858, 1.30885, 1.38633, 1.42118, 1.45835, 1.50386, 1.56454, 1.67605, 1.73232, 1.82166, 1.86564, 1.93658, 2.02738, 2.08039, 2.16225, 2.24411, 2.32597, 2.57155");
-            values ( \
-              "-0.0515582, -0.0879987, -0.144514, -0.164348, -0.180416, -0.188585, -0.194607, -0.199973, -0.201039, -0.201149, -0.198145, -0.190909, -0.182917, -0.177935, -0.171152, -0.159284, -0.135892, -0.0889513, -0.0694197, -0.0453936, -0.0364991, -0.0253925, -0.0157323, -0.0118371, -0.00757393, -0.00481855, -0.00306664, -0.000776836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.213964, 0.221264, 0.235454, 0.246754, 0.25277, 0.258354, 0.26373, 0.269099, 0.274856, 0.286406, 0.290866, 0.298144, 0.304146, 0.310549, 0.321484, 0.352023");
-            values ( \
-              "-0.00183507, -0.0194985, -0.046503, -0.0617687, -0.0674805, -0.0715847, -0.0733406, -0.0720993, -0.0625304, -0.026798, -0.0173718, -0.00798852, -0.00410931, -0.00199128, -0.000524519, -7.14809e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.238118, 0.246037, 0.260394, 0.271565, 0.280744, 0.289004, 0.296792, 0.304363, 0.312001, 0.31648, 0.338985, 0.351578, 0.361432, 0.366064");
-            values ( \
-              "-0.0183568, -0.0284493, -0.0624462, -0.0820228, -0.0937934, -0.10136, -0.105749, -0.107489, -0.10367, -0.097381, -0.033878, -0.0145581, -0.00721457, -0.0053494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.283112, 0.314917, 0.331235, 0.344953, 0.357519, 0.369539, 0.381415, 0.393284, 0.397929, 0.407122, 0.424255, 0.43614, 0.447808, 0.462965, 0.479224, 0.49333, 0.50235");
-            values ( \
-              "-0.0182483, -0.0886492, -0.114856, -0.129037, -0.137138, -0.141068, -0.140777, -0.133884, -0.128469, -0.110286, -0.0681347, -0.0452352, -0.0291767, -0.0159189, -0.00813778, -0.00443456, -0.00336682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.369133, 0.415305, 0.432975, 0.446685, 0.466613, 0.481683, 0.489735, 0.505839, 0.519103, 0.530945, 0.551747, 0.554369, 0.564859, 0.596885, 0.621958, 0.645154, 0.657226, 0.668244, 0.689738, 0.701906, 0.72624, 0.77426");
-            values ( \
-              "-0.0119273, -0.110007, -0.136204, -0.149585, -0.161994, -0.166971, -0.168517, -0.169469, -0.168197, -0.165314, -0.154758, -0.152721, -0.141835, -0.0927746, -0.0597316, -0.03796, -0.0296792, -0.0235727, -0.0148739, -0.0114169, -0.00661523, -0.00203228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.559327, 0.58832, 0.597704, 0.604096, 0.610488, 0.617599, 0.624709, 0.631026, 0.637342, 0.643658, 0.649974, 0.658844, 0.667714, 0.67284, 0.677967, 0.683094, 0.68822, 0.697477, 0.706733, 0.715711, 0.72469, 0.733668, 0.744926, 0.763165, 0.776844, 0.783329, 0.796299, 0.809636, 0.822973, 0.85288, 0.864995, 0.867142, 0.871435, 0.877876, 0.885947, 0.894018, 0.952388, 0.977032, 0.985417, 0.98961, 1.00218, 1.01057, 1.01895, 1.03572, 1.05685, 1.07216, 1.08318, 1.09421, 1.11732, 1.13725");
-            values ( \
-              "-0.0869021, -0.0944332, -0.113627, -0.125313, -0.135545, -0.144825, -0.152932, -0.158633, -0.163755, -0.168298, -0.172263, -0.176636, -0.180303, -0.1821, -0.183661, -0.184987, -0.186076, -0.187172, -0.187999, -0.188414, -0.188663, -0.188747, -0.188618, -0.187207, -0.185787, -0.184969, -0.182849, -0.180019, -0.176723, -0.167312, -0.163391, -0.162529, -0.160373, -0.156564, -0.151189, -0.145326, -0.096605, -0.07817, -0.0720406, -0.0693512, -0.0617367, -0.0571019, -0.0527274, -0.0447589, -0.0360195, -0.0305008, -0.0272845, -0.0243352, -0.0191168, -0.0150807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.91, 0.956939, 0.993422, 1.01923, 1.03475, 1.05546, 1.09139, 1.12623, 1.16605, 1.19759, 1.26927, 1.32246, 1.35658, 1.40228, 1.48284, 1.51333, 1.54598, 1.66438, 1.71452, 1.76592, 1.83447, 1.85984, 1.9078, 1.95285, 2.04087, 2.09102, 2.17288, 2.25474, 2.3366, 2.50032, 2.58218");
-            values ( \
-              "-0.0988823, -0.121024, -0.165002, -0.182035, -0.188653, -0.194546, -0.199927, -0.201146, -0.200718, -0.199345, -0.195132, -0.190978, -0.187788, -0.182533, -0.168825, -0.160626, -0.149293, -0.0988516, -0.0798554, -0.0632848, -0.0455531, -0.0401436, -0.0315738, -0.0249909, -0.015701, -0.0120462, -0.00766486, -0.00492096, -0.00308806, -0.0012275, -0.000815832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.248615, 0.254176, 0.254594, 0.257097, 0.262628, 0.26852, 0.27292, 0.279709, 0.285728, 0.291313, 0.29669, 0.30206, 0.307817, 0.310313, 0.316183, 0.319371, 0.322517, 0.323826, 0.326315, 0.329834, 0.331106, 0.332307, 0.333909, 0.337112, 0.343518, 0.343723");
-            values ( \
-              "-0.0138955, -0.0196345, -0.0201828, -0.0248235, -0.0369035, -0.0466122, -0.052922, -0.061716, -0.067487, -0.0715439, -0.0733535, -0.0720662, -0.0625042, -0.0548818, -0.0355536, -0.0267731, -0.0197602, -0.0173902, -0.0133852, -0.00922029, -0.00797338, -0.00699431, -0.00591672, -0.00409491, -0.00200248, -0.0019743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.268251, 0.290095, 0.298046, 0.303883, 0.31307, 0.321335, 0.329111, 0.336681, 0.344242, 0.348908, 0.352609, 0.364177, 0.371286, 0.378543, 0.383912, 0.387755, 0.393708, 0.401645, 0.416937, 0.434941");
-            values ( \
-              "-0.00117051, -0.0570232, -0.0724213, -0.0818012, -0.0938439, -0.101278, -0.105967, -0.107385, -0.103894, -0.0971819, -0.0882579, -0.051771, -0.0338957, -0.0211023, -0.0145374, -0.0110905, -0.00723598, -0.0040197, -0.00116379, -0.000298108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.312645, 0.327522, 0.357908, 0.378174, 0.390732, 0.402745, 0.414614, 0.426477, 0.43115, 0.469344, 0.480984, 0.496148, 0.512428, 0.526555, 0.526823");
-            values ( \
-              "-0.0180672, -0.0403981, -0.106135, -0.129263, -0.13718, -0.141207, -0.140778, -0.133975, -0.12846, -0.0452123, -0.0291921, -0.0159227, -0.00813167, -0.00442717, -0.00439526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.411501, 0.428481, 0.436757, 0.442217, 0.447676, 0.453606, 0.456571, 0.462501, 0.465466, 0.472365, 0.479265, 0.48414, 0.489015, 0.49389, 0.498765, 0.50149, 0.505576, 0.509663, 0.515112, 0.520122, 0.522407, 0.526977, 0.531547, 0.537254, 0.54296, 0.56411, 0.569392, 0.575555, 0.577316, 0.579311, 0.583303, 0.58929, 0.593282, 0.597273, 0.601265, 0.610011, 0.623337, 0.631517, 0.639697, 0.653039, 0.659838, 0.662105, 0.668968, 0.676816, 0.681742, 0.687653, 0.695534, 0.703415, 0.713311, 0.722478");
-            values ( \
-              "-0.0633626, -0.0667918, -0.0863788, -0.0981951, -0.109153, -0.120081, -0.124766, -0.133107, -0.136763, -0.143416, -0.149268, -0.152805, -0.156018, -0.158908, -0.161473, -0.162766, -0.164307, -0.165563, -0.166992, -0.168127, -0.168587, -0.168846, -0.168945, -0.168835, -0.168486, -0.165126, -0.163074, -0.160013, -0.159007, -0.157654, -0.154627, -0.149286, -0.145192, -0.140672, -0.135725, -0.123343, -0.10212, -0.0898824, -0.0782457, -0.0611089, -0.053937, -0.0516826, -0.0454223, -0.0386592, -0.0347399, -0.0306372, -0.0261649, -0.0222131, -0.0180661, -0.0145386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.588663, 0.609174, 0.631984, 0.645948, 0.658402, 0.683064, 0.701093, 0.728473, 0.740039, 0.759208, 0.778168, 0.816245, 0.840683, 0.856215, 0.887279, 0.898221, 0.919887, 0.983745, 1.01152, 1.03293, 1.06147, 1.07985, 1.11394, 1.15251, 1.17006, 1.197, 1.23292, 1.30476, 1.38662, 1.46848");
-            values ( \
-              "-0.0677972, -0.0724927, -0.116419, -0.138667, -0.152964, -0.172258, -0.180172, -0.187078, -0.188146, -0.189141, -0.188551, -0.185232, -0.181118, -0.17776, -0.16833, -0.163705, -0.151254, -0.0983651, -0.0774443, -0.0636445, -0.0483033, -0.040189, -0.0283104, -0.0187192, -0.0154991, -0.0115638, -0.00776863, -0.00334878, -0.00124994, -0.00046584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.940597, 0.981313, 1.01399, 1.02669, 1.04718, 1.06334, 1.08489, 1.11906, 1.15079, 1.1931, 1.22547, 1.29937, 1.35568, 1.38632, 1.43562, 1.51611, 1.54713, 1.57958, 1.69723, 1.74775, 1.79949, 1.86847, 1.89333, 1.94036, 1.98566, 2.04884, 2.07418, 2.12486, 2.20672, 2.28858, 2.37044, 2.4523, 2.61602");
-            values ( \
-              "-0.0951468, -0.107448, -0.152629, -0.164781, -0.179222, -0.186877, -0.193657, -0.199435, -0.201108, -0.200837, -0.199613, -0.195359, -0.190984, -0.18809, -0.182595, -0.168784, -0.160537, -0.149146, -0.0990023, -0.0799441, -0.0632671, -0.0453562, -0.0401579, -0.031659, -0.0251002, -0.0179645, -0.0157415, -0.0119735, -0.00768231, -0.00486823, -0.00311786, -0.00195332, -0.000775512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.322978, 0.34815, 0.351307, 0.35809, 0.364114, 0.369699, 0.375076, 0.380446, 0.385787, 0.397756, 0.402213, 0.409492, 0.415495, 0.421899, 0.427119");
-            values ( \
-              "-0.000638773, -0.048459, -0.0529939, -0.0616623, -0.0675397, -0.0715049, -0.0733913, -0.0720342, -0.0633219, -0.0267911, -0.0173711, -0.00799247, -0.00411911, -0.00198063, -0.00128371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.351201, 0.369255, 0.382995, 0.39218, 0.400445, 0.40822, 0.415789, 0.423351, 0.428011, 0.450394, 0.463018, 0.472818, 0.480757, 0.483567");
-            values ( \
-              "-0.0164241, -0.057074, -0.0818501, -0.0938244, -0.101311, -0.105949, -0.107409, -0.103879, -0.0971935, -0.0338948, -0.0145389, -0.00723483, -0.00401871, -0.00349171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.401019, 0.416524, 0.429224, 0.443529, 0.450999, 0.457185, 0.469756, 0.481765, 0.493635, 0.505499, 0.510162, 0.514402, 0.519337, 0.536462, 0.548362, 0.560011, 0.566313, 0.575172, 0.584386, 0.591446, 0.605566, 0.632292, 0.66392");
-            values ( \
-              "-0.0422217, -0.065717, -0.0928879, -0.115137, -0.123503, -0.129134, -0.137234, -0.141149, -0.140817, -0.133932, -0.128471, -0.121283, -0.110301, -0.0681491, -0.0452198, -0.029188, -0.0227837, -0.0159222, -0.0109196, -0.00813271, -0.00443017, -0.00123896, -0.000261039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.474972, 0.496388, 0.528682, 0.545474, 0.559833, 0.57924, 0.598677, 0.611391, 0.623457, 0.643939, 0.665784, 0.681611, 0.708252, 0.736169, 0.765162, 0.794348, 0.832041, 0.837923");
-            values ( \
-              "-0.0151363, -0.0403295, -0.109947, -0.135097, -0.149435, -0.161564, -0.167744, -0.169293, -0.169179, -0.165454, -0.154067, -0.13714, -0.0955479, -0.0586196, -0.0331164, -0.0178835, -0.00785699, -0.00711275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.644464, 0.737439, 0.762167, 0.780168, 0.800603, 0.819108, 0.854255, 0.895708, 0.934335, 0.962791, 0.977298, 1.00631, 1.05392, 1.10951, 1.1555, 1.20823, 1.24971, 1.31879, 1.39614");
-            values ( \
-              "-0.00193814, -0.1528, -0.172091, -0.180137, -0.185734, -0.188118, -0.188883, -0.18507, -0.17802, -0.169664, -0.163691, -0.145985, -0.105709, -0.0651718, -0.0416092, -0.0241239, -0.0154484, -0.00717956, -0.00294694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.02678, 1.13238, 1.16063, 1.18634, 1.2095, 1.24698, 1.28382, 1.34245, 1.43574, 1.52034, 1.58747, 1.62633, 1.67815, 1.80984, 1.85749, 1.93631, 2.03024, 2.09764, 2.15223, 2.23538, 2.31724, 2.47098");
-            values ( \
-              "-0.10172, -0.181805, -0.192673, -0.197809, -0.200158, -0.20125, -0.200545, -0.197722, -0.190954, -0.181945, -0.17068, -0.160661, -0.141549, -0.0863046, -0.0699179, -0.0481851, -0.0301274, -0.0211942, -0.0158903, -0.0101737, -0.00649557, -0.00286038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.50724, 0.515047, 0.53398, 0.546853, 0.552456, 0.557849, 0.563213, 0.568574, 0.580499, 0.585079, 0.592322, 0.59824, 0.604551, 0.614764, 0.64383");
-            values ( \
-              "-0.000305383, -0.0196461, -0.0526794, -0.0673368, -0.0712393, -0.0732415, -0.0718529, -0.0632719, -0.0269305, -0.0172665, -0.00797834, -0.00415621, -0.00201886, -0.000608266, -9.10578e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.533896, 0.543505, 0.554084, 0.568391, 0.577585, 0.585859, 0.593642, 0.601218, 0.608786, 0.613424, 0.617157, 0.628731, 0.635843, 0.643101, 0.648471, 0.658273, 0.666215, 0.681195, 0.69883");
-            values ( \
-              "-0.00314736, -0.0304848, -0.0559395, -0.0817329, -0.0937553, -0.101199, -0.10587, -0.107305, -0.103812, -0.0971748, -0.08821, -0.0517495, -0.0338825, -0.0210955, -0.0145356, -0.00723407, -0.00401606, -0.00120197, -0.000315768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.581094, 0.604386, 0.615175, 0.622698, 0.631437, 0.645134, 0.657665, 0.669707, 0.68158, 0.693447, 0.698111, 0.702352, 0.707287, 0.724415, 0.731269, 0.742777, 0.754268, 0.763128, 0.772342, 0.779402, 0.793523, 0.812619");
-            values ( \
-              "-0.00615345, -0.0654102, -0.0891114, -0.1027, -0.115058, -0.129193, -0.137162, -0.141132, -0.140766, -0.133917, -0.128445, -0.121261, -0.110285, -0.0681418, -0.0541079, -0.0355803, -0.0227826, -0.0159205, -0.0109183, -0.00813273, -0.00442942, -0.0021428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.676999, 0.693156, 0.707837, 0.718484, 0.724406, 0.736251, 0.749908, 0.769234, 0.777754, 0.789114, 0.800785, 0.813501, 0.833981, 0.847835, 0.855822, 0.871796, 0.910214, 0.923556, 0.932622, 0.946346, 0.958167, 0.973929, 0.992992, 1.00473, 1.0282, 1.07473, 1.12879");
-            values ( \
-              "-0.0321186, -0.0537413, -0.0879634, -0.109894, -0.119981, -0.136238, -0.149519, -0.161707, -0.164965, -0.167841, -0.169274, -0.169244, -0.165451, -0.159374, -0.15408, -0.136946, -0.078283, -0.0615889, -0.0518822, -0.0395882, -0.031142, -0.0223673, -0.0148699, -0.0115228, -0.00681506, -0.00218168, -0.000540541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.861406, 0.889269, 0.917358, 0.92929, 0.953153, 0.972007, 0.99247, 1.01095, 1.0491, 1.07241, 1.1178, 1.14583, 1.16911, 1.2119, 1.2529, 1.30639, 1.34986, 1.39361, 1.44161, 1.48767, 1.5403, 1.62216, 1.70402");
-            values ( \
-              "-0.0679207, -0.0899905, -0.138825, -0.15301, -0.171412, -0.180341, -0.18557, -0.188306, -0.188808, -0.186969, -0.179982, -0.172722, -0.163589, -0.135204, -0.0998924, -0.0622808, -0.0406664, -0.025925, -0.0154529, -0.00936904, -0.00513494, -0.00194871, -0.000696649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.20469, 1.24181, 1.27974, 1.29918, 1.31994, 1.35328, 1.37847, 1.40174, 1.43922, 1.47606, 1.53419, 1.62798, 1.71255, 1.74114, 1.77927, 1.81943, 1.87296, 1.99875, 2.05127, 2.1401, 2.1848, 2.25595, 2.34637, 2.39899, 2.48085, 2.56271, 2.64457, 2.89014");
-            values ( \
-              "-0.0708224, -0.0898221, -0.145094, -0.165303, -0.179435, -0.1928, -0.197754, -0.200192, -0.201218, -0.200583, -0.197718, -0.190988, -0.181952, -0.177806, -0.170771, -0.160397, -0.140469, -0.0875408, -0.0694321, -0.0455216, -0.0364598, -0.0253351, -0.0157259, -0.011868, -0.0075843, -0.00483475, -0.00306748, -0.000784174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.910806, 0.916575, 0.927865, 0.943571, 0.949726, 0.955397, 0.960842, 0.966247, 0.971649, 0.986195, 0.990677, 0.998365, 1.00163, 1.00815, 1.00927");
-            values ( \
-              "-0.0124532, -0.0168372, -0.0378668, -0.060191, -0.0663077, -0.0705782, -0.0725514, -0.0714568, -0.0628589, -0.0210167, -0.0132821, -0.00582832, -0.00400567, -0.00193913, -0.00178941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.942023, 0.953134, 0.973256, 0.97985, 0.989255, 0.997643, 1.0055, 1.01314, 1.02076, 1.02458, 1.04506, 1.0512, 1.05946, 1.06433, 1.07238, 1.07896");
-            values ( \
-              "-0.0135178, -0.0265681, -0.0682971, -0.0795915, -0.0920831, -0.100144, -0.104857, -0.106678, -0.103188, -0.0982185, -0.040209, -0.0273121, -0.015599, -0.011085, -0.0062017, -0.00408481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.00136, 1.01562, 1.01912, 1.04136, 1.05801, 1.07186, 1.08452, 1.09659, 1.10851, 1.12042, 1.12491, 1.16317, 1.17513, 1.19028, 1.1995, 1.20851");
-            values ( \
-              "-0.0151088, -0.03013, -0.0361811, -0.0859879, -0.113385, -0.128057, -0.136375, -0.140512, -0.140361, -0.133606, -0.128451, -0.0454107, -0.028975, -0.0158098, -0.0108384, -0.00791509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.11846, 1.13466, 1.16261, 1.168, 1.1788, 1.19347, 1.21561, 1.22658, 1.23466, 1.24752, 1.257, 1.2775, 1.29201, 1.29938, 1.31047, 1.34356, 1.36882, 1.392, 1.41493, 1.43655, 1.44885, 1.47345, 1.51248");
-            values ( \
-              "-0.0251661, -0.0509422, -0.110738, -0.120469, -0.134932, -0.149937, -0.163162, -0.166586, -0.1682, -0.169348, -0.169108, -0.165326, -0.158877, -0.153948, -0.143121, -0.0929005, -0.0596568, -0.0379259, -0.0236368, -0.0148747, -0.0113796, -0.00655732, -0.0028121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.30843, 1.3354, 1.37046, 1.38561, 1.41079, 1.42847, 1.44893, 1.46745, 1.50195, 1.54407, 1.57685, 1.59736, 1.62566, 1.66827, 1.75338, 1.80628, 1.85035, 1.89492, 1.94381, 1.99596, 2.02737");
-            values ( \
-              "-0.0374879, -0.0692029, -0.133649, -0.151974, -0.172001, -0.179774, -0.185668, -0.187909, -0.188945, -0.185105, -0.179242, -0.174063, -0.163746, -0.135212, -0.0681352, -0.0407511, -0.0258541, -0.0160268, -0.00940002, -0.00520146, -0.00395684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.67147, 1.71602, 1.74902, 1.75867, 1.78458, 1.79782, 1.82429, 1.85896, 1.87819, 1.90017, 1.93589, 1.99926, 2.03413, 2.10222, 2.16766, 2.24096, 2.27611, 2.32297, 2.40474, 2.47037, 2.52452, 2.56144, 2.6168, 2.66472, 2.7286, 2.80637, 2.85432, 2.93618, 3.01804, 3.0999, 3.18176, 3.34548");
-            values ( \
-              "-0.0870807, -0.111529, -0.155666, -0.164735, -0.181928, -0.18775, -0.195385, -0.200049, -0.200951, -0.201212, -0.200512, -0.197462, -0.195155, -0.189663, -0.182562, -0.170409, -0.161406, -0.144627, -0.109217, -0.0832638, -0.0653353, -0.0549363, -0.0419238, -0.0329685, -0.0236877, -0.01572, -0.0121627, -0.00778017, -0.00495509, -0.00314956, -0.00199689, -0.000801227" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00696604, 0.00697693, 0.00698904, 0.00699973, 0.00700731, 0.00701185", \
-            "0.00785524, 0.00786276, 0.00787229, 0.00788162, 0.00788869, 0.00789315", \
-            "0.0083313, 0.00833529, 0.00834122, 0.00834799, 0.00835384, 0.00835784", \
-            "0.00860278, 0.00860411, 0.00860647, 0.00860995, 0.00861373, 0.00861678", \
-            "0.00875028, 0.00875063, 0.00875127, 0.00875238, 0.00875405, 0.00875587", \
-            "0.00884279, 0.00884301, 0.00884334, 0.00884377, 0.00884435, 0.00884514" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00907367, 0.00908415, 0.0090972, 0.00910915, 0.00911793, 0.00912331", \
-            "0.00985516, 0.00986378, 0.00987479, 0.00988536, 0.00989328, 0.00989809", \
-            "0.0103379, 0.0103451, 0.0103539, 0.0103623, 0.0103685, 0.0103722", \
-            "0.0108146, 0.0108154, 0.010817, 0.010819, 0.0108207, 0.0108219", \
-            "0.0112847, 0.0112307, 0.0111661, 0.0111054, 0.0110595, 0.0110302", \
-            "0.0118539, 0.0117342, 0.0115491, 0.0113596, 0.0112147, 0.0111237" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.287992, 0.334036, 0.424574, 0.603339, 0.956897, 1.66485", \
-            "0.292491, 0.338778, 0.429467, 0.608343, 0.961977, 1.67004", \
-            "0.303845, 0.35005, 0.440801, 0.619751, 0.974372, 1.68192", \
-            "0.329239, 0.375545, 0.466603, 0.645826, 1.00109, 1.70868", \
-            "0.389817, 0.436554, 0.528481, 0.708323, 1.06334, 1.772", \
-            "0.51159, 0.565481, 0.666638, 0.855632, 1.21422, 1.92285" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0394327, 0.0581541, 0.0974501, 0.181268, 0.357876, 0.725909", \
-            "0.0394258, 0.0581148, 0.0974903, 0.181265, 0.357992, 0.725893", \
-            "0.0394164, 0.0581312, 0.0974373, 0.18131, 0.357984, 0.725924", \
-            "0.0394311, 0.0581511, 0.0974558, 0.181298, 0.357975, 0.725903", \
-            "0.0394533, 0.0581931, 0.0974664, 0.181263, 0.357964, 0.725889", \
-            "0.0401087, 0.0587399, 0.0976277, 0.181322, 0.357986, 0.725892" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.245077, 0.28796, 0.368462, 0.521006, 0.813247, 1.38354", \
-            "0.248495, 0.291486, 0.372772, 0.525448, 0.817598, 1.38758", \
-            "0.255243, 0.29845, 0.37996, 0.532734, 0.824838, 1.39616", \
-            "0.271085, 0.3146, 0.396363, 0.54992, 0.842744, 1.4147", \
-            "0.303609, 0.349668, 0.433718, 0.590145, 0.882959, 1.45587", \
-            "0.348292, 0.402442, 0.500479, 0.672833, 0.977555, 1.55415" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0418538, 0.058705, 0.0885065, 0.143521, 0.256141, 0.49457", \
-            "0.0420158, 0.0590874, 0.0887499, 0.144063, 0.256427, 0.494863", \
-            "0.0420493, 0.0591482, 0.0888316, 0.144247, 0.256743, 0.494859", \
-            "0.0420154, 0.0591506, 0.0889044, 0.144156, 0.256575, 0.494822", \
-            "0.0422884, 0.0592417, 0.0889693, 0.144069, 0.25661, 0.49481", \
-            "0.0430033, 0.0601467, 0.0897406, 0.144408, 0.256623, 0.494815" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.251646, 0.256295, 0.260007, 0.267163, 0.272863, 0.277167, 0.283835, 0.289903, 0.295783, 0.301712, 0.3054, 0.313682, 0.319489, 0.323345, 0.32902, 0.332396, 0.338387, 0.344728, 0.352679, 0.360064, 0.368646, 0.375374, 0.388832, 0.390558");
-            values ( \
-              "0.00908333, 0.0142163, 0.0212146, 0.0371784, 0.0480168, 0.0544454, 0.0622295, 0.0657084, 0.0668473, 0.0643738, 0.0599807, 0.0429971, 0.0329806, 0.0274635, 0.020879, 0.017727, 0.0131482, 0.00954359, 0.00636731, 0.0043661, 0.00284414, 0.00203299, 0.00103388, 0.000978583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.279292, 0.282785, 0.288021, 0.30417, 0.315192, 0.324488, 0.333171, 0.341759, 0.350342, 0.383083, 0.3966, 0.414316, 0.435878, 0.456058, 0.466642");
-            values ( \
-              "0.0100541, 0.012586, 0.0227602, 0.0630261, 0.0823618, 0.0911815, 0.094512, 0.0934482, 0.0884752, 0.0389877, 0.0253517, 0.0139475, 0.00654546, 0.00320748, 0.00261382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.337135, 0.352645, 0.369756, 0.380723, 0.389777, 0.404228, 0.418292, 0.432343, 0.440836, 0.461702, 0.485015, 0.501076, 0.52827, 0.55594, 0.578598, 0.610745, 0.633589, 0.646312");
-            values ( \
-              "0.012015, 0.0377021, 0.082456, 0.10222, 0.112126, 0.119206, 0.118864, 0.114627, 0.110139, 0.0917498, 0.0653453, 0.0501418, 0.0303844, 0.0175038, 0.0109369, 0.0055042, 0.00333244, 0.00271658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.445961, 0.521834, 0.533179, 0.546082, 0.558458, 0.578797, 0.594757, 0.610966, 0.638126, 0.747668, 0.794129, 0.841653, 0.876941, 0.907634, 0.947641, 1.00098, 1.0464");
-            values ( \
-              "0.0102418, 0.132382, 0.136542, 0.13798, 0.137165, 0.133845, 0.130046, 0.125415, 0.11533, 0.052196, 0.032935, 0.0197748, 0.0133005, 0.00928294, 0.00580368, 0.00306721, 0.00194873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.692215, 0.715963, 0.735282, 0.75055, 0.762957, 0.784393, 0.803205, 0.811691, 0.828662, 0.860414, 0.911142, 0.956579, 0.980376, 1.02193, 1.04157, 1.08086, 1.16988, 1.20217, 1.23897, 1.28596, 1.32184, 1.36968, 1.40694, 1.43675, 1.4765, 1.54248, 1.61725, 1.67651, 1.78546, 1.89441, 2.00336, 2.1123");
-            values ( \
-              "0.0666134, 0.078405, 0.114355, 0.133149, 0.141875, 0.148891, 0.149752, 0.149585, 0.148285, 0.145114, 0.138678, 0.132063, 0.128034, 0.119236, 0.114041, 0.102462, 0.0738464, 0.0642228, 0.0542481, 0.0429158, 0.0356175, 0.0275705, 0.0223134, 0.0188363, 0.0150563, 0.0101026, 0.00645268, 0.00458189, 0.00224066, 0.00119724, 0.000532051, 0.000338601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.12115, 1.1769, 1.20312, 1.21595, 1.24161, 1.26627, 1.29147, 1.31796, 1.3818, 1.461, 1.56396, 1.67238, 1.78133, 1.83458, 1.89543, 2.11995, 2.18222, 2.29101, 2.34622, 2.40449, 2.47384, 2.5895, 2.64023, 2.72601, 2.83496, 2.9302, 2.98806, 3.09701, 3.20596, 3.3149, 3.42385, 3.5328, 3.75069, 3.96859");
-            values ( \
-              "0.0191124, 0.0879228, 0.124288, 0.136568, 0.150594, 0.155295, 0.156271, 0.155761, 0.152768, 0.148171, 0.141498, 0.133403, 0.122613, 0.115767, 0.10684, 0.0711646, 0.0620436, 0.0478757, 0.0416741, 0.0358256, 0.0297294, 0.0215713, 0.0187129, 0.014591, 0.010624, 0.00799193, 0.00676337, 0.0048411, 0.00351576, 0.00249351, 0.00182465, 0.00127659, 0.000648965, 0.000325734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.266779, 0.270852, 0.273262, 0.283685, 0.29225, 0.295932, 0.298901, 0.304995, 0.310864, 0.316735, 0.320474, 0.328786, 0.334558, 0.338391, 0.344095, 0.3475, 0.353501, 0.359815, 0.367751, 0.375142, 0.383749, 0.3905, 0.404001, 0.408775");
-            values ( \
-              "0.00909875, 0.0134172, 0.0175669, 0.0400764, 0.0545328, 0.0591203, 0.062017, 0.0658021, 0.0666982, 0.0644698, 0.0599896, 0.0429558, 0.0330076, 0.0275104, 0.0208908, 0.0177127, 0.0131318, 0.00954559, 0.0063741, 0.00436551, 0.00283965, 0.00203121, 0.00102738, 0.000876113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.306157, 0.318498, 0.321326, 0.323063, 0.326539, 0.32958, 0.332621, 0.334648, 0.336675, 0.338994, 0.339868, 0.340451, 0.3422, 0.343366, 0.345699, 0.346865, 0.349394, 0.351923, 0.354515, 0.357107, 0.359255, 0.361402, 0.363549, 0.365697, 0.366555, 0.367699, 0.369988, 0.373422, 0.375889, 0.379614, 0.384137, 0.390305, 0.393398, 0.397967, 0.401455, 0.40342, 0.407351, 0.411528, 0.414158, 0.416788, 0.419419, 0.42339, 0.427362, 0.429672, 0.431982, 0.434291, 0.439589, 0.443897, 0.448982, 0.455519");
-            values ( \
-              "0.058716, 0.0612299, 0.0673091, 0.070758, 0.0769984, 0.081224, 0.0848295, 0.0869398, 0.0888383, 0.0907502, 0.0913993, 0.0917345, 0.0926234, 0.0931188, 0.0938762, 0.0941382, 0.0942723, 0.094188, 0.0936131, 0.0927757, 0.0918469, 0.0907622, 0.0895217, 0.0881252, 0.0874006, 0.0860756, 0.0830234, 0.0778964, 0.0738167, 0.0670672, 0.0593801, 0.0496799, 0.0451018, 0.0394094, 0.0354317, 0.0333311, 0.0294336, 0.0256446, 0.0233825, 0.0214833, 0.0197037, 0.0172883, 0.0150553, 0.0138407, 0.0127221, 0.0116996, 0.00978791, 0.00844871, 0.0070813, 0.00562634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.349446, 0.35871, 0.385256, 0.396538, 0.405416, 0.419884, 0.433956, 0.448286, 0.456408, 0.520101, 0.546884, 0.578354, 0.607315, 0.639002, 0.662403");
-            values ( \
-              "0.00990551, 0.0163616, 0.0818264, 0.102152, 0.112079, 0.118995, 0.118925, 0.114411, 0.110172, 0.0472911, 0.0287199, 0.0152597, 0.00828106, 0.00419497, 0.00255887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.458391, 0.536465, 0.548765, 0.561695, 0.574043, 0.593991, 0.611121, 0.653732, 0.67591, 0.734754, 0.763031, 0.809904, 0.857241, 0.892393, 0.923142, 0.9784, 1.02623, 1.07562");
-            values ( \
-              "0.003546, 0.131849, 0.13653, 0.138014, 0.137144, 0.13395, 0.129864, 0.115339, 0.103524, 0.067369, 0.0523183, 0.0328916, 0.019752, 0.0132981, 0.00931391, 0.00487888, 0.00273444, 0.00168864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.707382, 0.734417, 0.750688, 0.761873, 0.77862, 0.798171, 0.813203, 0.827363, 0.850627, 0.87614, 0.926845, 0.980202, 1.03414, 1.07897, 1.18536, 1.25008, 1.30031, 1.3414, 1.37306, 1.43414, 1.50297, 1.56294, 1.64119, 1.74553, 1.85448, 2.07237");
-            values ( \
-              "0.0655518, 0.082492, 0.112643, 0.127753, 0.141498, 0.148333, 0.149593, 0.149477, 0.147735, 0.145059, 0.138648, 0.130758, 0.120061, 0.107856, 0.0739794, 0.0554374, 0.0432662, 0.0349669, 0.0294833, 0.0209722, 0.0141044, 0.00988518, 0.00618407, 0.00322596, 0.00162479, 0.000411568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.16001, 1.1988, 1.22332, 1.24863, 1.26651, 1.28202, 1.30672, 1.33425, 1.39161, 1.47088, 1.55834, 1.62249, 1.68814, 1.79708, 1.85034, 1.91121, 2.13572, 2.19802, 2.30525, 2.36196, 2.4216, 2.49047, 2.60528, 2.65534, 2.74136, 2.85031, 2.91702, 3.00447, 3.11342, 3.22237, 3.44026, 3.65816, 3.985");
-            values ( \
-              "0.0853295, 0.0972493, 0.128963, 0.146976, 0.152805, 0.155064, 0.156239, 0.155709, 0.153115, 0.148554, 0.142965, 0.138496, 0.133368, 0.12265, 0.115726, 0.106877, 0.0711214, 0.0620807, 0.0480165, 0.0416366, 0.0356578, 0.029702, 0.0216124, 0.0187074, 0.0146505, 0.0105963, 0.00870342, 0.00671008, 0.00487236, 0.00346854, 0.00178071, 0.000908931, 0.000365324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.303754, 0.307892, 0.310222, 0.32106, 0.329193, 0.335861, 0.341924, 0.347802, 0.353849, 0.357434, 0.365664, 0.371533, 0.375428, 0.38438, 0.390353, 0.396741, 0.404716, 0.412092, 0.42063, 0.42732, 0.4407, 0.441109");
-            values ( \
-              "0.00915289, 0.013657, 0.0177341, 0.0411004, 0.0544908, 0.0623053, 0.0657053, 0.0668967, 0.064279, 0.0599561, 0.0430661, 0.0329336, 0.0273806, 0.0177525, 0.0131778, 0.00954194, 0.00635758, 0.00436527, 0.00285006, 0.00203813, 0.00104326, 0.00102999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.34283, 0.354551, 0.356419, 0.358136, 0.359852, 0.361569, 0.363285, 0.366393, 0.3695, 0.371572, 0.373644, 0.375715, 0.377697, 0.379538, 0.380389, 0.382091, 0.385371, 0.38865, 0.39193, 0.393938, 0.394942, 0.395946, 0.397954, 0.400379, 0.402804, 0.405049, 0.407295, 0.409541, 0.411786, 0.417528, 0.421555, 0.427576, 0.43151, 0.435443, 0.439377, 0.446047, 0.449375, 0.453813, 0.455292, 0.457409, 0.461641, 0.46513, 0.466502, 0.469248, 0.471994, 0.47474, 0.480231, 0.482977, 0.48468, 0.492409");
-            values ( \
-              "0.0589475, 0.0593934, 0.0636274, 0.0672793, 0.0707036, 0.0739002, 0.0768692, 0.0811687, 0.0848968, 0.0870648, 0.0889789, 0.090639, 0.0919494, 0.0929247, 0.093306, 0.0939372, 0.0940461, 0.0940032, 0.0938086, 0.0933166, 0.0929427, 0.0924834, 0.0913091, 0.0893405, 0.0870277, 0.0845789, 0.081835, 0.0787959, 0.0754616, 0.0652229, 0.058436, 0.0489819, 0.0434272, 0.0386419, 0.034258, 0.0276754, 0.0246397, 0.0213515, 0.0203342, 0.0190083, 0.0164764, 0.0145094, 0.0137652, 0.0124995, 0.0113419, 0.0103534, 0.00857957, 0.00779425, 0.00736486, 0.00554507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.389842, 0.402046, 0.421998, 0.433434, 0.442139, 0.456583, 0.470642, 0.48469, 0.493253, 0.513918, 0.537401, 0.553209, 0.580819, 0.607489, 0.630573, 0.663453, 0.687024, 0.700721");
-            values ( \
-              "0.0129259, 0.0306681, 0.0824674, 0.10285, 0.112283, 0.119194, 0.118924, 0.114579, 0.110096, 0.0918943, 0.0653151, 0.0503271, 0.0302691, 0.0177953, 0.0110257, 0.00546006, 0.00325283, 0.00260559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.526578, 0.552602, 0.55586, 0.559115, 0.562373, 0.565629, 0.568886, 0.572143, 0.575621, 0.579099, 0.582577, 0.586056, 0.592568, 0.599078, 0.605227, 0.608301, 0.611376, 0.616176, 0.620977, 0.625777, 0.630578, 0.635419, 0.640261, 0.649943, 0.656914, 0.663888, 0.677499, 0.691112, 0.695118, 0.700727, 0.713113, 0.731871, 0.750629, 0.781334, 0.795285, 0.80643, 0.812927, 0.825921, 0.839221, 0.847632, 0.856044, 0.864455, 0.876229, 0.894588, 0.907762, 0.924042, 0.937183, 0.952617, 0.960333, 0.972367");
-            values ( \
-              "0.101387, 0.108844, 0.114284, 0.119224, 0.122855, 0.126074, 0.12888, 0.131274, 0.13317, 0.134733, 0.135964, 0.136862, 0.137194, 0.137272, 0.137112, 0.136947, 0.136725, 0.136168, 0.135506, 0.134739, 0.133867, 0.132881, 0.131777, 0.129276, 0.127218, 0.125025, 0.12035, 0.115158, 0.113289, 0.110387, 0.103422, 0.091894, 0.0801277, 0.0618234, 0.0546745, 0.0493441, 0.046394, 0.0408396, 0.0356253, 0.0324968, 0.0298346, 0.0273337, 0.0241622, 0.0196133, 0.0167916, 0.0140554, 0.0121433, 0.0101907, 0.00932254, 0.00810618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.739501, 0.765612, 0.788831, 0.804644, 0.816544, 0.8374, 0.851729, 0.865301, 0.888353, 0.914036, 0.95547, 0.983284, 1.0181, 1.07203, 1.09612, 1.11689, 1.22325, 1.25102, 1.28804, 1.33822, 1.37923, 1.41082, 1.45857, 1.47203, 1.49893, 1.54091, 1.60085, 1.62691, 1.67904, 1.78329, 1.89223, 2.00118, 2.11013");
-            values ( \
-              "0.0397814, 0.0703887, 0.114142, 0.133408, 0.141854, 0.148676, 0.149731, 0.149472, 0.147805, 0.145022, 0.139876, 0.136049, 0.13072, 0.120101, 0.113868, 0.10785, 0.0739798, 0.0656621, 0.0554188, 0.0432625, 0.0349787, 0.029505, 0.0226258, 0.0209723, 0.0179904, 0.0141008, 0.00988388, 0.00846464, 0.00618591, 0.0032288, 0.00162635, 0.000816838, 0.000412018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.19875, 1.24597, 1.26916, 1.28638, 1.31022, 1.31949, 1.33802, 1.36365, 1.39968, 1.51419, 1.61356, 1.72564, 1.83458, 1.88776, 1.94852, 2.17312, 2.23525, 2.34399, 2.45805, 2.52729, 2.64275, 2.69332, 2.77916, 2.88811, 2.95451, 3.04145, 3.15039, 3.25934, 3.47724, 3.69513, 4.02197");
-            values ( \
-              "0.0825747, 0.111004, 0.136335, 0.146961, 0.154072, 0.155089, 0.156141, 0.155936, 0.154617, 0.148151, 0.141768, 0.133394, 0.122621, 0.11576, 0.10688, 0.0711553, 0.0620963, 0.0478865, 0.0357722, 0.0297379, 0.0215963, 0.018696, 0.0146205, 0.0106018, 0.00871775, 0.00673426, 0.00486547, 0.00348787, 0.00179736, 0.000924084, 0.000352335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.390687, 0.395798, 0.407891, 0.415991, 0.422659, 0.428727, 0.434607, 0.440476, 0.444247, 0.452459, 0.458352, 0.462261, 0.471178, 0.477146, 0.483551, 0.491536, 0.498908, 0.507432, 0.514108, 0.527462, 0.528915");
-            values ( \
-              "0.00919674, 0.0154551, 0.0408905, 0.0545855, 0.0621025, 0.0658382, 0.066724, 0.0644933, 0.0599443, 0.0430967, 0.0329203, 0.0273414, 0.0177649, 0.0131918, 0.0095447, 0.00635752, 0.00436075, 0.00284858, 0.00204316, 0.0010432, 0.000996288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.428238, 0.439485, 0.443253, 0.447021, 0.45079, 0.454319, 0.457847, 0.459611, 0.461375, 0.463043, 0.46471, 0.465822, 0.468045, 0.470161, 0.472277, 0.474425, 0.476573, 0.478721, 0.480869, 0.483018, 0.485167, 0.487315, 0.489464, 0.490307, 0.491431, 0.493678, 0.49705, 0.49965, 0.504078, 0.508507, 0.51544, 0.517405, 0.519371, 0.521336, 0.525267, 0.527232, 0.530893, 0.535427, 0.536531, 0.539845, 0.544264, 0.546473, 0.548682, 0.552426, 0.555228, 0.558029, 0.560707, 0.565939, 0.573249, 0.579816");
-            values ( \
-              "0.0501349, 0.054711, 0.0631677, 0.0707483, 0.0774507, 0.0824306, 0.0864222, 0.088148, 0.0896936, 0.0909891, 0.0921019, 0.0927328, 0.0937365, 0.0938302, 0.0937984, 0.0936379, 0.0933481, 0.0929289, 0.0923805, 0.0915845, 0.090612, 0.0894628, 0.088137, 0.087418, 0.0861213, 0.0831354, 0.0781169, 0.073799, 0.0657764, 0.0583421, 0.0476219, 0.0448509, 0.0422318, 0.0398417, 0.0353621, 0.0332725, 0.0296893, 0.0254061, 0.024505, 0.0219645, 0.0190055, 0.0176531, 0.0163853, 0.0144304, 0.0130278, 0.0117435, 0.0107001, 0.00893956, 0.00694915, 0.00537199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.476799, 0.512299, 0.528641, 0.543096, 0.557155, 0.571208, 0.579734, 0.600511, 0.639849, 0.667231, 0.694815, 0.7173, 0.749779, 0.772951, 0.810149");
-            values ( \
-              "0.00299329, 0.0902379, 0.112205, 0.119161, 0.118882, 0.114575, 0.110106, 0.0918088, 0.0502234, 0.0303322, 0.0175036, 0.0109765, 0.00548461, 0.00329588, 0.00151533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.598222, 0.614981, 0.62966, 0.6407, 0.646322, 0.657568, 0.673221, 0.68629, 0.698524, 0.724184, 0.751038, 0.778262, 0.800269, 0.854537, 0.887098, 0.913076, 0.934774, 0.951584, 0.98174, 0.994924, 1.01663, 1.04749, 1.0671, 1.10351, 1.15205, 1.24036, 1.34676");
-            values ( \
-              "0.02981, 0.0529841, 0.0886682, 0.109663, 0.117974, 0.128982, 0.136555, 0.137747, 0.13726, 0.132682, 0.125523, 0.115174, 0.103521, 0.070047, 0.0525687, 0.0408146, 0.032773, 0.0274282, 0.0197415, 0.0170615, 0.013329, 0.00933819, 0.00742613, 0.00483911, 0.00269343, 0.000835068, 0.000196267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.828654, 0.853977, 0.877048, 0.892191, 0.904699, 0.924646, 0.939426, 0.953438, 0.9764, 1.00216, 1.04287, 1.07286, 1.10622, 1.1602, 1.18399, 1.20488, 1.31138, 1.33896, 1.37574, 1.42624, 1.46772, 1.49973, 1.5469, 1.56015, 1.58666, 1.62877, 1.68883, 1.75009, 1.79684, 1.89033, 1.99928, 2.10823, 2.21717");
-            values ( \
-              "0.0426406, 0.0709588, 0.114493, 0.133116, 0.14196, 0.148606, 0.149716, 0.14951, 0.147805, 0.145042, 0.139963, 0.135839, 0.130723, 0.120092, 0.113936, 0.107891, 0.0739782, 0.0657142, 0.0555321, 0.0432841, 0.034911, 0.029377, 0.0226008, 0.0209716, 0.0180323, 0.0141215, 0.00989297, 0.00686827, 0.00517785, 0.00289962, 0.00145841, 0.000734198, 0.000369014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.28492, 1.32193, 1.357, 1.38187, 1.40735, 1.43228, 1.45888, 1.52413, 1.60208, 1.70504, 1.81346, 1.92241, 1.97564, 2.03647, 2.26101, 2.32324, 2.43206, 2.54562, 2.61495, 2.73058, 2.78128, 2.86707, 2.97602, 3.04234, 3.12915, 3.2381, 3.34705, 3.56494, 3.78284, 4.10968");
-            values ( \
-              "0.0881736, 0.09345, 0.136365, 0.150261, 0.155291, 0.156288, 0.155766, 0.152707, 0.148173, 0.141498, 0.133401, 0.122616, 0.11576, 0.106857, 0.0711536, 0.062067, 0.0478648, 0.0358035, 0.0297451, 0.02159, 0.0186954, 0.0146106, 0.0106055, 0.00872403, 0.00674317, 0.00486098, 0.00349555, 0.00180439, 0.000930769, 0.000346143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.599378, 0.604416, 0.616001, 0.624163, 0.630836, 0.636908, 0.642792, 0.648723, 0.652366, 0.66079, 0.670177, 0.679499, 0.691749, 0.707044, 0.722578, 0.731103");
-            values ( \
-              "0.00925458, 0.0162619, 0.0409028, 0.0544606, 0.062147, 0.0656908, 0.0667818, 0.0643378, 0.0600324, 0.0427917, 0.0277051, 0.0176491, 0.00954206, 0.00437471, 0.00201445, 0.0016104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.626825, 0.630209, 0.635417, 0.651989, 0.658363, 0.66304, 0.672349, 0.681043, 0.689638, 0.698226, 0.728641, 0.743823, 0.75293, 0.762209, 0.773511, 0.783836, 0.803691, 0.821, 0.823546");
-            values ( \
-              "0.00961178, 0.0118351, 0.0218236, 0.0628114, 0.0749582, 0.082202, 0.0910621, 0.0944398, 0.0933954, 0.0884417, 0.0418814, 0.0259156, 0.019142, 0.0139464, 0.00941326, 0.00653206, 0.00323632, 0.00172456, 0.00162383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.683308, 0.729084, 0.738524, 0.752987, 0.767048, 0.781103, 0.789569, 0.796552, 0.81052, 0.83375, 0.85001, 0.876878, 0.906636, 0.933776, 0.947576, 0.967903, 0.995007, 1.01358");
-            values ( \
-              "0.000518566, 0.101581, 0.112137, 0.119118, 0.118886, 0.114559, 0.11014, 0.105213, 0.0916815, 0.0653724, 0.0499912, 0.0304766, 0.0168286, 0.00953811, 0.00711299, 0.00459211, 0.00251257, 0.00184705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.793321, 0.871049, 0.883418, 0.896348, 0.908695, 0.928644, 0.94577, 0.988383, 1.01056, 1.0694, 1.09768, 1.14455, 1.19189, 1.22704, 1.25779, 1.31305, 1.36087, 1.41026");
-            values ( \
-              "0.0039412, 0.131822, 0.136539, 0.138019, 0.137148, 0.133951, 0.129865, 0.115338, 0.103521, 0.0673713, 0.0523199, 0.0328943, 0.0197498, 0.0132958, 0.0093162, 0.00487676, 0.00273693, 0.00168873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.04113, 1.06387, 1.08204, 1.09664, 1.1175, 1.12338, 1.13513, 1.14939, 1.16328, 1.1873, 1.21201, 1.26271, 1.31602, 1.36993, 1.39577, 1.42531, 1.53686, 1.56756, 1.62698, 1.67345, 1.70568, 1.76985, 1.79892, 1.85706, 1.90392, 1.9557, 2.02473, 2.13368, 2.24263, 2.46052");
-            values ( \
-              "0.0556773, 0.0708611, 0.106517, 0.127391, 0.143483, 0.145677, 0.148483, 0.149606, 0.149469, 0.147679, 0.145055, 0.138641, 0.130755, 0.120095, 0.11339, 0.104663, 0.0692176, 0.0604043, 0.0452847, 0.0356502, 0.0300013, 0.0209824, 0.0177617, 0.0126524, 0.00957454, 0.00702909, 0.00462465, 0.00232586, 0.00117676, 0.000301868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.50416, 1.55042, 1.56848, 1.58963, 1.6162, 1.63429, 1.64911, 1.67876, 1.76299, 1.91316, 2.01397, 2.08928, 2.14154, 2.24606, 2.47154, 2.64045, 2.76057, 2.82863, 2.94202, 3.07737, 3.18632, 3.34225, 3.4512, 3.56015, 3.77804, 3.89153");
-            values ( \
-              "0.105342, 0.117844, 0.136566, 0.148775, 0.155099, 0.156068, 0.156176, 0.155454, 0.151174, 0.141729, 0.134288, 0.127488, 0.121655, 0.107153, 0.0712781, 0.0482157, 0.0354623, 0.029571, 0.021597, 0.0146662, 0.0106334, 0.00670232, 0.00484377, 0.00347076, 0.00178813, 0.00148881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.0734, 1.08084, 1.0922, 1.10073, 1.10761, 1.11383, 1.1198, 1.12589, 1.12811, 1.14261, 1.15253, 1.16212, 1.17299, 1.18619, 1.19548, 1.20786, 1.21137");
-            values ( \
-              "0.00763726, 0.0164776, 0.0387387, 0.0524995, 0.0606513, 0.064408, 0.0658394, 0.0635504, 0.0613243, 0.0344109, 0.0214176, 0.0134042, 0.00774109, 0.00395942, 0.00249112, 0.00133711, 0.00126998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.12053, 1.13328, 1.13723, 1.14119, 1.14316, 1.14491, 1.14667, 1.14842, 1.15192, 1.15367, 1.15551, 1.15726, 1.15839, 1.16066, 1.16279, 1.16491, 1.16708, 1.16925, 1.17142, 1.17359, 1.17576, 1.17792, 1.18009, 1.18253, 1.18359, 1.18519, 1.18731, 1.1905, 1.19836, 1.20404, 1.20757, 1.20968, 1.21392, 1.21499, 1.21606, 1.21928, 1.22178, 1.22607, 1.22978, 1.23402, 1.23826, 1.24037, 1.24421, 1.24834, 1.25155, 1.25638, 1.26063, 1.26327, 1.26854, 1.2726");
-            values ( \
-              "0.0522784, 0.0564868, 0.0646934, 0.0721224, 0.0755442, 0.0781846, 0.0806021, 0.0827186, 0.0864398, 0.0880445, 0.0895451, 0.0907742, 0.0914577, 0.0925633, 0.0927297, 0.0927685, 0.0926763, 0.0924511, 0.0920929, 0.0916017, 0.0908627, 0.0899447, 0.0888477, 0.0873838, 0.0863021, 0.0843524, 0.0814692, 0.0766235, 0.0629475, 0.0537237, 0.0485054, 0.045569, 0.0402978, 0.0377057, 0.0347227, 0.0329724, 0.0312553, 0.0278489, 0.0245592, 0.0213708, 0.0185965, 0.0173246, 0.0152352, 0.01315, 0.0116454, 0.00989455, 0.00855688, 0.00781028, 0.00649118, 0.00562714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.17976, 1.21168, 1.22028, 1.22974, 1.23574, 1.24374, 1.25117, 1.26032, 1.27442, 1.28316, 1.30338, 1.3338, 1.35864, 1.37978, 1.40654, 1.43584, 1.46839, 1.50558, 1.50561");
-            values ( \
-              "0.00489486, 0.0816192, 0.0977078, 0.109619, 0.11426, 0.117798, 0.118939, 0.118362, 0.114288, 0.109795, 0.0921594, 0.0587404, 0.0378656, 0.0253169, 0.0147148, 0.00792268, 0.00392335, 0.00167346, 0.00167263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.30903, 1.32773, 1.34497, 1.35879, 1.37431, 1.38568, 1.40302, 1.41098, 1.43665, 1.4635, 1.47686, 1.49236, 1.5184, 1.57518, 1.62134, 1.66038, 1.68646, 1.70951, 1.74024, 1.76326, 1.78887, 1.82301, 1.8913, 1.93176");
-            values ( \
-              "0.0198923, 0.0543299, 0.095038, 0.118081, 0.132015, 0.136471, 0.137785, 0.137216, 0.132605, 0.12545, 0.120937, 0.114435, 0.100229, 0.0653632, 0.0425257, 0.0285355, 0.0214848, 0.0166347, 0.0117179, 0.00896683, 0.00665419, 0.00444386, 0.00188411, 0.00130075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.53692, 1.60309, 1.62067, 1.63665, 1.65355, 1.66943, 1.6932, 1.75963, 1.82226, 1.8762, 1.92105, 2.02741, 2.0922, 2.18339, 2.21499, 2.27619, 2.34507, 2.40501, 2.4832, 2.58745, 2.6964, 2.7356");
-            values ( \
-              "0.0190589, 0.127199, 0.141554, 0.1475, 0.149579, 0.149441, 0.147739, 0.13988, 0.130716, 0.120107, 0.10785, 0.0739797, 0.0554202, 0.0349786, 0.0295039, 0.0209722, 0.0141008, 0.00988424, 0.0061861, 0.00322852, 0.0016265, 0.00140805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.9982, 2.03514, 2.06343, 2.07428, 2.096, 2.12264, 2.13671, 2.15279, 2.18496, 2.26882, 2.41899, 2.51981, 2.59506, 2.64737, 2.75199, 2.97742, 3.03798, 3.14629, 3.26634, 3.33443, 3.44785, 3.49682, 3.58322, 3.69217, 3.75954, 3.84805, 3.957, 4.06595, 4.28384, 4.50174, 4.82858");
-            values ( \
-              "0.0739109, 0.0876211, 0.126349, 0.136419, 0.14908, 0.155078, 0.155981, 0.156178, 0.155463, 0.151184, 0.141738, 0.134287, 0.127492, 0.121655, 0.107138, 0.0712712, 0.0624288, 0.0482142, 0.0354678, 0.0295739, 0.021597, 0.0187805, 0.0146654, 0.0106329, 0.00871831, 0.00670296, 0.00484421, 0.00347111, 0.00178832, 0.000919081, 0.000351451" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00756524, 0.00757903, 0.00759383, 0.00760639, 0.00761503, 0.00762013", \
-            "0.00917691, 0.009191, 0.0092076, 0.00922278, 0.0092338, 0.00924053", \
-            "0.0101178, 0.0101294, 0.0101449, 0.0101609, 0.0101736, 0.0101818", \
-            "0.0106549, 0.0106617, 0.010672, 0.0106845, 0.010696, 0.010704", \
-            "0.0109736, 0.0109716, 0.0109707, 0.0109724, 0.0109764, 0.0109805", \
-            "0.0111808, 0.0111634, 0.0111395, 0.0111143, 0.0110944, 0.0110829" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0104561, 0.0104686, 0.0104844, 0.0104993, 0.0105104, 0.0105172", \
-            "0.0107676, 0.0107774, 0.0107916, 0.0108067, 0.0108187, 0.0108263", \
-            "0.0104125, 0.0103937, 0.0103727, 0.0103543, 0.0103415, 0.0103337", \
-            "0.0101805, 0.0101152, 0.0100383, 0.00996205, 0.00990186, 0.00986149", \
-            "0.010422, 0.010266, 0.0100715, 0.00988167, 0.00972852, 0.00963422", \
-            "0.0105438, 0.0105073, 0.0105172, 0.010272, 0.00993677, 0.0097155" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.205339, 0.210575, 0.218274, 0.227298, 0.230921, 0.238167, 0.242349, 0.251399, 0.259318, 0.265881, 0.272425, 0.288673, 0.294915, 0.302705, 0.308826, 0.317684");
-            values ( \
-              "-0.0142354, -0.0179738, -0.0323021, -0.0434546, -0.0466086, -0.0521367, -0.0543858, -0.0579733, -0.0595672, -0.0592076, -0.0534584, -0.0190956, -0.0108123, -0.0050169, -0.00265556, -0.00114459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.229463, 0.235705, 0.251921, 0.264844, 0.270806, 0.281294, 0.292901, 0.300366, 0.304836, 0.313907, 0.322983, 0.338376, 0.346347, 0.355446, 0.361973, 0.366058, 0.374228, 0.390325, 0.390567");
-            values ( \
-              "-0.0196304, -0.0265549, -0.0560036, -0.0691994, -0.0734279, -0.0794821, -0.0853104, -0.0882063, -0.0891895, -0.0886089, -0.0789445, -0.0428222, -0.0274753, -0.0156359, -0.0101874, -0.0077332, -0.00437774, -0.00126447, -0.00125239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.269867, 0.286967, 0.297361, 0.309841, 0.324736, 0.346603, 0.35879, 0.375917, 0.38958, 0.403241, 0.416956, 0.435445, 0.446471, 0.457261, 0.471645, 0.484909, 0.494233, 0.51288, 0.541768, 0.576684");
-            values ( \
-              "-0.000463239, -0.038689, -0.0580114, -0.0750941, -0.0888311, -0.105169, -0.113099, -0.121151, -0.123733, -0.120516, -0.105016, -0.0666455, -0.0469676, -0.0321227, -0.0186436, -0.0110127, -0.00757658, -0.00337938, -0.000896915, -0.000152868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.34839, 0.442276, 0.455911, 0.47185, 0.482096, 0.502588, 0.512624, 0.526006, 0.542429, 0.550936, 0.567951, 0.573871, 0.585551, 0.599422, 0.632315, 0.644811, 0.668353, 0.681174, 0.695825, 0.712631, 0.737897, 0.771586, 0.797566");
-            values ( \
-              "-0.00152075, -0.112054, -0.12341, -0.134622, -0.140446, -0.149309, -0.152211, -0.154653, -0.1555, -0.154664, -0.150033, -0.146969, -0.137951, -0.120619, -0.0737736, -0.0591087, -0.0376175, -0.029117, -0.0214149, -0.0149846, -0.00864378, -0.00403653, -0.00255131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.561899, 0.58472, 0.604148, 0.662303, 0.681837, 0.696529, 0.719965, 0.739869, 0.780732, 0.82084, 0.860952, 0.864467, 0.876518, 0.889836, 0.90398, 0.925806, 1.00631, 1.03088, 1.06776, 1.09123, 1.11856, 1.15499, 1.17646, 1.20935, 1.25322, 1.33713, 1.42103, 1.50493");
-            values ( \
-              "-0.0614337, -0.0646314, -0.0849471, -0.136182, -0.149516, -0.157565, -0.167331, -0.173042, -0.179191, -0.179424, -0.17474, -0.174026, -0.171295, -0.167456, -0.16213, -0.150286, -0.0855062, -0.0686894, -0.0483055, -0.0382012, -0.0288397, -0.0195568, -0.0155312, -0.0108578, -0.00664782, -0.00242901, -0.000880215, -0.000318327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.935083, 0.986144, 1.05462, 1.07361, 1.11068, 1.15988, 1.2014, 1.23766, 1.2991, 1.34396, 1.39146, 1.46922, 1.50294, 1.5564, 1.5914, 1.6481, 1.7365, 1.82604, 1.86684, 1.92078, 1.96893, 2.03313, 2.11047, 2.15814, 2.24204, 2.32595, 2.40985, 2.49376, 2.66157");
-            values ( \
-              "-0.0817331, -0.0871321, -0.141537, -0.154119, -0.172592, -0.186988, -0.193026, -0.195186, -0.195332, -0.193436, -0.190231, -0.182667, -0.177935, -0.167619, -0.157708, -0.135544, -0.0976921, -0.0660636, -0.0545575, -0.0419236, -0.0329325, -0.0236286, -0.0157188, -0.0121775, -0.0077039, -0.00484641, -0.0030475, -0.00190629, -0.000745737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.218582, 0.233488, 0.239408, 0.244735, 0.252568, 0.255569, 0.266634, 0.274982, 0.278399, 0.282698, 0.286757, 0.302787, 0.308092, 0.311969, 0.31628, 0.319646, 0.326378, 0.338364, 0.352791, 0.39851");
-            values ( \
-              "-0.00794687, -0.0345103, -0.041615, -0.0464089, -0.0522978, -0.0538292, -0.0580297, -0.0593323, -0.0591093, -0.0572024, -0.0525676, -0.0189774, -0.011775, -0.00810133, -0.0052984, -0.00377146, -0.00186258, -0.00049359, -0.000157071, -5.68784e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.243879, 0.250583, 0.262643, 0.271621, 0.284752, 0.295134, 0.309619, 0.31884, 0.327991, 0.337115, 0.35256, 0.36053, 0.3696, 0.376177, 0.388524, 0.404487, 0.423086, 0.446814");
-            values ( \
-              "-0.0191769, -0.0289838, -0.0512978, -0.0623118, -0.0730683, -0.0789272, -0.0857711, -0.0887487, -0.0880576, -0.0787623, -0.04268, -0.0274155, -0.0156463, -0.0101691, -0.00434626, -0.00127609, -0.000334941, -9.86333e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.278771, 0.333633, 0.361486, 0.376722, 0.390931, 0.404633, 0.41832, 0.432051, 0.461668, 0.47237, 0.486617, 0.49535, 0.509524, 0.528422, 0.534947");
-            values ( \
-              "-0.00312734, -0.0838943, -0.104715, -0.114475, -0.120845, -0.123576, -0.12033, -0.104956, -0.0467617, -0.0320745, -0.0187065, -0.0132781, -0.00748861, -0.00334787, -0.00278069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.384072, 0.418443, 0.430738, 0.470594, 0.483607, 0.496973, 0.520944, 0.543715, 0.566154, 0.588578, 0.596906, 0.60511, 0.647352, 0.660115, 0.682246, 0.70439, 0.721255, 0.738583, 0.751824, 0.778307, 0.8133");
-            values ( \
-              "-0.0267808, -0.0747659, -0.0882077, -0.122231, -0.131702, -0.139724, -0.149885, -0.154717, -0.154618, -0.147222, -0.141468, -0.133196, -0.0740414, -0.0590653, -0.0387028, -0.0246931, -0.0172879, -0.0119371, -0.00894764, -0.00493596, -0.00251594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.57274, 0.598183, 0.617575, 0.674396, 0.693223, 0.711349, 0.735091, 0.754853, 0.795788, 0.835927, 0.879485, 0.891178, 0.904718, 0.919098, 0.940925, 1.02143, 1.04602, 1.08293, 1.10636, 1.13365, 1.17004, 1.19159, 1.22461, 1.26865, 1.35255, 1.43645, 1.52036");
-            values ( \
-              "-0.0496056, -0.0634849, -0.083324, -0.132927, -0.146426, -0.156834, -0.16686, -0.172686, -0.178966, -0.179334, -0.173984, -0.171358, -0.167492, -0.162096, -0.150268, -0.0855129, -0.0686835, -0.0482873, -0.0381993, -0.02885, -0.0195751, -0.0155318, -0.0108433, -0.00662595, -0.002421, -0.000877326, -0.000317266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.942893, 0.996706, 1.08756, 1.11489, 1.14736, 1.17423, 1.21502, 1.25214, 1.31491, 1.35599, 1.40603, 1.48377, 1.51778, 1.57099, 1.60484, 1.66259, 1.76592, 1.83534, 1.87458, 1.93318, 1.98766, 2.0603, 2.10514, 2.15548, 2.22259, 2.30649, 2.3904, 2.4743, 2.49964");
-            values ( \
-              "-0.073068, -0.0836475, -0.152311, -0.167084, -0.17946, -0.186341, -0.192505, -0.194989, -0.195158, -0.193466, -0.190253, -0.182605, -0.177883, -0.167611, -0.158075, -0.135589, -0.0918491, -0.0676911, -0.0563714, -0.0423705, -0.0322354, -0.0220953, -0.0174412, -0.0133497, -0.00929137, -0.00585269, -0.00368491, -0.00230525, -0.00213063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.245983, 0.272161, 0.277074, 0.284917, 0.287796, 0.292157, 0.298993, 0.304705, 0.307508, 0.311245, 0.314135, 0.317988, 0.335921, 0.34401, 0.349361, 0.353707, 0.362399, 0.370492");
-            values ( \
-              "-0.000885065, -0.0419788, -0.046376, -0.0522399, -0.0537185, -0.0557184, -0.0579705, -0.0590848, -0.059272, -0.0589418, -0.0577479, -0.0541658, -0.0177527, -0.00835255, -0.00492793, -0.00316558, -0.00124628, -0.00065356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.273858, 0.289754, 0.297241, 0.302316, 0.310891, 0.322039, 0.337348, 0.351198, 0.360358, 0.369492, 0.39292, 0.401988, 0.408572, 0.420935, 0.436725, 0.44028");
-            values ( \
-              "-0.00660171, -0.0421827, -0.054853, -0.0604137, -0.0684537, -0.0758645, -0.0836909, -0.0886177, -0.0879914, -0.0786769, -0.0274012, -0.0156447, -0.0101644, -0.00434074, -0.00129689, -0.00119527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.311758, 0.366186, 0.394185, 0.409444, 0.423697, 0.437378, 0.451076, 0.464816, 0.494473, 0.505143, 0.519341, 0.528122, 0.542366, 0.561357, 0.567808");
-            values ( \
-              "-0.00360179, -0.083753, -0.104564, -0.114328, -0.120757, -0.123465, -0.120273, -0.104891, -0.0467025, -0.0320734, -0.0187468, -0.0132839, -0.00747332, -0.0033195, -0.00276285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.412734, 0.444532, 0.463043, 0.472284, 0.503095, 0.52955, 0.553577, 0.576358, 0.598816, 0.621259, 0.629514, 0.637754, 0.692743, 0.714907, 0.737132, 0.75398, 0.771241, 0.784423, 0.810786, 0.835274");
-            values ( \
-              "-0.0148879, -0.0664147, -0.0878924, -0.0958312, -0.121793, -0.139426, -0.149683, -0.154568, -0.154514, -0.14715, -0.141472, -0.133196, -0.0591283, -0.0387252, -0.0246684, -0.0172775, -0.0119477, -0.00896762, -0.0049615, -0.0032519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.594509, 0.649356, 0.704892, 0.722316, 0.743887, 0.767906, 0.787482, 0.828491, 0.868662, 0.912152, 0.937252, 0.951868, 0.981101, 1.05993, 1.08591, 1.13152, 1.15591, 1.18842, 1.22298, 1.27858, 1.35272, 1.39056");
-            values ( \
-              "-0.0230186, -0.0819402, -0.130223, -0.143298, -0.156136, -0.166451, -0.1723, -0.178769, -0.179205, -0.173936, -0.16752, -0.162059, -0.145128, -0.0813464, -0.0643011, -0.041233, -0.0321627, -0.0228335, -0.015764, -0.00855754, -0.00359231, -0.00255731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.983366, 1.04407, 1.12115, 1.1515, 1.20175, 1.22095, 1.24655, 1.286, 1.34951, 1.38839, 1.4399, 1.51763, 1.55187, 1.60487, 1.63783, 1.69639, 1.80103, 1.86871, 1.90691, 1.96666, 2.02231, 2.09651, 2.13974, 2.1885, 2.25352, 2.33742, 2.42133, 2.50523, 2.75695");
-            values ( \
-              "-0.0871774, -0.0924869, -0.151985, -0.168223, -0.185, -0.188762, -0.192223, -0.194948, -0.195138, -0.193547, -0.190228, -0.182614, -0.177842, -0.167604, -0.158356, -0.135622, -0.09138, -0.0678512, -0.0567908, -0.0424534, -0.0321087, -0.0218221, -0.0173718, -0.0134086, -0.00944176, -0.00594855, -0.00374537, -0.00234348, -0.000579816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.326932, 0.33402, 0.345139, 0.354423, 0.359469, 0.367899, 0.376316, 0.384849, 0.388624, 0.391341, 0.394964, 0.413335, 0.418784, 0.426492, 0.432549, 0.443012, 0.449614");
-            values ( \
-              "-0.00308812, -0.0188857, -0.0374241, -0.0467074, -0.0504658, -0.0551244, -0.057964, -0.0592909, -0.0589333, -0.0578218, -0.054603, -0.0175907, -0.0106774, -0.00502325, -0.00267137, -0.000850265, -0.000536498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.349125, 0.365247, 0.372237, 0.382856, 0.394838, 0.405236, 0.415169, 0.429021, 0.438183, 0.447318, 0.470749, 0.479819, 0.486402, 0.498764, 0.506211");
-            values ( \
-              "-0.000734613, -0.0377752, -0.0506794, -0.0635461, -0.0730687, -0.0788842, -0.0837538, -0.0886588, -0.0879278, -0.0787128, -0.0274003, -0.0156417, -0.0101633, -0.00434088, -0.00290033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.393961, 0.423881, 0.435024, 0.449688, 0.480072, 0.487202, 0.50146, 0.515158, 0.528866, 0.542612, 0.572307, 0.582945, 0.597093, 0.605922, 0.620236, 0.63932, 0.648372");
-            values ( \
-              "-0.000270522, -0.0606263, -0.0751595, -0.0882627, -0.10996, -0.114209, -0.120662, -0.123389, -0.12022, -0.104851, -0.0466408, -0.0320688, -0.0187829, -0.0132848, -0.00745249, -0.00329597, -0.00251836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.480167, 0.541238, 0.549907, 0.581866, 0.608283, 0.632285, 0.655056, 0.677506, 0.699942, 0.708234, 0.716457, 0.771456, 0.793603, 0.815787, 0.832644, 0.849938, 0.863149, 0.889571, 0.910043");
-            values ( \
-              "-0.000464295, -0.0871512, -0.0950948, -0.122042, -0.139583, -0.149783, -0.154634, -0.154556, -0.147176, -0.14146, -0.133188, -0.0590945, -0.0387131, -0.024681, -0.0172831, -0.0119429, -0.00895821, -0.00494929, -0.00351847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.663427, 0.692008, 0.729132, 0.784689, 0.824427, 0.848324, 0.867947, 0.908919, 0.949075, 0.992605, 1.03227, 1.0541, 1.1592, 1.19614, 1.2468, 1.30477, 1.33791, 1.38211, 1.39926");
-            values ( \
-              "-0.013987, -0.0391026, -0.0815061, -0.130212, -0.156507, -0.166707, -0.172482, -0.178883, -0.179245, -0.173952, -0.162071, -0.15025, -0.0686773, -0.0482696, -0.0288595, -0.0155323, -0.0108295, -0.00660517, -0.00574848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.05622, 1.10593, 1.13421, 1.19508, 1.23881, 1.28107, 1.3018, 1.32945, 1.3658, 1.42927, 1.46826, 1.5197, 1.59743, 1.63167, 1.68466, 1.71762, 1.77619, 1.88084, 1.9485, 1.98669, 2.04646, 2.10212, 2.17634, 2.21955, 2.26829, 2.33327, 2.41718, 2.50108, 2.58499, 2.8367");
-            values ( \
-              "-0.0719765, -0.0812573, -0.100093, -0.148578, -0.17172, -0.184986, -0.189003, -0.192612, -0.194958, -0.195162, -0.193561, -0.190215, -0.182627, -0.17784, -0.167602, -0.158359, -0.135622, -0.0913731, -0.0678535, -0.0567964, -0.0424549, -0.0321072, -0.0218182, -0.0173712, -0.0134098, -0.0094438, -0.0059502, -0.00374608, -0.00234427, -0.000579751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.505687, 0.518807, 0.52496, 0.534376, 0.542323, 0.549572, 0.556462, 0.563114, 0.569007, 0.571175, 0.573803, 0.576679, 0.592757, 0.59809, 0.601976, 0.606287, 0.609651, 0.616381, 0.627651, 0.629586");
-            values ( \
-              "-0.00261594, -0.0267003, -0.0364958, -0.0461227, -0.0518123, -0.0554376, -0.0577258, -0.0588985, -0.0587064, -0.0578137, -0.0558516, -0.0523779, -0.0189399, -0.0117314, -0.00807433, -0.00528426, -0.00376855, -0.00186239, -0.000549268, -0.000496871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.536591, 0.554181, 0.566139, 0.577495, 0.587898, 0.602513, 0.61176, 0.620935, 0.630083, 0.653529, 0.662596, 0.66919, 0.68157, 0.689957");
-            values ( \
-              "-0.0176382, -0.0493638, -0.0638719, -0.0727919, -0.0786394, -0.0855384, -0.0884836, -0.0878623, -0.0785936, -0.027382, -0.015641, -0.0101586, -0.00433493, -0.00271042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.58342, 0.602618, 0.615169, 0.61989, 0.629205, 0.664979, 0.672116, 0.686389, 0.700092, 0.713809, 0.727562, 0.745993, 0.75729, 0.767902, 0.78201, 0.790879, 0.80525, 0.82441, 0.852265, 0.88616");
-            values ( \
-              "-0.00832459, -0.0499084, -0.0697436, -0.0749589, -0.0840866, -0.109903, -0.114074, -0.120614, -0.12328, -0.120195, -0.104781, -0.0667269, -0.0465865, -0.0320617, -0.0188095, -0.0132836, -0.00743435, -0.00327807, -0.000890318, -0.000198995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.666706, 0.738975, 0.769769, 0.78315, 0.796159, 0.820181, 0.842901, 0.865343, 0.88777, 0.896101, 0.904305, 0.913902, 0.94655, 0.959314, 0.968918, 0.981446, 0.991616, 1.00359, 1.02046, 1.02785, 1.03778, 1.05103, 1.07751, 1.12815, 1.18793");
-            values ( \
-              "-0.00188471, -0.0962642, -0.122242, -0.131973, -0.139719, -0.149905, -0.154686, -0.154611, -0.147191, -0.141451, -0.13318, -0.12052, -0.0740367, -0.0590611, -0.0493717, -0.0387006, -0.0315803, -0.0246923, -0.0172875, -0.0147709, -0.011937, -0.00894765, -0.00493621, -0.0013964, -0.000287606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.868156, 0.915645, 0.971855, 1.0113, 1.03522, 1.05483, 1.09581, 1.13597, 1.1795, 1.20466, 1.21917, 1.241, 1.34611, 1.38306, 1.4337, 1.47002, 1.49168, 1.52486, 1.5691, 1.62517");
-            values ( \
-              "-0.0353952, -0.0816402, -0.130376, -0.156443, -0.166661, -0.17244, -0.178855, -0.179227, -0.173942, -0.167493, -0.162064, -0.150245, -0.0686757, -0.0482643, -0.028863, -0.0195985, -0.0155329, -0.0108254, -0.00659887, -0.00380037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.24962, 1.31066, 1.39026, 1.42114, 1.47038, 1.49042, 1.51713, 1.55488, 1.61746, 1.65911, 1.70877, 1.78652, 1.82048, 1.87374, 1.90781, 1.96536, 2.06838, 2.13823, 2.17773, 2.23605, 2.29024, 2.36249, 2.40773, 2.45845, 2.52609, 2.60999, 2.6939, 2.7778, 3.02951");
-            values ( \
-              "-0.0782603, -0.0915111, -0.152449, -0.168818, -0.185055, -0.188943, -0.192477, -0.194967, -0.195179, -0.193462, -0.190213, -0.18262, -0.177879, -0.1676, -0.157997, -0.135573, -0.0919624, -0.06765, -0.0562649, -0.0423497, -0.0322674, -0.0221632, -0.0174592, -0.013336, -0.00925403, -0.00583004, -0.00366959, -0.00229665, -0.000567628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.904264, 0.921421, 0.933661, 0.941761, 0.949203, 0.956167, 0.961276, 0.966263, 0.969598, 0.976304, 0.992808, 0.99918, 1.00709, 1.01332, 1.02405, 1.05271, 1.11176");
-            values ( \
-              "-0.00405237, -0.0316037, -0.0446202, -0.0507664, -0.054505, -0.0569082, -0.0579947, -0.0583258, -0.0577853, -0.0525498, -0.0188275, -0.0106036, -0.00490252, -0.00258061, -0.000789529, -0.000107304, -3.57125e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.934302, 0.972893, 0.985012, 0.995669, 1.01041, 1.01979, 1.02906, 1.03831, 1.06188, 1.07096, 1.07766, 1.0903, 1.09861");
-            values ( \
-              "-0.00298679, -0.0614104, -0.0712913, -0.0773983, -0.084287, -0.0874482, -0.0869842, -0.0780059, -0.0272062, -0.0155655, -0.0100499, -0.00421848, -0.00265338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.00446, 1.00634, 1.01559, 1.02823, 1.03671, 1.05008, 1.06151, 1.07882, 1.08709, 1.09428, 1.10866, 1.12247, 1.13628, 1.15009, 1.16829, 1.18047, 1.19051, 1.19494, 1.20379, 1.2109, 1.21613, 1.22776, 1.23486, 1.24904, 1.25577");
-            values ( \
-              "-0.0194177, -0.0209747, -0.0340669, -0.0557179, -0.0677735, -0.0816239, -0.0904818, -0.10292, -0.108652, -0.112962, -0.119699, -0.122608, -0.119685, -0.10444, -0.0670584, -0.0455188, -0.0319496, -0.0271659, -0.0193777, -0.0146829, -0.0119468, -0.00770523, -0.00575886, -0.00318025, -0.00256432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.09764, 1.18905, 1.20742, 1.21574, 1.22548, 1.23372, 1.24519, 1.25777, 1.28065, 1.30317, 1.32568, 1.32944, 1.33374, 1.34209, 1.35184, 1.37135, 1.38459, 1.39748, 1.41467, 1.42096, 1.426, 1.43272, 1.44397, 1.45965, 1.4659, 1.4784, 1.50339, 1.5504, 1.60523");
-            values ( \
-              "-0.000201361, -0.105918, -0.121475, -0.127789, -0.133932, -0.138802, -0.144273, -0.149076, -0.15403, -0.154139, -0.146827, -0.144594, -0.141398, -0.133127, -0.120363, -0.0917484, -0.0738937, -0.0588158, -0.042413, -0.0374884, -0.0339076, -0.0296002, -0.0234705, -0.0168442, -0.0147476, -0.0112632, -0.00645796, -0.00205565, -0.000505857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.31978, 1.42268, 1.46107, 1.48498, 1.5046, 1.54558, 1.58575, 1.62927, 1.65443, 1.66895, 1.69078, 1.7959, 1.83285, 1.88347, 1.94146, 1.97469, 2.01898, 2.06532");
-            values ( \
-              "-0.0413126, -0.131317, -0.156484, -0.166624, -0.172459, -0.178813, -0.179242, -0.173931, -0.167489, -0.162055, -0.150241, -0.0686731, -0.048258, -0.0288655, -0.0155325, -0.0108203, -0.00659158, -0.00428132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.70879, 1.76792, 1.84373, 1.87218, 1.90389, 1.93026, 1.97099, 2.00813, 2.06889, 2.11596, 2.16201, 2.2398, 2.27318, 2.32697, 2.36331, 2.41881, 2.50658, 2.55902, 2.59696, 2.63762, 2.69145, 2.73934, 2.80319, 2.88105, 2.92905, 3.01295, 3.09686, 3.18076, 3.26467, 3.43248");
-            values ( \
-              "-0.0921651, -0.0941585, -0.152747, -0.167928, -0.179822, -0.186465, -0.192589, -0.195014, -0.195221, -0.19326, -0.190225, -0.182603, -0.177962, -0.167602, -0.157251, -0.135477, -0.0978854, -0.0782602, -0.0659585, -0.0545016, -0.041904, -0.0329604, -0.0236929, -0.0157189, -0.0121562, -0.00769035, -0.00483791, -0.00304216, -0.00190298, -0.000744459" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00694502, 0.00695551, 0.00696736, 0.00697784, 0.00698532, 0.00698971", \
-            "0.00783705, 0.00784447, 0.00785401, 0.00786325, 0.00787031, 0.00787476", \
-            "0.00832394, 0.00832826, 0.00833455, 0.00834159, 0.00834761, 0.0083517", \
-            "0.00860556, 0.00860717, 0.00860997, 0.00861392, 0.00861806, 0.00862134", \
-            "0.008765, 0.00876545, 0.00876625, 0.00876759, 0.00876957, 0.00877165", \
-            "0.00886595, 0.00886644, 0.00886714, 0.008868, 0.00886898, 0.00887012" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00905747, 0.00906857, 0.00908209, 0.00909487, 0.00910453, 0.00911029", \
-            "0.00988448, 0.00989379, 0.00990588, 0.00991772, 0.00992669, 0.00993228", \
-            "0.0104207, 0.0104305, 0.0104425, 0.0104543, 0.0104631, 0.0104687", \
-            "0.0108176, 0.0108081, 0.0107979, 0.0107895, 0.0107841, 0.010781", \
-            "0.0112357, 0.0111684, 0.0110863, 0.0110079, 0.0109474, 0.0109087", \
-            "0.0118373, 0.0117059, 0.0114956, 0.011268, 0.0110918, 0.0109795" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.140886, 0.158364, 0.189775, 0.249697, 0.371161, 0.621862", \
-            "0.143843, 0.161172, 0.192583, 0.252515, 0.373807, 0.624502", \
-            "0.151152, 0.168515, 0.199862, 0.259774, 0.380959, 0.631472", \
-            "0.170377, 0.18768, 0.219011, 0.278905, 0.400123, 0.650538", \
-            "0.208248, 0.225993, 0.257851, 0.318145, 0.439738, 0.690139", \
-            "0.248628, 0.26744, 0.300026, 0.360615, 0.482294, 0.733976" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0438575, 0.0623238, 0.10076, 0.182862, 0.357813, 0.72341", \
-            "0.0436596, 0.0621745, 0.100468, 0.18264, 0.357504, 0.723237", \
-            "0.0434878, 0.0620648, 0.100392, 0.182363, 0.357191, 0.722887", \
-            "0.0435028, 0.0619507, 0.100249, 0.182273, 0.357015, 0.722625", \
-            "0.0455975, 0.0643822, 0.102071, 0.18338, 0.357302, 0.722601", \
-            "0.0509509, 0.0684065, 0.105152, 0.185659, 0.359955, 0.724405" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.138023, 0.155512, 0.18544, 0.237095, 0.331647, 0.517251", \
-            "0.142959, 0.160518, 0.190358, 0.24191, 0.336297, 0.521858", \
-            "0.154803, 0.172373, 0.202238, 0.253698, 0.348005, 0.533416", \
-            "0.18085, 0.198506, 0.228522, 0.280073, 0.374406, 0.559692", \
-            "0.230364, 0.248638, 0.280527, 0.333866, 0.429124, 0.614786", \
-            "0.314018, 0.332646, 0.365052, 0.422137, 0.523214, 0.713804" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0387926, 0.0545356, 0.0827844, 0.136814, 0.247914, 0.485746", \
-            "0.0387925, 0.0544902, 0.0827554, 0.136785, 0.247748, 0.485732", \
-            "0.0387753, 0.0544808, 0.0827439, 0.136777, 0.247891, 0.485714", \
-            "0.038625, 0.054358, 0.08266, 0.136682, 0.247852, 0.485557", \
-            "0.0405386, 0.0573868, 0.0863366, 0.139549, 0.249366, 0.486087", \
-            "0.0451635, 0.0611964, 0.0912969, 0.148613, 0.260469, 0.493188" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0825063, 0.100669, 0.127036, 0.13482, 0.141803, 0.148442, 0.155042, 0.161639, 0.173343, 0.18316, 0.19134, 0.205177, 0.219337, 0.235904, 0.247014");
-            values ( \
-              "9.66149e-05, 0.00743883, 0.0462879, 0.0536577, 0.0577095, 0.059427, 0.0585012, 0.0526369, 0.0325708, 0.0207913, 0.0142116, 0.00716189, 0.0034346, 0.00147944, 0.00100627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0869339, 0.104877, 0.124088, 0.136712, 0.147144, 0.156722, 0.166063, 0.17539, 0.180113, 0.201182, 0.213801, 0.227111, 0.244842, 0.261989, 0.283767, 0.303027, 0.305901");
-            values ( \
-              "0.000163139, 0.016012, 0.0543653, 0.0726787, 0.0819411, 0.0862357, 0.0867253, 0.0834415, 0.079128, 0.0482094, 0.0331423, 0.0217065, 0.0118908, 0.00649435, 0.00300715, 0.00149106, 0.0013978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0, 0.000197886, 0.00428502, 0.0151734, 0.0867531, 0.108207, 0.144383, 0.167043, 0.181901, 0.196825, 0.212409, 0.28171, 0.302113, 0.329627, 0.358857, 0.390111, 0.42583, 0.435195");
-            values ( \
-              "0.024871, 0.00890052, 0.00947519, 1e-22, 2e-22, 0.0264199, 0.0964309, 0.111747, 0.113442, 0.110723, 0.103074, 0.0377776, 0.0256385, 0.0146636, 0.00789204, 0.00401461, 0.00177515, 0.00164341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.10745, 0.128881, 0.14034, 0.149973, 0.166284, 0.178009, 0.198683, 0.214791, 0.230158, 0.257264, 0.283227, 0.303802, 0.370645, 0.409317, 0.443883, 0.467612, 0.487361, 0.510552, 0.541473, 0.565933, 0.603335, 0.653205, 0.735891, 0.818576");
-            values ( \
-              "0.0541609, 0.0783713, 0.0998068, 0.112829, 0.126649, 0.131521, 0.134418, 0.133367, 0.131013, 0.124693, 0.115468, 0.105053, 0.0645839, 0.0451758, 0.0318688, 0.024743, 0.019928, 0.0153697, 0.010758, 0.0080975, 0.00521088, 0.00283737, 0.000959709, 0.000326217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.107027, 0.127398, 0.14373, 0.159379, 0.176405, 0.196204, 0.21812, 0.24051, 0.270364, 0.299012, 0.376466, 0.407291, 0.435905, 0.493133, 0.607924, 0.696214, 0.745353, 0.777956, 0.829689, 0.886801, 0.953845, 1.0304, 1.0915, 1.17419, 1.25687, 1.30297");
-            values ( \
-              "0.0624101, 0.0787935, 0.110558, 0.129604, 0.141099, 0.146987, 0.148639, 0.14784, 0.14527, 0.142006, 0.131203, 0.125669, 0.119366, 0.103201, 0.0667597, 0.0438011, 0.0339186, 0.0284133, 0.0212695, 0.0153066, 0.010274, 0.00649471, 0.00446741, 0.0026731, 0.00159119, 0.00135801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.126882, 0.195482, 0.217143, 0.225343, 0.241744, 0.273454, 0.315535, 0.384565, 0.487818, 0.573668, 0.629641, 0.712327, 0.746039, 0.806216, 0.877957, 1.07524, 1.20025, 1.30193, 1.41458, 1.49727, 1.55737, 1.64418, 1.71652, 1.7992, 1.93658, 2.01926, 2.10195, 2.18463, 2.35, 2.59806, 2.84612");
-            values ( \
-              "0.146109, 0.153342, 0.156666, 0.157089, 0.157456, 0.156785, 0.154872, 0.150892, 0.144296, 0.138227, 0.133776, 0.125931, 0.121978, 0.114014, 0.10306, 0.0714661, 0.0537962, 0.0417655, 0.0310071, 0.0247352, 0.0208523, 0.0162619, 0.0132146, 0.010316, 0.00684083, 0.00537119, 0.00414291, 0.00326065, 0.00197681, 0.000893183, 0.000447918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.10717, 0.117915, 0.129371, 0.135051, 0.140816, 0.148537, 0.155478, 0.162086, 0.168661, 0.175232, 0.180939, 0.186899, 0.190137, 0.196612, 0.201655, 0.205181, 0.211348, 0.218343, 0.223145, 0.232832, 0.238599, 0.250133, 0.271599, 0.276064");
-            values ( \
-              "0.00200224, 0.0124785, 0.0314083, 0.0397195, 0.0467411, 0.0540252, 0.0580041, 0.0596849, 0.0586999, 0.0527736, 0.0423648, 0.0326571, 0.0283131, 0.020936, 0.0165567, 0.0140245, 0.0102659, 0.00729339, 0.00566328, 0.00345815, 0.00264571, 0.00144083, 0.000531132, 0.000462106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.111506, 0.120676, 0.137515, 0.150059, 0.159056, 0.163201, 0.168727, 0.172499, 0.177527, 0.182775, 0.188722, 0.198244, 0.214597, 0.228496, 0.244202, 0.257625, 0.275304, 0.295591, 0.313314, 0.326886");
-            values ( \
-              "0.013898, 0.0212765, 0.0548671, 0.0729716, 0.0812165, 0.0837337, 0.0860366, 0.0868374, 0.0869754, 0.086082, 0.0833666, 0.0730593, 0.0479308, 0.0316105, 0.019104, 0.0120371, 0.0064412, 0.00318416, 0.00163336, 0.00115852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.110327, 0.125321, 0.136004, 0.147803, 0.163604, 0.16569, 0.169863, 0.178207, 0.186894, 0.195898, 0.210653, 0.220122, 0.226368, 0.238859, 0.264324, 0.270092, 0.277782, 0.293163, 0.304392, 0.319621, 0.331645, 0.341391, 0.353412, 0.370373, 0.388414, 0.402298, 0.430066, 0.483329, 0.546834");
-            values ( \
-              "0.0102041, 0.0344156, 0.0593824, 0.0823708, 0.102133, 0.104086, 0.106873, 0.111178, 0.113262, 0.113467, 0.110975, 0.106984, 0.103194, 0.0924378, 0.0648253, 0.0591665, 0.0520713, 0.0395667, 0.0321346, 0.0238829, 0.0187331, 0.0153423, 0.0119348, 0.0083088, 0.00564937, 0.00417774, 0.00224792, 0.00059686, 0.000112608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.109431, 0.131281, 0.14622, 0.163458, 0.179753, 0.19143, 0.211993, 0.228269, 0.243478, 0.269676, 0.282702, 0.296597, 0.31634, 0.382776, 0.411784, 0.434202, 0.450163, 0.468791, 0.500914, 0.515929, 0.54596, 0.569422, 0.595348, 0.629915, 0.699049, 0.781735, 0.86442");
-            values ( \
-              "0.0117366, 0.0517826, 0.0863125, 0.113074, 0.126974, 0.131796, 0.134703, 0.133617, 0.131197, 0.12513, 0.120974, 0.115525, 0.10553, 0.065211, 0.0501333, 0.0402927, 0.0342578, 0.0281601, 0.0198372, 0.0167755, 0.0118906, 0.00905915, 0.00669001, 0.00442644, 0.00185137, 0.000621088, 0.00021399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.129085, 0.156801, 0.174454, 0.190074, 0.209705, 0.231131, 0.255209, 0.288002, 0.338657, 0.391857, 0.426332, 0.449277, 0.495166, 0.610303, 0.690443, 0.760957, 0.810172, 0.856939, 0.935734, 0.980501, 1.02579, 1.08617, 1.16886, 1.25154, 1.31376");
-            values ( \
-              "0.101191, 0.109883, 0.131207, 0.141299, 0.147264, 0.148814, 0.147923, 0.145058, 0.138811, 0.13094, 0.124586, 0.119406, 0.106712, 0.0699917, 0.0481875, 0.0334827, 0.0255809, 0.0196305, 0.0123931, 0.0095078, 0.00724906, 0.0050048, 0.0030049, 0.00178193, 0.00143088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.129136, 0.156383, 0.169814, 0.190309, 0.197053, 0.20476, 0.220175, 0.238796, 0.269542, 0.301536, 0.381966, 0.514939, 0.574533, 0.642843, 0.725529, 0.759233, 0.819401, 0.891135, 1.0884, 1.21339, 1.29608, 1.35311, 1.42775, 1.51043, 1.54881, 1.65728, 1.72963, 1.81232, 1.94971, 2.0324, 2.11508, 2.19777, 2.36314, 2.61119, 2.85925");
-            values ( \
-              "0.107043, 0.111894, 0.130655, 0.147051, 0.149947, 0.152529, 0.155692, 0.157349, 0.157541, 0.156384, 0.15195, 0.143406, 0.139179, 0.133814, 0.125945, 0.122001, 0.114021, 0.103076, 0.0714788, 0.0538085, 0.0438836, 0.037885, 0.0310162, 0.0247261, 0.022179, 0.0162749, 0.0132059, 0.0103274, 0.00685146, 0.00536107, 0.00415329, 0.0032505, 0.00196661, 0.000903426, 0.000437556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.14256, 0.151323, 0.164286, 0.173754, 0.180395, 0.183483, 0.1876, 0.18979, 0.192387, 0.194908, 0.199949, 0.204422, 0.208408, 0.215566, 0.218948, 0.225201, 0.230397, 0.234588, 0.240176, 0.244613, 0.248981, 0.25422, 0.261778, 0.270914, 0.278146, 0.29261, 0.316522, 0.346152");
-            values ( \
-              "0.00420338, 0.0135501, 0.0347414, 0.0470768, 0.0533847, 0.0556179, 0.0578774, 0.0587169, 0.0594279, 0.0597593, 0.0593412, 0.0568596, 0.0519223, 0.0389373, 0.033795, 0.0255088, 0.0200655, 0.0164593, 0.0125363, 0.0100564, 0.00807849, 0.00620234, 0.00420977, 0.00266965, 0.00187404, 0.000915049, 0.000292945, 8.25592e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.132866, 0.148629, 0.170144, 0.183333, 0.189029, 0.193679, 0.203244, 0.212533, 0.221824, 0.226611, 0.24763, 0.256388, 0.264351, 0.27589, 0.286678, 0.301342, 0.309469, 0.320784, 0.33587, 0.351056");
-            values ( \
-              "0.000616874, 0.0113943, 0.0539316, 0.0730332, 0.0787948, 0.0822683, 0.0865575, 0.0870747, 0.0837036, 0.0792785, 0.0482258, 0.037303, 0.0291549, 0.0200499, 0.013902, 0.00832083, 0.00624294, 0.00418163, 0.00242474, 0.00157878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.147882, 0.158348, 0.176637, 0.188499, 0.198362, 0.213827, 0.228617, 0.243406, 0.259014, 0.325828, 0.352099, 0.37397, 0.402897, 0.434687, 0.462329, 0.465043");
-            values ( \
-              "0.0289034, 0.0350188, 0.0756657, 0.0939328, 0.103925, 0.112373, 0.113835, 0.111194, 0.103378, 0.0395299, 0.0239319, 0.0153398, 0.00831458, 0.00418828, 0.0022626, 0.00217733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.147789, 0.16483, 0.179487, 0.196702, 0.213941, 0.224559, 0.244125, 0.263151, 0.276477, 0.302649, 0.315408, 0.329018, 0.351207, 0.40699, 0.434097, 0.463631, 0.481033, 0.516236, 0.533406, 0.552705, 0.572979, 0.601428, 0.635263, 0.680376, 0.763061, 0.845747");
-            values ( \
-              "0.0275197, 0.0538755, 0.0873296, 0.11398, 0.128116, 0.132248, 0.135002, 0.133651, 0.131417, 0.125315, 0.121218, 0.115884, 0.104507, 0.0701966, 0.0553564, 0.0416377, 0.0349423, 0.0240367, 0.0199085, 0.0160441, 0.0127351, 0.00914902, 0.00615186, 0.00356461, 0.00120956, 0.000409071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.147868, 0.184487, 0.196037, 0.212407, 0.223311, 0.243471, 0.263738, 0.289445, 0.321081, 0.370123, 0.418017, 0.459358, 0.482187, 0.527846, 0.643145, 0.723311, 0.75685, 0.793695, 0.842822, 0.87658, 0.916126, 0.968853, 1.02838, 1.11848, 1.20116, 1.28385, 1.34244");
-            values ( \
-              "0.0274759, 0.100695, 0.119022, 0.135466, 0.141731, 0.147621, 0.149126, 0.148132, 0.145208, 0.139186, 0.132171, 0.12466, 0.119492, 0.106826, 0.0700151, 0.0481892, 0.0406734, 0.033503, 0.0256023, 0.0211742, 0.0168788, 0.0123718, 0.00867745, 0.00502172, 0.00301077, 0.00178935, 0.00128036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.165489, 0.202815, 0.222638, 0.232713, 0.243458, 0.26495, 0.271709, 0.285229, 0.312267, 0.366717, 0.425247, 0.544313, 0.613585, 0.675389, 0.758075, 0.791727, 0.851874, 0.923594, 1.1208, 1.24577, 1.32845, 1.38557, 1.46018, 1.54286, 1.60287, 1.68955, 1.76193, 1.84461, 1.89938, 1.98207, 2.06475, 2.14744, 2.23013, 2.3955, 2.64355, 2.89161");
-            values ( \
-              "0.115698, 0.130959, 0.147023, 0.151242, 0.154262, 0.15725, 0.157619, 0.157884, 0.157465, 0.154907, 0.151449, 0.143719, 0.138773, 0.133878, 0.125983, 0.122044, 0.114048, 0.103101, 0.071495, 0.053823, 0.0438867, 0.0378777, 0.0310199, 0.0247204, 0.0208712, 0.0162862, 0.0132047, 0.0103352, 0.00879812, 0.00685694, 0.00535637, 0.00415849, 0.00324557, 0.00196157, 0.00090846, 0.000432422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.224294, 0.232163, 0.242468, 0.250012, 0.254419, 0.262092, 0.269001, 0.275586, 0.282139, 0.28869, 0.29529, 0.299801, 0.30615, 0.311176, 0.319254, 0.324907, 0.330387, 0.337695, 0.34621, 0.35185, 0.363131, 0.38391, 0.388312");
-            values ( \
-              "0.00613362, 0.0136377, 0.0310462, 0.041969, 0.0470487, 0.0543703, 0.0581867, 0.0599391, 0.0588162, 0.0529312, 0.0407971, 0.0335171, 0.0251847, 0.0200009, 0.0135486, 0.0102692, 0.00780869, 0.00534289, 0.00347034, 0.0026545, 0.00147965, 0.000551152, 0.000481527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.224259, 0.235307, 0.247221, 0.251422, 0.259177, 0.263804, 0.273056, 0.276232, 0.280018, 0.283612, 0.290799, 0.292881, 0.297046, 0.302289, 0.311795, 0.324554, 0.328299, 0.333292, 0.342983, 0.351014, 0.356662, 0.367002, 0.371765, 0.381291, 0.389663, 0.401331, 0.416889, 0.44588, 0.480281");
-            values ( \
-              "0.0056413, 0.0235616, 0.048196, 0.0557889, 0.067862, 0.0735175, 0.0819942, 0.0839015, 0.0855699, 0.0867139, 0.087341, 0.0871691, 0.0861762, 0.0835682, 0.0732228, 0.0529479, 0.0477697, 0.0413707, 0.0307003, 0.023801, 0.0197621, 0.0139141, 0.0117842, 0.00844307, 0.00626284, 0.00413868, 0.00237161, 0.000769163, 0.000219279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.231737, 0.24396, 0.249993, 0.261564, 0.273125, 0.279221, 0.291415, 0.294603, 0.300979, 0.309349, 0.324533, 0.334121, 0.339742, 0.350985, 0.37807, 0.398506, 0.411398, 0.421425, 0.434795, 0.453194, 0.464143, 0.482581, 0.503631, 0.52036, 0.553819, 0.57748");
-            values ( \
-              "0.0360744, 0.0472215, 0.0612207, 0.0834357, 0.0987264, 0.104473, 0.111354, 0.112475, 0.11359, 0.113917, 0.111028, 0.106905, 0.103401, 0.0938072, 0.0645597, 0.0457845, 0.0361931, 0.0299235, 0.0229875, 0.015816, 0.012585, 0.00848789, 0.00540566, 0.00375256, 0.00175379, 0.00118176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.231634, 0.246044, 0.260283, 0.27724, 0.289273, 0.30503, 0.324341, 0.343776, 0.356921, 0.385623, 0.40934, 0.431711, 0.499135, 0.544247, 0.572416, 0.620698, 0.662716, 0.691709, 0.735718, 0.794396, 0.841379");
-            values ( \
-              "0.039893, 0.0564323, 0.0887734, 0.114329, 0.124921, 0.132416, 0.134994, 0.133636, 0.131544, 0.124592, 0.115979, 0.104497, 0.063519, 0.0415483, 0.0311688, 0.0184376, 0.0114178, 0.00815114, 0.00484334, 0.00234199, 0.00146034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.243236, 0.269214, 0.291266, 0.303719, 0.323743, 0.344079, 0.369833, 0.401404, 0.450414, 0.498334, 0.539549, 0.56242, 0.608162, 0.723325, 0.803478, 0.873831, 0.922937, 0.956706, 0.996264, 1.04901, 1.10848, 1.1985, 1.28119, 1.36387, 1.43615");
-            values ( \
-              "0.102965, 0.109137, 0.134647, 0.142001, 0.147751, 0.149258, 0.148243, 0.14529, 0.139281, 0.132237, 0.124732, 0.119546, 0.106835, 0.0700335, 0.0481982, 0.0335113, 0.0256098, 0.0211783, 0.01688, 0.012371, 0.00867915, 0.00502478, 0.0030121, 0.00179027, 0.00137953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.254893, 0.323335, 0.338449, 0.352494, 0.379891, 0.413297, 0.495368, 0.628289, 0.687501, 0.755959, 0.838645, 0.932389, 1.00409, 1.20124, 1.32615, 1.40884, 1.46606, 1.54063, 1.62332, 1.66162, 1.71209, 1.76977, 1.84219, 1.92487, 1.97974, 2.06243, 2.14511, 2.2278, 2.31048, 2.47586, 2.72391, 2.97197");
-            values ( \
-              "0.148287, 0.15436, 0.156632, 0.157775, 0.157869, 0.156823, 0.152241, 0.143612, 0.13938, 0.13389, 0.126068, 0.114121, 0.103087, 0.0714809, 0.0538088, 0.0439241, 0.0378985, 0.0309969, 0.024741, 0.022166, 0.0192603, 0.0162835, 0.0132222, 0.0103282, 0.00881017, 0.00684681, 0.00536734, 0.00414841, 0.00325566, 0.00197099, 0.000899678, 0.000440433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.37346, 0.403024, 0.408012, 0.411516, 0.415127, 0.419961, 0.432033, 0.438608, 0.446926, 0.454277, 0.461203, 0.468041, 0.474872, 0.48372, 0.487053, 0.490861, 0.497102, 0.500798, 0.505805, 0.514094, 0.518867, 0.528413, 0.533969, 0.543911, 0.557167, 0.564289");
-            values ( \
-              "1e-22, 0.00287728, 0.00578062, 0.0086323, 0.0123009, 0.0183606, 0.0353075, 0.0429574, 0.0506661, 0.0550793, 0.0571779, 0.0566982, 0.0514967, 0.0365892, 0.0317164, 0.0268029, 0.0202038, 0.0170646, 0.0134516, 0.00900488, 0.00718679, 0.00444942, 0.00340904, 0.00211452, 0.00112649, 0.000892155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.402493, 0.414335, 0.447933, 0.45888, 0.468807, 0.47843, 0.488033, 0.494384, 0.519304, 0.532428, 0.555812, 0.566626, 0.589137, 0.617598");
-            values ( \
-              "0.0117017, 0.0155399, 0.0686396, 0.0787458, 0.0834003, 0.0845848, 0.0816454, 0.0761188, 0.0415115, 0.0280507, 0.0129812, 0.00892754, 0.00403941, 0.0019853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.402937, 0.420532, 0.437146, 0.445696, 0.457735, 0.464425, 0.477804, 0.485602, 0.495572, 0.505743, 0.510791, 0.518441, 0.526555, 0.534572, 0.568332, 0.578188, 0.590731, 0.599694, 0.613224, 0.628688, 0.642702, 0.652792, 0.670631, 0.689104, 0.703535, 0.732396, 0.78343, 0.844407");
-            values ( \
-              "0.00993024, 0.028107, 0.0618728, 0.0770073, 0.0933961, 0.0998311, 0.108042, 0.11024, 0.111051, 0.109971, 0.108357, 0.106649, 0.102109, 0.096414, 0.0611212, 0.0519086, 0.0417447, 0.0352939, 0.0273656, 0.0199498, 0.0149628, 0.0122571, 0.00824504, 0.00552834, 0.00416984, 0.00206928, 0.000687207, 5.10769e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.402857, 0.427758, 0.444161, 0.452892, 0.462294, 0.479231, 0.491106, 0.498376, 0.505645, 0.524533, 0.538445, 0.554619, 0.570989, 0.598364, 0.620176, 0.674512, 0.706351, 0.732733, 0.755016, 0.772362, 0.801268, 0.835598, 0.866434, 0.898434, 0.922934, 0.971933, 1.05462, 1.1373");
-            values ( \
-              "0.0104735, 0.045242, 0.0807885, 0.096025, 0.108745, 0.123578, 0.12884, 0.130664, 0.131647, 0.13245, 0.131408, 0.12869, 0.124755, 0.115085, 0.103696, 0.070241, 0.0530074, 0.0410345, 0.0327098, 0.0272233, 0.019849, 0.0134736, 0.00941589, 0.00647937, 0.00484684, 0.00266321, 0.000901775, 0.000303688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.403254, 0.456674, 0.472991, 0.490405, 0.505954, 0.524706, 0.540165, 0.555483, 0.580457, 0.60639, 0.690687, 0.723822, 0.802909, 0.913575, 1.00397, 1.07766, 1.14495, 1.23687, 1.29576, 1.39152, 1.55592");
-            values ( \
-              "0.00965735, 0.105199, 0.125732, 0.138081, 0.143532, 0.146797, 0.147889, 0.147695, 0.14595, 0.143174, 0.131486, 0.125447, 0.104694, 0.0692767, 0.0451992, 0.0307433, 0.0211158, 0.0123556, 0.00870164, 0.00486566, 0.0017584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.431877, 0.468778, 0.489954, 0.505375, 0.531362, 0.554892, 0.580733, 0.619401, 0.732444, 0.834938, 0.917624, 0.993516, 1.05908, 1.14177, 1.38584, 1.50874, 1.59143, 1.66149, 1.73278, 1.85584, 1.93659, 2.01113, 2.09382, 2.19289, 2.33024, 2.49561, 2.66098, 2.90904, 3.1571");
-            values ( \
-              "0.123138, 0.125809, 0.143232, 0.149585, 0.155524, 0.157299, 0.157271, 0.155749, 0.149047, 0.142182, 0.136024, 0.129348, 0.122115, 0.110789, 0.0718705, 0.054403, 0.0443757, 0.0370328, 0.0305874, 0.0217377, 0.0172675, 0.0138994, 0.0109065, 0.00812288, 0.00537613, 0.00325407, 0.00196335, 0.000921715, 0.000426795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.776295, 0.793916, 0.797616, 0.806322, 0.830224, 0.84023, 0.848777, 0.856573, 0.864108, 0.871626, 0.883533, 0.89291, 0.904333, 0.914539, 0.927863, 0.937483, 0.945116, 0.960383, 0.972101");
-            values ( \
-              "0.00285742, 0.00597476, 0.00771193, 0.0135716, 0.0349695, 0.0428372, 0.048251, 0.0513094, 0.052168, 0.0484813, 0.0314175, 0.020981, 0.0125132, 0.00767119, 0.00398998, 0.00251978, 0.00176047, 0.000866748, 0.000591394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.782178, 0.798605, 0.799529, 0.80507, 0.812579, 0.841611, 0.854129, 0.865068, 0.875365, 0.88558, 0.895788, 0.906293, 0.915657, 0.921763, 0.931577, 0.943719, 0.951871, 0.962348, 0.977689, 0.98697, 0.997578, 1.01879, 1.05104, 1.09068");
-            values ( \
-              "0.00844712, 0.0111941, 0.011867, 0.0164697, 0.024294, 0.0586502, 0.0704057, 0.0769093, 0.0796821, 0.0783478, 0.0701234, 0.0550257, 0.0428701, 0.0359509, 0.0267324, 0.0180763, 0.0137751, 0.00963223, 0.00563824, 0.00410629, 0.00281233, 0.0013312, 0.00038334, 0.000105862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.789035, 0.80664, 0.822065, 0.846389, 0.859318, 0.876565, 0.892432, 0.908048, 0.925073, 0.938382, 0.968991, 0.994127, 1.01454, 1.03353, 1.05965, 1.07595, 1.10252, 1.13796, 1.15293");
-            values ( \
-              "0.01993, 0.021213, 0.0409961, 0.0762165, 0.0907217, 0.103179, 0.107477, 0.106963, 0.100276, 0.0894412, 0.0581317, 0.0375819, 0.0255677, 0.0174829, 0.0101459, 0.00718349, 0.00404985, 0.00181552, 0.0014352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.783526, 0.814593, 0.849924, 0.869591, 0.887272, 0.91155, 0.920302, 0.941141, 0.962082, 0.981681, 0.997839, 1.02765, 1.07844, 1.1081, 1.15103, 1.17598, 1.20923, 1.24157, 1.27567, 1.30675, 1.34227, 1.4133, 1.41759");
-            values ( \
-              "0.0111012, 0.0335618, 0.0893116, 0.112263, 0.124046, 0.130369, 0.130599, 0.128885, 0.124932, 0.119462, 0.113287, 0.0975417, 0.0667745, 0.051246, 0.0335453, 0.0257806, 0.0179087, 0.0124665, 0.00847, 0.00590674, 0.00386095, 0.00157274, 0.00151841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.786365, 0.826579, 0.85445, 0.866009, 0.882036, 0.901976, 0.919572, 0.935752, 0.960518, 0.98532, 1.03643, 1.0901, 1.14444, 1.18883, 1.34204, 1.39918, 1.44911, 1.48353, 1.52995, 1.57017, 1.61187, 1.67138, 1.74866, 1.83134, 1.91403, 1.99671, 2.04173");
-            values ( \
-              "0.0187296, 0.0527948, 0.100611, 0.115701, 0.130348, 0.140476, 0.144536, 0.145891, 0.145473, 0.143588, 0.137744, 0.130055, 0.119569, 0.107712, 0.0605344, 0.0459201, 0.0354879, 0.0294843, 0.022751, 0.0180911, 0.0141997, 0.00995557, 0.00624892, 0.00375205, 0.00223414, 0.0013365, 0.00114417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.788893, 0.871773, 0.885378, 0.904735, 0.927162, 0.952929, 0.978752, 1.00523, 1.08039, 1.22076, 1.28331, 1.38445, 1.44084, 1.49393, 1.56471, 1.82077, 1.89339, 1.97608, 2.08625, 2.15058, 2.25247, 2.36767, 2.43503, 2.56614, 2.64883, 2.73151, 2.89688, 3.06226, 3.31031, 3.55837");
-            values ( \
-              "0.0127448, 0.125093, 0.13739, 0.147596, 0.153517, 0.155565, 0.155536, 0.154822, 0.150923, 0.142018, 0.138449, 0.130104, 0.124182, 0.117498, 0.107157, 0.0665244, 0.05635, 0.0460292, 0.0346235, 0.0290963, 0.0219122, 0.0157556, 0.012952, 0.00878994, 0.00685798, 0.00534946, 0.0032396, 0.00195628, 0.000911816, 0.000428183" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00769045, 0.00770756, 0.00772682, 0.00774331, 0.00775495, 0.00776192", \
-            "0.00871914, 0.00873288, 0.00875055, 0.00876825, 0.00878186, 0.00879042", \
-            "0.00929266, 0.00929868, 0.00930854, 0.00932079, 0.00933208, 0.00934013", \
-            "0.009707, 0.00970711, 0.00970846, 0.00971215, 0.00971758, 0.00972274", \
-            "0.0100483, 0.0100475, 0.0100464, 0.0100454, 0.0100456, 0.010047", \
-            "0.0103441, 0.0103436, 0.0103426, 0.0103417, 0.0103404, 0.0103396" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00958749, 0.0096001, 0.00961898, 0.00963985, 0.00965689, 0.00966801", \
-            "0.00959342, 0.00958982, 0.00959074, 0.00959727, 0.00960655, 0.0096143", \
-            "0.00962017, 0.00960924, 0.00959595, 0.00958596, 0.00958242, 0.00958314", \
-            "0.00968903, 0.00968503, 0.00967656, 0.00966362, 0.00965132, 0.00964399", \
-            "0.00994895, 0.00995053, 0.00995185, 0.00995037, 0.00994383, 0.00993474", \
-            "0.0103691, 0.0103576, 0.0103744, 0.0104166, 0.010435, 0.0104448" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.103655, 0.111981, 0.114892, 0.121478, 0.132262, 0.136891, 0.143812, 0.151595, 0.157666, 0.164163, 0.179364, 0.185622, 0.192805, 0.19844, 0.208589, 0.213289");
-            values ( \
-              "-0.01204, -0.0333724, -0.0390996, -0.0475662, -0.0567203, -0.0593703, -0.0624889, -0.0642594, -0.0635031, -0.056069, -0.0198648, -0.0109685, -0.00530472, -0.00289337, -0.000915569, -0.000656659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.105682, 0.111234, 0.116438, 0.123339, 0.129938, 0.142622, 0.154194, 0.163016, 0.171577, 0.180133, 0.1887, 0.207725, 0.214982, 0.226297, 0.234872, 0.244017, 0.254821");
-            values ( \
-              "-0.018086, -0.0400439, -0.0525643, -0.0637663, -0.0715424, -0.0822673, -0.0894462, -0.093344, -0.0952139, -0.0931893, -0.0823067, -0.035142, -0.0227636, -0.0107655, -0.00596208, -0.00314526, -0.0016065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.10887, 0.115605, 0.123127, 0.129019, 0.141402, 0.150668, 0.165808, 0.179745, 0.192995, 0.205971, 0.218938, 0.224677, 0.233492, 0.249097, 0.258603, 0.270291, 0.281976, 0.291107, 0.300697, 0.308063, 0.322794, 0.349345, 0.380815");
-            values ( \
-              "-0.0408523, -0.0585292, -0.074059, -0.0829474, -0.0966543, -0.104742, -0.116248, -0.123771, -0.128539, -0.129453, -0.124897, -0.119512, -0.105067, -0.0704356, -0.0520714, -0.0345318, -0.0222335, -0.0155174, -0.0105739, -0.00782237, -0.00420359, -0.00122745, -0.000285935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.109121, 0.121409, 0.126099, 0.142461, 0.163306, 0.176739, 0.200633, 0.209616, 0.222928, 0.244569, 0.266209, 0.287761, 0.292779, 0.298514, 0.309983, 0.332163, 0.357627, 0.38128, 0.397612, 0.416276, 0.42649, 0.442149, 0.463028, 0.504786, 0.558049");
-            values ( \
-              "-0.0401664, -0.0769073, -0.085966, -0.107438, -0.127747, -0.138132, -0.151619, -0.155174, -0.158892, -0.161698, -0.159749, -0.150938, -0.14731, -0.142008, -0.127556, -0.0937901, -0.0605006, -0.0383307, -0.0274995, -0.0185168, -0.0148878, -0.0106089, -0.00667144, -0.00246967, -0.000624565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.120169, 0.160603, 0.196009, 0.221042, 0.241008, 0.261363, 0.30035, 0.339192, 0.378033, 0.403736, 0.420683, 0.454576, 0.510259, 0.55231, 0.599239, 0.655534, 0.708978, 0.746279, 0.811567, 0.849501");
-            values ( \
-              "-0.114897, -0.132642, -0.160486, -0.172644, -0.178955, -0.182854, -0.186152, -0.184202, -0.178406, -0.17122, -0.164438, -0.142767, -0.0952471, -0.065465, -0.0413497, -0.0229931, -0.0129053, -0.00853684, -0.00400597, -0.00276544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.125266, 0.176877, 0.217641, 0.245067, 0.268967, 0.299554, 0.337518, 0.373953, 0.448408, 0.524663, 0.589951, 0.631076, 0.687702, 0.75299, 0.886893, 0.945559, 1.03624, 1.10073, 1.14693, 1.21222, 1.29425, 1.42483, 1.55541, 1.59377");
-            values ( \
-              "-0.137446, -0.151564, -0.178057, -0.188414, -0.194238, -0.198668, -0.201036, -0.201285, -0.198509, -0.192949, -0.186174, -0.180432, -0.169171, -0.147561, -0.0902483, -0.0695626, -0.0450818, -0.032619, -0.0257567, -0.0181929, -0.0117196, -0.00573097, -0.00277389, -0.00245865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.119511, 0.123567, 0.132744, 0.141039, 0.148235, 0.154858, 0.16115, 0.167245, 0.17336, 0.179441, 0.195032, 0.20123, 0.208493, 0.21419, 0.223042");
-            values ( \
-              "-0.0198818, -0.0236659, -0.0421536, -0.0512813, -0.0568127, -0.0605113, -0.0630347, -0.0643409, -0.0634503, -0.056656, -0.0198364, -0.0110375, -0.00527707, -0.00287512, -0.0011469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.112644, 0.144924, 0.158478, 0.169849, 0.178664, 0.187219, 0.19577, 0.204333, 0.223339, 0.230624, 0.241928, 0.25048, 0.259603, 0.26945");
-            values ( \
-              "-0.00369249, -0.0708706, -0.0824536, -0.0895646, -0.0933889, -0.0952957, -0.0932078, -0.0823554, -0.0351931, -0.0227346, -0.01078, -0.00598731, -0.00313838, -0.00173084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.119196, 0.135807, 0.144591, 0.157085, 0.181385, 0.195314, 0.20856, 0.221533, 0.234496, 0.240298, 0.249052, 0.27438, 0.287432, 0.303905, 0.320736, 0.334304, 0.346493");
-            values ( \
-              "-0.00576994, -0.0694619, -0.0833041, -0.097039, -0.116472, -0.123683, -0.128702, -0.129356, -0.125018, -0.119447, -0.105068, -0.0516901, -0.0325816, -0.0173053, -0.00880528, -0.00498637, -0.00334873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.119322, 0.136706, 0.147611, 0.15465, 0.178602, 0.192235, 0.211619, 0.225108, 0.238403, 0.26004, 0.281676, 0.303227, 0.308258, 0.314007, 0.325507, 0.347563, 0.373143, 0.388057, 0.399087, 0.412918, 0.431361, 0.44194, 0.458145, 0.479751, 0.522964, 0.576687");
-            values ( \
-              "-0.00283019, -0.0770155, -0.0951194, -0.103895, -0.127724, -0.138244, -0.149693, -0.155227, -0.15893, -0.161716, -0.159764, -0.15094, -0.147307, -0.141982, -0.127477, -0.0938887, -0.060447, -0.0454982, -0.0365784, -0.0275904, -0.0186705, -0.014895, -0.0104878, -0.00648473, -0.00229716, -0.000581082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.119317, 0.145141, 0.156479, 0.17735, 0.21148, 0.236457, 0.260095, 0.276766, 0.310107, 0.32709, 0.354505, 0.378868, 0.394165, 0.42476, 0.436042, 0.457609, 0.521221, 0.556018, 0.59877, 0.62192, 0.651053, 0.70261, 0.734032, 0.769943, 0.835231, 0.900519, 0.965808");
-            values ( \
-              "-0.0158661, -0.0955593, -0.111521, -0.134094, -0.160644, -0.172769, -0.179974, -0.182771, -0.186091, -0.186054, -0.184343, -0.181102, -0.177871, -0.169262, -0.164303, -0.152057, -0.0986252, -0.0727791, -0.0483354, -0.0382993, -0.0283271, -0.016261, -0.0116121, -0.00773945, -0.00367682, -0.00166744, -0.000820576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.134732, 0.166143, 0.192214, 0.221196, 0.236851, 0.26816, 0.314905, 0.340958, 0.389295, 0.463747, 0.539995, 0.618991, 0.646406, 0.703034, 0.768322, 0.849938, 0.945899, 0.987439, 1.04283, 1.1258, 1.18533, 1.23516, 1.28544, 1.35073, 1.41602, 1.54659, 1.67717, 1.80775");
-            values ( \
-              "-0.118616, -0.125363, -0.151668, -0.172033, -0.179693, -0.190776, -0.198804, -0.200495, -0.201408, -0.198599, -0.193027, -0.184472, -0.180363, -0.16924, -0.147493, -0.111697, -0.0744657, -0.0615067, -0.0471343, -0.0310924, -0.0227737, -0.0175361, -0.0134212, -0.00939014, -0.00660353, -0.00322656, -0.0015747, -0.000771508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.155459, 0.160856, 0.16809, 0.174007, 0.185516, 0.18859, 0.198469, 0.204572, 0.210676, 0.216751, 0.232335, 0.238523, 0.245794, 0.251497, 0.26161, 0.26567");
-            values ( \
-              "-0.00476595, -0.0240962, -0.0393439, -0.0469818, -0.0568882, -0.0586581, -0.0630727, -0.0643927, -0.0634772, -0.0566841, -0.019841, -0.0110488, -0.00527783, -0.00287253, -0.000899315, -0.00068532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.156237, 0.167577, 0.173596, 0.182257, 0.195999, 0.207291, 0.216104, 0.224657, 0.233205, 0.241768, 0.26077, 0.268062, 0.279363, 0.287909, 0.297026, 0.305425");
-            values ( \
-              "-0.00466926, -0.0484934, -0.0600526, -0.0709739, -0.0827393, -0.0894709, -0.0935237, -0.0952033, -0.0933163, -0.0822688, -0.0352068, -0.0227286, -0.0107816, -0.00598712, -0.00314407, -0.00195812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.157346, 0.168682, 0.176178, 0.182042, 0.194572, 0.203662, 0.218783, 0.232709, 0.245954, 0.258927, 0.27189, 0.277672, 0.28364, 0.286446, 0.301941, 0.311705, 0.318512, 0.32482, 0.33206, 0.341714, 0.347979, 0.35758, 0.370382, 0.395916, 0.425588");
-            values ( \
-              "-0.000460742, -0.0585689, -0.0743719, -0.0830199, -0.0968956, -0.104999, -0.116267, -0.123935, -0.128488, -0.129567, -0.124817, -0.119465, -0.110529, -0.105064, -0.0706604, -0.0518092, -0.0409414, -0.0325899, -0.0248384, -0.0170212, -0.0132731, -0.0090052, -0.00527597, -0.00163987, -0.000411794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.158608, 0.174086, 0.180152, 0.194467, 0.217956, 0.229585, 0.24961, 0.261169, 0.275748, 0.297383, 0.319017, 0.340569, 0.345607, 0.351366, 0.362883, 0.384867, 0.410515, 0.425486, 0.436435, 0.450168, 0.46848, 0.479274, 0.495799, 0.517833, 0.561901, 0.615862");
-            values ( \
-              "-0.0125614, -0.0770665, -0.0881202, -0.106766, -0.129447, -0.138351, -0.150001, -0.154767, -0.158989, -0.161687, -0.159801, -0.150905, -0.147295, -0.141966, -0.127424, -0.0939473, -0.0604132, -0.0454223, -0.0365756, -0.0276446, -0.0187613, -0.0148992, -0.0104174, -0.00637734, -0.00220324, -0.000553066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.159896, 0.182382, 0.202136, 0.228899, 0.248283, 0.270443, 0.279901, 0.292511, 0.313902, 0.352883, 0.391722, 0.430562, 0.456309, 0.473213, 0.507023, 0.56286, 0.604794, 0.625071, 0.651758, 0.675921, 0.708138, 0.733557, 0.761488, 0.798729, 0.864017, 0.929305, 1.05988");
-            values ( \
-              "-0.0318368, -0.0961306, -0.121186, -0.146749, -0.16024, -0.171407, -0.174929, -0.178589, -0.183058, -0.186014, -0.18436, -0.178254, -0.171193, -0.164445, -0.142823, -0.0951863, -0.065496, -0.0539496, -0.0413575, -0.0322959, -0.0229723, -0.0174796, -0.0129107, -0.00854229, -0.00401206, -0.00187032, -0.000402742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.174417, 0.212996, 0.248443, 0.290405, 0.312578, 0.351772, 0.389896, 0.426149, 0.482106, 0.576848, 0.642137, 0.683258, 0.739887, 0.805175, 0.942895, 0.996608, 1.07974, 1.16259, 1.27197, 1.38763, 1.45292, 1.5835, 1.62934");
-            values ( \
-              "-0.129539, -0.136489, -0.166154, -0.186273, -0.192531, -0.198728, -0.201136, -0.201271, -0.199551, -0.192908, -0.186211, -0.180466, -0.16914, -0.147584, -0.0887867, -0.0699253, -0.0470707, -0.0310611, -0.0175001, -0.00942556, -0.00656427, -0.00318888, -0.00275823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.240484, 0.254618, 0.257673, 0.265954, 0.273125, 0.282945, 0.292045, 0.29813, 0.304186, 0.31974, 0.325944, 0.333164, 0.338826, 0.348791, 0.35117");
-            values ( \
-              "-0.000584875, -0.0372939, -0.0422001, -0.0514494, -0.0570406, -0.0622345, -0.0646454, -0.0637023, -0.056848, -0.0198806, -0.0110461, -0.0052942, -0.00289694, -0.000919847, -0.000847245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.24034, 0.261256, 0.269889, 0.283866, 0.294867, 0.303658, 0.312193, 0.320723, 0.329273, 0.349779, 0.357292, 0.366003, 0.374681, 0.384585, 0.391152");
-            values ( \
-              "-0.000157478, -0.0599785, -0.0710554, -0.0831579, -0.0897425, -0.0937288, -0.0954005, -0.0934417, -0.0823778, -0.0322665, -0.0203443, -0.0114449, -0.00632928, -0.0030739, -0.00217587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.240282, 0.270004, 0.274257, 0.291474, 0.306565, 0.320471, 0.333702, 0.346666, 0.359809, 0.365453, 0.374174, 0.399613, 0.412561, 0.428328, 0.446749, 0.461579, 0.471449");
-            values ( \
-              "-0.00503171, -0.0829121, -0.0887404, -0.105464, -0.116253, -0.124281, -0.128404, -0.129825, -0.124648, -0.119445, -0.105087, -0.0514942, -0.0325711, -0.0177919, -0.00848688, -0.00454236, -0.00333776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.250423, 0.262152, 0.274027, 0.29032, 0.317525, 0.341382, 0.360631, 0.369826, 0.385258, 0.404287, 0.411734, 0.420163, 0.42905, 0.446825, 0.484375, 0.505211, 0.524527, 0.550203, 0.575384, 0.593033, 0.625046, 0.651117");
-            values ( \
-              "-0.0406252, -0.0777248, -0.0971713, -0.115693, -0.138773, -0.152055, -0.1585, -0.160329, -0.161757, -0.16031, -0.158473, -0.155248, -0.150471, -0.132793, -0.077529, -0.0531326, -0.0363735, -0.0213541, -0.0124862, -0.00844198, -0.00407835, -0.00253789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.259891, 0.290141, 0.316831, 0.336668, 0.361494, 0.383062, 0.401786, 0.440759, 0.47959, 0.518423, 0.544284, 0.561077, 0.594664, 0.650904, 0.692535, 0.739587, 0.796187, 0.84929, 0.886373, 0.951661, 0.987756");
-            values ( \
-              "-0.116162, -0.12153, -0.146916, -0.160822, -0.172682, -0.179516, -0.183024, -0.18617, -0.184264, -0.178374, -0.171166, -0.164438, -0.143006, -0.0950414, -0.0655683, -0.0413688, -0.022927, -0.0129175, -0.00856455, -0.0040208, -0.0028352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.26953, 0.336465, 0.362005, 0.379774, 0.397947, 0.42801, 0.439935, 0.463786, 0.514334, 0.537568, 0.588708, 0.665022, 0.703471, 0.743975, 0.809263, 0.828127, 0.865855, 0.919337, 1.0062, 1.05861, 1.09684, 1.13724, 1.19078, 1.23836, 1.30181, 1.35576, 1.42798, 1.49326, 1.55855, 1.68913, 1.8197, 1.95028");
-            values ( \
-              "-0.146965, -0.166434, -0.180185, -0.1869, -0.191802, -0.197446, -0.198618, -0.200535, -0.201367, -0.200826, -0.198516, -0.192983, -0.189167, -0.184448, -0.173459, -0.169213, -0.157879, -0.136323, -0.0985274, -0.0785941, -0.0660801, -0.0546801, -0.0419845, -0.0330367, -0.0238299, -0.0178594, -0.012133, -0.00856864, -0.00593504, -0.00287247, -0.00137641, -0.000649695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.433408, 0.444323, 0.445879, 0.447435, 0.448928, 0.451913, 0.453406, 0.455658, 0.45791, 0.460162, 0.462415, 0.462726, 0.463038, 0.464389, 0.465636, 0.46813, 0.472384, 0.475838, 0.47862, 0.480251, 0.481882, 0.483513, 0.485104, 0.486695, 0.488285, 0.489876, 0.491465, 0.493055, 0.494645, 0.496234, 0.497831, 0.499427, 0.501023, 0.50262, 0.50305, 0.503695, 0.505631, 0.505999, 0.507104, 0.508209, 0.510881, 0.513123, 0.516411, 0.518952, 0.519906, 0.521337, 0.523722, 0.52563, 0.527889, 0.530087");
-            values ( \
-              "-8.40889e-05, -0.0215989, -0.0252987, -0.028624, -0.0311728, -0.0358149, -0.0379082, -0.0407791, -0.0433045, -0.0454842, -0.0473183, -0.0479686, -0.0483677, -0.0497608, -0.0508831, -0.0529119, -0.0561502, -0.058187, -0.0595332, -0.0602001, -0.0607767, -0.061263, -0.0613888, -0.0614023, -0.0613035, -0.0610923, -0.0607689, -0.0603333, -0.0597855, -0.0591255, -0.0581317, -0.0569371, -0.0555418, -0.0539457, -0.0533522, -0.0521652, -0.0482438, -0.0462002, -0.0443033, -0.0419688, -0.035284, -0.0301111, -0.0234575, -0.0189846, -0.01736, -0.0152142, -0.0122891, -0.0102947, -0.0083212, -0.00655773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.433085, 0.456189, 0.46626, 0.479695, 0.4874, 0.501442, 0.515079, 0.519588, 0.526247, 0.529225, 0.551038, 0.559002, 0.567621, 0.576477, 0.586599, 0.594009");
-            values ( \
-              "-0.000991752, -0.0527198, -0.0669911, -0.0788743, -0.0830034, -0.0878095, -0.0894949, -0.0880336, -0.082827, -0.0778771, -0.0290167, -0.0180114, -0.0101168, -0.00567503, -0.00264205, -0.00183846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.433105, 0.464538, 0.47045, 0.478094, 0.497831, 0.526664, 0.533334, 0.54679, 0.560244, 0.567926, 0.574919, 0.599634, 0.613685, 0.629803, 0.647699, 0.662109, 0.677088");
-            values ( \
-              "-0.000900171, -0.0755786, -0.0836302, -0.0917418, -0.105202, -0.120495, -0.123075, -0.12558, -0.121961, -0.114999, -0.103655, -0.0528736, -0.0323686, -0.017508, -0.00856432, -0.00468476, -0.00280397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.432991, 0.470062, 0.477508, 0.496594, 0.537691, 0.549405, 0.564537, 0.586582, 0.608433, 0.630276, 0.634927, 0.640241, 0.65087, 0.684413, 0.695856, 0.714439, 0.726313, 0.740066, 0.758404, 0.769207, 0.785751, 0.807809, 0.851926, 0.905855");
-            values ( \
-              "-0.000166033, -0.0909682, -0.0999109, -0.116001, -0.14423, -0.150026, -0.155395, -0.159328, -0.158238, -0.149939, -0.146751, -0.142108, -0.129452, -0.079951, -0.0654813, -0.0462119, -0.0365594, -0.0276318, -0.0187525, -0.0148921, -0.0104113, -0.00637063, -0.0022006, -0.000552484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.43284, 0.480569, 0.487948, 0.53603, 0.562592, 0.584308, 0.603578, 0.647476, 0.68176, 0.713582, 0.737555, 0.763457, 0.806027, 0.890823, 0.943616, 0.987332, 1.02059, 1.05491, 1.13366, 1.16211");
-            values ( \
-              "-0.00759679, -0.108479, -0.115557, -0.153745, -0.168636, -0.176537, -0.181037, -0.185103, -0.183687, -0.179389, -0.173777, -0.164283, -0.135703, -0.0682712, -0.0407825, -0.0259483, -0.0181382, -0.0125087, -0.00515998, -0.0039648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.457467, 0.494305, 0.550072, 0.58887, 0.617076, 0.641914, 0.680017, 0.716542, 0.774975, 0.867348, 0.932637, 0.973787, 1.0304, 1.09569, 1.23342, 1.28712, 1.37024, 1.45312, 1.56249, 1.67813, 1.74342, 1.874, 1.91904");
-            values ( \
-              "-0.116669, -0.12472, -0.168929, -0.186574, -0.193844, -0.197631, -0.200489, -0.200957, -0.199274, -0.192906, -0.186172, -0.18043, -0.16916, -0.147561, -0.0887707, -0.0699369, -0.0470849, -0.031068, -0.0175079, -0.00941843, -0.00657258, -0.00319672, -0.00277356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.856948, 0.874416, 0.89092, 0.906528, 0.921793, 0.928714, 0.935503, 0.942284, 0.943229, 0.953435, 0.960799, 0.967647, 0.97315, 0.977645, 0.986618, 0.997513, 1.01246, 1.08043");
-            values ( \
-              "-0.000151609, -0.0151413, -0.0334797, -0.0464197, -0.0550797, -0.0572447, -0.0574654, -0.0521383, -0.0507135, -0.0287644, -0.0158846, -0.00846595, -0.00498893, -0.00318718, -0.00121531, -0.000347618, -6.94056e-05, -3.56138e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.856696, 0.877664, 0.890007, 0.908118, 0.920146, 0.930754, 0.940558, 0.949982, 0.95933, 0.968673, 0.988219, 0.997037, 1.00708, 1.01332, 1.02164, 1.03719, 1.04776");
-            values ( \
-              "-0.00199831, -0.023741, -0.04148, -0.0618417, -0.0723191, -0.0796721, -0.0845348, -0.0869314, -0.085726, -0.076196, -0.0344008, -0.0208886, -0.0111976, -0.00747554, -0.00427034, -0.00134644, -0.00102461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.854697, 0.926198, 0.94307, 0.958365, 0.972909, 0.984803, 0.992046, 1.00171, 1.01629, 1.04802, 1.06271, 1.07913, 1.1024, 1.12303");
-            values ( \
-              "-0.000958476, -0.0923335, -0.105428, -0.11311, -0.116644, -0.117238, -0.116381, -0.113124, -0.0993948, -0.0438557, -0.0264984, -0.0143748, -0.00571922, -0.00261828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.854814, 0.936474, 0.947066, 0.968794, 0.981578, 1.00629, 1.02654, 1.03736, 1.05694, 1.06381, 1.07736, 1.10146, 1.13668, 1.15328, 1.17428, 1.19788, 1.22699, 1.24557, 1.26379, 1.29785, 1.35401");
-            values ( \
-              "-0.00272427, -0.111977, -0.120846, -0.132791, -0.137077, -0.143517, -0.147252, -0.148296, -0.147624, -0.146353, -0.141606, -0.120864, -0.0735281, -0.0550785, -0.0370504, -0.0230909, -0.012464, -0.00840605, -0.00567838, -0.00262523, -0.000642781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.854848, 0.939023, 0.955098, 0.980694, 1.00438, 1.04506, 1.05552, 1.09025, 1.13123, 1.17221, 1.18447, 1.19713, 1.21581, 1.22544, 1.24787, 1.32401, 1.35045, 1.36842, 1.39583, 1.4195, 1.45107, 1.4772, 1.50577, 1.54386, 1.60915, 1.67444, 1.80502");
-            values ( \
-              "-0.0012359, -0.120933, -0.134084, -0.147186, -0.156066, -0.168397, -0.170578, -0.175231, -0.1758, -0.171868, -0.169337, -0.166159, -0.159807, -0.155606, -0.142363, -0.081573, -0.0642097, -0.0541093, -0.0412349, -0.0323839, -0.023209, -0.017537, -0.0128673, -0.00842735, -0.00396361, -0.0018429, -0.000394241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.854317, 0.947241, 0.982065, 1.04488, 1.08931, 1.12958, 1.16735, 1.26246, 1.32129, 1.38025, 1.44103, 1.48491, 1.55019, 1.74169, 1.8253, 1.95102, 2.08526, 2.21584, 2.26568");
-            values ( \
-              "-0.00384024, -0.131876, -0.153115, -0.177237, -0.187263, -0.191858, -0.193783, -0.194041, -0.19163, -0.186502, -0.178135, -0.169081, -0.147525, -0.0699174, -0.0469588, -0.0248384, -0.0121559, -0.00595931, -0.00479059" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00721546, 0.00723079, 0.00724779, 0.00726279, 0.0072735, 0.00727996", \
-            "0.00791828, 0.00792653, 0.00793807, 0.00795022, 0.00796011, 0.00796666", \
-            "0.00827096, 0.00827305, 0.00827719, 0.00828355, 0.00829033, 0.00829563", \
-            "0.00846853, 0.00846871, 0.00846921, 0.00847067, 0.00847341, 0.00847659", \
-            "0.00857978, 0.00857972, 0.00857969, 0.00857974, 0.00858011, 0.00858113", \
-            "0.00865687, 0.00865676, 0.0086566, 0.00865639, 0.00865619, 0.00865615" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00881218, 0.00881476, 0.00882499, 0.00883573, 0.00884451, 0.00885011", \
-            "0.00911233, 0.00911341, 0.00911607, 0.00911991, 0.00912315, 0.00912597", \
-            "0.00932838, 0.00932745, 0.0093262, 0.00932524, 0.00932526, 0.00932573", \
-            "0.00964629, 0.00964075, 0.00963395, 0.00962673, 0.00962047, 0.00961637", \
-            "0.0100992, 0.0100968, 0.0100926, 0.0100864, 0.0100793, 0.0100731", \
-            "0.0111249, 0.0111501, 0.0112078, 0.0112416, 0.0112552, 0.0112577" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0961703, 0.112926, 0.143775, 0.203678, 0.325361, 0.576131", \
-            "0.100014, 0.116628, 0.147369, 0.207157, 0.328765, 0.5795", \
-            "0.107882, 0.124298, 0.154763, 0.214279, 0.335685, 0.586289", \
-            "0.123154, 0.139704, 0.170001, 0.229343, 0.350478, 0.600893", \
-            "0.140241, 0.158121, 0.189881, 0.250514, 0.37207, 0.622395", \
-            "0.146486, 0.166334, 0.200313, 0.261835, 0.384087, 0.636066" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0388465, 0.057339, 0.0961643, 0.1794, 0.355083, 0.721158", \
-            "0.038868, 0.0573634, 0.0961863, 0.179439, 0.355087, 0.721159", \
-            "0.0389328, 0.057424, 0.0962248, 0.179451, 0.3551, 0.72116", \
-            "0.0404586, 0.0584638, 0.0968001, 0.179559, 0.355129, 0.721162", \
-            "0.0448902, 0.0629844, 0.100675, 0.182307, 0.356385, 0.72119", \
-            "0.0516215, 0.0700217, 0.106907, 0.185657, 0.358669, 0.723416" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.156251, 0.174302, 0.204408, 0.256408, 0.3511, 0.537022", \
-            "0.158267, 0.176224, 0.20651, 0.258611, 0.353312, 0.539094", \
-            "0.165488, 0.183524, 0.213899, 0.266026, 0.360775, 0.546579", \
-            "0.189407, 0.207323, 0.237665, 0.289795, 0.384563, 0.570358", \
-            "0.252147, 0.270183, 0.300595, 0.352581, 0.447248, 0.632998", \
-            "0.364381, 0.384513, 0.416376, 0.46949, 0.566575, 0.754265" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0424709, 0.0573897, 0.0849731, 0.138638, 0.249477, 0.487034", \
-            "0.042429, 0.0573573, 0.0848094, 0.13852, 0.249373, 0.487111", \
-            "0.0424127, 0.0572731, 0.0847816, 0.138421, 0.249247, 0.487008", \
-            "0.0422047, 0.0570646, 0.084622, 0.138298, 0.249162, 0.486933", \
-            "0.0438376, 0.0584643, 0.0856999, 0.13903, 0.249747, 0.4871", \
-            "0.053693, 0.0669889, 0.0922994, 0.144794, 0.255638, 0.491121" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0668861, 0.070192, 0.0737043, 0.0800412, 0.0856326, 0.0919921, 0.0948448, 0.0979198, 0.103721, 0.109521, 0.112453, 0.11548, 0.123767, 0.129015, 0.135012, 0.142936, 0.148686, 0.15455, 0.15802, 0.164959, 0.172276, 0.184216, 0.200135, 0.223953, 0.25378");
-            values ( \
-              "0.0181536, 0.0289584, 0.0376431, 0.0501944, 0.0581548, 0.0641421, 0.0656469, 0.0669601, 0.067166, 0.064804, 0.0613583, 0.0561657, 0.0388285, 0.0304308, 0.0227411, 0.0154319, 0.0115222, 0.00855753, 0.00717107, 0.00502892, 0.00349241, 0.00195531, 0.000912214, 0.000301754, 8.6558e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0673067, 0.073821, 0.0784332, 0.0820318, 0.0896175, 0.0946092, 0.103519, 0.112015, 0.120489, 0.129285, 0.133789, 0.139125, 0.149404, 0.157882, 0.163578, 0.170938, 0.176713, 0.182666, 0.193213, 0.198258, 0.208348, 0.216503, 0.227988, 0.243301, 0.27346, 0.309037");
-            values ( \
-              "0.00376406, 0.0458118, 0.0587816, 0.0672991, 0.0809293, 0.0870667, 0.0940099, 0.0958597, 0.0945275, 0.0886038, 0.0829346, 0.0741777, 0.0557836, 0.0437523, 0.0366812, 0.0289836, 0.0239855, 0.0196471, 0.0136973, 0.0115027, 0.00808401, 0.00608293, 0.00408524, 0.00238821, 0.000776797, 0.000209229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0679236, 0.0852561, 0.0943025, 0.107383, 0.113775, 0.12346, 0.130428, 0.137233, 0.151058, 0.161111, 0.177093, 0.204343, 0.224017, 0.248537, 0.274715, 0.298302, 0.331107, 0.356318, 0.383739");
-            values ( \
-              "0.0125474, 0.0839695, 0.101121, 0.116448, 0.11978, 0.121875, 0.121697, 0.120302, 0.115897, 0.110091, 0.0957201, 0.0647428, 0.0462361, 0.0292466, 0.0173423, 0.0106306, 0.00531306, 0.00306785, 0.00188915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0758196, 0.0843964, 0.0881247, 0.0949698, 0.109381, 0.11784, 0.12659, 0.134742, 0.147134, 0.159426, 0.185889, 0.201812, 0.211284, 0.230229, 0.239873, 0.254637, 0.272476, 0.306557, 0.33037, 0.34876, 0.373296, 0.392359, 0.417777, 0.440688, 0.450378, 0.46976, 0.504528, 0.520477, 0.544386, 0.576265, 0.640023, 0.720471, 0.800918");
-            values ( \
-              "0.0761934, 0.0856312, 0.0952483, 0.110337, 0.129948, 0.135856, 0.139117, 0.140799, 0.140816, 0.139648, 0.13409, 0.129687, 0.12675, 0.119722, 0.115283, 0.107395, 0.0963953, 0.0746486, 0.0610438, 0.051538, 0.0405834, 0.0334192, 0.0255075, 0.0198642, 0.0178446, 0.0143455, 0.00960346, 0.00798716, 0.00604563, 0.00414278, 0.00187975, 0.000661827, 0.000235851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.0796713, 0.110135, 0.123601, 0.134883, 0.152681, 0.167358, 0.18189, 0.229911, 0.303057, 0.354107, 0.382369, 0.405254, 0.435766, 0.550714, 0.631188, 0.664479, 0.701127, 0.74999, 0.784441, 0.824853, 0.878736, 0.936885, 1.02504, 1.10549, 1.18593, 1.26638, 1.42728");
-            values ( \
-              "0.099468, 0.136682, 0.147214, 0.151316, 0.153804, 0.153471, 0.15251, 0.146912, 0.136558, 0.12786, 0.121761, 0.115885, 0.106915, 0.0700005, 0.0480642, 0.0406106, 0.0334863, 0.0256257, 0.0211188, 0.0167579, 0.01221, 0.00864333, 0.0050716, 0.00308805, 0.00186841, 0.00113425, 0.000415469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0868064, 0.145355, 0.1576, 0.18271, 0.211236, 0.276604, 0.374196, 0.476253, 0.583978, 0.638534, 0.699958, 0.780406, 0.998416, 1.09693, 1.1583, 1.23875, 1.28948, 1.35713, 1.43758, 1.49644, 1.55535, 1.63389, 1.69479, 1.8035, 1.94048, 2.10138, 2.26227, 2.42317, 2.58406, 2.82541");
-            values ( \
-              "0.145091, 0.159265, 0.160842, 0.161232, 0.160095, 0.156052, 0.14967, 0.142621, 0.134261, 0.129109, 0.122314, 0.111142, 0.0761917, 0.0614682, 0.0532886, 0.0435664, 0.038221, 0.0320136, 0.0255975, 0.0217048, 0.0183556, 0.0147141, 0.01223, 0.00885559, 0.0058614, 0.00358416, 0.00217711, 0.00131225, 0.000782344, 0.000445447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.078446, 0.0881154, 0.0927136, 0.100112, 0.106486, 0.112418, 0.118223, 0.124021, 0.127012, 0.139005, 0.146804, 0.155942, 0.167412, 0.183317, 0.200094, 0.214937, 0.216553");
-            values ( \
-              "0.00246676, 0.0377622, 0.0468436, 0.0582337, 0.0639647, 0.0670311, 0.0671427, 0.0648255, 0.0612666, 0.037678, 0.0260084, 0.0166305, 0.00930573, 0.00413542, 0.00183716, 0.000904981, 0.000880356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0828279, 0.088104, 0.0927263, 0.0988635, 0.103956, 0.108924, 0.117841, 0.126342, 0.134821, 0.143299, 0.14811, 0.153462, 0.163742, 0.172222, 0.177919, 0.185279, 0.191053, 0.197006, 0.207555, 0.2126, 0.22269, 0.230844, 0.242327, 0.257637, 0.287385, 0.322475");
-            values ( \
-              "0.0153779, 0.0457959, 0.0587143, 0.0722282, 0.0808199, 0.0870474, 0.0938774, 0.0958729, 0.0944192, 0.0889319, 0.0829366, 0.0741664, 0.0557778, 0.0437489, 0.036676, 0.0289834, 0.0239868, 0.0196452, 0.0136957, 0.0115044, 0.00808248, 0.00608481, 0.0040873, 0.00238713, 0.000793063, 0.000216237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0816733, 0.0994347, 0.108478, 0.121347, 0.128377, 0.137634, 0.15144, 0.166293, 0.175361, 0.191196, 0.219872, 0.2381, 0.26286, 0.288916, 0.308403, 0.325283, 0.347376, 0.376833, 0.404491");
-            values ( \
-              "0.0102385, 0.0839457, 0.10115, 0.116212, 0.119852, 0.12153, 0.120693, 0.115329, 0.110054, 0.0958086, 0.0633332, 0.0463401, 0.029176, 0.0173424, 0.0115984, 0.0081192, 0.00507866, 0.00265792, 0.0016276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0840395, 0.0983786, 0.107565, 0.123284, 0.135317, 0.148706, 0.166076, 0.185753, 0.198686, 0.224225, 0.239214, 0.253823, 0.283041, 0.328318, 0.362807, 0.387223, 0.406504, 0.432211, 0.454711, 0.483285, 0.518365, 0.558767, 0.591181, 0.656009, 0.736457, 0.816905");
-            values ( \
-              "0.0112037, 0.0856365, 0.107872, 0.129613, 0.137409, 0.140829, 0.140589, 0.137296, 0.134343, 0.127083, 0.121746, 0.115305, 0.0986825, 0.0701437, 0.0515271, 0.0406023, 0.0333609, 0.0253952, 0.0198644, 0.0144279, 0.0096156, 0.00601514, 0.00409959, 0.00182881, 0.000647204, 0.000227248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0919155, 0.124086, 0.136839, 0.148824, 0.166308, 0.181142, 0.219337, 0.292323, 0.343334, 0.367974, 0.396134, 0.419554, 0.450781, 0.564643, 0.645024, 0.678421, 0.715187, 0.764208, 0.798396, 0.838497, 0.891964, 0.950758, 1.03984, 1.12029, 1.20073, 1.28118, 1.44208");
-            values ( \
-              "0.0859657, 0.136863, 0.146748, 0.151432, 0.153693, 0.153577, 0.14998, 0.140249, 0.132346, 0.127872, 0.121806, 0.11579, 0.106579, 0.0700075, 0.0480927, 0.0406135, 0.0334677, 0.0255845, 0.0211154, 0.0167852, 0.0122641, 0.00864888, 0.00504655, 0.00306989, 0.00186013, 0.00112646, 0.000411539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.0988337, 0.153339, 0.173306, 0.196544, 0.257354, 0.437533, 0.570537, 0.65237, 0.713794, 0.794242, 1.04509, 1.17213, 1.30332, 1.37097, 1.50046, 1.56918, 1.70863, 1.81734, 1.95432, 2.11522, 2.35656, 2.44466");
-            values ( \
-              "0.135402, 0.158089, 0.160927, 0.161262, 0.158121, 0.146276, 0.136489, 0.129166, 0.122256, 0.1112, 0.0711343, 0.0532307, 0.0382787, 0.0319557, 0.0223478, 0.0184133, 0.0122881, 0.0089134, 0.00591905, 0.00364185, 0.0017318, 0.00148655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.111324, 0.121426, 0.126053, 0.133486, 0.139877, 0.145824, 0.151639, 0.157448, 0.163423, 0.171695, 0.177019, 0.187953, 0.200744, 0.214023, 0.230714, 0.244551, 0.248644");
-            values ( \
-              "0.000893642, 0.0376091, 0.0464753, 0.0581485, 0.0636855, 0.0669905, 0.0669331, 0.0648297, 0.0561077, 0.0388301, 0.0303162, 0.0178522, 0.00934861, 0.00475602, 0.00210399, 0.001076, 0.00099746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.114617, 0.121173, 0.125842, 0.129495, 0.132018, 0.137062, 0.142116, 0.151053, 0.159568, 0.168057, 0.176545, 0.181321, 0.18671, 0.196992, 0.205479, 0.211176, 0.218535, 0.224307, 0.230262, 0.238288, 0.245857, 0.255948, 0.2641, 0.275578, 0.290882, 0.320197, 0.354733");
-            values ( \
-              "0.0040797, 0.0451782, 0.0582949, 0.0667638, 0.0719004, 0.0803971, 0.0868256, 0.0936793, 0.0957627, 0.0943083, 0.0888856, 0.0829436, 0.0741436, 0.0557657, 0.0437366, 0.0366691, 0.0289789, 0.023986, 0.0196459, 0.0149268, 0.0115037, 0.00808335, 0.00608456, 0.00408761, 0.00238922, 0.000808077, 0.00022687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.115197, 0.12551, 0.132295, 0.14137, 0.153911, 0.161995, 0.170563, 0.184379, 0.199232, 0.208283, 0.2242, 0.252824, 0.27113, 0.295737, 0.32187, 0.341412, 0.358268, 0.380268, 0.409603, 0.43733");
-            values ( \
-              "0.000552269, 0.0643195, 0.0836144, 0.100824, 0.115824, 0.120009, 0.121379, 0.120664, 0.115298, 0.110043, 0.0957375, 0.0633314, 0.0462772, 0.0292202, 0.0173431, 0.0115856, 0.00811423, 0.00508559, 0.0026694, 0.00162646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.120421, 0.131009, 0.141578, 0.155939, 0.168498, 0.181375, 0.198414, 0.218426, 0.231361, 0.256903, 0.271883, 0.286502, 0.315739, 0.360999, 0.395545, 0.419926, 0.439143, 0.464765, 0.487385, 0.516104, 0.551093, 0.591336, 0.623594, 0.68811, 0.768558, 0.849005");
-            values ( \
-              "0.0455422, 0.0856111, 0.11018, 0.129546, 0.137579, 0.140803, 0.140587, 0.137272, 0.13434, 0.127067, 0.121744, 0.115301, 0.0986688, 0.0701432, 0.051499, 0.0405935, 0.0333755, 0.0254303, 0.0198661, 0.0144055, 0.00961071, 0.0060233, 0.00411304, 0.00184275, 0.000652244, 0.000228911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.127274, 0.147668, 0.156606, 0.170344, 0.181352, 0.198369, 0.21344, 0.228359, 0.276381, 0.349528, 0.400611, 0.428913, 0.45159, 0.474536, 0.607145, 0.63859, 0.69578, 0.747801, 0.779658, 0.83018, 0.88493, 0.953715, 0.985265, 1.03326, 1.09726, 1.17771, 1.25816, 1.33861, 1.4995");
-            values ( \
-              "0.112982, 0.125027, 0.136727, 0.147344, 0.151349, 0.153725, 0.153516, 0.152469, 0.146871, 0.136557, 0.127854, 0.121742, 0.115921, 0.109273, 0.0670216, 0.0581109, 0.0438666, 0.0334556, 0.0281322, 0.0212058, 0.0154818, 0.0102936, 0.00853305, 0.00639885, 0.0043272, 0.00263017, 0.00159182, 0.000964374, 0.000352507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.135648, 0.198949, 0.214004, 0.25646, 0.469628, 0.602622, 0.684456, 0.74588, 0.826328, 1.07718, 1.20422, 1.3354, 1.40305, 1.54237, 1.60128, 1.74072, 1.84943, 1.98639, 2.14729, 2.30818, 2.49302");
-            values ( \
-              "0.159848, 0.160426, 0.161281, 0.160069, 0.146264, 0.136477, 0.129153, 0.122269, 0.111186, 0.0711497, 0.0532466, 0.0382619, 0.0319735, 0.0217446, 0.018395, 0.0122699, 0.00889546, 0.00590147, 0.00362419, 0.00221708, 0.00162255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.183248, 0.195364, 0.20547, 0.210257, 0.219216, 0.222202, 0.228258, 0.234313, 0.24039, 0.248247, 0.251836, 0.261872, 0.271665, 0.280772, 0.286121, 0.293837, 0.29965, 0.311188, 0.326573, 0.341235");
-            values ( \
-              "0.000689806, 0.0314279, 0.0484068, 0.0542556, 0.0618177, 0.0635268, 0.064966, 0.063216, 0.0556389, 0.0393496, 0.0333775, 0.020692, 0.0127614, 0.00805965, 0.00612633, 0.00415663, 0.00311336, 0.00178641, 0.000874147, 0.000508948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.182495, 0.207087, 0.210293, 0.218043, 0.222814, 0.227426, 0.236205, 0.244852, 0.253494, 0.257416, 0.2616, 0.275182, 0.28481, 0.294167, 0.300962, 0.305686, 0.317245, 0.325423, 0.33203, 0.341344, 0.352225, 0.366733, 0.395554, 0.429305");
-            values ( \
-              "0.00107228, 0.0645429, 0.0702894, 0.0809753, 0.0864085, 0.0901502, 0.0936363, 0.0930384, 0.088136, 0.0835864, 0.0773549, 0.0539355, 0.0406439, 0.0301786, 0.0242358, 0.0206994, 0.0139509, 0.0105227, 0.00836684, 0.00604081, 0.00414404, 0.00249604, 0.000859562, 0.000251568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.193802, 0.203368, 0.210192, 0.22448, 0.232639, 0.245473, 0.249801, 0.255314, 0.260816, 0.271818, 0.281549, 0.290138, 0.305506, 0.329528, 0.348291, 0.371712, 0.385572, 0.403463, 0.414178, 0.433226, 0.455319, 0.473011, 0.508395, 0.532786");
-            values ( \
-              "0.0573969, 0.0661054, 0.0817859, 0.105611, 0.11383, 0.119858, 0.12039, 0.12035, 0.119623, 0.116514, 0.11188, 0.105883, 0.0904496, 0.0632192, 0.045822, 0.0295681, 0.0224983, 0.0156553, 0.012554, 0.0083855, 0.0052575, 0.00360178, 0.00162269, 0.00108526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.196341, 0.205471, 0.210251, 0.220607, 0.226967, 0.23199, 0.242035, 0.25574, 0.257808, 0.261946, 0.270221, 0.282586, 0.307397, 0.324054, 0.334492, 0.355369, 0.363096, 0.377913, 0.395699, 0.429788, 0.45358, 0.471954, 0.496514, 0.515599, 0.541046, 0.563914, 0.573588, 0.592934, 0.627733, 0.643694, 0.667639, 0.699566, 0.763419, 0.843866, 0.924314");
-            values ( \
-              "0.0757115, 0.0762585, 0.0883589, 0.109481, 0.119777, 0.125865, 0.134175, 0.139412, 0.139772, 0.140203, 0.140358, 0.139238, 0.134433, 0.129927, 0.126712, 0.118887, 0.115258, 0.107365, 0.0963791, 0.0746519, 0.0610477, 0.0515554, 0.0405836, 0.0334121, 0.0254982, 0.0198663, 0.0178464, 0.014356, 0.00960417, 0.00798661, 0.00604243, 0.00414047, 0.0018742, 0.000661618, 0.000233938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.199065, 0.214095, 0.227965, 0.240953, 0.254818, 0.262559, 0.27288, 0.288771, 0.304487, 0.328037, 0.35256, 0.401039, 0.425634, 0.451868, 0.477715, 0.507254, 0.543896, 0.577518, 0.659923, 0.690596, 0.725651, 0.774973, 0.813083, 0.863897, 0.906575, 0.924617, 0.960702, 1.02975, 1.07745, 1.10962, 1.17395, 1.25439, 1.33484, 1.41529, 1.57618");
-            values ( \
-              "0.0911599, 0.100283, 0.127471, 0.141768, 0.149536, 0.151627, 0.153033, 0.153318, 0.152333, 0.149909, 0.14684, 0.140215, 0.136566, 0.132369, 0.12767, 0.121225, 0.111315, 0.100843, 0.0741093, 0.0649211, 0.055176, 0.0432494, 0.0354716, 0.0268853, 0.0211684, 0.0191158, 0.0155096, 0.0103023, 0.00774707, 0.00639232, 0.0043094, 0.00262344, 0.00158365, 0.00096341, 0.000353627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.212874, 0.212894, 0.364521, 0.398777, 0.598428, 0.678876, 0.760711, 0.822135, 0.902582, 1.15343, 1.28048, 1.37784, 1.47931, 1.55975, 1.63826, 1.75607, 1.89742, 1.98221, 2.1431, 2.304, 2.46489, 2.59478");
-            values ( \
-              "1e-22, 0.164298, 0.158186, 0.156003, 0.142567, 0.136484, 0.129162, 0.122259, 0.111196, 0.0711373, 0.0532336, 0.0417577, 0.0319586, 0.0256526, 0.02057, 0.0146587, 0.00967279, 0.00751657, 0.00462839, 0.00283728, 0.00173396, 0.00137229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.34078, 0.348657, 0.369894, 0.384922, 0.392941, 0.399687, 0.40644, 0.418997, 0.430518, 0.443545, 0.453837, 0.470728, 0.49226, 0.497587");
-            values ( \
-              "0.00768799, 0.0150932, 0.0452878, 0.0548585, 0.0576909, 0.0574955, 0.0520293, 0.031081, 0.0183958, 0.00981574, 0.00591804, 0.00262855, 0.000981179, 0.000793472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.342206, 0.350938, 0.374512, 0.386922, 0.396949, 0.401532, 0.410958, 0.420385, 0.426274, 0.447795, 0.462375, 0.472489, 0.485786, 0.49873, 0.511423, 0.529087, 0.560015");
-            values ( \
-              "0.0119568, 0.0234752, 0.063318, 0.0758194, 0.0830363, 0.0849122, 0.0862381, 0.0832367, 0.0777085, 0.045797, 0.0294207, 0.0212985, 0.0136578, 0.00878897, 0.0054598, 0.0030848, 0.00126445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.346255, 0.349502, 0.371002, 0.389477, 0.397717, 0.407726, 0.413273, 0.424368, 0.435459, 0.442953, 0.457895, 0.467291, 0.49344, 0.514256, 0.52805, 0.54516, 0.557599, 0.5719, 0.59549, 0.611324, 0.635518, 0.667776, 0.683056");
-            values ( \
-              "0.0195221, 0.0237208, 0.0685453, 0.0936852, 0.102286, 0.109183, 0.111511, 0.113633, 0.11288, 0.11102, 0.103877, 0.0959858, 0.0675643, 0.0478341, 0.0375763, 0.0273065, 0.0213861, 0.0160412, 0.00983322, 0.0070494, 0.00421044, 0.00205916, 0.00162101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.357122, 0.373344, 0.390196, 0.396573, 0.404956, 0.415549, 0.424434, 0.442204, 0.4503, 0.463145, 0.476312, 0.502504, 0.508577, 0.519538, 0.530267, 0.544729, 0.561257, 0.604543, 0.637415, 0.664758, 0.679293, 0.705858, 0.733983, 0.745946, 0.76811, 0.799338, 0.832301, 0.857624, 0.908269, 0.988716, 1.06916");
-            values ( \
-              "0.0730377, 0.078307, 0.104866, 0.113105, 0.121513, 0.128706, 0.132305, 0.135113, 0.134934, 0.133599, 0.131271, 0.124827, 0.122679, 0.119584, 0.115515, 0.108426, 0.098697, 0.0715343, 0.0534866, 0.0410281, 0.0354274, 0.0268021, 0.0197233, 0.0172685, 0.0134433, 0.00937337, 0.00639494, 0.00474663, 0.00256633, 0.000908759, 0.000320601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.360308, 0.380107, 0.396608, 0.420096, 0.430933, 0.445382, 0.467506, 0.533022, 0.54737, 0.610375, 0.651785, 0.681732, 0.740091, 0.829209, 0.896891, 0.944527, 0.981659, 1.03117, 1.0757, 1.13205, 1.19971, 1.27715, 1.33909, 1.41954, 1.49999, 1.74133");
-            values ( \
-              "0.0902985, 0.0937356, 0.119939, 0.140758, 0.145206, 0.148261, 0.149183, 0.144388, 0.142932, 0.134114, 0.126687, 0.119893, 0.103041, 0.0741036, 0.0546658, 0.043156, 0.035577, 0.0271967, 0.021195, 0.0153302, 0.01025, 0.00645341, 0.00442764, 0.0026855, 0.00163141, 0.000357102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.374763, 0.458874, 0.473032, 0.498613, 0.511805, 0.527577, 0.541843, 0.568017, 0.610799, 0.746085, 0.811035, 0.875411, 0.955858, 0.991504, 1.05149, 1.3248, 1.39464, 1.47509, 1.54607, 1.61353, 1.67931, 1.78865, 1.84642, 1.92687, 2.00732, 2.13379, 2.20654, 2.28699, 2.44789, 2.60878, 2.85012, 3.09147");
-            values ( \
-              "0.144737, 0.156913, 0.157571, 0.157292, 0.156825, 0.157219, 0.156994, 0.155826, 0.153222, 0.14411, 0.139374, 0.134217, 0.126436, 0.122251, 0.114186, 0.0708292, 0.0606382, 0.0500497, 0.0418779, 0.0351168, 0.0294193, 0.0217102, 0.0184181, 0.0146017, 0.0115314, 0.00793134, 0.0063755, 0.00500594, 0.00307371, 0.00188235, 0.000896993, 0.000429632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.697995, 0.712318, 0.715649, 0.717314, 0.72231, 0.728361, 0.730827, 0.733292, 0.735757, 0.738222, 0.742457, 0.746693, 0.746749, 0.748434, 0.750231, 0.752028, 0.754616, 0.756198, 0.757719, 0.758479, 0.759995, 0.762262, 0.763222, 0.764181, 0.765141, 0.766101, 0.768169, 0.770237, 0.772274, 0.774311, 0.778386, 0.783494, 0.786487, 0.789116, 0.79238, 0.794012, 0.797428, 0.800203, 0.80232, 0.804437, 0.807227, 0.811649, 0.814913, 0.816374, 0.819295, 0.820756, 0.822886, 0.827146, 0.829276, 0.833372");
-            values ( \
-              "0.0212041, 0.0221399, 0.0252847, 0.0267514, 0.031087, 0.0362098, 0.0380711, 0.0398461, 0.0415348, 0.043137, 0.0456884, 0.0479848, 0.0480512, 0.0488382, 0.04949, 0.0500007, 0.050638, 0.0509123, 0.0508861, 0.0508447, 0.0507058, 0.0503579, 0.0500847, 0.049745, 0.0493389, 0.0488663, 0.0472956, 0.0454503, 0.0431542, 0.0407315, 0.0355067, 0.0286581, 0.0254028, 0.0227959, 0.0198897, 0.0185736, 0.0160018, 0.0140647, 0.0128254, 0.0116714, 0.010312, 0.00833291, 0.0070732, 0.00662666, 0.00580283, 0.00542554, 0.00493226, 0.004062, 0.00368502, 0.00305911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.682615, 0.695745, 0.700421, 0.709706, 0.734755, 0.747413, 0.752694, 0.758646, 0.763871, 0.774047, 0.778166, 0.78171, 0.785246, 0.790578, 0.796429, 0.807742, 0.815715, 0.821879, 0.832291, 0.836678, 0.845451, 0.853193, 0.863284, 0.875451, 0.881271, 0.890248, 0.902219, 0.921547");
-            values ( \
-              "0.0048335, 0.0113846, 0.0149161, 0.024485, 0.0535183, 0.06594, 0.0697088, 0.0726702, 0.0741319, 0.0756517, 0.0760557, 0.0760335, 0.0754483, 0.072997, 0.0674773, 0.0521044, 0.0423916, 0.0356156, 0.0261227, 0.0227739, 0.0171842, 0.0133392, 0.00953192, 0.00630174, 0.00518931, 0.00384685, 0.00258305, 0.00141356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.71078, 0.736851, 0.744262, 0.74827, 0.7512, 0.752956, 0.754713, 0.758225, 0.763166, 0.771139, 0.776092, 0.779823, 0.783553, 0.788925, 0.793473, 0.796231, 0.799296, 0.802362, 0.803879, 0.808431, 0.811465, 0.814499, 0.817534, 0.820568, 0.823627, 0.824647, 0.828725, 0.832804, 0.842499, 0.851782, 0.861064, 0.864595, 0.873921, 0.878895, 0.883869, 0.890621, 0.900924, 0.904475, 0.908026, 0.915128, 0.92223, 0.931887, 0.939727, 0.944954, 0.950181, 0.95503, 0.959878, 0.964727, 0.969576, 0.977918");
-            values ( \
-              "0.0616882, 0.0659492, 0.0759373, 0.0806513, 0.0836381, 0.0851597, 0.0865744, 0.089083, 0.0917733, 0.0958394, 0.098518, 0.10025, 0.10179, 0.103569, 0.104792, 0.105285, 0.105399, 0.105363, 0.10529, 0.104852, 0.10435, 0.103685, 0.102857, 0.101864, 0.10049, 0.0999769, 0.0972463, 0.094143, 0.0852708, 0.0760528, 0.0661276, 0.0628637, 0.0536981, 0.0494731, 0.0454675, 0.0404819, 0.0332635, 0.0310607, 0.028998, 0.0253979, 0.0221484, 0.0182926, 0.0153971, 0.0138847, 0.0124943, 0.0113408, 0.0102741, 0.00929425, 0.00840122, 0.00704995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.673694, 0.787611, 0.803585, 0.815672, 0.839144, 0.849127, 0.869814, 0.898908, 0.913924, 0.989791, 1.03303, 1.0785, 1.11007, 1.12882, 1.16412, 1.20444, 1.24199, 1.31476");
-            values ( \
-              "0.000349802, 0.120377, 0.126955, 0.129329, 0.129543, 0.128273, 0.123979, 0.113811, 0.106297, 0.06092, 0.0405101, 0.0252709, 0.0179073, 0.0145206, 0.00967387, 0.00606739, 0.0038214, 0.00182956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.704581, 0.731871, 0.746794, 0.756675, 0.787388, 0.795926, 0.80731, 0.829089, 0.838573, 0.857539, 0.887844, 0.90698, 0.93855, 0.992027, 1.03456, 1.04952, 1.07928, 1.21023, 1.23763, 1.27083, 1.31139, 1.3548, 1.41268, 1.44519, 1.48684, 1.54238, 1.59788, 1.62597, 1.68217, 1.76261, 1.84306, 1.92351, 2.08441");
-            values ( \
-              "0.0381029, 0.0673473, 0.0916543, 0.103878, 0.129825, 0.135092, 0.1402, 0.145462, 0.146299, 0.146552, 0.144558, 0.142503, 0.138548, 0.130632, 0.122585, 0.119095, 0.111203, 0.0700148, 0.0620767, 0.0533015, 0.0436405, 0.0348099, 0.0253324, 0.021107, 0.0166281, 0.012007, 0.00863561, 0.00729166, 0.00519137, 0.00315167, 0.00191677, 0.00115421, 0.000419323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.728646, 0.77935, 0.802558, 0.82152, 0.838866, 0.86648, 0.895698, 0.934544, 1.03298, 1.13583, 1.2442, 1.29298, 1.36032, 1.4356, 1.69193, 1.8194, 1.91586, 2.01526, 2.09571, 2.15658, 2.21807, 2.29852, 2.37897, 2.50137, 2.57129, 2.65174, 2.81263, 2.97353, 3.21487, 3.45621");
-            values ( \
-              "0.119605, 0.127863, 0.144346, 0.151599, 0.154931, 0.156764, 0.156329, 0.154497, 0.148452, 0.141572, 0.133839, 0.129708, 0.122242, 0.111922, 0.071078, 0.0531319, 0.0417696, 0.0321494, 0.0257893, 0.0217544, 0.0182694, 0.0144798, 0.0114359, 0.00795967, 0.00645486, 0.00506737, 0.0031115, 0.00190541, 0.000908807, 0.000434573" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00813491, 0.00816213, 0.00819354, 0.00822164, 0.0082415, 0.00825336", \
-            "0.00935629, 0.00938688, 0.00942502, 0.00946335, 0.00949304, 0.00951181", \
-            "0.0100355, 0.0100547, 0.0100841, 0.0101188, 0.0101501, 0.0101718", \
-            "0.0105099, 0.0105133, 0.0105216, 0.0105368, 0.0105557, 0.0105726", \
-            "0.0108983, 0.0108965, 0.0108942, 0.0108936, 0.010897, 0.0109039", \
-            "0.0111865, 0.0111859, 0.0111847, 0.011183, 0.0111812, 0.0111813" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.010193, 0.0102169, 0.0102464, 0.0102733, 0.0102926, 0.0103041", \
-            "0.010251, 0.0102446, 0.0102394, 0.01024, 0.0102388, 0.0102369", \
-            "0.0102458, 0.0102241, 0.010195, 0.0101647, 0.0101389, 0.0101218", \
-            "0.0103304, 0.0103192, 0.0103016, 0.0102739, 0.0102495, 0.010229", \
-            "0.0110162, 0.0110488, 0.0110696, 0.0110766, 0.0110724, 0.0110617", \
-            "0.0107968, 0.0107969, 0.0107981, 0.0108393, 0.0108862, 0.0108972" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.102584, 0.114555, 0.118414, 0.12815, 0.130183, 0.14093, 0.156929, 0.163783, 0.170282, 0.176672, 0.183055, 0.185392, 0.1936, 0.198938, 0.204152, 0.207919, 0.212055, 0.215276, 0.221718, 0.2327, 0.245821, 0.2863");
-            values ( \
-              "-0.0002884, -0.0127171, -0.0139089, -0.027171, -0.0305428, -0.041991, -0.0549482, -0.0585359, -0.0610727, -0.0607979, -0.0549986, -0.0504507, -0.0302972, -0.0195002, -0.0120597, -0.00831313, -0.00547897, -0.00393049, -0.0019696, -0.000574951, -0.000180619, -6.05836e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.105441, 0.11334, 0.118602, 0.120163, 0.145579, 0.162875, 0.172804, 0.182004, 0.190855, 0.199644, 0.208428, 0.229879, 0.239711, 0.246976, 0.259666, 0.263961");
-            values ( \
-              "-0.00848913, -0.0150862, -0.0211571, -0.0214085, -0.059355, -0.0775371, -0.0849298, -0.0900757, -0.092466, -0.0911764, -0.0807242, -0.030644, -0.016644, -0.0102707, -0.00421975, -0.00339819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.107901, 0.123389, 0.128061, 0.135554, 0.148732, 0.156529, 0.168092, 0.184042, 0.198421, 0.211975, 0.225197, 0.238406, 0.242967, 0.247675, 0.277999, 0.290795, 0.297733, 0.305661, 0.319315, 0.328447, 0.346712, 0.373321, 0.40551");
-            values ( \
-              "-0.0107933, -0.0324247, -0.0375888, -0.0525797, -0.0740188, -0.0845864, -0.0979031, -0.111848, -0.120732, -0.125839, -0.127417, -0.123041, -0.119288, -0.113507, -0.0524739, -0.0335445, -0.0259415, -0.0191141, -0.0111281, -0.00766515, -0.0035035, -0.00102791, -0.000237853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.10849, 0.15981, 0.179691, 0.19517, 0.219684, 0.242332, 0.264258, 0.286054, 0.307847, 0.312893, 0.330196, 0.378017, 0.401748, 0.418239, 0.44708, 0.482839, 0.499505");
-            values ( \
-              "-0.0138134, -0.0969726, -0.120522, -0.134026, -0.148967, -0.156844, -0.159975, -0.15835, -0.149772, -0.146246, -0.126882, -0.0603574, -0.0382563, -0.027387, -0.0148575, -0.00678732, -0.0050714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.109257, 0.165318, 0.193666, 0.239398, 0.280205, 0.319414, 0.358465, 0.397575, 0.422883, 0.440406, 0.475453, 0.529354, 0.572993, 0.619551, 0.674776, 0.729493, 0.767597, 0.833582, 0.87794");
-            values ( \
-              "-0.0173187, -0.110927, -0.142199, -0.17065, -0.181871, -0.185107, -0.182897, -0.177763, -0.170624, -0.163713, -0.141524, -0.0957885, -0.0649945, -0.0412325, -0.0232208, -0.012856, -0.00843516, -0.00391871, -0.00250773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.136802, 0.183904, 0.194547, 0.215066, 0.240197, 0.269984, 0.305637, 0.345382, 0.393625, 0.4369, 0.468417, 0.531452, 0.571045, 0.624003, 0.689988, 0.745139, 0.806282, 0.887044, 0.939305, 0.977204, 1.01772, 1.08729, 1.11913, 1.18281, 1.26052, 1.30845, 1.37444, 1.44042, 1.5064, 1.63837, 1.83633");
-            values ( \
-              "-0.117411, -0.135462, -0.146879, -0.16283, -0.177783, -0.188296, -0.196241, -0.199832, -0.200646, -0.199466, -0.19766, -0.193651, -0.190085, -0.183813, -0.173109, -0.158085, -0.133271, -0.0981819, -0.078609, -0.0662153, -0.0545855, -0.0387552, -0.0331017, -0.0236924, -0.0156988, -0.0122315, -0.00846271, -0.00597848, -0.00407805, -0.0019433, -0.000704527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.114728, 0.13089, 0.142964, 0.153697, 0.162221, 0.169677, 0.176507, 0.183018, 0.189402, 0.195779, 0.198118, 0.206316, 0.211653, 0.216865, 0.220631, 0.224765, 0.227984, 0.234422, 0.245396, 0.24545");
-            values ( \
-              "-0.00171904, -0.013676, -0.0305684, -0.0420336, -0.0492581, -0.0549993, -0.0585896, -0.061126, -0.060851, -0.0550377, -0.0504772, -0.030318, -0.0195107, -0.0120643, -0.00831636, -0.00548136, -0.00393214, -0.00197076, -0.000575471, -0.000573865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.118161, 0.130925, 0.135344, 0.150073, 0.16401, 0.175223, 0.185141, 0.194336, 0.203182, 0.211965, 0.220743, 0.242033, 0.248494, 0.257223, 0.263399, 0.271634, 0.285981");
-            values ( \
-              "-0.0041809, -0.0211129, -0.0242248, -0.0490187, -0.0664921, -0.0774197, -0.085131, -0.089992, -0.0926546, -0.0911087, -0.0808827, -0.03091, -0.020885, -0.011824, -0.00778838, -0.00434737, -0.00150739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.116335, 0.138533, 0.154011, 0.161624, 0.173841, 0.180917, 0.196818, 0.211154, 0.221559, 0.224697, 0.230973, 0.237874, 0.251014, 0.257476, 0.265769, 0.283962, 0.290541, 0.302634, 0.314755, 0.323834, 0.333126, 0.340226, 0.354426, 0.38075, 0.411776");
-            values ( \
-              "-0.00179677, -0.0343888, -0.0625426, -0.0743884, -0.0903485, -0.0980999, -0.112049, -0.120795, -0.125071, -0.125925, -0.12719, -0.127402, -0.123214, -0.11735, -0.104061, -0.0649381, -0.0526624, -0.034572, -0.0219638, -0.015372, -0.0106146, -0.00795886, -0.00438088, -0.00131155, -0.000309062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.11419, 0.179177, 0.191962, 0.207846, 0.232322, 0.25495, 0.276856, 0.298638, 0.321136, 0.32546, 0.331226, 0.342757, 0.365078, 0.390565, 0.41429, 0.430764, 0.449592, 0.45961, 0.47497, 0.495452, 0.536414, 0.542041");
-            values ( \
-              "-0.000106583, -0.106648, -0.119755, -0.134809, -0.148597, -0.157513, -0.159596, -0.158942, -0.14933, -0.146278, -0.141176, -0.126892, -0.0934289, -0.0603495, -0.0382464, -0.0274085, -0.0183888, -0.0148539, -0.0106595, -0.00678049, -0.00256237, -0.0023607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.115307, 0.165403, 0.178543, 0.206835, 0.228907, 0.252501, 0.286642, 0.293288, 0.306579, 0.33247, 0.371535, 0.410601, 0.435428, 0.453401, 0.489348, 0.54159, 0.567521, 0.586487, 0.606348, 0.632663, 0.655937, 0.686969, 0.725519, 0.749282, 0.796809, 0.862793, 0.928778, 0.994762");
-            values ( \
-              "-0.000678417, -0.09039, -0.110851, -0.142052, -0.158296, -0.170442, -0.180401, -0.181706, -0.1833, -0.184942, -0.183294, -0.177548, -0.170815, -0.163792, -0.140812, -0.0963978, -0.0769904, -0.0646968, -0.0535172, -0.0411868, -0.0324705, -0.023415, -0.0154711, -0.0119322, -0.00700421, -0.00325059, -0.00150374, -0.000688854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.145328, 0.188819, 0.222431, 0.261437, 0.279119, 0.306395, 0.331204, 0.369284, 0.405925, 0.48064, 0.557101, 0.636299, 0.662727, 0.720431, 0.786415, 0.922318, 0.978059, 1.06437, 1.11265, 1.18477, 1.24777, 1.32348, 1.38946, 1.45544, 1.52143, 1.6534, 1.85135");
-            values ( \
-              "-0.101324, -0.126864, -0.159837, -0.181279, -0.187753, -0.193958, -0.197746, -0.200063, -0.200676, -0.198026, -0.192546, -0.184058, -0.180081, -0.168939, -0.147041, -0.0892224, -0.0697854, -0.046272, -0.036354, -0.0251059, -0.0180253, -0.0120315, -0.00838472, -0.00586177, -0.00405747, -0.00195063, -0.000662337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.147894, 0.16013, 0.163554, 0.175748, 0.186478, 0.194999, 0.202452, 0.209279, 0.215788, 0.22217, 0.228545, 0.230884, 0.239079, 0.244415, 0.249627, 0.253392, 0.257525, 0.260744, 0.267182, 0.277794");
-            values ( \
-              "-3.71557e-05, -0.0126868, -0.013573, -0.0305549, -0.0420412, -0.0492857, -0.0550181, -0.0586169, -0.0611457, -0.0608736, -0.0550515, -0.0504871, -0.0303253, -0.0195161, -0.0120648, -0.00831857, -0.00548239, -0.00393205, -0.0019713, -0.000620957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.148041, 0.166317, 0.179113, 0.196966, 0.20815, 0.218055, 0.227238, 0.236074, 0.244849, 0.254264, 0.274891, 0.290092, 0.304475, 0.307902");
-            values ( \
-              "-0.000459462, -0.0222585, -0.0435804, -0.0663874, -0.0778732, -0.0849961, -0.0903461, -0.0925209, -0.0913851, -0.0798158, -0.0309124, -0.0117899, -0.00439078, -0.00369439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.153358, 0.172227, 0.190515, 0.214057, 0.229946, 0.244287, 0.257814, 0.271011, 0.284195, 0.293575, 0.323855, 0.349167, 0.360929, 0.380981, 0.38857");
-            values ( \
-              "-0.0121347, -0.0354377, -0.0688212, -0.0979409, -0.112597, -0.120651, -0.126432, -0.127301, -0.123512, -0.11346, -0.0523333, -0.0208745, -0.0131247, -0.00574982, -0.00456062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.148506, 0.212293, 0.225307, 0.24088, 0.265324, 0.287927, 0.309819, 0.331588, 0.353354, 0.358429, 0.364229, 0.375829, 0.397848, 0.423609, 0.438636, 0.449583, 0.463301, 0.481591, 0.492486, 0.509154, 0.531378, 0.575827, 0.629715");
-            values ( \
-              "-0.00225971, -0.106503, -0.120929, -0.134534, -0.149271, -0.157149, -0.160158, -0.158563, -0.149874, -0.146347, -0.141115, -0.126765, -0.0936564, -0.0602469, -0.045299, -0.0365033, -0.0276201, -0.0187695, -0.0148803, -0.0103777, -0.00632994, -0.00216575, -0.000547334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.171757, 0.196811, 0.211646, 0.239808, 0.285398, 0.326139, 0.365301, 0.404318, 0.443391, 0.468883, 0.486204, 0.520846, 0.575445, 0.618522, 0.665233, 0.720852, 0.775113, 0.812927, 0.878912, 0.923803");
-            values ( \
-              "-0.0809959, -0.0878234, -0.11203, -0.142809, -0.170973, -0.18209, -0.185267, -0.183075, -0.177853, -0.170655, -0.163801, -0.141879, -0.0955462, -0.0651437, -0.0412647, -0.023137, -0.0128732, -0.00847237, -0.00393732, -0.00250147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.172661, 0.240987, 0.284999, 0.332796, 0.365093, 0.403296, 0.439786, 0.514471, 0.590925, 0.67011, 0.754228, 0.820212, 1.01184, 1.09815, 1.21854, 1.35724, 1.48921, 1.50915");
-            values ( \
-              "-0.0763237, -0.14723, -0.177264, -0.1927, -0.197768, -0.200244, -0.200648, -0.197983, -0.192499, -0.184012, -0.16889, -0.147122, -0.0697579, -0.0462437, -0.0251271, -0.0120137, -0.00584439, -0.00538735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.23329, 0.247641, 0.25367, 0.259136, 0.26567, 0.280345, 0.287751, 0.294547, 0.301031, 0.307395, 0.313753, 0.31476, 0.324264, 0.330467, 0.334814, 0.338574, 0.342697, 0.345907, 0.352327, 0.363281, 0.376388, 0.416802");
-            values ( \
-              "-0.000283364, -0.0130976, -0.0194934, -0.0281921, -0.0360962, -0.0499121, -0.0550665, -0.0590835, -0.0611411, -0.0612122, -0.0549887, -0.053331, -0.0303799, -0.0181002, -0.0120743, -0.00832356, -0.00548955, -0.00394164, -0.00197727, -0.00057877, -0.000180482, -6.00435e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.239601, 0.258352, 0.268702, 0.27738, 0.292536, 0.30339, 0.31105, 0.321355, 0.330109, 0.338872, 0.359881, 0.366893, 0.377134, 0.385211, 0.39598, 0.399193");
-            values ( \
-              "-0.0114953, -0.0309175, -0.0498606, -0.0613468, -0.0772079, -0.0854469, -0.0896939, -0.0928091, -0.0913595, -0.0809368, -0.0314217, -0.0205243, -0.0104777, -0.00601995, -0.00276592, -0.00237122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.242013, 0.261014, 0.267477, 0.280258, 0.299338, 0.315165, 0.329469, 0.342972, 0.356153, 0.369786, 0.374112, 0.378723, 0.408988, 0.421734, 0.434221, 0.446032, 0.452765, 0.466232, 0.486548");
-            values ( \
-              "-0.0160463, -0.0413067, -0.0551656, -0.0757622, -0.0990031, -0.112393, -0.121403, -0.126135, -0.127887, -0.12297, -0.11928, -0.113489, -0.0523055, -0.0334617, -0.0209158, -0.0131241, -0.0100145, -0.00570999, -0.00255202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.246155, 0.263661, 0.27942, 0.297775, 0.326235, 0.350626, 0.373205, 0.395077, 0.416836, 0.439317, 0.443676, 0.461112, 0.48302, 0.508937, 0.531306, 0.554295, 0.577996, 0.592215, 0.620652, 0.655609");
-            values ( \
-              "-0.0267875, -0.0524678, -0.0815551, -0.107777, -0.135379, -0.149038, -0.157746, -0.159832, -0.159044, -0.149434, -0.146338, -0.126683, -0.0937751, -0.0601513, -0.039159, -0.0245061, -0.0148022, -0.0108759, -0.00572607, -0.00279634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.258287, 0.282364, 0.297199, 0.32522, 0.370719, 0.411423, 0.450569, 0.489565, 0.528635, 0.554402, 0.571452, 0.605552, 0.661129, 0.70346, 0.750388, 0.774455, 0.806545, 0.860204, 0.897634, 0.963618, 1.01984");
-            values ( \
-              "-0.0855774, -0.0888596, -0.112964, -0.143316, -0.171219, -0.18223, -0.185361, -0.18307, -0.177912, -0.170583, -0.16381, -0.142314, -0.0951939, -0.0653263, -0.0412971, -0.0322914, -0.0230235, -0.0128919, -0.00852386, -0.00396005, -0.00215261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.258848, 0.326339, 0.371771, 0.407284, 0.442427, 0.495784, 0.525057, 0.583602, 0.676085, 0.781661, 0.83938, 0.905365, 1.09699, 1.1833, 1.30367, 1.44239, 1.57436, 1.59667");
-            values ( \
-              "-0.0785615, -0.147593, -0.178001, -0.190601, -0.196963, -0.20054, -0.200585, -0.198857, -0.192446, -0.180245, -0.168842, -0.14718, -0.0697322, -0.0462163, -0.0251469, -0.0119959, -0.00582728, -0.00531602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.43763, 0.450292, 0.457711, 0.480964, 0.497746, 0.505528, 0.511511, 0.515997, 0.521272, 0.524808, 0.54054, 0.545748, 0.553762, 0.563615, 0.574663, 0.587279");
-            values ( \
-              "-0.00366319, -0.00862852, -0.0135831, -0.0390246, -0.0530382, -0.0572948, -0.0593654, -0.0600638, -0.0579637, -0.0535945, -0.0193309, -0.0120003, -0.00542129, -0.00192178, -0.000559363, -0.000444015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.437648, 0.444403, 0.454978, 0.46325, 0.477183, 0.487058, 0.492051, 0.503665, 0.513801, 0.5181, 0.523201, 0.532166, 0.541045, 0.549919, 0.568566, 0.573054, 0.576971, 0.582193, 0.586579, 0.592575, 0.600571, 0.614062");
-            values ( \
-              "-0.00560986, -0.008571, -0.0162271, -0.0239916, -0.0448036, -0.0573931, -0.0636605, -0.0751539, -0.0828674, -0.0856563, -0.0885394, -0.0914155, -0.0903665, -0.0802353, -0.0359696, -0.027729, -0.0218464, -0.0156612, -0.0117109, -0.00781241, -0.00447314, -0.00170953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.437084, 0.454544, 0.461084, 0.475029, 0.489295, 0.51465, 0.525665, 0.54019, 0.553872, 0.567153, 0.580813, 0.588361, 0.619822, 0.63386, 0.64991, 0.667952, 0.68248, 0.689318");
-            values ( \
-              "-0.00612758, -0.019549, -0.0260409, -0.0462871, -0.0710817, -0.101041, -0.110651, -0.119942, -0.12469, -0.12717, -0.122354, -0.115113, -0.0528761, -0.03238, -0.0175498, -0.00852838, -0.00464732, -0.00379143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.438237, 0.468771, 0.497737, 0.52462, 0.535916, 0.560615, 0.573256, 0.583359, 0.605334, 0.627162, 0.648996, 0.653926, 0.659561, 0.670831, 0.700163, 0.726219, 0.745504, 0.754561, 0.772674, 0.787745, 0.812341, 0.845135, 0.894118, 0.95329");
-            values ( \
-              "-0.00417792, -0.0412584, -0.0906904, -0.122508, -0.132615, -0.148129, -0.153306, -0.156144, -0.159673, -0.15805, -0.149731, -0.146238, -0.141239, -0.127557, -0.0840878, -0.0529333, -0.0363185, -0.0302333, -0.0206871, -0.0150119, -0.00879009, -0.00414331, -0.00122881, -0.000259295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.439268, 0.513618, 0.548341, 0.574152, 0.594283, 0.621815, 0.661138, 0.700239, 0.739332, 0.763576, 0.782115, 0.819194, 0.88723, 0.918949, 0.962424, 1.00618, 1.03946, 1.07376, 1.15245, 1.20204");
-            values ( \
-              "-0.00635634, -0.11603, -0.150606, -0.166226, -0.174225, -0.181019, -0.184684, -0.183279, -0.177458, -0.17099, -0.1638, -0.139911, -0.0833724, -0.0624456, -0.0407546, -0.0259291, -0.0181318, -0.0125088, -0.00516603, -0.00308666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.478572, 0.524995, 0.549336, 0.574113, 0.594814, 0.622416, 0.659932, 0.698348, 0.734707, 0.809399, 0.885869, 0.965054, 0.991454, 1.04917, 1.11515, 1.25103, 1.30678, 1.3931, 1.44135, 1.51345, 1.57646, 1.65218, 1.71817, 1.78415, 1.94061");
-            values ( \
-              "-0.128773, -0.133366, -0.156539, -0.172962, -0.182415, -0.190899, -0.197445, -0.200074, -0.200553, -0.197953, -0.192493, -0.184016, -0.180184, -0.168897, -0.147122, -0.0892682, -0.0697649, -0.0462466, -0.0363721, -0.0251223, -0.0180146, -0.0120204, -0.00839472, -0.00585134, -0.00277516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.885861, 0.90804, 0.913216, 0.941996, 0.963625, 0.980352, 0.987973, 0.995574, 1.01327, 1.01795, 1.02696, 1.03073, 1.03827, 1.05013, 1.05864");
-            values ( \
-              "-0.0042696, -0.00870361, -0.0108484, -0.029724, -0.0422499, -0.0503641, -0.0519998, -0.0486367, -0.0172935, -0.0115674, -0.00498542, -0.00344964, -0.00161157, -0.000470922, -0.000412279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.880862, 0.904354, 0.91792, 0.969548, 0.992406, 1.00232, 1.01195, 1.02203, 1.04258, 1.05175, 1.05834, 1.07247, 1.08513, 1.10249, 1.12391");
-            values ( \
-              "-0.00307774, -0.0106654, -0.0180555, -0.0617423, -0.0790057, -0.0835027, -0.084274, -0.075147, -0.0316308, -0.018513, -0.0121762, -0.00477377, -0.00192575, -0.000534549, -0.000153597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.87129, 0.897084, 0.909974, 0.916563, 0.925348, 0.931701, 0.950694, 0.975614, 0.994218, 1.0101, 1.02464, 1.03866, 1.05266, 1.0667, 1.07047, 1.08542, 1.09231, 1.09692, 1.10528, 1.10765, 1.11239, 1.12188, 1.12848, 1.13091, 1.13578, 1.14552, 1.16501, 1.17062");
-            values ( \
-              "-0.00248637, -0.00982794, -0.0168864, -0.0213142, -0.0281144, -0.0340393, -0.0538353, -0.0804054, -0.0994065, -0.111344, -0.118205, -0.120787, -0.117739, -0.102811, -0.0959835, -0.0657444, -0.0533219, -0.0459153, -0.0344355, -0.0316527, -0.0266489, -0.0186237, -0.0144377, -0.01313, -0.0108445, -0.00732962, -0.00320726, -0.00273791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.862659, 0.915295, 0.91955, 0.928061, 0.94096, 0.956369, 0.994529, 1.00475, 1.02493, 1.03106, 1.04333, 1.05493, 1.0778, 1.10043, 1.12305, 1.12746, 1.1325, 1.14038, 1.14958, 1.16347, 1.18039, 1.18694, 1.19362, 1.20254, 1.21623, 1.22346, 1.22755, 1.23574, 1.251, 1.26132, 1.26455, 1.271, 1.28391, 1.30973, 1.34074");
-            values ( \
-              "-0.00116022, -0.0232045, -0.0265381, -0.034125, -0.0471804, -0.0655056, -0.111962, -0.122328, -0.13699, -0.140401, -0.145797, -0.149657, -0.153662, -0.152989, -0.145335, -0.142673, -0.138825, -0.130936, -0.119114, -0.0991259, -0.0760215, -0.0680125, -0.0604569, -0.0513638, -0.0395381, -0.0343205, -0.0316505, -0.026863, -0.0196147, -0.0157989, -0.0147608, -0.0128738, -0.00975704, -0.00550188, -0.0030544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.913277, 0.957389, 0.992415, 1.00267, 1.02208, 1.04906, 1.06545, 1.08012, 1.09383, 1.11455, 1.13436, 1.17458, 1.21481, 1.2313, 1.24378, 1.25831, 1.28021, 1.35953, 1.39841, 1.42436, 1.44545, 1.46998, 1.5027, 1.53001, 1.57156, 1.62695, 1.69294, 1.75892, 1.82491");
-            values ( \
-              "-0.0491605, -0.0703173, -0.117241, -0.12912, -0.145735, -0.161516, -0.167771, -0.172105, -0.174992, -0.177943, -0.179176, -0.178405, -0.173071, -0.169938, -0.166791, -0.161742, -0.150219, -0.0864434, -0.0607846, -0.0472358, -0.0382276, -0.0296981, -0.0209804, -0.0156405, -0.00992878, -0.00528736, -0.00245091, -0.00112915, -0.000520288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.925199, 0.975639, 0.992237, 1.01996, 1.06547, 1.10759, 1.1322, 1.1715, 1.26687, 1.32154, 1.36192, 1.4279, 1.46796, 1.52546, 1.59144, 1.72714, 1.78311, 1.86979, 1.9175, 1.98944, 2.05259, 2.12876, 2.19474, 2.26073, 2.3927, 2.65664");
-            values ( \
-              "-0.0753954, -0.0986676, -0.121984, -0.150212, -0.175935, -0.187865, -0.191664, -0.19478, -0.196343, -0.194522, -0.191865, -0.185488, -0.180042, -0.168802, -0.147121, -0.089347, -0.0697299, -0.0461386, -0.0364087, -0.0251727, -0.0180174, -0.0119901, -0.00839215, -0.00583114, -0.00281185, -0.000643408" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00710154, 0.00711559, 0.00713066, 0.00714423, 0.00715395, 0.00715983", \
-            "0.00786376, 0.00787795, 0.00789545, 0.00791045, 0.00792216, 0.00792961", \
-            "0.00829582, 0.00830689, 0.00832276, 0.00833957, 0.00835209, 0.00836056", \
-            "0.00857431, 0.00858056, 0.00859058, 0.00860353, 0.00861594, 0.00862405", \
-            "0.00874784, 0.00874989, 0.00875381, 0.00876024, 0.00876832, 0.00877566", \
-            "0.00884602, 0.00884634, 0.00884709, 0.00884874, 0.00885182, 0.00885592" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00927847, 0.00931463, 0.00934151, 0.009377, 0.00940339, 0.00941964", \
-            "0.00991388, 0.00994089, 0.00997876, 0.0100217, 0.0100562, 0.0100788", \
-            "0.0103004, 0.010314, 0.010337, 0.0103679, 0.0104009, 0.0104252", \
-            "0.0105891, 0.0105852, 0.0105838, 0.0105898, 0.0106037, 0.0106205", \
-            "0.0109306, 0.0109233, 0.0109115, 0.0108979, 0.0108892, 0.0108888", \
-            "0.0114163, 0.0114392, 0.0114551, 0.0114597, 0.0114549, 0.0114483" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.310132, 0.359826, 0.456419, 0.645341, 1.01719, 1.75643", \
-            "0.314906, 0.364842, 0.461435, 0.650471, 1.02132, 1.76037", \
-            "0.326356, 0.376454, 0.473343, 0.663169, 1.03473, 1.77424", \
-            "0.352127, 0.402265, 0.499543, 0.689495, 1.06091, 1.8007", \
-            "0.410695, 0.461656, 0.560139, 0.751275, 1.12353, 1.86515", \
-            "0.519878, 0.580167, 0.69197, 0.894332, 1.27367, 2.01526" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0423553, 0.0610483, 0.10001, 0.182888, 0.358466, 0.724788", \
-            "0.0423498, 0.0610366, 0.100053, 0.182902, 0.358524, 0.724798", \
-            "0.0423021, 0.0610008, 0.100011, 0.182899, 0.358459, 0.724796", \
-            "0.0423692, 0.0610287, 0.0999453, 0.18288, 0.358524, 0.724926", \
-            "0.0423948, 0.061076, 0.0999798, 0.182909, 0.358491, 0.724788", \
-            "0.0431789, 0.062222, 0.100524, 0.182918, 0.358497, 0.724795" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.241561, 0.279723, 0.352913, 0.492955, 0.76706, 1.30664", \
-            "0.24514, 0.283457, 0.356532, 0.497587, 0.770995, 1.30976", \
-            "0.253677, 0.29214, 0.365849, 0.506831, 0.780538, 1.31968", \
-            "0.27426, 0.313016, 0.387, 0.527865, 0.80161, 1.34156", \
-            "0.31843, 0.360442, 0.437738, 0.582017, 0.85583, 1.39546", \
-            "0.376347, 0.42911, 0.525874, 0.694248, 0.983663, 1.52491" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0348987, 0.0487531, 0.0758178, 0.130924, 0.245502, 0.4889", \
-            "0.034895, 0.0487309, 0.075904, 0.130575, 0.245503, 0.488874", \
-            "0.0348913, 0.0487479, 0.0758463, 0.130479, 0.245512, 0.488923", \
-            "0.0349044, 0.0487136, 0.0758513, 0.130872, 0.245635, 0.488991", \
-            "0.0350756, 0.0488791, 0.0758819, 0.130493, 0.245768, 0.488996", \
-            "0.034908, 0.0487373, 0.0758592, 0.130987, 0.245874, 0.489054" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.267029, 0.273736, 0.297119, 0.304562, 0.31125, 0.317629, 0.32401, 0.330367, 0.340236, 0.350488, 0.361225, 0.370801, 0.383216, 0.398138, 0.414074");
-            values ( \
-              "0.00627206, 0.0123458, 0.0484321, 0.0561058, 0.0601593, 0.0617779, 0.0604562, 0.0539859, 0.0357661, 0.0222831, 0.0133077, 0.00821127, 0.00434211, 0.00205785, 0.00127535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.298216, 0.306238, 0.309796, 0.324096, 0.332534, 0.339154, 0.349277, 0.358589, 0.3677, 0.376986, 0.382624, 0.396256, 0.40382, 0.408625, 0.418098, 0.428557, 0.437075, 0.44262, 0.45172, 0.462536, 0.473985, 0.482974, 0.500951, 0.50578");
-            values ( \
-              "0.00830329, 0.0161784, 0.0216244, 0.0506776, 0.0657449, 0.0747664, 0.084603, 0.0884114, 0.0888904, 0.0847197, 0.078916, 0.0573925, 0.0466368, 0.0404815, 0.0301709, 0.0215328, 0.0161362, 0.0133503, 0.00971994, 0.00660896, 0.00440247, 0.00318859, 0.00164393, 0.00146102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.361136, 0.376841, 0.398191, 0.40895, 0.419481, 0.434798, 0.449468, 0.464495, 0.479749, 0.53871, 0.561226, 0.587961, 0.611274, 0.642864, 0.66702, 0.682558");
-            values ( \
-              "0.00908618, 0.0294, 0.0753763, 0.0932118, 0.105052, 0.113347, 0.114721, 0.111419, 0.103535, 0.0456856, 0.0300977, 0.0176551, 0.010833, 0.00548892, 0.00320861, 0.00247661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.481035, 0.505546, 0.545381, 0.560341, 0.573527, 0.592386, 0.612444, 0.625655, 0.651939, 0.67841, 0.700654, 0.783455, 0.83053, 0.882702, 0.921807, 0.950293, 0.984378, 1.02982, 1.08107");
-            values ( \
-              "0.00919798, 0.0349295, 0.111181, 0.12551, 0.131583, 0.134567, 0.133124, 0.130808, 0.124828, 0.11552, 0.104292, 0.0554729, 0.0349874, 0.0199678, 0.0128326, 0.00920777, 0.00616604, 0.00355119, 0.00209587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.727109, 0.768148, 0.792637, 0.809695, 0.821405, 0.844782, 0.864867, 0.883833, 0.909121, 0.94276, 0.971217, 1.02466, 1.05365, 1.08213, 1.13909, 1.25373, 1.34214, 1.39239, 1.42462, 1.49437, 1.53166, 1.59926, 1.67676, 1.7388, 1.84969, 1.93126");
-            values ( \
-              "0.00453046, 0.065572, 0.109172, 0.129203, 0.137652, 0.14597, 0.147708, 0.147258, 0.145323, 0.141739, 0.138302, 0.130607, 0.125451, 0.11924, 0.103254, 0.0669344, 0.0439041, 0.0337922, 0.0283599, 0.0191521, 0.0154289, 0.0103154, 0.00647949, 0.00442859, 0.0021899, 0.00136983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.23797, 1.2875, 1.30645, 1.32819, 1.35397, 1.37866, 1.39766, 1.45395, 1.50235, 1.65562, 1.75087, 1.83306, 1.88043, 1.97518, 2.20592, 2.36503, 2.51238, 2.61517, 2.6766, 2.82928, 2.94016, 3.08263, 3.19351, 3.3044, 3.52617, 3.62256");
-            values ( \
-              "0.0915271, 0.11459, 0.133973, 0.146543, 0.153343, 0.155013, 0.155299, 0.153559, 0.151037, 0.141635, 0.134642, 0.127201, 0.121877, 0.10885, 0.0720797, 0.0499869, 0.0342552, 0.0258842, 0.0217985, 0.0140434, 0.0101283, 0.00661741, 0.00471775, 0.00337412, 0.00171154, 0.00143514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.282357, 0.290711, 0.312525, 0.319966, 0.326653, 0.333031, 0.339411, 0.345768, 0.354492, 0.365449, 0.383105, 0.396276, 0.410882, 0.421666");
-            values ( \
-              "0.00624616, 0.0145263, 0.0484028, 0.0561633, 0.0601253, 0.061827, 0.0604202, 0.0540281, 0.0375639, 0.0227774, 0.00960183, 0.00491485, 0.0023582, 0.00172219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.313859, 0.320002, 0.324601, 0.34254, 0.347733, 0.354777, 0.364894, 0.374204, 0.383312, 0.392409, 0.39827, 0.411798, 0.419485, 0.424364, 0.433802, 0.443886, 0.452082, 0.456699, 0.468926, 0.478711, 0.491299, 0.508084, 0.521898");
-            values ( \
-              "0.00841137, 0.0135484, 0.0202885, 0.0559698, 0.0649721, 0.0749599, 0.0844944, 0.0885633, 0.0887757, 0.0849352, 0.078881, 0.0575109, 0.0465651, 0.0403239, 0.0300847, 0.021734, 0.0164761, 0.0140758, 0.00918744, 0.00648563, 0.00413894, 0.00223448, 0.00150214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.369121, 0.389136, 0.425263, 0.434944, 0.450301, 0.46499, 0.479676, 0.493819, 0.554665, 0.576445, 0.603471, 0.627242, 0.658091, 0.700195");
-            values ( \
-              "0.00713592, 0.0224749, 0.093911, 0.104579, 0.113238, 0.114547, 0.111505, 0.104519, 0.0453207, 0.0302698, 0.0176532, 0.0107252, 0.00552411, 0.0028178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.503865, 0.52751, 0.539555, 0.551393, 0.56128, 0.576322, 0.589428, 0.608322, 0.615445, 0.628351, 0.641564, 0.667852, 0.68065, 0.694302, 0.704582, 0.716592, 0.772407, 0.79945, 0.828979, 0.846377, 0.8581, 0.881546, 0.898607, 0.917751, 0.937983, 0.966347, 1.00005, 1.04499, 1.12823, 1.22539");
-            values ( \
-              "0.010762, 0.0479374, 0.0740047, 0.0968734, 0.11123, 0.125544, 0.131572, 0.134526, 0.134224, 0.133085, 0.130813, 0.124797, 0.120757, 0.115525, 0.110731, 0.104274, 0.0701958, 0.0554329, 0.0417144, 0.0350116, 0.0309953, 0.0240876, 0.0199703, 0.0161166, 0.012795, 0.00919319, 0.00618409, 0.00358543, 0.0011975, 0.000313404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.756209, 0.781284, 0.806824, 0.822185, 0.835948, 0.85636, 0.876974, 0.903556, 0.935023, 0.956547, 1.03731, 1.06862, 1.09687, 1.15336, 1.26789, 1.29922, 1.35654, 1.38768, 1.44021, 1.50867, 1.54496, 1.61351, 1.69265, 1.75632, 1.86721, 1.97344");
-            values ( \
-              "0.0534997, 0.061234, 0.106821, 0.125915, 0.136783, 0.14482, 0.147419, 0.146891, 0.144265, 0.141899, 0.130984, 0.125396, 0.119236, 0.103394, 0.067105, 0.0582347, 0.0439776, 0.0374574, 0.0282264, 0.0191997, 0.0155594, 0.0103439, 0.00643303, 0.00435199, 0.00215155, 0.00110218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.19683, 1.36651, 1.38323, 1.40551, 1.437, 1.4686, 1.56741, 1.71471, 1.77841, 1.84775, 1.89509, 1.98977, 2.22058, 2.3797, 2.52703, 2.62981, 2.71522, 2.84395, 2.95483, 3.09726, 3.20815, 3.31903, 3.5408, 3.63521");
-            values ( \
-              "0.0131772, 0.15258, 0.154391, 0.155222, 0.154732, 0.153528, 0.148202, 0.138509, 0.13359, 0.127198, 0.121874, 0.108861, 0.0720776, 0.0499843, 0.0342541, 0.025887, 0.0203548, 0.0140414, 0.0101296, 0.00661952, 0.00471654, 0.00337597, 0.00171324, 0.00144252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.319173, 0.323908, 0.327927, 0.340533, 0.349764, 0.356324, 0.358936, 0.363871, 0.366777, 0.370241, 0.376576, 0.380867, 0.393307, 0.399724, 0.404957, 0.409273, 0.415026, 0.422563, 0.427174, 0.435252, 0.445463, 0.453762, 0.470361, 0.472071");
-            values ( \
-              "0.00622562, 0.00949619, 0.0144159, 0.0354006, 0.0485172, 0.0552837, 0.0573285, 0.0601262, 0.0611699, 0.0616558, 0.0605189, 0.0566637, 0.0349926, 0.0261148, 0.0204388, 0.0166246, 0.01251, 0.0085697, 0.00677811, 0.00446561, 0.00266967, 0.00176271, 0.000754684, 0.000716285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.363843, 0.377576, 0.381612, 0.38355, 0.387427, 0.38966, 0.391894, 0.394127, 0.39636, 0.398594, 0.401409, 0.403736, 0.406104, 0.40789, 0.410438, 0.411287, 0.412985, 0.414683, 0.416382, 0.41808, 0.420378, 0.421513, 0.422648, 0.424918, 0.427281, 0.429645, 0.43199, 0.434335, 0.43668, 0.43924, 0.445063, 0.450169, 0.455085, 0.45937, 0.463655, 0.46794, 0.474274, 0.475915, 0.477009, 0.48029, 0.482478, 0.486853, 0.489041, 0.492839, 0.495658, 0.498477, 0.501141, 0.506316, 0.513545, 0.520007");
-            values ( \
-              "0.0485623, 0.0525057, 0.0600594, 0.0634024, 0.0695534, 0.0724534, 0.0751375, 0.0776059, 0.0798584, 0.0818952, 0.084149, 0.085668, 0.0869395, 0.0877287, 0.0884811, 0.0886601, 0.0888161, 0.0888914, 0.0888862, 0.0888004, 0.0885559, 0.0883055, 0.0879695, 0.0870403, 0.0855545, 0.0837618, 0.0816805, 0.0792972, 0.0766121, 0.0733096, 0.0637199, 0.055671, 0.0483321, 0.0425881, 0.037565, 0.0329996, 0.0269769, 0.0254718, 0.0245825, 0.0220722, 0.0205663, 0.0177975, 0.0165345, 0.0145342, 0.0131133, 0.0118123, 0.0107645, 0.00900386, 0.00700789, 0.00543048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.409859, 0.431259, 0.451268, 0.462862, 0.472934, 0.48828, 0.502961, 0.517752, 0.532077, 0.589974, 0.616877, 0.647964, 0.676682, 0.707851, 0.722897");
-            values ( \
-              "0.00795562, 0.0300314, 0.0740407, 0.0934619, 0.104663, 0.113309, 0.114595, 0.111499, 0.104338, 0.0474879, 0.0288479, 0.0154263, 0.00838767, 0.00427108, 0.0031886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.563919, 0.588274, 0.594203, 0.600074, 0.605945, 0.60888, 0.611816, 0.615915, 0.620015, 0.624114, 0.628214, 0.631615, 0.635016, 0.638417, 0.641818, 0.65418, 0.659346, 0.669677, 0.674843, 0.680311, 0.683045, 0.688512, 0.695294, 0.707438, 0.713837, 0.720235, 0.726634, 0.733898, 0.736495, 0.743422, 0.755396, 0.776372, 0.800318, 0.822818, 0.830583, 0.845721, 0.860467, 0.86784, 0.885022, 0.893694, 0.902366, 0.908148, 0.919711, 0.939, 0.947256, 0.953861, 0.96217, 0.977187, 0.998303, 1.01636");
-            values ( \
-              "0.091059, 0.0942948, 0.104237, 0.113139, 0.119405, 0.122091, 0.124478, 0.126958, 0.129094, 0.130885, 0.132332, 0.133237, 0.133927, 0.134403, 0.134665, 0.133807, 0.133367, 0.132341, 0.131756, 0.130851, 0.130297, 0.129099, 0.127417, 0.124013, 0.122043, 0.119951, 0.117737, 0.115048, 0.113966, 0.110564, 0.104068, 0.0914913, 0.0766466, 0.0632547, 0.059126, 0.0515665, 0.0448248, 0.041684, 0.0348721, 0.0318232, 0.0290902, 0.0273917, 0.0242214, 0.0195523, 0.0177353, 0.0164071, 0.0149437, 0.0126267, 0.0099395, 0.0078769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.783406, 0.820889, 0.846061, 0.862473, 0.875052, 0.897767, 0.917791, 0.924925, 0.939193, 0.967729, 1.02497, 1.07842, 1.13264, 1.15495, 1.1764, 1.28337, 1.3451, 1.39722, 1.44099, 1.47504, 1.53093, 1.5551, 1.59766, 1.65779, 1.72028, 1.76813, 1.86383, 1.97471, 2.0856, 2.19648");
-            values ( \
-              "0.0142384, 0.0625349, 0.107476, 0.127784, 0.136988, 0.145757, 0.147443, 0.147677, 0.146995, 0.144975, 0.138328, 0.130653, 0.119988, 0.11436, 0.108226, 0.0741906, 0.0563827, 0.0436087, 0.034733, 0.0288833, 0.0211205, 0.0183942, 0.0143569, 0.010033, 0.00689842, 0.00515691, 0.00282855, 0.00139388, 0.000682508, 0.000335222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.28023, 1.31993, 1.34546, 1.36026, 1.38428, 1.41141, 1.4373, 1.46527, 1.50784, 1.60668, 1.75426, 1.8177, 1.88642, 1.93436, 2.03024, 2.25984, 2.41886, 2.56643, 2.66938, 2.7544, 2.88301, 2.99389, 3.13695, 3.24784, 3.35872, 3.58049, 3.68776");
-            values ( \
-              "0.0662992, 0.0839138, 0.119069, 0.133485, 0.147359, 0.153698, 0.155235, 0.155099, 0.153535, 0.148174, 0.138487, 0.133587, 0.127258, 0.121877, 0.108679, 0.072082, 0.0500005, 0.0342446, 0.0258645, 0.0203621, 0.0140517, 0.0101346, 0.0066097, 0.00471194, 0.00337022, 0.00170963, 0.00140241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.40579, 0.416233, 0.425212, 0.43226, 0.436811, 0.444261, 0.450952, 0.457333, 0.463716, 0.470074, 0.4767, 0.480936, 0.48711, 0.492167, 0.500266, 0.505782, 0.511017, 0.517998, 0.526441, 0.531936, 0.542926, 0.562504, 0.564534");
-            values ( \
-              "0.00269119, 0.0161407, 0.0314916, 0.0424866, 0.0483404, 0.0561134, 0.0601039, 0.0617963, 0.060417, 0.0540079, 0.0412372, 0.0341933, 0.0257832, 0.0203599, 0.0137391, 0.0104317, 0.00801078, 0.00558603, 0.00363326, 0.00276389, 0.00157125, 0.000579766, 0.000544813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.452308, 0.466746, 0.471008, 0.473138, 0.475269, 0.476206, 0.479018, 0.480893, 0.482767, 0.484642, 0.487166, 0.489115, 0.491534, 0.493953, 0.497308, 0.498426, 0.500703, 0.50298, 0.505256, 0.507533, 0.50981, 0.512088, 0.514365, 0.516642, 0.517389, 0.518696, 0.52019, 0.522432, 0.525868, 0.531595, 0.536177, 0.539475, 0.543608, 0.544789, 0.548331, 0.550693, 0.553055, 0.555416, 0.557778, 0.564343, 0.568867, 0.573391, 0.575653, 0.577915, 0.584389, 0.58895, 0.593511, 0.598072, 0.603015, 0.606831");
-            values ( \
-              "0.0554864, 0.0562408, 0.0635764, 0.0669943, 0.0702455, 0.0715424, 0.0751439, 0.0772218, 0.0791547, 0.0809427, 0.0831214, 0.0846239, 0.0861801, 0.0874076, 0.0878823, 0.087981, 0.0880902, 0.0880761, 0.0879388, 0.0876782, 0.0872018, 0.0865652, 0.0857683, 0.0848112, 0.084237, 0.0830124, 0.0814088, 0.0786722, 0.0737382, 0.0649216, 0.0573345, 0.0526007, 0.0464127, 0.0448656, 0.0404508, 0.0376962, 0.0350925, 0.0326397, 0.0303378, 0.0244139, 0.0210947, 0.0181633, 0.0168319, 0.0155899, 0.0123671, 0.0105037, 0.00900536, 0.00768468, 0.00647371, 0.00560045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.502318, 0.519743, 0.542258, 0.553279, 0.559901, 0.573144, 0.579234, 0.587354, 0.594738, 0.604594, 0.619446, 0.62961, 0.665499, 0.687944, 0.712148, 0.728327, 0.747223, 0.76384, 0.793701, 0.819545, 0.852307");
-            values ( \
-              "0.00344127, 0.0349618, 0.0825092, 0.0985657, 0.105113, 0.112812, 0.114216, 0.114642, 0.11386, 0.111392, 0.104047, 0.0954862, 0.0576405, 0.0388698, 0.0244768, 0.0176502, 0.0119065, 0.00834195, 0.00437196, 0.00244922, 0.00129778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.622582, 0.643685, 0.687148, 0.6993, 0.715305, 0.733806, 0.754195, 0.767406, 0.794544, 0.820161, 0.842407, 0.925209, 0.972279, 1.02445, 1.06357, 1.09205, 1.12612, 1.17154, 1.22321");
-            values ( \
-              "0.00897235, 0.029028, 0.11138, 0.123417, 0.131733, 0.134489, 0.13305, 0.130882, 0.124509, 0.115516, 0.104294, 0.0554692, 0.0349898, 0.019969, 0.0128301, 0.00920818, 0.00616771, 0.00355166, 0.00208531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.885501, 0.919055, 0.933386, 0.948395, 0.962559, 0.982653, 1.00336, 1.01245, 1.03064, 1.08319, 1.16392, 1.19534, 1.22349, 1.27979, 1.39427, 1.48278, 1.53613, 1.57889, 1.61773, 1.66157, 1.72003, 1.77038, 1.84714, 1.94949, 2.06037, 2.07939");
-            values ( \
-              "0.0517956, 0.081982, 0.106586, 0.125414, 0.136722, 0.144718, 0.147394, 0.147411, 0.146857, 0.141891, 0.130981, 0.125376, 0.119236, 0.103455, 0.0671761, 0.0440599, 0.0333621, 0.0263931, 0.0212183, 0.0164945, 0.0116804, 0.00865064, 0.00543435, 0.00285181, 0.00140559, 0.00131403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.3269, 1.47222, 1.49943, 1.52497, 1.55259, 1.58659, 1.69473, 1.83087, 1.89732, 1.95657, 2.0224, 2.13328, 2.28476, 2.39458, 2.50002, 2.57705, 2.66318, 2.77406, 2.83635, 2.94014, 3.05103, 3.16347, 3.2342, 3.34508, 3.45597, 3.67774, 3.8995, 4.12127");
-            values ( \
-              "0.0021731, 0.146865, 0.153483, 0.155216, 0.155052, 0.153959, 0.148208, 0.139288, 0.134301, 0.129029, 0.121903, 0.106383, 0.08199, 0.0651315, 0.050884, 0.0419567, 0.0334747, 0.0246785, 0.0207178, 0.0153797, 0.0111009, 0.00794722, 0.00643511, 0.00459095, 0.00327917, 0.00166232, 0.000841271, 0.000426169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.612036, 0.620867, 0.642957, 0.652095, 0.657111, 0.663497, 0.669856, 0.676247, 0.687051, 0.698323, 0.716589, 0.729064, 0.744299, 0.752019");
-            values ( \
-              "0.00600612, 0.0140742, 0.0482166, 0.0571478, 0.0600259, 0.0615802, 0.0603334, 0.053908, 0.034272, 0.0203717, 0.00826291, 0.00436199, 0.00202112, 0.00165119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.645555, 0.648881, 0.655956, 0.673877, 0.678134, 0.686155, 0.696289, 0.705608, 0.714723, 0.723825, 0.729619, 0.74335, 0.750797, 0.75553, 0.764997, 0.775949, 0.784885, 0.789363, 0.796679, 0.806434, 0.814098, 0.825496, 0.840694, 0.859365");
-            values ( \
-              "0.00838103, 0.0104721, 0.0204077, 0.0557009, 0.0632555, 0.0747843, 0.0844198, 0.088466, 0.0887551, 0.0848836, 0.0789481, 0.0572855, 0.0467072, 0.0406305, 0.0302962, 0.0212746, 0.0157079, 0.0134786, 0.0104515, 0.00739379, 0.00563526, 0.0037519, 0.00215403, 0.00120955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.731884, 0.756315, 0.75909, 0.761864, 0.76352, 0.765176, 0.768487, 0.771799, 0.77511, 0.778422, 0.781734, 0.783783, 0.785833, 0.787883, 0.789933, 0.794032, 0.798432, 0.801959, 0.803134, 0.805652, 0.80817, 0.810688, 0.813206, 0.820635, 0.828575, 0.83011, 0.834205, 0.838301, 0.850151, 0.862001, 0.874226, 0.878039, 0.881852, 0.885665, 0.889479, 0.893292, 0.897105, 0.903623, 0.910522, 0.915122, 0.919721, 0.926381, 0.93304, 0.936932, 0.942769, 0.944715, 0.952499, 0.960282, 0.970367, 0.979756");
-            values ( \
-              "0.0844754, 0.0917911, 0.0956673, 0.0991502, 0.100789, 0.102336, 0.105154, 0.107503, 0.10955, 0.111297, 0.112742, 0.113486, 0.113917, 0.114244, 0.114388, 0.114517, 0.114417, 0.113908, 0.113684, 0.113049, 0.112331, 0.111529, 0.110644, 0.107343, 0.10346, 0.10249, 0.0990326, 0.0951983, 0.0827335, 0.0697413, 0.057244, 0.0537172, 0.0503501, 0.0471583, 0.0441159, 0.0412229, 0.0384793, 0.0340913, 0.0296595, 0.027197, 0.0248871, 0.0218908, 0.0190591, 0.0174804, 0.015414, 0.0147818, 0.0126376, 0.0107439, 0.00870261, 0.00696695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.831749, 0.85217, 0.896757, 0.908898, 0.924909, 0.938501, 0.950879, 0.971583, 0.987868, 1.00414, 1.03, 1.05181, 1.11911, 1.16384, 1.19532, 1.23915, 1.26894, 1.29985, 1.33897, 1.39112, 1.45305");
-            values ( \
-              "0.00918775, 0.0271958, 0.111453, 0.12341, 0.131767, 0.134145, 0.134296, 0.131865, 0.128586, 0.1245, 0.115435, 0.104433, 0.0638255, 0.0419741, 0.0304234, 0.0188721, 0.0134695, 0.00939849, 0.00592787, 0.00312643, 0.00158306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.09772, 1.12768, 1.14393, 1.15486, 1.17102, 1.18473, 1.19998, 1.22283, 1.24733, 1.27204, 1.32294, 1.3764, 1.43062, 1.45293, 1.47438, 1.58135, 1.64308, 1.6952, 1.73897, 1.77302, 1.82892, 1.85308, 1.89564, 1.95578, 2.01826, 2.06611, 2.16181, 2.2727, 2.38358, 2.49447");
-            values ( \
-              "0.0597112, 0.0789936, 0.1071, 0.12161, 0.135672, 0.142318, 0.145956, 0.147549, 0.1464, 0.144258, 0.138292, 0.130617, 0.120019, 0.11436, 0.108222, 0.0741884, 0.0563842, 0.0436069, 0.034734, 0.0288821, 0.0211194, 0.0183952, 0.0143558, 0.0100341, 0.00689931, 0.00515601, 0.00282944, 0.00139299, 0.000683391, 0.000334342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.59162, 1.6416, 1.66051, 1.68224, 1.70798, 1.73301, 1.75267, 1.78022, 1.85641, 2.0065, 2.10493, 2.18712, 2.23449, 2.32924, 2.55998, 2.71909, 2.86644, 2.96923, 3.03066, 3.18334, 3.29422, 3.43669, 3.54757, 3.65846, 3.88023, 3.97309");
-            values ( \
-              "0.0896934, 0.114697, 0.133933, 0.146599, 0.153286, 0.155077, 0.155243, 0.154645, 0.15109, 0.141864, 0.134642, 0.127201, 0.121877, 0.108849, 0.0720798, 0.049987, 0.0342552, 0.0258841, 0.0217985, 0.0140435, 0.0101282, 0.00661732, 0.0047178, 0.00337404, 0.00171146, 0.00144519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.07618, 1.0835, 1.10674, 1.11439, 1.12125, 1.12776, 1.13425, 1.14074, 1.15171, 1.16304, 1.18206, 1.19763, 1.21423, 1.21659");
-            values ( \
-              "0.00670755, 0.0131955, 0.0469655, 0.0546819, 0.0588038, 0.0605406, 0.0594106, 0.0533, 0.0338605, 0.0201706, 0.0079269, 0.00357778, 0.00154185, 0.00144391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.11758, 1.12855, 1.15876, 1.16912, 1.17863, 1.1879, 1.19717, 1.20242, 1.22688, 1.24052, 1.25125, 1.26755, 1.28536, 1.30441, 1.32619, 1.33792");
-            values ( \
-              "0.00912627, 0.0213609, 0.0728768, 0.0827349, 0.0867858, 0.0873658, 0.0836385, 0.0787376, 0.0433789, 0.0286038, 0.0201788, 0.0115943, 0.00619963, 0.00315099, 0.00140587, 0.00117922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.19606, 1.21143, 1.2367, 1.24806, 1.25487, 1.26847, 1.28188, 1.29174, 1.30002, 1.31497, 1.379, 1.40439, 1.42386, 1.44629, 1.47799, 1.50045, 1.52054");
-            values ( \
-              "0.0104521, 0.0294518, 0.0794265, 0.095859, 0.103093, 0.111336, 0.113817, 0.112961, 0.110841, 0.103793, 0.042201, 0.0261368, 0.0176564, 0.0110616, 0.00559581, 0.00340045, 0.0023964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.33218, 1.36079, 1.37697, 1.38893, 1.39486, 1.40671, 1.42309, 1.44151, 1.44909, 1.462, 1.47521, 1.5015, 1.51429, 1.52793, 1.55027, 1.60606, 1.6332, 1.6627, 1.67995, 1.69156, 1.71479, 1.73225, 1.75186, 1.77194, 1.80016, 1.83341, 1.87775, 1.96092, 2.05777");
-            values ( \
-              "0.00137808, 0.0471319, 0.0814927, 0.102722, 0.110879, 0.122855, 0.13156, 0.134428, 0.134243, 0.133027, 0.130848, 0.124748, 0.120757, 0.115527, 0.104256, 0.0701962, 0.0553823, 0.0416882, 0.0350423, 0.0310572, 0.0241987, 0.0199754, 0.0160365, 0.0127505, 0.00917811, 0.0062073, 0.00362547, 0.00121345, 0.000318305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.5643, 1.69982, 1.72048, 1.72813, 1.74344, 1.77189, 1.80773, 1.86919, 1.9067, 1.93911, 1.99774, 2.08194, 2.1171, 2.15454, 2.20216, 2.23916, 2.2885, 2.32355, 2.3516, 2.389, 2.45638, 2.50257, 2.53335, 2.5949, 2.70579, 2.81667, 3.03844");
-            values ( \
-              "0.00563924, 0.145354, 0.14751, 0.147586, 0.147011, 0.144814, 0.140794, 0.132523, 0.12619, 0.119263, 0.10272, 0.0756537, 0.0650981, 0.0547366, 0.0432779, 0.0357059, 0.027275, 0.0224437, 0.019136, 0.0153792, 0.0103202, 0.00780202, 0.00650912, 0.00443714, 0.00221706, 0.00106569, 0.000243141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("2.07476, 2.16626, 2.18593, 2.2121, 2.22784, 2.24883, 2.28127, 2.31383, 2.41264, 2.55995, 2.69295, 2.74032, 2.83506, 3.0658, 3.22492, 3.37226, 3.47505, 3.56044, 3.68916, 3.80005, 3.94251, 4.0534, 4.16428, 4.38605, 4.4427");
-            values ( \
-              "0.0313959, 0.133681, 0.145577, 0.152948, 0.154522, 0.15522, 0.154812, 0.153502, 0.148174, 0.138506, 0.127199, 0.121877, 0.10885, 0.0720798, 0.049987, 0.0342552, 0.0258843, 0.0203566, 0.0140432, 0.0101283, 0.00661762, 0.00471765, 0.0033743, 0.00171171, 0.00154927" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00770199, 0.00773044, 0.00776307, 0.00779203, 0.00781246, 0.00782465", \
-            "0.00877202, 0.00880744, 0.00885262, 0.00889712, 0.00893108, 0.0089524", \
-            "0.00935588, 0.00939067, 0.00943937, 0.00949324, 0.00953867, 0.0095691", \
-            "0.0097402, 0.00975816, 0.00978833, 0.00982861, 0.00986849, 0.00989873", \
-            "0.0100528, 0.0100465, 0.0100421, 0.0100457, 0.0100583, 0.0100735", \
-            "0.0103489, 0.0103225, 0.0102835, 0.0102386, 0.0102022, 0.0101823" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00963864, 0.00965841, 0.0096841, 0.00970932, 0.00972842, 0.00974035", \
-            "0.00970249, 0.00969855, 0.00969625, 0.00969598, 0.00969692, 0.00969791", \
-            "0.00968369, 0.00964067, 0.00958663, 0.00953232, 0.00948957, 0.00946206", \
-            "0.00973901, 0.00966291, 0.00955781, 0.00944963, 0.00935591, 0.00929434", \
-            "0.010023, 0.00989444, 0.00971231, 0.00950899, 0.00935512, 0.00924956", \
-            "0.00942541, 0.00964071, 0.00996505, 0.00985803, 0.00956545, 0.00934686" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.203279, 0.22096, 0.230693, 0.237493, 0.243514, 0.249102, 0.254481, 0.259854, 0.265196, 0.277171, 0.284112, 0.288905, 0.294913, 0.301322, 0.30926");
-            values ( \
-              "-0.000262747, -0.0375831, -0.0530559, -0.0615032, -0.0676205, -0.0713554, -0.0734764, -0.0718982, -0.0634139, -0.0267002, -0.0133293, -0.0079184, -0.00404569, -0.00204958, -0.000904986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.230314, 0.250976, 0.262141, 0.271341, 0.279614, 0.287395, 0.294968, 0.302607, 0.30714, 0.329588, 0.3422, 0.352024, 0.359983, 0.361337");
-            values ( \
-              "-0.0173289, -0.06208, -0.0817788, -0.0936321, -0.101307, -0.105798, -0.107434, -0.103726, -0.0972695, -0.0338866, -0.014543, -0.00722356, -0.00401238, -0.00376225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.27056, 0.295071, 0.304937, 0.311783, 0.322181, 0.335841, 0.348405, 0.360444, 0.372319, 0.384187, 0.388834, 0.393082, 0.398027, 0.415159, 0.427045, 0.438713, 0.445013, 0.45387, 0.463076, 0.470129, 0.484236, 0.503836");
-            values ( \
-              "-0.00300136, -0.0651247, -0.0869795, -0.0997477, -0.114995, -0.129042, -0.13712, -0.141103, -0.140746, -0.133916, -0.128466, -0.121273, -0.110284, -0.0681345, -0.0452328, -0.0291774, -0.022779, -0.0159194, -0.0109212, -0.00813755, -0.00443483, -0.00212537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.368855, 0.387221, 0.40016, 0.402013, 0.406804, 0.411595, 0.415183, 0.418772, 0.42236, 0.425948, 0.431638, 0.437329, 0.442465, 0.447601, 0.452737, 0.457873, 0.466487, 0.472581, 0.475229, 0.480526, 0.490808, 0.50109, 0.511371, 0.521652, 0.527116, 0.531347, 0.532757, 0.538109, 0.54346, 0.548812, 0.554164, 0.559516, 0.564867, 0.568329, 0.575253, 0.580862, 0.586472, 0.593638, 0.600804, 0.610046, 0.612234, 0.618799, 0.627675, 0.634363, 0.639919, 0.645475, 0.651279, 0.657083, 0.669474, 0.680714");
-            values ( \
-              "-0.0602206, -0.0675773, -0.0979772, -0.102019, -0.11122, -0.119519, -0.125117, -0.130228, -0.134852, -0.138987, -0.144179, -0.14888, -0.152704, -0.156128, -0.159154, -0.161781, -0.165363, -0.167402, -0.168152, -0.169405, -0.168837, -0.167969, -0.1668, -0.16533, -0.163262, -0.161218, -0.160444, -0.156578, -0.152132, -0.147107, -0.141501, -0.135315, -0.128549, -0.123669, -0.112136, -0.103272, -0.0947452, -0.0843435, -0.0744937, -0.06277, -0.0601065, -0.0532637, -0.0450094, -0.0393063, -0.0349031, -0.030975, -0.027563, -0.0244459, -0.018892, -0.0144289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.525115, 0.616416, 0.641359, 0.659157, 0.686519, 0.698116, 0.71729, 0.736252, 0.774334, 0.798639, 0.814304, 0.845634, 0.856313, 0.87767, 0.9422, 0.969695, 0.990859, 1.01908, 1.03782, 1.07257, 1.11079, 1.12376, 1.15466, 1.18998, 1.26062, 1.34347, 1.42631");
-            values ( \
-              "-0.00484855, -0.152719, -0.17222, -0.180082, -0.187009, -0.188128, -0.189092, -0.188549, -0.185202, -0.181133, -0.177756, -0.168221, -0.163704, -0.15146, -0.0980717, -0.077386, -0.0637415, -0.0485394, -0.0402377, -0.0281522, -0.0186852, -0.0162599, -0.0116206, -0.00786012, -0.00344374, -0.00126731, -0.000466243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.898586, 0.937621, 0.965861, 0.985182, 1.01092, 1.04135, 1.06588, 1.08801, 1.12547, 1.16232, 1.22263, 1.31425, 1.3916, 1.42837, 1.4676, 1.50161, 1.54696, 1.6965, 1.75094, 1.84316, 1.89078, 1.95427, 2.03262, 2.08094, 2.16379, 2.24664, 2.32949, 2.37356");
-            values ( \
-              "-0.0975733, -0.103921, -0.144937, -0.164812, -0.182027, -0.193245, -0.198055, -0.200096, -0.20133, -0.200469, -0.197704, -0.190874, -0.182975, -0.17765, -0.17033, -0.161635, -0.145546, -0.0833136, -0.0652872, -0.0419053, -0.0330037, -0.0237598, -0.0157185, -0.0121408, -0.00771921, -0.00489168, -0.00308815, -0.00267792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.216935, 0.240147, 0.244937, 0.251729, 0.25775, 0.263337, 0.268716, 0.274087, 0.279845, 0.291403, 0.298344, 0.303137, 0.309146, 0.315556, 0.319044");
-            values ( \
-              "-0.000602873, -0.0460467, -0.052836, -0.0617411, -0.0674163, -0.0715644, -0.0732959, -0.0720842, -0.0625008, -0.0267667, -0.0133896, -0.00797696, -0.00409747, -0.00199685, -0.0015257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.240261, 0.262473, 0.270527, 0.276305, 0.285497, 0.293765, 0.301543, 0.309114, 0.316677, 0.321329, 0.325045, 0.336615, 0.343724, 0.35098, 0.356348, 0.36615, 0.374092, 0.389698, 0.408083");
-            values ( \
-              "-0.000223765, -0.0568986, -0.0724906, -0.081731, -0.0938234, -0.10123, -0.105958, -0.107348, -0.103893, -0.0971963, -0.0882488, -0.0517659, -0.0338906, -0.0211014, -0.0145398, -0.00723487, -0.00401647, -0.00112668, -0.000279033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.290033, 0.29934, 0.319965, 0.327631, 0.33632, 0.350053, 0.362627, 0.374653, 0.386532, 0.398405, 0.403036, 0.407292, 0.412245, 0.429382, 0.441257, 0.45294, 0.459238, 0.468094, 0.477293, 0.484341, 0.498436, 0.525955, 0.558577");
-            values ( \
-              "-0.031799, -0.0401193, -0.0883059, -0.102272, -0.114664, -0.128964, -0.137032, -0.141041, -0.140709, -0.133883, -0.128465, -0.121275, -0.110272, -0.0681215, -0.0452452, -0.0291694, -0.0227741, -0.0159178, -0.0109233, -0.00814039, -0.00443947, -0.00118186, -0.000232253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.367159, 0.442203, 0.452256, 0.47439, 0.495256, 0.512653, 0.522016, 0.536438, 0.548063, 0.55808, 0.578112, 0.610111, 0.629243, 0.652455, 0.678339, 0.702753, 0.719089, 0.751761, 0.775682");
-            values ( \
-              "-0.00528119, -0.14067, -0.149999, -0.163143, -0.168566, -0.169544, -0.16868, -0.165348, -0.160489, -0.154069, -0.131213, -0.081612, -0.0578328, -0.0367029, -0.0214176, -0.0126783, -0.00884436, -0.00417099, -0.00275709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.56136, 0.58155, 0.604497, 0.618824, 0.630978, 0.655284, 0.6737, 0.701411, 0.712662, 0.731834, 0.750799, 0.788881, 0.813188, 0.828851, 0.860176, 0.87086, 0.892226, 0.956736, 0.984239, 1.00541, 1.03364, 1.05237, 1.0871, 1.12533, 1.1383, 1.16923, 1.20456, 1.27524, 1.35808, 1.44093");
-            values ( \
-              "-0.0706727, -0.0718468, -0.115973, -0.138819, -0.152745, -0.171922, -0.180078, -0.187083, -0.1881, -0.189117, -0.188523, -0.185225, -0.181135, -0.177753, -0.168226, -0.163702, -0.151456, -0.0980802, -0.0773886, -0.063739, -0.0485315, -0.0402366, -0.0281568, -0.0186864, -0.0162601, -0.0116186, -0.00785721, -0.00344057, -0.00126626, -0.000465719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.900172, 0.940941, 0.979354, 0.998959, 1.02181, 1.04071, 1.06231, 1.09858, 1.1204, 1.14534, 1.17588, 1.23696, 1.32789, 1.41263, 1.44228, 1.48181, 1.5132, 1.54809, 1.66974, 1.71977, 1.77109, 1.86503, 1.91323, 1.95821, 2.0461, 2.09612, 2.17897, 2.26181, 2.34466, 2.5932");
-            values ( \
-              "-0.0589942, -0.0873592, -0.144198, -0.164643, -0.180257, -0.188604, -0.194798, -0.19994, -0.200974, -0.201139, -0.200496, -0.197576, -0.190958, -0.181924, -0.177606, -0.170203, -0.162238, -0.150466, -0.0987693, -0.0798688, -0.063325, -0.0401753, -0.0315188, -0.0249966, -0.015724, -0.0120324, -0.00765047, -0.00484687, -0.00306067, -0.000767052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.255043, 0.260434, 0.261611, 0.263877, 0.268136, 0.270863, 0.276019, 0.279192, 0.285979, 0.292006, 0.297592, 0.302971, 0.308342, 0.314099, 0.321833, 0.325656, 0.328802, 0.332598, 0.336118, 0.337791, 0.340195, 0.343399, 0.349808, 0.352325");
-            values ( \
-              "-0.0139813, -0.0195078, -0.0214001, -0.0259053, -0.0353652, -0.0400686, -0.0484253, -0.05293, -0.0616603, -0.0674909, -0.0715113, -0.0733506, -0.0720466, -0.0625176, -0.0375215, -0.0267952, -0.0197873, -0.0134141, -0.00919182, -0.00766379, -0.00588751, -0.00412203, -0.00197298, -0.00164234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.277176, 0.285944, 0.296081, 0.311002, 0.320196, 0.328475, 0.336255, 0.343828, 0.351393, 0.355997, 0.378445, 0.391055, 0.40088, 0.404181");
-            values ( \
-              "-0.017693, -0.0300154, -0.0543487, -0.0816952, -0.0937145, -0.101238, -0.10589, -0.107367, -0.103846, -0.0972785, -0.0338837, -0.0145486, -0.00722646, -0.00589208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.319004, 0.334586, 0.365253, 0.385222, 0.397789, 0.409807, 0.42168, 0.433546, 0.438204, 0.476408, 0.488064, 0.503224, 0.519492, 0.533607, 0.53433");
-            values ( \
-              "-0.0163434, -0.0403908, -0.106409, -0.129149, -0.13712, -0.141146, -0.140753, -0.13394, -0.128461, -0.0452242, -0.0291841, -0.015921, -0.00813505, -0.00443167, -0.00434586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.413034, 0.428877, 0.456375, 0.472732, 0.487185, 0.509301, 0.522201, 0.546079, 0.559861, 0.571352, 0.592151, 0.598261, 0.605244, 0.649779, 0.662359, 0.685554, 0.70864, 0.730137, 0.742307, 0.766648, 0.80733");
-            values ( \
-              "-0.0254436, -0.0517773, -0.111786, -0.135767, -0.150248, -0.16327, -0.167266, -0.169651, -0.16827, -0.165276, -0.154847, -0.149602, -0.141862, -0.0750686, -0.0597343, -0.0379626, -0.0235758, -0.0148753, -0.0114151, -0.0066151, -0.002719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.591837, 0.616852, 0.639689, 0.651975, 0.66612, 0.691001, 0.708833, 0.729254, 0.747764, 0.783413, 0.800978, 0.821052, 0.84405, 0.863948, 0.905966, 0.918087, 0.934248, 0.993509, 1.01803, 1.04308, 1.07648, 1.08927, 1.11337, 1.13534, 1.16608, 1.17823, 1.20252, 1.25111, 1.33396, 1.4168, 1.49965");
-            values ( \
-              "-0.0424449, -0.0725452, -0.116323, -0.136121, -0.152985, -0.172234, -0.180215, -0.185747, -0.188154, -0.188863, -0.187636, -0.185512, -0.181907, -0.177762, -0.16374, -0.157379, -0.146523, -0.0967067, -0.078302, -0.0622151, -0.0448946, -0.0394856, -0.0308457, -0.0244944, -0.0176142, -0.015451, -0.0118579, -0.00687087, -0.00254773, -0.000936351, -0.000344109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.955304, 1.06046, 1.08861, 1.11439, 1.13761, 1.17509, 1.27146, 1.36386, 1.44852, 1.51654, 1.55253, 1.6005, 1.74541, 1.80149, 1.89335, 1.98628, 2.08204, 2.22244, 2.36264");
-            values ( \
-              "-0.103585, -0.181747, -0.192573, -0.19779, -0.200118, -0.201256, -0.197686, -0.190937, -0.181936, -0.170472, -0.161242, -0.14394, -0.0835671, -0.0650066, -0.0417889, -0.0260734, -0.0157361, -0.00734506, -0.00361521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.336719, 0.343021, 0.352899, 0.361237, 0.368028, 0.374058, 0.379646, 0.385027, 0.39038, 0.395731, 0.407631, 0.414698, 0.41946, 0.425355, 0.429346");
-            values ( \
-              "-0.0136968, -0.0202993, -0.0400898, -0.0529521, -0.0615603, -0.0675265, -0.0714282, -0.073391, -0.0719883, -0.0633624, -0.0269613, -0.0133155, -0.00795291, -0.0041317, -0.00282003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.363473, 0.370014, 0.371746, 0.375491, 0.377563, 0.381907, 0.385412, 0.388918, 0.390996, 0.393075, 0.395154, 0.397233, 0.399311, 0.40139, 0.404012, 0.405708, 0.407404, 0.410525, 0.412086, 0.415208, 0.418311, 0.420623, 0.422512, 0.42363, 0.425866, 0.42775, 0.429633, 0.431517, 0.4334, 0.434358, 0.435423, 0.436274, 0.437552, 0.438403, 0.440106, 0.44181, 0.446618, 0.450816, 0.452882, 0.454675, 0.45602, 0.45896, 0.461816, 0.463781, 0.465807, 0.466731, 0.469502, 0.472105, 0.474707, 0.476785");
-            values ( \
-              "-0.0345154, -0.034557, -0.0387891, -0.0483309, -0.0533066, -0.0624041, -0.0691899, -0.0754783, -0.0787305, -0.0818292, -0.0847628, -0.0875507, -0.0901928, -0.0926892, -0.0955781, -0.0972884, -0.0988834, -0.101343, -0.102367, -0.10428, -0.106002, -0.10652, -0.106704, -0.106713, -0.106516, -0.106126, -0.105531, -0.104732, -0.103728, -0.10269, -0.101275, -0.0999451, -0.0977113, -0.0960623, -0.0923808, -0.0879504, -0.0729181, -0.0593248, -0.0531117, -0.0478626, -0.0441524, -0.0371449, -0.0311412, -0.0272607, -0.023636, -0.0222723, -0.0185391, -0.0155526, -0.0129764, -0.0112038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.404346, 0.432477, 0.44762, 0.453898, 0.467605, 0.480174, 0.492192, 0.504066, 0.515933, 0.52059, 0.524834, 0.529773, 0.546902, 0.558795, 0.570453, 0.576754, 0.585612, 0.594822, 0.601878, 0.615992, 0.632103");
-            values ( \
-              "-0.0111243, -0.0780592, -0.106378, -0.114842, -0.129143, -0.137104, -0.141143, -0.140737, -0.13394, -0.128457, -0.121273, -0.110287, -0.0681402, -0.0452251, -0.0291834, -0.0227822, -0.0159211, -0.0109206, -0.00813565, -0.00443257, -0.00251282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.503073, 0.522564, 0.527245, 0.533078, 0.538912, 0.544181, 0.549451, 0.554721, 0.562302, 0.566093, 0.569884, 0.574601, 0.579317, 0.584034, 0.588751, 0.595476, 0.598839, 0.602392, 0.605946, 0.6095, 0.613053, 0.62333, 0.633606, 0.643884, 0.654162, 0.659694, 0.663977, 0.665404, 0.670687, 0.67597, 0.681252, 0.686535, 0.691818, 0.6971, 0.700828, 0.708283, 0.715738, 0.725934, 0.734156, 0.74002, 0.742953, 0.750593, 0.756491, 0.767546, 0.772558, 0.778574, 0.786595, 0.794615, 0.804298, 0.812237");
-            values ( \
-              "-0.0647823, -0.0749614, -0.0858151, -0.0982061, -0.109868, -0.119776, -0.127975, -0.13506, -0.142669, -0.146084, -0.14924, -0.152542, -0.155616, -0.158462, -0.161079, -0.164365, -0.165759, -0.167009, -0.168043, -0.168861, -0.169463, -0.168877, -0.168007, -0.166853, -0.165414, -0.163253, -0.161177, -0.160392, -0.156578, -0.152191, -0.147232, -0.141702, -0.135599, -0.128924, -0.123609, -0.111235, -0.0995376, -0.0846037, -0.073322, -0.0656567, -0.0620631, -0.0539578, -0.0483449, -0.0386964, -0.0347077, -0.0305508, -0.0260318, -0.0220457, -0.0180153, -0.0149699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.669287, 0.697052, 0.732936, 0.748073, 0.773396, 0.791034, 0.811525, 0.830042, 0.865251, 0.886104, 0.90668, 0.945315, 0.960188, 0.973757, 0.988279, 1.01732, 1.06487, 1.097, 1.12058, 1.13648, 1.17536, 1.193, 1.22827, 1.25902, 1.27573, 1.30916, 1.37601, 1.45886, 1.54171");
-            values ( \
-              "-0.0373187, -0.0662808, -0.132651, -0.151413, -0.171631, -0.17964, -0.18547, -0.187895, -0.188825, -0.187287, -0.185061, -0.177976, -0.174073, -0.169657, -0.163686, -0.145957, -0.105741, -0.0806836, -0.0651197, -0.0560058, -0.0380421, -0.0317417, -0.0218462, -0.0157019, -0.0130977, -0.00905857, -0.00417965, -0.00153762, -0.000568476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.01231, 1.09925, 1.14051, 1.19623, 1.22168, 1.25921, 1.3539, 1.44795, 1.52537, 1.59901, 1.63986, 1.87665, 1.97447, 2.10212, 2.19663, 2.34615, 2.37066");
-            values ( \
-              "-0.0372306, -0.143579, -0.17933, -0.197331, -0.200014, -0.201231, -0.19779, -0.190922, -0.18291, -0.170822, -0.160257, -0.0677556, -0.0424068, -0.0220395, -0.0133686, -0.00591231, -0.00538693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.526158, 0.544188, 0.55264, 0.559504, 0.571172, 0.576572, 0.581943, 0.587735, 0.599262, 0.603808, 0.611068, 0.617018, 0.623364, 0.633913, 0.663101");
-            values ( \
-              "-0.0022366, -0.0400258, -0.051955, -0.0615677, -0.071454, -0.0728563, -0.0720382, -0.0623888, -0.0268521, -0.0173029, -0.00795876, -0.00411672, -0.00202842, -0.000550978, -6.45581e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.550692, 0.576687, 0.587937, 0.597172, 0.605478, 0.613278, 0.620867, 0.628448, 0.63289, 0.636825, 0.648408, 0.655536, 0.662768, 0.668119, 0.67801, 0.686023, 0.701027, 0.718691");
-            values ( \
-              "-0.000691125, -0.0613912, -0.081261, -0.0933554, -0.100969, -0.10563, -0.107172, -0.103666, -0.0974561, -0.0881387, -0.0517275, -0.0338422, -0.0211113, -0.0145666, -0.00720434, -0.00398035, -0.00118727, -0.000313876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.601068, 0.615416, 0.636621, 0.652926, 0.666643, 0.679212, 0.691236, 0.703115, 0.71963, 0.752794, 0.775823, 0.793886, 0.807891");
-            values ( \
-              "-0.0185793, -0.0391918, -0.0887737, -0.114879, -0.129029, -0.137089, -0.14103, -0.140723, -0.128442, -0.0541411, -0.0227746, -0.0109206, -0.00662756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.702749, 0.71541, 0.729657, 0.746041, 0.75674, 0.771438, 0.793562, 0.810308, 0.822675, 0.834955, 0.855423, 0.869638, 0.877267, 0.892525, 0.920821, 0.946685, 0.968436, 0.990256, 1.00699, 1.0243, 1.03755, 1.06405, 1.09675");
-            values ( \
-              "-0.0527413, -0.0568815, -0.0899453, -0.121138, -0.135225, -0.150195, -0.163256, -0.168087, -0.16952, -0.169191, -0.165606, -0.159195, -0.154102, -0.137922, -0.0938726, -0.0596763, -0.0390835, -0.024953, -0.0174525, -0.0120139, -0.0089812, -0.00492986, -0.00262949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.87508, 0.901143, 0.92394, 0.939529, 0.950461, 0.971531, 0.99319, 1.01374, 1.0321, 1.06625, 1.1087, 1.13939, 1.16614, 1.19027, 1.23303, 1.2741, 1.31807, 1.34638, 1.371, 1.4148, 1.45949, 1.50876, 1.56131, 1.64416, 1.72701");
-            values ( \
-              "-0.0382756, -0.0721442, -0.116216, -0.140306, -0.152882, -0.169866, -0.180231, -0.185741, -0.188163, -0.188881, -0.185042, -0.179782, -0.172889, -0.163683, -0.135142, -0.099934, -0.0680884, -0.052022, -0.0406964, -0.025899, -0.016036, -0.00936388, -0.00515582, -0.00191356, -0.000693319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.20839, 1.31893, 1.34542, 1.37078, 1.39776, 1.42255, 1.46007, 1.49689, 1.55434, 1.64881, 1.73334, 1.7995, 1.84148, 1.89746, 1.98536, 2.08899, 2.17865, 2.27107, 2.36711, 2.42496, 2.50781, 2.66434");
-            values ( \
-              "-0.024714, -0.163816, -0.181645, -0.191602, -0.197438, -0.20008, -0.201202, -0.200527, -0.197779, -0.190952, -0.181953, -0.170901, -0.160019, -0.138915, -0.101007, -0.0642797, -0.0417395, -0.0261149, -0.01574, -0.011536, -0.00733647, -0.00317889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.941185, 0.945091, 0.946949, 0.950322, 0.952008, 0.955381, 0.957681, 0.96228, 0.966071, 0.972874, 0.978895, 0.98448, 0.989859, 0.99523, 1.00099, 1.0035, 1.00685, 1.00936, 1.01061, 1.01254, 1.01504, 1.0157, 1.01702, 1.01951, 1.02302, 1.02429, 1.02548, 1.02708, 1.03026, 1.03663, 1.03994");
-            values ( \
-              "-0.0125253, -0.0165479, -0.0180301, -0.0246829, -0.0282633, -0.0357729, -0.0394436, -0.0473238, -0.0526713, -0.061725, -0.067446, -0.0715874, -0.0732732, -0.0720525, -0.0624739, -0.0548191, -0.0434945, -0.0355778, -0.0319831, -0.0268233, -0.0211414, -0.0197728, -0.0173562, -0.0133904, -0.00920754, -0.00799056, -0.00701538, -0.00591946, -0.00412482, -0.00200821, -0.00154903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.979398, 0.985798, 0.997331, 1.0119, 1.02108, 1.02935, 1.03712, 1.0447, 1.05227, 1.05704, 1.07932, 1.08661, 1.09482, 1.10958, 1.11381");
-            values ( \
-              "-0.0189475, -0.0270951, -0.0549155, -0.0818608, -0.0938214, -0.101332, -0.105849, -0.10733, -0.103732, -0.096886, -0.0339149, -0.0210808, -0.0118969, -0.00405896, -0.0032468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.04866, 1.05683, 1.06868, 1.07945, 1.08704, 1.09569, 1.10938, 1.12192, 1.13397, 1.14585, 1.15804, 1.16236, 1.17157, 1.18871, 1.19552, 1.20705, 1.21604, 1.23213, 1.24098, 1.25866, 1.26516");
-            values ( \
-              "-0.0232045, -0.0366596, -0.0657075, -0.0893377, -0.102863, -0.115298, -0.129088, -0.137155, -0.140916, -0.140737, -0.133539, -0.128442, -0.110265, -0.0681277, -0.0541783, -0.0355985, -0.0251765, -0.0136674, -0.00946904, -0.0043429, -0.00355261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.16595, 1.18222, 1.20424, 1.21578, 1.22888, 1.23628, 1.24243, 1.25063, 1.26052, 1.28153, 1.3021, 1.32268, 1.32829, 1.33408, 1.34452, 1.36541, 1.39329, 1.40308, 1.41088, 1.42129, 1.43496, 1.44753, 1.4643, 1.48096, 1.48993, 1.50787, 1.54375, 1.59408, 1.65567");
-            values ( \
-              "-0.0287271, -0.0565622, -0.105133, -0.124776, -0.140537, -0.147388, -0.15222, -0.157497, -0.162287, -0.16812, -0.168924, -0.165173, -0.163077, -0.160439, -0.153862, -0.129848, -0.0863241, -0.0729299, -0.0633359, -0.052048, -0.0397782, -0.0308168, -0.0216474, -0.0151535, -0.012486, -0.00839778, -0.00364636, -0.00101817, -0.000198898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.35107, 1.41843, 1.43374, 1.4589, 1.47657, 1.49702, 1.51554, 1.55006, 1.59217, 1.6252, 1.67372, 1.71633, 1.80147, 1.85436, 1.89851, 1.94305, 1.99182, 2.04384, 2.05408");
-            values ( \
-              "-0.0177034, -0.133594, -0.152304, -0.171951, -0.179931, -0.185563, -0.188016, -0.188826, -0.185023, -0.179249, -0.163707, -0.135303, -0.0681642, -0.040756, -0.0258492, -0.0160324, -0.00941535, -0.0052117, -0.00480762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.71296, 1.76315, 1.79015, 1.80266, 1.8293, 1.83999, 1.86136, 1.89277, 1.90655, 1.93412, 1.98086, 2.03717, 2.13284, 2.21729, 2.24523, 2.28248, 2.32759, 2.38774, 2.44529, 2.51107, 2.55268, 2.61348, 2.66389, 2.70573, 2.75365, 2.8214, 2.86441, 2.94418, 3.02703, 3.10987, 3.19272, 3.27557, 3.44126");
-            values ( \
-              "-0.0789474, -0.112646, -0.149952, -0.162695, -0.181067, -0.185993, -0.19315, -0.198757, -0.199987, -0.201046, -0.200537, -0.197843, -0.190952, -0.181961, -0.177924, -0.171122, -0.159366, -0.136234, -0.111088, -0.0848288, -0.0705525, -0.0531051, -0.0415053, -0.0336558, -0.0263071, -0.0184253, -0.0146659, -0.00955306, -0.00606917, -0.00383059, -0.00242556, -0.00152128, -0.000600623" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00710227, 0.00711763, 0.00713487, 0.00714992, 0.00716051, 0.00716683", \
-            "0.00779916, 0.00781091, 0.00782564, 0.00783982, 0.00785067, 0.00785748", \
-            "0.0081573, 0.0081638, 0.00817319, 0.00818372, 0.00819281, 0.00819894", \
-            "0.00837785, 0.00837973, 0.00838302, 0.00838812, 0.00839364, 0.00839807", \
-            "0.00851298, 0.00851348, 0.00851435, 0.00851588, 0.00851819, 0.00852073", \
-            "0.00860668, 0.00860696, 0.00860739, 0.00860797, 0.00860872, 0.00860976" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00898824, 0.00900383, 0.009021, 0.00903656, 0.00904846, 0.0090555", \
-            "0.00944892, 0.00946087, 0.00947487, 0.00948705, 0.00949495, 0.00950037", \
-            "0.0097556, 0.00976493, 0.00977548, 0.00978448, 0.00979042, 0.00979356", \
-            "0.0100143, 0.0100149, 0.0100161, 0.0100173, 0.0100179, 0.0100181", \
-            "0.0104644, 0.0103962, 0.010317, 0.0102453, 0.0101928, 0.0101596", \
-            "0.0108883, 0.0109012, 0.0107274, 0.0105131, 0.010353, 0.0102548" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.310132, 0.359826, 0.456419, 0.645341, 1.01719, 1.75643", \
-            "0.314906, 0.364842, 0.461435, 0.650471, 1.02132, 1.76037", \
-            "0.326356, 0.376454, 0.473343, 0.663169, 1.03473, 1.77424", \
-            "0.352127, 0.402265, 0.499543, 0.689495, 1.06091, 1.8007", \
-            "0.410695, 0.461656, 0.560139, 0.751275, 1.12353, 1.86515", \
-            "0.519878, 0.580167, 0.69197, 0.894332, 1.27367, 2.01526" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0423553, 0.0610483, 0.10001, 0.182888, 0.358466, 0.724788", \
-            "0.0423498, 0.0610366, 0.100053, 0.182902, 0.358524, 0.724798", \
-            "0.0423021, 0.0610008, 0.100011, 0.182899, 0.358459, 0.724796", \
-            "0.0423692, 0.0610287, 0.0999453, 0.18288, 0.358524, 0.724926", \
-            "0.0423948, 0.061076, 0.0999798, 0.182909, 0.358491, 0.724788", \
-            "0.0431789, 0.062222, 0.100524, 0.182918, 0.358497, 0.724795" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.241561, 0.279723, 0.352913, 0.492955, 0.76706, 1.30664", \
-            "0.24514, 0.283457, 0.356532, 0.497587, 0.770995, 1.30976", \
-            "0.253677, 0.29214, 0.365849, 0.506831, 0.780538, 1.31968", \
-            "0.27426, 0.313016, 0.387, 0.527865, 0.80161, 1.34156", \
-            "0.31843, 0.360442, 0.437738, 0.582017, 0.85583, 1.39546", \
-            "0.376347, 0.42911, 0.525874, 0.694248, 0.983663, 1.52491" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0348987, 0.0487531, 0.0758178, 0.130924, 0.245502, 0.4889", \
-            "0.034895, 0.0487309, 0.075904, 0.130575, 0.245503, 0.488874", \
-            "0.0348913, 0.0487479, 0.0758463, 0.130479, 0.245512, 0.488923", \
-            "0.0349044, 0.0487136, 0.0758513, 0.130872, 0.245635, 0.488991", \
-            "0.0350756, 0.0488791, 0.0758819, 0.130493, 0.245768, 0.488996", \
-            "0.034908, 0.0487373, 0.0758592, 0.130987, 0.245874, 0.489054" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.267029, 0.273736, 0.297119, 0.304562, 0.31125, 0.317629, 0.32401, 0.330367, 0.340236, 0.350488, 0.361225, 0.370801, 0.383216, 0.398138, 0.414074");
-            values ( \
-              "0.00627206, 0.0123458, 0.0484321, 0.0561058, 0.0601593, 0.0617779, 0.0604562, 0.0539859, 0.0357661, 0.0222831, 0.0133077, 0.00821127, 0.00434211, 0.00205785, 0.00127535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.298216, 0.306238, 0.309796, 0.324096, 0.332534, 0.339154, 0.349277, 0.358589, 0.3677, 0.376986, 0.382624, 0.396256, 0.40382, 0.408625, 0.418098, 0.428557, 0.437075, 0.44262, 0.45172, 0.462536, 0.473985, 0.482974, 0.500951, 0.50578");
-            values ( \
-              "0.00830329, 0.0161784, 0.0216244, 0.0506776, 0.0657449, 0.0747664, 0.084603, 0.0884114, 0.0888904, 0.0847197, 0.078916, 0.0573925, 0.0466368, 0.0404815, 0.0301709, 0.0215328, 0.0161362, 0.0133503, 0.00971994, 0.00660896, 0.00440247, 0.00318859, 0.00164393, 0.00146102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.361136, 0.376841, 0.398191, 0.40895, 0.419481, 0.434798, 0.449468, 0.464495, 0.479749, 0.53871, 0.561226, 0.587961, 0.611274, 0.642864, 0.66702, 0.682558");
-            values ( \
-              "0.00908618, 0.0294, 0.0753763, 0.0932118, 0.105052, 0.113347, 0.114721, 0.111419, 0.103535, 0.0456856, 0.0300977, 0.0176551, 0.010833, 0.00548892, 0.00320861, 0.00247661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.481035, 0.505546, 0.545381, 0.560341, 0.573527, 0.592386, 0.612444, 0.625655, 0.651939, 0.67841, 0.700654, 0.783455, 0.83053, 0.882702, 0.921807, 0.950293, 0.984378, 1.02982, 1.08107");
-            values ( \
-              "0.00919798, 0.0349295, 0.111181, 0.12551, 0.131583, 0.134567, 0.133124, 0.130808, 0.124828, 0.11552, 0.104292, 0.0554729, 0.0349874, 0.0199678, 0.0128326, 0.00920777, 0.00616604, 0.00355119, 0.00209587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.727109, 0.768148, 0.792637, 0.809695, 0.821405, 0.844782, 0.864867, 0.883833, 0.909121, 0.94276, 0.971217, 1.02466, 1.05365, 1.08213, 1.13909, 1.25373, 1.34214, 1.39239, 1.42462, 1.49437, 1.53166, 1.59926, 1.67676, 1.7388, 1.84969, 1.93126");
-            values ( \
-              "0.00453046, 0.065572, 0.109172, 0.129203, 0.137652, 0.14597, 0.147708, 0.147258, 0.145323, 0.141739, 0.138302, 0.130607, 0.125451, 0.11924, 0.103254, 0.0669344, 0.0439041, 0.0337922, 0.0283599, 0.0191521, 0.0154289, 0.0103154, 0.00647949, 0.00442859, 0.0021899, 0.00136983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.23797, 1.2875, 1.30645, 1.32819, 1.35397, 1.37866, 1.39766, 1.45395, 1.50235, 1.65562, 1.75087, 1.83306, 1.88043, 1.97518, 2.20592, 2.36503, 2.51238, 2.61517, 2.6766, 2.82928, 2.94016, 3.08263, 3.19351, 3.3044, 3.52617, 3.62256");
-            values ( \
-              "0.0915271, 0.11459, 0.133973, 0.146543, 0.153343, 0.155013, 0.155299, 0.153559, 0.151037, 0.141635, 0.134642, 0.127201, 0.121877, 0.10885, 0.0720797, 0.0499869, 0.0342552, 0.0258842, 0.0217985, 0.0140434, 0.0101283, 0.00661741, 0.00471775, 0.00337412, 0.00171154, 0.00143514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.282357, 0.290711, 0.312525, 0.319966, 0.326653, 0.333031, 0.339411, 0.345768, 0.354492, 0.365449, 0.383105, 0.396276, 0.410882, 0.421666");
-            values ( \
-              "0.00624616, 0.0145263, 0.0484028, 0.0561633, 0.0601253, 0.061827, 0.0604202, 0.0540281, 0.0375639, 0.0227774, 0.00960183, 0.00491485, 0.0023582, 0.00172219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.313859, 0.320002, 0.324601, 0.34254, 0.347733, 0.354777, 0.364894, 0.374204, 0.383312, 0.392409, 0.39827, 0.411798, 0.419485, 0.424364, 0.433802, 0.443886, 0.452082, 0.456699, 0.468926, 0.478711, 0.491299, 0.508084, 0.521898");
-            values ( \
-              "0.00841137, 0.0135484, 0.0202885, 0.0559698, 0.0649721, 0.0749599, 0.0844944, 0.0885633, 0.0887757, 0.0849352, 0.078881, 0.0575109, 0.0465651, 0.0403239, 0.0300847, 0.021734, 0.0164761, 0.0140758, 0.00918744, 0.00648563, 0.00413894, 0.00223448, 0.00150214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.369121, 0.389136, 0.425263, 0.434944, 0.450301, 0.46499, 0.479676, 0.493819, 0.554665, 0.576445, 0.603471, 0.627242, 0.658091, 0.700195");
-            values ( \
-              "0.00713592, 0.0224749, 0.093911, 0.104579, 0.113238, 0.114547, 0.111505, 0.104519, 0.0453207, 0.0302698, 0.0176532, 0.0107252, 0.00552411, 0.0028178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.503865, 0.52751, 0.539555, 0.551393, 0.56128, 0.576322, 0.589428, 0.608322, 0.615445, 0.628351, 0.641564, 0.667852, 0.68065, 0.694302, 0.704582, 0.716592, 0.772407, 0.79945, 0.828979, 0.846377, 0.8581, 0.881546, 0.898607, 0.917751, 0.937983, 0.966347, 1.00005, 1.04499, 1.12823, 1.22539");
-            values ( \
-              "0.010762, 0.0479374, 0.0740047, 0.0968734, 0.11123, 0.125544, 0.131572, 0.134526, 0.134224, 0.133085, 0.130813, 0.124797, 0.120757, 0.115525, 0.110731, 0.104274, 0.0701958, 0.0554329, 0.0417144, 0.0350116, 0.0309953, 0.0240876, 0.0199703, 0.0161166, 0.012795, 0.00919319, 0.00618409, 0.00358543, 0.0011975, 0.000313404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.756209, 0.781284, 0.806824, 0.822185, 0.835948, 0.85636, 0.876974, 0.903556, 0.935023, 0.956547, 1.03731, 1.06862, 1.09687, 1.15336, 1.26789, 1.29922, 1.35654, 1.38768, 1.44021, 1.50867, 1.54496, 1.61351, 1.69265, 1.75632, 1.86721, 1.97344");
-            values ( \
-              "0.0534997, 0.061234, 0.106821, 0.125915, 0.136783, 0.14482, 0.147419, 0.146891, 0.144265, 0.141899, 0.130984, 0.125396, 0.119236, 0.103394, 0.067105, 0.0582347, 0.0439776, 0.0374574, 0.0282264, 0.0191997, 0.0155594, 0.0103439, 0.00643303, 0.00435199, 0.00215155, 0.00110218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.19683, 1.36651, 1.38323, 1.40551, 1.437, 1.4686, 1.56741, 1.71471, 1.77841, 1.84775, 1.89509, 1.98977, 2.22058, 2.3797, 2.52703, 2.62981, 2.71522, 2.84395, 2.95483, 3.09726, 3.20815, 3.31903, 3.5408, 3.63521");
-            values ( \
-              "0.0131772, 0.15258, 0.154391, 0.155222, 0.154732, 0.153528, 0.148202, 0.138509, 0.13359, 0.127198, 0.121874, 0.108861, 0.0720776, 0.0499843, 0.0342541, 0.025887, 0.0203548, 0.0140414, 0.0101296, 0.00661952, 0.00471654, 0.00337597, 0.00171324, 0.00144252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.319173, 0.323908, 0.327927, 0.340533, 0.349764, 0.356324, 0.358936, 0.363871, 0.366777, 0.370241, 0.376576, 0.380867, 0.393307, 0.399724, 0.404957, 0.409273, 0.415026, 0.422563, 0.427174, 0.435252, 0.445463, 0.453762, 0.470361, 0.472071");
-            values ( \
-              "0.00622562, 0.00949619, 0.0144159, 0.0354006, 0.0485172, 0.0552837, 0.0573285, 0.0601262, 0.0611699, 0.0616558, 0.0605189, 0.0566637, 0.0349926, 0.0261148, 0.0204388, 0.0166246, 0.01251, 0.0085697, 0.00677811, 0.00446561, 0.00266967, 0.00176271, 0.000754684, 0.000716285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.363843, 0.377576, 0.381612, 0.38355, 0.387427, 0.38966, 0.391894, 0.394127, 0.39636, 0.398594, 0.401409, 0.403736, 0.406104, 0.40789, 0.410438, 0.411287, 0.412985, 0.414683, 0.416382, 0.41808, 0.420378, 0.421513, 0.422648, 0.424918, 0.427281, 0.429645, 0.43199, 0.434335, 0.43668, 0.43924, 0.445063, 0.450169, 0.455085, 0.45937, 0.463655, 0.46794, 0.474274, 0.475915, 0.477009, 0.48029, 0.482478, 0.486853, 0.489041, 0.492839, 0.495658, 0.498477, 0.501141, 0.506316, 0.513545, 0.520007");
-            values ( \
-              "0.0485623, 0.0525057, 0.0600594, 0.0634024, 0.0695534, 0.0724534, 0.0751375, 0.0776059, 0.0798584, 0.0818952, 0.084149, 0.085668, 0.0869395, 0.0877287, 0.0884811, 0.0886601, 0.0888161, 0.0888914, 0.0888862, 0.0888004, 0.0885559, 0.0883055, 0.0879695, 0.0870403, 0.0855545, 0.0837618, 0.0816805, 0.0792972, 0.0766121, 0.0733096, 0.0637199, 0.055671, 0.0483321, 0.0425881, 0.037565, 0.0329996, 0.0269769, 0.0254718, 0.0245825, 0.0220722, 0.0205663, 0.0177975, 0.0165345, 0.0145342, 0.0131133, 0.0118123, 0.0107645, 0.00900386, 0.00700789, 0.00543048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.409859, 0.431259, 0.451268, 0.462862, 0.472934, 0.48828, 0.502961, 0.517752, 0.532077, 0.589974, 0.616877, 0.647964, 0.676682, 0.707851, 0.722897");
-            values ( \
-              "0.00795562, 0.0300314, 0.0740407, 0.0934619, 0.104663, 0.113309, 0.114595, 0.111499, 0.104338, 0.0474879, 0.0288479, 0.0154263, 0.00838767, 0.00427108, 0.0031886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.563919, 0.588274, 0.594203, 0.600074, 0.605945, 0.60888, 0.611816, 0.615915, 0.620015, 0.624114, 0.628214, 0.631615, 0.635016, 0.638417, 0.641818, 0.65418, 0.659346, 0.669677, 0.674843, 0.680311, 0.683045, 0.688512, 0.695294, 0.707438, 0.713837, 0.720235, 0.726634, 0.733898, 0.736495, 0.743422, 0.755396, 0.776372, 0.800318, 0.822818, 0.830583, 0.845721, 0.860467, 0.86784, 0.885022, 0.893694, 0.902366, 0.908148, 0.919711, 0.939, 0.947256, 0.953861, 0.96217, 0.977187, 0.998303, 1.01636");
-            values ( \
-              "0.091059, 0.0942948, 0.104237, 0.113139, 0.119405, 0.122091, 0.124478, 0.126958, 0.129094, 0.130885, 0.132332, 0.133237, 0.133927, 0.134403, 0.134665, 0.133807, 0.133367, 0.132341, 0.131756, 0.130851, 0.130297, 0.129099, 0.127417, 0.124013, 0.122043, 0.119951, 0.117737, 0.115048, 0.113966, 0.110564, 0.104068, 0.0914913, 0.0766466, 0.0632547, 0.059126, 0.0515665, 0.0448248, 0.041684, 0.0348721, 0.0318232, 0.0290902, 0.0273917, 0.0242214, 0.0195523, 0.0177353, 0.0164071, 0.0149437, 0.0126267, 0.0099395, 0.0078769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.783406, 0.820889, 0.846061, 0.862473, 0.875052, 0.897767, 0.917791, 0.924925, 0.939193, 0.967729, 1.02497, 1.07842, 1.13264, 1.15495, 1.1764, 1.28337, 1.3451, 1.39722, 1.44099, 1.47504, 1.53093, 1.5551, 1.59766, 1.65779, 1.72028, 1.76813, 1.86383, 1.97471, 2.0856, 2.19648");
-            values ( \
-              "0.0142384, 0.0625349, 0.107476, 0.127784, 0.136988, 0.145757, 0.147443, 0.147677, 0.146995, 0.144975, 0.138328, 0.130653, 0.119988, 0.11436, 0.108226, 0.0741906, 0.0563827, 0.0436087, 0.034733, 0.0288833, 0.0211205, 0.0183942, 0.0143569, 0.010033, 0.00689842, 0.00515691, 0.00282855, 0.00139388, 0.000682508, 0.000335222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.28023, 1.31993, 1.34546, 1.36026, 1.38428, 1.41141, 1.4373, 1.46527, 1.50784, 1.60668, 1.75426, 1.8177, 1.88642, 1.93436, 2.03024, 2.25984, 2.41886, 2.56643, 2.66938, 2.7544, 2.88301, 2.99389, 3.13695, 3.24784, 3.35872, 3.58049, 3.68776");
-            values ( \
-              "0.0662992, 0.0839138, 0.119069, 0.133485, 0.147359, 0.153698, 0.155235, 0.155099, 0.153535, 0.148174, 0.138487, 0.133587, 0.127258, 0.121877, 0.108679, 0.072082, 0.0500005, 0.0342446, 0.0258645, 0.0203621, 0.0140517, 0.0101346, 0.0066097, 0.00471194, 0.00337022, 0.00170963, 0.00140241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.40579, 0.416233, 0.425212, 0.43226, 0.436811, 0.444261, 0.450952, 0.457333, 0.463716, 0.470074, 0.4767, 0.480936, 0.48711, 0.492167, 0.500266, 0.505782, 0.511017, 0.517998, 0.526441, 0.531936, 0.542926, 0.562504, 0.564534");
-            values ( \
-              "0.00269119, 0.0161407, 0.0314916, 0.0424866, 0.0483404, 0.0561134, 0.0601039, 0.0617963, 0.060417, 0.0540079, 0.0412372, 0.0341933, 0.0257832, 0.0203599, 0.0137391, 0.0104317, 0.00801078, 0.00558603, 0.00363326, 0.00276389, 0.00157125, 0.000579766, 0.000544813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.452308, 0.466746, 0.471008, 0.473138, 0.475269, 0.476206, 0.479018, 0.480893, 0.482767, 0.484642, 0.487166, 0.489115, 0.491534, 0.493953, 0.497308, 0.498426, 0.500703, 0.50298, 0.505256, 0.507533, 0.50981, 0.512088, 0.514365, 0.516642, 0.517389, 0.518696, 0.52019, 0.522432, 0.525868, 0.531595, 0.536177, 0.539475, 0.543608, 0.544789, 0.548331, 0.550693, 0.553055, 0.555416, 0.557778, 0.564343, 0.568867, 0.573391, 0.575653, 0.577915, 0.584389, 0.58895, 0.593511, 0.598072, 0.603015, 0.606831");
-            values ( \
-              "0.0554864, 0.0562408, 0.0635764, 0.0669943, 0.0702455, 0.0715424, 0.0751439, 0.0772218, 0.0791547, 0.0809427, 0.0831214, 0.0846239, 0.0861801, 0.0874076, 0.0878823, 0.087981, 0.0880902, 0.0880761, 0.0879388, 0.0876782, 0.0872018, 0.0865652, 0.0857683, 0.0848112, 0.084237, 0.0830124, 0.0814088, 0.0786722, 0.0737382, 0.0649216, 0.0573345, 0.0526007, 0.0464127, 0.0448656, 0.0404508, 0.0376962, 0.0350925, 0.0326397, 0.0303378, 0.0244139, 0.0210947, 0.0181633, 0.0168319, 0.0155899, 0.0123671, 0.0105037, 0.00900536, 0.00768468, 0.00647371, 0.00560045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.502318, 0.519743, 0.542258, 0.553279, 0.559901, 0.573144, 0.579234, 0.587354, 0.594738, 0.604594, 0.619446, 0.62961, 0.665499, 0.687944, 0.712148, 0.728327, 0.747223, 0.76384, 0.793701, 0.819545, 0.852307");
-            values ( \
-              "0.00344127, 0.0349618, 0.0825092, 0.0985657, 0.105113, 0.112812, 0.114216, 0.114642, 0.11386, 0.111392, 0.104047, 0.0954862, 0.0576405, 0.0388698, 0.0244768, 0.0176502, 0.0119065, 0.00834195, 0.00437196, 0.00244922, 0.00129778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.622582, 0.643685, 0.687148, 0.6993, 0.715305, 0.733806, 0.754195, 0.767406, 0.794544, 0.820161, 0.842407, 0.925209, 0.972279, 1.02445, 1.06357, 1.09205, 1.12612, 1.17154, 1.22321");
-            values ( \
-              "0.00897235, 0.029028, 0.11138, 0.123417, 0.131733, 0.134489, 0.13305, 0.130882, 0.124509, 0.115516, 0.104294, 0.0554692, 0.0349898, 0.019969, 0.0128301, 0.00920818, 0.00616771, 0.00355166, 0.00208531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.885501, 0.919055, 0.933386, 0.948395, 0.962559, 0.982653, 1.00336, 1.01245, 1.03064, 1.08319, 1.16392, 1.19534, 1.22349, 1.27979, 1.39427, 1.48278, 1.53613, 1.57889, 1.61773, 1.66157, 1.72003, 1.77038, 1.84714, 1.94949, 2.06037, 2.07939");
-            values ( \
-              "0.0517956, 0.081982, 0.106586, 0.125414, 0.136722, 0.144718, 0.147394, 0.147411, 0.146857, 0.141891, 0.130981, 0.125376, 0.119236, 0.103455, 0.0671761, 0.0440599, 0.0333621, 0.0263931, 0.0212183, 0.0164945, 0.0116804, 0.00865064, 0.00543435, 0.00285181, 0.00140559, 0.00131403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.3269, 1.47222, 1.49943, 1.52497, 1.55259, 1.58659, 1.69473, 1.83087, 1.89732, 1.95657, 2.0224, 2.13328, 2.28476, 2.39458, 2.50002, 2.57705, 2.66318, 2.77406, 2.83635, 2.94014, 3.05103, 3.16347, 3.2342, 3.34508, 3.45597, 3.67774, 3.8995, 4.12127");
-            values ( \
-              "0.0021731, 0.146865, 0.153483, 0.155216, 0.155052, 0.153959, 0.148208, 0.139288, 0.134301, 0.129029, 0.121903, 0.106383, 0.08199, 0.0651315, 0.050884, 0.0419567, 0.0334747, 0.0246785, 0.0207178, 0.0153797, 0.0111009, 0.00794722, 0.00643511, 0.00459095, 0.00327917, 0.00166232, 0.000841271, 0.000426169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.612036, 0.620867, 0.642957, 0.652095, 0.657111, 0.663497, 0.669856, 0.676247, 0.687051, 0.698323, 0.716589, 0.729064, 0.744299, 0.752019");
-            values ( \
-              "0.00600612, 0.0140742, 0.0482166, 0.0571478, 0.0600259, 0.0615802, 0.0603334, 0.053908, 0.034272, 0.0203717, 0.00826291, 0.00436199, 0.00202112, 0.00165119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.645555, 0.648881, 0.655956, 0.673877, 0.678134, 0.686155, 0.696289, 0.705608, 0.714723, 0.723825, 0.729619, 0.74335, 0.750797, 0.75553, 0.764997, 0.775949, 0.784885, 0.789363, 0.796679, 0.806434, 0.814098, 0.825496, 0.840694, 0.859365");
-            values ( \
-              "0.00838103, 0.0104721, 0.0204077, 0.0557009, 0.0632555, 0.0747843, 0.0844198, 0.088466, 0.0887551, 0.0848836, 0.0789481, 0.0572855, 0.0467072, 0.0406305, 0.0302962, 0.0212746, 0.0157079, 0.0134786, 0.0104515, 0.00739379, 0.00563526, 0.0037519, 0.00215403, 0.00120955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.731884, 0.756315, 0.75909, 0.761864, 0.76352, 0.765176, 0.768487, 0.771799, 0.77511, 0.778422, 0.781734, 0.783783, 0.785833, 0.787883, 0.789933, 0.794032, 0.798432, 0.801959, 0.803134, 0.805652, 0.80817, 0.810688, 0.813206, 0.820635, 0.828575, 0.83011, 0.834205, 0.838301, 0.850151, 0.862001, 0.874226, 0.878039, 0.881852, 0.885665, 0.889479, 0.893292, 0.897105, 0.903623, 0.910522, 0.915122, 0.919721, 0.926381, 0.93304, 0.936932, 0.942769, 0.944715, 0.952499, 0.960282, 0.970367, 0.979756");
-            values ( \
-              "0.0844754, 0.0917911, 0.0956673, 0.0991502, 0.100789, 0.102336, 0.105154, 0.107503, 0.10955, 0.111297, 0.112742, 0.113486, 0.113917, 0.114244, 0.114388, 0.114517, 0.114417, 0.113908, 0.113684, 0.113049, 0.112331, 0.111529, 0.110644, 0.107343, 0.10346, 0.10249, 0.0990326, 0.0951983, 0.0827335, 0.0697413, 0.057244, 0.0537172, 0.0503501, 0.0471583, 0.0441159, 0.0412229, 0.0384793, 0.0340913, 0.0296595, 0.027197, 0.0248871, 0.0218908, 0.0190591, 0.0174804, 0.015414, 0.0147818, 0.0126376, 0.0107439, 0.00870261, 0.00696695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.831749, 0.85217, 0.896757, 0.908898, 0.924909, 0.938501, 0.950879, 0.971583, 0.987868, 1.00414, 1.03, 1.05181, 1.11911, 1.16384, 1.19532, 1.23915, 1.26894, 1.29985, 1.33897, 1.39112, 1.45305");
-            values ( \
-              "0.00918775, 0.0271958, 0.111453, 0.12341, 0.131767, 0.134145, 0.134296, 0.131865, 0.128586, 0.1245, 0.115435, 0.104433, 0.0638255, 0.0419741, 0.0304234, 0.0188721, 0.0134695, 0.00939849, 0.00592787, 0.00312643, 0.00158306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.09772, 1.12768, 1.14393, 1.15486, 1.17102, 1.18473, 1.19998, 1.22283, 1.24733, 1.27204, 1.32294, 1.3764, 1.43062, 1.45293, 1.47438, 1.58135, 1.64308, 1.6952, 1.73897, 1.77302, 1.82892, 1.85308, 1.89564, 1.95578, 2.01826, 2.06611, 2.16181, 2.2727, 2.38358, 2.49447");
-            values ( \
-              "0.0597112, 0.0789936, 0.1071, 0.12161, 0.135672, 0.142318, 0.145956, 0.147549, 0.1464, 0.144258, 0.138292, 0.130617, 0.120019, 0.11436, 0.108222, 0.0741884, 0.0563842, 0.0436069, 0.034734, 0.0288821, 0.0211194, 0.0183952, 0.0143558, 0.0100341, 0.00689931, 0.00515601, 0.00282944, 0.00139299, 0.000683391, 0.000334342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.59162, 1.6416, 1.66051, 1.68224, 1.70798, 1.73301, 1.75267, 1.78022, 1.85641, 2.0065, 2.10493, 2.18712, 2.23449, 2.32924, 2.55998, 2.71909, 2.86644, 2.96923, 3.03066, 3.18334, 3.29422, 3.43669, 3.54757, 3.65846, 3.88023, 3.97309");
-            values ( \
-              "0.0896934, 0.114697, 0.133933, 0.146599, 0.153286, 0.155077, 0.155243, 0.154645, 0.15109, 0.141864, 0.134642, 0.127201, 0.121877, 0.108849, 0.0720798, 0.049987, 0.0342552, 0.0258841, 0.0217985, 0.0140435, 0.0101282, 0.00661732, 0.0047178, 0.00337404, 0.00171146, 0.00144519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.07618, 1.0835, 1.10674, 1.11439, 1.12125, 1.12776, 1.13425, 1.14074, 1.15171, 1.16304, 1.18206, 1.19763, 1.21423, 1.21659");
-            values ( \
-              "0.00670755, 0.0131955, 0.0469655, 0.0546819, 0.0588038, 0.0605406, 0.0594106, 0.0533, 0.0338605, 0.0201706, 0.0079269, 0.00357778, 0.00154185, 0.00144391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.11758, 1.12855, 1.15876, 1.16912, 1.17863, 1.1879, 1.19717, 1.20242, 1.22688, 1.24052, 1.25125, 1.26755, 1.28536, 1.30441, 1.32619, 1.33792");
-            values ( \
-              "0.00912627, 0.0213609, 0.0728768, 0.0827349, 0.0867858, 0.0873658, 0.0836385, 0.0787376, 0.0433789, 0.0286038, 0.0201788, 0.0115943, 0.00619963, 0.00315099, 0.00140587, 0.00117922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.19606, 1.21143, 1.2367, 1.24806, 1.25487, 1.26847, 1.28188, 1.29174, 1.30002, 1.31497, 1.379, 1.40439, 1.42386, 1.44629, 1.47799, 1.50045, 1.52054");
-            values ( \
-              "0.0104521, 0.0294518, 0.0794265, 0.095859, 0.103093, 0.111336, 0.113817, 0.112961, 0.110841, 0.103793, 0.042201, 0.0261368, 0.0176564, 0.0110616, 0.00559581, 0.00340045, 0.0023964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.33218, 1.36079, 1.37697, 1.38893, 1.39486, 1.40671, 1.42309, 1.44151, 1.44909, 1.462, 1.47521, 1.5015, 1.51429, 1.52793, 1.55027, 1.60606, 1.6332, 1.6627, 1.67995, 1.69156, 1.71479, 1.73225, 1.75186, 1.77194, 1.80016, 1.83341, 1.87775, 1.96092, 2.05777");
-            values ( \
-              "0.00137808, 0.0471319, 0.0814927, 0.102722, 0.110879, 0.122855, 0.13156, 0.134428, 0.134243, 0.133027, 0.130848, 0.124748, 0.120757, 0.115527, 0.104256, 0.0701962, 0.0553823, 0.0416882, 0.0350423, 0.0310572, 0.0241987, 0.0199754, 0.0160365, 0.0127505, 0.00917811, 0.0062073, 0.00362547, 0.00121345, 0.000318305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.5643, 1.69982, 1.72048, 1.72813, 1.74344, 1.77189, 1.80773, 1.86919, 1.9067, 1.93911, 1.99774, 2.08194, 2.1171, 2.15454, 2.20216, 2.23916, 2.2885, 2.32355, 2.3516, 2.389, 2.45638, 2.50257, 2.53335, 2.5949, 2.70579, 2.81667, 3.03844");
-            values ( \
-              "0.00563924, 0.145354, 0.14751, 0.147586, 0.147011, 0.144814, 0.140794, 0.132523, 0.12619, 0.119263, 0.10272, 0.0756537, 0.0650981, 0.0547366, 0.0432779, 0.0357059, 0.027275, 0.0224437, 0.019136, 0.0153792, 0.0103202, 0.00780202, 0.00650912, 0.00443714, 0.00221706, 0.00106569, 0.000243141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("2.07476, 2.16626, 2.18593, 2.2121, 2.22784, 2.24883, 2.28127, 2.31383, 2.41264, 2.55995, 2.69295, 2.74032, 2.83506, 3.0658, 3.22492, 3.37226, 3.47505, 3.56044, 3.68916, 3.80005, 3.94251, 4.0534, 4.16428, 4.38605, 4.4427");
-            values ( \
-              "0.0313959, 0.133681, 0.145577, 0.152948, 0.154522, 0.15522, 0.154812, 0.153502, 0.148174, 0.138506, 0.127199, 0.121877, 0.10885, 0.0720798, 0.049987, 0.0342552, 0.0258843, 0.0203566, 0.0140432, 0.0101283, 0.00661762, 0.00471765, 0.0033743, 0.00171171, 0.00154927" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00770199, 0.00773044, 0.00776307, 0.00779203, 0.00781246, 0.00782465", \
-            "0.00877202, 0.00880744, 0.00885262, 0.00889712, 0.00893108, 0.0089524", \
-            "0.00935588, 0.00939067, 0.00943937, 0.00949324, 0.00953867, 0.0095691", \
-            "0.0097402, 0.00975816, 0.00978833, 0.00982861, 0.00986849, 0.00989873", \
-            "0.0100528, 0.0100465, 0.0100421, 0.0100457, 0.0100583, 0.0100735", \
-            "0.0103489, 0.0103225, 0.0102835, 0.0102386, 0.0102022, 0.0101823" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00963864, 0.00965841, 0.0096841, 0.00970932, 0.00972842, 0.00974035", \
-            "0.00970249, 0.00969855, 0.00969625, 0.00969598, 0.00969692, 0.00969791", \
-            "0.00968369, 0.00964067, 0.00958663, 0.00953232, 0.00948957, 0.00946206", \
-            "0.00973901, 0.00966291, 0.00955781, 0.00944963, 0.00935591, 0.00929434", \
-            "0.010023, 0.00989444, 0.00971231, 0.00950899, 0.00935512, 0.00924956", \
-            "0.00942541, 0.00964071, 0.00996505, 0.00985803, 0.00956545, 0.00934686" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.203279, 0.22096, 0.230693, 0.237493, 0.243514, 0.249102, 0.254481, 0.259854, 0.265196, 0.277171, 0.284112, 0.288905, 0.294913, 0.301322, 0.30926");
-            values ( \
-              "-0.000262747, -0.0375831, -0.0530559, -0.0615032, -0.0676205, -0.0713554, -0.0734764, -0.0718982, -0.0634139, -0.0267002, -0.0133293, -0.0079184, -0.00404569, -0.00204958, -0.000904986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.230314, 0.250976, 0.262141, 0.271341, 0.279614, 0.287395, 0.294968, 0.302607, 0.30714, 0.329588, 0.3422, 0.352024, 0.359983, 0.361337");
-            values ( \
-              "-0.0173289, -0.06208, -0.0817788, -0.0936321, -0.101307, -0.105798, -0.107434, -0.103726, -0.0972695, -0.0338866, -0.014543, -0.00722356, -0.00401238, -0.00376225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.27056, 0.295071, 0.304937, 0.311783, 0.322181, 0.335841, 0.348405, 0.360444, 0.372319, 0.384187, 0.388834, 0.393082, 0.398027, 0.415159, 0.427045, 0.438713, 0.445013, 0.45387, 0.463076, 0.470129, 0.484236, 0.503836");
-            values ( \
-              "-0.00300136, -0.0651247, -0.0869795, -0.0997477, -0.114995, -0.129042, -0.13712, -0.141103, -0.140746, -0.133916, -0.128466, -0.121273, -0.110284, -0.0681345, -0.0452328, -0.0291774, -0.022779, -0.0159194, -0.0109212, -0.00813755, -0.00443483, -0.00212537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.368855, 0.387221, 0.40016, 0.402013, 0.406804, 0.411595, 0.415183, 0.418772, 0.42236, 0.425948, 0.431638, 0.437329, 0.442465, 0.447601, 0.452737, 0.457873, 0.466487, 0.472581, 0.475229, 0.480526, 0.490808, 0.50109, 0.511371, 0.521652, 0.527116, 0.531347, 0.532757, 0.538109, 0.54346, 0.548812, 0.554164, 0.559516, 0.564867, 0.568329, 0.575253, 0.580862, 0.586472, 0.593638, 0.600804, 0.610046, 0.612234, 0.618799, 0.627675, 0.634363, 0.639919, 0.645475, 0.651279, 0.657083, 0.669474, 0.680714");
-            values ( \
-              "-0.0602206, -0.0675773, -0.0979772, -0.102019, -0.11122, -0.119519, -0.125117, -0.130228, -0.134852, -0.138987, -0.144179, -0.14888, -0.152704, -0.156128, -0.159154, -0.161781, -0.165363, -0.167402, -0.168152, -0.169405, -0.168837, -0.167969, -0.1668, -0.16533, -0.163262, -0.161218, -0.160444, -0.156578, -0.152132, -0.147107, -0.141501, -0.135315, -0.128549, -0.123669, -0.112136, -0.103272, -0.0947452, -0.0843435, -0.0744937, -0.06277, -0.0601065, -0.0532637, -0.0450094, -0.0393063, -0.0349031, -0.030975, -0.027563, -0.0244459, -0.018892, -0.0144289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.525115, 0.616416, 0.641359, 0.659157, 0.686519, 0.698116, 0.71729, 0.736252, 0.774334, 0.798639, 0.814304, 0.845634, 0.856313, 0.87767, 0.9422, 0.969695, 0.990859, 1.01908, 1.03782, 1.07257, 1.11079, 1.12376, 1.15466, 1.18998, 1.26062, 1.34347, 1.42631");
-            values ( \
-              "-0.00484855, -0.152719, -0.17222, -0.180082, -0.187009, -0.188128, -0.189092, -0.188549, -0.185202, -0.181133, -0.177756, -0.168221, -0.163704, -0.15146, -0.0980717, -0.077386, -0.0637415, -0.0485394, -0.0402377, -0.0281522, -0.0186852, -0.0162599, -0.0116206, -0.00786012, -0.00344374, -0.00126731, -0.000466243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.898586, 0.937621, 0.965861, 0.985182, 1.01092, 1.04135, 1.06588, 1.08801, 1.12547, 1.16232, 1.22263, 1.31425, 1.3916, 1.42837, 1.4676, 1.50161, 1.54696, 1.6965, 1.75094, 1.84316, 1.89078, 1.95427, 2.03262, 2.08094, 2.16379, 2.24664, 2.32949, 2.37356");
-            values ( \
-              "-0.0975733, -0.103921, -0.144937, -0.164812, -0.182027, -0.193245, -0.198055, -0.200096, -0.20133, -0.200469, -0.197704, -0.190874, -0.182975, -0.17765, -0.17033, -0.161635, -0.145546, -0.0833136, -0.0652872, -0.0419053, -0.0330037, -0.0237598, -0.0157185, -0.0121408, -0.00771921, -0.00489168, -0.00308815, -0.00267792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.216935, 0.240147, 0.244937, 0.251729, 0.25775, 0.263337, 0.268716, 0.274087, 0.279845, 0.291403, 0.298344, 0.303137, 0.309146, 0.315556, 0.319044");
-            values ( \
-              "-0.000602873, -0.0460467, -0.052836, -0.0617411, -0.0674163, -0.0715644, -0.0732959, -0.0720842, -0.0625008, -0.0267667, -0.0133896, -0.00797696, -0.00409747, -0.00199685, -0.0015257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.240261, 0.262473, 0.270527, 0.276305, 0.285497, 0.293765, 0.301543, 0.309114, 0.316677, 0.321329, 0.325045, 0.336615, 0.343724, 0.35098, 0.356348, 0.36615, 0.374092, 0.389698, 0.408083");
-            values ( \
-              "-0.000223765, -0.0568986, -0.0724906, -0.081731, -0.0938234, -0.10123, -0.105958, -0.107348, -0.103893, -0.0971963, -0.0882488, -0.0517659, -0.0338906, -0.0211014, -0.0145398, -0.00723487, -0.00401647, -0.00112668, -0.000279033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.290033, 0.29934, 0.319965, 0.327631, 0.33632, 0.350053, 0.362627, 0.374653, 0.386532, 0.398405, 0.403036, 0.407292, 0.412245, 0.429382, 0.441257, 0.45294, 0.459238, 0.468094, 0.477293, 0.484341, 0.498436, 0.525955, 0.558577");
-            values ( \
-              "-0.031799, -0.0401193, -0.0883059, -0.102272, -0.114664, -0.128964, -0.137032, -0.141041, -0.140709, -0.133883, -0.128465, -0.121275, -0.110272, -0.0681215, -0.0452452, -0.0291694, -0.0227741, -0.0159178, -0.0109233, -0.00814039, -0.00443947, -0.00118186, -0.000232253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.367159, 0.442203, 0.452256, 0.47439, 0.495256, 0.512653, 0.522016, 0.536438, 0.548063, 0.55808, 0.578112, 0.610111, 0.629243, 0.652455, 0.678339, 0.702753, 0.719089, 0.751761, 0.775682");
-            values ( \
-              "-0.00528119, -0.14067, -0.149999, -0.163143, -0.168566, -0.169544, -0.16868, -0.165348, -0.160489, -0.154069, -0.131213, -0.081612, -0.0578328, -0.0367029, -0.0214176, -0.0126783, -0.00884436, -0.00417099, -0.00275709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.56136, 0.58155, 0.604497, 0.618824, 0.630978, 0.655284, 0.6737, 0.701411, 0.712662, 0.731834, 0.750799, 0.788881, 0.813188, 0.828851, 0.860176, 0.87086, 0.892226, 0.956736, 0.984239, 1.00541, 1.03364, 1.05237, 1.0871, 1.12533, 1.1383, 1.16923, 1.20456, 1.27524, 1.35808, 1.44093");
-            values ( \
-              "-0.0706727, -0.0718468, -0.115973, -0.138819, -0.152745, -0.171922, -0.180078, -0.187083, -0.1881, -0.189117, -0.188523, -0.185225, -0.181135, -0.177753, -0.168226, -0.163702, -0.151456, -0.0980802, -0.0773886, -0.063739, -0.0485315, -0.0402366, -0.0281568, -0.0186864, -0.0162601, -0.0116186, -0.00785721, -0.00344057, -0.00126626, -0.000465719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.900172, 0.940941, 0.979354, 0.998959, 1.02181, 1.04071, 1.06231, 1.09858, 1.1204, 1.14534, 1.17588, 1.23696, 1.32789, 1.41263, 1.44228, 1.48181, 1.5132, 1.54809, 1.66974, 1.71977, 1.77109, 1.86503, 1.91323, 1.95821, 2.0461, 2.09612, 2.17897, 2.26181, 2.34466, 2.5932");
-            values ( \
-              "-0.0589942, -0.0873592, -0.144198, -0.164643, -0.180257, -0.188604, -0.194798, -0.19994, -0.200974, -0.201139, -0.200496, -0.197576, -0.190958, -0.181924, -0.177606, -0.170203, -0.162238, -0.150466, -0.0987693, -0.0798688, -0.063325, -0.0401753, -0.0315188, -0.0249966, -0.015724, -0.0120324, -0.00765047, -0.00484687, -0.00306067, -0.000767052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.255043, 0.260434, 0.261611, 0.263877, 0.268136, 0.270863, 0.276019, 0.279192, 0.285979, 0.292006, 0.297592, 0.302971, 0.308342, 0.314099, 0.321833, 0.325656, 0.328802, 0.332598, 0.336118, 0.337791, 0.340195, 0.343399, 0.349808, 0.352325");
-            values ( \
-              "-0.0139813, -0.0195078, -0.0214001, -0.0259053, -0.0353652, -0.0400686, -0.0484253, -0.05293, -0.0616603, -0.0674909, -0.0715113, -0.0733506, -0.0720466, -0.0625176, -0.0375215, -0.0267952, -0.0197873, -0.0134141, -0.00919182, -0.00766379, -0.00588751, -0.00412203, -0.00197298, -0.00164234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.277176, 0.285944, 0.296081, 0.311002, 0.320196, 0.328475, 0.336255, 0.343828, 0.351393, 0.355997, 0.378445, 0.391055, 0.40088, 0.404181");
-            values ( \
-              "-0.017693, -0.0300154, -0.0543487, -0.0816952, -0.0937145, -0.101238, -0.10589, -0.107367, -0.103846, -0.0972785, -0.0338837, -0.0145486, -0.00722646, -0.00589208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.319004, 0.334586, 0.365253, 0.385222, 0.397789, 0.409807, 0.42168, 0.433546, 0.438204, 0.476408, 0.488064, 0.503224, 0.519492, 0.533607, 0.53433");
-            values ( \
-              "-0.0163434, -0.0403908, -0.106409, -0.129149, -0.13712, -0.141146, -0.140753, -0.13394, -0.128461, -0.0452242, -0.0291841, -0.015921, -0.00813505, -0.00443167, -0.00434586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.413034, 0.428877, 0.456375, 0.472732, 0.487185, 0.509301, 0.522201, 0.546079, 0.559861, 0.571352, 0.592151, 0.598261, 0.605244, 0.649779, 0.662359, 0.685554, 0.70864, 0.730137, 0.742307, 0.766648, 0.80733");
-            values ( \
-              "-0.0254436, -0.0517773, -0.111786, -0.135767, -0.150248, -0.16327, -0.167266, -0.169651, -0.16827, -0.165276, -0.154847, -0.149602, -0.141862, -0.0750686, -0.0597343, -0.0379626, -0.0235758, -0.0148753, -0.0114151, -0.0066151, -0.002719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.591837, 0.616852, 0.639689, 0.651975, 0.66612, 0.691001, 0.708833, 0.729254, 0.747764, 0.783413, 0.800978, 0.821052, 0.84405, 0.863948, 0.905966, 0.918087, 0.934248, 0.993509, 1.01803, 1.04308, 1.07648, 1.08927, 1.11337, 1.13534, 1.16608, 1.17823, 1.20252, 1.25111, 1.33396, 1.4168, 1.49965");
-            values ( \
-              "-0.0424449, -0.0725452, -0.116323, -0.136121, -0.152985, -0.172234, -0.180215, -0.185747, -0.188154, -0.188863, -0.187636, -0.185512, -0.181907, -0.177762, -0.16374, -0.157379, -0.146523, -0.0967067, -0.078302, -0.0622151, -0.0448946, -0.0394856, -0.0308457, -0.0244944, -0.0176142, -0.015451, -0.0118579, -0.00687087, -0.00254773, -0.000936351, -0.000344109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.955304, 1.06046, 1.08861, 1.11439, 1.13761, 1.17509, 1.27146, 1.36386, 1.44852, 1.51654, 1.55253, 1.6005, 1.74541, 1.80149, 1.89335, 1.98628, 2.08204, 2.22244, 2.36264");
-            values ( \
-              "-0.103585, -0.181747, -0.192573, -0.19779, -0.200118, -0.201256, -0.197686, -0.190937, -0.181936, -0.170472, -0.161242, -0.14394, -0.0835671, -0.0650066, -0.0417889, -0.0260734, -0.0157361, -0.00734506, -0.00361521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.336719, 0.343021, 0.352899, 0.361237, 0.368028, 0.374058, 0.379646, 0.385027, 0.39038, 0.395731, 0.407631, 0.414698, 0.41946, 0.425355, 0.429346");
-            values ( \
-              "-0.0136968, -0.0202993, -0.0400898, -0.0529521, -0.0615603, -0.0675265, -0.0714282, -0.073391, -0.0719883, -0.0633624, -0.0269613, -0.0133155, -0.00795291, -0.0041317, -0.00282003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.363473, 0.370014, 0.371746, 0.375491, 0.377563, 0.381907, 0.385412, 0.388918, 0.390996, 0.393075, 0.395154, 0.397233, 0.399311, 0.40139, 0.404012, 0.405708, 0.407404, 0.410525, 0.412086, 0.415208, 0.418311, 0.420623, 0.422512, 0.42363, 0.425866, 0.42775, 0.429633, 0.431517, 0.4334, 0.434358, 0.435423, 0.436274, 0.437552, 0.438403, 0.440106, 0.44181, 0.446618, 0.450816, 0.452882, 0.454675, 0.45602, 0.45896, 0.461816, 0.463781, 0.465807, 0.466731, 0.469502, 0.472105, 0.474707, 0.476785");
-            values ( \
-              "-0.0345154, -0.034557, -0.0387891, -0.0483309, -0.0533066, -0.0624041, -0.0691899, -0.0754783, -0.0787305, -0.0818292, -0.0847628, -0.0875507, -0.0901928, -0.0926892, -0.0955781, -0.0972884, -0.0988834, -0.101343, -0.102367, -0.10428, -0.106002, -0.10652, -0.106704, -0.106713, -0.106516, -0.106126, -0.105531, -0.104732, -0.103728, -0.10269, -0.101275, -0.0999451, -0.0977113, -0.0960623, -0.0923808, -0.0879504, -0.0729181, -0.0593248, -0.0531117, -0.0478626, -0.0441524, -0.0371449, -0.0311412, -0.0272607, -0.023636, -0.0222723, -0.0185391, -0.0155526, -0.0129764, -0.0112038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.404346, 0.432477, 0.44762, 0.453898, 0.467605, 0.480174, 0.492192, 0.504066, 0.515933, 0.52059, 0.524834, 0.529773, 0.546902, 0.558795, 0.570453, 0.576754, 0.585612, 0.594822, 0.601878, 0.615992, 0.632103");
-            values ( \
-              "-0.0111243, -0.0780592, -0.106378, -0.114842, -0.129143, -0.137104, -0.141143, -0.140737, -0.13394, -0.128457, -0.121273, -0.110287, -0.0681402, -0.0452251, -0.0291834, -0.0227822, -0.0159211, -0.0109206, -0.00813565, -0.00443257, -0.00251282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.503073, 0.522564, 0.527245, 0.533078, 0.538912, 0.544181, 0.549451, 0.554721, 0.562302, 0.566093, 0.569884, 0.574601, 0.579317, 0.584034, 0.588751, 0.595476, 0.598839, 0.602392, 0.605946, 0.6095, 0.613053, 0.62333, 0.633606, 0.643884, 0.654162, 0.659694, 0.663977, 0.665404, 0.670687, 0.67597, 0.681252, 0.686535, 0.691818, 0.6971, 0.700828, 0.708283, 0.715738, 0.725934, 0.734156, 0.74002, 0.742953, 0.750593, 0.756491, 0.767546, 0.772558, 0.778574, 0.786595, 0.794615, 0.804298, 0.812237");
-            values ( \
-              "-0.0647823, -0.0749614, -0.0858151, -0.0982061, -0.109868, -0.119776, -0.127975, -0.13506, -0.142669, -0.146084, -0.14924, -0.152542, -0.155616, -0.158462, -0.161079, -0.164365, -0.165759, -0.167009, -0.168043, -0.168861, -0.169463, -0.168877, -0.168007, -0.166853, -0.165414, -0.163253, -0.161177, -0.160392, -0.156578, -0.152191, -0.147232, -0.141702, -0.135599, -0.128924, -0.123609, -0.111235, -0.0995376, -0.0846037, -0.073322, -0.0656567, -0.0620631, -0.0539578, -0.0483449, -0.0386964, -0.0347077, -0.0305508, -0.0260318, -0.0220457, -0.0180153, -0.0149699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.669287, 0.697052, 0.732936, 0.748073, 0.773396, 0.791034, 0.811525, 0.830042, 0.865251, 0.886104, 0.90668, 0.945315, 0.960188, 0.973757, 0.988279, 1.01732, 1.06487, 1.097, 1.12058, 1.13648, 1.17536, 1.193, 1.22827, 1.25902, 1.27573, 1.30916, 1.37601, 1.45886, 1.54171");
-            values ( \
-              "-0.0373187, -0.0662808, -0.132651, -0.151413, -0.171631, -0.17964, -0.18547, -0.187895, -0.188825, -0.187287, -0.185061, -0.177976, -0.174073, -0.169657, -0.163686, -0.145957, -0.105741, -0.0806836, -0.0651197, -0.0560058, -0.0380421, -0.0317417, -0.0218462, -0.0157019, -0.0130977, -0.00905857, -0.00417965, -0.00153762, -0.000568476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.01231, 1.09925, 1.14051, 1.19623, 1.22168, 1.25921, 1.3539, 1.44795, 1.52537, 1.59901, 1.63986, 1.87665, 1.97447, 2.10212, 2.19663, 2.34615, 2.37066");
-            values ( \
-              "-0.0372306, -0.143579, -0.17933, -0.197331, -0.200014, -0.201231, -0.19779, -0.190922, -0.18291, -0.170822, -0.160257, -0.0677556, -0.0424068, -0.0220395, -0.0133686, -0.00591231, -0.00538693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.526158, 0.544188, 0.55264, 0.559504, 0.571172, 0.576572, 0.581943, 0.587735, 0.599262, 0.603808, 0.611068, 0.617018, 0.623364, 0.633913, 0.663101");
-            values ( \
-              "-0.0022366, -0.0400258, -0.051955, -0.0615677, -0.071454, -0.0728563, -0.0720382, -0.0623888, -0.0268521, -0.0173029, -0.00795876, -0.00411672, -0.00202842, -0.000550978, -6.45581e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.550692, 0.576687, 0.587937, 0.597172, 0.605478, 0.613278, 0.620867, 0.628448, 0.63289, 0.636825, 0.648408, 0.655536, 0.662768, 0.668119, 0.67801, 0.686023, 0.701027, 0.718691");
-            values ( \
-              "-0.000691125, -0.0613912, -0.081261, -0.0933554, -0.100969, -0.10563, -0.107172, -0.103666, -0.0974561, -0.0881387, -0.0517275, -0.0338422, -0.0211113, -0.0145666, -0.00720434, -0.00398035, -0.00118727, -0.000313876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.601068, 0.615416, 0.636621, 0.652926, 0.666643, 0.679212, 0.691236, 0.703115, 0.71963, 0.752794, 0.775823, 0.793886, 0.807891");
-            values ( \
-              "-0.0185793, -0.0391918, -0.0887737, -0.114879, -0.129029, -0.137089, -0.14103, -0.140723, -0.128442, -0.0541411, -0.0227746, -0.0109206, -0.00662756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.702749, 0.71541, 0.729657, 0.746041, 0.75674, 0.771438, 0.793562, 0.810308, 0.822675, 0.834955, 0.855423, 0.869638, 0.877267, 0.892525, 0.920821, 0.946685, 0.968436, 0.990256, 1.00699, 1.0243, 1.03755, 1.06405, 1.09675");
-            values ( \
-              "-0.0527413, -0.0568815, -0.0899453, -0.121138, -0.135225, -0.150195, -0.163256, -0.168087, -0.16952, -0.169191, -0.165606, -0.159195, -0.154102, -0.137922, -0.0938726, -0.0596763, -0.0390835, -0.024953, -0.0174525, -0.0120139, -0.0089812, -0.00492986, -0.00262949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.87508, 0.901143, 0.92394, 0.939529, 0.950461, 0.971531, 0.99319, 1.01374, 1.0321, 1.06625, 1.1087, 1.13939, 1.16614, 1.19027, 1.23303, 1.2741, 1.31807, 1.34638, 1.371, 1.4148, 1.45949, 1.50876, 1.56131, 1.64416, 1.72701");
-            values ( \
-              "-0.0382756, -0.0721442, -0.116216, -0.140306, -0.152882, -0.169866, -0.180231, -0.185741, -0.188163, -0.188881, -0.185042, -0.179782, -0.172889, -0.163683, -0.135142, -0.099934, -0.0680884, -0.052022, -0.0406964, -0.025899, -0.016036, -0.00936388, -0.00515582, -0.00191356, -0.000693319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.20839, 1.31893, 1.34542, 1.37078, 1.39776, 1.42255, 1.46007, 1.49689, 1.55434, 1.64881, 1.73334, 1.7995, 1.84148, 1.89746, 1.98536, 2.08899, 2.17865, 2.27107, 2.36711, 2.42496, 2.50781, 2.66434");
-            values ( \
-              "-0.024714, -0.163816, -0.181645, -0.191602, -0.197438, -0.20008, -0.201202, -0.200527, -0.197779, -0.190952, -0.181953, -0.170901, -0.160019, -0.138915, -0.101007, -0.0642797, -0.0417395, -0.0261149, -0.01574, -0.011536, -0.00733647, -0.00317889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.941185, 0.945091, 0.946949, 0.950322, 0.952008, 0.955381, 0.957681, 0.96228, 0.966071, 0.972874, 0.978895, 0.98448, 0.989859, 0.99523, 1.00099, 1.0035, 1.00685, 1.00936, 1.01061, 1.01254, 1.01504, 1.0157, 1.01702, 1.01951, 1.02302, 1.02429, 1.02548, 1.02708, 1.03026, 1.03663, 1.03994");
-            values ( \
-              "-0.0125253, -0.0165479, -0.0180301, -0.0246829, -0.0282633, -0.0357729, -0.0394436, -0.0473238, -0.0526713, -0.061725, -0.067446, -0.0715874, -0.0732732, -0.0720525, -0.0624739, -0.0548191, -0.0434945, -0.0355778, -0.0319831, -0.0268233, -0.0211414, -0.0197728, -0.0173562, -0.0133904, -0.00920754, -0.00799056, -0.00701538, -0.00591946, -0.00412482, -0.00200821, -0.00154903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.979398, 0.985798, 0.997331, 1.0119, 1.02108, 1.02935, 1.03712, 1.0447, 1.05227, 1.05704, 1.07932, 1.08661, 1.09482, 1.10958, 1.11381");
-            values ( \
-              "-0.0189475, -0.0270951, -0.0549155, -0.0818608, -0.0938214, -0.101332, -0.105849, -0.10733, -0.103732, -0.096886, -0.0339149, -0.0210808, -0.0118969, -0.00405896, -0.0032468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.04866, 1.05683, 1.06868, 1.07945, 1.08704, 1.09569, 1.10938, 1.12192, 1.13397, 1.14585, 1.15804, 1.16236, 1.17157, 1.18871, 1.19552, 1.20705, 1.21604, 1.23213, 1.24098, 1.25866, 1.26516");
-            values ( \
-              "-0.0232045, -0.0366596, -0.0657075, -0.0893377, -0.102863, -0.115298, -0.129088, -0.137155, -0.140916, -0.140737, -0.133539, -0.128442, -0.110265, -0.0681277, -0.0541783, -0.0355985, -0.0251765, -0.0136674, -0.00946904, -0.0043429, -0.00355261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.16595, 1.18222, 1.20424, 1.21578, 1.22888, 1.23628, 1.24243, 1.25063, 1.26052, 1.28153, 1.3021, 1.32268, 1.32829, 1.33408, 1.34452, 1.36541, 1.39329, 1.40308, 1.41088, 1.42129, 1.43496, 1.44753, 1.4643, 1.48096, 1.48993, 1.50787, 1.54375, 1.59408, 1.65567");
-            values ( \
-              "-0.0287271, -0.0565622, -0.105133, -0.124776, -0.140537, -0.147388, -0.15222, -0.157497, -0.162287, -0.16812, -0.168924, -0.165173, -0.163077, -0.160439, -0.153862, -0.129848, -0.0863241, -0.0729299, -0.0633359, -0.052048, -0.0397782, -0.0308168, -0.0216474, -0.0151535, -0.012486, -0.00839778, -0.00364636, -0.00101817, -0.000198898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.35107, 1.41843, 1.43374, 1.4589, 1.47657, 1.49702, 1.51554, 1.55006, 1.59217, 1.6252, 1.67372, 1.71633, 1.80147, 1.85436, 1.89851, 1.94305, 1.99182, 2.04384, 2.05408");
-            values ( \
-              "-0.0177034, -0.133594, -0.152304, -0.171951, -0.179931, -0.185563, -0.188016, -0.188826, -0.185023, -0.179249, -0.163707, -0.135303, -0.0681642, -0.040756, -0.0258492, -0.0160324, -0.00941535, -0.0052117, -0.00480762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.71296, 1.76315, 1.79015, 1.80266, 1.8293, 1.83999, 1.86136, 1.89277, 1.90655, 1.93412, 1.98086, 2.03717, 2.13284, 2.21729, 2.24523, 2.28248, 2.32759, 2.38774, 2.44529, 2.51107, 2.55268, 2.61348, 2.66389, 2.70573, 2.75365, 2.8214, 2.86441, 2.94418, 3.02703, 3.10987, 3.19272, 3.27557, 3.44126");
-            values ( \
-              "-0.0789474, -0.112646, -0.149952, -0.162695, -0.181067, -0.185993, -0.19315, -0.198757, -0.199987, -0.201046, -0.200537, -0.197843, -0.190952, -0.181961, -0.177924, -0.171122, -0.159366, -0.136234, -0.111088, -0.0848288, -0.0705525, -0.0531051, -0.0415053, -0.0336558, -0.0263071, -0.0184253, -0.0146659, -0.00955306, -0.00606917, -0.00383059, -0.00242556, -0.00152128, -0.000600623" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00710227, 0.00711763, 0.00713487, 0.00714992, 0.00716051, 0.00716683", \
-            "0.00779916, 0.00781091, 0.00782564, 0.00783982, 0.00785067, 0.00785748", \
-            "0.0081573, 0.0081638, 0.00817319, 0.00818372, 0.00819281, 0.00819894", \
-            "0.00837785, 0.00837973, 0.00838302, 0.00838812, 0.00839364, 0.00839807", \
-            "0.00851298, 0.00851348, 0.00851435, 0.00851588, 0.00851819, 0.00852073", \
-            "0.00860668, 0.00860696, 0.00860739, 0.00860797, 0.00860872, 0.00860976" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00898824, 0.00900383, 0.009021, 0.00903656, 0.00904846, 0.0090555", \
-            "0.00944892, 0.00946087, 0.00947487, 0.00948705, 0.00949495, 0.00950037", \
-            "0.0097556, 0.00976493, 0.00977548, 0.00978448, 0.00979042, 0.00979356", \
-            "0.0100143, 0.0100149, 0.0100161, 0.0100173, 0.0100179, 0.0100181", \
-            "0.0104644, 0.0103962, 0.010317, 0.0102453, 0.0101928, 0.0101596", \
-            "0.0108883, 0.0109012, 0.0107274, 0.0105131, 0.010353, 0.0102548" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.27473, 0.321564, 0.413263, 0.592716, 0.946803, 1.65496", \
-            "0.278003, 0.325036, 0.417034, 0.596871, 0.951219, 1.65934", \
-            "0.287282, 0.334665, 0.426915, 0.607359, 0.962396, 1.67062", \
-            "0.312499, 0.359848, 0.452327, 0.633123, 0.988652, 1.69719", \
-            "0.377101, 0.42465, 0.517841, 0.69874, 1.05392, 1.76158", \
-            "0.50865, 0.565204, 0.670381, 0.860535, 1.21871, 1.92511" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.039479, 0.05817, 0.097432, 0.181282, 0.357876, 0.725905", \
-            "0.0394829, 0.0582005, 0.0974753, 0.181282, 0.358, 0.725903", \
-            "0.0394833, 0.0582182, 0.0974863, 0.181291, 0.357984, 0.725889", \
-            "0.0395075, 0.0582, 0.0974855, 0.181265, 0.357977, 0.725888", \
-            "0.039654, 0.0583282, 0.0975433, 0.181275, 0.357985, 0.725893", \
-            "0.0404183, 0.05896, 0.0980047, 0.18138, 0.357983, 0.725892" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.237563, 0.276219, 0.350148, 0.492546, 0.768622, 1.31306", \
-            "0.241229, 0.279908, 0.354035, 0.496451, 0.772648, 1.31746", \
-            "0.248257, 0.287291, 0.361737, 0.504278, 0.780826, 1.32634", \
-            "0.263498, 0.303591, 0.379175, 0.522463, 0.79939, 1.34521", \
-            "0.292158, 0.335558, 0.416059, 0.564898, 0.843556, 1.38937", \
-            "0.324478, 0.377642, 0.474295, 0.643996, 0.941348, 1.4948" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0360713, 0.0499084, 0.0768044, 0.131337, 0.245993, 0.489019", \
-            "0.0360796, 0.0498638, 0.076789, 0.131322, 0.246244, 0.489025", \
-            "0.036087, 0.0499027, 0.07683, 0.131352, 0.246231, 0.489017", \
-            "0.0361142, 0.0499142, 0.0768474, 0.131354, 0.246244, 0.489043", \
-            "0.0363702, 0.0501505, 0.0768888, 0.13138, 0.246225, 0.488894", \
-            "0.0357932, 0.0497608, 0.0769215, 0.132004, 0.246071, 0.488978" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.238373, 0.244234, 0.263461, 0.271417, 0.276216, 0.280863, 0.284571, 0.288015, 0.293985, 0.305064, 0.315161, 0.321648, 0.332615, 0.342344, 0.361157, 0.376524, 0.386695");
-            values ( \
-              "0.00930543, 0.0172181, 0.0543763, 0.062958, 0.0656556, 0.066605, 0.0660852, 0.0642526, 0.0562451, 0.0341503, 0.0210731, 0.0153372, 0.00882138, 0.00536779, 0.00208367, 0.000961947, 0.000778914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.264727, 0.298474, 0.302605, 0.310867, 0.313949, 0.31732, 0.320568, 0.327064, 0.329141, 0.333297, 0.338012, 0.347004, 0.353667, 0.362801, 0.374662, 0.381636, 0.390419, 0.401723, 0.407264, 0.418346, 0.432818, 0.443162, 0.463848, 0.478516");
-            values ( \
-              "0.000111178, 0.0761246, 0.0822034, 0.0904709, 0.0922675, 0.093589, 0.0942269, 0.0939433, 0.0933414, 0.091551, 0.0879056, 0.0757414, 0.0636716, 0.0492034, 0.0342287, 0.0274223, 0.0205132, 0.0139591, 0.0115074, 0.00779552, 0.00467037, 0.00324245, 0.0014995, 0.00099999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.326417, 0.33794, 0.356179, 0.371999, 0.378386, 0.391161, 0.39613, 0.406828, 0.417511, 0.428447, 0.436361, 0.475906, 0.494813, 0.517879, 0.531395, 0.549649, 0.579133, 0.617386, 0.648944");
-            values ( \
-              "0.0132931, 0.0300385, 0.077842, 0.105898, 0.112279, 0.118756, 0.119437, 0.118718, 0.11581, 0.110661, 0.105172, 0.0631311, 0.0457718, 0.029798, 0.0228468, 0.0157676, 0.00846051, 0.00372162, 0.00185394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.439034, 0.488858, 0.495654, 0.509248, 0.522498, 0.535469, 0.547789, 0.573448, 0.6003, 0.627503, 0.649592, 0.708476, 0.736563, 0.783852, 0.830997, 0.866013, 0.89682, 0.952475, 1.00067, 1.04399");
-            values ( \
-              "6.36882e-05, 0.108272, 0.118326, 0.130976, 0.136557, 0.137863, 0.13721, 0.132619, 0.125454, 0.115262, 0.103545, 0.0673852, 0.0524229, 0.0327994, 0.0197774, 0.0133452, 0.00929484, 0.00489068, 0.00268052, 0.00179236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.682108, 0.706154, 0.725044, 0.740595, 0.752745, 0.77386, 0.788052, 0.801497, 0.824591, 0.850233, 0.917551, 0.975016, 1.00272, 1.02972, 1.06572, 1.18913, 1.24815, 1.28766, 1.33893, 1.3914, 1.4079, 1.4409, 1.5069, 1.5457, 1.58978, 1.64855, 1.75754, 1.86654, 2.08452");
-            values ( \
-              "0.0673135, 0.079072, 0.114203, 0.133236, 0.141862, 0.148749, 0.149727, 0.149491, 0.147792, 0.145036, 0.136317, 0.127086, 0.121326, 0.114538, 0.103957, 0.0650451, 0.0493204, 0.0404228, 0.030812, 0.0230069, 0.0209939, 0.0173586, 0.0117991, 0.00935058, 0.00718694, 0.0050558, 0.00253217, 0.00129264, 0.000336931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.11044, 1.18839, 1.20705, 1.22842, 1.25549, 1.28134, 1.30615, 1.34297, 1.37391, 1.45209, 1.55505, 1.66347, 1.77246, 1.8254, 1.88589, 2.07991, 2.17239, 2.28121, 2.35708, 2.46563, 2.5806, 2.71676, 2.82575, 2.92144, 3.08861, 3.3066, 3.52431");
-            values ( \
-              "0.0159899, 0.117062, 0.136586, 0.148814, 0.155207, 0.156239, 0.155769, 0.15438, 0.152765, 0.14822, 0.141545, 0.133448, 0.122563, 0.115843, 0.106898, 0.0759078, 0.0621382, 0.0480166, 0.0395576, 0.0296404, 0.0215382, 0.0145729, 0.0106662, 0.00795615, 0.00480138, 0.00245679, 0.00124327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.252723, 0.254683, 0.258087, 0.269501, 0.277677, 0.284355, 0.290432, 0.296321, 0.302372, 0.305835, 0.314459, 0.318056, 0.323452, 0.329456, 0.335689, 0.340734, 0.345187, 0.35048, 0.357538, 0.363939, 0.374133, 0.387724, 0.399614");
-            values ( \
-              "0.00938405, 0.0113825, 0.0166387, 0.0409065, 0.0543217, 0.062144, 0.0655661, 0.0667976, 0.0642215, 0.0601098, 0.0425036, 0.0362012, 0.0280522, 0.0209813, 0.0154752, 0.0120023, 0.00959101, 0.00733231, 0.00510783, 0.00370052, 0.00222296, 0.00111906, 0.000701865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.281549, 0.291396, 0.303227, 0.310325, 0.316643, 0.325953, 0.334646, 0.343242, 0.352114, 0.360568, 0.375881, 0.382003, 0.390166, 0.401617, 0.409626, 0.418114, 0.432678, 0.441823, 0.455962, 0.474814, 0.493504");
-            values ( \
-              "0.00521348, 0.0275758, 0.0574813, 0.0722778, 0.0820524, 0.0912147, 0.0942677, 0.0935239, 0.0880141, 0.0763712, 0.050643, 0.0421938, 0.0326996, 0.0225752, 0.0172235, 0.0128857, 0.0077336, 0.00560503, 0.00339397, 0.00170011, 0.000968381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.340796, 0.375756, 0.392111, 0.406573, 0.420637, 0.434695, 0.443171, 0.464098, 0.503497, 0.530577, 0.557427, 0.581203, 0.61289, 0.661009");
-            values ( \
-              "0.00472419, 0.0901435, 0.112137, 0.119114, 0.118851, 0.114556, 0.110123, 0.0916957, 0.0500859, 0.0304162, 0.017821, 0.0108814, 0.00553084, 0.00240748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.453954, 0.479443, 0.493893, 0.50351, 0.510446, 0.524317, 0.53729, 0.550252, 0.562581, 0.58824, 0.615092, 0.64229, 0.664403, 0.718586, 0.751416, 0.777193, 0.79859, 0.815128, 0.845788, 0.859228, 0.88084, 0.911632, 0.943128, 0.967176, 1.01527, 1.10262, 1.20871");
-            values ( \
-              "2.40176e-05, 0.0544079, 0.0895132, 0.107996, 0.118366, 0.13112, 0.136597, 0.137821, 0.13725, 0.13266, 0.125494, 0.115226, 0.103498, 0.0700651, 0.0524261, 0.0408012, 0.0328493, 0.0275828, 0.0197463, 0.0170085, 0.0133084, 0.00932398, 0.00646373, 0.00486502, 0.00271802, 0.000860762, 0.00019892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.695979, 0.716733, 0.739974, 0.755621, 0.767692, 0.788842, 0.80302, 0.816449, 0.839557, 0.865186, 0.932174, 0.995746, 1.02665, 1.08534, 1.18489, 1.24266, 1.2904, 1.32755, 1.37709, 1.42188, 1.47862, 1.5464, 1.62427, 1.68658, 1.79558, 1.90457, 2.12255");
-            values ( \
-              "0.0687245, 0.0699219, 0.114055, 0.133284, 0.141797, 0.14877, 0.149692, 0.149514, 0.147762, 0.145062, 0.136338, 0.125955, 0.119228, 0.102551, 0.0707914, 0.0544978, 0.0430649, 0.0355136, 0.0271276, 0.0211156, 0.0152425, 0.0102117, 0.00642091, 0.00438252, 0.00222095, 0.00110507, 0.000274617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.13638, 1.22198, 1.24577, 1.27234, 1.29693, 1.36825, 1.54516, 1.67845, 1.78744, 2.29371, 2.48204, 2.64469, 2.84005, 3.10467, 3.28742");
-            values ( \
-              "0.0413509, 0.136368, 0.14974, 0.155338, 0.156208, 0.153854, 0.143227, 0.133342, 0.122668, 0.0482252, 0.0296102, 0.0187514, 0.0106066, 0.00486563, 0.00313456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.287091, 0.292871, 0.31218, 0.320269, 0.324939, 0.329461, 0.333546, 0.336738, 0.342712, 0.353902, 0.363957, 0.378755, 0.392761, 0.40772, 0.425154");
-            values ( \
-              "0.00929144, 0.0170613, 0.0543992, 0.063002, 0.0656057, 0.0666262, 0.0660319, 0.0642018, 0.0562744, 0.033992, 0.0210352, 0.0100343, 0.00489745, 0.00230276, 0.00130286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.328686, 0.342881, 0.344761, 0.346592, 0.348424, 0.350255, 0.352087, 0.354417, 0.356747, 0.359078, 0.361408, 0.363582, 0.365756, 0.367931, 0.370105, 0.372254, 0.374404, 0.376554, 0.378703, 0.380851, 0.382999, 0.385147, 0.387576, 0.388138, 0.389262, 0.391509, 0.394881, 0.397483, 0.40044, 0.404875, 0.406353, 0.412776, 0.415225, 0.417185, 0.419144, 0.423063, 0.426252, 0.433279, 0.435506, 0.437732, 0.442185, 0.444412, 0.446638, 0.451657, 0.454845, 0.456667, 0.458421, 0.463686, 0.471028, 0.477653");
-            values ( \
-              "0.0634274, 0.0673567, 0.0714802, 0.0748672, 0.0779777, 0.0808118, 0.0833695, 0.086224, 0.0886311, 0.0905907, 0.0921029, 0.0925969, 0.0929589, 0.093189, 0.093287, 0.0932543, 0.0930925, 0.0928017, 0.092382, 0.0916054, 0.0906339, 0.0894674, 0.0879137, 0.0874043, 0.0861099, 0.0831235, 0.0781075, 0.0737888, 0.0683684, 0.0607291, 0.0583136, 0.0483809, 0.0448669, 0.0422539, 0.0398701, 0.0354014, 0.0321023, 0.025388, 0.0236039, 0.0219287, 0.0189505, 0.0175909, 0.0163177, 0.0137235, 0.012194, 0.0113943, 0.010744, 0.0089661, 0.00696197, 0.00536868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.376241, 0.411377, 0.41899, 0.427745, 0.442212, 0.456279, 0.470338, 0.478779, 0.48579, 0.499812, 0.522675, 0.541138, 0.55608, 0.570628, 0.598754, 0.628233, 0.650616, 0.668634, 0.704672, 0.71751");
-            values ( \
-              "0.00467471, 0.0900487, 0.102539, 0.112109, 0.119061, 0.118865, 0.114523, 0.110139, 0.1052, 0.0916164, 0.065703, 0.0483666, 0.0367882, 0.0279361, 0.0158793, 0.00852257, 0.00528373, 0.00356834, 0.00156495, 0.00128567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.488638, 0.545534, 0.559575, 0.573277, 0.586271, 0.598575, 0.618183, 0.636333, 0.651085, 0.678287, 0.787367, 0.834618, 0.881781, 0.916808, 0.947611, 1.00323, 1.0514, 1.08897");
-            values ( \
-              "0.000873941, 0.117182, 0.130585, 0.136438, 0.137875, 0.13715, 0.133949, 0.129631, 0.125432, 0.115284, 0.0524267, 0.0328318, 0.0197504, 0.0133158, 0.00932219, 0.00486179, 0.00271315, 0.00192426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.724962, 0.753545, 0.776821, 0.7928, 0.804566, 0.824961, 0.839536, 0.853339, 0.87624, 0.90207, 0.942275, 0.973773, 1.00613, 1.06014, 1.08374, 1.1047, 1.21129, 1.27537, 1.32608, 1.36787, 1.40017, 1.44695, 1.46007, 1.48631, 1.52851, 1.58864, 1.65017, 1.69716, 1.79113, 1.90012, 2.00911, 2.1181");
-            values ( \
-              "0.0304111, 0.0702078, 0.113952, 0.133443, 0.141787, 0.148556, 0.149697, 0.149458, 0.14781, 0.145023, 0.140034, 0.135693, 0.130722, 0.120081, 0.113981, 0.107917, 0.0739772, 0.0556061, 0.0432993, 0.0348643, 0.0292919, 0.0225827, 0.0209717, 0.0180593, 0.0141361, 0.00989802, 0.00686068, 0.0051653, 0.00288299, 0.00145015, 0.000729261, 0.000366994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.19424, 1.23976, 1.25792, 1.27911, 1.3057, 1.33827, 1.368, 1.45244, 1.60261, 1.70342, 1.77874, 1.83099, 1.9355, 2.16098, 2.32988, 2.45004, 2.51809, 2.63147, 2.76681, 2.87581, 3.03166, 3.14066, 3.24965, 3.46763, 3.5857");
-            values ( \
-              "0.108602, 0.117702, 0.136555, 0.148769, 0.155097, 0.156176, 0.155457, 0.151171, 0.141726, 0.134288, 0.127487, 0.121655, 0.107155, 0.0712789, 0.0482173, 0.0354606, 0.0295701, 0.0215971, 0.0146665, 0.0106322, 0.00670304, 0.00484364, 0.00347018, 0.00178733, 0.00147616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.373527, 0.380129, 0.398865, 0.406875, 0.411637, 0.416248, 0.420079, 0.423444, 0.429417, 0.440553, 0.450641, 0.46641, 0.478911, 0.495178, 0.5082");
-            values ( \
-              "0.00910361, 0.0182286, 0.0543071, 0.062895, 0.0655801, 0.066572, 0.0660345, 0.0642095, 0.056239, 0.0340583, 0.021035, 0.00959909, 0.00506049, 0.00223508, 0.00153358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.403083, 0.412647, 0.43267, 0.438526, 0.447836, 0.456528, 0.465124, 0.473715, 0.482451, 0.497764, 0.512047, 0.523502, 0.539996, 0.554548, 0.577861, 0.596736, 0.596976");
-            values ( \
-              "0.010493, 0.0259801, 0.073155, 0.0820627, 0.0912235, 0.0942645, 0.0935223, 0.0882848, 0.07637, 0.050643, 0.0327008, 0.022573, 0.012886, 0.0077368, 0.00339171, 0.00169822, 0.00168883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.462629, 0.498034, 0.5144, 0.528866, 0.542933, 0.556992, 0.56544, 0.586453, 0.627302, 0.651705, 0.684441, 0.708366, 0.74626, 0.776802, 0.785636");
-            values ( \
-              "0.00380579, 0.0900489, 0.112099, 0.11908, 0.118848, 0.114539, 0.110135, 0.0916318, 0.0487853, 0.0311104, 0.0161974, 0.00980927, 0.00435172, 0.00219332, 0.00191815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.581376, 0.61742, 0.633885, 0.645459, 0.660686, 0.673709, 0.685961, 0.711621, 0.738472, 0.765687, 0.874615, 0.922129, 0.96917, 1.00411, 1.03495, 1.09082, 1.13921, 1.16564");
-            values ( \
-              "0.00762034, 0.0903562, 0.11874, 0.129754, 0.136716, 0.13788, 0.137283, 0.132668, 0.125497, 0.115216, 0.0525071, 0.0327831, 0.0197578, 0.0133371, 0.00931884, 0.00486231, 0.00268656, 0.00213544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.82731, 0.858965, 0.875958, 0.892303, 0.911335, 0.926581, 0.94099, 0.964007, 0.989759, 1.04046, 1.09382, 1.14786, 1.17119, 1.19226, 1.29898, 1.36265, 1.41367, 1.45591, 1.48861, 1.54776, 1.57362, 1.61596, 1.67619, 1.7381, 1.78542, 1.88005, 1.98904, 2.09803, 2.20702");
-            values ( \
-              "0.0827781, 0.105161, 0.12918, 0.142035, 0.148425, 0.149696, 0.149513, 0.147788, 0.145054, 0.138639, 0.130747, 0.120049, 0.114044, 0.107955, 0.0739759, 0.0557116, 0.0433198, 0.0347999, 0.0291715, 0.020971, 0.0180987, 0.0141557, 0.00990637, 0.006851, 0.00514708, 0.00286066, 0.00143849, 0.000723793, 0.000363868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.2781, 1.32166, 1.34592, 1.36401, 1.38122, 1.39619, 1.42007, 1.44775, 1.50977, 1.59094, 1.69392, 1.80234, 1.89102, 1.97525, 2.05136, 2.2655, 2.32835, 2.43734, 2.51538, 2.56717, 2.67076, 2.73664, 2.84355, 2.95254, 3.06592, 3.13667, 3.24566, 3.35466, 3.57264, 3.79063, 4.1176");
-            values ( \
-              "0.0938047, 0.110141, 0.136644, 0.147506, 0.152933, 0.155039, 0.156223, 0.155723, 0.152888, 0.14818, 0.141502, 0.133382, 0.124933, 0.114264, 0.102767, 0.0688136, 0.0597962, 0.0459524, 0.0376452, 0.0328337, 0.0247152, 0.0205612, 0.0151454, 0.0110013, 0.00787749, 0.00637717, 0.00459624, 0.00330253, 0.00170333, 0.000877704, 0.000328468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.583364, 0.602962, 0.611206, 0.619289, 0.624062, 0.628679, 0.632564, 0.635909, 0.6419, 0.649746, 0.653173, 0.663187, 0.669225, 0.679029, 0.686884, 0.694318, 0.703091, 0.709989, 0.723785, 0.731632");
-            values ( \
-              "0.000107946, 0.0399996, 0.0538183, 0.0625487, 0.0652887, 0.0663174, 0.0658168, 0.0640616, 0.0561386, 0.0395605, 0.0338037, 0.0209589, 0.0156112, 0.00953054, 0.00639147, 0.00437598, 0.0028255, 0.0020005, 0.0010028, 0.000758783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.626037, 0.638697, 0.641587, 0.643377, 0.645167, 0.646956, 0.649988, 0.650999, 0.65302, 0.655041, 0.657062, 0.659083, 0.660356, 0.662266, 0.662902, 0.664175, 0.666722, 0.669081, 0.672341, 0.6756, 0.67766, 0.67869, 0.679719, 0.681779, 0.684163, 0.686547, 0.688798, 0.691049, 0.6933, 0.695551, 0.701246, 0.70529, 0.711358, 0.714332, 0.715324, 0.719289, 0.723254, 0.72983, 0.733105, 0.737472, 0.741071, 0.743214, 0.747501, 0.75127, 0.754227, 0.757183, 0.760503, 0.763823, 0.770767, 0.77673");
-            values ( \
-              "0.0575289, 0.0595763, 0.0658414, 0.0694308, 0.0727988, 0.0759453, 0.0802578, 0.08157, 0.0839315, 0.0860922, 0.088052, 0.0898111, 0.0908161, 0.0918444, 0.0921447, 0.092618, 0.093437, 0.0940443, 0.0938948, 0.093566, 0.093034, 0.0926511, 0.0921903, 0.0910349, 0.089125, 0.0868792, 0.0844506, 0.0817226, 0.0786953, 0.0753687, 0.065245, 0.0584432, 0.0489291, 0.0446827, 0.0433483, 0.0385366, 0.0341325, 0.0276615, 0.0246707, 0.0214422, 0.0190463, 0.0177295, 0.0153347, 0.0134571, 0.0120733, 0.0108354, 0.0096884, 0.00864016, 0.00680358, 0.00539636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.671433, 0.683298, 0.708242, 0.719456, 0.728429, 0.742922, 0.757004, 0.771341, 0.779312, 0.843436, 0.869291, 0.894765, 0.930579, 0.96131, 0.986597");
-            values ( \
-              "0.00946421, 0.019395, 0.0814694, 0.101749, 0.11185, 0.118873, 0.118843, 0.114372, 0.110236, 0.0470242, 0.029095, 0.0174959, 0.00824924, 0.00426482, 0.00245629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.786713, 0.858928, 0.873863, 0.886886, 0.89915, 0.91859, 0.937245, 0.95166, 0.978871, 1.08785, 1.13528, 1.18236, 1.21733, 1.24815, 1.30394, 1.35227, 1.38504");
-            values ( \
-              "0.00702752, 0.129938, 0.13655, 0.137938, 0.13718, 0.133992, 0.129548, 0.12543, 0.115282, 0.052473, 0.0328018, 0.0197516, 0.013326, 0.00932416, 0.004856, 0.00270109, 0.00201603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.02848, 1.05427, 1.07749, 1.09313, 1.1052, 1.12643, 1.14057, 1.15396, 1.17703, 1.2027, 1.2444, 1.27141, 1.30676, 1.36068, 1.38487, 1.4056, 1.51191, 1.53975, 1.57686, 1.62692, 1.66776, 1.6992, 1.74717, 1.76069, 1.78774, 1.82966, 1.88957, 1.91558, 1.96761, 2.07166, 2.18065, 2.28964, 2.39863");
-            values ( \
-              "0.041207, 0.0703367, 0.114145, 0.133239, 0.141837, 0.148733, 0.149726, 0.149472, 0.147797, 0.145025, 0.139837, 0.136121, 0.130724, 0.120101, 0.113844, 0.107835, 0.0739805, 0.0656435, 0.0553784, 0.0432548, 0.0350032, 0.0295507, 0.0226351, 0.0209725, 0.0179757, 0.0140932, 0.00988085, 0.00846454, 0.00618957, 0.00323501, 0.00162899, 0.000817916, 0.000412437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.45137, 1.55866, 1.57642, 1.60118, 1.62529, 1.65057, 1.75352, 1.90364, 2.00443, 2.07984, 2.23637, 2.46194, 2.63008, 2.75189, 2.81965, 2.93252, 3.0676, 3.17659, 3.3331, 3.44209, 3.76906, 3.81644");
-            values ( \
-              "0.00659655, 0.134902, 0.14648, 0.154022, 0.155981, 0.155998, 0.151178, 0.141734, 0.134289, 0.127478, 0.107178, 0.0712909, 0.0483177, 0.035384, 0.0295275, 0.0215963, 0.0146769, 0.0106406, 0.00669557, 0.00483771, 0.00178556, 0.00166079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.07716, 1.08654, 1.09014, 1.09431, 1.09743, 1.09899, 1.1021, 1.10366, 1.10518, 1.10655, 1.10841, 1.11013, 1.11185, 1.113, 1.11415, 1.1153, 1.11674, 1.11819, 1.11964, 1.12042, 1.1212, 1.12277, 1.12429, 1.12581, 1.12733, 1.12885, 1.12986, 1.13337, 1.13538, 1.13671, 1.14032, 1.14101, 1.14311, 1.1459, 1.14729, 1.14869, 1.15204, 1.15389, 1.15575, 1.15959, 1.16215, 1.1647, 1.16619, 1.16843, 1.16918, 1.17175, 1.17432, 1.17618, 1.17989, 1.18488");
-            values ( \
-              "0.03244, 0.033779, 0.0403173, 0.0472961, 0.0516519, 0.0536478, 0.0572755, 0.0589073, 0.0603054, 0.0614319, 0.062745, 0.0636417, 0.0643366, 0.0646878, 0.0649493, 0.0651211, 0.0650067, 0.0647811, 0.0644443, 0.064042, 0.0635702, 0.062418, 0.0610321, 0.0593836, 0.0574726, 0.055299, 0.0533044, 0.0457926, 0.0418422, 0.0394014, 0.0332072, 0.0321336, 0.0291061, 0.0255935, 0.0239761, 0.0224514, 0.0191203, 0.0173819, 0.015808, 0.0131307, 0.0115969, 0.0101683, 0.00938308, 0.00831388, 0.00798644, 0.00704666, 0.00620101, 0.00566323, 0.00470667, 0.00366761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.10805, 1.11881, 1.13445, 1.13985, 1.14604, 1.15563, 1.16449, 1.17321, 1.18192, 1.18866, 1.20384, 1.21596, 1.23321, 1.2429, 1.24818, 1.25738, 1.26831, 1.28, 1.28919, 1.30553");
-            values ( \
-              "0.00616664, 0.0238306, 0.0588207, 0.0694753, 0.0791717, 0.089064, 0.0927997, 0.0924554, 0.0876329, 0.0792023, 0.0536773, 0.0374892, 0.0221067, 0.0157943, 0.0132198, 0.00959926, 0.00651694, 0.00432281, 0.00311993, 0.00176739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.19833, 1.2167, 1.21966, 1.22446, 1.22817, 1.22994, 1.23172, 1.23526, 1.23881, 1.24235, 1.24589, 1.24944, 1.25327, 1.25766, 1.2625, 1.26735, 1.27058, 1.27381, 1.27704, 1.27853, 1.28075, 1.28297, 1.28593, 1.289, 1.29378, 1.29697, 1.30016, 1.30335, 1.30881, 1.32022, 1.3286, 1.33731, 1.34322, 1.34913, 1.35307, 1.35701, 1.36094, 1.36921, 1.37273, 1.37696, 1.38166, 1.38637, 1.39253, 1.40212, 1.40898, 1.41794, 1.42515, 1.43361, 1.43785, 1.44453");
-            values ( \
-              "0.0822891, 0.0833951, 0.0893201, 0.0976577, 0.103465, 0.105213, 0.106859, 0.109842, 0.112415, 0.114576, 0.116326, 0.117666, 0.118349, 0.118224, 0.117716, 0.116902, 0.116191, 0.115344, 0.114362, 0.113837, 0.112815, 0.111687, 0.110017, 0.108004, 0.104385, 0.10171, 0.0988412, 0.0957775, 0.0900329, 0.077152, 0.0680027, 0.0588141, 0.0532555, 0.0481324, 0.044934, 0.0418981, 0.0390248, 0.0334365, 0.0311759, 0.0286462, 0.0262135, 0.0239354, 0.0212381, 0.0173643, 0.014945, 0.0124835, 0.0107691, 0.00902547, 0.00825264, 0.00715918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.29883, 1.37782, 1.39069, 1.4037, 1.416, 1.43587, 1.45339, 1.46857, 1.49577, 1.60498, 1.65205, 1.69931, 1.7344, 1.76518, 1.82064, 1.86866, 1.90149");
-            values ( \
-              "0.00135834, 0.130773, 0.136097, 0.137713, 0.136996, 0.13386, 0.129716, 0.125369, 0.115284, 0.0523633, 0.0328547, 0.0197496, 0.0133063, 0.00931444, 0.00487059, 0.0027207, 0.00203005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.53351, 1.57404, 1.5973, 1.61174, 1.62504, 1.64588, 1.66022, 1.6738, 1.69673, 1.72253, 1.77324, 1.82659, 1.88058, 1.90434, 1.92524, 2.03175, 2.09608, 2.14661, 2.18813, 2.22018, 2.2673, 2.28053, 2.307, 2.34913, 2.40919, 2.47049, 2.51727, 2.61084, 2.71983, 2.82882, 2.93781");
-            values ( \
-              "0.00127882, 0.0697048, 0.114007, 0.132028, 0.141811, 0.148667, 0.149708, 0.149481, 0.1478, 0.145037, 0.138624, 0.130733, 0.120078, 0.113942, 0.107894, 0.0739776, 0.0555417, 0.0432861, 0.0349041, 0.029365, 0.0225979, 0.0209715, 0.0180358, 0.0141235, 0.00989348, 0.0068671, 0.00517602, 0.00289722, 0.00145687, 0.000733113, 0.000368462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("2.00039, 2.03714, 2.06537, 2.09814, 2.12482, 2.13777, 2.15258, 2.18219, 2.22261, 2.32135, 2.42101, 2.52182, 2.59713, 2.6494, 2.75393, 2.9794, 3.14816, 3.26855, 3.33656, 3.44988, 3.58519, 3.69418, 3.85012, 3.95911, 4.0681, 4.28609, 4.50407, 4.83105");
-            values ( \
-              "0.0747506, 0.087591, 0.126256, 0.149109, 0.15508, 0.155937, 0.156174, 0.155631, 0.153794, 0.148152, 0.141738, 0.134288, 0.127488, 0.121655, 0.107151, 0.0712774, 0.0482325, 0.0354513, 0.0295649, 0.021597, 0.0146678, 0.0106332, 0.00670223, 0.00484301, 0.00346977, 0.00178713, 0.000918213, 0.000350988" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00770057, 0.0077289, 0.00776023, 0.00778706, 0.00780547, 0.00781627", \
-            "0.0088231, 0.00885389, 0.00889025, 0.00892382, 0.00894811, 0.00896281", \
-            "0.00943118, 0.00945594, 0.00948766, 0.00951966, 0.00954489, 0.00956079", \
-            "0.00978716, 0.00980105, 0.00982151, 0.0098449, 0.0098647, 0.00987915", \
-            "0.0100421, 0.010038, 0.0100362, 0.0100391, 0.0100459, 0.0100527", \
-            "0.0102622, 0.0102389, 0.0102075, 0.0101749, 0.0101505, 0.0101374" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00971795, 0.00974326, 0.0097683, 0.00978647, 0.00979716, 0.00980278", \
-            "0.00976546, 0.00977493, 0.00977822, 0.009781, 0.00977688, 0.0097725", \
-            "0.00966557, 0.00962726, 0.00958291, 0.00954012, 0.00950905, 0.00949108", \
-            "0.00973309, 0.00962981, 0.00950902, 0.00940208, 0.00932681, 0.00927814", \
-            "0.0101868, 0.0099616, 0.00970225, 0.00946151, 0.00929161, 0.00918729", \
-            "0.00954212, 0.00961424, 0.00988219, 0.00984208, 0.00950479, 0.00927378" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.196004, 0.222728, 0.225977, 0.233084, 0.239355, 0.245133, 0.250675, 0.256167, 0.261655, 0.27479, 0.279362, 0.282628, 0.287633, 0.29109, 0.298005, 0.305082");
-            values ( \
-              "-0.000593196, -0.0459339, -0.0503509, -0.0590462, -0.0651078, -0.0692369, -0.0713827, -0.0703686, -0.0621551, -0.0240594, -0.0153383, -0.0110017, -0.00631971, -0.00441175, -0.00190559, -0.00105081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.223013, 0.231403, 0.251598, 0.267396, 0.275909, 0.283878, 0.29161, 0.299332, 0.304955, 0.32376, 0.329918, 0.338173, 0.351076, 0.361788, 0.365129");
-            values ( \
-              "-0.0172363, -0.0280718, -0.0687737, -0.0908668, -0.0985568, -0.103611, -0.105298, -0.102284, -0.0941021, -0.040103, -0.0272773, -0.0156262, -0.00624147, -0.00277035, -0.00235011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.26716, 0.302085, 0.312553, 0.318905, 0.331607, 0.340688, 0.345656, 0.355592, 0.362319, 0.369818, 0.381802, 0.386455, 0.395733, 0.419739, 0.431329, 0.44288, 0.451751, 0.460955, 0.468005, 0.482104, 0.48906");
-            values ( \
-              "-0.0111336, -0.0854637, -0.10339, -0.111995, -0.125586, -0.132305, -0.135086, -0.138857, -0.139884, -0.139271, -0.132849, -0.127571, -0.109715, -0.05408, -0.0355152, -0.0227175, -0.0158779, -0.0109006, -0.00812904, -0.00443445, -0.00360479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.350766, 0.36691, 0.374801, 0.410436, 0.436456, 0.457184, 0.469299, 0.479981, 0.500645, 0.521306, 0.52591, 0.540167, 0.54887, 0.610768, 0.633607, 0.661638, 0.688731, 0.707607, 0.734974");
-            values ( \
-              "-0.0158088, -0.0320121, -0.0450529, -0.117115, -0.147386, -0.160385, -0.164909, -0.16729, -0.168459, -0.164889, -0.163244, -0.155889, -0.148785, -0.0616908, -0.0396305, -0.0221858, -0.0123919, -0.00816306, -0.00468539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.534884, 0.565592, 0.589831, 0.604091, 0.616992, 0.642245, 0.660271, 0.68808, 0.699483, 0.71875, 0.737767, 0.775947, 0.797082, 0.815895, 0.857973, 0.866875, 0.88468, 0.945251, 0.969894, 0.995053, 1.0286, 1.04119, 1.06496, 1.087, 1.11782, 1.13005, 1.15452, 1.20345, 1.28564, 1.36783, 1.45001");
-            values ( \
-              "-0.0276144, -0.0660397, -0.112032, -0.134823, -0.149979, -0.170221, -0.178562, -0.186038, -0.187281, -0.188483, -0.188092, -0.185003, -0.18161, -0.177691, -0.16372, -0.159166, -0.147675, -0.096885, -0.0783529, -0.0621888, -0.0448109, -0.039475, -0.0309505, -0.0245513, -0.0176426, -0.0154567, -0.011842, -0.00682876, -0.00255703, -0.000945448, -0.000353043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.891121, 0.93029, 0.973905, 0.987236, 1.01637, 1.04253, 1.06974, 1.09401, 1.13167, 1.16853, 1.22616, 1.32044, 1.39785, 1.43313, 1.47077, 1.51355, 1.5706, 1.65483, 1.74361, 1.80055, 1.85122, 1.89296, 1.94087, 2.00861, 2.05163, 2.13144, 2.21363, 2.378, 2.62456");
-            values ( \
-              "-0.0716008, -0.0807, -0.144746, -0.158961, -0.179758, -0.190453, -0.196729, -0.199385, -0.200922, -0.200268, -0.197801, -0.190954, -0.183049, -0.177977, -0.171071, -0.159948, -0.138286, -0.101868, -0.069465, -0.0532074, -0.041512, -0.0336735, -0.0263327, -0.0184277, -0.0146783, -0.009559, -0.00608377, -0.00244522, -0.00062032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.214549, 0.217475, 0.220197, 0.231532, 0.2475, 0.253758, 0.259538, 0.265079, 0.270572, 0.276469, 0.285013, 0.289225, 0.291319, 0.293735, 0.296957, 0.29942, 0.302064, 0.305588, 0.312637, 0.318468");
-            values ( \
-              "-0.0125154, -0.0151114, -0.0170877, -0.0381107, -0.0593784, -0.0648095, -0.0694897, -0.0711516, -0.0705747, -0.0614103, -0.0349847, -0.0240335, -0.0196537, -0.0154339, -0.0110462, -0.00845757, -0.0063485, -0.00431963, -0.00189933, -0.00115482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.236218, 0.256203, 0.267672, 0.272014, 0.280699, 0.290025, 0.298002, 0.302801, 0.305724, 0.311571, 0.317247, 0.321879, 0.332369, 0.340734, 0.348001, 0.356276, 0.363347, 0.371429, 0.38612, 0.40382, 0.426253");
-            values ( \
-              "-0.00611351, -0.051082, -0.071944, -0.0786321, -0.0898845, -0.0986483, -0.103498, -0.105042, -0.105303, -0.103577, -0.0973835, -0.0872327, -0.0547769, -0.033669, -0.0210055, -0.0118383, -0.00715545, -0.00393097, -0.00122483, -0.000316666, -9.0115e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.277839, 0.310958, 0.326952, 0.333306, 0.346014, 0.349869, 0.360047, 0.370123, 0.376424, 0.384205, 0.396189, 0.400839, 0.405133, 0.410118, 0.427336, 0.434123, 0.445713, 0.457265, 0.466135, 0.475338, 0.482387, 0.496485, 0.515502");
-            values ( \
-              "-2.56907e-05, -0.0745082, -0.103513, -0.112032, -0.125678, -0.128734, -0.135127, -0.138907, -0.139879, -0.1393, -0.132848, -0.127582, -0.120548, -0.109719, -0.0678814, -0.0540851, -0.0355173, -0.0227169, -0.0158789, -0.0109019, -0.00812909, -0.00443595, -0.00215204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.382888, 0.400868, 0.407911, 0.413532, 0.419152, 0.424978, 0.427891, 0.433717, 0.43663, 0.440219, 0.447395, 0.450983, 0.45614, 0.461298, 0.466455, 0.473438, 0.478914, 0.482565, 0.486918, 0.489446, 0.494503, 0.504833, 0.515164, 0.525495, 0.536275, 0.540466, 0.544059, 0.547652, 0.554838, 0.557592, 0.560347, 0.563101, 0.567467, 0.571833, 0.579704, 0.587283, 0.602151, 0.608324, 0.618639, 0.624851, 0.631063, 0.635205, 0.643488, 0.653732, 0.657306, 0.66088, 0.665393, 0.67357, 0.68504, 0.694826");
-            values ( \
-              "-0.0626392, -0.069826, -0.0856412, -0.0971224, -0.107887, -0.11829, -0.122828, -0.130946, -0.134527, -0.138079, -0.14457, -0.14751, -0.151228, -0.154624, -0.157696, -0.161341, -0.163646, -0.165004, -0.166443, -0.167189, -0.168481, -0.168042, -0.167278, -0.166189, -0.164714, -0.163184, -0.161559, -0.159758, -0.155626, -0.15368, -0.151274, -0.148599, -0.143745, -0.138336, -0.126949, -0.115593, -0.0922012, -0.0834449, -0.0691031, -0.0617212, -0.0550434, -0.0509202, -0.0433786, -0.0352253, -0.0326124, -0.0301989, -0.0275217, -0.0232658, -0.0183248, -0.0145331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.552217, 0.580322, 0.604509, 0.619829, 0.631673, 0.655361, 0.674936, 0.695632, 0.714132, 0.748374, 0.775598, 0.821576, 0.848534, 0.872535, 0.915299, 0.956331, 1.00031, 1.02862, 1.05321, 1.07338, 1.09698, 1.14166, 1.19093, 1.24348, 1.32566, 1.40785");
-            values ( \
-              "-0.0358392, -0.0665193, -0.112258, -0.136076, -0.150112, -0.169129, -0.178724, -0.184517, -0.187371, -0.18833, -0.18663, -0.179751, -0.17286, -0.16365, -0.135208, -0.0999494, -0.0681201, -0.0520359, -0.040704, -0.0331374, -0.025918, -0.0160483, -0.00936895, -0.00515349, -0.00193276, -0.000703566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.900688, 0.946013, 0.985901, 1.00383, 1.02559, 1.04371, 1.06788, 1.10048, 1.12661, 1.1552, 1.18359, 1.24039, 1.3356, 1.42001, 1.44791, 1.48511, 1.53033, 1.59062, 1.70994, 1.75644, 1.81843, 1.86735, 1.90637, 1.95492, 2.02315, 2.06719, 2.14939, 2.23158, 2.31376, 2.39595, 2.64251");
-            values ( \
-              "-0.0497429, -0.0818771, -0.141365, -0.160727, -0.176657, -0.185618, -0.193374, -0.198767, -0.200431, -0.200821, -0.200291, -0.197787, -0.191013, -0.182068, -0.178038, -0.171243, -0.159439, -0.136198, -0.086236, -0.0702064, -0.0525168, -0.0413321, -0.0339905, -0.0264831, -0.0184991, -0.0146431, -0.00941005, -0.00599849, -0.00379984, -0.0024143, -0.000604772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.246795, 0.252803, 0.25537, 0.258158, 0.262656, 0.26416, 0.267168, 0.272991, 0.280114, 0.286378, 0.292158, 0.297701, 0.303194, 0.309092, 0.317636, 0.321839, 0.323944, 0.326372, 0.329609, 0.332055, 0.334681, 0.338182, 0.345184, 0.349024");
-            values ( \
-              "-0.0122024, -0.0173369, -0.0214287, -0.0265683, -0.0355525, -0.0373309, -0.0423329, -0.0501508, -0.0591594, -0.0649519, -0.0693331, -0.0712553, -0.0704577, -0.0613923, -0.0349905, -0.0240602, -0.0196571, -0.015418, -0.0110105, -0.00845167, -0.00635644, -0.00433288, -0.00191976, -0.00142432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.270263, 0.278602, 0.30019, 0.314548, 0.323075, 0.331044, 0.338775, 0.346497, 0.352126, 0.370923, 0.377082, 0.385337, 0.398239, 0.408949, 0.416234");
-            values ( \
-              "-0.017407, -0.0277639, -0.071167, -0.0908456, -0.0985902, -0.103597, -0.105328, -0.102264, -0.094094, -0.0401062, -0.0272791, -0.015627, -0.00624341, -0.00276959, -0.00184703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.314335, 0.328843, 0.350129, 0.366921, 0.380925, 0.393691, 0.405866, 0.41787, 0.429865, 0.434216, 0.467779, 0.480113, 0.492255, 0.503718, 0.523297, 0.536747");
-            values ( \
-              "-0.0175716, -0.0389581, -0.0856374, -0.112123, -0.126795, -0.13518, -0.139467, -0.139399, -0.132865, -0.127999, -0.054071, -0.0344851, -0.0215193, -0.0135232, -0.00592694, -0.00371239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.406553, 0.425513, 0.452198, 0.46889, 0.483751, 0.504081, 0.518881, 0.527257, 0.54401, 0.555716, 0.568682, 0.589553, 0.595623, 0.602559, 0.615103, 0.647246, 0.659819, 0.683023, 0.706131, 0.727614, 0.739767, 0.764075, 0.809745, 0.862925");
-            values ( \
-              "-0.0211229, -0.052034, -0.10786, -0.132444, -0.147422, -0.160309, -0.165584, -0.167288, -0.168584, -0.167666, -0.164736, -0.15442, -0.149336, -0.14176, -0.123732, -0.0750243, -0.0597204, -0.0379579, -0.0235664, -0.0148747, -0.0114208, -0.00662173, -0.00217411, -0.00055508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.590203, 0.614317, 0.63836, 0.651919, 0.665433, 0.690715, 0.708677, 0.729301, 0.747858, 0.782238, 0.793964, 0.824645, 0.855581, 0.881686, 0.906256, 0.948998, 0.99008, 1.03402, 1.06228, 1.08692, 1.10713, 1.13072, 1.17539, 1.19835, 1.2246, 1.2771, 1.35929, 1.44147");
-            values ( \
-              "-0.0523882, -0.066913, -0.112607, -0.134265, -0.150223, -0.170353, -0.178659, -0.184668, -0.187278, -0.188455, -0.187861, -0.184867, -0.179623, -0.172974, -0.163714, -0.135163, -0.0999803, -0.0681104, -0.0520507, -0.040725, -0.0331404, -0.0258996, -0.0160352, -0.0125046, -0.00936451, -0.00516838, -0.00192614, -0.000713126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.899258, 1.0779, 1.10076, 1.12318, 1.14391, 1.18149, 1.2184, 1.29342, 1.37031, 1.45471, 1.51957, 1.56553, 1.62609, 1.73408, 1.79456, 1.8266, 1.89067, 1.94245, 1.97949, 2.05355, 2.08777, 2.13787, 2.20467, 2.28685, 2.36904, 2.53341, 2.69778");
-            values ( \
-              "-0.00490013, -0.18552, -0.193323, -0.197175, -0.199715, -0.200729, -0.20052, -0.196862, -0.191173, -0.182082, -0.171289, -0.159275, -0.135877, -0.0902377, -0.0691312, -0.0596129, -0.0436772, -0.0337191, -0.027915, -0.0188907, -0.0157656, -0.0120676, -0.00840738, -0.00533811, -0.00339568, -0.00136352, -0.000548073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.323601, 0.325636, 0.328421, 0.329367, 0.33126, 0.338912, 0.344444, 0.349602, 0.35673, 0.363001, 0.368785, 0.374332, 0.379828, 0.385729, 0.394276, 0.398488, 0.403003, 0.406227, 0.408689, 0.411331, 0.414854, 0.421899, 0.427469");
-            values ( \
-              "-0.0124715, -0.0141991, -0.0156859, -0.0176923, -0.0200221, -0.0347763, -0.0431872, -0.0501406, -0.0590458, -0.0649496, -0.0692403, -0.0712578, -0.0703833, -0.0613525, -0.034971, -0.024027, -0.0154274, -0.0110396, -0.00845428, -0.00634786, -0.00431958, -0.00190136, -0.00118945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.347592, 0.367957, 0.377295, 0.382752, 0.392282, 0.400797, 0.408767, 0.4165, 0.424224, 0.429843, 0.448653, 0.454812, 0.463067, 0.467936, 0.47597, 0.486683, 0.502061, 0.520807");
-            values ( \
-              "-0.00902973, -0.0531009, -0.070093, -0.0785049, -0.090821, -0.098578, -0.103566, -0.105315, -0.102242, -0.0940987, -0.0401003, -0.0272755, -0.0156251, -0.0111149, -0.0062409, -0.002771, -0.00081535, -0.00021568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.393566, 0.398071, 0.404607, 0.428864, 0.445673, 0.459684, 0.472454, 0.484632, 0.496637, 0.508634, 0.512975, 0.52254, 0.546545, 0.558881, 0.571038, 0.582491, 0.602039, 0.60797");
-            values ( \
-              "-0.0189222, -0.0232749, -0.0332029, -0.0856105, -0.112127, -0.126676, -0.135213, -0.139381, -0.139439, -0.132797, -0.128003, -0.109741, -0.0540784, -0.0344886, -0.0215089, -0.0135226, -0.00593531, -0.00495564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.496509, 0.515098, 0.520909, 0.526573, 0.535096, 0.537955, 0.540813, 0.543672, 0.549389, 0.556668, 0.563947, 0.569021, 0.574095, 0.579168, 0.586393, 0.592833, 0.599243, 0.603349, 0.607456, 0.615669, 0.623881, 0.628111, 0.630226, 0.634456, 0.636571, 0.639648, 0.645802, 0.648879, 0.659318, 0.669757, 0.67234, 0.675784, 0.679229, 0.682673, 0.68583, 0.692143, 0.700207, 0.714928, 0.731635, 0.737925, 0.744214, 0.748407, 0.756793, 0.767538, 0.771851, 0.775302, 0.780811, 0.78632, 0.797877, 0.807811");
-            values ( \
-              "-0.064664, -0.0727884, -0.0858117, -0.0973406, -0.113363, -0.11838, -0.122831, -0.126975, -0.134345, -0.141389, -0.14758, -0.15123, -0.154571, -0.157603, -0.161388, -0.163948, -0.16596, -0.166821, -0.167498, -0.16815, -0.168368, -0.168311, -0.168143, -0.167602, -0.16723, -0.166503, -0.164743, -0.16371, -0.159193, -0.154015, -0.152365, -0.149133, -0.145268, -0.14109, -0.136718, -0.127725, -0.11576, -0.0925293, -0.0690914, -0.0616404, -0.0548854, -0.0507165, -0.0431106, -0.0346151, -0.0315361, -0.0292744, -0.0262006, -0.0233803, -0.0183813, -0.0145228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.669517, 0.693898, 0.730213, 0.745174, 0.770669, 0.788448, 0.809073, 0.827635, 0.862084, 0.873612, 0.904426, 0.935496, 0.961202, 0.986038, 1.02877, 1.06988, 1.11379, 1.14204, 1.16669, 1.18693, 1.21052, 1.25517, 1.30436, 1.35683, 1.43902, 1.52121");
-            values ( \
-              "-0.0516005, -0.0663908, -0.132052, -0.150089, -0.170394, -0.178607, -0.184646, -0.187251, -0.188455, -0.187876, -0.184875, -0.179583, -0.173045, -0.163723, -0.135163, -0.0999773, -0.0681131, -0.0520649, -0.0407286, -0.0331357, -0.025897, -0.016035, -0.00936768, -0.00517059, -0.00192806, -0.000712748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.03517, 1.08471, 1.11999, 1.14643, 1.15825, 1.18187, 1.22389, 1.2492, 1.29099, 1.37338, 1.45029, 1.47904, 1.53002, 1.61488, 1.64162, 1.67398, 1.79129, 1.8592, 1.89376, 1.96287, 1.99419, 2.03418, 2.07955, 2.1428, 2.16823, 2.21908, 2.30126, 2.38345, 2.46563, 2.54782, 2.71219");
-            values ( \
-              "-0.0948363, -0.120291, -0.162933, -0.180709, -0.185662, -0.193611, -0.199746, -0.200529, -0.200734, -0.196813, -0.191142, -0.188249, -0.182796, -0.16799, -0.160656, -0.149133, -0.0990659, -0.0739912, -0.0633348, -0.0452665, -0.0387644, -0.0316743, -0.0251826, -0.0179372, -0.0157923, -0.0119235, -0.00770464, -0.0048028, -0.0031388, -0.0018942, -0.00072426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.49933, 0.505561, 0.521127, 0.532711, 0.544859, 0.550439, 0.555962, 0.561887, 0.574819, 0.579057, 0.585956, 0.594107, 0.603596, 0.611614");
-            values ( \
-              "-0.0100306, -0.0179802, -0.0437516, -0.0584757, -0.0687981, -0.070875, -0.0700574, -0.0611335, -0.0236662, -0.0156285, -0.00756223, -0.0030533, -0.0009712, -0.00050865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.523283, 0.546895, 0.562116, 0.57172, 0.580286, 0.588292, 0.596054, 0.603807, 0.609281, 0.612281, 0.623965, 0.631821, 0.637656, 0.64591, 0.651734, 0.6595, 0.673609, 0.690079, 0.710807");
-            values ( \
-              "-0.000391222, -0.0516575, -0.0777341, -0.0902465, -0.0980771, -0.103151, -0.104945, -0.10196, -0.0941929, -0.087074, -0.0513183, -0.0322072, -0.022078, -0.0125123, -0.00827959, -0.00466952, -0.00153489, -0.000431193, -0.000121343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.586722, 0.599302, 0.604614, 0.608784, 0.613328, 0.618609, 0.62125, 0.623891, 0.627037, 0.630183, 0.633329, 0.636475, 0.639621, 0.642768, 0.64708, 0.650919, 0.652198, 0.654587, 0.656975, 0.659363, 0.664139, 0.669167, 0.671806, 0.674445, 0.677803, 0.681162, 0.68416, 0.687159, 0.690158, 0.693467, 0.694705, 0.696563, 0.698877, 0.701027, 0.702103, 0.704599, 0.707096, 0.714585, 0.724324, 0.7311, 0.734918, 0.737818, 0.741074, 0.744453, 0.747955, 0.752685, 0.756479, 0.760915, 0.763133, 0.766608");
-            values ( \
-              "-0.0530462, -0.0553308, -0.0677829, -0.0768605, -0.085946, -0.0954693, -0.0998136, -0.10388, -0.108028, -0.111944, -0.115629, -0.119081, -0.122302, -0.125291, -0.128876, -0.13167, -0.132515, -0.133868, -0.13509, -0.136092, -0.137882, -0.139459, -0.139564, -0.139453, -0.138991, -0.13819, -0.13711, -0.135811, -0.134292, -0.132362, -0.131182, -0.128915, -0.12552, -0.121858, -0.11986, -0.114613, -0.109114, -0.0905862, -0.0678351, -0.0537028, -0.0471087, -0.0425494, -0.0377545, -0.033037, -0.0286333, -0.0239449, -0.020674, -0.0173401, -0.0158565, -0.0137647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.669857, 0.694734, 0.718227, 0.742395, 0.75426, 0.774974, 0.787299, 0.79781, 0.818479, 0.839147, 0.84371, 0.857842, 0.867032, 0.929133, 0.951774, 0.974492, 0.998124, 1.01234, 1.04079, 1.04757");
-            values ( \
-              "-0.0147374, -0.0489115, -0.0993034, -0.135747, -0.147113, -0.160426, -0.164876, -0.167245, -0.16841, -0.164866, -0.163231, -0.15598, -0.148455, -0.0611019, -0.0393862, -0.0246927, -0.0148892, -0.0109173, -0.0057305, -0.00515911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.863246, 0.886229, 0.910238, 0.923632, 0.937294, 0.962586, 0.980532, 1.00115, 1.01971, 1.05386, 1.06627, 1.0965, 1.12697, 1.15445, 1.17811, 1.22088, 1.26189, 1.30589, 1.33424, 1.3588, 1.37893, 1.40254, 1.44723, 1.47024, 1.49653, 1.54912, 1.63131, 1.71349");
-            values ( \
-              "-0.0581005, -0.0670791, -0.112716, -0.134101, -0.15028, -0.170367, -0.178686, -0.184663, -0.187296, -0.188449, -0.187823, -0.184858, -0.179725, -0.172699, -0.163706, -0.135138, -0.10001, -0.0681001, -0.0519996, -0.0407113, -0.0331554, -0.0259114, -0.016039, -0.0124975, -0.0093588, -0.00515553, -0.00192481, -0.00070552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.20538, 1.25282, 1.29254, 1.31072, 1.33257, 1.34956, 1.37222, 1.40445, 1.43843, 1.47978, 1.51101, 1.56519, 1.64217, 1.72693, 1.75684, 1.79672, 1.82606, 1.86153, 1.98376, 2.03382, 2.08518, 2.15366, 2.17905, 2.22706, 2.27206, 2.36, 2.4101, 2.49229, 2.57448, 2.65666, 2.73885, 2.90322");
-            values ( \
-              "-0.0433979, -0.0823531, -0.141609, -0.161127, -0.176945, -0.185344, -0.19287, -0.198481, -0.200582, -0.200634, -0.199562, -0.196688, -0.191025, -0.182026, -0.177665, -0.170157, -0.162725, -0.150832, -0.0988594, -0.0799185, -0.0633453, -0.0455641, -0.0401886, -0.0315587, -0.0250204, -0.0157303, -0.0120319, -0.00767598, -0.00488192, -0.00309279, -0.00196041, -0.000784589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.883749, 0.914742, 0.919559, 0.926734, 0.932463, 0.937976, 0.943454, 0.94893, 0.961795, 0.966951, 0.970634, 0.974637, 0.982991, 0.990707");
-            values ( \
-              "-0.00153107, -0.0528015, -0.0587168, -0.0657098, -0.0696706, -0.0716089, -0.0704653, -0.0621517, -0.0247677, -0.0149392, -0.0101395, -0.00658421, -0.00258059, -0.00116165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.925946, 0.933482, 0.945796, 0.959946, 0.969367, 0.977825, 0.985774, 0.993503, 1.00122, 1.00515, 1.0097, 1.0252, 1.03248, 1.0408, 1.05157, 1.06014, 1.06176");
-            values ( \
-              "-0.0177912, -0.0270143, -0.0551656, -0.0796508, -0.0916369, -0.0990151, -0.103717, -0.105228, -0.102043, -0.0969978, -0.0871096, -0.0412818, -0.0262177, -0.0149484, -0.00697617, -0.00370008, -0.00341987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.992963, 1.01576, 1.02674, 1.03754, 1.04332, 1.05726, 1.07001, 1.08223, 1.09427, 1.10631, 1.11074, 1.11515, 1.12028, 1.1376, 1.14437, 1.15673, 1.16884, 1.18036, 1.18694, 1.2001, 1.21588, 1.22834");
-            values ( \
-              "-0.0090288, -0.0643373, -0.087372, -0.105297, -0.112925, -0.126853, -0.134924, -0.138948, -0.138854, -0.132289, -0.127416, -0.120358, -0.109382, -0.0675955, -0.0539104, -0.0343956, -0.0215137, -0.0134993, -0.0102983, -0.00588466, -0.00296632, -0.00210387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.11003, 1.12934, 1.13567, 1.14451, 1.15599, 1.16155, 1.17266, 1.18758, 1.20719, 1.21008, 1.21586, 1.22743, 1.23117, 1.23866, 1.25198, 1.2727, 1.27519, 1.27784, 1.28315, 1.29464, 1.3168, 1.33678, 1.35187, 1.35722, 1.3647, 1.37415, 1.38628, 1.38953, 1.39602, 1.40797, 1.42475, 1.43218, 1.43552, 1.44221, 1.45557, 1.48231, 1.5311, 1.58876");
-            values ( \
-              "-0.01991, -0.0519886, -0.0655004, -0.0855703, -0.107709, -0.117309, -0.132145, -0.147154, -0.159352, -0.160827, -0.162859, -0.165429, -0.166187, -0.167025, -0.167376, -0.163992, -0.163176, -0.162235, -0.160002, -0.153151, -0.127779, -0.096319, -0.0748236, -0.0680138, -0.0592933, -0.0495431, -0.0389886, -0.0365283, -0.0320098, -0.0249783, -0.0174574, -0.0148865, -0.0138513, -0.0119847, -0.00893838, -0.00487841, -0.0014488, -0.000316431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.32577, 1.35385, 1.36373, 1.37065, 1.37756, 1.38426, 1.39095, 1.40205, 1.4076, 1.41315, 1.41843, 1.42899, 1.43427, 1.43946, 1.44465, 1.44985, 1.45504, 1.46426, 1.47348, 1.49008, 1.50667, 1.51179, 1.5169, 1.52714, 1.53737, 1.55024, 1.558, 1.56576, 1.57785, 1.58993, 1.62988, 1.63405, 1.63822, 1.64447, 1.65263, 1.66078, 1.71956, 1.74403, 1.75236, 1.75652, 1.76902, 1.77735, 1.78568, 1.80234, 1.82386, 1.83944, 1.8504, 1.86136, 1.88438, 1.90415");
-            values ( \
-              "-0.0897089, -0.0944657, -0.113124, -0.124776, -0.135371, -0.143993, -0.151564, -0.161248, -0.165417, -0.169138, -0.172028, -0.177054, -0.17919, -0.181027, -0.182633, -0.184009, -0.185154, -0.186415, -0.187363, -0.188045, -0.18819, -0.188127, -0.18782, -0.18708, -0.18617, -0.184723, -0.183587, -0.182242, -0.179674, -0.176708, -0.164061, -0.16256, -0.160476, -0.156796, -0.151385, -0.14548, -0.0964605, -0.0781613, -0.0720722, -0.0693943, -0.0618129, -0.0572026, -0.0528492, -0.0449129, -0.0359997, -0.0304027, -0.0272121, -0.0242852, -0.0190951, -0.0150922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.67398, 1.71479, 1.75358, 1.773, 1.79898, 1.81058, 1.83377, 1.8659, 1.8764, 1.8974, 1.93823, 1.97589, 2.02587, 2.10119, 2.12512, 2.18253, 2.24629, 2.26739, 2.30959, 2.35968, 2.44463, 2.49841, 2.53855, 2.59206, 2.63461, 2.66823, 2.71306, 2.78673, 2.81215, 2.86906, 2.93411, 3.0163, 3.09848, 3.26285, 3.42723");
-            values ( \
-              "-0.0596187, -0.0864878, -0.143251, -0.163415, -0.180731, -0.185925, -0.193395, -0.198708, -0.199662, -0.200603, -0.200726, -0.199407, -0.196663, -0.191202, -0.188999, -0.182665, -0.17249, -0.167836, -0.155438, -0.135371, -0.0988492, -0.0786006, -0.0655726, -0.0508832, -0.041293, -0.0348978, -0.0277501, -0.0188272, -0.0164628, -0.0121562, -0.00854333, -0.00543417, -0.00344807, -0.00138147, -0.000552146" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00709848, 0.00711915, 0.00714262, 0.00716374, 0.00717889, 0.00718804", \
-            "0.00784263, 0.00786095, 0.00788446, 0.00790779, 0.00792593, 0.00793751", \
-            "0.00825785, 0.00826898, 0.00828546, 0.00830443, 0.00832099, 0.00833243", \
-            "0.00852863, 0.00853119, 0.00853643, 0.00854493, 0.00855471, 0.00856285", \
-            "0.00870419, 0.00870198, 0.00869935, 0.00869768, 0.00869839, 0.0087009", \
-            "0.00881381, 0.00880995, 0.00880382, 0.00879625, 0.00878936, 0.00878511" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.009165, 0.00918855, 0.00921736, 0.00924577, 0.00926786, 0.00928153", \
-            "0.00976168, 0.00977181, 0.00978672, 0.00980331, 0.00981691, 0.00982549", \
-            "0.0101577, 0.0101463, 0.0101338, 0.0101238, 0.0101174, 0.0101137", \
-            "0.0105505, 0.010512, 0.0104639, 0.0104166, 0.0103798, 0.0103563", \
-            "0.0110121, 0.0109282, 0.0108216, 0.0107148, 0.010628, 0.0105694", \
-            "0.0113399, 0.0113838, 0.0112272, 0.0110232, 0.0108405, 0.0107222" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.135645, 0.153134, 0.184665, 0.244798, 0.366477, 0.617446", \
-            "0.138178, 0.155676, 0.187248, 0.247446, 0.369161, 0.620158", \
-            "0.144583, 0.162098, 0.193659, 0.253943, 0.37573, 0.62674", \
-            "0.16067, 0.178263, 0.209792, 0.270129, 0.391996, 0.643111", \
-            "0.191381, 0.209452, 0.241555, 0.302451, 0.425052, 0.676297", \
-            "0.225469, 0.244642, 0.27769, 0.338706, 0.461314, 0.714198" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0439731, 0.0625353, 0.101122, 0.183298, 0.358272, 0.723832", \
-            "0.043943, 0.0625491, 0.101059, 0.183309, 0.358248, 0.723821", \
-            "0.0440095, 0.0625724, 0.101116, 0.183335, 0.358315, 0.723847", \
-            "0.0440781, 0.0626342, 0.10124, 0.183468, 0.358377, 0.723919", \
-            "0.0460615, 0.0644987, 0.102907, 0.184982, 0.359257, 0.724271", \
-            "0.0515797, 0.0691919, 0.105839, 0.186149, 0.361545, 0.726737" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.137786, 0.152665, 0.178428, 0.225031, 0.3152, 0.498961", \
-            "0.143034, 0.157884, 0.183587, 0.230179, 0.320177, 0.503921", \
-            "0.155298, 0.170205, 0.195947, 0.24244, 0.332432, 0.516122", \
-            "0.18157, 0.19665, 0.222754, 0.269485, 0.359601, 0.543313", \
-            "0.235132, 0.251138, 0.278279, 0.326369, 0.417148, 0.601154", \
-            "0.327008, 0.345508, 0.375997, 0.427432, 0.519785, 0.705148" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0341197, 0.0475339, 0.0739224, 0.127387, 0.24091, 0.483081", \
-            "0.0340899, 0.0475794, 0.0739322, 0.12738, 0.240895, 0.483083", \
-            "0.034127, 0.047607, 0.0739395, 0.127375, 0.240873, 0.482912", \
-            "0.0341936, 0.0476626, 0.0739626, 0.127401, 0.240872, 0.48308", \
-            "0.0369302, 0.0503746, 0.076018, 0.128733, 0.241389, 0.483179", \
-            "0.044755, 0.0588479, 0.0846681, 0.136282, 0.246759, 0.485248" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0878998, 0.0986344, 0.105308, 0.110125, 0.116156, 0.121775, 0.129566, 0.136568, 0.143232, 0.149859, 0.156482, 0.16217, 0.168208, 0.171496, 0.178071, 0.182792, 0.186087, 0.192554, 0.196996, 0.206499, 0.213757, 0.225041, 0.240087, 0.263827, 0.293417");
-            values ( \
-              "0.00219252, 0.0122999, 0.0226679, 0.0310478, 0.0396893, 0.0462459, 0.053615, 0.0574441, 0.0592521, 0.0582083, 0.0525448, 0.042288, 0.0325149, 0.0281608, 0.0207286, 0.0166596, 0.0142998, 0.0103049, 0.00826629, 0.00509686, 0.00352776, 0.0019978, 0.00100289, 0.000267434, 0.000128365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0968892, 0.109458, 0.118562, 0.131166, 0.141628, 0.151246, 0.160628, 0.169997, 0.174687, 0.179528, 0.195669, 0.205342, 0.212903, 0.224669, 0.235071, 0.249688, 0.257853, 0.269181, 0.284285, 0.314494, 0.35034");
-            values ( \
-              "0.0242112, 0.0371749, 0.0546572, 0.0725173, 0.0816708, 0.0858166, 0.086366, 0.0830666, 0.0789135, 0.0727988, 0.0483899, 0.0364948, 0.0289015, 0.0197429, 0.0138872, 0.00833936, 0.00625165, 0.00418909, 0.00243138, 0.000756083, 0.000191663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0866859, 0.105807, 0.116796, 0.123377, 0.128709, 0.139372, 0.14671, 0.162338, 0.177267, 0.193141, 0.200213, 0.2079, 0.223273, 0.237664, 0.254813, 0.264134, 0.279053, 0.292417, 0.301579, 0.313451, 0.322974, 0.33504, 0.35202, 0.370106, 0.384024, 0.411859, 0.465929, 0.530478");
-            values ( \
-              "0.00214323, 0.0328579, 0.0584179, 0.0718031, 0.0812073, 0.0958077, 0.102833, 0.111215, 0.1129, 0.10996, 0.107152, 0.102751, 0.0893021, 0.0734414, 0.0562038, 0.0479627, 0.0366261, 0.0284494, 0.0237672, 0.0187121, 0.0153987, 0.0119713, 0.0083348, 0.00566189, 0.00418785, 0.00224729, 0.000582611, 0.000102054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.086466, 0.112174, 0.127224, 0.133951, 0.144605, 0.161127, 0.172784, 0.19386, 0.198945, 0.209117, 0.225148, 0.251475, 0.264378, 0.278141, 0.287882, 0.300073, 0.356159, 0.382612, 0.412377, 0.430859, 0.443391, 0.468455, 0.482749, 0.498691, 0.519948, 0.549442, 0.561799, 0.586513, 0.635941, 0.718349, 0.800756");
-            values ( \
-              "0.00258061, 0.0513702, 0.0856805, 0.09766, 0.112243, 0.126059, 0.130908, 0.133843, 0.133719, 0.132932, 0.130579, 0.124605, 0.120613, 0.115335, 0.110806, 0.10429, 0.0700822, 0.0556389, 0.041805, 0.0347143, 0.0304721, 0.023265, 0.0198881, 0.0166495, 0.0130757, 0.00928495, 0.00804118, 0.00600966, 0.00329309, 0.00112128, 0.000379589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.105848, 0.126565, 0.145797, 0.159022, 0.171118, 0.191994, 0.214366, 0.232853, 0.257503, 0.293768, 0.371759, 0.402461, 0.431248, 0.488822, 0.603708, 0.69185, 0.772802, 0.826612, 0.892336, 0.952979, 1.01719, 1.06654, 1.14894, 1.23135, 1.28045");
-            values ( \
-              "0.0876767, 0.0886463, 0.120312, 0.13305, 0.140216, 0.146474, 0.148065, 0.147499, 0.145671, 0.141764, 0.131043, 0.125569, 0.119271, 0.103056, 0.0666445, 0.0437593, 0.0285102, 0.021095, 0.0144287, 0.0100608, 0.00685019, 0.00507247, 0.00304195, 0.00181555, 0.00153136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.106402, 0.154562, 0.171846, 0.186422, 0.204073, 0.220674, 0.25198, 0.283923, 0.36436, 0.497116, 0.625535, 0.707943, 0.802184, 1.07181, 1.19731, 1.29794, 1.40727, 1.5546, 1.64954, 1.80126, 1.93233, 2.01474, 2.09714, 2.26196, 2.42678, 2.83881");
-            values ( \
-              "0.0791496, 0.133065, 0.145714, 0.151436, 0.154987, 0.156534, 0.156839, 0.155886, 0.151667, 0.143298, 0.133683, 0.125942, 0.114009, 0.0713582, 0.053643, 0.0417516, 0.0312753, 0.0207701, 0.015816, 0.0101217, 0.00683515, 0.00539308, 0.00414163, 0.00249453, 0.00149394, 0.000462789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.102911, 0.111846, 0.125308, 0.134945, 0.141694, 0.14479, 0.151325, 0.156378, 0.161354, 0.166238, 0.17001, 0.177239, 0.18275, 0.192068, 0.202041, 0.207611, 0.214989, 0.226116, 0.233534, 0.242012, 0.258968, 0.267912");
-            values ( \
-              "0.00485416, 0.0125641, 0.0340313, 0.0463729, 0.0526549, 0.054865, 0.058063, 0.0590898, 0.0587269, 0.0561336, 0.0515686, 0.0387411, 0.0305981, 0.0200335, 0.0124116, 0.00942802, 0.00650575, 0.00369976, 0.00256495, 0.00168451, 0.000735202, 0.000552935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.102096, 0.114944, 0.131707, 0.144313, 0.153734, 0.156836, 0.164381, 0.171724, 0.177816, 0.183255, 0.192848, 0.209811, 0.22501, 0.235216, 0.249952, 0.26061, 0.2705, 0.283348, 0.300478, 0.327651");
-            values ( \
-              "0.00328411, 0.0218321, 0.0545793, 0.0724185, 0.0809594, 0.0828001, 0.0856946, 0.0864276, 0.0853938, 0.0828046, 0.0727867, 0.0470688, 0.0298409, 0.0215065, 0.0130742, 0.00902201, 0.00638547, 0.00405849, 0.00215672, 0.000847747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.101679, 0.119214, 0.130076, 0.142004, 0.153932, 0.159985, 0.172091, 0.17556, 0.1825, 0.190465, 0.20577, 0.214773, 0.221123, 0.233821, 0.25921, 0.264968, 0.272645, 0.288, 0.296284, 0.305502, 0.317792, 0.329541, 0.343416, 0.361916, 0.372656, 0.389228, 0.411323, 0.455513, 0.51475");
-            values ( \
-              "0.00456842, 0.0335843, 0.0586164, 0.0816345, 0.0972271, 0.103078, 0.109872, 0.111244, 0.112375, 0.112827, 0.110073, 0.106438, 0.102693, 0.0919631, 0.0646758, 0.0590645, 0.0520222, 0.0395839, 0.0339955, 0.0285155, 0.022379, 0.0176494, 0.0132513, 0.00894082, 0.00711418, 0.00498171, 0.00306009, 0.00107664, 0.000231108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.101364, 0.125493, 0.140516, 0.151467, 0.157876, 0.170694, 0.186044, 0.206818, 0.212216, 0.223012, 0.238422, 0.26476, 0.277553, 0.291199, 0.301602, 0.313588, 0.369432, 0.396704, 0.426241, 0.443428, 0.454995, 0.478131, 0.495918, 0.515916, 0.535963, 0.56417, 0.59723, 0.64131, 0.723718, 0.806126");
-            values ( \
-              "0.00591264, 0.0515829, 0.0858195, 0.104169, 0.112255, 0.123659, 0.130887, 0.133818, 0.133693, 0.132847, 0.130559, 0.124594, 0.12064, 0.115423, 0.110582, 0.104151, 0.0700855, 0.0552253, 0.0415654, 0.03497, 0.0310138, 0.0242036, 0.0199176, 0.015929, 0.0126776, 0.00913637, 0.00620144, 0.00364109, 0.00124147, 0.000420328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.120269, 0.142271, 0.156962, 0.17046, 0.184538, 0.205407, 0.227099, 0.247566, 0.274855, 0.299253, 0.384979, 0.418318, 0.444651, 0.497316, 0.60818, 0.698529, 0.773092, 0.839771, 0.870074, 0.930678, 0.990425, 1.08734, 1.16975, 1.25216, 1.29673");
-            values ( \
-              "0.0916307, 0.0935101, 0.117519, 0.131656, 0.140339, 0.146506, 0.148052, 0.147417, 0.145294, 0.142677, 0.131065, 0.125078, 0.119267, 0.104571, 0.0692473, 0.0452254, 0.030631, 0.0211154, 0.0177313, 0.0124343, 0.00871392, 0.00483806, 0.00288622, 0.00173586, 0.00148741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.120256, 0.150705, 0.164581, 0.185331, 0.205258, 0.225828, 0.233621, 0.249208, 0.280381, 0.329213, 0.393415, 0.496162, 0.58248, 0.638485, 0.720893, 0.754932, 0.815123, 0.886884, 1.08475, 1.21025, 1.31088, 1.42021, 1.50262, 1.56754, 1.66248, 1.73179, 1.81419, 1.94527, 2.02768, 2.19249, 2.35731, 2.60453, 2.85175");
-            values ( \
-              "0.0846524, 0.111262, 0.13029, 0.146279, 0.153035, 0.156052, 0.156521, 0.156918, 0.156451, 0.154307, 0.150676, 0.144185, 0.138123, 0.133722, 0.125898, 0.121954, 0.113968, 0.10306, 0.0713974, 0.0536818, 0.0417894, 0.0313123, 0.0249688, 0.0208049, 0.0158503, 0.0129547, 0.0101551, 0.00686813, 0.00536039, 0.00325142, 0.00196631, 0.000920069, 0.000431492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.126525, 0.138351, 0.143556, 0.166722, 0.174523, 0.181532, 0.188203, 0.194835, 0.201465, 0.2132, 0.22309, 0.231002, 0.242051, 0.251809, 0.258786, 0.269645, 0.284123, 0.307771, 0.337034");
-            values ( \
-              "0.00129269, 0.00618018, 0.0122919, 0.0462361, 0.0535138, 0.0574261, 0.0591604, 0.0581932, 0.0524838, 0.0325255, 0.0207235, 0.0143202, 0.00826633, 0.00504117, 0.00354811, 0.00206482, 0.00102395, 0.00030885, 0.000106306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.13334, 0.146968, 0.164727, 0.176234, 0.186704, 0.196333, 0.205723, 0.215099, 0.219768, 0.224588, 0.244383, 0.257959, 0.263956, 0.271952, 0.283361, 0.290211, 0.301367, 0.314475, 0.324918, 0.345805, 0.364156");
-            values ( \
-              "0.0015774, 0.0220916, 0.05652, 0.0724197, 0.08164, 0.0857173, 0.0863391, 0.0829831, 0.0788968, 0.0728359, 0.0436615, 0.028947, 0.0239051, 0.018346, 0.0124492, 0.00981217, 0.00660693, 0.00416439, 0.00287361, 0.00133649, 0.000807997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.128709, 0.145663, 0.16717, 0.173958, 0.185034, 0.191923, 0.205701, 0.211166, 0.222441, 0.237416, 0.244972, 0.253081, 0.269023, 0.291549, 0.312452, 0.334865, 0.344724, 0.361822, 0.385447, 0.398907, 0.417071, 0.441289, 0.480747");
-            values ( \
-              "9.38632e-05, 0.0215469, 0.0695097, 0.0814692, 0.0965052, 0.102851, 0.110631, 0.111993, 0.112706, 0.110081, 0.107224, 0.102671, 0.0886882, 0.0643968, 0.0454154, 0.0300004, 0.0247705, 0.0175565, 0.0107235, 0.00803612, 0.00543836, 0.00319998, 0.00139234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.132527, 0.157798, 0.172622, 0.183469, 0.189919, 0.20282, 0.218069, 0.238829, 0.255071, 0.270456, 0.2968, 0.309586, 0.323225, 0.345654, 0.413198, 0.42882, 0.45834, 0.486917, 0.509902, 0.534732, 0.548276, 0.575363, 0.598094, 0.621073, 0.651712, 0.712989, 0.795397, 0.877804");
-            values ( \
-              "0.00193803, 0.0529655, 0.0863168, 0.104426, 0.112402, 0.123839, 0.130852, 0.133827, 0.132842, 0.130499, 0.124597, 0.120617, 0.115418, 0.104125, 0.0634413, 0.0551931, 0.0415435, 0.0310572, 0.0242772, 0.01848, 0.0158734, 0.0116391, 0.0089393, 0.00683458, 0.00474827, 0.00221557, 0.00075036, 0.000254569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.143984, 0.167595, 0.180475, 0.205262, 0.216662, 0.237327, 0.257948, 0.266058, 0.28228, 0.315119, 0.365974, 0.409231, 0.439711, 0.476775, 0.535181, 0.651119, 0.686892, 0.738489, 0.764792, 0.814905, 0.872159, 0.939381, 0.999402, 1.03762, 1.10856, 1.19096, 1.27337, 1.3559");
-            values ( \
-              "0.0425284, 0.0793002, 0.105398, 0.134073, 0.140364, 0.146563, 0.14794, 0.148041, 0.147178, 0.144536, 0.138479, 0.132335, 0.127197, 0.119222, 0.102856, 0.0660605, 0.0561717, 0.0434881, 0.0379942, 0.0290368, 0.0210752, 0.014284, 0.0100311, 0.00795023, 0.0051695, 0.00312501, 0.00184132, 0.00136275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.156829, 0.217247, 0.223863, 0.244353, 0.265924, 0.296839, 0.328976, 0.361525, 0.426624, 0.526712, 0.634561, 0.726012, 0.78718, 0.869588, 1.11484, 1.23838, 1.32079, 1.38801, 1.46004, 1.54245, 1.58424, 1.66665, 1.7406, 1.82301, 1.92084, 2.05701, 2.22183, 2.38664, 2.55146, 2.88109");
-            values ( \
-              "0.102301, 0.146116, 0.148966, 0.15432, 0.156515, 0.156868, 0.155822, 0.154264, 0.150619, 0.144298, 0.136627, 0.128715, 0.121964, 0.110742, 0.0717352, 0.0542218, 0.0442607, 0.0372139, 0.0306794, 0.0244568, 0.0217379, 0.0171897, 0.0138565, 0.010886, 0.00813405, 0.00540223, 0.00327467, 0.00197819, 0.00119208, 0.00043009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.214283, 0.221278, 0.232856, 0.238485, 0.244383, 0.25219, 0.259211, 0.265895, 0.272541, 0.279184, 0.288041, 0.290936, 0.294244, 0.30084, 0.308707, 0.315172, 0.319896, 0.323277, 0.330039, 0.336595, 0.346814, 0.360438, 0.377636");
-            values ( \
-              "0.00975293, 0.0124911, 0.0311742, 0.0392293, 0.0462327, 0.0534133, 0.0573347, 0.0590223, 0.0580943, 0.052392, 0.0368625, 0.032486, 0.0280615, 0.0206942, 0.0143375, 0.0103864, 0.00822031, 0.00696327, 0.0049152, 0.00353468, 0.00212384, 0.00110313, 0.000536131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.219569, 0.232591, 0.241263, 0.25395, 0.26442, 0.274054, 0.283457, 0.293297, 0.297512, 0.302329, 0.315719, 0.322059, 0.335718, 0.341785, 0.349876, 0.361111, 0.36786, 0.379116, 0.392397, 0.403009, 0.424233, 0.437658");
-            values ( \
-              "0.0237389, 0.0382, 0.0548937, 0.0723043, 0.0817425, 0.085452, 0.0863626, 0.0825553, 0.0788107, 0.0727923, 0.0522251, 0.043757, 0.0289539, 0.0238607, 0.0182511, 0.0124658, 0.00985638, 0.006619, 0.00414863, 0.00284069, 0.00130839, 0.000928919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.219178, 0.22908, 0.245695, 0.251368, 0.262259, 0.26933, 0.283473, 0.287917, 0.293842, 0.299901, 0.314863, 0.320552, 0.330582, 0.34648, 0.369133, 0.389791, 0.412553, 0.425758, 0.444549, 0.455712, 0.473964, 0.486434, 0.510914, 0.543553, 0.569068");
-            values ( \
-              "0.0318691, 0.0356888, 0.071905, 0.0816609, 0.0962539, 0.102773, 0.11056, 0.111683, 0.112439, 0.11253, 0.109942, 0.107912, 0.102587, 0.0886736, 0.0643089, 0.0455448, 0.0299248, 0.0230795, 0.015784, 0.0124988, 0.00848354, 0.00648975, 0.00381449, 0.00183264, 0.0011924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.223274, 0.23832, 0.250182, 0.260975, 0.267445, 0.280386, 0.29562, 0.316612, 0.332273, 0.348075, 0.374444, 0.400859, 0.423353, 0.49092, 0.536094, 0.564433, 0.587214, 0.612556, 0.654044, 0.683547, 0.728228, 0.787803, 0.835835");
-            values ( \
-              "0.052513, 0.0609557, 0.0867594, 0.1045, 0.112408, 0.123727, 0.130676, 0.133644, 0.132721, 0.130373, 0.124494, 0.115367, 0.104072, 0.0634127, 0.0415152, 0.0311131, 0.0243784, 0.0184533, 0.0115062, 0.00816862, 0.00481641, 0.00230093, 0.00141411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.229878, 0.250946, 0.26682, 0.279111, 0.294373, 0.314797, 0.336919, 0.343811, 0.357597, 0.385168, 0.408432, 0.443714, 0.469299, 0.497209, 0.551398, 0.573828, 0.595231, 0.70218, 0.764235, 0.8162, 0.85973, 0.893613, 0.949989, 0.974396, 1.01692, 1.07706, 1.10361, 1.13937, 1.18706, 1.26947, 1.35187, 1.43428, 1.5991");
-            values ( \
-              "0.0898514, 0.0921344, 0.117892, 0.130797, 0.140206, 0.146292, 0.14783, 0.147877, 0.14722, 0.145173, 0.142699, 0.138387, 0.134893, 0.1306, 0.120096, 0.114334, 0.108194, 0.0741363, 0.0562603, 0.0435476, 0.03474, 0.0289185, 0.0210965, 0.0183534, 0.0143286, 0.0100202, 0.0085531, 0.00690068, 0.00516356, 0.00309652, 0.00184878, 0.00110329, 0.000391148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.242374, 0.294379, 0.305147, 0.32466, 0.343253, 0.373686, 0.406104, 0.438915, 0.604503, 0.711273, 0.822277, 0.864677, 0.947085, 1.1923, 1.31584, 1.42066, 1.46549, 1.53751, 1.6617, 1.74411, 1.81807, 1.90047, 1.9983, 2.13448, 2.29929, 2.46411, 2.62892, 2.95855");
-            values ( \
-              "0.141105, 0.145677, 0.150165, 0.154637, 0.156382, 0.156737, 0.155775, 0.154182, 0.144279, 0.136697, 0.126815, 0.121923, 0.110765, 0.0717003, 0.0541869, 0.0417521, 0.0372489, 0.0306424, 0.0217013, 0.0172264, 0.0138198, 0.0109228, 0.00809754, 0.00536561, 0.00323823, 0.00194198, 0.00115611, 0.000394651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.382084, 0.393838, 0.394785, 0.400464, 0.417661, 0.428323, 0.43708, 0.444099, 0.451008, 0.457912, 0.470604, 0.474901, 0.483502, 0.489232, 0.494837, 0.50674, 0.516405, 0.523103, 0.536499, 0.558456, 0.586124, 0.621653");
-            values ( \
-              "0.00426448, 0.00897013, 0.00980174, 0.0161168, 0.0384545, 0.0488465, 0.0543554, 0.0565096, 0.0561715, 0.0511311, 0.0309533, 0.0256043, 0.0173624, 0.0132349, 0.010075, 0.00543185, 0.00337535, 0.00247011, 0.00126861, 0.00045901, 0.000130084, 4.83189e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.000180053, 0.375749, 0.395799, 0.431698, 0.442793, 0.452817, 0.462521, 0.472466, 0.477704, 0.499057, 0.515814, 0.541412, 0.559562, 0.58408, 0.585145");
-            values ( \
-              "1e-22, 0.000231422, 0.0132087, 0.0675763, 0.0779688, 0.0825503, 0.0840509, 0.0809953, 0.0766844, 0.0468171, 0.0285875, 0.0123768, 0.00657196, 0.00278021, 0.00270588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.393642, 0.411132, 0.428702, 0.437185, 0.447634, 0.463778, 0.479065, 0.494354, 0.505622, 0.510324, 0.518465, 0.556743, 0.581107, 0.597898, 0.61945, 0.639971, 0.656042, 0.676213, 0.703108, 0.748366");
-            values ( \
-              "0.0263328, 0.0435153, 0.0760808, 0.0880234, 0.0989465, 0.108263, 0.110554, 0.108293, 0.103958, 0.100908, 0.0953543, 0.0566508, 0.0369996, 0.0269951, 0.0175236, 0.0114933, 0.00813139, 0.00526864, 0.00293355, 0.000982387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.395178, 0.419055, 0.426986, 0.439198, 0.445467, 0.458004, 0.474595, 0.49645, 0.505733, 0.51735, 0.52789, 0.538473, 0.555383, 0.583093, 0.604854, 0.659594, 0.690806, 0.717787, 0.740845, 0.758158, 0.786557, 0.798732, 0.82066, 0.851587, 0.883833, 0.908541, 0.957958, 1.04037, 1.12277");
-            values ( \
-              "0.0350261, 0.0630495, 0.0789805, 0.0991565, 0.107241, 0.119159, 0.127894, 0.131417, 0.131132, 0.130114, 0.128839, 0.12708, 0.123303, 0.114107, 0.103151, 0.0700121, 0.0532342, 0.0410271, 0.0324708, 0.0270443, 0.0198407, 0.0173242, 0.0135126, 0.00943862, 0.00647898, 0.00483557, 0.00264327, 0.000898566, 0.000302998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.393426, 0.421485, 0.433394, 0.444961, 0.4625, 0.473504, 0.495512, 0.505643, 0.523785, 0.533096, 0.551716, 0.573346, 0.624415, 0.677947, 0.732028, 0.755679, 0.776627, 0.883037, 0.946864, 0.997519, 1.03909, 1.07121, 1.13087, 1.15693, 1.19887, 1.25857, 1.31921, 1.36544, 1.44785, 1.53026, 1.61267, 1.77748");
-            values ( \
-              "0.0329291, 0.0696048, 0.0932884, 0.111779, 0.130267, 0.137077, 0.143989, 0.145129, 0.145844, 0.145875, 0.145179, 0.143556, 0.137996, 0.130444, 0.120007, 0.113979, 0.10797, 0.0741276, 0.0557888, 0.0434539, 0.0350231, 0.0294484, 0.0210982, 0.0181795, 0.0142409, 0.00998428, 0.00694506, 0.00524462, 0.0031449, 0.00187843, 0.00112038, 0.000396947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.420378, 0.473644, 0.494922, 0.505614, 0.532832, 0.551701, 0.578044, 0.619645, 0.718297, 0.800705, 0.861844, 0.929282, 1.01169, 1.04578, 1.10598, 1.17775, 1.37564, 1.50115, 1.60177, 1.71103, 1.79344, 1.85846, 1.95355, 2.0228, 2.10521, 2.23616, 2.31857, 2.48338, 2.6482, 2.89542, 3.14265");
-            values ( \
-              "0.137767, 0.14225, 0.150441, 0.152369, 0.154935, 0.155511, 0.155282, 0.153788, 0.148394, 0.143153, 0.138892, 0.133669, 0.125873, 0.121924, 0.113952, 0.103044, 0.0713879, 0.0536736, 0.0417838, 0.0313131, 0.0249781, 0.0207997, 0.0158384, 0.0129536, 0.010148, 0.00686489, 0.00536373, 0.00325462, 0.00196938, 0.00091714, 0.000434233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.730694, 0.761952, 0.765498, 0.771024, 0.775853, 0.780808, 0.8068, 0.816907, 0.825574, 0.83349, 0.841137, 0.848768, 0.864198, 0.873719, 0.881096, 0.886928, 0.892378, 0.899646, 0.909341, 0.915848, 0.928862, 0.946762");
-            values ( \
-              "8.25797e-05, 0.00294063, 0.00387167, 0.00610944, 0.00859916, 0.0119208, 0.0347464, 0.0422891, 0.0475354, 0.0505122, 0.0514403, 0.0479436, 0.0269999, 0.0179164, 0.0127867, 0.00970061, 0.00746836, 0.00523487, 0.00327498, 0.0024008, 0.00129885, 0.000637461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.76066, 0.775369, 0.78919, 0.818342, 0.831046, 0.842157, 0.852598, 0.862934, 0.873261, 0.890919, 0.904422, 0.919976, 0.934153, 0.952011, 0.972141, 0.989691, 0.999986");
-            values ( \
-              "0.010579, 0.0113588, 0.0244895, 0.0579048, 0.0692588, 0.0757863, 0.0786313, 0.0775699, 0.0696055, 0.0454507, 0.0307717, 0.0188912, 0.0117659, 0.00634343, 0.00315852, 0.00169626, 0.00132061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.762935, 0.782447, 0.798864, 0.814742, 0.836457, 0.846133, 0.853872, 0.869964, 0.885696, 0.902731, 0.913451, 0.946212, 0.969217, 0.987247, 0.999894, 1.01566, 1.02456, 1.04236, 1.05437, 1.0728, 1.09737, 1.1409");
-            values ( \
-              "0.013698, 0.020575, 0.0413247, 0.0639297, 0.0895218, 0.0970195, 0.101755, 0.106352, 0.106258, 0.0999251, 0.0917297, 0.0586687, 0.0395259, 0.028258, 0.0220451, 0.0160465, 0.0133555, 0.00917833, 0.00711874, 0.00479973, 0.00279399, 0.00108041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.76257, 0.792022, 0.821572, 0.833928, 0.853521, 0.86509, 0.888228, 0.892473, 0.900963, 0.91926, 0.946105, 0.956728, 0.966068, 0.976089, 0.991157, 1.00919, 1.04374, 1.06704, 1.08495, 1.1104, 1.13038, 1.14571, 1.17133, 1.17858, 1.20456, 1.22958, 1.25903, 1.29268, 1.35513, 1.41079");
-            values ( \
-              "0.0126497, 0.0344786, 0.0800762, 0.0968699, 0.116007, 0.12283, 0.129432, 0.129753, 0.129943, 0.128538, 0.123353, 0.120317, 0.117146, 0.113091, 0.105733, 0.0952944, 0.0740357, 0.0610152, 0.051882, 0.0406092, 0.0331888, 0.0282764, 0.0214391, 0.0198031, 0.0148246, 0.0111939, 0.00799805, 0.00538493, 0.00249383, 0.00138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.774143, 0.805521, 0.832421, 0.862956, 0.891799, 0.91411, 0.938987, 1.00431, 1.06877, 1.12325, 1.37832, 1.46565, 1.5237, 1.59151, 1.65131, 1.75875, 1.88969");
-            values ( \
-              "0.0336215, 0.0580895, 0.101048, 0.131499, 0.143496, 0.145656, 0.145433, 0.138881, 0.129514, 0.119252, 0.0461668, 0.0291466, 0.0210732, 0.0142417, 0.0100054, 0.00522402, 0.00255695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.802444, 0.851777, 0.863521, 0.887009, 0.912422, 0.924734, 0.938806, 0.96695, 1.01057, 1.2517, 1.32227, 1.40467, 1.43897, 1.49926, 1.57107, 1.76906, 1.89463, 1.99521, 2.10422, 2.18663, 2.25201, 2.34771, 2.41674, 2.49915, 2.62963, 2.71204, 2.87685, 3.04167, 3.28889, 3.53611");
-            values ( \
-              "0.113099, 0.12662, 0.136445, 0.148563, 0.153974, 0.154969, 0.155402, 0.155136, 0.153193, 0.138275, 0.133264, 0.125685, 0.121764, 0.113846, 0.102981, 0.0713663, 0.0536587, 0.0417797, 0.031333, 0.0249949, 0.0207936, 0.015806, 0.0129357, 0.0101338, 0.00686486, 0.00536389, 0.00325476, 0.0019695, 0.000916956, 0.000434332" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00601935, 0.0060285, 0.00603888, 0.00604792, 0.00605415, 0.00605789", \
-            "0.006643, 0.00664929, 0.00665752, 0.00666586, 0.0066723, 0.0066763", \
-            "0.00695197, 0.00695445, 0.00695863, 0.00696396, 0.00696895, 0.00697255", \
-            "0.00714964, 0.00714988, 0.00715069, 0.00715255, 0.00715512, 0.00715753", \
-            "0.00727432, 0.0072737, 0.00727286, 0.00727209, 0.00727196, 0.00727253", \
-            "0.00738184, 0.00738153, 0.00738106, 0.00738014, 0.00737904, 0.00737823" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00738316, 0.00738952, 0.00739914, 0.00741004, 0.00741899, 0.00742486", \
-            "0.00745386, 0.00745272, 0.00745392, 0.00745797, 0.00746313, 0.00746752", \
-            "0.00745778, 0.007452, 0.007445, 0.00743965, 0.00743766, 0.00743787", \
-            "0.00746884, 0.00746593, 0.00746021, 0.00745174, 0.00744339, 0.00743801", \
-            "0.00769411, 0.00769703, 0.00770155, 0.00769966, 0.00769099, 0.00768468", \
-            "0.0079547, 0.00794964, 0.00795202, 0.00798564, 0.00800055, 0.00800853" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.106338, 0.109626, 0.117171, 0.127401, 0.133939, 0.139804, 0.145274, 0.150556, 0.155832, 0.161102, 0.175404, 0.179992, 0.187355, 0.196504, 0.198021");
-            values ( \
-              "-0.0182536, -0.0208145, -0.0388972, -0.0554104, -0.0635531, -0.0691456, -0.0728671, -0.0745981, -0.0729869, -0.0640479, -0.0214027, -0.0133555, -0.00598903, -0.00211058, -0.00188851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.108343, 0.113868, 0.119063, 0.132213, 0.143704, 0.147158, 0.152876, 0.159559, 0.162338, 0.165127, 0.167887, 0.173406, 0.17538, 0.179186, 0.183579, 0.195063, 0.20225, 0.205345, 0.209214, 0.214373, 0.218491, 0.224851, 0.233331, 0.236052");
-            values ( \
-              "-0.0290278, -0.0384783, -0.054714, -0.0805853, -0.0959761, -0.0993072, -0.103998, -0.10779, -0.108729, -0.109196, -0.109249, -0.106942, -0.10505, -0.0997406, -0.0891643, -0.0521087, -0.0338119, -0.0276875, -0.0213833, -0.0149572, -0.0111805, -0.00707095, -0.0037305, -0.00325528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.103952, 0.124548, 0.133927, 0.142854, 0.149035, 0.162131, 0.174267, 0.185997, 0.197626, 0.20925, 0.211733, 0.220246, 0.237799, 0.247152, 0.259822, 0.26688, 0.276291, 0.291455, 0.30369, 0.328159, 0.356861");
-            values ( \
-              "-0.0022703, -0.0789142, -0.0987902, -0.113407, -0.121324, -0.134102, -0.141166, -0.144414, -0.143359, -0.135881, -0.133077, -0.1179, -0.073543, -0.0537059, -0.0336528, -0.0255782, -0.0174885, -0.0093611, -0.00554866, -0.00177201, -0.000441943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.103237, 0.127953, 0.133181, 0.141685, 0.147529, 0.159216, 0.171242, 0.191447, 0.205624, 0.212648, 0.226696, 0.232632, 0.242636, 0.252916, 0.273339, 0.276071, 0.286998, 0.31814, 0.33053, 0.34309, 0.359836, 0.366183, 0.378134, 0.389138, 0.404525, 0.410619, 0.422806, 0.44718, 0.492428, 0.545144");
-            values ( \
-              "-0.00110769, -0.0961364, -0.107778, -0.123825, -0.132649, -0.147194, -0.1577, -0.168914, -0.172564, -0.173417, -0.173716, -0.173116, -0.171426, -0.168259, -0.15694, -0.154625, -0.142459, -0.0932767, -0.0754552, -0.0599612, -0.0432516, -0.0380822, -0.0298146, -0.0236665, -0.0170101, -0.0149138, -0.0114324, -0.00660786, -0.00218957, -0.000565806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.102483, 0.12943, 0.151678, 0.170175, 0.190742, 0.210232, 0.229682, 0.24775, 0.279811, 0.295159, 0.322601, 0.336558, 0.361664, 0.400977, 0.416273, 0.432094, 0.489499, 0.514314, 0.539731, 0.573621, 0.585649, 0.60841, 0.630654, 0.674133, 0.699088, 0.748998, 0.813478, 0.877957, 0.942437");
-            values ( \
-              "-0.000751172, -0.105979, -0.146854, -0.167319, -0.181325, -0.188646, -0.192479, -0.19377, -0.193178, -0.191816, -0.188209, -0.185741, -0.180224, -0.166511, -0.158221, -0.147014, -0.0976586, -0.0787547, -0.0622677, -0.0446239, -0.0395201, -0.0312772, -0.0247459, -0.0154891, -0.0117934, -0.00671526, -0.00318001, -0.00149112, -0.000703284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.121134, 0.190013, 0.21091, 0.235187, 0.260429, 0.284403, 0.357084, 0.431031, 0.506976, 0.571456, 0.614795, 0.669917, 0.734396, 0.853162, 0.935622, 1.03187, 1.08193, 1.14641, 1.25561, 1.38457, 1.51352, 1.51841");
-            values ( \
-              "-0.13142, -0.187355, -0.196533, -0.202498, -0.205111, -0.205999, -0.203966, -0.199376, -0.193234, -0.186346, -0.180238, -0.169217, -0.147884, -0.0965113, -0.0671164, -0.0422022, -0.0327964, -0.0234694, -0.0131365, -0.00650598, -0.00319414, -0.00314796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.121984, 0.124366, 0.126453, 0.130642, 0.1357, 0.143035, 0.143561, 0.144612, 0.146715, 0.150075, 0.155941, 0.161409, 0.16669, 0.171965, 0.177233, 0.177668, 0.178539, 0.180279, 0.18376, 0.185871, 0.186927, 0.188941, 0.191533, 0.192256, 0.193702, 0.196125, 0.199587, 0.200818, 0.20196, 0.203484, 0.20653, 0.212624, 0.215115");
-            values ( \
-              "-0.0178547, -0.0205502, -0.0224659, -0.0332956, -0.0435501, -0.0546344, -0.0555123, -0.0569411, -0.0596477, -0.0635689, -0.0691895, -0.0728834, -0.0746217, -0.0729944, -0.0640597, -0.0631505, -0.0605612, -0.0549092, -0.042886, -0.0361026, -0.0329913, -0.027439, -0.0214126, -0.0198944, -0.0172226, -0.0133453, -0.00920482, -0.0080169, -0.00707545, -0.00600389, -0.00424997, -0.00212721, -0.00175692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.123958, 0.129535, 0.13734, 0.151724, 0.160548, 0.168583, 0.176185, 0.183611, 0.191031, 0.196509, 0.21514, 0.229152, 0.242217, 0.248301");
-            values ( \
-              "-0.0288391, -0.0385139, -0.0602572, -0.0858041, -0.0969983, -0.103818, -0.108224, -0.109212, -0.105411, -0.096545, -0.040355, -0.0159475, -0.00624091, -0.00426586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.119953, 0.139397, 0.149698, 0.157261, 0.164808, 0.177908, 0.190044, 0.201775, 0.213405, 0.225032, 0.227512, 0.230346, 0.236015, 0.253598, 0.262913, 0.269446, 0.275603, 0.282721, 0.292212, 0.29807, 0.307053, 0.31903, 0.342985, 0.371541");
-            values ( \
-              "-0.00180323, -0.0767804, -0.0988108, -0.11134, -0.121349, -0.134039, -0.141184, -0.144357, -0.143376, -0.135836, -0.133065, -0.12903, -0.117918, -0.0735027, -0.0537474, -0.0424291, -0.033655, -0.0255194, -0.017389, -0.0136892, -0.00943362, -0.00565698, -0.0018611, -0.000467689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.119519, 0.142515, 0.148888, 0.161636, 0.17298, 0.186955, 0.208183, 0.228399, 0.248406, 0.268405, 0.277512, 0.289906, 0.29589, 0.307858, 0.343761, 0.357296, 0.379932, 0.391463, 0.406838, 0.426349, 0.438377, 0.462432, 0.507638, 0.508139");
-            values ( \
-              "-0.0018547, -0.0932704, -0.107958, -0.130552, -0.144995, -0.157837, -0.169207, -0.173605, -0.173264, -0.16857, -0.164457, -0.156264, -0.150618, -0.135124, -0.078814, -0.0616807, -0.0396572, -0.0313473, -0.0226786, -0.0149117, -0.0114695, -0.00668155, -0.00221584, -0.00220021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.118959, 0.145217, 0.156605, 0.17475, 0.185916, 0.205266, 0.225976, 0.245455, 0.263485, 0.294386, 0.313198, 0.338406, 0.376292, 0.393267, 0.411374, 0.433945, 0.463523, 0.516489, 0.546009, 0.561647, 0.592924, 0.618668, 0.637081, 0.673906, 0.685819, 0.712737, 0.743499, 0.805025, 0.869504, 0.998463");
-            values ( \
-              "-0.00351447, -0.106027, -0.129836, -0.15619, -0.167291, -0.180697, -0.188623, -0.1925, -0.193743, -0.193266, -0.191585, -0.188129, -0.180587, -0.175626, -0.16891, -0.156932, -0.133832, -0.0887844, -0.0680901, -0.0587323, -0.0430913, -0.0331379, -0.0273426, -0.0183977, -0.016181, -0.0120734, -0.00859174, -0.00422903, -0.00199299, -0.000438443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.1364, 0.169055, 0.204956, 0.225774, 0.250172, 0.275352, 0.29927, 0.371952, 0.445899, 0.521845, 0.586324, 0.629665, 0.684785, 0.749265, 0.881853, 0.943131, 1.03759, 1.13226, 1.23596, 1.35445, 1.41893, 1.54789, 1.57038");
-            values ( \
-              "-0.134935, -0.154322, -0.187395, -0.196554, -0.202506, -0.205125, -0.205981, -0.20395, -0.199362, -0.19322, -0.186359, -0.18025, -0.169208, -0.147894, -0.0910583, -0.0694185, -0.0441366, -0.0273484, -0.0158014, -0.00836058, -0.00584771, -0.00286463, -0.00267352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.154191, 0.178022, 0.187681, 0.193547, 0.199018, 0.204302, 0.20958, 0.214851, 0.226567, 0.231318, 0.238439, 0.24416, 0.250262, 0.257749");
-            values ( \
-              "-0.000455984, -0.0509239, -0.063538, -0.069135, -0.0728449, -0.0745821, -0.0729642, -0.0640374, -0.0274068, -0.0172403, -0.00800308, -0.00423294, -0.00213645, -0.00101301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.153856, 0.184334, 0.189481, 0.198316, 0.206354, 0.21396, 0.221389, 0.228813, 0.234272, 0.252927, 0.25891, 0.266938, 0.271879, 0.280008, 0.290847, 0.298464");
-            values ( \
-              "-0.000439731, -0.0778031, -0.0858685, -0.0967731, -0.103892, -0.108039, -0.109285, -0.105262, -0.0965629, -0.0403504, -0.0275857, -0.0159509, -0.0112529, -0.00624294, -0.00271921, -0.00176208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.158551, 0.177399, 0.187631, 0.195965, 0.20274, 0.215821, 0.227978, 0.239711, 0.251342, 0.26297, 0.265448, 0.273945, 0.29155, 0.300837, 0.313542, 0.320705, 0.330256, 0.344857, 0.356643, 0.380215, 0.408665");
-            values ( \
-              "-0.00387963, -0.0776821, -0.0989145, -0.11243, -0.121404, -0.133961, -0.141216, -0.144292, -0.143401, -0.135779, -0.133049, -0.117926, -0.0734723, -0.0537778, -0.0336554, -0.0254748, -0.0173161, -0.00948848, -0.00573798, -0.00193025, -0.000486978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.161345, 0.176748, 0.186686, 0.194503, 0.201023, 0.214063, 0.224732, 0.245813, 0.254452, 0.263229, 0.272027, 0.283756, 0.290869, 0.298539, 0.306408, 0.322147, 0.327726, 0.338689, 0.352083, 0.371431, 0.383967, 0.396666, 0.413598, 0.41973, 0.43129, 0.442399, 0.457892, 0.464094, 0.476498, 0.501306, 0.546636, 0.599529");
-            values ( \
-              "-0.0157255, -0.083729, -0.107919, -0.122733, -0.13275, -0.148599, -0.157743, -0.169204, -0.171609, -0.173137, -0.17378, -0.173391, -0.172435, -0.17076, -0.168263, -0.160292, -0.156147, -0.144733, -0.124909, -0.0935504, -0.075529, -0.0598664, -0.0429974, -0.0380401, -0.0300104, -0.0237805, -0.0170461, -0.0149186, -0.0113744, -0.00651258, -0.00214723, -0.000556176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.162564, 0.183127, 0.193572, 0.200528, 0.213935, 0.223783, 0.243479, 0.263817, 0.283296, 0.301348, 0.332973, 0.349625, 0.376228, 0.399837, 0.415261, 0.446109, 0.456761, 0.478067, 0.543316, 0.569788, 0.589674, 0.616189, 0.636682, 0.652894, 0.674511, 0.710913, 0.723441, 0.751774, 0.784154, 0.848633, 0.913113, 0.977592, 1.04207");
-            values ( \
-              "-0.03396, -0.106079, -0.128324, -0.139895, -0.157773, -0.16732, -0.180912, -0.18862, -0.192499, -0.193744, -0.193216, -0.191729, -0.188208, -0.183843, -0.180151, -0.170231, -0.165427, -0.152711, -0.0975013, -0.0774515, -0.0644753, -0.0498716, -0.0406144, -0.034412, -0.0274635, -0.0185685, -0.0162239, -0.0119157, -0.00833018, -0.00394385, -0.00186211, -0.000869045, -0.0004119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.177929, 0.214544, 0.242213, 0.263822, 0.289436, 0.313982, 0.337305, 0.373582, 0.409988, 0.483807, 0.552857, 0.601521, 0.638764, 0.703243, 0.722879, 0.762149, 0.814222, 0.900327, 0.953102, 0.991918, 1.03215, 1.08554, 1.13281, 1.19583, 1.25019, 1.32296, 1.38744, 1.45192, 1.58088, 1.70984, 1.8388");
-            values ( \
-              "-0.158803, -0.163582, -0.18684, -0.196596, -0.202662, -0.205196, -0.205951, -0.205477, -0.203929, -0.199365, -0.193855, -0.189012, -0.184495, -0.173705, -0.169207, -0.157411, -0.136242, -0.0987512, -0.0787246, -0.0660122, -0.0545946, -0.0420084, -0.0331118, -0.0238773, -0.0179148, -0.0121427, -0.00855804, -0.00600919, -0.00295129, -0.00144366, -0.000704422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.24687, 0.251424, 0.264869, 0.275268, 0.286624, 0.291916, 0.297201, 0.302912, 0.314217, 0.318941, 0.326076, 0.331823, 0.337952, 0.348182, 0.376296");
-            values ( \
-              "-0.0172989, -0.0221562, -0.0497388, -0.0634767, -0.072814, -0.0743989, -0.0729576, -0.0630929, -0.0273536, -0.017253, -0.00800368, -0.00422025, -0.0021246, -0.000599923, -6.92259e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.249709, 0.254967, 0.262903, 0.273227, 0.277361, 0.286211, 0.294264, 0.301879, 0.309315, 0.316745, 0.3222, 0.340867, 0.346853, 0.354885, 0.359825, 0.367953, 0.37879, 0.383835");
-            values ( \
-              "-0.0286291, -0.0372823, -0.0597935, -0.0795001, -0.085451, -0.0967917, -0.103595, -0.108085, -0.109055, -0.105323, -0.096522, -0.0403389, -0.027575, -0.0159417, -0.0112465, -0.00623883, -0.00272449, -0.00208746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.247794, 0.25915, 0.266407, 0.275796, 0.284753, 0.290917, 0.304022, 0.316166, 0.327903, 0.339537, 0.351168, 0.353646, 0.356478, 0.362143, 0.379756, 0.389035, 0.395539, 0.401745, 0.408922, 0.41849, 0.424224, 0.433022, 0.444753, 0.468215, 0.496617");
-            values ( \
-              "-0.00056329, -0.0583926, -0.0788266, -0.0987184, -0.113329, -0.121254, -0.133995, -0.141097, -0.144316, -0.143303, -0.135807, -0.133028, -0.129, -0.117918, -0.0734546, -0.0537821, -0.0425059, -0.033655, -0.0254622, -0.0172943, -0.0136838, -0.00950377, -0.0057625, -0.00194996, -0.00049399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.249961, 0.264342, 0.273631, 0.278508, 0.289563, 0.299344, 0.31328, 0.333536, 0.340149, 0.347501, 0.354685, 0.369054, 0.374674, 0.384679, 0.39496, 0.415387, 0.418118, 0.429042, 0.460192, 0.472584, 0.485144, 0.50189, 0.508238, 0.52019, 0.531194, 0.546582, 0.552675, 0.564862, 0.589235, 0.634482, 0.687197");
-            values ( \
-              "-0.0150935, -0.0814276, -0.104906, -0.11468, -0.132901, -0.145056, -0.157879, -0.168797, -0.170911, -0.172468, -0.173441, -0.173624, -0.173129, -0.171357, -0.168269, -0.15688, -0.154606, -0.142451, -0.0932722, -0.0754483, -0.0599569, -0.0432523, -0.0380795, -0.029814, -0.0236647, -0.0170104, -0.0149128, -0.0114333, -0.00660743, -0.00219057, -0.000565153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.25503, 0.271814, 0.281925, 0.28916, 0.302566, 0.312388, 0.332033, 0.352432, 0.371896, 0.389936, 0.427112, 0.460143, 0.474158, 0.503851, 0.534151, 0.545349, 0.566768, 0.631864, 0.658364, 0.678292, 0.704863, 0.725293, 0.741456, 0.763007, 0.799472, 0.816076, 0.840442, 0.872931, 0.93741, 1.00189, 1.06637, 1.13085");
-            values ( \
-              "-0.064615, -0.106921, -0.12787, -0.140375, -0.157739, -0.16763, -0.180759, -0.188837, -0.192337, -0.193916, -0.192827, -0.188984, -0.18667, -0.180244, -0.170352, -0.165511, -0.152544, -0.0975808, -0.0774151, -0.0644195, -0.049866, -0.0405699, -0.0343935, -0.0275179, -0.0185475, -0.015505, -0.0118881, -0.00832918, -0.0039188, -0.00187465, -0.000850807, -0.000427172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.259739, 0.327701, 0.349391, 0.368694, 0.390756, 0.425647, 0.498322, 0.572269, 0.648216, 0.712695, 0.756037, 0.811158, 0.875638, 1.01637, 1.06708, 1.14547, 1.23969, 1.30417, 1.36094, 1.44639, 1.51087, 1.69986");
-            values ( \
-              "-0.114765, -0.185153, -0.195661, -0.20092, -0.204207, -0.205988, -0.203932, -0.199348, -0.193211, -0.186362, -0.180248, -0.169203, -0.147902, -0.08793, -0.0701891, -0.0483803, -0.0301476, -0.0215344, -0.0159492, -0.0100754, -0.00707384, -0.00255286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.43919, 0.456776, 0.464302, 0.475643, 0.482081, 0.488007, 0.493678, 0.499281, 0.505248, 0.519455, 0.529395, 0.535359, 0.538705");
-            values ( \
-              "-0.00159324, -0.0329451, -0.0439174, -0.0574787, -0.0633311, -0.0676316, -0.0698011, -0.0691601, -0.0605648, -0.0211443, -0.00760671, -0.00396557, -0.00297242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.441074, 0.458005, 0.465795, 0.477545, 0.487178, 0.49579, 0.505569, 0.511642, 0.515234, 0.519426, 0.527269, 0.544698, 0.552415, 0.561162, 0.567915, 0.576918, 0.591182, 0.594077");
-            values ( \
-              "-0.00171708, -0.0439571, -0.0595235, -0.0779478, -0.0896552, -0.0975428, -0.103235, -0.1045, -0.104059, -0.101651, -0.0889026, -0.03823, -0.0233937, -0.0128255, -0.00793638, -0.00405144, -0.00132137, -0.00122915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.449718, 0.45708, 0.460157, 0.463494, 0.465805, 0.470428, 0.475051, 0.479211, 0.480597, 0.483371, 0.486789, 0.490853, 0.492885, 0.49615, 0.497783, 0.501048, 0.502681, 0.505946, 0.506625, 0.507982, 0.512918, 0.516183, 0.519312, 0.523483, 0.528589, 0.531393, 0.534378, 0.537364, 0.540312, 0.54326, 0.546225, 0.54919, 0.552155, 0.555426, 0.556651, 0.558489, 0.561843, 0.56521, 0.568925, 0.576356, 0.586029, 0.592812, 0.596602, 0.602716, 0.606071, 0.609547, 0.61426, 0.618041, 0.622461, 0.628137");
-            values ( \
-              "-0.0438424, -0.0470995, -0.0550495, -0.0630067, -0.0681899, -0.077542, -0.0864384, -0.094025, -0.0957706, -0.0997556, -0.105574, -0.113791, -0.11843, -0.119817, -0.120677, -0.122728, -0.123921, -0.126637, -0.126997, -0.128023, -0.132004, -0.134246, -0.136103, -0.138099, -0.140068, -0.140928, -0.141115, -0.140992, -0.140561, -0.139839, -0.138682, -0.137323, -0.135763, -0.133809, -0.132594, -0.130263, -0.124953, -0.118329, -0.110015, -0.091201, -0.0682399, -0.0539093, -0.0472955, -0.0379048, -0.0331779, -0.0287632, -0.0240482, -0.0207621, -0.0174132, -0.0138183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.443049, 0.468282, 0.476107, 0.485903, 0.491742, 0.503419, 0.519311, 0.524605, 0.538441, 0.544936, 0.558993, 0.579185, 0.599382, 0.612918, 0.620945, 0.636999, 0.67493, 0.688269, 0.697346, 0.710957, 0.722692, 0.738338, 0.757452, 0.769227, 0.792777, 0.837838, 0.89019");
-            values ( \
-              "-0.00273191, -0.0798297, -0.0972359, -0.114682, -0.123936, -0.138682, -0.153842, -0.157803, -0.165588, -0.168087, -0.171422, -0.17204, -0.167798, -0.16144, -0.155814, -0.137755, -0.0786691, -0.0617938, -0.0519911, -0.0397047, -0.0312569, -0.022479, -0.0149085, -0.0115336, -0.00679943, -0.00226678, -0.000593405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.454241, 0.491718, 0.505596, 0.52246, 0.534996, 0.557127, 0.571042, 0.589596, 0.605644, 0.627042, 0.642458, 0.683718, 0.709, 0.748333, 0.763591, 0.77943, 0.836896, 0.887074, 0.932998, 0.955836, 0.97806, 1.0215, 1.04641, 1.09623, 1.16071, 1.22519, 1.28967");
-            values ( \
-              "-0.0610507, -0.130798, -0.149686, -0.166868, -0.176103, -0.186435, -0.190003, -0.192549, -0.193211, -0.192648, -0.191438, -0.185664, -0.18012, -0.166497, -0.158219, -0.14701, -0.0976219, -0.0622778, -0.0395258, -0.0312557, -0.0247354, -0.0154899, -0.0117987, -0.00672629, -0.0031844, -0.00149409, -0.000703787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.465753, 0.505229, 0.523668, 0.557162, 0.584617, 0.60855, 0.63104, 0.70379, 0.777753, 0.853704, 0.918183, 0.961535, 1.01665, 1.08113, 1.22186, 1.29871, 1.39445, 1.44518, 1.50966, 1.56643, 1.65188, 1.78084, 1.90535");
-            values ( \
-              "-0.133703, -0.154028, -0.173566, -0.194154, -0.201726, -0.204589, -0.205573, -0.203864, -0.199337, -0.193207, -0.186357, -0.180242, -0.169202, -0.1479, -0.0879296, -0.0622146, -0.0390051, -0.0301479, -0.021535, -0.0159498, -0.0100759, -0.00497878, -0.0028676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.869048, 0.874101, 0.878968, 0.881492, 0.886538, 0.903801, 0.910758, 0.920005, 0.927982, 0.935223, 0.942009, 0.94862, 0.955221, 0.956069, 0.966176, 0.972148, 0.976509, 0.980361, 0.984727, 0.988151, 0.994999, 0.999233");
-            values ( \
-              "-0.00552764, -0.00681801, -0.00851456, -0.0105301, -0.013546, -0.0319685, -0.0383439, -0.0458315, -0.0516003, -0.0558184, -0.0586751, -0.059174, -0.0538198, -0.0524233, -0.0292162, -0.0178154, -0.0119174, -0.00817423, -0.00528253, -0.00372001, -0.00180217, -0.0013256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.873963, 0.890965, 0.906758, 0.933637, 0.943969, 0.953426, 0.962388, 0.971233, 0.980616, 0.998561, 1.00684, 1.01637, 1.02241, 1.03047, 1.04526, 1.04973");
-            values ( \
-              "-0.00696317, -0.0236614, -0.0454411, -0.0741113, -0.0821048, -0.0884423, -0.0914508, -0.0911133, -0.0800524, -0.0361931, -0.0220496, -0.0118127, -0.00783361, -0.00445544, -0.00143302, -0.00117384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.879361, 0.890807, 0.919787, 0.939911, 0.956183, 0.970631, 0.984106, 0.99717, 1.01022, 1.01624, 1.02461, 1.05265, 1.06287, 1.0771, 1.08548, 1.09912, 1.11551");
-            values ( \
-              "-0.0175499, -0.0281578, -0.0706459, -0.0951175, -0.110535, -0.120887, -0.127022, -0.129392, -0.125155, -0.119632, -0.105654, -0.0471622, -0.0326475, -0.0188724, -0.0135144, -0.0077398, -0.00394264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.87755, 0.902898, 0.919347, 0.938519, 0.952237, 0.967478, 0.991762, 1.014, 1.03546, 1.05679, 1.07812, 1.08339, 1.08941, 1.10145, 1.13484, 1.14567, 1.16748, 1.17605, 1.18979, 1.21441, 1.22435, 1.23977, 1.25623, 1.28828, 1.29859");
-            values ( \
-              "-0.00463209, -0.0501116, -0.0768611, -0.103748, -0.119625, -0.134555, -0.151133, -0.160247, -0.163464, -0.161807, -0.152365, -0.148455, -0.142554, -0.126514, -0.0763549, -0.0629364, -0.041413, -0.0348817, -0.0262981, -0.015557, -0.0125376, -0.00896253, -0.00624606, -0.00298534, -0.00255904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.864125, 0.889575, 0.950387, 0.991808, 1.01125, 1.05013, 1.08983, 1.12818, 1.15283, 1.19792, 1.20973, 1.2366, 1.33502, 1.38947, 1.43331, 1.4665, 1.50067, 1.579, 1.58075");
-            values ( \
-              "-0.0150213, -0.0313673, -0.123851, -0.162394, -0.173043, -0.185207, -0.187822, -0.185341, -0.181512, -0.169235, -0.164221, -0.14807, -0.0694397, -0.040857, -0.025932, -0.0181521, -0.0125357, -0.00519717, -0.00512233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.900247, 0.966466, 1.01171, 1.05158, 1.08904, 1.12667, 1.163, 1.25035, 1.31369, 1.39266, 1.47669, 1.54117, 1.68191, 1.73264, 1.81104, 1.90523, 1.9697, 2.02649, 2.11197, 2.17645, 2.32932");
-            values ( \
-              "-0.0832571, -0.146186, -0.180753, -0.195252, -0.20098, -0.202261, -0.201798, -0.197563, -0.192999, -0.184429, -0.169183, -0.147889, -0.0879295, -0.0701865, -0.0483752, -0.0301501, -0.0215373, -0.0159502, -0.0100746, -0.00707218, -0.00341709" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00588046, 0.00588903, 0.00589879, 0.00590762, 0.00591394, 0.00591776", \
-            "0.00637744, 0.00638219, 0.00638875, 0.00639563, 0.00640123, 0.00640498", \
-            "0.00661488, 0.00661615, 0.00661871, 0.00662236, 0.00662623, 0.0066292", \
-            "0.00674336, 0.00674362, 0.00674392, 0.00674483, 0.00674647, 0.00674829", \
-            "0.0068122, 0.00681218, 0.00681216, 0.0068112, 0.00681143, 0.00681203", \
-            "0.00685095, 0.00685085, 0.00685069, 0.0068505, 0.00685031, 0.00685022" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00710419, 0.00710894, 0.00711465, 0.00711967, 0.00712407, 0.00712737", \
-            "0.00724872, 0.0072486, 0.00724936, 0.00725091, 0.0072524, 0.00725334", \
-            "0.00725384, 0.00725068, 0.00724738, 0.00724452, 0.00724302, 0.00724252", \
-            "0.00722772, 0.00722163, 0.00721273, 0.00720365, 0.00719588, 0.00719131", \
-            "0.00730288, 0.00730099, 0.0072974, 0.00729303, 0.00728596, 0.00727961", \
-            "0.00761578, 0.00763445, 0.00765258, 0.00766223, 0.00766366, 0.00766163" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & ~B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.104851, 0.120668, 0.150569, 0.209945, 0.331403, 0.582081", \
-            "0.108894, 0.124638, 0.154438, 0.213742, 0.335155, 0.5858", \
-            "0.117003, 0.132645, 0.162302, 0.221445, 0.342761, 0.593363", \
-            "0.133733, 0.149406, 0.179115, 0.238216, 0.359468, 0.610024", \
-            "0.159614, 0.175983, 0.206276, 0.265746, 0.387323, 0.63792", \
-            "0.179395, 0.19744, 0.229125, 0.28882, 0.41031, 0.661504" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0378212, 0.0562783, 0.0954851, 0.179215, 0.355388, 0.721285", \
-            "0.0378655, 0.0562885, 0.0954851, 0.179224, 0.355387, 0.721285", \
-            "0.0378328, 0.0562384, 0.0955013, 0.179212, 0.355392, 0.721286", \
-            "0.0381301, 0.05659, 0.0956096, 0.1793, 0.355403, 0.721292", \
-            "0.0411164, 0.0590717, 0.0974667, 0.18011, 0.35573, 0.721345", \
-            "0.0479719, 0.0650347, 0.101483, 0.182116, 0.356556, 0.722013" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.179047, 0.196867, 0.226854, 0.278437, 0.372736, 0.558268", \
-            "0.18256, 0.20029, 0.230336, 0.282048, 0.376444, 0.562008", \
-            "0.192794, 0.21068, 0.2408, 0.292431, 0.3869, 0.572555", \
-            "0.217406, 0.235346, 0.265472, 0.317418, 0.411985, 0.597644", \
-            "0.273639, 0.291983, 0.322702, 0.375014, 0.469841, 0.655536", \
-            "0.376256, 0.396812, 0.430364, 0.485186, 0.583353, 0.771018" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0427465, 0.0577441, 0.0854518, 0.139216, 0.250003, 0.487318", \
-            "0.0427532, 0.0578982, 0.0855414, 0.139235, 0.250087, 0.487517", \
-            "0.0427675, 0.0577332, 0.0854336, 0.139203, 0.250078, 0.487321", \
-            "0.0428651, 0.0578298, 0.0853745, 0.139144, 0.250014, 0.487507", \
-            "0.0449888, 0.0598192, 0.0868014, 0.140116, 0.250603, 0.487545", \
-            "0.052113, 0.0675065, 0.0950404, 0.148248, 0.257296, 0.491325" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0752814, 0.0795717, 0.0832424, 0.0878056, 0.0913475, 0.0949652, 0.101105, 0.106823, 0.112433, 0.118037, 0.11984, 0.124463, 0.129865, 0.139617, 0.148362, 0.154265, 0.160648, 0.168582, 0.175882, 0.18428, 0.190852, 0.203995, 0.213322");
-            values ( \
-              "0.00961543, 0.0266888, 0.0371406, 0.0478999, 0.05457, 0.0601745, 0.0665357, 0.0693974, 0.0694248, 0.0664713, 0.0642625, 0.0558344, 0.0436048, 0.0275374, 0.0179462, 0.0133304, 0.00962812, 0.00640875, 0.00439945, 0.00288205, 0.00207202, 0.00106293, 0.00075687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0772423, 0.0911981, 0.0984144, 0.103354, 0.111982, 0.120245, 0.128577, 0.137165, 0.162196, 0.178477, 0.190001, 0.205242, 0.222962, 0.243792, 0.255913");
-            values ( \
-              "0.0266634, 0.0684996, 0.0832351, 0.0901311, 0.0968353, 0.0984296, 0.0962916, 0.0896813, 0.0487628, 0.0293013, 0.0199989, 0.0118287, 0.00632035, 0.00300302, 0.00209624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0793404, 0.0866855, 0.0933406, 0.0985144, 0.102087, 0.109234, 0.116803, 0.129573, 0.130504, 0.136088, 0.144082, 0.157733, 0.163824, 0.170322, 0.178765, 0.1902, 0.206647, 0.223785, 0.239756, 0.250182, 0.255893, 0.265206, 0.277408, 0.280784, 0.287535, 0.299442, 0.316298, 0.323803, 0.333974, 0.347537, 0.374661, 0.425978, 0.486901");
-            values ( \
-              "0.026566, 0.0645148, 0.0855337, 0.0978805, 0.104647, 0.114402, 0.120445, 0.123902, 0.12394, 0.123472, 0.12164, 0.116434, 0.113012, 0.108535, 0.101041, 0.0884509, 0.0694898, 0.0523114, 0.039302, 0.0323036, 0.0289364, 0.0240821, 0.0188199, 0.0175684, 0.015287, 0.0119157, 0.00831435, 0.00708418, 0.0056945, 0.00424184, 0.00231277, 0.00065124, 0.000130833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0793733, 0.0921839, 0.0968209, 0.102397, 0.110433, 0.116277, 0.127965, 0.141197, 0.153465, 0.165706, 0.18719, 0.198624, 0.21758, 0.225002, 0.239844, 0.258893, 0.278779, 0.312665, 0.336874, 0.355535, 0.379534, 0.398034, 0.422702, 0.441378, 0.45634, 0.476289, 0.510201, 0.525742, 0.548651, 0.579196, 0.640287, 0.721185, 0.802083");
-            values ( \
-              "0.0204528, 0.0879047, 0.101485, 0.114295, 0.127351, 0.133401, 0.140291, 0.14244, 0.141846, 0.139898, 0.135223, 0.132215, 0.126588, 0.124021, 0.118188, 0.108569, 0.0964433, 0.0749132, 0.061042, 0.0514489, 0.0406712, 0.0336685, 0.0259355, 0.0211063, 0.0178692, 0.0143016, 0.00960499, 0.00801214, 0.00611881, 0.00429677, 0.00197099, 0.000719911, 0.0002176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.0903409, 0.128281, 0.141197, 0.15674, 0.188023, 0.236137, 0.309147, 0.363611, 0.454606, 0.610956, 0.696149, 0.789764, 0.845129, 0.913155, 1.05402, 1.15212");
-            values ( \
-              "0.129439, 0.149256, 0.153178, 0.154416, 0.152316, 0.146595, 0.136454, 0.127184, 0.103118, 0.0548582, 0.0356132, 0.0212389, 0.0154393, 0.010293, 0.00439442, 0.00270306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0904422, 0.112371, 0.121396, 0.132425, 0.141923, 0.157519, 0.173032, 0.217308, 0.282417, 0.380137, 0.482264, 0.590029, 0.643764, 0.706019, 0.786917, 1.00436, 1.09959, 1.16211, 1.24301, 1.29808, 1.37151, 1.4524, 1.50217, 1.54995, 1.68276, 1.81343, 1.97523, 2.13702, 2.29882, 2.46061, 2.62241, 2.8651");
-            values ( \
-              "0.133031, 0.138921, 0.14909, 0.15578, 0.158991, 0.160944, 0.161438, 0.159687, 0.155815, 0.14957, 0.142584, 0.134262, 0.129146, 0.122351, 0.111072, 0.0762204, 0.0619478, 0.0536186, 0.0437467, 0.0379453, 0.0313149, 0.0249452, 0.0216936, 0.0189388, 0.0128721, 0.00872945, 0.00534897, 0.00325333, 0.0019645, 0.00117582, 0.000694608, 0.000409522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0942992, 0.102155, 0.104615, 0.105599, 0.107397, 0.109841, 0.111381, 0.11292, 0.114459, 0.115998, 0.11743, 0.118862, 0.120294, 0.121726, 0.12313, 0.124534, 0.125939, 0.127343, 0.128745, 0.130148, 0.131551, 0.132954, 0.133307, 0.134014, 0.135193, 0.136608, 0.140767, 0.144926, 0.147242, 0.148644, 0.150514, 0.152383, 0.154253, 0.15568, 0.157108, 0.158536, 0.159963, 0.163416, 0.165724, 0.167558, 0.169392, 0.171811, 0.174229, 0.176249, 0.178269, 0.18212, 0.18524, 0.18891, 0.190746, 0.193854");
-            values ( \
-              "0.0463998, 0.0467883, 0.0518523, 0.0537167, 0.0569007, 0.0607632, 0.0626598, 0.0643496, 0.0658326, 0.0671088, 0.0681105, 0.0689333, 0.069577, 0.0700419, 0.0697704, 0.0694411, 0.0690538, 0.0686086, 0.0681061, 0.0675459, 0.0669278, 0.066252, 0.065969, 0.0651323, 0.0634692, 0.061105, 0.0524889, 0.0433416, 0.0391166, 0.0364246, 0.033108, 0.0303585, 0.0277945, 0.0259616, 0.024237, 0.0226206, 0.0211124, 0.0177474, 0.0157391, 0.0143955, 0.0131441, 0.0116702, 0.010286, 0.00920624, 0.0082327, 0.00680217, 0.00582178, 0.00483795, 0.00440721, 0.00376511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0919893, 0.105089, 0.113831, 0.117866, 0.126511, 0.134762, 0.143097, 0.151686, 0.156113, 0.17161, 0.185725, 0.198635, 0.214877, 0.229549, 0.237489, 0.258322, 0.273018");
-            values ( \
-              "0.0271684, 0.066986, 0.0847029, 0.0900678, 0.0968489, 0.0983722, 0.0962858, 0.0896677, 0.0838167, 0.0562504, 0.0369844, 0.0243617, 0.0140243, 0.00837537, 0.00631981, 0.0030028, 0.0019034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0928782, 0.101199, 0.107842, 0.113016, 0.116584, 0.12372, 0.131297, 0.143935, 0.147127, 0.151383, 0.158579, 0.172237, 0.178194, 0.184548, 0.1938, 0.204701, 0.222437, 0.236419, 0.246233, 0.258765, 0.268334, 0.278182, 0.291333, 0.303203, 0.319029, 0.332382, 0.350418, 0.374465, 0.421377, 0.476094");
-            values ( \
-              "0.015653, 0.0647423, 0.0856036, 0.0979571, 0.10466, 0.114427, 0.120425, 0.123909, 0.123796, 0.123309, 0.121651, 0.116392, 0.113081, 0.108736, 0.100499, 0.0884299, 0.0678587, 0.0540912, 0.0455254, 0.0361514, 0.0301281, 0.0248383, 0.0190426, 0.0149218, 0.0106922, 0.00804036, 0.00545536, 0.003207, 0.00103524, 0.000262445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0914055, 0.106538, 0.116738, 0.124517, 0.13061, 0.142796, 0.155526, 0.167786, 0.180037, 0.201447, 0.231911, 0.249008, 0.28353, 0.341912, 0.383886, 0.41737, 0.457017, 0.484676, 0.516287, 0.539629, 0.575398, 0.62309, 0.703988, 0.784886");
-            values ( \
-              "0.00356921, 0.0881369, 0.114413, 0.127077, 0.133454, 0.140486, 0.142446, 0.141841, 0.139904, 0.135232, 0.126573, 0.120361, 0.102532, 0.0662183, 0.0448736, 0.0319661, 0.0208252, 0.0152845, 0.0106096, 0.00809098, 0.00531099, 0.00297035, 0.00103928, 0.000358224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.101873, 0.13112, 0.14229, 0.155344, 0.169775, 0.202163, 0.250276, 0.323291, 0.377695, 0.410502, 0.468743, 0.557884, 0.625087, 0.710295, 0.760197, 0.803905, 0.859249, 0.927288, 1.00548, 1.0682, 1.22999, 1.24214");
-            values ( \
-              "0.106373, 0.141176, 0.149234, 0.153293, 0.15436, 0.152262, 0.146539, 0.136451, 0.127193, 0.119894, 0.103117, 0.0742142, 0.0548587, 0.0356136, 0.0271414, 0.0212374, 0.0154397, 0.0102947, 0.00644453, 0.00439229, 0.0016032, 0.0015404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.105611, 0.156086, 0.171421, 0.187066, 0.231341, 0.296582, 0.496428, 0.604193, 0.657928, 0.720183, 0.801081, 1.01852, 1.17627, 1.31224, 1.38567, 1.51634, 1.69691, 1.82759, 1.98939, 2.15118, 2.31298, 2.42462");
-            values ( \
-              "0.137029, 0.158951, 0.160955, 0.161402, 0.159659, 0.155781, 0.14255, 0.134228, 0.12918, 0.122317, 0.111106, 0.0762564, 0.053582, 0.0379827, 0.031277, 0.0217312, 0.0129092, 0.00876581, 0.00538515, 0.00328943, 0.00200058, 0.00167983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.120348, 0.136148, 0.140415, 0.143288, 0.149425, 0.155146, 0.160759, 0.166366, 0.168172, 0.172796, 0.178192, 0.187968, 0.193461, 0.198817, 0.208992, 0.216933, 0.224234, 0.239198, 0.252336, 0.259829");
-            values ( \
-              "0.00116773, 0.0480852, 0.0559963, 0.0601837, 0.0665813, 0.0693122, 0.0694283, 0.066385, 0.0642248, 0.0558121, 0.0436072, 0.0275113, 0.021034, 0.0161405, 0.00962482, 0.00640471, 0.00439697, 0.00207187, 0.00106356, 0.000815765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.120394, 0.141535, 0.15088, 0.153846, 0.156958, 0.159879, 0.165719, 0.168128, 0.172231, 0.176406, 0.184729, 0.189773, 0.194814, 0.204895, 0.213694, 0.219255, 0.226444, 0.231926, 0.237856, 0.245797, 0.24827, 0.253216, 0.263109, 0.270939, 0.279765, 0.291532, 0.315067, 0.346417, 0.385361");
-            values ( \
-              "0.00182545, 0.0744889, 0.0899605, 0.0929552, 0.0953002, 0.0968499, 0.0982943, 0.098302, 0.097666, 0.0961673, 0.0901441, 0.0833375, 0.0747085, 0.0564, 0.0437471, 0.0368198, 0.0292478, 0.0244425, 0.0200372, 0.0152603, 0.0140222, 0.0118044, 0.00832413, 0.00630726, 0.00461338, 0.00302249, 0.00124504, 0.000357348, 7.15489e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.120438, 0.14889, 0.156895, 0.164718, 0.176537, 0.182105, 0.18953, 0.196857, 0.206041, 0.220209, 0.231623, 0.260222, 0.27837, 0.302874, 0.311442, 0.328729, 0.347988, 0.364595, 0.386157, 0.414905, 0.448613");
-            values ( \
-              "0.00646426, 0.102766, 0.114344, 0.120387, 0.123927, 0.123682, 0.122262, 0.120014, 0.116139, 0.107009, 0.0958474, 0.0635221, 0.0465879, 0.0294923, 0.024925, 0.0175705, 0.0117685, 0.00825389, 0.0051871, 0.00272671, 0.00140174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.120508, 0.15009, 0.158145, 0.163953, 0.175568, 0.188866, 0.201133, 0.213375, 0.234551, 0.246912, 0.263395, 0.276138, 0.290701, 0.30021, 0.312309, 0.354873, 0.379478, 0.394593, 0.424246, 0.442637, 0.455117, 0.480076, 0.494529, 0.510688, 0.531945, 0.561377, 0.598356, 0.647661, 0.728559, 0.809457");
-            values ( \
-              "0.0026283, 0.114626, 0.127534, 0.133527, 0.140275, 0.142468, 0.141815, 0.139914, 0.135263, 0.13201, 0.127158, 0.122771, 0.11672, 0.111999, 0.10519, 0.078282, 0.063828, 0.0557588, 0.0418491, 0.0347501, 0.0305308, 0.023293, 0.0198678, 0.0165837, 0.0130453, 0.0092428, 0.00598366, 0.00330811, 0.00113554, 0.000414741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.139012, 0.188367, 0.203284, 0.219206, 0.235192, 0.283306, 0.356321, 0.382389, 0.410742, 0.443535, 0.501777, 0.590918, 0.658123, 0.705904, 0.743328, 0.793227, 0.83694, 0.892291, 0.960327, 0.991478, 1.03851, 1.10123, 1.18212, 1.26302, 1.34392, 1.50572");
-            values ( \
-              "0.138046, 0.153326, 0.154328, 0.153756, 0.152223, 0.146502, 0.136448, 0.132333, 0.127187, 0.119894, 0.103114, 0.074215, 0.0548564, 0.0432811, 0.0356149, 0.0271406, 0.0212359, 0.0154377, 0.0102957, 0.00855171, 0.00644573, 0.00439133, 0.00265666, 0.00160215, 0.000965424, 0.000349653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.138896, 0.189122, 0.204608, 0.220174, 0.296546, 0.476883, 0.610359, 0.690962, 0.753217, 0.834115, 1.0833, 1.20931, 1.30856, 1.4187, 1.56529, 1.66083, 1.81084, 2.02243, 2.18422, 2.42692, 2.46675");
-            values ( \
-              "0.139353, 0.158942, 0.161018, 0.161363, 0.157735, 0.146161, 0.136401, 0.129222, 0.122274, 0.111149, 0.071359, 0.0535405, 0.0417988, 0.0312379, 0.0207868, 0.0158047, 0.0101729, 0.00541786, 0.00332174, 0.0015651, 0.00146483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.196862, 0.212349, 0.218877, 0.221186, 0.225804, 0.227442, 0.230445, 0.233229, 0.238886, 0.244543, 0.2478, 0.250976, 0.257329, 0.264214, 0.269782, 0.277252, 0.282553, 0.286167, 0.292741, 0.300254, 0.305786, 0.314636, 0.326435, 0.347344, 0.372423");
-            values ( \
-              "6.04794e-05, 0.0421625, 0.0550932, 0.0585635, 0.0640905, 0.065461, 0.0674891, 0.068577, 0.0689541, 0.0660764, 0.0618369, 0.0557231, 0.0414891, 0.0302185, 0.0230313, 0.015954, 0.0121875, 0.0101497, 0.00725083, 0.00492048, 0.00371968, 0.00238364, 0.00130908, 0.000438002, 0.000120284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.202122, 0.207281, 0.210287, 0.217091, 0.222991, 0.229131, 0.237888, 0.246218, 0.254549, 0.262875, 0.265307, 0.268086, 0.272983, 0.282776, 0.292471, 0.30015, 0.306335, 0.313207, 0.322369, 0.326404, 0.331229, 0.337663, 0.348126, 0.352669, 0.358971, 0.367374, 0.384179, 0.41455, 0.450772");
-            values ( \
-              "0.0259391, 0.0365865, 0.046469, 0.0658903, 0.0788641, 0.0883351, 0.0958766, 0.0977791, 0.0959497, 0.0899854, 0.0870102, 0.0830214, 0.0746413, 0.0568831, 0.0429362, 0.0337405, 0.0276707, 0.0220397, 0.0161167, 0.0140366, 0.0118754, 0.00947787, 0.00653216, 0.00556495, 0.00445211, 0.00329907, 0.0017853, 0.000538739, 0.000122208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.193759, 0.235399, 0.242886, 0.254624, 0.260636, 0.270245, 0.278757, 0.295438, 0.357724, 0.380211, 0.403781, 0.441617, 0.462085, 0.504778, 0.506446");
-            values ( \
-              "0.0053667, 0.113395, 0.119523, 0.123508, 0.123402, 0.121568, 0.11862, 0.109408, 0.0457979, 0.0299326, 0.0186663, 0.00837407, 0.0053683, 0.00202714, 0.00199398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.206772, 0.222627, 0.235954, 0.241821, 0.253554, 0.266841, 0.279138, 0.291371, 0.316674, 0.343252, 0.370119, 0.39608, 0.453407, 0.496034, 0.52795, 0.572831, 0.606742, 0.637786, 0.695697, 0.746015, 0.799435");
-            values ( \
-              "0.0498147, 0.0996745, 0.125955, 0.132471, 0.139757, 0.142258, 0.141676, 0.139871, 0.134196, 0.126568, 0.1161, 0.101746, 0.0661699, 0.0445503, 0.0322401, 0.0198412, 0.0135312, 0.00943094, 0.00477774, 0.0025819, 0.00147168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.216992, 0.26645, 0.280543, 0.3133, 0.361418, 0.434424, 0.488997, 0.579902, 0.736264, 0.821434, 0.91506, 0.970464, 1.03847, 1.17926, 1.29744");
-            values ( \
-              "0.138026, 0.153068, 0.154245, 0.152235, 0.146525, 0.136447, 0.127158, 0.103112, 0.0548513, 0.0356162, 0.021237, 0.0154341, 0.0102935, 0.0043949, 0.00235635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.220183, 0.297899, 0.313396, 0.374099, 0.554781, 0.688251, 0.768856, 0.83111, 0.912008, 1.1612, 1.28721, 1.38646, 1.49658, 1.6432, 1.73877, 1.88877, 2.01943, 2.10032, 2.26212, 2.50481, 2.59159");
-            values ( \
-              "0.157997, 0.161306, 0.160958, 0.157769, 0.146174, 0.136415, 0.129235, 0.12226, 0.111161, 0.0713495, 0.0535317, 0.0417919, 0.0312331, 0.0207839, 0.0158008, 0.0101701, 0.0068836, 0.00541919, 0.00332276, 0.00156443, 0.00134615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.363509, 0.371733, 0.392744, 0.399924, 0.40637, 0.41252, 0.418672, 0.42482, 0.435009, 0.445565, 0.462178, 0.473138, 0.493229, 0.495958");
-            values ( \
-              "0.00692288, 0.0154411, 0.0500673, 0.0582884, 0.0623195, 0.0641537, 0.0623688, 0.0553151, 0.0353887, 0.021546, 0.00950331, 0.00545215, 0.00200262, 0.00182636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.36195, 0.372863, 0.390386, 0.401995, 0.411636, 0.420541, 0.429286, 0.438257, 0.461113, 0.472426, 0.485849, 0.501678, 0.508269, 0.519591, 0.538607, 0.552226, 0.560314");
-            values ( \
-              "0.00867531, 0.0201421, 0.059125, 0.0787444, 0.0885906, 0.0923916, 0.0922663, 0.0873185, 0.052068, 0.0371119, 0.0241571, 0.0141625, 0.0111081, 0.00751237, 0.00387925, 0.00238686, 0.00192816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.363798, 0.376019, 0.396686, 0.407942, 0.416861, 0.431339, 0.445415, 0.459468, 0.46732, 0.53349, 0.556101, 0.582938, 0.606453, 0.638254, 0.662622, 0.675871");
-            values ( \
-              "0.0130302, 0.0296889, 0.082292, 0.102026, 0.11173, 0.119043, 0.118976, 0.114797, 0.110736, 0.0457022, 0.0300107, 0.0175593, 0.0107395, 0.0054348, 0.00317066, 0.00256008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.375395, 0.387125, 0.399773, 0.406954, 0.415304, 0.43005, 0.441426, 0.45408, 0.466352, 0.486715, 0.518561, 0.536879, 0.547271, 0.568057, 0.619075, 0.655598, 0.680263, 0.699974, 0.726256, 0.756699, 0.774814, 0.810456, 0.851916, 0.885421, 0.952429, 1.03333, 1.11422");
-            values ( \
-              "0.052835, 0.0654774, 0.0977704, 0.111812, 0.123395, 0.135418, 0.138744, 0.13963, 0.138346, 0.134708, 0.126105, 0.119689, 0.115064, 0.103815, 0.0718442, 0.0519391, 0.0408475, 0.0334027, 0.0252355, 0.0180459, 0.0147091, 0.00972154, 0.00598838, 0.00401417, 0.00172745, 0.000599613, 0.000209393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.374355, 0.396773, 0.415599, 0.429475, 0.44095, 0.456471, 0.472556, 0.505404, 0.559051, 0.628021, 0.663951, 0.697035, 0.755277, 0.84444, 0.911606, 0.996891, 1.04686, 1.09048, 1.14573, 1.21383, 1.29214, 1.35498, 1.51677, 1.55485");
-            values ( \
-              "0.0330815, 0.0952485, 0.13104, 0.144223, 0.149443, 0.152249, 0.152399, 0.149655, 0.143494, 0.133577, 0.127221, 0.119873, 0.103111, 0.0742075, 0.0548673, 0.0356037, 0.027128, 0.0212377, 0.01545, 0.0102934, 0.00643808, 0.00438951, 0.0016041, 0.00140663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.390491, 0.425348, 0.439994, 0.446138, 0.454331, 0.464654, 0.484037, 0.525622, 0.55846, 0.681, 0.75649, 0.835215, 0.890946, 0.971844, 1.00705, 1.06705, 1.13873, 1.33918, 1.40692, 1.48782, 1.56118, 1.63184, 1.69641, 1.80364, 1.85631, 1.93721, 1.99859, 2.10917, 2.24944, 2.41124, 2.57303, 2.81573, 3.13932");
-            values ( \
-              "0.138309, 0.145332, 0.154641, 0.156591, 0.158418, 0.159552, 0.160147, 0.158729, 0.157114, 0.149463, 0.144371, 0.138656, 0.134174, 0.126381, 0.122247, 0.114208, 0.103227, 0.0710333, 0.0611253, 0.0504028, 0.0419215, 0.03485, 0.0292918, 0.021735, 0.0186992, 0.0148135, 0.0123684, 0.00891591, 0.00585488, 0.00358489, 0.00218728, 0.00104781, 0.000390497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.719155, 0.732625, 0.737914, 0.755689, 0.772064, 0.78002, 0.78732, 0.794405, 0.801475, 0.812942, 0.82369, 0.831099, 0.841893, 0.85732, 0.87158, 0.883985, 0.893191");
-            values ( \
-              "0.00286548, 0.00868404, 0.0128484, 0.0314224, 0.0462146, 0.0516293, 0.0547317, 0.0552962, 0.050678, 0.0322827, 0.0200086, 0.0142371, 0.0084784, 0.00400552, 0.00204787, 0.00115168, 0.000845839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.719141, 0.737069, 0.738782, 0.745513, 0.7689, 0.773793, 0.783577, 0.78906, 0.795651, 0.80538, 0.815105, 0.824805, 0.836601, 0.843396, 0.852455, 0.858382, 0.869725, 0.87792, 0.885676, 0.896017, 0.903714, 0.912414, 0.924013, 0.947213, 0.978301, 1.01677");
-            values ( \
-              "0.00208524, 0.0159147, 0.0177421, 0.0260348, 0.0574141, 0.0634278, 0.0736049, 0.0779771, 0.0817534, 0.084145, 0.0820392, 0.07238, 0.0539489, 0.044671, 0.0341613, 0.0284847, 0.0197456, 0.0150157, 0.0115444, 0.00806229, 0.0061788, 0.00457003, 0.00303645, 0.00130615, 0.00039191, 8.84885e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.721916, 0.736804, 0.742655, 0.771341, 0.791032, 0.807234, 0.822302, 0.837257, 0.852205, 0.911737, 0.934476, 0.966453, 0.995857, 1.03257, 1.05628");
-            values ( \
-              "0.00606413, 0.0182906, 0.0258051, 0.0711406, 0.0972499, 0.109221, 0.11272, 0.11107, 0.104087, 0.0455591, 0.029894, 0.0157798, 0.00849695, 0.00386287, 0.0023957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.719143, 0.804944, 0.818014, 0.840976, 0.850207, 0.869941, 0.889881, 0.910544, 0.925625, 0.955073, 1.0003, 1.03501, 1.07804, 1.12617, 1.15524, 1.18957, 1.2219, 1.25232, 1.32959, 1.33918");
-            values ( \
-              "0.000206028, 0.122893, 0.130564, 0.135297, 0.134923, 0.132132, 0.127498, 0.120973, 0.114681, 0.098351, 0.0701752, 0.0515096, 0.0336118, 0.0200132, 0.0144383, 0.00970437, 0.00656845, 0.00461995, 0.00181124, 0.0017096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.719035, 0.817316, 0.837729, 0.859067, 0.880471, 0.906919, 0.961558, 1.02488, 1.06471, 1.09705, 1.14038, 1.23074, 1.28752, 1.33805, 1.37646, 1.40605, 1.47039, 1.49889, 1.55589, 1.60327, 1.66695, 1.74785, 1.82875, 1.90965, 1.99054, 2.15234");
-            values ( \
-              "0.00572294, 0.140653, 0.148119, 0.150068, 0.149163, 0.146625, 0.139792, 0.130151, 0.122211, 0.113965, 0.100796, 0.0717461, 0.0555869, 0.043273, 0.0354412, 0.0302147, 0.0210871, 0.0179134, 0.0128213, 0.00967348, 0.00659849, 0.00400449, 0.00242193, 0.00145981, 0.000879924, 0.00031882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.751522, 0.818707, 0.853767, 0.866986, 0.891628, 0.961692, 1.13703, 1.24694, 1.32203, 1.38594, 1.46684, 1.71614, 1.84218, 1.9414, 2.05135, 2.19821, 2.29422, 2.44406, 2.6553, 2.81709, 2.97889, 3.06847");
-            values ( \
-              "0.078972, 0.146238, 0.157507, 0.1585, 0.158651, 0.155233, 0.143956, 0.135983, 0.129347, 0.122253, 0.111139, 0.0713561, 0.0535386, 0.041804, 0.0312608, 0.0207918, 0.0157897, 0.0101718, 0.00540716, 0.0033111, 0.00202183, 0.00175801" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00656165, 0.00657949, 0.00659973, 0.00661774, 0.00663041, 0.00663795", \
-            "0.00747098, 0.00748719, 0.0075089, 0.00753073, 0.00754755, 0.00755817", \
-            "0.00796807, 0.00797738, 0.00799165, 0.00800838, 0.00802458, 0.00803593", \
-            "0.00827231, 0.0082733, 0.00827652, 0.00828313, 0.00829171, 0.00829959", \
-            "0.0084794, 0.00847798, 0.0084761, 0.00847483, 0.00847538, 0.00847817", \
-            "0.00864148, 0.00864124, 0.00864064, 0.00863957, 0.00863838, 0.00863803" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00807333, 0.00808532, 0.00809959, 0.00811162, 0.00811963, 0.00812419", \
-            "0.00799306, 0.00798234, 0.00796838, 0.00795838, 0.0079532, 0.00794912", \
-            "0.00773103, 0.00771512, 0.00769461, 0.00767441, 0.00765708, 0.0076461", \
-            "0.00758333, 0.00757436, 0.00756105, 0.00754637, 0.00753147, 0.00752041", \
-            "0.00775523, 0.00776472, 0.00776819, 0.00776492, 0.00775587, 0.00774687", \
-            "0.00755834, 0.00755952, 0.00756722, 0.00760702, 0.00763093, 0.00763466" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.12231, 0.142031, 0.152726, 0.163597, 0.179735, 0.19317, 0.199591, 0.206005, 0.221931, 0.227142, 0.235066, 0.244756, 0.250228");
-            values ( \
-              "-0.000599892, -0.0145696, -0.0302449, -0.0416613, -0.0546532, -0.0608249, -0.0604505, -0.0548598, -0.0194542, -0.0120471, -0.00547285, -0.00196569, -0.00127256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.124587, 0.135085, 0.139998, 0.141495, 0.147868, 0.159925, 0.174081, 0.1854, 0.195403, 0.204664, 0.213561, 0.22239, 0.231214, 0.252788, 0.25877, 0.267676, 0.274112, 0.282694, 0.285811");
-            values ( \
-              "-0.00540254, -0.0140319, -0.0195922, -0.0197861, -0.0281875, -0.048171, -0.0657085, -0.0768351, -0.0844565, -0.0894176, -0.0921223, -0.0906847, -0.0805626, -0.0304071, -0.0211667, -0.0118604, -0.00767495, -0.00420586, -0.00360021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.122143, 0.145119, 0.166269, 0.179078, 0.190215, 0.206322, 0.220801, 0.234429, 0.24771, 0.260977, 0.269696, 0.275683, 0.300355, 0.314419, 0.321385, 0.330672, 0.33763, 0.348244, 0.362396, 0.379514");
-            values ( \
-              "-0.0016815, -0.029691, -0.0662427, -0.0841857, -0.0965059, -0.1112, -0.119786, -0.125435, -0.126721, -0.122824, -0.114027, -0.103823, -0.0529122, -0.0323757, -0.0249836, -0.0174179, -0.0132351, -0.00863061, -0.00477786, -0.00257943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.12284, 0.14996, 0.168031, 0.187453, 0.198715, 0.216624, 0.241315, 0.264126, 0.286142, 0.308008, 0.330574, 0.334811, 0.351758, 0.38093, 0.407358, 0.426457, 0.435418, 0.453341, 0.468685, 0.493674, 0.526993, 0.550242");
-            values ( \
-              "-0.00908451, -0.0420992, -0.0747948, -0.103601, -0.116127, -0.132919, -0.147726, -0.156363, -0.159178, -0.158154, -0.148999, -0.146029, -0.127374, -0.0842585, -0.0527141, -0.0363084, -0.0302773, -0.0208199, -0.0150244, -0.00872457, -0.00405305, -0.00270391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.123686, 0.160349, 0.185535, 0.214562, 0.260752, 0.30176, 0.341087, 0.380162, 0.419381, 0.444895, 0.462261, 0.496994, 0.551511, 0.594721, 0.641418, 0.665222, 0.696961, 0.751322, 0.789196, 0.855584, 0.903532");
-            values ( \
-              "-0.0143864, -0.0640738, -0.107325, -0.140234, -0.169708, -0.181333, -0.184785, -0.182465, -0.177674, -0.170408, -0.163567, -0.141722, -0.0955544, -0.065088, -0.0412446, -0.0323415, -0.0231556, -0.0128675, -0.00846869, -0.00391178, -0.00239369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.155268, 0.205107, 0.233037, 0.260633, 0.29415, 0.330644, 0.339726, 0.35789, 0.386494, 0.414683, 0.471061, 0.489383, 0.526025, 0.565939, 0.645195, 0.670931, 0.722403, 0.743069, 0.770624, 0.826936, 0.882985, 0.939475, 0.983789, 1.04456, 1.09472, 1.13622, 1.18409, 1.25048, 1.29525, 1.37802, 1.44441, 1.5108, 1.64357, 1.84274");
-            values ( \
-              "-0.113194, -0.134158, -0.159531, -0.176147, -0.188649, -0.195997, -0.197082, -0.198692, -0.199974, -0.200176, -0.198602, -0.197671, -0.195368, -0.192275, -0.183849, -0.180179, -0.170438, -0.165158, -0.156418, -0.133378, -0.108716, -0.0860792, -0.0707172, -0.0531825, -0.0415792, -0.0337526, -0.0263804, -0.0185921, -0.0146608, -0.00938669, -0.00653323, -0.00453252, -0.0021749, -0.000722009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.136549, 0.156161, 0.166863, 0.177738, 0.193879, 0.207316, 0.213739, 0.220154, 0.236081, 0.241291, 0.249216, 0.258907, 0.263963");
-            values ( \
-              "-0.00070548, -0.0145464, -0.0302424, -0.0416612, -0.0546548, -0.060828, -0.0604329, -0.0548658, -0.019453, -0.0120466, -0.00547268, -0.00196557, -0.00132515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.130049, 0.144932, 0.153143, 0.157196, 0.178264, 0.188084, 0.19944, 0.209505, 0.218779, 0.227696, 0.236538, 0.245374, 0.267048, 0.272844, 0.281816, 0.288355, 0.297073, 0.298362");
-            values ( \
-              "-0.00285404, -0.00914858, -0.0180317, -0.0208152, -0.0532771, -0.0651274, -0.0764097, -0.0841928, -0.0892765, -0.0919403, -0.0906136, -0.080454, -0.0302287, -0.0212817, -0.0118757, -0.00762901, -0.00415038, -0.00390406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.146504, 0.163495, 0.174295, 0.19229, 0.204182, 0.220319, 0.23482, 0.24846, 0.261751, 0.275028, 0.279208, 0.283524, 0.289735, 0.314421, 0.328481, 0.335425, 0.344683, 0.35169, 0.362376, 0.376625, 0.396491");
-            values ( \
-              "-0.0271174, -0.0353766, -0.0558918, -0.0826162, -0.0965247, -0.110755, -0.119901, -0.125101, -0.126866, -0.12256, -0.119262, -0.114296, -0.103796, -0.052892, -0.0323715, -0.0250021, -0.0174511, -0.013235, -0.0086051, -0.00474452, -0.00221106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.146238, 0.164086, 0.182215, 0.201658, 0.213132, 0.230855, 0.255579, 0.278356, 0.300376, 0.322244, 0.344812, 0.349046, 0.365984, 0.395237, 0.421508, 0.440696, 0.4497, 0.46771, 0.482935, 0.507749, 0.540835, 0.564779");
-            values ( \
-              "-0.0359469, -0.0418187, -0.0746716, -0.103515, -0.116279, -0.132874, -0.147715, -0.156337, -0.159165, -0.158139, -0.148993, -0.146025, -0.127386, -0.0841612, -0.0528016, -0.0363081, -0.0302505, -0.0207611, -0.0150199, -0.00875594, -0.00409222, -0.00268884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.14604, 0.174745, 0.194343, 0.211047, 0.228945, 0.253401, 0.27514, 0.308337, 0.331809, 0.355489, 0.394667, 0.433835, 0.457702, 0.476664, 0.514588, 0.580853, 0.602994, 0.633427, 0.657456, 0.700428, 0.733896, 0.768893, 0.795728, 0.849399, 0.915787, 0.923856");
-            values ( \
-              "-0.0369578, -0.0632551, -0.098171, -0.120982, -0.139495, -0.158017, -0.169014, -0.179412, -0.182928, -0.184251, -0.18299, -0.177142, -0.170884, -0.163608, -0.139147, -0.0840814, -0.0689375, -0.0516049, -0.0405991, -0.0260455, -0.0181791, -0.012449, -0.00927152, -0.00503275, -0.00232445, -0.00221302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.145741, 0.214005, 0.254613, 0.274704, 0.308139, 0.343264, 0.374845, 0.428706, 0.503548, 0.599857, 0.63975, 0.698252, 0.74352, 0.809908, 0.943653, 1.0016, 1.09139, 1.1582, 1.20476, 1.26917, 1.34936, 1.48214, 1.61492, 1.65109");
-            values ( \
-              "-0.0332758, -0.128098, -0.164666, -0.17622, -0.188481, -0.195871, -0.198959, -0.200282, -0.197741, -0.190414, -0.186345, -0.178093, -0.168674, -0.146938, -0.0899402, -0.0695403, -0.045293, -0.0324065, -0.0255467, -0.0181281, -0.011798, -0.00570045, -0.00272569, -0.00243655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.172405, 0.191888, 0.202625, 0.213507, 0.229655, 0.243096, 0.24952, 0.255937, 0.271866, 0.277077, 0.285003, 0.294696, 0.299986");
-            values ( \
-              "-0.000819575, -0.0144989, -0.0302089, -0.0416338, -0.0546294, -0.0608049, -0.0604241, -0.0548486, -0.0194502, -0.0120459, -0.00547206, -0.00196509, -0.00129532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.17452, 0.18399, 0.189858, 0.192298, 0.218837, 0.234009, 0.24521, 0.252405, 0.263358, 0.272175, 0.281009, 0.302372, 0.308816, 0.31757, 0.323767, 0.33203, 0.342661");
-            values ( \
-              "-0.00566997, -0.0131308, -0.0196796, -0.0207092, -0.059879, -0.0758004, -0.0843924, -0.0884811, -0.0920123, -0.0907265, -0.080477, -0.0308121, -0.0208618, -0.0118042, -0.00776849, -0.00434473, -0.00222067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.171114, 0.19675, 0.216624, 0.240488, 0.256581, 0.271059, 0.284686, 0.297965, 0.31123, 0.320041, 0.350606, 0.364673, 0.380951, 0.412578, 0.41904");
-            values ( \
-              "-0.00253269, -0.0315594, -0.0667975, -0.0964068, -0.111412, -0.119652, -0.1256, -0.126587, -0.122961, -0.113899, -0.0529181, -0.0323739, -0.0174027, -0.00479115, -0.0042799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.169085, 0.19996, 0.218056, 0.237519, 0.257695, 0.280134, 0.291326, 0.314176, 0.33616, 0.358033, 0.379901, 0.384836, 0.390475, 0.401754, 0.431192, 0.457104, 0.476492, 0.485597, 0.503808, 0.518758, 0.543171, 0.575721, 0.606329");
-            values ( \
-              "-0.00351909, -0.0414013, -0.0743516, -0.103578, -0.125065, -0.141825, -0.147815, -0.156056, -0.159331, -0.157894, -0.149413, -0.146029, -0.141055, -0.127428, -0.0839269, -0.0529961, -0.0363023, -0.0301935, -0.0206222, -0.0150061, -0.00882533, -0.00418659, -0.0023473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.16992, 0.210852, 0.235845, 0.264802, 0.288535, 0.310959, 0.351973, 0.36611, 0.391297, 0.430487, 0.469643, 0.493352, 0.512466, 0.550694, 0.616275, 0.638976, 0.676213, 0.699095, 0.725739, 0.761265, 0.784038, 0.818893, 0.865366, 0.931755, 1.06453");
-            values ( \
-              "-0.00592794, -0.0643354, -0.10703, -0.139846, -0.157521, -0.169255, -0.180939, -0.182662, -0.184411, -0.182866, -0.177275, -0.170945, -0.163599, -0.138912, -0.084356, -0.0688331, -0.0481687, -0.0382892, -0.0290937, -0.0198962, -0.0155717, -0.0106441, -0.00632568, -0.00291058, -0.000606092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.201631, 0.241325, 0.264954, 0.285885, 0.311135, 0.34505, 0.381206, 0.390199, 0.408184, 0.436877, 0.465155, 0.521711, 0.539856, 0.576145, 0.616412, 0.695669, 0.721407, 0.772882, 0.793536, 0.821076, 0.877411, 0.933512, 0.990056, 1.03423, 1.09496, 1.14516, 1.18674, 1.2346, 1.30099, 1.34571, 1.42838, 1.49477, 1.56116, 1.62754, 1.76032, 1.8931");
-            values ( \
-              "-0.103077, -0.116758, -0.144013, -0.161367, -0.176226, -0.188797, -0.196029, -0.197085, -0.198693, -0.199969, -0.200181, -0.198588, -0.197673, -0.195387, -0.192275, -0.183847, -0.180179, -0.170433, -0.16515, -0.156435, -0.133366, -0.108696, -0.0860377, -0.0707297, -0.0532014, -0.0415827, -0.033742, -0.0263776, -0.0185872, -0.0146636, -0.00939416, -0.00653587, -0.00453694, -0.00314542, -0.00150743, -0.000720999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.258068, 0.268686, 0.27229, 0.273321, 0.275384, 0.278524, 0.28069, 0.285022, 0.288869, 0.299838, 0.308485, 0.316044, 0.32294, 0.329507, 0.335943, 0.342372, 0.344665, 0.35299, 0.355298, 0.358317, 0.360885, 0.363521, 0.367303, 0.369033, 0.371464, 0.374705, 0.380241");
-            values ( \
-              "-0.00361168, -0.00842121, -0.0113467, -0.0110382, -0.0127293, -0.0155258, -0.0180306, -0.0240496, -0.0296465, -0.0411993, -0.048777, -0.0542685, -0.0581237, -0.0605154, -0.0604896, -0.0546325, -0.0503117, -0.0301062, -0.0251084, -0.0194267, -0.0154262, -0.0120449, -0.00830191, -0.00698715, -0.0054653, -0.00391514, -0.00224297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.258972, 0.268219, 0.274978, 0.275969, 0.277951, 0.285904, 0.29303, 0.29602, 0.302, 0.310212, 0.321567, 0.331581, 0.34085, 0.349757, 0.358593, 0.36806, 0.37187, 0.376949, 0.381828, 0.384241, 0.389067, 0.391811, 0.394923, 0.398668, 0.403874, 0.406043, 0.410379, 0.419053, 0.425207");
-            values ( \
-              "-0.00511351, -0.0109103, -0.0181832, -0.0193481, -0.0199493, -0.0310611, -0.043864, -0.0474276, -0.0560377, -0.0651814, -0.0769294, -0.0840808, -0.0896125, -0.0917946, -0.0908572, -0.0794539, -0.0705689, -0.0572463, -0.045214, -0.0398217, -0.0302898, -0.0257232, -0.0212437, -0.0167779, -0.0118696, -0.0103079, -0.0076423, -0.00417058, -0.0029918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.268133, 0.280537, 0.284346, 0.29948, 0.319337, 0.32648, 0.340765, 0.346136, 0.357009, 0.367434, 0.370641, 0.377054, 0.383891, 0.3971, 0.403328, 0.411895, 0.430792, 0.441074, 0.450728, 0.455149, 0.463991, 0.473515, 0.478615, 0.488814, 0.509214, 0.536283, 0.569528");
-            values ( \
-              "-0.0238379, -0.0301111, -0.0339075, -0.0616222, -0.0892599, -0.0965958, -0.109891, -0.113638, -0.12001, -0.124195, -0.125236, -0.126443, -0.126812, -0.122648, -0.117206, -0.103765, -0.0635301, -0.0454258, -0.032228, -0.0273653, -0.0194907, -0.0133958, -0.0109271, -0.00719613, -0.00296751, -0.000847314, -0.000192638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.268329, 0.287571, 0.305021, 0.324202, 0.353256, 0.377932, 0.400686, 0.422694, 0.444556, 0.46712, 0.471368, 0.488358, 0.517152, 0.544327, 0.563012, 0.589274, 0.605183, 0.630993, 0.665407, 0.683536");
-            values ( \
-              "-0.0273117, -0.044781, -0.0765492, -0.104572, -0.133425, -0.147711, -0.156632, -0.159041, -0.158344, -0.148968, -0.146006, -0.127283, -0.0847183, -0.0523003, -0.0363102, -0.0211023, -0.0150476, -0.00858075, -0.00387189, -0.00286935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.267976, 0.298029, 0.322604, 0.351423, 0.397501, 0.43848, 0.477794, 0.516952, 0.556123, 0.580397, 0.598965, 0.636102, 0.704146, 0.735917, 0.779393, 0.823148, 0.856433, 0.890745, 0.969453, 1.03584, 1.04003");
-            values ( \
-              "-0.0287642, -0.0669555, -0.108373, -0.140639, -0.169751, -0.181272, -0.18468, -0.182608, -0.177526, -0.170784, -0.163573, -0.139804, -0.0833205, -0.0623942, -0.0407269, -0.0259372, -0.0181199, -0.0125016, -0.0051595, -0.00239322, -0.00233382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.292463, 0.335631, 0.376589, 0.397301, 0.431129, 0.476332, 0.495595, 0.534121, 0.585509, 0.626095, 0.722342, 0.781779, 0.821028, 0.866051, 0.932439, 1.06619, 1.12413, 1.21391, 1.2568, 1.32729, 1.3917, 1.47189, 1.53828, 1.60467, 1.73745, 1.79159");
-            values ( \
-              "-0.119371, -0.127889, -0.164851, -0.176288, -0.188944, -0.197177, -0.198713, -0.200252, -0.199496, -0.197574, -0.190532, -0.183885, -0.177934, -0.168781, -0.146826, -0.0898718, -0.0695932, -0.0453447, -0.0366198, -0.0255117, -0.0181623, -0.0118301, -0.00824297, -0.00573137, -0.0027555, -0.00232234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.460103, 0.470688, 0.472607, 0.474527, 0.476875, 0.479224, 0.480804, 0.49019, 0.49234, 0.496638, 0.499651, 0.502241, 0.503179, 0.504117, 0.505056, 0.505994, 0.50703, 0.508065, 0.509101, 0.510137, 0.510784, 0.511431, 0.51539, 0.519501, 0.523145, 0.526789, 0.528498, 0.530207, 0.531916, 0.533624, 0.53529, 0.536956, 0.538622, 0.540288, 0.541951, 0.543614, 0.545277, 0.54723, 0.547809, 0.548677, 0.550702, 0.557925, 0.56053, 0.5624, 0.564946, 0.567005, 0.568035, 0.570094, 0.571123, 0.573885");
-            values ( \
-              "-0.00593595, -0.00877166, -0.00963748, -0.0106692, -0.0122394, -0.0140953, -0.0155055, -0.0265577, -0.0287585, -0.0329168, -0.0356375, -0.0378488, -0.039056, -0.0404337, -0.0419817, -0.0437002, -0.0437083, -0.0438619, -0.044161, -0.0446056, -0.0449622, -0.0453659, -0.0485047, -0.0514724, -0.0538607, -0.0560207, -0.0566283, -0.0571044, -0.0574492, -0.0576625, -0.0577439, -0.0577005, -0.0575322, -0.0572389, -0.0565444, -0.0556147, -0.0544498, -0.0527581, -0.0519192, -0.0502733, -0.0459256, -0.0289912, -0.0237362, -0.0203762, -0.0161156, -0.0133448, -0.0121604, -0.0100298, -0.00908352, -0.00688664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.453918, 0.478579, 0.486116, 0.497518, 0.512756, 0.524805, 0.535266, 0.544849, 0.553987, 0.562999, 0.572003, 0.590788, 0.599189, 0.608841, 0.614919, 0.623022, 0.637925, 0.641138");
-            values ( \
-              "-0.00225838, -0.0179089, -0.0269223, -0.0434802, -0.0609228, -0.0728429, -0.0811922, -0.0869239, -0.0899686, -0.0891823, -0.0793977, -0.035722, -0.0217732, -0.0116796, -0.00776346, -0.00442303, -0.00141409, -0.00122906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.453814, 0.473114, 0.483733, 0.512438, 0.525119, 0.539643, 0.546926, 0.561746, 0.575571, 0.589007, 0.602426, 0.608879, 0.615435, 0.635606, 0.650129, 0.663294, 0.673006, 0.688989, 0.701878, 0.727356, 0.75695");
-            values ( \
-              "-0.00244599, -0.0175255, -0.0286561, -0.0712169, -0.0871155, -0.102081, -0.108197, -0.117906, -0.123642, -0.125652, -0.121751, -0.116203, -0.106612, -0.0644373, -0.0398904, -0.0246552, -0.016911, -0.00894591, -0.0052378, -0.00164812, -0.000421487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.451623, 0.489173, 0.510277, 0.527741, 0.542103, 0.55774, 0.582814, 0.605781, 0.627937, 0.649897, 0.671849, 0.676632, 0.682098, 0.693029, 0.725672, 0.74475, 0.758278, 0.768351, 0.779986, 0.795499, 0.810896, 0.834159, 0.865176, 0.899268");
-            values ( \
-              "-0.000148515, -0.0399674, -0.0741564, -0.099087, -0.115989, -0.13019, -0.146482, -0.154946, -0.158683, -0.157314, -0.149131, -0.145841, -0.141113, -0.128203, -0.0804554, -0.0574571, -0.0444096, -0.036413, -0.0287731, -0.0208059, -0.0149976, -0.0090501, -0.00446442, -0.00227479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.451925, 0.556176, 0.57418, 0.602889, 0.636319, 0.659731, 0.683558, 0.722833, 0.762782, 0.784711, 0.804868, 0.845183, 0.904423, 0.933168, 0.9816, 1.01978, 1.05159, 1.08852, 1.16115, 1.22754, 1.23303");
-            values ( \
-              "-0.000951573, -0.137039, -0.151892, -0.167873, -0.178754, -0.182436, -0.183842, -0.182785, -0.176851, -0.171151, -0.163532, -0.137191, -0.0875811, -0.0677487, -0.0423048, -0.0285828, -0.020383, -0.0136772, -0.00607741, -0.00281313, -0.00272151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.487641, 0.52705, 0.540882, 0.556054, 0.580725, 0.602819, 0.63677, 0.671634, 0.682239, 0.703448, 0.745866, 0.780073, 0.832141, 0.870888, 0.908642, 0.97503, 1.01368, 1.07202, 1.11286, 1.22665, 1.28409, 1.32594, 1.3861, 1.43697, 1.47987, 1.52742, 1.5938, 1.6377, 1.71867, 1.78506, 1.85145, 1.98422, 2.18339");
-            values ( \
-              "-0.0903302, -0.105256, -0.124625, -0.140964, -0.162043, -0.174636, -0.188102, -0.195061, -0.196775, -0.198367, -0.200179, -0.199775, -0.197667, -0.195243, -0.192115, -0.185562, -0.180248, -0.168864, -0.156667, -0.108315, -0.0853851, -0.070903, -0.0534844, -0.0416786, -0.0335964, -0.0262968, -0.0185361, -0.0146805, -0.00949222, -0.00661016, -0.00458325, -0.00219854, -0.000732041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.90276, 0.916285, 0.925147, 0.929041, 0.95526, 0.975657, 0.984108, 0.99197, 0.999571, 1.00716, 1.00867, 1.02094, 1.02767, 1.03488, 1.04314, 1.05257, 1.06466, 1.12846");
-            values ( \
-              "-0.00487195, -0.00663391, -0.0101268, -0.0121688, -0.0319651, -0.0440507, -0.0479691, -0.0508093, -0.0517196, -0.0479711, -0.0460076, -0.0236546, -0.0139137, -0.00731009, -0.00332429, -0.00126054, -0.000369308, -6.42503e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.905883, 0.92101, 0.933492, 0.949805, 0.968037, 0.982008, 0.994031, 1.0049, 1.01511, 1.0251, 1.03648, 1.05164, 1.06086, 1.06595, 1.07744, 1.0872, 1.10021, 1.10777");
-            values ( \
-              "-0.00987348, -0.0114646, -0.0196249, -0.0362774, -0.0523486, -0.0632379, -0.0710865, -0.0771844, -0.0805993, -0.0811802, -0.0713177, -0.0400098, -0.024508, -0.0181968, -0.00883676, -0.00464043, -0.00184752, -0.00127665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.905588, 0.928309, 0.94604, 0.974228, 1.0066, 1.02304, 1.03819, 1.05274, 1.0672, 1.08167, 1.1143, 1.1266, 1.1427, 1.15999, 1.17499, 1.18859");
-            values ( \
-              "-0.00941927, -0.0200185, -0.0370226, -0.0685045, -0.0967159, -0.106611, -0.113767, -0.116563, -0.11475, -0.100574, -0.042395, -0.0276022, -0.0150574, -0.00764125, -0.00412405, -0.00266374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.854953, 0.918122, 0.930675, 0.938802, 0.949639, 0.97633, 0.998077, 1.01777, 1.04529, 1.07005, 1.09348, 1.1165, 1.1395, 1.14705, 1.15511, 1.16604, 1.19943, 1.21622, 1.23844, 1.24719, 1.26469, 1.28101, 1.28979, 1.30737, 1.34251, 1.34353");
-            values ( \
-              "-1e-22, -0.0136242, -0.0242891, -0.0322321, -0.0441545, -0.0767698, -0.0998599, -0.116779, -0.13508, -0.145227, -0.150759, -0.150808, -0.144275, -0.139406, -0.132177, -0.118743, -0.0728434, -0.0541666, -0.0358617, -0.0301345, -0.0210194, -0.0149177, -0.0123711, -0.00844719, -0.0037767, -0.00372198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.917985, 0.949671, 0.981692, 1.01565, 1.03713, 1.06641, 1.10997, 1.15099, 1.19137, 1.20363, 1.21589, 1.24314, 1.26495, 1.27497, 1.295, 1.3831, 1.4083, 1.42495, 1.45407, 1.47951, 1.51343, 1.53719, 1.56355, 1.59869, 1.66508, 1.73147, 1.79786, 1.86424");
-            values ( \
-              "-0.0432669, -0.0475349, -0.0876152, -0.123248, -0.140287, -0.157534, -0.172511, -0.17828, -0.178169, -0.17726, -0.175775, -0.171412, -0.165572, -0.161848, -0.151369, -0.0813613, -0.0647643, -0.0552979, -0.041451, -0.031975, -0.0223398, -0.0173144, -0.0130164, -0.00882508, -0.00409411, -0.00188117, -0.000864406, -0.0003927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.932138, 0.971618, 1.01504, 1.04073, 1.06584, 1.10703, 1.14896, 1.18912, 1.26459, 1.30196, 1.35426, 1.41759, 1.45824, 1.52463, 1.54272, 1.57891, 1.63404, 1.72167, 1.77383, 1.81155, 1.85212, 1.90582, 1.95368, 2.01749, 2.07111, 2.14282, 2.20921, 2.2756, 2.40837, 2.54115, 2.67393");
-            values ( \
-              "-0.0740907, -0.0770022, -0.126808, -0.14851, -0.163958, -0.181324, -0.190342, -0.19467, -0.196574, -0.195973, -0.193378, -0.188178, -0.183581, -0.172725, -0.168628, -0.158063, -0.136078, -0.0981655, -0.0784881, -0.066171, -0.0546681, -0.0420144, -0.0330275, -0.0237289, -0.0178719, -0.0121854, -0.00850383, -0.00590345, -0.0028362, -0.00135608, -0.000645873" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00562367, 0.00563201, 0.00564205, 0.00564874, 0.00565364, 0.00565666", \
-            "0.0061288, 0.0061366, 0.00614664, 0.00615557, 0.00616125, 0.00616491", \
-            "0.00640592, 0.00641181, 0.00642016, 0.00642948, 0.00643589, 0.00643991", \
-            "0.00657487, 0.00657803, 0.0065831, 0.00658968, 0.00659625, 0.00660023", \
-            "0.00667483, 0.00667584, 0.00667774, 0.00668084, 0.0066848, 0.00668853", \
-            "0.00673807, 0.00673833, 0.00673883, 0.00673974, 0.00674128, 0.0067433" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00727224, 0.00728977, 0.0073099, 0.00733058, 0.00734607, 0.00735563", \
-            "0.00772968, 0.00774509, 0.00776589, 0.00778723, 0.00780643, 0.00781894", \
-            "0.00797113, 0.00797947, 0.00799297, 0.00801028, 0.008028, 0.00804138", \
-            "0.00812822, 0.0081274, 0.00812894, 0.00813496, 0.00814487, 0.00815584", \
-            "0.00835128, 0.00834668, 0.00833934, 0.00833101, 0.00832576, 0.0083253", \
-            "0.00864612, 0.00865637, 0.00866262, 0.00866287, 0.00865838, 0.00865208" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.269664, 0.318875, 0.415324, 0.605214, 0.983329, 1.74421", \
-            "0.273314, 0.322757, 0.419278, 0.609531, 0.987795, 1.74837", \
-            "0.283256, 0.332753, 0.429707, 0.61999, 0.998791, 1.75967", \
-            "0.309398, 0.358779, 0.455707, 0.64626, 1.02524, 1.78659", \
-            "0.376117, 0.425475, 0.522184, 0.71196, 1.08993, 1.8515", \
-            "0.514779, 0.573754, 0.682516, 0.878487, 1.25479, 2.0139" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0425264, 0.0610576, 0.0998387, 0.182461, 0.357711, 0.724408", \
-            "0.042492, 0.0610569, 0.0998096, 0.182487, 0.357722, 0.724411", \
-            "0.0425397, 0.0610759, 0.0998256, 0.182519, 0.35769, 0.724412", \
-            "0.0425411, 0.0610568, 0.0998253, 0.182512, 0.357689, 0.724448", \
-            "0.0425625, 0.0611133, 0.0998308, 0.182527, 0.357729, 0.724369", \
-            "0.0439304, 0.0621525, 0.100293, 0.182551, 0.357638, 0.724407" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.236853, 0.279586, 0.360542, 0.512609, 0.805293, 1.376", \
-            "0.24065, 0.283374, 0.364187, 0.51659, 0.808601, 1.3799", \
-            "0.249594, 0.29248, 0.373424, 0.526173, 0.818589, 1.38978", \
-            "0.271282, 0.314347, 0.395622, 0.548642, 0.840849, 1.41247", \
-            "0.318165, 0.364742, 0.448719, 0.602941, 0.895213, 1.46682", \
-            "0.377252, 0.435378, 0.540742, 0.721, 1.02679, 1.59822" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.04148, 0.0581825, 0.087808, 0.143781, 0.256208, 0.494715", \
-            "0.0414755, 0.058282, 0.0879063, 0.143609, 0.25638, 0.494666", \
-            "0.0414771, 0.0582827, 0.0878827, 0.143622, 0.256239, 0.49468", \
-            "0.0414681, 0.058262, 0.0878231, 0.143525, 0.256226, 0.494663", \
-            "0.0416192, 0.0583761, 0.0878877, 0.143538, 0.256238, 0.494684", \
-            "0.042582, 0.0597253, 0.0890837, 0.144172, 0.256256, 0.494703" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.230056, 0.236455, 0.250936, 0.263978, 0.27072, 0.277117, 0.283479, 0.289838, 0.300743, 0.312064, 0.32034, 0.331528, 0.344802, 0.365417, 0.37662");
-            values ( \
-              "0.0176517, 0.0177547, 0.0403917, 0.0554735, 0.0598556, 0.0617136, 0.0606254, 0.0541084, 0.0339433, 0.0200272, 0.0133863, 0.00765321, 0.00393862, 0.00145611, 0.00125105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.261136, 0.269005, 0.285343, 0.297815, 0.305511, 0.312879, 0.31735, 0.326442, 0.335535, 0.340479, 0.361326, 0.377983, 0.39198, 0.40452, 0.422541, 0.44341, 0.461652, 0.468882");
-            values ( \
-              "0.0164766, 0.0245466, 0.0548483, 0.0738885, 0.081864, 0.0867186, 0.0884076, 0.0890333, 0.0853568, 0.0803095, 0.0480398, 0.0288463, 0.0181914, 0.0118794, 0.00635164, 0.00309177, 0.00162922, 0.00137016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.324282, 0.336903, 0.347814, 0.360061, 0.365921, 0.372788, 0.378128, 0.386451, 0.393538, 0.408231, 0.422921, 0.43766, 0.442576, 0.448195, 0.470786, 0.484386, 0.492896, 0.508785, 0.51812, 0.524446, 0.537099, 0.545072, 0.550665, 0.558121, 0.573035, 0.585681, 0.598548, 0.615704, 0.650015, 0.700281, 0.761435");
-            values ( \
-              "0.017394, 0.0356618, 0.056899, 0.0796369, 0.0888539, 0.0978008, 0.103305, 0.109528, 0.112764, 0.114969, 0.112261, 0.104873, 0.100885, 0.0955876, 0.070416, 0.0567373, 0.0490473, 0.0367394, 0.030839, 0.0272919, 0.02124, 0.0180952, 0.0161514, 0.0138607, 0.0101512, 0.00777984, 0.00592808, 0.00410176, 0.00190343, 0.000565645, 0.000116369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.454291, 0.469386, 0.494851, 0.504839, 0.521863, 0.533722, 0.557438, 0.574528, 0.585835, 0.608449, 0.638801, 0.658607, 0.72722, 0.770985, 0.807971, 0.83533, 0.859696, 0.892183, 0.913403, 0.968921, 1.03237, 1.07449");
-            values ( \
-              "0.0445083, 0.0473887, 0.0922381, 0.106624, 0.12366, 0.130128, 0.134872, 0.133627, 0.131854, 0.126601, 0.115825, 0.105563, 0.0637754, 0.0423375, 0.0290124, 0.02158, 0.0164785, 0.0113655, 0.00890265, 0.00463566, 0.00211638, 0.00142596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.713841, 0.788351, 0.805857, 0.839009, 0.881233, 0.938651, 0.991888, 1.04576, 1.2611, 1.35279, 1.44512, 1.51358, 1.65128, 1.75514, 1.79892");
-            values ( \
-              "0.0653142, 0.131878, 0.141329, 0.147498, 0.145753, 0.138941, 0.131021, 0.120292, 0.0555692, 0.034985, 0.0210311, 0.0141735, 0.00622672, 0.00325219, 0.00260332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.20704, 1.31845, 1.34598, 1.38095, 1.41258, 1.48753, 1.6446, 1.74201, 1.81651, 1.97456, 2.19902, 2.36121, 2.49126, 2.57972, 2.69901, 2.87692, 2.97505, 3.11833, 3.2291, 3.45064, 3.54687");
-            values ( \
-              "0.0591851, 0.139723, 0.149605, 0.15418, 0.154482, 0.151521, 0.141853, 0.134607, 0.127841, 0.107219, 0.0713525, 0.0490489, 0.0351615, 0.0277041, 0.0198267, 0.0118239, 0.0088467, 0.00575742, 0.00413125, 0.00210468, 0.0018039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.241556, 0.252949, 0.270709, 0.278249, 0.282554, 0.284979, 0.291374, 0.294459, 0.297718, 0.304091, 0.314967, 0.326315, 0.334599, 0.345782, 0.359057, 0.367904, 0.3797, 0.398739");
-            values ( \
-              "0.00721, 0.0209952, 0.0476496, 0.0554285, 0.0585342, 0.0598113, 0.0616091, 0.0615607, 0.0605328, 0.0540765, 0.0339804, 0.0200195, 0.0133885, 0.00764552, 0.00393074, 0.00255814, 0.00146297, 0.000613277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.277671, 0.286472, 0.299838, 0.31231, 0.322505, 0.327374, 0.331845, 0.340936, 0.350029, 0.354986, 0.37582, 0.392477, 0.406477, 0.419012, 0.437034, 0.457907, 0.476152, 0.484121");
-            values ( \
-              "0.0253305, 0.029598, 0.0549862, 0.0740115, 0.083958, 0.0866267, 0.0884943, 0.0889523, 0.0854362, 0.0802977, 0.0480417, 0.0288467, 0.0181896, 0.0118799, 0.00635164, 0.00309169, 0.00162873, 0.00134331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.34092, 0.351526, 0.368088, 0.37467, 0.386006, 0.392768, 0.406292, 0.412009, 0.419632, 0.422848, 0.429278, 0.437534, 0.452314, 0.456812, 0.461954, 0.487041, 0.497676, 0.504406, 0.517866, 0.527855, 0.538695, 0.553149, 0.561588, 0.57157, 0.582966, 0.598904, 0.605394, 0.618375, 0.644336, 0.690811, 0.745434");
-            values ( \
-              "0.0275424, 0.0355619, 0.0678557, 0.0796534, 0.0962931, 0.103355, 0.112274, 0.113992, 0.114891, 0.114912, 0.114193, 0.112176, 0.104768, 0.101161, 0.0963993, 0.0685755, 0.0579928, 0.0517508, 0.0407078, 0.0338403, 0.027491, 0.0206305, 0.017405, 0.0141932, 0.0112054, 0.00800592, 0.00698473, 0.00529808, 0.00300621, 0.00100578, 0.000261377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.472508, 0.491175, 0.513706, 0.519776, 0.531916, 0.548701, 0.571324, 0.581746, 0.595641, 0.610848, 0.627692, 0.654893, 0.677, 0.731167, 0.764105, 0.78984, 0.811207, 0.827715, 0.858503, 0.871993, 0.893602, 0.924376, 0.964535, 1.01808, 1.10345, 1.20492");
-            values ( \
-              "0.0540779, 0.0594825, 0.0982468, 0.10663, 0.119675, 0.130226, 0.1348, 0.13444, 0.132734, 0.12973, 0.125346, 0.115347, 0.10357, 0.0700554, 0.0523179, 0.0407573, 0.0328149, 0.0275834, 0.019737, 0.0169893, 0.0133119, 0.00933075, 0.00583848, 0.00304614, 0.000994697, 0.000244966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.72809, 0.806527, 0.826157, 0.850298, 0.860965, 0.902884, 0.953509, 1.00674, 1.06067, 1.27533, 1.36818, 1.45999, 1.52807, 1.58803, 1.69586, 1.82478");
-            values ( \
-              "0.0631492, 0.134191, 0.1431, 0.147271, 0.147555, 0.145053, 0.138936, 0.130999, 0.1203, 0.0557334, 0.0348861, 0.0210297, 0.0142035, 0.00995292, 0.00520872, 0.00282863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.23315, 1.34408, 1.39293, 1.42405, 1.50127, 1.64829, 1.76724, 1.85624, 1.93871, 2.22798, 2.38897, 2.55647, 2.68186, 2.82164, 2.93241, 3.17261, 3.49805");
-            values ( \
-              "0.0839199, 0.144459, 0.153886, 0.154505, 0.151588, 0.142592, 0.133719, 0.125149, 0.11465, 0.0691769, 0.0474733, 0.0307002, 0.0216849, 0.014523, 0.0104807, 0.00510904, 0.00192497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.278861, 0.284122, 0.286731, 0.296847, 0.306312, 0.31388, 0.320624, 0.327023, 0.333386, 0.339746, 0.346505, 0.348876, 0.352829, 0.356785, 0.361937, 0.366164, 0.371799, 0.377757, 0.386072, 0.393787, 0.399467, 0.410646, 0.425552, 0.442831");
-            values ( \
-              "0.014702, 0.0148902, 0.0184029, 0.0346727, 0.0474676, 0.0555141, 0.0597597, 0.0617664, 0.060551, 0.0541633, 0.0408605, 0.0367794, 0.0307546, 0.0255437, 0.0200866, 0.0163978, 0.0123515, 0.00917085, 0.0060285, 0.00414827, 0.00309152, 0.00180175, 0.000943974, 0.000451182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.313729, 0.3222, 0.332734, 0.340735, 0.347855, 0.358073, 0.367412, 0.376509, 0.385592, 0.390595, 0.395884, 0.406446, 0.411376, 0.420473, 0.428033, 0.434037, 0.442043, 0.44843, 0.454564, 0.461997, 0.472588, 0.484343, 0.49347, 0.511723, 0.543075, 0.580827");
-            values ( \
-              "0.0282252, 0.0298824, 0.0500184, 0.0638516, 0.0739043, 0.0839601, 0.0884995, 0.0891156, 0.0853819, 0.0802618, 0.0726177, 0.0548589, 0.0480508, 0.0365852, 0.0288491, 0.0237567, 0.0181857, 0.0146516, 0.0118812, 0.00918959, 0.00635125, 0.0042372, 0.00309186, 0.00162687, 0.000506575, 0.000119656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.374862, 0.387514, 0.402162, 0.409642, 0.416553, 0.423368, 0.428678, 0.436993, 0.44408, 0.458771, 0.473458, 0.488196, 0.493123, 0.498755, 0.521276, 0.534958, 0.543516, 0.559383, 0.568582, 0.574807, 0.587257, 0.595522, 0.601329, 0.609072, 0.624558, 0.63653, 0.640605, 0.648755, 0.665056, 0.697658, 0.747571, 0.807894");
-            values ( \
-              "0.0174453, 0.0354573, 0.0641639, 0.0780895, 0.0890386, 0.0979244, 0.103359, 0.109575, 0.112795, 0.114985, 0.112273, 0.104873, 0.100884, 0.0955624, 0.0704644, 0.0567024, 0.0489762, 0.0366971, 0.0308831, 0.0273859, 0.0214024, 0.0181276, 0.0161098, 0.0137429, 0.00994124, 0.00772844, 0.00709187, 0.00596952, 0.00420886, 0.00203699, 0.00061278, 0.000129861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.499477, 0.520304, 0.547532, 0.555791, 0.572309, 0.584676, 0.608159, 0.616188, 0.626389, 0.636793, 0.6576, 0.676016, 0.692565, 0.721988, 0.767388, 0.802007, 0.826432, 0.84563, 0.871228, 0.903469, 0.922619, 0.957551, 0.997558, 1.0296, 1.09367, 1.13813");
-            values ( \
-              "0.019324, 0.0473035, 0.0949137, 0.106592, 0.123249, 0.130122, 0.134842, 0.134564, 0.133512, 0.131834, 0.127093, 0.121352, 0.114514, 0.0982374, 0.0699576, 0.0514247, 0.0405384, 0.0333544, 0.0254564, 0.0178613, 0.0144298, 0.00961781, 0.00603924, 0.00415377, 0.00185249, 0.00123263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.758791, 0.787005, 0.80834, 0.827095, 0.839032, 0.862345, 0.889705, 0.914417, 0.938713, 0.989327, 1.04257, 1.09659, 1.14055, 1.24742, 1.31022, 1.36179, 1.40482, 1.43824, 1.49582, 1.56333, 1.62353, 1.68558, 1.73302, 1.8279, 1.93867, 2.1602");
-            values ( \
-              "0.0592957, 0.0683967, 0.0997562, 0.122, 0.131992, 0.143435, 0.147632, 0.147154, 0.145099, 0.138945, 0.131012, 0.120263, 0.108209, 0.0740463, 0.0559812, 0.0434145, 0.0347333, 0.0289986, 0.0210289, 0.0142506, 0.00997189, 0.00688633, 0.00516614, 0.0028599, 0.00141427, 0.000342475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.25552, 1.29679, 1.34229, 1.36773, 1.39638, 1.432, 1.46327, 1.49357, 1.53778, 1.69497, 1.79241, 1.86668, 1.91956, 2.0253, 2.24957, 2.41212, 2.54096, 2.60744, 2.71797, 2.85144, 2.96221, 3.11464, 3.2254, 3.33617, 3.55771, 4.00079");
-            values ( \
-              "0.0708197, 0.0737696, 0.121542, 0.139216, 0.149674, 0.154219, 0.154495, 0.153635, 0.151542, 0.141854, 0.134605, 0.127859, 0.121929, 0.10716, 0.0713245, 0.0489828, 0.035224, 0.0294857, 0.0216939, 0.0148007, 0.0106622, 0.00677984, 0.00486607, 0.00345946, 0.0017528, 0.000443236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.365905, 0.371593, 0.393759, 0.40133, 0.408073, 0.414473, 0.420837, 0.427198, 0.438025, 0.449391, 0.459237, 0.468209, 0.481242, 0.498086, 0.513695");
-            values ( \
-              "0.0123566, 0.0150168, 0.047521, 0.0554605, 0.0598033, 0.0617179, 0.0605879, 0.0541236, 0.034051, 0.0200714, 0.0123722, 0.00793778, 0.00413515, 0.00181517, 0.00119247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.400361, 0.409615, 0.422805, 0.435274, 0.442974, 0.450338, 0.454809, 0.463901, 0.472993, 0.477942, 0.498785, 0.515442, 0.52944, 0.541978, 0.56, 0.58087, 0.599113, 0.609509");
-            values ( \
-              "0.0234694, 0.0298373, 0.0549028, 0.0739295, 0.081838, 0.0866951, 0.0884318, 0.0890112, 0.0853783, 0.0803041, 0.0480398, 0.0288468, 0.0181902, 0.0118799, 0.006352, 0.00309144, 0.00162933, 0.0012566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.464942, 0.474974, 0.489596, 0.497049, 0.504212, 0.510897, 0.516119, 0.524429, 0.53152, 0.546211, 0.560898, 0.575636, 0.580563, 0.586195, 0.608716, 0.622398, 0.630957, 0.646823, 0.656022, 0.662246, 0.674696, 0.682962, 0.688769, 0.696513, 0.712, 0.728046, 0.736195, 0.752494, 0.785093, 0.834989, 0.895298");
-            values ( \
-              "0.0310575, 0.0356613, 0.0642464, 0.0779753, 0.0893813, 0.0980198, 0.103373, 0.109569, 0.112801, 0.114981, 0.112275, 0.104872, 0.100881, 0.0955644, 0.0704665, 0.056703, 0.0489753, 0.0366976, 0.0308834, 0.0273858, 0.0214029, 0.0181274, 0.0161096, 0.0137428, 0.00994068, 0.0070917, 0.00596967, 0.00420893, 0.00203733, 0.000613082, 0.000130108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.593794, 0.619268, 0.643341, 0.65941, 0.672216, 0.695441, 0.704232, 0.72433, 0.744625, 0.764572, 0.780102, 0.809571, 0.854922, 0.889691, 0.933057, 0.958436, 0.991112, 1.04521, 1.08481, 1.11645, 1.17973, 1.2172");
-            values ( \
-              "0.0381512, 0.067628, 0.106631, 0.122934, 0.130136, 0.134836, 0.134532, 0.131838, 0.127221, 0.120976, 0.114516, 0.0982033, 0.0699662, 0.0513451, 0.0333999, 0.0255394, 0.0178476, 0.00961206, 0.00606609, 0.00418219, 0.00189507, 0.00135587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.852971, 0.894028, 0.909203, 0.926917, 0.949298, 0.976579, 0.983611, 0.991649, 1.00772, 1.02656, 1.07719, 1.13043, 1.18445, 1.22841, 1.33528, 1.39807, 1.44965, 1.49269, 1.52612, 1.58368, 1.6087, 1.65118, 1.71139, 1.77345, 1.8209, 1.91579, 2.02656, 2.13733, 2.2481");
-            values ( \
-              "0.0682599, 0.0965559, 0.115854, 0.132056, 0.143086, 0.147621, 0.147693, 0.147556, 0.146711, 0.145044, 0.138939, 0.131003, 0.120272, 0.108209, 0.074046, 0.0559844, 0.0434149, 0.0347315, 0.0289952, 0.0210289, 0.0182369, 0.0142512, 0.00997213, 0.00688605, 0.00516566, 0.00285927, 0.00141398, 0.000697354, 0.000342413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.35052, 1.396, 1.43069, 1.45651, 1.48482, 1.52164, 1.5523, 1.582, 1.62573, 1.78341, 1.88111, 1.95355, 2.008, 2.11688, 2.30711, 2.43543, 2.53685, 2.62199, 2.68771, 2.80628, 2.94296, 3.05373, 3.14367, 3.30758, 3.41835, 3.63989, 3.86143, 4.19373");
-            values ( \
-              "0.0836983, 0.0856001, 0.121385, 0.139366, 0.149625, 0.154309, 0.154472, 0.153653, 0.151552, 0.14185, 0.134582, 0.12802, 0.121929, 0.106679, 0.0761425, 0.0573716, 0.0447349, 0.0359421, 0.0301213, 0.021675, 0.0146458, 0.0105823, 0.00809777, 0.00494375, 0.00353969, 0.00180025, 0.000914098, 0.000321987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.577265, 0.58785, 0.598771, 0.608249, 0.614801, 0.617841, 0.623912, 0.628967, 0.633937, 0.638071, 0.642108, 0.648736, 0.652924, 0.661306, 0.66972, 0.675695, 0.681295, 0.691195, 0.697028, 0.703476, 0.712075, 0.729271, 0.745962");
-            values ( \
-              "0.00313845, 0.017249, 0.0343922, 0.0474139, 0.0543508, 0.056823, 0.0602753, 0.0615117, 0.0610512, 0.0584911, 0.0530264, 0.0400982, 0.0334321, 0.0226239, 0.0151381, 0.0112379, 0.0085092, 0.00517494, 0.00387175, 0.0028313, 0.00188223, 0.000826163, 0.00044122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.613182, 0.62074, 0.637163, 0.649677, 0.657373, 0.66477, 0.669245, 0.678346, 0.687447, 0.692317, 0.713251, 0.729907, 0.743876, 0.756454, 0.774468, 0.79531, 0.813527, 0.820489");
-            values ( \
-              "0.0183839, 0.0243264, 0.0546781, 0.0737611, 0.0816526, 0.0865561, 0.0883516, 0.0889178, 0.085352, 0.0803699, 0.0480227, 0.0288388, 0.0182063, 0.0118731, 0.00634987, 0.00309497, 0.00163148, 0.00138147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.678855, 0.688936, 0.712088, 0.720678, 0.730194, 0.745645, 0.760324, 0.775625, 0.780333, 0.785223, 0.790417, 0.798311, 0.807747, 0.825853, 0.839189, 0.849269, 0.862114, 0.872166, 0.885568, 0.895952, 0.904363, 0.915577, 0.934108, 0.942652, 0.955172, 0.971866, 1.00525, 1.05692, 1.1196");
-            values ( \
-              "0.0308544, 0.0355223, 0.0796656, 0.0926392, 0.103415, 0.11295, 0.115088, 0.112093, 0.110197, 0.107683, 0.104232, 0.0975061, 0.0874822, 0.0670986, 0.0541642, 0.0454285, 0.0359177, 0.0296856, 0.0228123, 0.0185245, 0.0156137, 0.0123852, 0.00837812, 0.00700028, 0.00537053, 0.00375191, 0.00177975, 0.000506368, 9.75677e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.805973, 0.821323, 0.846881, 0.856887, 0.87396, 0.885784, 0.909433, 0.916968, 0.927014, 0.937902, 0.959678, 0.975194, 0.993674, 1.02301, 1.04822, 1.07352, 1.10285, 1.12744, 1.14694, 1.17295, 1.19037, 1.20438, 1.22307, 1.25843, 1.27461, 1.2992, 1.33198, 1.39755, 1.49206, 1.60283");
-            values ( \
-              "0.0433771, 0.0469914, 0.0920902, 0.106507, 0.123649, 0.130088, 0.13487, 0.134609, 0.133561, 0.131856, 0.12682, 0.122019, 0.114545, 0.0982691, 0.0822555, 0.0670745, 0.051541, 0.0405961, 0.0333001, 0.0252731, 0.0209132, 0.0179153, 0.0145242, 0.00965603, 0.00800979, 0.00601392, 0.00407681, 0.00179892, 0.000501024, 0.000101079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.05284, 1.08136, 1.11388, 1.12107, 1.13919, 1.14668, 1.16166, 1.18577, 1.19796, 1.21421, 1.23887, 1.2895, 1.34273, 1.39664, 1.42015, 1.44109, 1.54757, 1.6116, 1.66225, 1.70393, 1.73612, 1.78289, 1.79597, 1.82215, 1.86422, 1.92411, 1.98502, 2.03145, 2.12432, 2.23509, 2.34586, 2.45663");
-            values ( \
-              "0.0411012, 0.0597959, 0.106466, 0.115213, 0.131806, 0.136482, 0.142883, 0.14722, 0.147543, 0.147028, 0.145043, 0.138945, 0.13101, 0.120294, 0.114186, 0.108093, 0.0740504, 0.0556604, 0.0433516, 0.0349306, 0.0293651, 0.0226422, 0.0210302, 0.0181164, 0.0141899, 0.00994729, 0.00691679, 0.00522144, 0.00292932, 0.00144899, 0.000714561, 0.000351017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.55978, 1.61612, 1.64308, 1.6666, 1.69049, 1.71147, 1.73944, 1.76728, 1.79468, 1.84226, 1.9929, 2.0918, 2.17274, 2.22068, 2.31656, 2.54636, 2.70111, 2.77591, 2.85727, 2.96575, 3.0385, 3.16083, 3.2716, 3.35385, 3.50187, 3.61264, 3.83418, 4.05572, 4.38802");
-            values ( \
-              "0.0665052, 0.0938438, 0.120982, 0.137738, 0.147678, 0.151957, 0.154244, 0.15438, 0.153591, 0.151379, 0.142076, 0.134746, 0.12735, 0.121927, 0.10866, 0.0719868, 0.0504678, 0.0418501, 0.0338279, 0.0251554, 0.0205022, 0.0144234, 0.0104174, 0.00815695, 0.00522888, 0.0037426, 0.00190349, 0.000966047, 0.000342034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.06633, 1.07634, 1.07857, 1.08301, 1.09478, 1.10106, 1.10907, 1.11611, 1.12273, 1.12927, 1.1358, 1.14139, 1.14584, 1.15097, 1.15753, 1.16204, 1.16519, 1.17151, 1.17781, 1.18241, 1.1909, 1.19736, 1.20182, 1.21076, 1.21591, 1.23661, 1.24719, 1.25185");
-            values ( \
-              "0.00329468, 0.01225, 0.0148123, 0.020278, 0.0366856, 0.0444771, 0.0527429, 0.0576017, 0.0598687, 0.0592556, 0.0531975, 0.0426163, 0.034972, 0.0276959, 0.0203721, 0.0164816, 0.0141293, 0.0103211, 0.00755112, 0.00600369, 0.00392396, 0.00287687, 0.00232673, 0.00153153, 0.00121471, 0.000613049, 0.000412819, 0.000360536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.10976, 1.11429, 1.12024, 1.12317, 1.12708, 1.13923, 1.14666, 1.15239, 1.16298, 1.17255, 1.18182, 1.19107, 1.19284, 1.19473, 1.19786, 1.20141, 1.20682, 1.21337, 1.21601, 1.21887, 1.22298, 1.22772, 1.23443, 1.23879, 1.2446, 1.25347, 1.25638, 1.25954, 1.26376, 1.27047, 1.27989, 1.28358, 1.29097, 1.30573, 1.33345");
-            values ( \
-              "0.00958809, 0.0128806, 0.0196978, 0.0240313, 0.0302514, 0.051126, 0.0629845, 0.070753, 0.0815626, 0.0866362, 0.0877891, 0.0844201, 0.0829365, 0.0810728, 0.0772558, 0.07196, 0.0630312, 0.0527173, 0.0490024, 0.0455157, 0.0401035, 0.0348561, 0.0281521, 0.024513, 0.0202696, 0.0150254, 0.0136297, 0.012241, 0.0105968, 0.00841218, 0.00606827, 0.00534807, 0.0041452, 0.00247832, 0.000908827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.19295, 1.20366, 1.22657, 1.2451, 1.26075, 1.27551, 1.29028, 1.30506, 1.3151, 1.35105, 1.37355, 1.39819, 1.41454, 1.43374, 1.45068, 1.48143, 1.50807, 1.54664");
-            values ( \
-              "0.0340328, 0.0353294, 0.0772159, 0.101809, 0.112043, 0.114397, 0.111984, 0.104617, 0.0959557, 0.0574278, 0.0385903, 0.0241031, 0.0173592, 0.0116876, 0.0081774, 0.00425849, 0.00237482, 0.00109009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.32255, 1.34282, 1.36771, 1.37847, 1.39533, 1.4074, 1.43054, 1.43357, 1.4495, 1.45954, 1.47963, 1.50022, 1.51532, 1.54481, 1.59014, 1.62498, 1.64927, 1.66823, 1.69351, 1.72638, 1.74589, 1.78049, 1.81991, 1.85138, 1.91431, 1.9576");
-            values ( \
-              "0.0213784, 0.0470769, 0.0906132, 0.106364, 0.123239, 0.130025, 0.134714, 0.134735, 0.133437, 0.13178, 0.127285, 0.120829, 0.114487, 0.0982073, 0.0699579, 0.0513164, 0.0405106, 0.0334154, 0.0255799, 0.0178407, 0.0143368, 0.00960944, 0.00608098, 0.00419113, 0.00191709, 0.0012839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.57808, 1.60761, 1.62862, 1.64666, 1.65932, 1.68252, 1.70984, 1.71491, 1.73062, 1.75156, 1.77369, 1.8032, 1.84192, 1.86285, 1.90472, 1.92019, 1.94986, 2.06791, 2.13168, 2.18265, 2.22339, 2.25487, 2.30262, 2.31604, 2.34288, 2.38472, 2.44443, 2.47028, 2.52197, 2.62535, 2.73612, 2.84689, 2.95766");
-            values ( \
-              "0.0547208, 0.0688427, 0.0996791, 0.121261, 0.131955, 0.143386, 0.147607, 0.147694, 0.147294, 0.145774, 0.143443, 0.139789, 0.134316, 0.131004, 0.12303, 0.119398, 0.11146, 0.073962, 0.0556411, 0.0433008, 0.035042, 0.0295822, 0.0226823, 0.0210335, 0.0180446, 0.014157, 0.00993065, 0.00851787, 0.0062341, 0.00326899, 0.00161657, 0.000799046, 0.000390906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("2.077, 2.18847, 2.21613, 2.25103, 2.28269, 2.35774, 2.51475, 2.61213, 2.68686, 2.84432, 3.06898, 3.23079, 3.36209, 3.45149, 3.56863, 3.74532, 3.84504, 3.99125, 4.10202, 4.32356, 4.41398");
-            values ( \
-              "0.0589152, 0.139633, 0.14962, 0.154153, 0.154498, 0.151535, 0.141854, 0.13461, 0.12782, 0.107278, 0.071382, 0.0491178, 0.035098, 0.0275796, 0.0198566, 0.0118853, 0.00885123, 0.00570922, 0.00409608, 0.00208654, 0.00180638" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00617721, 0.00619458, 0.00621463, 0.00623249, 0.0062451, 0.00625263", \
-            "0.00690042, 0.0069172, 0.00693884, 0.00696029, 0.00697676, 0.00698713", \
-            "0.00728806, 0.00729956, 0.00731575, 0.00733545, 0.00735175, 0.00736316", \
-            "0.00752901, 0.00753195, 0.0075379, 0.00754665, 0.00755672, 0.00756489", \
-            "0.00769601, 0.00768768, 0.00767782, 0.00767, 0.00766679, 0.0076668", \
-            "0.00782551, 0.0078109, 0.00778887, 0.00776212, 0.00773816, 0.00772254" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00755322, 0.00755706, 0.00756259, 0.00756791, 0.00757171, 0.00757408", \
-            "0.00757273, 0.00755714, 0.00753786, 0.00751914, 0.00750491, 0.00749608", \
-            "0.00745224, 0.00742277, 0.00738744, 0.00734499, 0.00731569, 0.00729355", \
-            "0.00740587, 0.00735806, 0.00729674, 0.00723433, 0.00718314, 0.00714809", \
-            "0.00762204, 0.00752006, 0.00739491, 0.00726732, 0.00716357, 0.00709863", \
-            "0.00804276, 0.00804616, 0.0078109, 0.00752728, 0.00730799, 0.00717062" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.193018, 0.213579, 0.217821, 0.230495, 0.240151, 0.244375, 0.250908, 0.257392, 0.263875, 0.280024, 0.286278, 0.293955, 0.299983, 0.31068, 0.316734");
-            values ( \
-              "-0.000782182, -0.0370651, -0.0424192, -0.0529852, -0.0574959, -0.0588679, -0.0602268, -0.0597765, -0.0539658, -0.0192117, -0.0108308, -0.00506586, -0.00270106, -0.000810135, -0.000539068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.221687, 0.223426, 0.227995, 0.229097, 0.2313, 0.235874, 0.243136, 0.244011, 0.245761, 0.249262, 0.25615, 0.260634, 0.264372, 0.267777, 0.273086, 0.27787, 0.286071, 0.289952, 0.295126, 0.296475, 0.299174, 0.304572, 0.307203, 0.310711, 0.31499, 0.322418, 0.327792, 0.330174, 0.334937, 0.338961, 0.340599, 0.343877, 0.350311, 0.353288, 0.354836, 0.357934, 0.364129, 0.376518, 0.378141");
-            values ( \
-              "-0.0197442, -0.0217993, -0.0267613, -0.0293368, -0.0330777, -0.0426262, -0.0551009, -0.0558124, -0.0582363, -0.0621963, -0.0689703, -0.0723666, -0.0749262, -0.0770098, -0.0801104, -0.0826909, -0.086663, -0.0881689, -0.0896124, -0.0898521, -0.0900877, -0.0892546, -0.0878415, -0.0847112, -0.0783024, -0.0607918, -0.0475101, -0.0420863, -0.0324243, -0.0256334, -0.0232193, -0.0189483, -0.0124657, -0.0102405, -0.00923232, -0.00749588, -0.00488536, -0.00197911, -0.00184366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.257022, 0.308799, 0.329544, 0.351185, 0.359606, 0.368057, 0.38166, 0.395261, 0.402213, 0.410038, 0.428832, 0.439759, 0.449131, 0.459635, 0.47252, 0.480243, 0.495687, 0.52168, 0.552389");
-            values ( \
-              "-0.000861835, -0.0825618, -0.0994158, -0.11417, -0.118498, -0.121742, -0.124234, -0.120759, -0.114925, -0.102942, -0.063724, -0.0447295, -0.0321036, -0.0216726, -0.0130807, -0.00958944, -0.00502722, -0.00153405, -0.000372643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.358704, 0.391714, 0.403051, 0.44051, 0.460901, 0.473542, 0.497417, 0.520176, 0.542597, 0.565004, 0.573472, 0.581614, 0.623754, 0.636667, 0.658733, 0.680764, 0.697657, 0.715086, 0.728415, 0.755073, 0.79472");
-            values ( \
-              "-0.020313, -0.0694086, -0.0835313, -0.117651, -0.132822, -0.14031, -0.150142, -0.154923, -0.154657, -0.147294, -0.141369, -0.133122, -0.0740742, -0.0589338, -0.0386615, -0.0247239, -0.0173003, -0.0119203, -0.00891743, -0.00489909, -0.00215348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.550118, 0.637927, 0.653639, 0.688694, 0.712141, 0.732039, 0.772914, 0.813036, 0.856676, 0.882051, 0.896201, 0.918034, 1.02314, 1.06007, 1.11074, 1.14709, 1.16871, 1.20184, 1.24603, 1.30093");
-            values ( \
-              "-0.041934, -0.122612, -0.135693, -0.15759, -0.167314, -0.173003, -0.179129, -0.179363, -0.17397, -0.167408, -0.162085, -0.150251, -0.0686755, -0.0482693, -0.0288577, -0.0195904, -0.0155317, -0.0108301, -0.00660656, -0.0038734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.931939, 0.993376, 1.04184, 1.06568, 1.10313, 1.15205, 1.17382, 1.19568, 1.22985, 1.29361, 1.33168, 1.38372, 1.46145, 1.49587, 1.5487, 1.5809, 1.64015, 1.74591, 1.81211, 1.84942, 1.91016, 1.97616, 2.01973, 2.103, 2.14869, 2.2336, 2.3185, 2.40341, 2.48832, 2.65814");
-            values ( \
-              "-0.0891183, -0.0977129, -0.137569, -0.153837, -0.172444, -0.186865, -0.190492, -0.19297, -0.195057, -0.195159, -0.193583, -0.19023, -0.182606, -0.177807, -0.167597, -0.158595, -0.135651, -0.0909784, -0.0679875, -0.0571517, -0.042524, -0.0305284, -0.0243763, -0.0157125, -0.0123144, -0.00773821, -0.00484937, -0.0030229, -0.00188879, -0.000733582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.211527, 0.217686, 0.225748, 0.237402, 0.245154, 0.249529, 0.257381, 0.265551, 0.272028, 0.278513, 0.294664, 0.30093, 0.308591, 0.314607, 0.31929");
-            values ( \
-              "-0.0137588, -0.0196061, -0.0337588, -0.0470245, -0.05288, -0.0552431, -0.0583908, -0.060206, -0.0598071, -0.0539421, -0.0192136, -0.0108202, -0.00506884, -0.00270659, -0.00187213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.22704, 0.265879, 0.276981, 0.287303, 0.29967, 0.310639, 0.31968, 0.328689, 0.347497, 0.355891, 0.365559, 0.371672, 0.379822, 0.395028, 0.40325");
-            values ( \
-              "-0.000667168, -0.0648169, -0.0739104, -0.080143, -0.0864237, -0.0898757, -0.0890021, -0.0792806, -0.0356638, -0.0217415, -0.0116564, -0.00773095, -0.00440919, -0.0013598, -0.00109994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.273712, 0.316837, 0.325682, 0.353185, 0.368267, 0.375572, 0.382349, 0.395964, 0.409577, 0.416109, 0.422692, 0.444947, 0.457559, 0.463323, 0.470465, 0.479988, 0.486653, 0.496836, 0.510413, 0.536669, 0.567376");
-            values ( \
-              "-0.00168283, -0.0759055, -0.084898, -0.106136, -0.115575, -0.119054, -0.121649, -0.124099, -0.120723, -0.115338, -0.105949, -0.0602939, -0.0396146, -0.0322417, -0.0247469, -0.0171231, -0.0131763, -0.0087605, -0.00497873, -0.00150246, -0.00035991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.368103, 0.383191, 0.418972, 0.462643, 0.488804, 0.512638, 0.535373, 0.557778, 0.58017, 0.588713, 0.651893, 0.673908, 0.695901, 0.730264, 0.770338, 0.775941");
-            values ( \
-              "-0.0174531, -0.0327057, -0.0846905, -0.123724, -0.140623, -0.150321, -0.155065, -0.154728, -0.14736, -0.141346, -0.0588656, -0.0386503, -0.0247353, -0.0119144, -0.00488634, -0.0044972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.563649, 0.589317, 0.61522, 0.664314, 0.685604, 0.702323, 0.733879, 0.745816, 0.766826, 0.786628, 0.826798, 0.86697, 0.870372, 0.882037, 0.895602, 0.910007, 0.93184, 1.01232, 1.03696, 1.04928, 1.07393, 1.0973, 1.12451, 1.16079, 1.18252, 1.21582, 1.26022, 1.34513, 1.43004, 1.51494");
-            values ( \
-              "-0.0504889, -0.061587, -0.0890151, -0.132625, -0.147766, -0.157071, -0.169624, -0.172755, -0.176789, -0.178849, -0.179257, -0.174581, -0.17392, -0.17131, -0.167445, -0.162051, -0.150232, -0.0855265, -0.0686698, -0.0612264, -0.048247, -0.0381944, -0.0288719, -0.0196148, -0.0155329, -0.0108116, -0.00657852, -0.00236947, -0.00084647, -0.00030166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.939514, 0.989186, 1.05369, 1.08047, 1.11575, 1.16691, 1.20853, 1.2447, 1.30266, 1.35798, 1.39853, 1.47639, 1.50889, 1.53525, 1.56349, 1.60721, 1.74037, 1.79457, 1.83534, 1.8897, 1.93139, 1.96437, 2.00834, 2.08291, 2.10855, 2.1667, 2.23315, 2.31806, 2.40297, 2.48788, 2.65769");
-            values ( \
-              "-0.0768631, -0.0844835, -0.135337, -0.153847, -0.171553, -0.186903, -0.192883, -0.195146, -0.195301, -0.192953, -0.190222, -0.182586, -0.178083, -0.173605, -0.167596, -0.15474, -0.0989756, -0.0785908, -0.0653889, -0.0505416, -0.0411964, -0.034935, -0.0279115, -0.0188542, -0.0164692, -0.0120839, -0.00842817, -0.00527915, -0.00329823, -0.00205538, -0.00079627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.246196, 0.251554, 0.25983, 0.271755, 0.279115, 0.285427, 0.293373, 0.299905, 0.30639, 0.312873, 0.329021, 0.335275, 0.342952, 0.34898, 0.358672");
-            values ( \
-              "-0.014129, -0.0183568, -0.0333556, -0.0470358, -0.0526423, -0.0559949, -0.0588481, -0.060249, -0.0597577, -0.0539881, -0.0192122, -0.0108307, -0.00506602, -0.00270078, -0.000972244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.270791, 0.276907, 0.292199, 0.301906, 0.311642, 0.322026, 0.33432, 0.345361, 0.3544, 0.36341, 0.382219, 0.390615, 0.400283, 0.406393, 0.41454, 0.429641, 0.433125");
-            values ( \
-              "-0.0199609, -0.026881, -0.0553422, -0.0661169, -0.0738844, -0.0801523, -0.0863971, -0.0898615, -0.0890078, -0.0792715, -0.0356613, -0.0217441, -0.0116597, -0.00773607, -0.00440666, -0.00137825, -0.00126564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.307949, 0.351623, 0.359569, 0.387957, 0.403031, 0.410339, 0.41711, 0.430722, 0.444333, 0.450947, 0.459156, 0.477407, 0.489786, 0.501722, 0.508295, 0.517504, 0.527136, 0.534528, 0.549311, 0.576167, 0.607941");
-            values ( \
-              "-0.000628074, -0.0759806, -0.0840598, -0.106157, -0.115591, -0.119111, -0.121658, -0.12414, -0.12072, -0.115258, -0.102829, -0.064678, -0.043295, -0.0282189, -0.0220257, -0.0153698, -0.0104903, -0.00778189, -0.00418334, -0.00122127, -0.000277199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.4031, 0.429292, 0.437968, 0.445655, 0.460218, 0.489996, 0.511395, 0.523301, 0.547166, 0.569873, 0.592278, 0.614671, 0.623203, 0.631314, 0.673399, 0.686384, 0.708405, 0.718384, 0.730403, 0.747303, 0.764759, 0.778112, 0.804818, 0.854334, 0.912796");
-            values ( \
-              "-0.00217936, -0.0507994, -0.0647324, -0.0755753, -0.0914034, -0.117877, -0.133664, -0.140532, -0.15038, -0.155, -0.154783, -0.147305, -0.14136, -0.13311, -0.0741035, -0.0588761, -0.0386526, -0.0316621, -0.0247344, -0.0173043, -0.0119159, -0.00890963, -0.00488866, -0.00143338, -0.000310373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.60354, 0.626095, 0.641977, 0.700238, 0.721823, 0.737983, 0.769553, 0.781401, 0.802384, 0.82218, 0.862332, 0.902486, 0.905944, 0.917798, 0.931245, 0.945525, 0.967359, 1.04784, 1.07247, 1.10943, 1.13282, 1.16004, 1.19634, 1.21803, 1.25128, 1.29561, 1.38052, 1.46543, 1.55033");
-            values ( \
-              "-0.0634353, -0.0641353, -0.0812741, -0.133412, -0.148545, -0.157454, -0.169862, -0.172958, -0.176916, -0.178963, -0.179303, -0.174622, -0.173939, -0.171273, -0.167423, -0.162066, -0.15024, -0.0855235, -0.0686721, -0.0482545, -0.0381952, -0.0288675, -0.0196071, -0.0155327, -0.0108178, -0.00658766, -0.00237283, -0.000847627, -0.000302109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.971525, 1.01129, 1.11563, 1.14932, 1.20196, 1.22048, 1.23914, 1.27985, 1.34065, 1.38748, 1.43369, 1.51148, 1.54492, 1.59866, 1.63478, 1.69048, 1.77835, 1.83074, 1.8686, 1.90929, 1.96313, 2.01106, 2.07497, 2.15275, 2.2007, 2.28561, 2.37051, 2.45542, 2.54033, 2.71015");
-            values ( \
-              "-0.0750139, -0.0750401, -0.153767, -0.170827, -0.186879, -0.190021, -0.192339, -0.195086, -0.195238, -0.193271, -0.190223, -0.182597, -0.177948, -0.167597, -0.157321, -0.135483, -0.0978509, -0.0782491, -0.0659742, -0.0545094, -0.0419063, -0.0329552, -0.0236818, -0.0157185, -0.0121596, -0.00764869, -0.00478404, -0.00299074, -0.00185995, -0.000719155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.329234, 0.342677, 0.351173, 0.362097, 0.365556, 0.37454, 0.382956, 0.389437, 0.395921, 0.412072, 0.418332, 0.426002, 0.432025, 0.44241, 0.448547");
-            values ( \
-              "-0.00965263, -0.0331147, -0.0437035, -0.0526141, -0.0545504, -0.0583196, -0.0601997, -0.059808, -0.0539291, -0.019211, -0.0108254, -0.0050665, -0.00270341, -0.000846457, -0.000554011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.35435, 0.360115, 0.376241, 0.38902, 0.399996, 0.410086, 0.419575, 0.42867, 0.437706, 0.446715, 0.465522, 0.473921, 0.483588, 0.489693, 0.497834, 0.512537, 0.513783");
-            values ( \
-              "-0.0202106, -0.0269004, -0.056416, -0.0697674, -0.0772889, -0.0828884, -0.0873046, -0.0899543, -0.0889514, -0.0793464, -0.0356674, -0.0217443, -0.0116605, -0.00773986, -0.00440801, -0.00143347, -0.00136131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.408332, 0.421868, 0.423364, 0.425306, 0.42919, 0.43225, 0.434486, 0.435605, 0.438497, 0.44139, 0.447653, 0.458321, 0.467493, 0.471863, 0.47769, 0.483517, 0.486924, 0.500994, 0.504395, 0.507796, 0.511197, 0.514599, 0.518, 0.521401, 0.524802, 0.528203, 0.529875, 0.531169, 0.533327, 0.535052, 0.536778, 0.538504, 0.54023, 0.542968, 0.544993, 0.557141, 0.565629, 0.569945, 0.574261, 0.576419, 0.57998, 0.585314, 0.585969, 0.587279, 0.591211, 0.593706, 0.5962, 0.598695, 0.601189, 0.604915");
-            values ( \
-              "-0.0535397, -0.0573141, -0.0599728, -0.0629953, -0.0685975, -0.0725929, -0.0752825, -0.0765565, -0.0795748, -0.0823941, -0.0878155, -0.0962313, -0.103285, -0.106395, -0.110245, -0.113858, -0.115861, -0.123201, -0.123224, -0.123151, -0.122982, -0.122717, -0.122356, -0.121899, -0.121345, -0.120696, -0.119618, -0.118598, -0.116421, -0.114435, -0.112266, -0.109915, -0.107381, -0.102912, -0.0988621, -0.0730071, -0.0561657, -0.0488589, -0.0422871, -0.0392505, -0.0346213, -0.0282097, -0.0274522, -0.0261803, -0.0226343, -0.0206267, -0.0187604, -0.0170353, -0.0154514, -0.0133351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.477327, 0.557086, 0.565428, 0.581123, 0.607253, 0.631091, 0.653788, 0.676185, 0.698568, 0.707144, 0.715234, 0.770316, 0.792307, 0.814282, 0.831187, 0.848661, 0.862031, 0.888769, 0.907541");
-            values ( \
-              "-0.00205626, -0.103267, -0.110828, -0.123896, -0.140738, -0.150448, -0.155113, -0.154796, -0.147373, -0.141345, -0.133105, -0.058837, -0.038647, -0.0247413, -0.0173075, -0.0119132, -0.0089043, -0.00488187, -0.003571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.684306, 0.709515, 0.729147, 0.777733, 0.791534, 0.821757, 0.839009, 0.862011, 0.883681, 0.905889, 0.946054, 0.986215, 0.989631, 1.00134, 1.01488, 1.02925, 1.05108, 1.13157, 1.1562, 1.19316, 1.21654, 1.24377, 1.28006, 1.30176, 1.33502, 1.37935, 1.46426, 1.54917, 1.63408");
-            values ( \
-              "-0.0508636, -0.0632816, -0.084434, -0.128199, -0.139055, -0.157405, -0.164917, -0.172144, -0.176469, -0.178923, -0.179265, -0.174615, -0.173933, -0.171307, -0.167444, -0.162059, -0.150237, -0.0855232, -0.0686714, -0.0482534, -0.0381949, -0.0288678, -0.0196078, -0.0155326, -0.010817, -0.00658656, -0.00237244, -0.000847489, -0.00030206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.06207, 1.1154, 1.18487, 1.19986, 1.22985, 1.277, 1.30484, 1.33514, 1.36401, 1.42176, 1.47779, 1.51787, 1.59573, 1.62813, 1.65454, 1.68283, 1.72655, 1.85971, 1.91391, 1.95468, 2.00904, 2.05073, 2.08371, 2.12768, 2.20225, 2.22789, 2.28604, 2.35249, 2.4374, 2.52231, 2.60722, 2.77703");
-            values ( \
-              "-0.0830119, -0.0891566, -0.14406, -0.153817, -0.169387, -0.184831, -0.190016, -0.193451, -0.195033, -0.195304, -0.192934, -0.190195, -0.182603, -0.178097, -0.173616, -0.167595, -0.154741, -0.0989747, -0.0785917, -0.0653899, -0.050541, -0.0411972, -0.0349356, -0.0279107, -0.0188549, -0.0164685, -0.0120844, -0.00842764, -0.00527974, -0.00329767, -0.00205595, -0.000796824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.523336, 0.537901, 0.549322, 0.557113, 0.563276, 0.570416, 0.577613, 0.582844, 0.586623, 0.590945, 0.607002, 0.615604, 0.62096, 0.625321, 0.634043, 0.634639");
-            values ( \
-              "-0.00988741, -0.0340001, -0.0465723, -0.0526467, -0.0557931, -0.0584421, -0.0599744, -0.0598991, -0.0581525, -0.0531361, -0.0188498, -0.0084427, -0.0049613, -0.00316694, -0.00123676, -0.00119218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.544595, 0.580166, 0.593096, 0.603353, 0.615713, 0.626733, 0.635787, 0.644807, 0.66363, 0.672023, 0.681696, 0.687818, 0.695982, 0.711012, 0.716787");
-            values ( \
-              "-0.00225681, -0.0630847, -0.0739861, -0.0801091, -0.0863516, -0.0896883, -0.0889386, -0.0791535, -0.0356327, -0.0217375, -0.0116561, -0.00772808, -0.00439878, -0.00138478, -0.00119653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.601275, 0.613788, 0.623823, 0.629579, 0.636079, 0.644612, 0.672386, 0.687458, 0.694766, 0.701538, 0.715152, 0.728764, 0.735356, 0.743588, 0.7619, 0.77407, 0.786264, 0.7928, 0.801979, 0.811505, 0.818803, 0.8334, 0.860037, 0.891496");
-            values ( \
-              "-0.012895, -0.0405996, -0.0593619, -0.0681961, -0.0762097, -0.0847463, -0.106152, -0.115574, -0.119099, -0.12164, -0.124128, -0.120705, -0.115274, -0.102823, -0.064558, -0.0435153, -0.0281062, -0.0219652, -0.0153464, -0.0105193, -0.00783173, -0.00424781, -0.00125436, -0.000291218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.680924, 0.75172, 0.767519, 0.783096, 0.809232, 0.833067, 0.855772, 0.87817, 0.900554, 0.909123, 0.917216, 0.972295, 0.994291, 1.01627, 1.03317, 1.05064, 1.06401, 1.09074, 1.11");
-            values ( \
-              "-0.00131377, -0.0966481, -0.110845, -0.123824, -0.140686, -0.15046, -0.155078, -0.154817, -0.147346, -0.141352, -0.133107, -0.0588439, -0.0386483, -0.0247406, -0.0173072, -0.0119139, -0.00890553, -0.00488321, -0.0035371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.861338, 0.939271, 0.988858, 1.00875, 1.02372, 1.04717, 1.06707, 1.10796, 1.14808, 1.18821, 1.19172, 1.20373, 1.21708, 1.23125, 1.25309, 1.33358, 1.35819, 1.39513, 1.41854, 1.44579, 1.48212, 1.50376, 1.53692, 1.58113, 1.66603, 1.75094, 1.83585");
-            values ( \
-              "-0.000435354, -0.0917393, -0.135747, -0.149332, -0.15752, -0.167264, -0.172963, -0.179107, -0.179348, -0.174674, -0.173965, -0.171249, -0.16741, -0.162081, -0.150248, -0.0855166, -0.0686747, -0.0482665, -0.0381958, -0.0288597, -0.0195937, -0.0155321, -0.0108281, -0.00660322, -0.00237852, -0.000849662, -0.000302847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.26378, 1.31722, 1.3874, 1.43058, 1.4772, 1.48819, 1.51018, 1.55414, 1.58959, 1.64235, 1.67535, 1.71979, 1.79761, 1.81303, 1.86593, 1.88476, 1.92243, 1.97673, 2.06388, 2.11664, 2.15508, 2.19562, 2.24933, 2.29696, 2.36047, 2.43884, 2.48716, 2.57207, 2.65698, 2.74189, 2.8268, 2.99661");
-            values ( \
-              "-0.0823885, -0.0890824, -0.144478, -0.168857, -0.184424, -0.186841, -0.190502, -0.19462, -0.19555, -0.194679, -0.193143, -0.190195, -0.182595, -0.180566, -0.171764, -0.167595, -0.156806, -0.135416, -0.0980783, -0.0783179, -0.0658566, -0.0544467, -0.0418859, -0.032989, -0.0237562, -0.0157186, -0.0121358, -0.00763276, -0.0047748, -0.00298414, -0.00185662, -0.000718156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.932377, 0.950516, 0.962766, 0.970875, 0.979734, 0.989149, 0.997095, 1.00103, 1.00535, 1.02404, 1.03064, 1.03839, 1.04519, 1.04957");
-            values ( \
-              "-0.00200062, -0.0315423, -0.0448035, -0.0511615, -0.0556277, -0.0584369, -0.0588643, -0.0571933, -0.0524089, -0.0150555, -0.0080694, -0.00372385, -0.00183367, -0.00132802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.969728, 0.994195, 1.00664, 1.01192, 1.02032, 1.02878, 1.04342, 1.05273, 1.06192, 1.07109, 1.08661, 1.0946, 1.09849, 1.10369, 1.11032, 1.11448, 1.12281, 1.13791, 1.1555, 1.17761");
-            values ( \
-              "-0.0038897, -0.0460247, -0.0617031, -0.0664763, -0.0729442, -0.0779249, -0.0851548, -0.0881617, -0.0875855, -0.07837, -0.0424953, -0.0273024, -0.0216155, -0.0155839, -0.0100981, -0.0076329, -0.00427719, -0.0013655, -0.00038738, -0.000115199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.03286, 1.09705, 1.11822, 1.13446, 1.13895, 1.14872, 1.16244, 1.17618, 1.18995, 1.20837, 1.21591, 1.22343, 1.22928, 1.23611, 1.24211, 1.25382, 1.26041, 1.2736, 1.29832, 1.32704");
-            values ( \
-              "-0.00288046, -0.0874069, -0.103571, -0.114006, -0.116327, -0.120408, -0.123161, -0.120028, -0.104717, -0.0666979, -0.0527695, -0.0410644, -0.0333872, -0.0259622, -0.0206698, -0.0130627, -0.0100336, -0.00581808, -0.00191255, -0.000512246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.16468, 1.17036, 1.20416, 1.21627, 1.24954, 1.2562, 1.26952, 1.28256, 1.30655, 1.32934, 1.35181, 1.37427, 1.38259, 1.39081, 1.40043, 1.41965, 1.4331, 1.44584, 1.45542, 1.46799, 1.4782, 1.49017, 1.50704, 1.52435, 1.53757, 1.56402, 1.58898");
-            values ( \
-              "-0.0201013, -0.0248963, -0.0742436, -0.0875425, -0.117128, -0.122529, -0.13201, -0.139715, -0.149711, -0.154516, -0.154386, -0.147049, -0.141319, -0.133072, -0.120427, -0.0921755, -0.07399, -0.0590591, -0.0493969, -0.0386948, -0.0315544, -0.0246764, -0.0172788, -0.0119372, -0.00895196, -0.00494339, -0.00317675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.37585, 1.39846, 1.41668, 1.46941, 1.48248, 1.51048, 1.53459, 1.55382, 1.59472, 1.63483, 1.67496, 1.67846, 1.69046, 1.70382, 1.718, 1.73984, 1.82033, 1.84494, 1.88189, 1.90529, 1.93254, 1.96887, 1.99051, 2.02368, 2.0679, 2.15281, 2.23772, 2.32263");
-            values ( \
-              "-0.063329, -0.0638828, -0.0834282, -0.130792, -0.140777, -0.15751, -0.167459, -0.172952, -0.17909, -0.179347, -0.174665, -0.173962, -0.171252, -0.167411, -0.162079, -0.150246, -0.085517, -0.0686741, -0.048265, -0.0381955, -0.0288603, -0.0195949, -0.0155319, -0.0108268, -0.00660138, -0.00237785, -0.00084942, -0.000302758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.74451, 1.78445, 1.86587, 1.88875, 1.92173, 1.97202, 1.99435, 2.01987, 2.05294, 2.11486, 2.15843, 2.20681, 2.28458, 2.31836, 2.37179, 2.40658, 2.46348, 2.55198, 2.6414, 2.68222, 2.73618, 2.78437, 2.84862, 2.92589, 2.97352, 3.05842, 3.14333, 3.22824, 3.26172");
-            values ( \
-              "-0.0743149, -0.0750295, -0.138211, -0.153732, -0.17058, -0.186184, -0.190127, -0.193046, -0.195065, -0.195181, -0.193368, -0.190235, -0.182585, -0.177901, -0.167597, -0.157757, -0.135541, -0.0976571, -0.066074, -0.0545629, -0.0419235, -0.0329263, -0.0236189, -0.0157187, -0.0121797, -0.00766248, -0.00479174, -0.00299656, -0.00254946" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00571766, 0.00572799, 0.00573986, 0.00575055, 0.00575821, 0.00576284", \
-            "0.00623282, 0.00624094, 0.00625127, 0.00626138, 0.00626923, 0.00627431", \
-            "0.00651212, 0.00651655, 0.00652308, 0.00653051, 0.00653692, 0.00654133", \
-            "0.00668129, 0.00668185, 0.00668351, 0.00668632, 0.0066897, 0.00669257", \
-            "0.00678628, 0.00678306, 0.00678078, 0.00677866, 0.00677776, 0.00677789", \
-            "0.00684892, 0.00684641, 0.00684263, 0.00683798, 0.00683367, 0.00683079" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00724146, 0.00725008, 0.00725983, 0.00726899, 0.00727665, 0.00728129", \
-            "0.007632, 0.00763253, 0.00763389, 0.00763557, 0.00763653, 0.0076381", \
-            "0.00785877, 0.00784747, 0.00783426, 0.0078226, 0.00781426, 0.00780939", \
-            "0.00811812, 0.00809199, 0.00805941, 0.00802774, 0.00800315, 0.00798746", \
-            "0.00839619, 0.00834547, 0.00827831, 0.00821419, 0.00816385, 0.00812969", \
-            "0.00871975, 0.00863633, 0.00851179, 0.00837256, 0.0082637, 0.00819297" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.28844, 0.338547, 0.435969, 0.62601, 0.997696, 1.73669", \
-            "0.292186, 0.342589, 0.440025, 0.630446, 1.00284, 1.74179", \
-            "0.302036, 0.352586, 0.450556, 0.641097, 1.01337, 1.75258", \
-            "0.328281, 0.378588, 0.476369, 0.667214, 1.03988, 1.77966", \
-            "0.394306, 0.44435, 0.541909, 0.732754, 1.1053, 1.84501", \
-            "0.529125, 0.587683, 0.696, 0.894198, 1.26928, 2.00747" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0424837, 0.0611159, 0.0999827, 0.182842, 0.358457, 0.724793", \
-            "0.0424909, 0.061115, 0.0999596, 0.182854, 0.358468, 0.724807", \
-            "0.0425042, 0.061087, 0.0999842, 0.182855, 0.358481, 0.724886", \
-            "0.0424969, 0.0611231, 0.0999498, 0.18285, 0.358434, 0.724916", \
-            "0.0425739, 0.0611412, 0.100023, 0.182861, 0.358441, 0.724884", \
-            "0.0425876, 0.0610042, 0.100005, 0.18291, 0.358466, 0.724937" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.236853, 0.279586, 0.360542, 0.512609, 0.805293, 1.376", \
-            "0.24065, 0.283374, 0.364187, 0.51659, 0.808601, 1.3799", \
-            "0.249594, 0.29248, 0.373424, 0.526173, 0.818589, 1.38978", \
-            "0.271282, 0.314347, 0.395622, 0.548642, 0.840849, 1.41247", \
-            "0.318165, 0.364742, 0.448719, 0.602941, 0.895213, 1.46682", \
-            "0.377252, 0.435378, 0.540742, 0.721, 1.02679, 1.59822" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.04148, 0.0581825, 0.087808, 0.143781, 0.256208, 0.494715", \
-            "0.0414755, 0.058282, 0.0879063, 0.143609, 0.25638, 0.494666", \
-            "0.0414771, 0.0582827, 0.0878827, 0.143622, 0.256239, 0.49468", \
-            "0.0414681, 0.058262, 0.0878231, 0.143525, 0.256226, 0.494663", \
-            "0.0416192, 0.0583761, 0.0878877, 0.143538, 0.256238, 0.494684", \
-            "0.042582, 0.0597253, 0.0890837, 0.144172, 0.256256, 0.494703" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.244375, 0.246848, 0.251735, 0.253228, 0.256214, 0.266105, 0.275489, 0.282991, 0.289707, 0.296106, 0.302485, 0.308862, 0.309321, 0.315677, 0.319614, 0.325756, 0.330909, 0.335102, 0.340692, 0.34495, 0.34855, 0.353349, 0.361252, 0.367139, 0.371118, 0.379077, 0.394995, 0.40135");
-            values ( \
-              "0.00581058, 0.00718106, 0.0120384, 0.0140495, 0.0182916, 0.0349499, 0.0479575, 0.0558836, 0.0598594, 0.0616984, 0.060289, 0.0540156, 0.0527874, 0.0409075, 0.0343743, 0.0259638, 0.0204069, 0.0166976, 0.0126632, 0.0102439, 0.00854336, 0.00668288, 0.00445324, 0.00330277, 0.00271155, 0.00181122, 0.000812844, 0.000655851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.277503, 0.286203, 0.29437, 0.305378, 0.312631, 0.317681, 0.327835, 0.337164, 0.346285, 0.355393, 0.361111, 0.375064, 0.382246, 0.386817, 0.395961, 0.403695, 0.409037, 0.41727, 0.424674, 0.434545, 0.442336, 0.451091, 0.462765, 0.486113, 0.495874");
-            values ( \
-              "0.00877674, 0.018515, 0.0333601, 0.0555384, 0.0677508, 0.074617, 0.0842876, 0.0884138, 0.0886955, 0.0848813, 0.0790334, 0.0570458, 0.0468709, 0.0409727, 0.0308891, 0.0241415, 0.0202228, 0.0152872, 0.0118421, 0.00835339, 0.00633825, 0.00464277, 0.0030433, 0.00126136, 0.00097786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.341425, 0.348843, 0.356257, 0.377525, 0.389251, 0.399087, 0.414391, 0.429055, 0.444571, 0.459334, 0.518311, 0.540785, 0.567535, 0.590872, 0.622412, 0.646528, 0.66039");
-            values ( \
-              "0.0111216, 0.0172904, 0.0299946, 0.0752616, 0.0944081, 0.105142, 0.113436, 0.114723, 0.111259, 0.103546, 0.0456651, 0.030113, 0.0176536, 0.0108251, 0.00549545, 0.00321023, 0.0025579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.461515, 0.537666, 0.554413, 0.572799, 0.580375, 0.593272, 0.606482, 0.635329, 0.645603, 0.659301, 0.681403, 0.737316, 0.764024, 0.793646, 0.811571, 0.823685, 0.847914, 0.863557, 0.881054, 0.901797, 0.930669, 0.965977, 1.01305, 1.09667, 1.19465");
-            values ( \
-              "0.00302863, 0.123079, 0.131983, 0.134505, 0.134433, 0.133033, 0.130961, 0.124021, 0.120765, 0.115486, 0.104342, 0.0702011, 0.0555981, 0.0418178, 0.0349108, 0.0307719, 0.0237064, 0.0199609, 0.0164122, 0.0129523, 0.0092554, 0.00610888, 0.00344219, 0.00114436, 0.000292548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.729677, 0.755309, 0.773309, 0.786064, 0.801942, 0.823679, 0.851684, 0.894723, 0.951698, 1.00517, 1.03248, 1.06258, 1.12131, 1.20532, 1.27416, 1.36208, 1.42956, 1.4574, 1.51809, 1.57868, 1.65654, 1.70685, 1.80275, 1.91342, 1.92287");
-            values ( \
-              "0.0673701, 0.0794427, 0.110025, 0.125724, 0.137883, 0.145813, 0.147736, 0.144931, 0.138304, 0.130622, 0.12574, 0.119273, 0.102679, 0.0756734, 0.0557462, 0.0357803, 0.0247392, 0.0211451, 0.0149296, 0.0103841, 0.006504, 0.00481232, 0.00261155, 0.00130989, 0.00126794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.19292, 1.27541, 1.28744, 1.3115, 1.33732, 1.35516, 1.37419, 1.40447, 1.48334, 1.63344, 1.73183, 1.81411, 1.86144, 1.95609, 2.18692, 2.23996, 2.34604, 2.41628, 2.49337, 2.59614, 2.68156, 2.7295, 2.81029, 2.92097, 2.96865, 3.064, 3.17468, 3.28536, 3.50671, 3.72807, 3.94943");
-            values ( \
-              "0.022464, 0.12314, 0.134122, 0.147669, 0.153571, 0.154888, 0.155253, 0.154719, 0.151074, 0.141853, 0.134646, 0.127196, 0.121877, 0.108864, 0.0720795, 0.064225, 0.0499856, 0.04191, 0.0342558, 0.0258863, 0.0203559, 0.0177666, 0.0140423, 0.0101345, 0.00878536, 0.00661035, 0.00471497, 0.00337502, 0.00171442, 0.000869718, 0.000441929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.258661, 0.261153, 0.26606, 0.270599, 0.283791, 0.289817, 0.297312, 0.304031, 0.310431, 0.316811, 0.32319, 0.330057, 0.333912, 0.340037, 0.345219, 0.349467, 0.355131, 0.362845, 0.367559, 0.37554, 0.385554, 0.393659, 0.40987, 0.41717");
-            values ( \
-              "0.00576151, 0.00716274, 0.0119304, 0.0182664, 0.0399421, 0.0480346, 0.055788, 0.0599336, 0.0616064, 0.0603555, 0.0539361, 0.0407914, 0.0344261, 0.0260241, 0.0204165, 0.016659, 0.0126004, 0.00854916, 0.00673269, 0.00445294, 0.00268688, 0.00179813, 0.000780206, 0.000611866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.289554, 0.303344, 0.325316, 0.332099, 0.34225, 0.351578, 0.360699, 0.369807, 0.375522, 0.396654, 0.410348, 0.423523, 0.439053, 0.456717, 0.477309, 0.500843, 0.507509");
-            values ( \
-              "0.000366123, 0.0230347, 0.0651551, 0.0745571, 0.0843662, 0.0883445, 0.0887649, 0.0848142, 0.0790333, 0.0468764, 0.030915, 0.0201754, 0.0118562, 0.00634553, 0.00302977, 0.00124492, 0.00113186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.374418, 0.392881, 0.396139, 0.398885, 0.401632, 0.404378, 0.407125, 0.41047, 0.413815, 0.417161, 0.420506, 0.423851, 0.427196, 0.429102, 0.431008, 0.432913, 0.434819, 0.43863, 0.441339, 0.443747, 0.446958, 0.450168, 0.452257, 0.456434, 0.458522, 0.465958, 0.474054, 0.475373, 0.47933, 0.483287, 0.495616, 0.507945, 0.512955, 0.519035, 0.524246, 0.529457, 0.532932, 0.53988, 0.55208, 0.556892, 0.558496, 0.564912, 0.571328, 0.58098, 0.586231, 0.588331, 0.594632, 0.603034, 0.611435, 0.624618");
-            values ( \
-              "0.0761673, 0.0773025, 0.0832411, 0.0878242, 0.0920205, 0.0958301, 0.0992531, 0.102438, 0.105254, 0.10762, 0.109672, 0.111412, 0.112839, 0.113512, 0.113943, 0.114269, 0.114403, 0.114527, 0.114499, 0.114393, 0.113982, 0.113362, 0.112804, 0.111505, 0.110764, 0.107381, 0.10338, 0.102527, 0.0991971, 0.0955064, 0.0825654, 0.0690541, 0.0640631, 0.0577721, 0.0528858, 0.048405, 0.0455916, 0.0403121, 0.0319719, 0.0290908, 0.0281879, 0.0249498, 0.0220003, 0.0180889, 0.0160863, 0.0153945, 0.0134777, 0.0113647, 0.00952738, 0.00714798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.487855, 0.50828, 0.523784, 0.535018, 0.541377, 0.554096, 0.569434, 0.587874, 0.595428, 0.608326, 0.621536, 0.647817, 0.660663, 0.674366, 0.696452, 0.752375, 0.779054, 0.808685, 0.826656, 0.838805, 0.863102, 0.878622, 0.895975, 0.916762, 0.94568, 0.981128, 1.02839, 1.11201, 1.21008");
-            values ( \
-              "0.0173456, 0.0505955, 0.0834912, 0.103361, 0.111848, 0.124267, 0.131784, 0.134622, 0.134292, 0.133141, 0.13084, 0.124818, 0.120749, 0.115489, 0.104337, 0.0701943, 0.0556165, 0.0418212, 0.0348986, 0.0307548, 0.0236703, 0.0199575, 0.0164359, 0.0129681, 0.00925871, 0.00610025, 0.0034317, 0.00113854, 0.000292719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.744319, 0.776215, 0.789309, 0.805645, 0.817915, 0.839954, 0.860324, 0.867654, 0.882314, 0.911633, 0.92695, 0.967669, 1.00922, 1.04499, 1.07855, 1.13713, 1.22143, 1.25628, 1.29382, 1.34154, 1.37872, 1.4283, 1.46308, 1.49092, 1.52805, 1.59569, 1.62662, 1.67311, 1.7351, 1.84577, 1.95645, 2.17781");
-            values ( \
-              "0.0551524, 0.0887536, 0.110261, 0.129205, 0.137954, 0.145827, 0.147705, 0.147684, 0.147142, 0.144791, 0.143168, 0.138262, 0.132467, 0.12644, 0.11924, 0.102764, 0.0756665, 0.0651503, 0.0548031, 0.0432678, 0.0356607, 0.0272431, 0.02241, 0.019127, 0.0154356, 0.0103063, 0.00856917, 0.00647613, 0.00443633, 0.00218947, 0.00108377, 0.000263512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.21457, 1.30303, 1.32815, 1.35407, 1.37993, 1.40769, 1.44291, 1.54935, 1.69731, 1.82827, 1.87703, 1.97455, 2.20273, 2.36299, 2.50758, 2.60844, 2.69834, 2.82843, 2.93911, 3.07491, 3.18559, 3.29626, 3.51762, 3.58009");
-            values ( \
-              "0.0367573, 0.133985, 0.148057, 0.153723, 0.155266, 0.155093, 0.153859, 0.148168, 0.138459, 0.127343, 0.121878, 0.108431, 0.0720499, 0.0498206, 0.0343836, 0.0261273, 0.0202899, 0.0139406, 0.0100599, 0.00670649, 0.00478442, 0.0034246, 0.00173964, 0.00155743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.293546, 0.304172, 0.315818, 0.325176, 0.332676, 0.339398, 0.3458, 0.352182, 0.358562, 0.365423, 0.369288, 0.375415, 0.380594, 0.384835, 0.390489, 0.398222, 0.402949, 0.413839, 0.420913, 0.428997, 0.445167, 0.455505");
-            values ( \
-              "0.0029527, 0.0156388, 0.0346889, 0.0479937, 0.0557459, 0.0599251, 0.0615775, 0.0603608, 0.0539153, 0.0407756, 0.0343928, 0.0259906, 0.0204383, 0.0166871, 0.0125849, 0.00857107, 0.00670289, 0.00382865, 0.00271241, 0.00177789, 0.000806793, 0.00054563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.327407, 0.33334, 0.337773, 0.355662, 0.367977, 0.375504, 0.378097, 0.382944, 0.387422, 0.396539, 0.405657, 0.411337, 0.425409, 0.432451, 0.44591, 0.454308, 0.460116, 0.47002, 0.481574, 0.491669, 0.502167, 0.510211, 0.526299, 0.537749");
-            values ( \
-              "0.008774, 0.0141311, 0.020592, 0.0556885, 0.0747318, 0.0821989, 0.0842654, 0.086789, 0.0883698, 0.088582, 0.0848951, 0.0790615, 0.0569131, 0.0469505, 0.0312021, 0.0238701, 0.0196827, 0.0140488, 0.00938836, 0.00655168, 0.00451462, 0.00338455, 0.00187814, 0.00137901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.392462, 0.398947, 0.40791, 0.428553, 0.439324, 0.449802, 0.465105, 0.47977, 0.495287, 0.51005, 0.569029, 0.591501, 0.618253, 0.641592, 0.67313, 0.697245, 0.713131");
-            values ( \
-              "0.011252, 0.0164874, 0.031811, 0.0758703, 0.0935483, 0.105138, 0.113446, 0.11471, 0.111254, 0.10354, 0.0456653, 0.0301109, 0.0176552, 0.0108266, 0.00549345, 0.00321227, 0.00246342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.514865, 0.590147, 0.605647, 0.624225, 0.631641, 0.644539, 0.65775, 0.68403, 0.696873, 0.710572, 0.732674, 0.788588, 0.815295, 0.844918, 0.862846, 0.899197, 0.914832, 0.932319, 0.953065, 0.981941, 1.01726, 1.06435, 1.14797, 1.24599");
-            values ( \
-              "0.00743597, 0.124084, 0.131855, 0.134564, 0.134353, 0.133086, 0.130893, 0.124765, 0.120755, 0.115487, 0.104336, 0.0701971, 0.0556004, 0.0418155, 0.0349082, 0.0237023, 0.0199593, 0.0164126, 0.0129543, 0.00925453, 0.00610723, 0.00344232, 0.00114291, 0.00029331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.781364, 0.811646, 0.825357, 0.841444, 0.85398, 0.875672, 0.896006, 0.903678, 0.919021, 0.948393, 0.979372, 1.00374, 1.0552, 1.08496, 1.11465, 1.1734, 1.25736, 1.29326, 1.33047, 1.37784, 1.4144, 1.46316, 1.49887, 1.52741, 1.56546, 1.63223, 1.66276, 1.70815, 1.76866, 1.87934, 1.99002, 2.21137");
-            values ( \
-              "0.0604714, 0.0875866, 0.110146, 0.128938, 0.137892, 0.145758, 0.147658, 0.147696, 0.147078, 0.144741, 0.141281, 0.138277, 0.130917, 0.125688, 0.119239, 0.102699, 0.0757061, 0.0648944, 0.0546528, 0.0432258, 0.035741, 0.0274265, 0.0224515, 0.0190868, 0.0153141, 0.0102829, 0.00857013, 0.00652099, 0.00450479, 0.00222726, 0.00109893, 0.000265372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.24577, 1.32755, 1.33962, 1.36375, 1.38989, 1.4018, 1.4145, 1.43991, 1.48263, 1.54942, 1.68885, 1.79715, 1.90703, 1.9961, 2.2121, 2.33586, 2.44143, 2.53024, 2.59867, 2.71099, 2.75946, 2.84533, 2.95601, 3.02021, 3.1048, 3.21548, 3.32616, 3.54752, 3.76887, 3.99023");
-            values ( \
-              "0.0239146, 0.123118, 0.134081, 0.147723, 0.153592, 0.154617, 0.155116, 0.155141, 0.153729, 0.150293, 0.141622, 0.133574, 0.122712, 0.110689, 0.0762793, 0.0581076, 0.0449055, 0.0356994, 0.0296957, 0.0217278, 0.0189367, 0.014772, 0.0106596, 0.00881323, 0.00684655, 0.00489439, 0.00349459, 0.00177303, 0.000897112, 0.000453519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.381489, 0.384563, 0.390478, 0.412773, 0.420279, 0.426998, 0.433399, 0.43978, 0.44616, 0.456034, 0.466466, 0.476919, 0.486698, 0.499057, 0.513883, 0.526893, 0.531834");
-            values ( \
-              "0.00577299, 0.0075333, 0.0139848, 0.0478974, 0.055873, 0.0598161, 0.0616962, 0.0602603, 0.0540208, 0.0357546, 0.0220927, 0.0133823, 0.00817185, 0.00433365, 0.00206748, 0.00106248, 0.000902016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.414793, 0.422236, 0.425919, 0.44306, 0.448539, 0.45537, 0.465524, 0.474854, 0.483976, 0.493086, 0.498788, 0.512788, 0.519915, 0.533531, 0.541524, 0.547047, 0.557438, 0.562211, 0.571757, 0.579876, 0.591017, 0.605873, 0.625113");
-            values ( \
-              "0.00865702, 0.0161359, 0.0218065, 0.0554232, 0.0650599, 0.0745373, 0.0843447, 0.0883323, 0.0887505, 0.0848102, 0.0790433, 0.0569905, 0.0469017, 0.0310106, 0.0240355, 0.0200102, 0.0140491, 0.0119089, 0.00850092, 0.00637446, 0.00428586, 0.00249137, 0.00134999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.493392, 0.507763, 0.511765, 0.514708, 0.517927, 0.522271, 0.525007, 0.527743, 0.530479, 0.535511, 0.537188, 0.540543, 0.543898, 0.547253, 0.550608, 0.552469, 0.55433, 0.556191, 0.561774, 0.567111, 0.570587, 0.574064, 0.577975, 0.581885, 0.585605, 0.593044, 0.597417, 0.598723, 0.602641, 0.606559, 0.619023, 0.631488, 0.642255, 0.647321, 0.655764, 0.662518, 0.674814, 0.67915, 0.682618, 0.686087, 0.693024, 0.696492, 0.703707, 0.708979, 0.712493, 0.716007, 0.718749, 0.726975, 0.738527, 0.748467");
-            values ( \
-              "0.0571035, 0.05931, 0.0683087, 0.0744644, 0.0805745, 0.0879813, 0.0921487, 0.0959327, 0.0993334, 0.103956, 0.105315, 0.107698, 0.109755, 0.111485, 0.11289, 0.113529, 0.113961, 0.114287, 0.114536, 0.114387, 0.113947, 0.113259, 0.11214, 0.110803, 0.109151, 0.105611, 0.103384, 0.102536, 0.0992421, 0.0955925, 0.0825172, 0.0688584, 0.0579228, 0.05314, 0.0460185, 0.0408468, 0.0323761, 0.0297363, 0.0277931, 0.0260219, 0.022736, 0.0212213, 0.0183444, 0.0163676, 0.0151601, 0.0140465, 0.0132923, 0.0112152, 0.00881552, 0.00696649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.61432, 0.632081, 0.647542, 0.659446, 0.665138, 0.676521, 0.693224, 0.711619, 0.719187, 0.732086, 0.745295, 0.774143, 0.784413, 0.798107, 0.82023, 0.876133, 0.902877, 0.93249, 0.950359, 0.962432, 0.986578, 1.00237, 1.02004, 1.04073, 1.06955, 1.10469, 1.15154, 1.23511, 1.33297");
-            values ( \
-              "0.0272422, 0.0509075, 0.0837941, 0.104172, 0.112071, 0.123073, 0.13201, 0.134461, 0.134458, 0.132994, 0.130986, 0.12402, 0.120765, 0.115483, 0.104336, 0.0702017, 0.0555779, 0.0418082, 0.034922, 0.0307943, 0.0237472, 0.0199623, 0.0163809, 0.0129349, 0.00924916, 0.00611731, 0.00345686, 0.00115037, 0.000294502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.861873, 0.888874, 0.913066, 0.929934, 0.941742, 0.964207, 0.984456, 0.991499, 1.00558, 1.03376, 1.05419, 1.09152, 1.14497, 1.19903, 1.22245, 1.24347, 1.34991, 1.41329, 1.46418, 1.5061, 1.53855, 1.59747, 1.62316, 1.66518, 1.7249, 1.78585, 1.83236, 1.92537, 2.03605, 2.14673, 2.25741");
-            values ( \
-              "0.0394803, 0.0670751, 0.109789, 0.129428, 0.137801, 0.145887, 0.14768, 0.147691, 0.147152, 0.14497, 0.142801, 0.138276, 0.130603, 0.120083, 0.114107, 0.108069, 0.0741942, 0.0559485, 0.0435213, 0.0350036, 0.0293716, 0.0211208, 0.0182345, 0.0142738, 0.010001, 0.00694049, 0.0052301, 0.00292244, 0.00144106, 0.000708193, 0.000346904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.341, 1.3862, 1.42666, 1.4511, 1.47788, 1.50349, 1.53112, 1.59177, 1.67315, 1.77607, 1.88437, 1.97974, 2.04348, 2.13287, 2.34512, 2.51664, 2.65053, 2.75786, 2.81234, 2.90024, 3.01091, 3.1052, 3.24156, 3.35224, 3.57359, 3.79495, 4.12698");
-            values ( \
-              "0.0477047, 0.0842714, 0.133205, 0.147512, 0.15355, 0.155269, 0.155015, 0.152698, 0.148206, 0.141641, 0.133557, 0.124393, 0.116389, 0.10304, 0.069259, 0.0462748, 0.0326884, 0.0243113, 0.0208721, 0.0162309, 0.0117211, 0.00886547, 0.00588822, 0.00421372, 0.00214205, 0.00108587, 0.000384454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.595288, 0.605388, 0.621534, 0.626509, 0.634036, 0.640774, 0.647187, 0.653577, 0.659964, 0.666789, 0.670717, 0.67686, 0.682016, 0.686211, 0.691804, 0.699659, 0.704457, 0.712361, 0.718248, 0.730183, 0.746096, 0.757845");
-            values ( \
-              "0.00416449, 0.0154688, 0.0412408, 0.0477698, 0.0556076, 0.059786, 0.0615066, 0.0602852, 0.0538968, 0.0408313, 0.0343439, 0.0259397, 0.0204195, 0.0167098, 0.0126438, 0.0085578, 0.00666957, 0.00446812, 0.00329107, 0.00179992, 0.000825661, 0.000521859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.627947, 0.632543, 0.638404, 0.642865, 0.656414, 0.665019, 0.668779, 0.676298, 0.678921, 0.683777, 0.688259, 0.697384, 0.70651, 0.712103, 0.726436, 0.731019, 0.737465, 0.74606, 0.752504, 0.761501, 0.76883, 0.775002, 0.782176, 0.792379, 0.803289, 0.811697, 0.828512, 0.845974");
-            values ( \
-              "0.008672, 0.012239, 0.0203607, 0.0283561, 0.0553796, 0.0693405, 0.07451, 0.0820367, 0.0841075, 0.0866879, 0.0882597, 0.0885332, 0.084843, 0.079145, 0.0566274, 0.0501228, 0.0415564, 0.0319102, 0.0260365, 0.0193432, 0.0150713, 0.0121837, 0.00947592, 0.00658773, 0.00447306, 0.00330925, 0.0017852, 0.001063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.691506, 0.704234, 0.739502, 0.749928, 0.765236, 0.77993, 0.795422, 0.810205, 0.869138, 0.891714, 0.923593, 0.952811, 0.98909, 1.0189");
-            values ( \
-              "0.0107123, 0.0245071, 0.0933541, 0.104923, 0.113359, 0.114646, 0.111243, 0.103543, 0.0457137, 0.0300857, 0.015871, 0.00853954, 0.00388632, 0.00267269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.818097, 0.845163, 0.860707, 0.872222, 0.878333, 0.890555, 0.906403, 0.92495, 0.932402, 0.945302, 0.958512, 0.985649, 0.997632, 1.01133, 1.03345, 1.08935, 1.11609, 1.14571, 1.16358, 1.17565, 1.1998, 1.21559, 1.23326, 1.25395, 1.28277, 1.31791, 1.36477, 1.44834, 1.54624");
-            values ( \
-              "0.00100101, 0.0502647, 0.083423, 0.103535, 0.111845, 0.123745, 0.131843, 0.134537, 0.134357, 0.133067, 0.130902, 0.124512, 0.120755, 0.115489, 0.104329, 0.070197, 0.0555816, 0.041805, 0.0349197, 0.0307952, 0.023744, 0.0199608, 0.0163808, 0.0129368, 0.0092482, 0.00611593, 0.00345747, 0.00114914, 0.000295285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.08628, 1.15506, 1.16414, 1.1819, 1.20477, 1.22923, 1.29237, 1.3583, 1.41249, 1.45636, 1.56324, 1.62525, 1.67716, 1.72061, 1.75443, 1.8108, 1.87769, 1.93776, 2.04762, 2.14289, 2.25356, 2.2822");
-            values ( \
-              "0.0718663, 0.13783, 0.141879, 0.146443, 0.147624, 0.14658, 0.139921, 0.13054, 0.120112, 0.108195, 0.0741873, 0.0563111, 0.0435901, 0.0347823, 0.0289579, 0.0211184, 0.0143413, 0.0100299, 0.00516699, 0.00284539, 0.00140139, 0.00126363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.56021, 1.6003, 1.63161, 1.65702, 1.68241, 1.69111, 1.70852, 1.73548, 1.77374, 1.8151, 1.91875, 1.98922, 2.0929, 2.16274, 2.21414, 2.31694, 2.54247, 2.71182, 2.83146, 2.89903, 3.01144, 3.14566, 3.25634, 3.40542, 3.5161, 3.62678, 3.84813, 4.29084");
-            values ( \
-              "0.0623265, 0.0864607, 0.126625, 0.144516, 0.152389, 0.153591, 0.154892, 0.155227, 0.154122, 0.152165, 0.146214, 0.141626, 0.133973, 0.127612, 0.121878, 0.10763, 0.0716439, 0.048392, 0.0356103, 0.0297177, 0.0217413, 0.0147893, 0.0106506, 0.00683371, 0.00490094, 0.00348379, 0.00176344, 0.000445211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.08111, 1.09282, 1.09414, 1.11092, 1.11639, 1.124, 1.13076, 1.13716, 1.14353, 1.14989, 1.15662, 1.16065, 1.1668, 1.17189, 1.17598, 1.18144, 1.18723, 1.19007, 1.19517, 1.2025, 1.20767, 1.216, 1.23527, 1.24096");
-            values ( \
-              "0.00102507, 0.0122613, 0.0138997, 0.039633, 0.0470365, 0.0552477, 0.0597703, 0.0616629, 0.0605833, 0.0540942, 0.0410685, 0.03438, 0.0259437, 0.0204752, 0.0168273, 0.0127969, 0.00956564, 0.00832749, 0.00636791, 0.00440454, 0.00334828, 0.00219471, 0.000957122, 0.000749109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.12722, 1.13727, 1.15452, 1.16704, 1.17724, 1.18593, 1.19044, 1.19564, 1.2047, 1.20611, 1.21094, 1.22808, 1.24469, 1.25916, 1.27318, 1.29031, 1.30897, 1.32516, 1.33684");
-            values ( \
-              "0.0105485, 0.0215434, 0.0540162, 0.0738378, 0.0839884, 0.0882976, 0.0890819, 0.0889269, 0.0852147, 0.0839879, 0.0786312, 0.051766, 0.0314424, 0.0196331, 0.012133, 0.00660345, 0.00338864, 0.00186873, 0.00135922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.20642, 1.21859, 1.23432, 1.24075, 1.24562, 1.2512, 1.2592, 1.27454, 1.28924, 1.30402, 1.3108, 1.3178, 1.32284, 1.32936, 1.33694, 1.35212, 1.36544, 1.37372, 1.38963, 1.39929, 1.40584, 1.41894, 1.42752, 1.43717, 1.44841, 1.46409, 1.47046, 1.48321, 1.50871, 1.55419, 1.60747");
-            values ( \
-              "0.0203877, 0.0345881, 0.0679946, 0.0803273, 0.088395, 0.0961461, 0.104596, 0.113269, 0.11463, 0.111531, 0.108722, 0.104738, 0.100994, 0.0951539, 0.0872203, 0.0703808, 0.0570954, 0.0496231, 0.0372269, 0.0310658, 0.0273665, 0.0210769, 0.0177064, 0.0145107, 0.0114562, 0.0081903, 0.00714281, 0.0054176, 0.00306412, 0.00102177, 0.000262458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.34704, 1.36688, 1.39431, 1.40591, 1.42249, 1.4412, 1.44848, 1.4746, 1.50345, 1.52735, 1.54963, 1.63249, 1.67943, 1.73166, 1.77101, 1.79938, 1.83311, 1.87808, 1.95102");
-            values ( \
-              "0.0414341, 0.0624647, 0.111408, 0.122819, 0.131727, 0.134395, 0.134336, 0.130913, 0.123996, 0.115505, 0.104274, 0.0554296, 0.0350124, 0.0199731, 0.012797, 0.00919712, 0.00618547, 0.00358191, 0.00149331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.58879, 1.62123, 1.64536, 1.66187, 1.67404, 1.69636, 1.71666, 1.73809, 1.77287, 1.80417, 1.86312, 1.9046, 1.9347, 1.99344, 2.08255, 2.11321, 2.15046, 2.19787, 2.23452, 2.28338, 2.31897, 2.34742, 2.38535, 2.45224, 2.48282, 2.52835, 2.58907, 2.69975, 2.81043, 2.9211, 3.03178");
-            values ( \
-              "0.0209312, 0.0677445, 0.109972, 0.128993, 0.137931, 0.145739, 0.147788, 0.14724, 0.144347, 0.1408, 0.132868, 0.125838, 0.119173, 0.102773, 0.0740595, 0.0648884, 0.054709, 0.0431943, 0.0356979, 0.0274355, 0.0224146, 0.0190645, 0.0153566, 0.0102593, 0.00854703, 0.00649372, 0.00451613, 0.00220141, 0.00111735, 0.000515114, 0.000285321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("2.08103, 2.11804, 2.14516, 2.1578, 2.18306, 2.20881, 2.23502, 2.26289, 2.29854, 2.40405, 2.55369, 2.66379, 2.73175, 2.84243, 3.10123, 3.20619, 3.28793, 3.38796, 3.52874, 3.61288, 3.69053, 3.79836, 3.9212, 4.03187, 4.14255, 4.36391, 4.80662");
-            values ( \
-              "0.0747394, 0.0865122, 0.12259, 0.134131, 0.148215, 0.153709, 0.155319, 0.155045, 0.153862, 0.148219, 0.138341, 0.129255, 0.121889, 0.106435, 0.0655128, 0.0513002, 0.0418117, 0.0321314, 0.021729, 0.0171139, 0.0136426, 0.00992912, 0.00688324, 0.0049097, 0.00351651, 0.00178713, 0.000461334" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00617721, 0.00619458, 0.00621463, 0.00623249, 0.0062451, 0.00625263", \
-            "0.00690042, 0.0069172, 0.00693884, 0.00696029, 0.00697676, 0.00698713", \
-            "0.00728806, 0.00729956, 0.00731575, 0.00733545, 0.00735175, 0.00736316", \
-            "0.00752901, 0.00753195, 0.0075379, 0.00754665, 0.00755672, 0.00756489", \
-            "0.00769601, 0.00768768, 0.00767782, 0.00767, 0.00766679, 0.0076668", \
-            "0.00782551, 0.0078109, 0.00778887, 0.00776212, 0.00773816, 0.00772254" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00755322, 0.00755706, 0.00756259, 0.00756791, 0.00757171, 0.00757408", \
-            "0.00757273, 0.00755714, 0.00753786, 0.00751914, 0.00750491, 0.00749608", \
-            "0.00745224, 0.00742277, 0.00738744, 0.00734499, 0.00731569, 0.00729355", \
-            "0.00740587, 0.00735806, 0.00729674, 0.00723433, 0.00718314, 0.00714809", \
-            "0.00762204, 0.00752006, 0.00739491, 0.00726732, 0.00716357, 0.00709863", \
-            "0.00804276, 0.00804616, 0.0078109, 0.00752728, 0.00730799, 0.00717062" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.193018, 0.213579, 0.217821, 0.230495, 0.240151, 0.244375, 0.250908, 0.257392, 0.263875, 0.280024, 0.286278, 0.293955, 0.299983, 0.31068, 0.316734");
-            values ( \
-              "-0.000782182, -0.0370651, -0.0424192, -0.0529852, -0.0574959, -0.0588679, -0.0602268, -0.0597765, -0.0539658, -0.0192117, -0.0108308, -0.00506586, -0.00270106, -0.000810135, -0.000539068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.221687, 0.223426, 0.227995, 0.229097, 0.2313, 0.235874, 0.243136, 0.244011, 0.245761, 0.249262, 0.25615, 0.260634, 0.264372, 0.267777, 0.273086, 0.27787, 0.286071, 0.289952, 0.295126, 0.296475, 0.299174, 0.304572, 0.307203, 0.310711, 0.31499, 0.322418, 0.327792, 0.330174, 0.334937, 0.338961, 0.340599, 0.343877, 0.350311, 0.353288, 0.354836, 0.357934, 0.364129, 0.376518, 0.378141");
-            values ( \
-              "-0.0197442, -0.0217993, -0.0267613, -0.0293368, -0.0330777, -0.0426262, -0.0551009, -0.0558124, -0.0582363, -0.0621963, -0.0689703, -0.0723666, -0.0749262, -0.0770098, -0.0801104, -0.0826909, -0.086663, -0.0881689, -0.0896124, -0.0898521, -0.0900877, -0.0892546, -0.0878415, -0.0847112, -0.0783024, -0.0607918, -0.0475101, -0.0420863, -0.0324243, -0.0256334, -0.0232193, -0.0189483, -0.0124657, -0.0102405, -0.00923232, -0.00749588, -0.00488536, -0.00197911, -0.00184366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.257022, 0.308799, 0.329544, 0.351185, 0.359606, 0.368057, 0.38166, 0.395261, 0.402213, 0.410038, 0.428832, 0.439759, 0.449131, 0.459635, 0.47252, 0.480243, 0.495687, 0.52168, 0.552389");
-            values ( \
-              "-0.000861835, -0.0825618, -0.0994158, -0.11417, -0.118498, -0.121742, -0.124234, -0.120759, -0.114925, -0.102942, -0.063724, -0.0447295, -0.0321036, -0.0216726, -0.0130807, -0.00958944, -0.00502722, -0.00153405, -0.000372643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.358704, 0.391714, 0.403051, 0.44051, 0.460901, 0.473542, 0.497417, 0.520176, 0.542597, 0.565004, 0.573472, 0.581614, 0.623754, 0.636667, 0.658733, 0.680764, 0.697657, 0.715086, 0.728415, 0.755073, 0.79472");
-            values ( \
-              "-0.020313, -0.0694086, -0.0835313, -0.117651, -0.132822, -0.14031, -0.150142, -0.154923, -0.154657, -0.147294, -0.141369, -0.133122, -0.0740742, -0.0589338, -0.0386615, -0.0247239, -0.0173003, -0.0119203, -0.00891743, -0.00489909, -0.00215348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.550118, 0.637927, 0.653639, 0.688694, 0.712141, 0.732039, 0.772914, 0.813036, 0.856676, 0.882051, 0.896201, 0.918034, 1.02314, 1.06007, 1.11074, 1.14709, 1.16871, 1.20184, 1.24603, 1.30093");
-            values ( \
-              "-0.041934, -0.122612, -0.135693, -0.15759, -0.167314, -0.173003, -0.179129, -0.179363, -0.17397, -0.167408, -0.162085, -0.150251, -0.0686755, -0.0482693, -0.0288577, -0.0195904, -0.0155317, -0.0108301, -0.00660656, -0.0038734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.931939, 0.993376, 1.04184, 1.06568, 1.10313, 1.15205, 1.17382, 1.19568, 1.22985, 1.29361, 1.33168, 1.38372, 1.46145, 1.49587, 1.5487, 1.5809, 1.64015, 1.74591, 1.81211, 1.84942, 1.91016, 1.97616, 2.01973, 2.103, 2.14869, 2.2336, 2.3185, 2.40341, 2.48832, 2.65814");
-            values ( \
-              "-0.0891183, -0.0977129, -0.137569, -0.153837, -0.172444, -0.186865, -0.190492, -0.19297, -0.195057, -0.195159, -0.193583, -0.19023, -0.182606, -0.177807, -0.167597, -0.158595, -0.135651, -0.0909784, -0.0679875, -0.0571517, -0.042524, -0.0305284, -0.0243763, -0.0157125, -0.0123144, -0.00773821, -0.00484937, -0.0030229, -0.00188879, -0.000733582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.211527, 0.217686, 0.225748, 0.237402, 0.245154, 0.249529, 0.257381, 0.265551, 0.272028, 0.278513, 0.294664, 0.30093, 0.308591, 0.314607, 0.31929");
-            values ( \
-              "-0.0137588, -0.0196061, -0.0337588, -0.0470245, -0.05288, -0.0552431, -0.0583908, -0.060206, -0.0598071, -0.0539421, -0.0192136, -0.0108202, -0.00506884, -0.00270659, -0.00187213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.22704, 0.265879, 0.276981, 0.287303, 0.29967, 0.310639, 0.31968, 0.328689, 0.347497, 0.355891, 0.365559, 0.371672, 0.379822, 0.395028, 0.40325");
-            values ( \
-              "-0.000667168, -0.0648169, -0.0739104, -0.080143, -0.0864237, -0.0898757, -0.0890021, -0.0792806, -0.0356638, -0.0217415, -0.0116564, -0.00773095, -0.00440919, -0.0013598, -0.00109994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.273712, 0.316837, 0.325682, 0.353185, 0.368267, 0.375572, 0.382349, 0.395964, 0.409577, 0.416109, 0.422692, 0.444947, 0.457559, 0.463323, 0.470465, 0.479988, 0.486653, 0.496836, 0.510413, 0.536669, 0.567376");
-            values ( \
-              "-0.00168283, -0.0759055, -0.084898, -0.106136, -0.115575, -0.119054, -0.121649, -0.124099, -0.120723, -0.115338, -0.105949, -0.0602939, -0.0396146, -0.0322417, -0.0247469, -0.0171231, -0.0131763, -0.0087605, -0.00497873, -0.00150246, -0.00035991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.368103, 0.383191, 0.418972, 0.462643, 0.488804, 0.512638, 0.535373, 0.557778, 0.58017, 0.588713, 0.651893, 0.673908, 0.695901, 0.730264, 0.770338, 0.775941");
-            values ( \
-              "-0.0174531, -0.0327057, -0.0846905, -0.123724, -0.140623, -0.150321, -0.155065, -0.154728, -0.14736, -0.141346, -0.0588656, -0.0386503, -0.0247353, -0.0119144, -0.00488634, -0.0044972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.563649, 0.589317, 0.61522, 0.664314, 0.685604, 0.702323, 0.733879, 0.745816, 0.766826, 0.786628, 0.826798, 0.86697, 0.870372, 0.882037, 0.895602, 0.910007, 0.93184, 1.01232, 1.03696, 1.04928, 1.07393, 1.0973, 1.12451, 1.16079, 1.18252, 1.21582, 1.26022, 1.34513, 1.43004, 1.51494");
-            values ( \
-              "-0.0504889, -0.061587, -0.0890151, -0.132625, -0.147766, -0.157071, -0.169624, -0.172755, -0.176789, -0.178849, -0.179257, -0.174581, -0.17392, -0.17131, -0.167445, -0.162051, -0.150232, -0.0855265, -0.0686698, -0.0612264, -0.048247, -0.0381944, -0.0288719, -0.0196148, -0.0155329, -0.0108116, -0.00657852, -0.00236947, -0.00084647, -0.00030166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.939514, 0.989186, 1.05369, 1.08047, 1.11575, 1.16691, 1.20853, 1.2447, 1.30266, 1.35798, 1.39853, 1.47639, 1.50889, 1.53525, 1.56349, 1.60721, 1.74037, 1.79457, 1.83534, 1.8897, 1.93139, 1.96437, 2.00834, 2.08291, 2.10855, 2.1667, 2.23315, 2.31806, 2.40297, 2.48788, 2.65769");
-            values ( \
-              "-0.0768631, -0.0844835, -0.135337, -0.153847, -0.171553, -0.186903, -0.192883, -0.195146, -0.195301, -0.192953, -0.190222, -0.182586, -0.178083, -0.173605, -0.167596, -0.15474, -0.0989756, -0.0785908, -0.0653889, -0.0505416, -0.0411964, -0.034935, -0.0279115, -0.0188542, -0.0164692, -0.0120839, -0.00842817, -0.00527915, -0.00329823, -0.00205538, -0.00079627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.246196, 0.251554, 0.25983, 0.271755, 0.279115, 0.285427, 0.293373, 0.299905, 0.30639, 0.312873, 0.329021, 0.335275, 0.342952, 0.34898, 0.358672");
-            values ( \
-              "-0.014129, -0.0183568, -0.0333556, -0.0470358, -0.0526423, -0.0559949, -0.0588481, -0.060249, -0.0597577, -0.0539881, -0.0192122, -0.0108307, -0.00506602, -0.00270078, -0.000972244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.270791, 0.276907, 0.292199, 0.301906, 0.311642, 0.322026, 0.33432, 0.345361, 0.3544, 0.36341, 0.382219, 0.390615, 0.400283, 0.406393, 0.41454, 0.429641, 0.433125");
-            values ( \
-              "-0.0199609, -0.026881, -0.0553422, -0.0661169, -0.0738844, -0.0801523, -0.0863971, -0.0898615, -0.0890078, -0.0792715, -0.0356613, -0.0217441, -0.0116597, -0.00773607, -0.00440666, -0.00137825, -0.00126564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.307949, 0.351623, 0.359569, 0.387957, 0.403031, 0.410339, 0.41711, 0.430722, 0.444333, 0.450947, 0.459156, 0.477407, 0.489786, 0.501722, 0.508295, 0.517504, 0.527136, 0.534528, 0.549311, 0.576167, 0.607941");
-            values ( \
-              "-0.000628074, -0.0759806, -0.0840598, -0.106157, -0.115591, -0.119111, -0.121658, -0.12414, -0.12072, -0.115258, -0.102829, -0.064678, -0.043295, -0.0282189, -0.0220257, -0.0153698, -0.0104903, -0.00778189, -0.00418334, -0.00122127, -0.000277199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.4031, 0.429292, 0.437968, 0.445655, 0.460218, 0.489996, 0.511395, 0.523301, 0.547166, 0.569873, 0.592278, 0.614671, 0.623203, 0.631314, 0.673399, 0.686384, 0.708405, 0.718384, 0.730403, 0.747303, 0.764759, 0.778112, 0.804818, 0.854334, 0.912796");
-            values ( \
-              "-0.00217936, -0.0507994, -0.0647324, -0.0755753, -0.0914034, -0.117877, -0.133664, -0.140532, -0.15038, -0.155, -0.154783, -0.147305, -0.14136, -0.13311, -0.0741035, -0.0588761, -0.0386526, -0.0316621, -0.0247344, -0.0173043, -0.0119159, -0.00890963, -0.00488866, -0.00143338, -0.000310373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.60354, 0.626095, 0.641977, 0.700238, 0.721823, 0.737983, 0.769553, 0.781401, 0.802384, 0.82218, 0.862332, 0.902486, 0.905944, 0.917798, 0.931245, 0.945525, 0.967359, 1.04784, 1.07247, 1.10943, 1.13282, 1.16004, 1.19634, 1.21803, 1.25128, 1.29561, 1.38052, 1.46543, 1.55033");
-            values ( \
-              "-0.0634353, -0.0641353, -0.0812741, -0.133412, -0.148545, -0.157454, -0.169862, -0.172958, -0.176916, -0.178963, -0.179303, -0.174622, -0.173939, -0.171273, -0.167423, -0.162066, -0.15024, -0.0855235, -0.0686721, -0.0482545, -0.0381952, -0.0288675, -0.0196071, -0.0155327, -0.0108178, -0.00658766, -0.00237283, -0.000847627, -0.000302109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.971525, 1.01129, 1.11563, 1.14932, 1.20196, 1.22048, 1.23914, 1.27985, 1.34065, 1.38748, 1.43369, 1.51148, 1.54492, 1.59866, 1.63478, 1.69048, 1.77835, 1.83074, 1.8686, 1.90929, 1.96313, 2.01106, 2.07497, 2.15275, 2.2007, 2.28561, 2.37051, 2.45542, 2.54033, 2.71015");
-            values ( \
-              "-0.0750139, -0.0750401, -0.153767, -0.170827, -0.186879, -0.190021, -0.192339, -0.195086, -0.195238, -0.193271, -0.190223, -0.182597, -0.177948, -0.167597, -0.157321, -0.135483, -0.0978509, -0.0782491, -0.0659742, -0.0545094, -0.0419063, -0.0329552, -0.0236818, -0.0157185, -0.0121596, -0.00764869, -0.00478404, -0.00299074, -0.00185995, -0.000719155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.329234, 0.342677, 0.351173, 0.362097, 0.365556, 0.37454, 0.382956, 0.389437, 0.395921, 0.412072, 0.418332, 0.426002, 0.432025, 0.44241, 0.448547");
-            values ( \
-              "-0.00965263, -0.0331147, -0.0437035, -0.0526141, -0.0545504, -0.0583196, -0.0601997, -0.059808, -0.0539291, -0.019211, -0.0108254, -0.0050665, -0.00270341, -0.000846457, -0.000554011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.35435, 0.360115, 0.376241, 0.38902, 0.399996, 0.410086, 0.419575, 0.42867, 0.437706, 0.446715, 0.465522, 0.473921, 0.483588, 0.489693, 0.497834, 0.512537, 0.513783");
-            values ( \
-              "-0.0202106, -0.0269004, -0.056416, -0.0697674, -0.0772889, -0.0828884, -0.0873046, -0.0899543, -0.0889514, -0.0793464, -0.0356674, -0.0217443, -0.0116605, -0.00773986, -0.00440801, -0.00143347, -0.00136131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.408332, 0.421868, 0.423364, 0.425306, 0.42919, 0.43225, 0.434486, 0.435605, 0.438497, 0.44139, 0.447653, 0.458321, 0.467493, 0.471863, 0.47769, 0.483517, 0.486924, 0.500994, 0.504395, 0.507796, 0.511197, 0.514599, 0.518, 0.521401, 0.524802, 0.528203, 0.529875, 0.531169, 0.533327, 0.535052, 0.536778, 0.538504, 0.54023, 0.542968, 0.544993, 0.557141, 0.565629, 0.569945, 0.574261, 0.576419, 0.57998, 0.585314, 0.585969, 0.587279, 0.591211, 0.593706, 0.5962, 0.598695, 0.601189, 0.604915");
-            values ( \
-              "-0.0535397, -0.0573141, -0.0599728, -0.0629953, -0.0685975, -0.0725929, -0.0752825, -0.0765565, -0.0795748, -0.0823941, -0.0878155, -0.0962313, -0.103285, -0.106395, -0.110245, -0.113858, -0.115861, -0.123201, -0.123224, -0.123151, -0.122982, -0.122717, -0.122356, -0.121899, -0.121345, -0.120696, -0.119618, -0.118598, -0.116421, -0.114435, -0.112266, -0.109915, -0.107381, -0.102912, -0.0988621, -0.0730071, -0.0561657, -0.0488589, -0.0422871, -0.0392505, -0.0346213, -0.0282097, -0.0274522, -0.0261803, -0.0226343, -0.0206267, -0.0187604, -0.0170353, -0.0154514, -0.0133351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.477327, 0.557086, 0.565428, 0.581123, 0.607253, 0.631091, 0.653788, 0.676185, 0.698568, 0.707144, 0.715234, 0.770316, 0.792307, 0.814282, 0.831187, 0.848661, 0.862031, 0.888769, 0.907541");
-            values ( \
-              "-0.00205626, -0.103267, -0.110828, -0.123896, -0.140738, -0.150448, -0.155113, -0.154796, -0.147373, -0.141345, -0.133105, -0.058837, -0.038647, -0.0247413, -0.0173075, -0.0119132, -0.0089043, -0.00488187, -0.003571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.684306, 0.709515, 0.729147, 0.777733, 0.791534, 0.821757, 0.839009, 0.862011, 0.883681, 0.905889, 0.946054, 0.986215, 0.989631, 1.00134, 1.01488, 1.02925, 1.05108, 1.13157, 1.1562, 1.19316, 1.21654, 1.24377, 1.28006, 1.30176, 1.33502, 1.37935, 1.46426, 1.54917, 1.63408");
-            values ( \
-              "-0.0508636, -0.0632816, -0.084434, -0.128199, -0.139055, -0.157405, -0.164917, -0.172144, -0.176469, -0.178923, -0.179265, -0.174615, -0.173933, -0.171307, -0.167444, -0.162059, -0.150237, -0.0855232, -0.0686714, -0.0482534, -0.0381949, -0.0288678, -0.0196078, -0.0155326, -0.010817, -0.00658656, -0.00237244, -0.000847489, -0.00030206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.06207, 1.1154, 1.18487, 1.19986, 1.22985, 1.277, 1.30484, 1.33514, 1.36401, 1.42176, 1.47779, 1.51787, 1.59573, 1.62813, 1.65454, 1.68283, 1.72655, 1.85971, 1.91391, 1.95468, 2.00904, 2.05073, 2.08371, 2.12768, 2.20225, 2.22789, 2.28604, 2.35249, 2.4374, 2.52231, 2.60722, 2.77703");
-            values ( \
-              "-0.0830119, -0.0891566, -0.14406, -0.153817, -0.169387, -0.184831, -0.190016, -0.193451, -0.195033, -0.195304, -0.192934, -0.190195, -0.182603, -0.178097, -0.173616, -0.167595, -0.154741, -0.0989747, -0.0785917, -0.0653899, -0.050541, -0.0411972, -0.0349356, -0.0279107, -0.0188549, -0.0164685, -0.0120844, -0.00842764, -0.00527974, -0.00329767, -0.00205595, -0.000796824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.523336, 0.537901, 0.549322, 0.557113, 0.563276, 0.570416, 0.577613, 0.582844, 0.586623, 0.590945, 0.607002, 0.615604, 0.62096, 0.625321, 0.634043, 0.634639");
-            values ( \
-              "-0.00988741, -0.0340001, -0.0465723, -0.0526467, -0.0557931, -0.0584421, -0.0599744, -0.0598991, -0.0581525, -0.0531361, -0.0188498, -0.0084427, -0.0049613, -0.00316694, -0.00123676, -0.00119218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.544595, 0.580166, 0.593096, 0.603353, 0.615713, 0.626733, 0.635787, 0.644807, 0.66363, 0.672023, 0.681696, 0.687818, 0.695982, 0.711012, 0.716787");
-            values ( \
-              "-0.00225681, -0.0630847, -0.0739861, -0.0801091, -0.0863516, -0.0896883, -0.0889386, -0.0791535, -0.0356327, -0.0217375, -0.0116561, -0.00772808, -0.00439878, -0.00138478, -0.00119653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.601275, 0.613788, 0.623823, 0.629579, 0.636079, 0.644612, 0.672386, 0.687458, 0.694766, 0.701538, 0.715152, 0.728764, 0.735356, 0.743588, 0.7619, 0.77407, 0.786264, 0.7928, 0.801979, 0.811505, 0.818803, 0.8334, 0.860037, 0.891496");
-            values ( \
-              "-0.012895, -0.0405996, -0.0593619, -0.0681961, -0.0762097, -0.0847463, -0.106152, -0.115574, -0.119099, -0.12164, -0.124128, -0.120705, -0.115274, -0.102823, -0.064558, -0.0435153, -0.0281062, -0.0219652, -0.0153464, -0.0105193, -0.00783173, -0.00424781, -0.00125436, -0.000291218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.680924, 0.75172, 0.767519, 0.783096, 0.809232, 0.833067, 0.855772, 0.87817, 0.900554, 0.909123, 0.917216, 0.972295, 0.994291, 1.01627, 1.03317, 1.05064, 1.06401, 1.09074, 1.11");
-            values ( \
-              "-0.00131377, -0.0966481, -0.110845, -0.123824, -0.140686, -0.15046, -0.155078, -0.154817, -0.147346, -0.141352, -0.133107, -0.0588439, -0.0386483, -0.0247406, -0.0173072, -0.0119139, -0.00890553, -0.00488321, -0.0035371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.861338, 0.939271, 0.988858, 1.00875, 1.02372, 1.04717, 1.06707, 1.10796, 1.14808, 1.18821, 1.19172, 1.20373, 1.21708, 1.23125, 1.25309, 1.33358, 1.35819, 1.39513, 1.41854, 1.44579, 1.48212, 1.50376, 1.53692, 1.58113, 1.66603, 1.75094, 1.83585");
-            values ( \
-              "-0.000435354, -0.0917393, -0.135747, -0.149332, -0.15752, -0.167264, -0.172963, -0.179107, -0.179348, -0.174674, -0.173965, -0.171249, -0.16741, -0.162081, -0.150248, -0.0855166, -0.0686747, -0.0482665, -0.0381958, -0.0288597, -0.0195937, -0.0155321, -0.0108281, -0.00660322, -0.00237852, -0.000849662, -0.000302847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.26378, 1.31722, 1.3874, 1.43058, 1.4772, 1.48819, 1.51018, 1.55414, 1.58959, 1.64235, 1.67535, 1.71979, 1.79761, 1.81303, 1.86593, 1.88476, 1.92243, 1.97673, 2.06388, 2.11664, 2.15508, 2.19562, 2.24933, 2.29696, 2.36047, 2.43884, 2.48716, 2.57207, 2.65698, 2.74189, 2.8268, 2.99661");
-            values ( \
-              "-0.0823885, -0.0890824, -0.144478, -0.168857, -0.184424, -0.186841, -0.190502, -0.19462, -0.19555, -0.194679, -0.193143, -0.190195, -0.182595, -0.180566, -0.171764, -0.167595, -0.156806, -0.135416, -0.0980783, -0.0783179, -0.0658566, -0.0544467, -0.0418859, -0.032989, -0.0237562, -0.0157186, -0.0121358, -0.00763276, -0.0047748, -0.00298414, -0.00185662, -0.000718156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.932377, 0.950516, 0.962766, 0.970875, 0.979734, 0.989149, 0.997095, 1.00103, 1.00535, 1.02404, 1.03064, 1.03839, 1.04519, 1.04957");
-            values ( \
-              "-0.00200062, -0.0315423, -0.0448035, -0.0511615, -0.0556277, -0.0584369, -0.0588643, -0.0571933, -0.0524089, -0.0150555, -0.0080694, -0.00372385, -0.00183367, -0.00132802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.969728, 0.994195, 1.00664, 1.01192, 1.02032, 1.02878, 1.04342, 1.05273, 1.06192, 1.07109, 1.08661, 1.0946, 1.09849, 1.10369, 1.11032, 1.11448, 1.12281, 1.13791, 1.1555, 1.17761");
-            values ( \
-              "-0.0038897, -0.0460247, -0.0617031, -0.0664763, -0.0729442, -0.0779249, -0.0851548, -0.0881617, -0.0875855, -0.07837, -0.0424953, -0.0273024, -0.0216155, -0.0155839, -0.0100981, -0.0076329, -0.00427719, -0.0013655, -0.00038738, -0.000115199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.03286, 1.09705, 1.11822, 1.13446, 1.13895, 1.14872, 1.16244, 1.17618, 1.18995, 1.20837, 1.21591, 1.22343, 1.22928, 1.23611, 1.24211, 1.25382, 1.26041, 1.2736, 1.29832, 1.32704");
-            values ( \
-              "-0.00288046, -0.0874069, -0.103571, -0.114006, -0.116327, -0.120408, -0.123161, -0.120028, -0.104717, -0.0666979, -0.0527695, -0.0410644, -0.0333872, -0.0259622, -0.0206698, -0.0130627, -0.0100336, -0.00581808, -0.00191255, -0.000512246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.16468, 1.17036, 1.20416, 1.21627, 1.24954, 1.2562, 1.26952, 1.28256, 1.30655, 1.32934, 1.35181, 1.37427, 1.38259, 1.39081, 1.40043, 1.41965, 1.4331, 1.44584, 1.45542, 1.46799, 1.4782, 1.49017, 1.50704, 1.52435, 1.53757, 1.56402, 1.58898");
-            values ( \
-              "-0.0201013, -0.0248963, -0.0742436, -0.0875425, -0.117128, -0.122529, -0.13201, -0.139715, -0.149711, -0.154516, -0.154386, -0.147049, -0.141319, -0.133072, -0.120427, -0.0921755, -0.07399, -0.0590591, -0.0493969, -0.0386948, -0.0315544, -0.0246764, -0.0172788, -0.0119372, -0.00895196, -0.00494339, -0.00317675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.37585, 1.39846, 1.41668, 1.46941, 1.48248, 1.51048, 1.53459, 1.55382, 1.59472, 1.63483, 1.67496, 1.67846, 1.69046, 1.70382, 1.718, 1.73984, 1.82033, 1.84494, 1.88189, 1.90529, 1.93254, 1.96887, 1.99051, 2.02368, 2.0679, 2.15281, 2.23772, 2.32263");
-            values ( \
-              "-0.063329, -0.0638828, -0.0834282, -0.130792, -0.140777, -0.15751, -0.167459, -0.172952, -0.17909, -0.179347, -0.174665, -0.173962, -0.171252, -0.167411, -0.162079, -0.150246, -0.085517, -0.0686741, -0.048265, -0.0381955, -0.0288603, -0.0195949, -0.0155319, -0.0108268, -0.00660138, -0.00237785, -0.00084942, -0.000302758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.74451, 1.78445, 1.86587, 1.88875, 1.92173, 1.97202, 1.99435, 2.01987, 2.05294, 2.11486, 2.15843, 2.20681, 2.28458, 2.31836, 2.37179, 2.40658, 2.46348, 2.55198, 2.6414, 2.68222, 2.73618, 2.78437, 2.84862, 2.92589, 2.97352, 3.05842, 3.14333, 3.22824, 3.26172");
-            values ( \
-              "-0.0743149, -0.0750295, -0.138211, -0.153732, -0.17058, -0.186184, -0.190127, -0.193046, -0.195065, -0.195181, -0.193368, -0.190235, -0.182585, -0.177901, -0.167597, -0.157757, -0.135541, -0.0976571, -0.066074, -0.0545629, -0.0419235, -0.0329263, -0.0236189, -0.0157187, -0.0121797, -0.00766248, -0.00479174, -0.00299656, -0.00254946" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.005922, 0.0059346, 0.00594852, 0.00596059, 0.00596902, 0.00597403", \
-            "0.00649119, 0.00650159, 0.00651435, 0.00652646, 0.0065356, 0.0065413", \
-            "0.00679093, 0.00679687, 0.0068053, 0.00681469, 0.00682245, 0.00682775", \
-            "0.00697351, 0.00697422, 0.0069763, 0.00697987, 0.00698404, 0.00698743", \
-            "0.00709292, 0.00709097, 0.00708813, 0.00708562, 0.00708454, 0.0070846", \
-            "0.00716481, 0.00716156, 0.00715676, 0.00715103, 0.00714586, 0.0071425" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00749446, 0.007506, 0.00751897, 0.0075312, 0.00754039, 0.00754577", \
-            "0.00782893, 0.00783072, 0.00783391, 0.0078373, 0.00783985, 0.00784261", \
-            "0.0080157, 0.00800109, 0.00798511, 0.0079715, 0.00796233, 0.00795737", \
-            "0.00825978, 0.00822504, 0.00818897, 0.00814696, 0.00811604, 0.0080969", \
-            "0.008629, 0.00855146, 0.0084583, 0.00837101, 0.00830555, 0.00826342", \
-            "0.00885333, 0.0089094, 0.00880837, 0.00864192, 0.00850409, 0.00841827" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.269664, 0.318875, 0.415324, 0.605214, 0.983329, 1.74421", \
-            "0.273314, 0.322757, 0.419278, 0.609531, 0.987795, 1.74837", \
-            "0.283256, 0.332753, 0.429707, 0.61999, 0.998791, 1.75967", \
-            "0.309398, 0.358779, 0.455707, 0.64626, 1.02524, 1.78659", \
-            "0.376117, 0.425475, 0.522184, 0.71196, 1.08993, 1.8515", \
-            "0.514779, 0.573754, 0.682516, 0.878487, 1.25479, 2.0139" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0425264, 0.0610576, 0.0998387, 0.182461, 0.357711, 0.724408", \
-            "0.042492, 0.0610569, 0.0998096, 0.182487, 0.357722, 0.724411", \
-            "0.0425397, 0.0610759, 0.0998256, 0.182519, 0.35769, 0.724412", \
-            "0.0425411, 0.0610568, 0.0998253, 0.182512, 0.357689, 0.724448", \
-            "0.0425625, 0.0611133, 0.0998308, 0.182527, 0.357729, 0.724369", \
-            "0.0439304, 0.0621525, 0.100293, 0.182551, 0.357638, 0.724407" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.228996, 0.267695, 0.341857, 0.484463, 0.760172, 1.30476", \
-            "0.233358, 0.272146, 0.346326, 0.48883, 0.764569, 1.30929", \
-            "0.242549, 0.281489, 0.355927, 0.498633, 0.774567, 1.32075", \
-            "0.262875, 0.302616, 0.377623, 0.520739, 0.797217, 1.34284", \
-            "0.303034, 0.347545, 0.427767, 0.57426, 0.851312, 1.39751", \
-            "0.351393, 0.407412, 0.508598, 0.683159, 0.979507, 1.52691" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0360132, 0.049838, 0.0768086, 0.131279, 0.246017, 0.488915", \
-            "0.0360335, 0.0498105, 0.0768213, 0.131309, 0.246016, 0.488924", \
-            "0.0360541, 0.0498732, 0.0768427, 0.131306, 0.246017, 0.488933", \
-            "0.0360995, 0.0499253, 0.0767989, 0.131313, 0.245977, 0.48893", \
-            "0.0363582, 0.0500597, 0.0768791, 0.131324, 0.245992, 0.488926", \
-            "0.0362896, 0.0500964, 0.0768887, 0.131599, 0.246263, 0.48903" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.230056, 0.236455, 0.250936, 0.263978, 0.27072, 0.277117, 0.283479, 0.289838, 0.300743, 0.312064, 0.32034, 0.331528, 0.344802, 0.365417, 0.37662");
-            values ( \
-              "0.0176517, 0.0177547, 0.0403917, 0.0554735, 0.0598556, 0.0617136, 0.0606254, 0.0541084, 0.0339433, 0.0200272, 0.0133863, 0.00765321, 0.00393862, 0.00145611, 0.00125105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.261136, 0.269005, 0.285343, 0.297815, 0.305511, 0.312879, 0.31735, 0.326442, 0.335535, 0.340479, 0.361326, 0.377983, 0.39198, 0.40452, 0.422541, 0.44341, 0.461652, 0.468882");
-            values ( \
-              "0.0164766, 0.0245466, 0.0548483, 0.0738885, 0.081864, 0.0867186, 0.0884076, 0.0890333, 0.0853568, 0.0803095, 0.0480398, 0.0288463, 0.0181914, 0.0118794, 0.00635164, 0.00309177, 0.00162922, 0.00137016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.324282, 0.336903, 0.347814, 0.360061, 0.365921, 0.372788, 0.378128, 0.386451, 0.393538, 0.408231, 0.422921, 0.43766, 0.442576, 0.448195, 0.470786, 0.484386, 0.492896, 0.508785, 0.51812, 0.524446, 0.537099, 0.545072, 0.550665, 0.558121, 0.573035, 0.585681, 0.598548, 0.615704, 0.650015, 0.700281, 0.761435");
-            values ( \
-              "0.017394, 0.0356618, 0.056899, 0.0796369, 0.0888539, 0.0978008, 0.103305, 0.109528, 0.112764, 0.114969, 0.112261, 0.104873, 0.100885, 0.0955876, 0.070416, 0.0567373, 0.0490473, 0.0367394, 0.030839, 0.0272919, 0.02124, 0.0180952, 0.0161514, 0.0138607, 0.0101512, 0.00777984, 0.00592808, 0.00410176, 0.00190343, 0.000565645, 0.000116369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.454291, 0.469386, 0.494851, 0.504839, 0.521863, 0.533722, 0.557438, 0.574528, 0.585835, 0.608449, 0.638801, 0.658607, 0.72722, 0.770985, 0.807971, 0.83533, 0.859696, 0.892183, 0.913403, 0.968921, 1.03237, 1.07449");
-            values ( \
-              "0.0445083, 0.0473887, 0.0922381, 0.106624, 0.12366, 0.130128, 0.134872, 0.133627, 0.131854, 0.126601, 0.115825, 0.105563, 0.0637754, 0.0423375, 0.0290124, 0.02158, 0.0164785, 0.0113655, 0.00890265, 0.00463566, 0.00211638, 0.00142596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.713841, 0.788351, 0.805857, 0.839009, 0.881233, 0.938651, 0.991888, 1.04576, 1.2611, 1.35279, 1.44512, 1.51358, 1.65128, 1.75514, 1.79892");
-            values ( \
-              "0.0653142, 0.131878, 0.141329, 0.147498, 0.145753, 0.138941, 0.131021, 0.120292, 0.0555692, 0.034985, 0.0210311, 0.0141735, 0.00622672, 0.00325219, 0.00260332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("1.20704, 1.31845, 1.34598, 1.38095, 1.41258, 1.48753, 1.6446, 1.74201, 1.81651, 1.97456, 2.19902, 2.36121, 2.49126, 2.57972, 2.69901, 2.87692, 2.97505, 3.11833, 3.2291, 3.45064, 3.54687");
-            values ( \
-              "0.0591851, 0.139723, 0.149605, 0.15418, 0.154482, 0.151521, 0.141853, 0.134607, 0.127841, 0.107219, 0.0713525, 0.0490489, 0.0351615, 0.0277041, 0.0198267, 0.0118239, 0.0088467, 0.00575742, 0.00413125, 0.00210468, 0.0018039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.241556, 0.252949, 0.270709, 0.278249, 0.282554, 0.284979, 0.291374, 0.294459, 0.297718, 0.304091, 0.314967, 0.326315, 0.334599, 0.345782, 0.359057, 0.367904, 0.3797, 0.398739");
-            values ( \
-              "0.00721, 0.0209952, 0.0476496, 0.0554285, 0.0585342, 0.0598113, 0.0616091, 0.0615607, 0.0605328, 0.0540765, 0.0339804, 0.0200195, 0.0133885, 0.00764552, 0.00393074, 0.00255814, 0.00146297, 0.000613277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.277671, 0.286472, 0.299838, 0.31231, 0.322505, 0.327374, 0.331845, 0.340936, 0.350029, 0.354986, 0.37582, 0.392477, 0.406477, 0.419012, 0.437034, 0.457907, 0.476152, 0.484121");
-            values ( \
-              "0.0253305, 0.029598, 0.0549862, 0.0740115, 0.083958, 0.0866267, 0.0884943, 0.0889523, 0.0854362, 0.0802977, 0.0480417, 0.0288467, 0.0181896, 0.0118799, 0.00635164, 0.00309169, 0.00162873, 0.00134331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.34092, 0.351526, 0.368088, 0.37467, 0.386006, 0.392768, 0.406292, 0.412009, 0.419632, 0.422848, 0.429278, 0.437534, 0.452314, 0.456812, 0.461954, 0.487041, 0.497676, 0.504406, 0.517866, 0.527855, 0.538695, 0.553149, 0.561588, 0.57157, 0.582966, 0.598904, 0.605394, 0.618375, 0.644336, 0.690811, 0.745434");
-            values ( \
-              "0.0275424, 0.0355619, 0.0678557, 0.0796534, 0.0962931, 0.103355, 0.112274, 0.113992, 0.114891, 0.114912, 0.114193, 0.112176, 0.104768, 0.101161, 0.0963993, 0.0685755, 0.0579928, 0.0517508, 0.0407078, 0.0338403, 0.027491, 0.0206305, 0.017405, 0.0141932, 0.0112054, 0.00800592, 0.00698473, 0.00529808, 0.00300621, 0.00100578, 0.000261377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.472508, 0.491175, 0.513706, 0.519776, 0.531916, 0.548701, 0.571324, 0.581746, 0.595641, 0.610848, 0.627692, 0.654893, 0.677, 0.731167, 0.764105, 0.78984, 0.811207, 0.827715, 0.858503, 0.871993, 0.893602, 0.924376, 0.964535, 1.01808, 1.10345, 1.20492");
-            values ( \
-              "0.0540779, 0.0594825, 0.0982468, 0.10663, 0.119675, 0.130226, 0.1348, 0.13444, 0.132734, 0.12973, 0.125346, 0.115347, 0.10357, 0.0700554, 0.0523179, 0.0407573, 0.0328149, 0.0275834, 0.019737, 0.0169893, 0.0133119, 0.00933075, 0.00583848, 0.00304614, 0.000994697, 0.000244966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.72809, 0.806527, 0.826157, 0.850298, 0.860965, 0.902884, 0.953509, 1.00674, 1.06067, 1.27533, 1.36818, 1.45999, 1.52807, 1.58803, 1.69586, 1.82478");
-            values ( \
-              "0.0631492, 0.134191, 0.1431, 0.147271, 0.147555, 0.145053, 0.138936, 0.130999, 0.1203, 0.0557334, 0.0348861, 0.0210297, 0.0142035, 0.00995292, 0.00520872, 0.00282863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("1.23315, 1.34408, 1.39293, 1.42405, 1.50127, 1.64829, 1.76724, 1.85624, 1.93871, 2.22798, 2.38897, 2.55647, 2.68186, 2.82164, 2.93241, 3.17261, 3.49805");
-            values ( \
-              "0.0839199, 0.144459, 0.153886, 0.154505, 0.151588, 0.142592, 0.133719, 0.125149, 0.11465, 0.0691769, 0.0474733, 0.0307002, 0.0216849, 0.014523, 0.0104807, 0.00510904, 0.00192497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.278861, 0.284122, 0.286731, 0.296847, 0.306312, 0.31388, 0.320624, 0.327023, 0.333386, 0.339746, 0.346505, 0.348876, 0.352829, 0.356785, 0.361937, 0.366164, 0.371799, 0.377757, 0.386072, 0.393787, 0.399467, 0.410646, 0.425552, 0.442831");
-            values ( \
-              "0.014702, 0.0148902, 0.0184029, 0.0346727, 0.0474676, 0.0555141, 0.0597597, 0.0617664, 0.060551, 0.0541633, 0.0408605, 0.0367794, 0.0307546, 0.0255437, 0.0200866, 0.0163978, 0.0123515, 0.00917085, 0.0060285, 0.00414827, 0.00309152, 0.00180175, 0.000943974, 0.000451182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.313729, 0.3222, 0.332734, 0.340735, 0.347855, 0.358073, 0.367412, 0.376509, 0.385592, 0.390595, 0.395884, 0.406446, 0.411376, 0.420473, 0.428033, 0.434037, 0.442043, 0.44843, 0.454564, 0.461997, 0.472588, 0.484343, 0.49347, 0.511723, 0.543075, 0.580827");
-            values ( \
-              "0.0282252, 0.0298824, 0.0500184, 0.0638516, 0.0739043, 0.0839601, 0.0884995, 0.0891156, 0.0853819, 0.0802618, 0.0726177, 0.0548589, 0.0480508, 0.0365852, 0.0288491, 0.0237567, 0.0181857, 0.0146516, 0.0118812, 0.00918959, 0.00635125, 0.0042372, 0.00309186, 0.00162687, 0.000506575, 0.000119656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.374862, 0.387514, 0.402162, 0.409642, 0.416553, 0.423368, 0.428678, 0.436993, 0.44408, 0.458771, 0.473458, 0.488196, 0.493123, 0.498755, 0.521276, 0.534958, 0.543516, 0.559383, 0.568582, 0.574807, 0.587257, 0.595522, 0.601329, 0.609072, 0.624558, 0.63653, 0.640605, 0.648755, 0.665056, 0.697658, 0.747571, 0.807894");
-            values ( \
-              "0.0174453, 0.0354573, 0.0641639, 0.0780895, 0.0890386, 0.0979244, 0.103359, 0.109575, 0.112795, 0.114985, 0.112273, 0.104873, 0.100884, 0.0955624, 0.0704644, 0.0567024, 0.0489762, 0.0366971, 0.0308831, 0.0273859, 0.0214024, 0.0181276, 0.0161098, 0.0137429, 0.00994124, 0.00772844, 0.00709187, 0.00596952, 0.00420886, 0.00203699, 0.00061278, 0.000129861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.499477, 0.520304, 0.547532, 0.555791, 0.572309, 0.584676, 0.608159, 0.616188, 0.626389, 0.636793, 0.6576, 0.676016, 0.692565, 0.721988, 0.767388, 0.802007, 0.826432, 0.84563, 0.871228, 0.903469, 0.922619, 0.957551, 0.997558, 1.0296, 1.09367, 1.13813");
-            values ( \
-              "0.019324, 0.0473035, 0.0949137, 0.106592, 0.123249, 0.130122, 0.134842, 0.134564, 0.133512, 0.131834, 0.127093, 0.121352, 0.114514, 0.0982374, 0.0699576, 0.0514247, 0.0405384, 0.0333544, 0.0254564, 0.0178613, 0.0144298, 0.00961781, 0.00603924, 0.00415377, 0.00185249, 0.00123263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.758791, 0.787005, 0.80834, 0.827095, 0.839032, 0.862345, 0.889705, 0.914417, 0.938713, 0.989327, 1.04257, 1.09659, 1.14055, 1.24742, 1.31022, 1.36179, 1.40482, 1.43824, 1.49582, 1.56333, 1.62353, 1.68558, 1.73302, 1.8279, 1.93867, 2.1602");
-            values ( \
-              "0.0592957, 0.0683967, 0.0997562, 0.122, 0.131992, 0.143435, 0.147632, 0.147154, 0.145099, 0.138945, 0.131012, 0.120263, 0.108209, 0.0740463, 0.0559812, 0.0434145, 0.0347333, 0.0289986, 0.0210289, 0.0142506, 0.00997189, 0.00688633, 0.00516614, 0.0028599, 0.00141427, 0.000342475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("1.25552, 1.29679, 1.34229, 1.36773, 1.39638, 1.432, 1.46327, 1.49357, 1.53778, 1.69497, 1.79241, 1.86668, 1.91956, 2.0253, 2.24957, 2.41212, 2.54096, 2.60744, 2.71797, 2.85144, 2.96221, 3.11464, 3.2254, 3.33617, 3.55771, 4.00079");
-            values ( \
-              "0.0708197, 0.0737696, 0.121542, 0.139216, 0.149674, 0.154219, 0.154495, 0.153635, 0.151542, 0.141854, 0.134605, 0.127859, 0.121929, 0.10716, 0.0713245, 0.0489828, 0.035224, 0.0294857, 0.0216939, 0.0148007, 0.0106622, 0.00677984, 0.00486607, 0.00345946, 0.0017528, 0.000443236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.365905, 0.371593, 0.393759, 0.40133, 0.408073, 0.414473, 0.420837, 0.427198, 0.438025, 0.449391, 0.459237, 0.468209, 0.481242, 0.498086, 0.513695");
-            values ( \
-              "0.0123566, 0.0150168, 0.047521, 0.0554605, 0.0598033, 0.0617179, 0.0605879, 0.0541236, 0.034051, 0.0200714, 0.0123722, 0.00793778, 0.00413515, 0.00181517, 0.00119247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.400361, 0.409615, 0.422805, 0.435274, 0.442974, 0.450338, 0.454809, 0.463901, 0.472993, 0.477942, 0.498785, 0.515442, 0.52944, 0.541978, 0.56, 0.58087, 0.599113, 0.609509");
-            values ( \
-              "0.0234694, 0.0298373, 0.0549028, 0.0739295, 0.081838, 0.0866951, 0.0884318, 0.0890112, 0.0853783, 0.0803041, 0.0480398, 0.0288468, 0.0181902, 0.0118799, 0.006352, 0.00309144, 0.00162933, 0.0012566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.464942, 0.474974, 0.489596, 0.497049, 0.504212, 0.510897, 0.516119, 0.524429, 0.53152, 0.546211, 0.560898, 0.575636, 0.580563, 0.586195, 0.608716, 0.622398, 0.630957, 0.646823, 0.656022, 0.662246, 0.674696, 0.682962, 0.688769, 0.696513, 0.712, 0.728046, 0.736195, 0.752494, 0.785093, 0.834989, 0.895298");
-            values ( \
-              "0.0310575, 0.0356613, 0.0642464, 0.0779753, 0.0893813, 0.0980198, 0.103373, 0.109569, 0.112801, 0.114981, 0.112275, 0.104872, 0.100881, 0.0955644, 0.0704665, 0.056703, 0.0489753, 0.0366976, 0.0308834, 0.0273858, 0.0214029, 0.0181274, 0.0161096, 0.0137428, 0.00994068, 0.0070917, 0.00596967, 0.00420893, 0.00203733, 0.000613082, 0.000130108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.593794, 0.619268, 0.643341, 0.65941, 0.672216, 0.695441, 0.704232, 0.72433, 0.744625, 0.764572, 0.780102, 0.809571, 0.854922, 0.889691, 0.933057, 0.958436, 0.991112, 1.04521, 1.08481, 1.11645, 1.17973, 1.2172");
-            values ( \
-              "0.0381512, 0.067628, 0.106631, 0.122934, 0.130136, 0.134836, 0.134532, 0.131838, 0.127221, 0.120976, 0.114516, 0.0982033, 0.0699662, 0.0513451, 0.0333999, 0.0255394, 0.0178476, 0.00961206, 0.00606609, 0.00418219, 0.00189507, 0.00135587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.852971, 0.894028, 0.909203, 0.926917, 0.949298, 0.976579, 0.983611, 0.991649, 1.00772, 1.02656, 1.07719, 1.13043, 1.18445, 1.22841, 1.33528, 1.39807, 1.44965, 1.49269, 1.52612, 1.58368, 1.6087, 1.65118, 1.71139, 1.77345, 1.8209, 1.91579, 2.02656, 2.13733, 2.2481");
-            values ( \
-              "0.0682599, 0.0965559, 0.115854, 0.132056, 0.143086, 0.147621, 0.147693, 0.147556, 0.146711, 0.145044, 0.138939, 0.131003, 0.120272, 0.108209, 0.074046, 0.0559844, 0.0434149, 0.0347315, 0.0289952, 0.0210289, 0.0182369, 0.0142512, 0.00997213, 0.00688605, 0.00516566, 0.00285927, 0.00141398, 0.000697354, 0.000342413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.35052, 1.396, 1.43069, 1.45651, 1.48482, 1.52164, 1.5523, 1.582, 1.62573, 1.78341, 1.88111, 1.95355, 2.008, 2.11688, 2.30711, 2.43543, 2.53685, 2.62199, 2.68771, 2.80628, 2.94296, 3.05373, 3.14367, 3.30758, 3.41835, 3.63989, 3.86143, 4.19373");
-            values ( \
-              "0.0836983, 0.0856001, 0.121385, 0.139366, 0.149625, 0.154309, 0.154472, 0.153653, 0.151552, 0.14185, 0.134582, 0.12802, 0.121929, 0.106679, 0.0761425, 0.0573716, 0.0447349, 0.0359421, 0.0301213, 0.021675, 0.0146458, 0.0105823, 0.00809777, 0.00494375, 0.00353969, 0.00180025, 0.000914098, 0.000321987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.577265, 0.58785, 0.598771, 0.608249, 0.614801, 0.617841, 0.623912, 0.628967, 0.633937, 0.638071, 0.642108, 0.648736, 0.652924, 0.661306, 0.66972, 0.675695, 0.681295, 0.691195, 0.697028, 0.703476, 0.712075, 0.729271, 0.745962");
-            values ( \
-              "0.00313845, 0.017249, 0.0343922, 0.0474139, 0.0543508, 0.056823, 0.0602753, 0.0615117, 0.0610512, 0.0584911, 0.0530264, 0.0400982, 0.0334321, 0.0226239, 0.0151381, 0.0112379, 0.0085092, 0.00517494, 0.00387175, 0.0028313, 0.00188223, 0.000826163, 0.00044122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.613182, 0.62074, 0.637163, 0.649677, 0.657373, 0.66477, 0.669245, 0.678346, 0.687447, 0.692317, 0.713251, 0.729907, 0.743876, 0.756454, 0.774468, 0.79531, 0.813527, 0.820489");
-            values ( \
-              "0.0183839, 0.0243264, 0.0546781, 0.0737611, 0.0816526, 0.0865561, 0.0883516, 0.0889178, 0.085352, 0.0803699, 0.0480227, 0.0288388, 0.0182063, 0.0118731, 0.00634987, 0.00309497, 0.00163148, 0.00138147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.678855, 0.688936, 0.712088, 0.720678, 0.730194, 0.745645, 0.760324, 0.775625, 0.780333, 0.785223, 0.790417, 0.798311, 0.807747, 0.825853, 0.839189, 0.849269, 0.862114, 0.872166, 0.885568, 0.895952, 0.904363, 0.915577, 0.934108, 0.942652, 0.955172, 0.971866, 1.00525, 1.05692, 1.1196");
-            values ( \
-              "0.0308544, 0.0355223, 0.0796656, 0.0926392, 0.103415, 0.11295, 0.115088, 0.112093, 0.110197, 0.107683, 0.104232, 0.0975061, 0.0874822, 0.0670986, 0.0541642, 0.0454285, 0.0359177, 0.0296856, 0.0228123, 0.0185245, 0.0156137, 0.0123852, 0.00837812, 0.00700028, 0.00537053, 0.00375191, 0.00177975, 0.000506368, 9.75677e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.805973, 0.821323, 0.846881, 0.856887, 0.87396, 0.885784, 0.909433, 0.916968, 0.927014, 0.937902, 0.959678, 0.975194, 0.993674, 1.02301, 1.04822, 1.07352, 1.10285, 1.12744, 1.14694, 1.17295, 1.19037, 1.20438, 1.22307, 1.25843, 1.27461, 1.2992, 1.33198, 1.39755, 1.49206, 1.60283");
-            values ( \
-              "0.0433771, 0.0469914, 0.0920902, 0.106507, 0.123649, 0.130088, 0.13487, 0.134609, 0.133561, 0.131856, 0.12682, 0.122019, 0.114545, 0.0982691, 0.0822555, 0.0670745, 0.051541, 0.0405961, 0.0333001, 0.0252731, 0.0209132, 0.0179153, 0.0145242, 0.00965603, 0.00800979, 0.00601392, 0.00407681, 0.00179892, 0.000501024, 0.000101079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("1.05284, 1.08136, 1.11388, 1.12107, 1.13919, 1.14668, 1.16166, 1.18577, 1.19796, 1.21421, 1.23887, 1.2895, 1.34273, 1.39664, 1.42015, 1.44109, 1.54757, 1.6116, 1.66225, 1.70393, 1.73612, 1.78289, 1.79597, 1.82215, 1.86422, 1.92411, 1.98502, 2.03145, 2.12432, 2.23509, 2.34586, 2.45663");
-            values ( \
-              "0.0411012, 0.0597959, 0.106466, 0.115213, 0.131806, 0.136482, 0.142883, 0.14722, 0.147543, 0.147028, 0.145043, 0.138945, 0.13101, 0.120294, 0.114186, 0.108093, 0.0740504, 0.0556604, 0.0433516, 0.0349306, 0.0293651, 0.0226422, 0.0210302, 0.0181164, 0.0141899, 0.00994729, 0.00691679, 0.00522144, 0.00292932, 0.00144899, 0.000714561, 0.000351017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.55978, 1.61612, 1.64308, 1.6666, 1.69049, 1.71147, 1.73944, 1.76728, 1.79468, 1.84226, 1.9929, 2.0918, 2.17274, 2.22068, 2.31656, 2.54636, 2.70111, 2.77591, 2.85727, 2.96575, 3.0385, 3.16083, 3.2716, 3.35385, 3.50187, 3.61264, 3.83418, 4.05572, 4.38802");
-            values ( \
-              "0.0665052, 0.0938438, 0.120982, 0.137738, 0.147678, 0.151957, 0.154244, 0.15438, 0.153591, 0.151379, 0.142076, 0.134746, 0.12735, 0.121927, 0.10866, 0.0719868, 0.0504678, 0.0418501, 0.0338279, 0.0251554, 0.0205022, 0.0144234, 0.0104174, 0.00815695, 0.00522888, 0.0037426, 0.00190349, 0.000966047, 0.000342034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.06633, 1.07634, 1.07857, 1.08301, 1.09478, 1.10106, 1.10907, 1.11611, 1.12273, 1.12927, 1.1358, 1.14139, 1.14584, 1.15097, 1.15753, 1.16204, 1.16519, 1.17151, 1.17781, 1.18241, 1.1909, 1.19736, 1.20182, 1.21076, 1.21591, 1.23661, 1.24719, 1.25185");
-            values ( \
-              "0.00329468, 0.01225, 0.0148123, 0.020278, 0.0366856, 0.0444771, 0.0527429, 0.0576017, 0.0598687, 0.0592556, 0.0531975, 0.0426163, 0.034972, 0.0276959, 0.0203721, 0.0164816, 0.0141293, 0.0103211, 0.00755112, 0.00600369, 0.00392396, 0.00287687, 0.00232673, 0.00153153, 0.00121471, 0.000613049, 0.000412819, 0.000360536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("1.10976, 1.11429, 1.12024, 1.12317, 1.12708, 1.13923, 1.14666, 1.15239, 1.16298, 1.17255, 1.18182, 1.19107, 1.19284, 1.19473, 1.19786, 1.20141, 1.20682, 1.21337, 1.21601, 1.21887, 1.22298, 1.22772, 1.23443, 1.23879, 1.2446, 1.25347, 1.25638, 1.25954, 1.26376, 1.27047, 1.27989, 1.28358, 1.29097, 1.30573, 1.33345");
-            values ( \
-              "0.00958809, 0.0128806, 0.0196978, 0.0240313, 0.0302514, 0.051126, 0.0629845, 0.070753, 0.0815626, 0.0866362, 0.0877891, 0.0844201, 0.0829365, 0.0810728, 0.0772558, 0.07196, 0.0630312, 0.0527173, 0.0490024, 0.0455157, 0.0401035, 0.0348561, 0.0281521, 0.024513, 0.0202696, 0.0150254, 0.0136297, 0.012241, 0.0105968, 0.00841218, 0.00606827, 0.00534807, 0.0041452, 0.00247832, 0.000908827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.19295, 1.20366, 1.22657, 1.2451, 1.26075, 1.27551, 1.29028, 1.30506, 1.3151, 1.35105, 1.37355, 1.39819, 1.41454, 1.43374, 1.45068, 1.48143, 1.50807, 1.54664");
-            values ( \
-              "0.0340328, 0.0353294, 0.0772159, 0.101809, 0.112043, 0.114397, 0.111984, 0.104617, 0.0959557, 0.0574278, 0.0385903, 0.0241031, 0.0173592, 0.0116876, 0.0081774, 0.00425849, 0.00237482, 0.00109009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.32255, 1.34282, 1.36771, 1.37847, 1.39533, 1.4074, 1.43054, 1.43357, 1.4495, 1.45954, 1.47963, 1.50022, 1.51532, 1.54481, 1.59014, 1.62498, 1.64927, 1.66823, 1.69351, 1.72638, 1.74589, 1.78049, 1.81991, 1.85138, 1.91431, 1.9576");
-            values ( \
-              "0.0213784, 0.0470769, 0.0906132, 0.106364, 0.123239, 0.130025, 0.134714, 0.134735, 0.133437, 0.13178, 0.127285, 0.120829, 0.114487, 0.0982073, 0.0699579, 0.0513164, 0.0405106, 0.0334154, 0.0255799, 0.0178407, 0.0143368, 0.00960944, 0.00608098, 0.00419113, 0.00191709, 0.0012839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.57808, 1.60761, 1.62862, 1.64666, 1.65932, 1.68252, 1.70984, 1.71491, 1.73062, 1.75156, 1.77369, 1.8032, 1.84192, 1.86285, 1.90472, 1.92019, 1.94986, 2.06791, 2.13168, 2.18265, 2.22339, 2.25487, 2.30262, 2.31604, 2.34288, 2.38472, 2.44443, 2.47028, 2.52197, 2.62535, 2.73612, 2.84689, 2.95766");
-            values ( \
-              "0.0547208, 0.0688427, 0.0996791, 0.121261, 0.131955, 0.143386, 0.147607, 0.147694, 0.147294, 0.145774, 0.143443, 0.139789, 0.134316, 0.131004, 0.12303, 0.119398, 0.11146, 0.073962, 0.0556411, 0.0433008, 0.035042, 0.0295822, 0.0226823, 0.0210335, 0.0180446, 0.014157, 0.00993065, 0.00851787, 0.0062341, 0.00326899, 0.00161657, 0.000799046, 0.000390906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("2.077, 2.18847, 2.21613, 2.25103, 2.28269, 2.35774, 2.51475, 2.61213, 2.68686, 2.84432, 3.06898, 3.23079, 3.36209, 3.45149, 3.56863, 3.74532, 3.84504, 3.99125, 4.10202, 4.32356, 4.41398");
-            values ( \
-              "0.0589152, 0.139633, 0.14962, 0.154153, 0.154498, 0.151535, 0.141854, 0.13461, 0.12782, 0.107278, 0.071382, 0.0491178, 0.035098, 0.0275796, 0.0198566, 0.0118853, 0.00885123, 0.00570922, 0.00409608, 0.00208654, 0.00180638" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00655214, 0.00657625, 0.00660282, 0.00662558, 0.00664117, 0.00665033", \
-            "0.00746451, 0.00749115, 0.00752286, 0.007552, 0.00757302, 0.00758575", \
-            "0.00801633, 0.00803626, 0.00806237, 0.00808976, 0.00811116, 0.00812472", \
-            "0.00840222, 0.00840599, 0.00841421, 0.00842646, 0.00843937, 0.00844904", \
-            "0.00866845, 0.00865462, 0.00863857, 0.00862719, 0.00862284, 0.00862279", \
-            "0.00884924, 0.00882841, 0.00879881, 0.00876419, 0.00873464, 0.00871597" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0081527, 0.00816596, 0.00817543, 0.00818525, 0.00819302, 0.00819564", \
-            "0.00819733, 0.00818026, 0.00815884, 0.00813688, 0.00811982, 0.00810921", \
-            "0.00808983, 0.00803902, 0.00797952, 0.00792378, 0.00788331, 0.00785879", \
-            "0.00803265, 0.00794938, 0.00785061, 0.00776022, 0.00769319, 0.00765128", \
-            "0.00821075, 0.00810794, 0.00793749, 0.00776267, 0.00763667, 0.00755714", \
-            "0.00770306, 0.0077474, 0.0079703, 0.00800448, 0.00776904, 0.00760642" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.190659, 0.199659, 0.208531, 0.224466, 0.23648, 0.242012, 0.247495, 0.253384, 0.266094, 0.270669, 0.276341, 0.282373, 0.289266, 0.297556");
-            values ( \
-              "-0.00597916, -0.021146, -0.0381493, -0.0594766, -0.069604, -0.071286, -0.0706725, -0.0614791, -0.0241126, -0.0153709, -0.00841909, -0.00439457, -0.00194011, -0.000899288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.214535, 0.234855, 0.249288, 0.258801, 0.2673, 0.275258, 0.282979, 0.290691, 0.296342, 0.315099, 0.329505, 0.342399, 0.353104, 0.353491");
-            values ( \
-              "-0.0106606, -0.0538899, -0.078635, -0.0910019, -0.0987231, -0.103744, -0.105448, -0.102388, -0.0941293, -0.0401287, -0.0156347, -0.00624642, -0.00277222, -0.00272421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.256112, 0.266487, 0.271199, 0.294269, 0.311096, 0.3251, 0.337865, 0.350035, 0.362032, 0.374023, 0.378364, 0.411919, 0.42425, 0.436397, 0.447851, 0.467409, 0.473639");
-            values ( \
-              "-0.0167628, -0.0276194, -0.0349287, -0.0854214, -0.112131, -0.126739, -0.135278, -0.139477, -0.139518, -0.132883, -0.128071, -0.0540918, -0.0344969, -0.0215195, -0.0135265, -0.00593303, -0.0049287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.350694, 0.369719, 0.395094, 0.401882, 0.415458, 0.427838, 0.450304, 0.47136, 0.492011, 0.512646, 0.517473, 0.522456, 0.532422, 0.538432, 0.546445, 0.559113, 0.578731, 0.591248, 0.603833, 0.620613, 0.62703, 0.639081, 0.650104, 0.671605, 0.683779, 0.708128, 0.756824, 0.814019");
-            values ( \
-              "-0.0206748, -0.0522517, -0.105825, -0.117415, -0.135507, -0.147497, -0.161466, -0.167549, -0.168634, -0.165021, -0.163273, -0.161135, -0.155338, -0.15052, -0.141985, -0.123775, -0.0927725, -0.0750436, -0.0597185, -0.0431469, -0.0379568, -0.0296934, -0.0235802, -0.014876, -0.0114182, -0.00661149, -0.00197966, -0.000435872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.535011, 0.567079, 0.580996, 0.597563, 0.608428, 0.630157, 0.651832, 0.680108, 0.691037, 0.710306, 0.729325, 0.768495, 0.788316, 0.80744, 0.847466, 0.861416, 0.877359, 0.936929, 0.961471, 0.986536, 1.01996, 1.03269, 1.05671, 1.07869, 1.1216, 1.14592, 1.19456, 1.27744, 1.36032, 1.44321");
-            values ( \
-              "-0.0564016, -0.0836269, -0.110426, -0.136448, -0.149385, -0.167465, -0.178552, -0.185905, -0.187372, -0.188349, -0.18823, -0.184829, -0.181701, -0.177719, -0.16467, -0.157517, -0.146851, -0.0967816, -0.0783452, -0.0622356, -0.0448915, -0.0395009, -0.0308794, -0.0245182, -0.01546, -0.011858, -0.006866, -0.00254199, -0.000934403, -0.000341703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.87887, 0.921571, 0.962283, 0.981873, 1.00825, 1.03413, 1.06118, 1.08587, 1.12354, 1.16039, 1.22011, 1.31228, 1.39687, 1.42588, 1.46457, 1.50142, 1.55056, 1.69126, 1.75279, 1.79192, 1.84265, 1.88514, 1.93288, 2.00047, 2.04321, 2.12237, 2.20525, 2.28813, 2.37101, 2.61965");
-            values ( \
-              "-0.060535, -0.0791961, -0.13983, -0.161408, -0.179714, -0.190366, -0.196488, -0.199488, -0.200819, -0.200405, -0.197596, -0.191085, -0.182068, -0.177853, -0.170658, -0.161173, -0.143297, -0.0844621, -0.0641003, -0.0532901, -0.0415664, -0.0335894, -0.0262893, -0.0184109, -0.0146862, -0.00959842, -0.00608535, -0.00384959, -0.00242646, -0.000607748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.204574, 0.221189, 0.232394, 0.239501, 0.245757, 0.251528, 0.257064, 0.26255, 0.268442, 0.281145, 0.285747, 0.293983, 0.297403, 0.304244, 0.307614");
-            values ( \
-              "-0.00277309, -0.033887, -0.0503331, -0.0591875, -0.0651209, -0.0693724, -0.0714034, -0.0704887, -0.0614356, -0.024152, -0.015369, -0.00638266, -0.00438758, -0.00198557, -0.00154251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.22728, 0.237973, 0.252717, 0.264418, 0.273906, 0.280665, 0.28587, 0.290361, 0.298079, 0.305796, 0.311405, 0.330214, 0.336367, 0.344615, 0.349486, 0.357523, 0.368238, 0.384528, 0.404428");
-            values ( \
-              "-0.00210221, -0.0280917, -0.0595104, -0.0787912, -0.0908473, -0.097358, -0.101174, -0.103572, -0.105484, -0.102252, -0.0941799, -0.0401103, -0.0272858, -0.0156346, -0.0111185, -0.00624011, -0.00277031, -0.00074127, -0.000183121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.270994, 0.282537, 0.286821, 0.3094, 0.326218, 0.340229, 0.352995, 0.365168, 0.377167, 0.389159, 0.393505, 0.40306, 0.427062, 0.439394, 0.451537, 0.462996, 0.479742");
-            values ( \
-              "-0.0165454, -0.0291477, -0.0359376, -0.0853804, -0.112024, -0.126789, -0.135193, -0.139508, -0.139442, -0.13291, -0.128046, -0.109775, -0.054084, -0.0344924, -0.0215199, -0.0135251, -0.00702521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.368965, 0.384583, 0.399843, 0.416898, 0.428464, 0.442884, 0.465368, 0.486425, 0.507081, 0.52772, 0.532524, 0.537482, 0.547399, 0.5537, 0.562101, 0.593866, 0.60634, 0.618884, 0.635609, 0.64209, 0.654259, 0.665251, 0.686689, 0.6988, 0.723021, 0.771463, 0.828044");
-            values ( \
-              "-0.036922, -0.0519233, -0.0853494, -0.117364, -0.132852, -0.147566, -0.161254, -0.16763, -0.168474, -0.165115, -0.163258, -0.161137, -0.15539, -0.15033, -0.141254, -0.092678, -0.0750215, -0.0597467, -0.0432166, -0.0379705, -0.0296326, -0.0235469, -0.0148752, -0.0114335, -0.00664085, -0.00200444, -0.000451813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.548415, 0.571292, 0.596108, 0.612516, 0.623506, 0.645487, 0.6669, 0.695, 0.706099, 0.725368, 0.744386, 0.783559, 0.803446, 0.822503, 0.862532, 0.876474, 0.892407, 0.951994, 0.976538, 1.0016, 1.04776, 1.07178, 1.09376, 1.13667, 1.16099, 1.20964, 1.29252, 1.3754, 1.45828");
-            values ( \
-              "-0.0620545, -0.0637143, -0.110681, -0.136351, -0.149496, -0.167645, -0.178598, -0.185867, -0.187416, -0.188323, -0.188258, -0.184821, -0.181682, -0.177713, -0.164663, -0.157517, -0.146861, -0.0967812, -0.078344, -0.062234, -0.0395, -0.0308798, -0.0245183, -0.0154597, -0.0118576, -0.00686541, -0.00254182, -0.000934336, -0.000341699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.901359, 0.949038, 0.977618, 0.996413, 1.02351, 1.05389, 1.07849, 1.10109, 1.13872, 1.17561, 1.25047, 1.3275, 1.41208, 1.44102, 1.47962, 1.51699, 1.56682, 1.70514, 1.74727, 1.80833, 1.85836, 1.89947, 1.94753, 2.01537, 2.05863, 2.13899, 2.22187, 2.30475, 2.38763, 2.41954");
-            values ( \
-              "-0.0711678, -0.0980315, -0.140308, -0.161063, -0.179923, -0.191844, -0.196797, -0.199543, -0.200773, -0.200448, -0.196822, -0.191124, -0.182069, -0.177865, -0.170692, -0.161065, -0.142866, -0.0849527, -0.0705049, -0.0529899, -0.0414691, -0.0337442, -0.0263702, -0.0184418, -0.0146725, -0.0095268, -0.00603805, -0.003821, -0.00240691, -0.00217556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.239885, 0.258301, 0.274253, 0.286282, 0.29182, 0.29731, 0.303204, 0.315944, 0.32048, 0.323719, 0.328781, 0.332276, 0.339267, 0.343639");
-            values ( \
-              "-0.00418329, -0.0381354, -0.0594266, -0.069538, -0.0711871, -0.0706144, -0.0614388, -0.0240671, -0.0154133, -0.0110135, -0.00635405, -0.00434359, -0.0019186, -0.0013643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.265198, 0.271712, 0.287006, 0.29609, 0.30465, 0.308848, 0.317378, 0.325341, 0.333071, 0.340792, 0.346397, 0.365218, 0.371373, 0.379624, 0.384495, 0.392532, 0.403248, 0.408602");
-            values ( \
-              "-0.018081, -0.0252956, -0.058012, -0.0736639, -0.0858502, -0.090702, -0.0987205, -0.103512, -0.10544, -0.102198, -0.0941553, -0.0401045, -0.0272822, -0.0156331, -0.0111189, -0.00624213, -0.00276779, -0.00209974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.307678, 0.314247, 0.320116, 0.34431, 0.361132, 0.375144, 0.387915, 0.400091, 0.412094, 0.42409, 0.428426, 0.461995, 0.47433, 0.486491, 0.497939, 0.517476, 0.529736");
-            values ( \
-              "-0.0178934, -0.0241833, -0.0329361, -0.0855262, -0.112128, -0.126638, -0.135255, -0.139371, -0.139488, -0.132791, -0.128028, -0.0540865, -0.0344933, -0.0215079, -0.0135239, -0.00593872, -0.00394529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.411599, 0.429568, 0.43565, 0.441202, 0.446753, 0.452721, 0.455705, 0.458688, 0.461672, 0.464656, 0.471693, 0.478729, 0.483917, 0.489105, 0.494292, 0.501183, 0.507997, 0.514114, 0.516825, 0.522246, 0.532575, 0.542905, 0.553234, 0.563861, 0.56819, 0.571773, 0.575356, 0.582521, 0.585324, 0.588128, 0.590931, 0.595274, 0.599616, 0.607448, 0.615016, 0.629886, 0.636057, 0.646367, 0.652578, 0.658788, 0.662928, 0.667068, 0.671209, 0.68146, 0.685037, 0.688614, 0.693127, 0.701301, 0.712769, 0.722551");
-            values ( \
-              "-0.0685904, -0.071728, -0.0854988, -0.0969172, -0.107593, -0.118242, -0.122888, -0.1272, -0.131177, -0.134819, -0.141541, -0.14749, -0.151298, -0.154741, -0.157822, -0.161351, -0.164232, -0.166384, -0.167184, -0.168511, -0.168069, -0.167305, -0.165955, -0.164766, -0.163211, -0.161592, -0.159796, -0.155677, -0.153694, -0.151244, -0.148514, -0.143678, -0.13829, -0.126942, -0.115594, -0.0921985, -0.0834453, -0.0691062, -0.0617261, -0.0550479, -0.0509247, -0.0470371, -0.0433853, -0.0352241, -0.0326114, -0.0301962, -0.0275189, -0.0232646, -0.0183239, -0.0145338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.582764, 0.607249, 0.631797, 0.643187, 0.659047, 0.678688, 0.702387, 0.730754, 0.741552, 0.760818, 0.779839, 0.81802, 0.838983, 0.857964, 0.895925, 0.900045, 0.908283, 0.924759, 0.987106, 1.01192, 1.03723, 1.07098, 1.08334, 1.10666, 1.12882, 1.15976, 1.17211, 1.19683, 1.24625, 1.32913, 1.41201, 1.49489");
-            values ( \
-              "-0.052792, -0.0646883, -0.111265, -0.130156, -0.149758, -0.166245, -0.17861, -0.185976, -0.187349, -0.18838, -0.188179, -0.184921, -0.181649, -0.177698, -0.165549, -0.163726, -0.159537, -0.14913, -0.0970636, -0.078392, -0.0621295, -0.0446693, -0.0394464, -0.0310684, -0.0246164, -0.017666, -0.0154578, -0.0118096, -0.00677109, -0.00250962, -0.000919458, -0.000339233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.941037, 0.977234, 1.01555, 1.03532, 1.0608, 1.079, 1.10327, 1.13812, 1.17589, 1.21258, 1.26871, 1.32537, 1.36448, 1.44886, 1.47658, 1.51354, 1.56009, 1.62028, 1.72769, 1.78888, 1.82129, 1.88611, 1.93512, 1.97015, 2.04022, 2.08161, 2.14224, 2.22308, 2.30596, 2.38884, 2.47173, 2.63749");
-            values ( \
-              "-0.0767463, -0.0880492, -0.144227, -0.164358, -0.181087, -0.18873, -0.195199, -0.199787, -0.200997, -0.200419, -0.197843, -0.194126, -0.191044, -0.182085, -0.178083, -0.171347, -0.159163, -0.135858, -0.0904389, -0.0690851, -0.0594565, -0.0434012, -0.033975, -0.0284152, -0.0196664, -0.0158032, -0.0114297, -0.00735121, -0.00464796, -0.0029396, -0.00184822, -0.000731003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.322036, 0.340073, 0.35606, 0.368106, 0.373649, 0.379144, 0.385043, 0.397813, 0.402299, 0.405504, 0.410651, 0.414202, 0.421305, 0.422257");
-            values ( \
-              "-0.0057184, -0.0380196, -0.0593331, -0.0694656, -0.0711133, -0.0705629, -0.0614056, -0.0240086, -0.015455, -0.0110767, -0.00634359, -0.00429882, -0.00188142, -0.0017621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.347013, 0.355514, 0.358988, 0.367651, 0.375742, 0.382048, 0.391583, 0.4001, 0.408071, 0.415805, 0.423529, 0.426621, 0.42914, 0.431986, 0.441738, 0.447959, 0.454117, 0.456868, 0.462371, 0.467242, 0.46992, 0.475277, 0.484859");
-            values ( \
-              "-0.0169768, -0.0279022, -0.0346936, -0.0538716, -0.0686223, -0.078419, -0.0908112, -0.0985325, -0.103574, -0.105288, -0.102257, -0.0984796, -0.0941142, -0.0872492, -0.0569174, -0.0400984, -0.0272752, -0.0227721, -0.0156259, -0.0111145, -0.00919358, -0.00623975, -0.00313722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.391397, 0.42153, 0.437618, 0.44396, 0.456645, 0.460587, 0.47071, 0.480483, 0.487695, 0.494869, 0.506852, 0.511514, 0.520783, 0.537998, 0.544796, 0.55638, 0.567926, 0.576798, 0.586006, 0.593058, 0.607164, 0.622766");
-            values ( \
-              "-0.0083852, -0.0742015, -0.103445, -0.111971, -0.125609, -0.128749, -0.135111, -0.138806, -0.139892, -0.139288, -0.132844, -0.127563, -0.109717, -0.0678864, -0.0540678, -0.0355143, -0.0227196, -0.0158799, -0.0109005, -0.00812684, -0.00443296, -0.00257577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.47707, 0.492841, 0.500612, 0.536425, 0.547649, 0.562431, 0.584885, 0.594578, 0.605949, 0.62661, 0.651898, 0.666237, 0.67462, 0.738358, 0.761594, 0.785011, 0.806263, 0.842028, 0.855526");
-            values ( \
-              "-0.0162382, -0.0320145, -0.0447721, -0.117227, -0.132366, -0.147459, -0.161332, -0.164774, -0.167283, -0.168517, -0.163248, -0.155825, -0.148996, -0.0598297, -0.0380102, -0.0234475, -0.0148721, -0.00672783, -0.00540784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.668651, 0.691937, 0.716097, 0.729987, 0.743217, 0.768489, 0.786477, 0.814243, 0.825683, 0.844949, 0.863964, 0.902142, 0.923335, 0.942089, 0.984165, 0.993299, 1.01102, 1.07146, 1.09609, 1.12124, 1.15477, 1.16738, 1.19117, 1.21321, 1.24402, 1.25625, 1.2807, 1.32959, 1.41248, 1.49536, 1.57824");
-            values ( \
-              "-0.0571685, -0.0663745, -0.112276, -0.134504, -0.15008, -0.170306, -0.17861, -0.186048, -0.187297, -0.188493, -0.188111, -0.185003, -0.181605, -0.177695, -0.163722, -0.159039, -0.147569, -0.0968724, -0.0783494, -0.0621925, -0.0448219, -0.0394764, -0.0309417, -0.0245457, -0.0176408, -0.0154558, -0.0118443, -0.00683227, -0.00253398, -0.000927118, -0.000343378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("1.03537, 1.14447, 1.17159, 1.19815, 1.22194, 1.25954, 1.29641, 1.35515, 1.44832, 1.53286, 1.59981, 1.63911, 1.69151, 1.82101, 1.89783, 1.99213, 2.03801, 2.09918, 2.19595, 2.2608, 2.34369, 2.48519");
-            values ( \
-              "-0.0935353, -0.180561, -0.191305, -0.197037, -0.19961, -0.200953, -0.200361, -0.197704, -0.191015, -0.182054, -0.170826, -0.16067, -0.141243, -0.0867966, -0.0614827, -0.0388852, -0.0308394, -0.0224397, -0.0134457, -0.00946726, -0.00600402, -0.00292277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.510112, 0.514407, 0.530581, 0.543697, 0.550018, 0.555839, 0.561416, 0.566936, 0.572857, 0.585774, 0.59003, 0.596916, 0.605044, 0.613412");
-            values ( \
-              "-0.0119827, -0.0144271, -0.041417, -0.0584527, -0.0645285, -0.068813, -0.070944, -0.0700829, -0.0611685, -0.023705, -0.0156287, -0.00755268, -0.00304972, -0.00124695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.53789, 0.559107, 0.56923, 0.574253, 0.583826, 0.592373, 0.600366, 0.608117, 0.615859, 0.621389, 0.624328, 0.64032, 0.64648, 0.654734, 0.659613, 0.667661, 0.678393, 0.685594");
-            values ( \
-              "-0.00603285, -0.0520332, -0.0703246, -0.0780834, -0.0904397, -0.0983006, -0.103274, -0.105107, -0.102033, -0.0941513, -0.0871344, -0.0400597, -0.0272565, -0.0156229, -0.0111087, -0.00623338, -0.00276325, -0.00185857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.587887, 0.599149, 0.603247, 0.608698, 0.614145, 0.625039, 0.632681, 0.640831, 0.645518, 0.651312, 0.656384, 0.666528, 0.669172, 0.674458, 0.681355, 0.693371, 0.705386, 0.709677, 0.714129, 0.719297, 0.729634, 0.736601, 0.743288, 0.752204, 0.755635, 0.762023, 0.767867, 0.776037, 0.779268, 0.78573, 0.798653, 0.800886");
-            values ( \
-              "-0.0169181, -0.0302791, -0.0367264, -0.0484139, -0.0613801, -0.0842442, -0.0978176, -0.10982, -0.115588, -0.121787, -0.126391, -0.133531, -0.134929, -0.137242, -0.139176, -0.139265, -0.132692, -0.127975, -0.120834, -0.109685, -0.0839037, -0.0676961, -0.0541088, -0.0392224, -0.0345033, -0.0270144, -0.0214573, -0.0154216, -0.01352, -0.0103595, -0.00597802, -0.00560978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.684711, 0.704656, 0.719937, 0.7313, 0.737003, 0.74841, 0.763009, 0.78393, 0.794683, 0.806533, 0.827195, 0.847855, 0.852488, 0.857271, 0.866836, 0.875187, 0.883892, 0.914183, 0.926526, 0.938948, 0.955511, 0.962185, 0.974701, 0.985601, 1.00089, 1.00685, 1.01878, 1.04262, 1.08936, 1.14371");
-            values ( \
-              "-0.0178003, -0.0517347, -0.0850506, -0.107515, -0.117092, -0.132719, -0.147322, -0.16059, -0.164584, -0.167303, -0.168475, -0.164886, -0.163237, -0.161216, -0.155809, -0.14901, -0.139092, -0.0923979, -0.0749532, -0.0598289, -0.0434255, -0.0380102, -0.0294532, -0.0234485, -0.0169099, -0.0148728, -0.011479, -0.00672822, -0.00214052, -0.000523875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.8701, 0.893556, 0.917832, 0.931719, 0.944995, 0.970302, 0.988279, 1.00892, 1.02747, 1.06263, 1.08459, 1.10427, 1.14295, 1.17116, 1.18589, 1.21534, 1.29341, 1.31936, 1.33686, 1.36486, 1.38917, 1.42159, 1.45616, 1.47471, 1.51179, 1.58597, 1.66885, 1.75173");
-            values ( \
-              "-0.0567768, -0.0658693, -0.111969, -0.134262, -0.149941, -0.170243, -0.178559, -0.184645, -0.187235, -0.188451, -0.186956, -0.184885, -0.177929, -0.169732, -0.163703, -0.145682, -0.0815598, -0.0644256, -0.0545271, -0.0413022, -0.0322226, -0.0228842, -0.0157862, -0.0129071, -0.00855861, -0.00358677, -0.00131229, -0.000488803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("1.22995, 1.2851, 1.30149, 1.32049, 1.34258, 1.37145, 1.39888, 1.42404, 1.46165, 1.49849, 1.55686, 1.6504, 1.73491, 1.7634, 1.80139, 1.84219, 1.89658, 2.01351, 2.07876, 2.11756, 2.17655, 2.23144, 2.30463, 2.34881, 2.39852, 2.46479, 2.54768, 2.63056, 2.71344, 2.96208");
-            values ( \
-              "-0.0696523, -0.121827, -0.144287, -0.163808, -0.178836, -0.190864, -0.196888, -0.199726, -0.20094, -0.200421, -0.197685, -0.191056, -0.182062, -0.177934, -0.17093, -0.16036, -0.139982, -0.0904281, -0.0678239, -0.056575, -0.0424462, -0.0322187, -0.0219977, -0.0174332, -0.0133868, -0.00934672, -0.00593166, -0.00374477, -0.00236718, -0.000587624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.912043, 0.921745, 0.934729, 0.947046, 0.959157, 0.964716, 0.97022, 0.976127, 0.988941, 0.996508, 1.00177, 1.00539, 1.01264, 1.02298, 1.032");
-            values ( \
-              "-0.00694098, -0.0200605, -0.0423185, -0.0585152, -0.0690582, -0.0711527, -0.070282, -0.0613022, -0.02393, -0.01118, -0.00633851, -0.00424644, -0.00183504, -0.000557991, -0.000472408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.954455, 0.961975, 0.962669, 0.964056, 0.965791, 0.967178, 0.969314, 0.973584, 0.977494, 0.981255, 0.985016, 0.98611, 0.989391, 0.993766, 0.998141, 1.00065, 1.004, 1.00663, 1.00751, 1.01102, 1.01551, 1.01699, 1.01847, 1.01945, 1.02143, 1.02279, 1.02415, 1.02506, 1.02688, 1.02791, 1.02894, 1.031, 1.03295, 1.03491, 1.03686, 1.03882, 1.03951, 1.04089, 1.04919, 1.05082, 1.05313, 1.05512, 1.05692, 1.0592, 1.06165, 1.06425, 1.06685, 1.06945, 1.07233, 1.07473");
-            values ( \
-              "-0.0169124, -0.026006, -0.027168, -0.0297021, -0.0331465, -0.036103, -0.041122, -0.0500347, -0.0575733, -0.0645146, -0.0711503, -0.0728724, -0.0778214, -0.0839127, -0.0894243, -0.0922339, -0.0956285, -0.0977769, -0.0984407, -0.100726, -0.10336, -0.103979, -0.104479, -0.104746, -0.105123, -0.105002, -0.104773, -0.104559, -0.103987, -0.103271, -0.10244, -0.100434, -0.0981051, -0.0953614, -0.0922034, -0.0886313, -0.0870741, -0.0830369, -0.0570665, -0.0523162, -0.0456691, -0.040769, -0.0367128, -0.0318873, -0.0269862, -0.0226262, -0.019118, -0.0160403, -0.0131892, -0.0109881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("1.02974, 1.03902, 1.04106, 1.05205, 1.05757, 1.06379, 1.06774, 1.0717, 1.07772, 1.07979, 1.08186, 1.086, 1.09093, 1.09492, 1.0974, 1.09988, 1.10451, 1.10682, 1.11145, 1.1167, 1.11964, 1.12258, 1.12411, 1.12717, 1.1287, 1.1317, 1.1347, 1.1377, 1.14101, 1.14224, 1.1441, 1.14641, 1.14856, 1.14964, 1.15214, 1.15464, 1.16214, 1.16644, 1.17187, 1.17863, 1.18245, 1.18535, 1.19024, 1.19287, 1.1955, 1.20022, 1.20401, 1.20845, 1.21142, 1.21414");
-            values ( \
-              "-0.0341145, -0.0379764, -0.0420692, -0.0665423, -0.0780529, -0.0904371, -0.0972399, -0.103327, -0.111963, -0.114425, -0.116773, -0.121059, -0.125743, -0.129019, -0.130848, -0.132531, -0.135037, -0.136036, -0.1378, -0.139422, -0.139557, -0.139415, -0.139227, -0.138635, -0.13823, -0.137163, -0.135861, -0.134325, -0.13236, -0.13117, -0.128914, -0.125528, -0.121865, -0.119865, -0.114616, -0.109116, -0.090576, -0.080326, -0.067834, -0.0537348, -0.0471326, -0.0425706, -0.0354636, -0.0318905, -0.0286378, -0.0239496, -0.0206786, -0.0173449, -0.0153823, -0.0137875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("1.14535, 1.16746, 1.18325, 1.2007, 1.21593, 1.22685, 1.23826, 1.24932, 1.27048, 1.27754, 1.29121, 1.30892, 1.31806, 1.33024, 1.34071, 1.35466, 1.38164, 1.40036, 1.41068, 1.42421, 1.43649, 1.45286, 1.46544, 1.47927, 1.4977, 1.53457, 1.58566, 1.64823");
-            values ( \
-              "-0.0130205, -0.0497362, -0.0829396, -0.115749, -0.136179, -0.146765, -0.154825, -0.160738, -0.166958, -0.167701, -0.168102, -0.165321, -0.162297, -0.15599, -0.147367, -0.129463, -0.0874321, -0.0629085, -0.0517998, -0.0396803, -0.0309263, -0.0219373, -0.0167511, -0.012425, -0.0082845, -0.00348538, -0.000963604, -0.000166634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("1.35245, 1.37737, 1.40082, 1.41598, 1.42835, 1.45057, 1.47158, 1.49233, 1.51078, 1.54522, 1.57206, 1.58758, 1.61862, 1.64443, 1.6692, 1.71194, 1.75304, 1.79696, 1.82521, 1.84987, 1.8701, 1.89369, 1.93834, 1.98754, 2.04002, 2.1229, 2.20579");
-            values ( \
-              "-0.0475571, -0.0679307, -0.112101, -0.135689, -0.150221, -0.168345, -0.178669, -0.184599, -0.187286, -0.188383, -0.186577, -0.184826, -0.179604, -0.173035, -0.163685, -0.135179, -0.0999562, -0.0681122, -0.0520622, -0.0407263, -0.033136, -0.0258964, -0.0160338, -0.00936414, -0.00517258, -0.00190625, -0.000701468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("1.65334, 1.86508, 1.90865, 1.94625, 1.98316, 2.05818, 2.13507, 2.21949, 2.24744, 2.2847, 2.32956, 2.38939, 2.51832, 2.57101, 2.60731, 2.66341, 2.71208, 2.77697, 2.82968, 2.88746, 2.96449, 3.04737, 3.13025, 3.21314, 3.21414");
-            values ( \
-              "-0.00779427, -0.19305, -0.199658, -0.200689, -0.200518, -0.196868, -0.191179, -0.182079, -0.178039, -0.17121, -0.159505, -0.136511, -0.0829646, -0.0655133, -0.0552268, -0.0420115, -0.0329086, -0.0234935, -0.0178149, -0.0131048, -0.00860306, -0.00546677, -0.00343915, -0.00218397, -0.00217728" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00571766, 0.00572799, 0.00573986, 0.00575055, 0.00575821, 0.00576284", \
-            "0.00623282, 0.00624094, 0.00625127, 0.00626138, 0.00626923, 0.00627431", \
-            "0.00651212, 0.00651655, 0.00652308, 0.00653051, 0.00653692, 0.00654133", \
-            "0.00668129, 0.00668185, 0.00668351, 0.00668632, 0.0066897, 0.00669257", \
-            "0.00678628, 0.00678306, 0.00678078, 0.00677866, 0.00677776, 0.00677789", \
-            "0.00684892, 0.00684641, 0.00684263, 0.00683798, 0.00683367, 0.00683079" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00724146, 0.00725008, 0.00725983, 0.00726899, 0.00727665, 0.00728129", \
-            "0.007632, 0.00763253, 0.00763389, 0.00763557, 0.00763653, 0.0076381", \
-            "0.00785877, 0.00784747, 0.00783426, 0.0078226, 0.00781426, 0.00780939", \
-            "0.00811812, 0.00809199, 0.00805941, 0.00802774, 0.00800315, 0.00798746", \
-            "0.00839619, 0.00834547, 0.00827831, 0.00821419, 0.00816385, 0.00812969", \
-            "0.00871975, 0.00863633, 0.00851179, 0.00837256, 0.0082637, 0.00819297" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(A * B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.083169, 0.0990743, 0.128993, 0.188706, 0.311778, 0.566858", \
-            "0.0868896, 0.102802, 0.132728, 0.192491, 0.315545, 0.57061", \
-            "0.096087, 0.111924, 0.141883, 0.201718, 0.324844, 0.579927", \
-            "0.11593, 0.13201, 0.161848, 0.221838, 0.345135, 0.600305", \
-            "0.140877, 0.157761, 0.188543, 0.249002, 0.372539, 0.627907", \
-            "0.159747, 0.178991, 0.211479, 0.272391, 0.396078, 0.65191" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0369919, 0.0557905, 0.0958033, 0.181281, 0.360564, 0.73309", \
-            "0.0369868, 0.05576, 0.0958286, 0.181289, 0.360564, 0.73309", \
-            "0.0370858, 0.0558484, 0.0958345, 0.181247, 0.360565, 0.73309", \
-            "0.0384533, 0.0567553, 0.096257, 0.181363, 0.360571, 0.733094", \
-            "0.0429305, 0.060324, 0.0985359, 0.182582, 0.3611, 0.733106", \
-            "0.0522352, 0.0686748, 0.104085, 0.1849, 0.361825, 0.733946" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0542883, 0.0628856, 0.0670701, 0.0732629, 0.0772901, 0.0845553, 0.0867194, 0.0907313, 0.0963552, 0.102037, 0.110157, 0.120739, 0.128856, 0.137268, 0.14651, 0.155902, 0.172856, 0.190133");
-            values ( \
-              "0.00357054, 0.0416865, 0.0513885, 0.0625006, 0.0669717, 0.0710922, 0.0714008, 0.0708769, 0.0673013, 0.0578626, 0.0397555, 0.0238563, 0.0159103, 0.0102572, 0.00626762, 0.00376783, 0.0014603, 0.000883816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0586883, 0.0626283, 0.0668613, 0.0725084, 0.0772192, 0.0818703, 0.0902876, 0.0984432, 0.107087, 0.115262, 0.123621, 0.133397, 0.1451, 0.159785, 0.168609, 0.177902, 0.189045, 0.199073, 0.21791, 0.23429, 0.248106");
-            values ( \
-              "0.0317338, 0.0502323, 0.0642382, 0.0783965, 0.0866968, 0.0929587, 0.0984823, 0.0995847, 0.0965941, 0.0899371, 0.077631, 0.0597025, 0.0423379, 0.0264919, 0.0196834, 0.014267, 0.00961591, 0.00669859, 0.00338372, 0.00184058, 0.00124585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0620357, 0.0722633, 0.08075, 0.0892476, 0.0952329, 0.106849, 0.112889, 0.120942, 0.125477, 0.136593, 0.147968, 0.151709, 0.15919, 0.168892, 0.186843, 0.195036, 0.209052, 0.225669, 0.233235, 0.25532, 0.267648, 0.284086, 0.296685, 0.301343, 0.310661, 0.329296, 0.365045, 0.416478, 0.479709");
-            values ( \
-              "0.0741851, 0.0894924, 0.107399, 0.117747, 0.121492, 0.124047, 0.123442, 0.121655, 0.120188, 0.115403, 0.108345, 0.105548, 0.098525, 0.0880836, 0.067455, 0.0592908, 0.0465924, 0.0343219, 0.0296816, 0.01905, 0.0147528, 0.0106414, 0.00789762, 0.00739549, 0.00581168, 0.00407519, 0.00160063, 0.000606884, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.062022, 0.0702156, 0.0765572, 0.0876099, 0.093998, 0.106005, 0.118959, 0.131273, 0.165841, 0.19626, 0.208569, 0.225255, 0.258381, 0.305563, 0.334583, 0.378802, 0.404654, 0.426853, 0.454971, 0.501999, 0.563021, 0.624316, 0.666887");
-            values ( \
-              "0.0794235, 0.089935, 0.108138, 0.127728, 0.133866, 0.140229, 0.141442, 0.140629, 0.133415, 0.124892, 0.120505, 0.113895, 0.0955928, 0.066769, 0.0515187, 0.0334876, 0.0252539, 0.0197952, 0.0144151, 0.00831612, 0.00398693, 0.00203811, 0.00125645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.066013, 0.0859299, 0.093939, 0.105935, 0.118254, 0.133215, 0.149324, 0.189443, 0.263856, 0.319443, 0.356367, 0.377466, 0.419662, 0.535654, 0.59257, 0.641958, 0.681134, 0.733368, 0.77452, 0.826719, 0.896318, 0.980979, 1.04947, 1.13104, 1.21262, 1.26532");
-            values ( \
-              "0.120195, 0.131334, 0.141299, 0.148981, 0.152232, 0.152668, 0.151856, 0.147374, 0.137692, 0.129385, 0.122701, 0.118065, 0.106871, 0.0707777, 0.0548613, 0.0430756, 0.0351882, 0.0265216, 0.0210855, 0.0156467, 0.010369, 0.00626138, 0.00412254, 0.00248878, 0.00149851, 0.0012457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0731494, 0.0731694, 0.261483, 0.465105, 0.574864, 0.656437, 0.693016, 0.75401, 0.826667, 0.995228, 1.09889, 1.18046, 1.24194, 1.32355, 1.38911, 1.49795, 1.63404, 1.77702, 1.86368, 2.02683, 2.18998, 2.35313, 2.84257");
-            values ( \
-              "1e-22, 0.163872, 0.153094, 0.139944, 0.131849, 0.124192, 0.120399, 0.112398, 0.101995, 0.075361, 0.0602738, 0.0499637, 0.0427005, 0.0348052, 0.0290005, 0.0214506, 0.0145203, 0.00952544, 0.00734767, 0.00446445, 0.00268301, 0.00158993, 0.000267282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0676129, 0.0813564, 0.0893513, 0.094008, 0.0984429, 0.101795, 0.105025, 0.110508, 0.111606, 0.115371, 0.124271, 0.13043, 0.136567, 0.144626, 0.15225, 0.163142, 0.169811, 0.181067, 0.196075, 0.208734");
-            values ( \
-              "0.00552873, 0.051101, 0.0646601, 0.0688443, 0.0708443, 0.071276, 0.0707208, 0.0674343, 0.0660366, 0.0597412, 0.0401609, 0.030068, 0.0222164, 0.014864, 0.0100094, 0.00562021, 0.00395549, 0.0021853, 0.000965761, 0.000726772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0714664, 0.0769692, 0.0812201, 0.0868872, 0.0948191, 0.099148, 0.104655, 0.112803, 0.121448, 0.129625, 0.138022, 0.151327, 0.16165, 0.174879, 0.183372, 0.192268, 0.20322, 0.213325, 0.232737, 0.249656, 0.261137");
-            values ( \
-              "0.00914986, 0.049515, 0.0644128, 0.0780251, 0.0916894, 0.0957081, 0.0984453, 0.0995721, 0.0965977, 0.0899402, 0.0775573, 0.0539998, 0.0395546, 0.0258645, 0.0194242, 0.0142754, 0.00968994, 0.00671566, 0.00333508, 0.00176222, 0.00129228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0743682, 0.0802915, 0.0841704, 0.0914022, 0.0950447, 0.10233, 0.109539, 0.121468, 0.1266, 0.133443, 0.143478, 0.150915, 0.165212, 0.17658, 0.205375, 0.22309, 0.236785, 0.248335, 0.257266, 0.273785, 0.292716, 0.309348, 0.331146, 0.360211, 0.402765");
-            values ( \
-              "0.0434696, 0.0696017, 0.0823408, 0.100554, 0.107252, 0.116378, 0.121443, 0.123957, 0.123535, 0.122112, 0.118689, 0.115326, 0.106239, 0.0954047, 0.0634061, 0.0469666, 0.0365731, 0.0293708, 0.0246438, 0.0176396, 0.011886, 0.00832214, 0.00519204, 0.00270022, 0.00103868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0778358, 0.089186, 0.0945824, 0.108361, 0.114609, 0.124232, 0.133278, 0.149757, 0.170492, 0.209517, 0.225356, 0.268091, 0.342986, 0.388117, 0.41512, 0.441091, 0.469269, 0.504479, 0.545126, 0.577802, 0.643153, 0.664283");
-            values ( \
-              "0.0972485, 0.103868, 0.116028, 0.134141, 0.138003, 0.140851, 0.141482, 0.139879, 0.135605, 0.125119, 0.11972, 0.0984045, 0.0545572, 0.0351285, 0.0264655, 0.019942, 0.0145453, 0.00967687, 0.0060258, 0.00408328, 0.0018031, 0.00159741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0823519, 0.108192, 0.119415, 0.132502, 0.146586, 0.163129, 0.179858, 0.279798, 0.330793, 0.37058, 0.391709, 0.433965, 0.549922, 0.607001, 0.656246, 0.695291, 0.74735, 0.788751, 0.841254, 0.911257, 0.994234, 1.06137, 1.14294, 1.22452, 1.46924");
-            values ( \
-              "0.137058, 0.141305, 0.148773, 0.152158, 0.152789, 0.151792, 0.150116, 0.137361, 0.129855, 0.122709, 0.118064, 0.106855, 0.070769, 0.0548113, 0.0430672, 0.0352055, 0.0265591, 0.0210862, 0.0156195, 0.0103265, 0.0062991, 0.00418176, 0.00252598, 0.0015198, 0.000330196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.0866344, 0.0866544, 0.25371, 0.456562, 0.588851, 0.670424, 0.767997, 0.840654, 1.04377, 1.11288, 1.19445, 1.26758, 1.33753, 1.4031, 1.51193, 1.56645, 1.64803, 1.70944, 1.8199, 1.95925, 2.12239, 2.28554, 2.44869, 2.61183, 2.85655");
-            values ( \
-              "1e-22, 0.162766, 0.154338, 0.141379, 0.131705, 0.124336, 0.112541, 0.101852, 0.0702382, 0.0604149, 0.0498225, 0.0415448, 0.0346656, 0.02914, 0.0215896, 0.0184697, 0.0146591, 0.0122254, 0.00883276, 0.00582837, 0.00355988, 0.00216382, 0.00130933, 0.000788064, 0.000404616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.104538, 0.10841, 0.115959, 0.119418, 0.122776, 0.128705, 0.134275, 0.139768, 0.145402, 0.149384, 0.159894, 0.168955, 0.173584, 0.179542, 0.187001, 0.190713, 0.198139, 0.204584, 0.215503, 0.230062, 0.250977, 0.276778");
-            values ( \
-              "0.00994533, 0.0302885, 0.0507529, 0.0575608, 0.0625588, 0.0686607, 0.0709446, 0.0707666, 0.067166, 0.0611379, 0.0386804, 0.0249824, 0.0199246, 0.014731, 0.0100077, 0.00823081, 0.00554425, 0.00394945, 0.00222165, 0.0010086, 0.000319084, 7.60457e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.106495, 0.111314, 0.115667, 0.121391, 0.125166, 0.130823, 0.139268, 0.147434, 0.155592, 0.159752, 0.164261, 0.17303, 0.180018, 0.18856, 0.197904, 0.205277, 0.211052, 0.218751, 0.23003, 0.23679, 0.247524, 0.259832, 0.269565, 0.289032, 0.307392");
-            values ( \
-              "0.0189746, 0.0480638, 0.0627314, 0.0774334, 0.084679, 0.0924451, 0.0984142, 0.0993372, 0.0968131, 0.0941404, 0.0899165, 0.0769229, 0.0639156, 0.0500607, 0.0376306, 0.0297175, 0.0245784, 0.0189221, 0.0127904, 0.0100597, 0.00682673, 0.00438251, 0.00306806, 0.00147171, 0.000842947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.109431, 0.114767, 0.12114, 0.129654, 0.136829, 0.144182, 0.155917, 0.161668, 0.175609, 0.183973, 0.194446, 0.213145, 0.243993, 0.267276, 0.283747, 0.292995, 0.308434, 0.326965, 0.343763, 0.374892, 0.401921, 0.435054");
-            values ( \
-              "0.0572067, 0.068274, 0.0886162, 0.10684, 0.115998, 0.121293, 0.123879, 0.123413, 0.119655, 0.116106, 0.11012, 0.0934064, 0.0594391, 0.0394811, 0.028954, 0.0240908, 0.0176122, 0.0119588, 0.0083894, 0.00423702, 0.00235005, 0.00121436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.112861, 0.12368, 0.129442, 0.13738, 0.143209, 0.154854, 0.168173, 0.176231, 0.18448, 0.209739, 0.236057, 0.264295, 0.274483, 0.29486, 0.347128, 0.381595, 0.407951, 0.430059, 0.447235, 0.470277, 0.489465, 0.511258, 0.542139, 0.574008, 0.598379, 0.647122, 0.728696, 0.810269");
-            values ( \
-              "0.101682, 0.102641, 0.115814, 0.128313, 0.133892, 0.14003, 0.141531, 0.140979, 0.139831, 0.134566, 0.127602, 0.118158, 0.113742, 0.103152, 0.0712642, 0.0526759, 0.0408773, 0.032643, 0.0272735, 0.0212032, 0.0171385, 0.0134271, 0.00935851, 0.00645159, 0.004873, 0.00266155, 0.000950463, 0.000294133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.11769, 0.144611, 0.154188, 0.167321, 0.182829, 0.198671, 0.214687, 0.312929, 0.365615, 0.405437, 0.426539, 0.468743, 0.58473, 0.641667, 0.691037, 0.730196, 0.782409, 0.823592, 0.87583, 0.94548, 1.02993, 1.09825, 1.17982, 1.26139, 1.50611");
-            values ( \
-              "0.141387, 0.142629, 0.148518, 0.152085, 0.152723, 0.151738, 0.150091, 0.137602, 0.129855, 0.122703, 0.118064, 0.106869, 0.0707758, 0.0548543, 0.0430752, 0.035191, 0.0265256, 0.021085, 0.0156427, 0.0103642, 0.00626664, 0.00412948, 0.00249395, 0.00150069, 0.000325849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.124366, 0.124386, 0.287792, 0.409954, 0.559114, 0.623816, 0.705389, 0.802962, 0.875619, 1.07873, 1.14784, 1.22942, 1.30255, 1.3725, 1.43806, 1.5469, 1.60142, 1.68299, 1.7444, 1.85486, 1.99421, 2.15736, 2.3205, 2.56522, 2.89152");
-            values ( \
-              "1e-22, 0.168156, 0.154362, 0.146719, 0.136642, 0.131733, 0.124308, 0.112513, 0.10188, 0.0702654, 0.0603878, 0.0498496, 0.0415713, 0.0346916, 0.0291141, 0.0215641, 0.0184952, 0.0146338, 0.0122506, 0.00885769, 0.00585309, 0.0035845, 0.0021883, 0.00103547, 0.000380762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.183342, 0.189227, 0.195478, 0.19729, 0.199102, 0.20013, 0.201158, 0.203215, 0.204117, 0.20502, 0.205922, 0.206824, 0.208199, 0.209513, 0.209908, 0.211486, 0.212076, 0.215463, 0.216925, 0.218386, 0.219092, 0.220504, 0.22121, 0.222641, 0.224072, 0.225503, 0.227024, 0.228295, 0.230474, 0.232652, 0.233375, 0.236256, 0.238549, 0.241119, 0.244596, 0.246139, 0.249031, 0.25408, 0.255472, 0.256864, 0.258256, 0.259649, 0.261041, 0.263825, 0.267717, 0.270991, 0.275356, 0.279234, 0.283112, 0.286612");
-            values ( \
-              "0.0277488, 0.0284484, 0.043295, 0.0468805, 0.0498489, 0.0522105, 0.0547939, 0.060626, 0.0607027, 0.0609068, 0.0612384, 0.0616974, 0.0626414, 0.0638937, 0.0643902, 0.0647703, 0.0650458, 0.0671171, 0.0674893, 0.0676956, 0.0677329, 0.0676972, 0.0676243, 0.0673638, 0.0669524, 0.0663902, 0.0656257, 0.0642325, 0.0610078, 0.0571225, 0.0556696, 0.0491648, 0.0443413, 0.0393769, 0.033243, 0.0309231, 0.0270195, 0.0211847, 0.0196838, 0.0183281, 0.017066, 0.0159537, 0.0148976, 0.0129538, 0.0106136, 0.00881311, 0.00704731, 0.00577602, 0.00471266, 0.00391865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.179753, 0.188984, 0.201247, 0.210221, 0.211472, 0.213129, 0.214859, 0.217417, 0.224645, 0.237042, 0.242436, 0.245766, 0.252427, 0.267091, 0.275393, 0.284879, 0.290179, 0.298813, 0.308483, 0.319826, 0.329775, 0.348016, 0.363839, 0.393352, 0.428393");
-            values ( \
-              "0.000180937, 0.034795, 0.0692603, 0.0856822, 0.085446, 0.0888751, 0.0896787, 0.0931126, 0.097018, 0.0960339, 0.092436, 0.0893041, 0.0802562, 0.0544532, 0.042681, 0.031559, 0.0266521, 0.0199444, 0.0142794, 0.00956341, 0.00665647, 0.00345794, 0.001903, 0.000590476, 0.000123226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.187366, 0.206558, 0.210444, 0.217117, 0.225379, 0.232572, 0.239235, 0.251536, 0.255867, 0.267159, 0.278697, 0.289489, 0.317412, 0.340872, 0.353545, 0.363301, 0.373078, 0.386144, 0.397828, 0.413407, 0.426876, 0.44503, 0.469236, 0.513369");
-            values ( \
-              "0.0464786, 0.093064, 0.100611, 0.109901, 0.117989, 0.121352, 0.122417, 0.120974, 0.119697, 0.11509, 0.108243, 0.0988783, 0.0675841, 0.0457213, 0.0362438, 0.0301089, 0.0248725, 0.0190898, 0.0150096, 0.0108137, 0.00809415, 0.00546695, 0.00320203, 0.00112954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.190964, 0.205383, 0.217718, 0.22419, 0.235395, 0.246785, 0.249469, 0.254837, 0.264482, 0.274279, 0.293873, 0.326866, 0.354192, 0.376545, 0.435549, 0.463834, 0.489571, 0.510756, 0.527098, 0.558079, 0.593171, 0.623838, 0.663801, 0.717084, 0.798657, 0.880231");
-            values ( \
-              "0.0775877, 0.0988561, 0.122177, 0.130255, 0.137843, 0.14053, 0.140701, 0.140629, 0.13976, 0.138128, 0.133882, 0.124754, 0.114606, 0.102968, 0.0672278, 0.0522809, 0.0407933, 0.0329021, 0.0277196, 0.0197894, 0.0133384, 0.00934123, 0.00584684, 0.00306233, 0.0010506, 0.000371443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.195666, 0.21599, 0.224293, 0.230439, 0.240184, 0.248926, 0.263584, 0.279946, 0.296386, 0.394634, 0.447284, 0.487245, 0.508243, 0.550238, 0.666347, 0.722713, 0.772583, 0.812759, 0.843581, 0.906403, 0.934056, 0.975781, 1.03548, 1.11252, 1.1941, 1.27567, 1.35724, 1.60197");
-            values ( \
-              "0.12136, 0.124999, 0.137363, 0.143271, 0.148803, 0.151223, 0.152387, 0.151669, 0.150045, 0.137609, 0.129861, 0.122681, 0.118063, 0.10693, 0.0708022, 0.0550254, 0.0431084, 0.0350376, 0.0297105, 0.0209635, 0.0179131, 0.0140727, 0.00988459, 0.00623281, 0.00377665, 0.00227774, 0.00137179, 0.000296497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.20346, 0.20348, 0.357761, 0.491811, 0.595886, 0.677459, 0.76184, 0.823742, 0.905316, 1.15854, 1.28622, 1.38551, 1.49184, 1.57341, 1.64603, 1.75343, 1.8189, 1.90047, 2.00479, 2.16794, 2.33108, 2.49423, 2.65738, 2.98367");
-            values ( \
-              "1e-22, 0.162665, 0.155104, 0.146705, 0.139818, 0.133948, 0.126828, 0.120284, 0.109655, 0.0705557, 0.0529398, 0.041443, 0.0313877, 0.0251534, 0.0205382, 0.0151241, 0.0125233, 0.00984861, 0.00723349, 0.00443569, 0.00270805, 0.00164842, 0.00100116, 0.000366891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.340441, 0.351455, 0.366593, 0.38037, 0.387254, 0.393696, 0.400056, 0.40641, 0.415004, 0.420966, 0.429499, 0.441209, 0.452495, 0.464183, 0.471594, 0.486418, 0.488679");
-            values ( \
-              "0.00900452, 0.0158289, 0.037134, 0.0538029, 0.0590948, 0.0615811, 0.0608568, 0.0544194, 0.0380063, 0.0290761, 0.0195067, 0.0109238, 0.00615418, 0.00339915, 0.00230657, 0.00108046, 0.00099834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.342394, 0.351899, 0.357008, 0.375413, 0.382289, 0.39245, 0.401655, 0.410613, 0.419554, 0.42493, 0.429745, 0.439375, 0.449678, 0.457728, 0.463139, 0.468988, 0.476788, 0.484938, 0.489519, 0.498681, 0.50569, 0.507871, 0.512996, 0.526735, 0.539839, 0.555987, 0.577485, 0.609654");
-            values ( \
-              "0.0129845, 0.0209557, 0.0292588, 0.0631359, 0.0737571, 0.0850043, 0.0899079, 0.0905177, 0.0864542, 0.0806249, 0.0733977, 0.0570369, 0.0426394, 0.0332714, 0.0280492, 0.0231648, 0.0178024, 0.013461, 0.011471, 0.00828766, 0.0064597, 0.00537316, 0.00451788, 0.0028391, 0.00181971, 0.00100173, 0.000468196, 0.00011275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.349926, 0.365642, 0.380468, 0.390971, 0.397704, 0.411172, 0.415379, 0.420989, 0.426859, 0.438598, 0.446963, 0.456716, 0.472288, 0.485066, 0.507136, 0.51709, 0.530604, 0.544834, 0.560014, 0.566681, 0.577433, 0.591769, 0.607212, 0.629855, 0.660046, 0.708713, 0.767011");
-            values ( \
-              "0.0317217, 0.0521124, 0.0838814, 0.100736, 0.108052, 0.116125, 0.117011, 0.117373, 0.11696, 0.114095, 0.110509, 0.104409, 0.089782, 0.0752789, 0.0525072, 0.0441607, 0.0346019, 0.0263834, 0.0194682, 0.0169956, 0.0136041, 0.0100454, 0.00721959, 0.00441403, 0.00222577, 0.000675819, 0.000146127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.353096, 0.3665, 0.380375, 0.391122, 0.396705, 0.40787, 0.42349, 0.436526, 0.448841, 0.474748, 0.501891, 0.521601, 0.544764, 0.606073, 0.639513, 0.664923, 0.685626, 0.701534, 0.733319, 0.747303, 0.768677, 0.799231, 0.838769, 0.891487, 0.973061, 1.05463");
-            values ( \
-              "0.0452794, 0.0582613, 0.0915016, 0.111379, 0.119261, 0.129674, 0.136438, 0.137246, 0.136342, 0.131349, 0.124085, 0.117287, 0.10676, 0.069915, 0.0520744, 0.0407428, 0.0330372, 0.027954, 0.019784, 0.0169311, 0.0132926, 0.00933162, 0.00587254, 0.00308961, 0.00106826, 0.000369474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.359858, 0.376883, 0.390845, 0.396793, 0.408688, 0.42283, 0.439113, 0.455257, 0.471042, 0.495147, 0.505616, 0.512275, 0.528444, 0.571522, 0.625416, 0.680116, 0.702955, 0.72449, 0.832221, 0.894741, 0.947043, 0.99077, 1.0248, 1.06937, 1.08169, 1.10633, 1.14912, 1.20964, 1.23635, 1.27235, 1.32034, 1.40191, 1.48349, 1.56506, 1.72821");
-            values ( \
-              "0.0816912, 0.0873201, 0.11699, 0.126111, 0.138799, 0.146558, 0.149737, 0.149884, 0.148813, 0.146316, 0.145082, 0.143976, 0.142638, 0.13731, 0.129362, 0.118886, 0.113168, 0.107193, 0.0736111, 0.0558642, 0.0432679, 0.0345258, 0.0287552, 0.0224625, 0.0209593, 0.0182239, 0.0142297, 0.00994942, 0.00849284, 0.00685181, 0.00512681, 0.00310113, 0.00186805, 0.00112461, 0.00040603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.367464, 0.421211, 0.428401, 0.437989, 0.454904, 0.471366, 0.498759, 0.511618, 0.527247, 0.541247, 0.593719, 0.667026, 0.771097, 0.85267, 0.937055, 0.998959, 1.08053, 1.33377, 1.39879, 1.46144, 1.56074, 1.59617, 1.66705, 1.74862, 1.82126, 1.85707, 1.92869, 1.99415, 2.07572, 2.17997, 2.26155, 2.34312, 2.50627, 2.66942, 2.91414, 3.15886");
-            values ( \
-              "0.112293, 0.151264, 0.153827, 0.15591, 0.157341, 0.157296, 0.156161, 0.155131, 0.154942, 0.154358, 0.151343, 0.146716, 0.139829, 0.133935, 0.126815, 0.120292, 0.109643, 0.0705637, 0.0612361, 0.0529477, 0.0414508, 0.0378525, 0.0313964, 0.0251468, 0.0205441, 0.0185702, 0.0151283, 0.0125164, 0.00985366, 0.00724, 0.00567459, 0.00444176, 0.0027138, 0.00165392, 0.000784513, 0.000371925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.692067, 0.707003, 0.715313, 0.735961, 0.754788, 0.759564, 0.76762, 0.775286, 0.782935, 0.795208, 0.805783, 0.815495, 0.825216, 0.830553, 0.838201, 0.846958, 0.853806, 0.867501, 0.885977");
-            values ( \
-              "0.00669752, 0.00783234, 0.0131283, 0.0301435, 0.0435194, 0.0463562, 0.0501087, 0.0516007, 0.048426, 0.0308568, 0.0196363, 0.0126369, 0.00794297, 0.00612357, 0.00420663, 0.00276413, 0.0019943, 0.00104084, 0.000480793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.694327, 0.708925, 0.714968, 0.72029, 0.752542, 0.765495, 0.776642, 0.786944, 0.797076, 0.807193, 0.820664, 0.824598, 0.829093, 0.838084, 0.847068, 0.852885, 0.860462, 0.867, 0.874106, 0.884229, 0.894582, 0.90248, 0.918274, 0.947809, 0.982862");
-            values ( \
-              "0.00993647, 0.0121639, 0.0168461, 0.0219328, 0.0560695, 0.0684831, 0.0763183, 0.0801075, 0.0793263, 0.0709616, 0.0512917, 0.04615, 0.0406436, 0.0310777, 0.023486, 0.0194306, 0.0150746, 0.0120699, 0.00944389, 0.00660983, 0.00459732, 0.003482, 0.00196466, 0.000630113, 0.000153131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.704214, 0.721981, 0.771185, 0.788379, 0.794275, 0.804023, 0.819396, 0.834764, 0.849854, 0.883707, 0.900451, 0.922418, 0.945766, 0.974255, 1.00282, 1.02535, 1.0576");
-            values ( \
-              "0.022237, 0.0277665, 0.0899566, 0.103932, 0.106661, 0.109145, 0.108529, 0.10245, 0.0897147, 0.0547757, 0.0409849, 0.0271414, 0.0169975, 0.00936426, 0.00508238, 0.00309304, 0.00163561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.716711, 0.739752, 0.769497, 0.78266, 0.799894, 0.823559, 0.82663, 0.842798, 0.853, 0.873406, 0.894221, 0.909566, 0.939437, 0.984961, 1.01999, 1.06283, 1.08765, 1.12081, 1.14035, 1.17421, 1.23484, 1.32676, 1.32762");
-            values ( \
-              "0.0488338, 0.0542323, 0.0985976, 0.113861, 0.126351, 0.13243, 0.132492, 0.131431, 0.12987, 0.125436, 0.119195, 0.113113, 0.0973412, 0.0697238, 0.0512528, 0.0336376, 0.0259074, 0.0180363, 0.0144868, 0.00979998, 0.00462309, 0.00155937, 0.00155157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.724015, 0.761035, 0.769688, 0.786994, 0.799227, 0.822931, 0.845314, 0.849295, 0.873181, 0.898689, 0.942408, 1.00414, 1.05351, 1.07987, 1.11502, 1.22493, 1.28826, 1.32871, 1.36356, 1.39927, 1.4468, 1.4609, 1.48911, 1.54552, 1.5941, 1.65935, 1.74092, 1.82249, 1.90407, 1.98564, 2.14879");
-            values ( \
-              "0.0574818, 0.091132, 0.10454, 0.125986, 0.135747, 0.145479, 0.147296, 0.147301, 0.145846, 0.143185, 0.137857, 0.128998, 0.119826, 0.113506, 0.103573, 0.069343, 0.0522071, 0.0427325, 0.0357044, 0.0295273, 0.022673, 0.0209754, 0.0178432, 0.0128685, 0.00963513, 0.0065221, 0.00397025, 0.00237924, 0.00144898, 0.000854486, 0.000301825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.735543, 0.772482, 0.787963, 0.799935, 0.823879, 0.845864, 0.856615, 0.87095, 0.899619, 0.941245, 1.04568, 1.20311, 1.25826, 1.31435, 1.37802, 1.45959, 1.71297, 1.84067, 1.93992, 2.04602, 2.1276, 2.20053, 2.30848, 2.37375, 2.45532, 2.55862, 2.6402, 2.72177, 2.88492, 3.04806, 3.29278, 3.5375");
-            values ( \
-              "0.102005, 0.11167, 0.131191, 0.141376, 0.152505, 0.155608, 0.15596, 0.155934, 0.154897, 0.15267, 0.146289, 0.135788, 0.13182, 0.126984, 0.120294, 0.10965, 0.0705554, 0.0529392, 0.0414478, 0.0314118, 0.0251633, 0.0205375, 0.0151003, 0.0125033, 0.00984015, 0.00725018, 0.00568563, 0.00444747, 0.00271672, 0.00165511, 0.000787383, 0.000371059" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(A * !B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.086492, 0.10173, 0.130917, 0.18965, 0.310527, 0.560766", \
-            "0.0904004, 0.10562, 0.134848, 0.193586, 0.314476, 0.564699", \
-            "0.0997702, 0.114957, 0.144186, 0.202971, 0.323921, 0.57411", \
-            "0.121007, 0.136209, 0.165105, 0.224065, 0.345114, 0.595423", \
-            "0.148122, 0.16385, 0.193414, 0.252665, 0.373978, 0.624451", \
-            "0.167344, 0.184147, 0.21423, 0.273505, 0.394849, 0.645992" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0352964, 0.0539601, 0.0938745, 0.178346, 0.35473, 0.720746", \
-            "0.0352771, 0.0539869, 0.0938684, 0.178346, 0.354779, 0.720748", \
-            "0.0352775, 0.0539872, 0.0938556, 0.178345, 0.354787, 0.720742", \
-            "0.0358764, 0.0543278, 0.0939354, 0.178332, 0.354736, 0.720752", \
-            "0.0388328, 0.0565245, 0.0952455, 0.179027, 0.355032, 0.720745", \
-            "0.0458384, 0.0622863, 0.0989616, 0.180498, 0.355796, 0.721448" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0602334, 0.0679197, 0.0699889, 0.0756236, 0.0782072, 0.0836885, 0.088882, 0.0940598, 0.0994266, 0.102089, 0.105449, 0.111759, 0.117873, 0.122843, 0.128445, 0.131529, 0.137696, 0.140202, 0.143247, 0.147307, 0.154602, 0.157857, 0.162602, 0.168928, 0.181582, 0.202906, 0.228824");
-            values ( \
-              "0.002133, 0.0449935, 0.0512584, 0.0642465, 0.0681377, 0.074018, 0.0755296, 0.0747585, 0.0700333, 0.0655377, 0.0579592, 0.0425569, 0.0319191, 0.024889, 0.0187671, 0.016007, 0.0115558, 0.0101369, 0.00862975, 0.00696025, 0.00469323, 0.00395047, 0.00307218, 0.00220227, 0.00110171, 0.000327007, 6.60834e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0574307, 0.0841659, 0.0857411, 0.0888914, 0.0936514, 0.101418, 0.109599, 0.113477, 0.117674, 0.12607, 0.133859, 0.138958, 0.144528, 0.150375, 0.157941, 0.16429, 0.169169, 0.177213, 0.18424, 0.193609, 0.201458, 0.212162, 0.226432, 0.254205, 0.286672");
-            values ( \
-              "0.0111324, 0.0979561, 0.0989202, 0.102169, 0.103685, 0.10407, 0.100015, 0.0968666, 0.0922243, 0.0785538, 0.0634634, 0.0547532, 0.0462771, 0.0385311, 0.0301586, 0.0244253, 0.0206853, 0.0156247, 0.0121973, 0.00870242, 0.00654483, 0.00442903, 0.00259793, 0.00084304, 0.00021594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0575831, 0.083458, 0.0870585, 0.0918592, 0.0983469, 0.108763, 0.116799, 0.124682, 0.138014, 0.145309, 0.15334, 0.168505, 0.181552, 0.190925, 0.200645, 0.210708, 0.223622, 0.233875, 0.247546, 0.257072, 0.264761, 0.275014, 0.293693, 0.302272, 0.315199, 0.332434, 0.366905, 0.4194, 0.483618");
-            values ( \
-              "0.00631589, 0.112436, 0.118129, 0.123533, 0.127037, 0.128485, 0.126882, 0.124046, 0.118064, 0.113455, 0.107216, 0.0913358, 0.0757558, 0.0654222, 0.0556433, 0.0466195, 0.0367273, 0.0301657, 0.0229579, 0.0189019, 0.0161191, 0.0129834, 0.00867145, 0.00720413, 0.00543536, 0.00370599, 0.0016662, 0.000435025, 7.58997e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0657961, 0.0750819, 0.084361, 0.0916575, 0.0973498, 0.107775, 0.118562, 0.127162, 0.145631, 0.170782, 0.197262, 0.225768, 0.258376, 0.299774, 0.332498, 0.370765, 0.394998, 0.42575, 0.460555, 0.504149, 0.530557, 0.583372, 0.663901, 0.744431");
-            values ( \
-              "0.051197, 0.0982861, 0.124391, 0.135365, 0.140745, 0.144678, 0.145573, 0.144637, 0.141108, 0.13489, 0.12711, 0.115706, 0.0968574, 0.07061, 0.0528986, 0.0360679, 0.0279142, 0.0198861, 0.0133692, 0.0080013, 0.00597641, 0.00300788, 0.00114648, 0.000298651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.072989, 0.102492, 0.111857, 0.136172, 0.167125, 0.265299, 0.318187, 0.375243, 0.425799, 0.537009, 0.625992, 0.674233, 0.755034, 0.837476, 0.896679, 0.973146, 1.1342, 1.21992");
-            values ( \
-              "0.145895, 0.151431, 0.155084, 0.155944, 0.152881, 0.140103, 0.131947, 0.120164, 0.105746, 0.0696946, 0.0456995, 0.0355717, 0.0227319, 0.0143157, 0.00984841, 0.00616361, 0.00218895, 0.00140769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0804602, 0.0804802, 0.261145, 0.460874, 0.568529, 0.649059, 0.68456, 0.744515, 0.816141, 0.982536, 1.08565, 1.16618, 1.22668, 1.30696, 1.37206, 1.48022, 1.61601, 1.75722, 1.84251, 2.00357, 2.16463, 2.32569, 2.80886");
-            values ( \
-              "1e-22, 0.17466, 0.155717, 0.142717, 0.134397, 0.12636, 0.122472, 0.114161, 0.103461, 0.076251, 0.0608351, 0.050437, 0.0431043, 0.0351899, 0.0292833, 0.0216321, 0.0145981, 0.00958726, 0.00740787, 0.00450816, 0.00271294, 0.00160903, 0.000268366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0738214, 0.0858739, 0.0927058, 0.0981753, 0.102916, 0.104285, 0.10854, 0.113912, 0.116454, 0.127347, 0.137442, 0.146053, 0.15469, 0.16155, 0.169, 0.1839, 0.197115, 0.204794");
-            values ( \
-              "0.00367059, 0.0549544, 0.0682967, 0.0738412, 0.0755765, 0.0756068, 0.0745393, 0.0700043, 0.0657377, 0.0406715, 0.0247184, 0.0159663, 0.0101389, 0.00704587, 0.00471846, 0.00214078, 0.00104356, 0.000776999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0762484, 0.0847732, 0.0892936, 0.0958797, 0.100191, 0.108138, 0.115906, 0.123667, 0.128033, 0.13216, 0.140413, 0.148503, 0.153482, 0.164706, 0.172364, 0.176411, 0.184041, 0.191803, 0.198527, 0.205362, 0.215181, 0.228078, 0.245276, 0.273106, 0.306294");
-            values ( \
-              "0.0062498, 0.0645682, 0.0791776, 0.0934947, 0.0989895, 0.104105, 0.103747, 0.100381, 0.0968102, 0.092221, 0.0788208, 0.0631741, 0.0546908, 0.0387139, 0.0302208, 0.0264444, 0.0204119, 0.0155672, 0.012283, 0.00962169, 0.00672547, 0.0041964, 0.00219379, 0.000705809, 0.000177324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0762427, 0.0890574, 0.0957439, 0.105929, 0.112845, 0.123181, 0.131447, 0.139175, 0.152509, 0.15978, 0.167833, 0.182997, 0.205419, 0.225197, 0.238114, 0.248372, 0.262048, 0.279252, 0.289499, 0.308184, 0.3297, 0.346947, 0.381439, 0.404464");
-            values ( \
-              "0.00822243, 0.0898885, 0.108387, 0.123243, 0.127121, 0.128496, 0.126827, 0.124077, 0.118038, 0.11347, 0.107217, 0.0913362, 0.0654211, 0.0466228, 0.0367277, 0.0301635, 0.0229544, 0.0161193, 0.0129863, 0.00867126, 0.00543366, 0.00370499, 0.0016639, 0.00112225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0761905, 0.0895821, 0.0958222, 0.104841, 0.111816, 0.121992, 0.132948, 0.135898, 0.150821, 0.178657, 0.211731, 0.238605, 0.26059, 0.318918, 0.346969, 0.372367, 0.393307, 0.409457, 0.433471, 0.453718, 0.475028, 0.505418, 0.545025, 0.597834, 0.678363, 0.758892");
-            values ( \
-              "0.00499692, 0.0985679, 0.117666, 0.134061, 0.140513, 0.144861, 0.145344, 0.14523, 0.142958, 0.136534, 0.126938, 0.116478, 0.104444, 0.0679677, 0.0527871, 0.0412064, 0.033233, 0.0279997, 0.0215091, 0.0171474, 0.0134483, 0.00942115, 0.00589806, 0.00308645, 0.00106842, 0.000376748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0832526, 0.105424, 0.111812, 0.121794, 0.132063, 0.14175, 0.17745, 0.229723, 0.302296, 0.361257, 0.389735, 0.446692, 0.536504, 0.59367, 0.648912, 0.678426, 0.730342, 0.781946, 0.838975, 0.905786, 0.982073, 1.04294, 1.12347, 1.204, 1.26461");
-            values ( \
-              "0.100082, 0.141398, 0.148452, 0.153692, 0.155989, 0.15623, 0.153349, 0.146856, 0.136681, 0.126502, 0.120052, 0.103679, 0.0744827, 0.0577381, 0.0439548, 0.0377304, 0.0285043, 0.0213304, 0.0153412, 0.0103009, 0.00651471, 0.0044825, 0.00271737, 0.00163765, 0.00131716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.0901856, 0.0902056, 0.27573, 0.350193, 0.555968, 0.6375, 0.699067, 0.779597, 1.02942, 1.15578, 1.25383, 1.35892, 1.51211, 1.61968, 1.76471, 1.86707, 2.02813, 2.18919, 2.35025, 2.83342");
-            values ( \
-              "1e-22, 0.165717, 0.155969, 0.151213, 0.136614, 0.129342, 0.122248, 0.111389, 0.0712607, 0.0533752, 0.0417653, 0.0316149, 0.0206327, 0.0151218, 0.0098417, 0.00723342, 0.00441841, 0.00267684, 0.00160652, 0.000308108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.109412, 0.117591, 0.121395, 0.129748, 0.133056, 0.138262, 0.143439, 0.148616, 0.16725, 0.177827, 0.189581, 0.203962, 0.218405, 0.228148");
-            values ( \
-              "0.00146658, 0.0460774, 0.0562486, 0.0710988, 0.0737617, 0.0757378, 0.0745492, 0.0703944, 0.0319265, 0.0187666, 0.0101379, 0.00469858, 0.00218996, 0.00165202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.110024, 0.120758, 0.122025, 0.126384, 0.131355, 0.135092, 0.143047, 0.150805, 0.158566, 0.162919, 0.167058, 0.175337, 0.183373, 0.188374, 0.199631, 0.207273, 0.211296, 0.21887, 0.226683, 0.23346, 0.240283, 0.250091, 0.262951, 0.280098, 0.307749, 0.340688");
-            values ( \
-              "0.00144258, 0.0682912, 0.0724814, 0.0846046, 0.0943165, 0.0990579, 0.104036, 0.103812, 0.100322, 0.0968235, 0.0922218, 0.0787758, 0.0632242, 0.0547038, 0.0386836, 0.0302085, 0.0264553, 0.0204593, 0.0155787, 0.0122691, 0.0096126, 0.00672394, 0.00420186, 0.002199, 0.000715711, 0.000180499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.109986, 0.126246, 0.134136, 0.142027, 0.147815, 0.157442, 0.160895, 0.167411, 0.174132, 0.18748, 0.194545, 0.202776, 0.217937, 0.231108, 0.24039, 0.250002, 0.260099, 0.273049, 0.283368, 0.297126, 0.306553, 0.314167, 0.32432, 0.343093, 0.351715, 0.364762, 0.382158, 0.416949, 0.468346, 0.531417");
-            values ( \
-              "0.00561142, 0.0972556, 0.11475, 0.124573, 0.127085, 0.128729, 0.127806, 0.126675, 0.123967, 0.118141, 0.113589, 0.107244, 0.0913434, 0.0756407, 0.0654, 0.0557355, 0.0466642, 0.0367464, 0.0301429, 0.0228938, 0.0188918, 0.016135, 0.0130213, 0.00868146, 0.00720531, 0.00542175, 0.00368253, 0.00164238, 0.000444373, 8.1755e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.116608, 0.132727, 0.140027, 0.146733, 0.156891, 0.16814, 0.176152, 0.185452, 0.220163, 0.246643, 0.273496, 0.29557, 0.353861, 0.382105, 0.407334, 0.428027, 0.443955, 0.468274, 0.488837, 0.510073, 0.540405, 0.579796, 0.632317, 0.712847, 0.793376");
-            values ( \
-              "0.0761447, 0.122455, 0.134351, 0.140625, 0.144786, 0.145421, 0.144555, 0.142965, 0.134755, 0.126977, 0.11645, 0.104389, 0.0679538, 0.0526776, 0.0411829, 0.0332905, 0.0281354, 0.0215244, 0.0170961, 0.0134383, 0.00940202, 0.00589714, 0.00311459, 0.00106341, 0.000393347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.116616, 0.131032, 0.139932, 0.146681, 0.156224, 0.166505, 0.177379, 0.216556, 0.314737, 0.367605, 0.397315, 0.424619, 0.479228, 0.571608, 0.636472, 0.686047, 0.72497, 0.754856, 0.818295, 0.846342, 0.902435, 0.950423, 1.01493, 1.09546, 1.17599, 1.25652, 1.49811");
-            values ( \
-              "0.0758372, 0.123747, 0.141135, 0.148493, 0.153648, 0.155903, 0.156176, 0.152818, 0.140015, 0.131817, 0.126259, 0.120051, 0.104416, 0.0744055, 0.0555869, 0.0434823, 0.0354777, 0.0301886, 0.0211532, 0.018002, 0.0129487, 0.00972122, 0.00658949, 0.00400657, 0.00242075, 0.00146437, 0.000317292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.12326, 0.170615, 0.184407, 0.200519, 0.216644, 0.299191, 0.408278, 0.510362, 0.618022, 0.698551, 0.734047, 0.794002, 0.865628, 1.0664, 1.13514, 1.21567, 1.27617, 1.35644, 1.42155, 1.52971, 1.58497, 1.6655, 1.72618, 1.80671, 1.892, 2.05306, 2.21412, 2.37517, 2.53623, 2.85835");
-            values ( \
-              "0.153417, 0.161705, 0.162432, 0.16209, 0.161396, 0.156585, 0.149597, 0.142595, 0.134275, 0.12648, 0.122352, 0.114281, 0.103342, 0.0710578, 0.0609507, 0.0503211, 0.0432194, 0.0350776, 0.0293952, 0.0217431, 0.0186055, 0.0147087, 0.0123439, 0.0096974, 0.00751727, 0.00461725, 0.00282171, 0.00171748, 0.00104119, 0.000376041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.188184, 0.203125, 0.210302, 0.215535, 0.220886, 0.226153, 0.231421, 0.236175, 0.244835, 0.251024, 0.259943, 0.270559, 0.2816, 0.294928, 0.304548, 0.318527");
-            values ( \
-              "0.000959585, 0.0511283, 0.065667, 0.0709532, 0.0739603, 0.0737305, 0.0698, 0.0611971, 0.0409749, 0.0304023, 0.0194325, 0.0111631, 0.00622358, 0.00305727, 0.00182365, 0.00092889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.187851, 0.208273, 0.210306, 0.217432, 0.221752, 0.225556, 0.233416, 0.241277, 0.249733, 0.255647, 0.271807, 0.28269, 0.296034, 0.303952, 0.311379, 0.320939, 0.331871, 0.344531, 0.354633, 0.374836, 0.384217");
-            values ( \
-              "0.000142711, 0.0788654, 0.0838302, 0.0953474, 0.0999318, 0.102208, 0.103032, 0.0999478, 0.0920865, 0.083004, 0.0534835, 0.0382215, 0.0246751, 0.0188055, 0.0145139, 0.0103314, 0.00693571, 0.00437702, 0.00301534, 0.00138454, 0.00107902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.19432, 0.20128, 0.202189, 0.204007, 0.207713, 0.210285, 0.212689, 0.216907, 0.218758, 0.222459, 0.230012, 0.23682, 0.243159, 0.254733, 0.256416, 0.259783, 0.264887, 0.270257, 0.280996, 0.285097, 0.292779, 0.302043, 0.31979, 0.323363, 0.327922, 0.333132, 0.342987, 0.355541, 0.358766, 0.365217, 0.3749, 0.387842, 0.3917, 0.399415, 0.414845, 0.428194, 0.434192, 0.44619, 0.470186, 0.515434, 0.568326");
-            values ( \
-              "0.0308488, 0.0652761, 0.0691795, 0.0766511, 0.0899502, 0.0975936, 0.10229, 0.111393, 0.114656, 0.119784, 0.125873, 0.127721, 0.12759, 0.124748, 0.124118, 0.122758, 0.120444, 0.117654, 0.110642, 0.107168, 0.0997038, 0.0890418, 0.0682696, 0.0647895, 0.0599971, 0.054917, 0.0461624, 0.0365919, 0.034436, 0.03039, 0.0250997, 0.0192699, 0.0178103, 0.0151543, 0.010913, 0.00817306, 0.00718469, 0.00551952, 0.00322606, 0.00106834, 0.00028229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.197308, 0.210266, 0.219734, 0.229228, 0.238176, 0.248578, 0.263069, 0.277599, 0.302728, 0.329227, 0.354079, 0.376767, 0.43177, 0.460086, 0.488765, 0.504419, 0.531117, 0.55697, 0.585145, 0.619889, 0.659953, 0.692118, 0.756447, 0.836977, 0.917506");
-            values ( \
-              "0.0641957, 0.10535, 0.127243, 0.139128, 0.143932, 0.145278, 0.14386, 0.141031, 0.1348, 0.126988, 0.117375, 0.10518, 0.0706686, 0.0550176, 0.0416134, 0.0355054, 0.026753, 0.0201265, 0.0146342, 0.00975128, 0.00608812, 0.00413775, 0.00183923, 0.000636582, 0.000221715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.203215, 0.22251, 0.236024, 0.253021, 0.28307, 0.380884, 0.497023, 0.572224, 0.654399, 0.757171, 0.807238, 0.900269, 0.94298, 1.03425, 1.09642, 1.24266, 1.56478");
-            values ( \
-              "0.132021, 0.137343, 0.152286, 0.156545, 0.155166, 0.142872, 0.123136, 0.10158, 0.0740066, 0.0456976, 0.0351901, 0.0208992, 0.016272, 0.00932685, 0.00630713, 0.002345, 1.06762e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.210242, 0.210262, 0.347727, 0.473889, 0.593071, 0.6736, 0.755156, 0.816707, 0.897236, 1.14705, 1.27342, 1.4065, 1.47658, 1.60286, 1.6656, 1.7373, 1.8018, 1.91647, 2.06527, 2.22632, 2.38738, 2.56249");
-            values ( \
-              "1e-22, 0.170919, 0.158665, 0.150715, 0.142581, 0.136512, 0.12924, 0.122348, 0.11129, 0.0713546, 0.0534679, 0.0382307, 0.0317008, 0.0223454, 0.01871, 0.0152003, 0.0125971, 0.00897178, 0.00574134, 0.00352374, 0.00215645, 0.00133419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.362353, 0.371547, 0.376871, 0.379669, 0.380394, 0.382566, 0.384014, 0.385462, 0.388358, 0.38929, 0.391153, 0.393016, 0.394879, 0.396179, 0.397046, 0.397912, 0.399481, 0.40105, 0.402481, 0.403912, 0.405343, 0.406848, 0.407751, 0.408503, 0.410053, 0.411541, 0.41316, 0.415669, 0.418554, 0.420602, 0.423079, 0.423904, 0.426381, 0.429484, 0.431036, 0.432588, 0.437332, 0.437793, 0.439635, 0.441478, 0.442794, 0.445426, 0.447536, 0.449123, 0.45071, 0.451504, 0.454678, 0.457853, 0.461893, 0.465654");
-            values ( \
-              "0.0318433, 0.0332544, 0.0435337, 0.0486796, 0.0498987, 0.0533701, 0.055485, 0.0574992, 0.0612252, 0.0623378, 0.0640089, 0.0654316, 0.0666058, 0.0673311, 0.0675298, 0.0676679, 0.0677465, 0.0676603, 0.067438, 0.0670786, 0.0665822, 0.0659089, 0.0649614, 0.0640366, 0.0617448, 0.0591052, 0.0558783, 0.0502425, 0.044179, 0.040144, 0.035511, 0.0341738, 0.030409, 0.0262289, 0.0243453, 0.0225993, 0.0177637, 0.0173275, 0.0158314, 0.0144379, 0.0135431, 0.0118351, 0.0105444, 0.00965193, 0.00885459, 0.0084823, 0.00723256, 0.00613684, 0.00498835, 0.0040175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.357325, 0.364816, 0.380393, 0.3912, 0.400163, 0.404473, 0.408536, 0.416839, 0.425162, 0.429919, 0.445256, 0.458996, 0.471703, 0.487341, 0.501056, 0.510634, 0.526045, 0.546727");
-            values ( \
-              "0.0124365, 0.024676, 0.0635056, 0.0848476, 0.0945194, 0.0966693, 0.0976388, 0.0963589, 0.0906677, 0.0843398, 0.0569706, 0.0378751, 0.0250694, 0.0146639, 0.00900415, 0.00599027, 0.00352281, 0.00180862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.360531, 0.379786, 0.389686, 0.39689, 0.405047, 0.41884, 0.424727, 0.432421, 0.446051, 0.451887, 0.458112, 0.467949, 0.496065, 0.512499, 0.523399, 0.540168, 0.549771, 0.568292, 0.577165, 0.594912, 0.610029, 0.618302, 0.634848, 0.667939, 0.688433");
-            values ( \
-              "0.0177297, 0.0713621, 0.0968138, 0.109449, 0.118507, 0.123824, 0.12354, 0.121922, 0.116732, 0.113472, 0.109255, 0.100526, 0.0684246, 0.0517564, 0.0428382, 0.0313597, 0.0259536, 0.0177996, 0.0148022, 0.0101079, 0.00729204, 0.00609785, 0.00422348, 0.0019724, 0.00138805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.364215, 0.38621, 0.396292, 0.404117, 0.416982, 0.429192, 0.441463, 0.473224, 0.505747, 0.506573, 0.524147, 0.548671, 0.618102, 0.657261, 0.689609, 0.706362, 0.734237, 0.767941, 0.79879, 0.818822, 0.856287, 0.906241, 0.961643");
-            values ( \
-              "0.0318954, 0.0965153, 0.119594, 0.130674, 0.140242, 0.142434, 0.141954, 0.135601, 0.126389, 0.125558, 0.119858, 0.107863, 0.0649808, 0.0450864, 0.0324415, 0.0271254, 0.0199565, 0.0136094, 0.00949876, 0.00750315, 0.00480514, 0.00260858, 0.00143233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.376273, 0.416824, 0.428765, 0.442202, 0.457442, 0.475642, 0.574089, 0.626974, 0.680179, 0.738485, 0.830926, 0.894592, 0.945073, 0.985304, 1.01636, 1.07763, 1.14609, 1.20542, 1.28235, 1.36288, 1.52394, 1.54407");
-            values ( \
-              "0.117687, 0.14915, 0.153246, 0.154267, 0.153674, 0.15191, 0.139971, 0.131809, 0.12102, 0.104399, 0.0744096, 0.0559095, 0.0435401, 0.0352866, 0.0298247, 0.0211501, 0.0142196, 0.00997835, 0.00627067, 0.00380824, 0.00139014, 0.00129957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.384584, 0.384604, 0.570008, 0.748204, 0.812887, 0.877418, 0.957947, 0.993453, 1.05341, 1.12504, 1.32581, 1.39455, 1.47508, 1.54705, 1.61585, 1.68096, 1.76148, 1.78912, 1.84438, 1.92491, 1.9856, 2.09455, 2.23193, 2.39299, 2.55405, 2.79564, 3.11775");
-            values ( \
-              "1e-22, 0.165809, 0.155878, 0.144119, 0.139422, 0.134254, 0.126502, 0.122327, 0.114302, 0.103317, 0.0710326, 0.0609745, 0.0502957, 0.0419678, 0.0350529, 0.0294205, 0.0235062, 0.0217682, 0.0185802, 0.0147334, 0.0123189, 0.00891838, 0.00590375, 0.00361981, 0.0022112, 0.00106517, 0.000399555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.706561, 0.718567, 0.725412, 0.72953, 0.75202, 0.760865, 0.768425, 0.775361, 0.782076, 0.788777, 0.79727, 0.8056, 0.815086, 0.820752, 0.828435, 0.833111, 0.841045, 0.850661, 0.858388, 0.873843, 0.875861");
-            values ( \
-              "0.00263401, 0.00642869, 0.0111357, 0.0149023, 0.0395894, 0.0482961, 0.0543476, 0.0577974, 0.058276, 0.052797, 0.0375072, 0.025958, 0.0166549, 0.0126094, 0.00860115, 0.00679207, 0.00452911, 0.00280503, 0.00191117, 0.000875965, 0.000822063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.706957, 0.720175, 0.729, 0.737041, 0.762201, 0.773248, 0.776802, 0.782955, 0.792195, 0.80143, 0.804326, 0.811571, 0.820179, 0.828374, 0.833548, 0.84261, 0.851277, 0.858237, 0.867367, 0.872411, 0.8825, 0.890332, 0.902306, 0.91827, 0.939888");
-            values ( \
-              "0.00358843, 0.0100195, 0.0188892, 0.0296304, 0.0663241, 0.0793361, 0.0824253, 0.0862774, 0.0884048, 0.0854119, 0.0827769, 0.0729812, 0.0585728, 0.0466774, 0.040004, 0.0301113, 0.0227304, 0.0179669, 0.0131276, 0.0110053, 0.00768258, 0.00581478, 0.00378498, 0.0021035, 0.00102614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.733197, 0.755666, 0.760902, 0.766139, 0.76914, 0.772141, 0.775142, 0.778143, 0.781657, 0.785172, 0.788686, 0.792201, 0.795025, 0.797849, 0.799261, 0.802085, 0.808064, 0.814043, 0.820022, 0.822547, 0.825073, 0.827598, 0.830152, 0.835261, 0.837815, 0.841677, 0.845539, 0.849401, 0.853263, 0.855098, 0.86427, 0.870944, 0.880046, 0.88573, 0.890758, 0.895786, 0.902237, 0.912062, 0.915435, 0.918808, 0.925555, 0.932301, 0.942037, 0.949821, 0.955011, 0.9602, 0.969398, 0.973997, 0.978596, 0.986375");
-            values ( \
-              "0.0609231, 0.0663887, 0.0768138, 0.0868396, 0.0911582, 0.0951504, 0.0988162, 0.102156, 0.105652, 0.1087, 0.111301, 0.113454, 0.114766, 0.115782, 0.11617, 0.116706, 0.116099, 0.115287, 0.11427, 0.113517, 0.112416, 0.111136, 0.109548, 0.10604, 0.104119, 0.101002, 0.0976322, 0.0940075, 0.0901285, 0.0881497, 0.0775462, 0.0702028, 0.0605908, 0.0548892, 0.0504469, 0.0462444, 0.0413025, 0.0341548, 0.0319643, 0.0299106, 0.0263212, 0.0230647, 0.0189522, 0.0159246, 0.0143395, 0.0128829, 0.0106516, 0.00966172, 0.00875562, 0.00739923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.725556, 0.748431, 0.770787, 0.77625, 0.787176, 0.803855, 0.817155, 0.82912, 0.854701, 0.881428, 0.908527, 0.930411, 0.984359, 1.01647, 1.04236, 1.0638, 1.08041, 1.11005, 1.14421, 1.17437, 1.22103, 1.23021, 1.271, 1.30789, 1.37595, 1.45648");
-            values ( \
-              "0.0352623, 0.0583877, 0.102802, 0.111798, 0.125045, 0.13573, 0.137922, 0.13768, 0.133274, 0.126088, 0.115757, 0.104109, 0.0705962, 0.0531215, 0.041303, 0.0331901, 0.0278379, 0.0201186, 0.0136683, 0.00961755, 0.00526837, 0.00473044, 0.00298602, 0.00188903, 0.000806508, 0.000264184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.72538, 0.753416, 0.776066, 0.789548, 0.802943, 0.822312, 0.836853, 0.873242, 0.947968, 0.997533, 1.03292, 1.05977, 1.11347, 1.22621, 1.29971, 1.36012, 1.39473, 1.45404, 1.52228, 1.5817, 1.65897, 1.7395, 1.90056, 1.90497");
-            values ( \
-              "0.0314272, 0.0711798, 0.117867, 0.135766, 0.145673, 0.15123, 0.151752, 0.149004, 0.139655, 0.132266, 0.125846, 0.119821, 0.104621, 0.0684096, 0.0486005, 0.035585, 0.0294899, 0.0211425, 0.0142317, 0.00999026, 0.00626658, 0.00379875, 0.00138412, 0.00136445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.72589, 0.77097, 0.788993, 0.804401, 0.826454, 0.843801, 0.86777, 0.938323, 1.04423, 1.14655, 1.21618, 1.27437, 1.31444, 1.35245, 1.40636, 1.47825, 1.66825, 1.74324, 1.81132, 1.89185, 1.99105, 2.05482, 2.16592, 2.22707, 2.3076, 2.38813, 2.50858, 2.57726, 2.65778, 2.81884, 2.9799, 3.22149, 3.46308");
-            values ( \
-              "0.0222178, 0.110063, 0.138608, 0.151696, 0.158594, 0.159909, 0.159489, 0.155841, 0.14929, 0.142394, 0.137243, 0.132518, 0.128645, 0.124501, 0.117654, 0.107067, 0.0763957, 0.0649867, 0.0555316, 0.0455432, 0.0352066, 0.0296579, 0.0217676, 0.0182726, 0.0144811, 0.0114175, 0.00799366, 0.00649699, 0.00510247, 0.00312882, 0.00191368, 0.000906494, 0.000435774" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(A * !B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.094388, 0.11151, 0.142104, 0.196412, 0.293539, 0.48125", \
-            "0.0983251, 0.115482, 0.146115, 0.200437, 0.297482, 0.485244", \
-            "0.109314, 0.126539, 0.157247, 0.211648, 0.308777, 0.496456", \
-            "0.137619, 0.154757, 0.185532, 0.2402, 0.337455, 0.525229", \
-            "0.190989, 0.209728, 0.242842, 0.299425, 0.397938, 0.585968", \
-            "0.276084, 0.299342, 0.338185, 0.401757, 0.505859, 0.696677" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0358665, 0.0528307, 0.084485, 0.141692, 0.252586, 0.490125", \
-            "0.0358601, 0.0528119, 0.0844603, 0.141533, 0.252862, 0.490131", \
-            "0.0358886, 0.0528288, 0.0844457, 0.141468, 0.252538, 0.489938", \
-            "0.0363743, 0.0533244, 0.0848852, 0.141796, 0.253033, 0.490064", \
-            "0.0426615, 0.0594728, 0.0905552, 0.146289, 0.255084, 0.490748", \
-            "0.0547547, 0.0738383, 0.106864, 0.162235, 0.266741, 0.495973" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0635379, 0.0695994, 0.0742326, 0.0805888, 0.0884267, 0.093239, 0.100188, 0.104802, 0.107637, 0.113068, 0.117303, 0.134783, 0.14201, 0.148969, 0.154661, 0.165023, 0.16758");
-            values ( \
-              "-0.00114861, -0.0325754, -0.0452849, -0.0546616, -0.0620526, -0.0649816, -0.0676774, -0.0685172, -0.0683344, -0.066851, -0.0620197, -0.0195992, -0.00967219, -0.00466146, -0.00256873, -0.000661356, -0.000602482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0624695, 0.0822558, 0.0834002, 0.0924378, 0.102633, 0.110561, 0.114908, 0.119056, 0.124996, 0.131647, 0.135988, 0.142356, 0.145296, 0.159839, 0.167396, 0.173772, 0.18232, 0.190048, 0.19888, 0.214702, 0.233341, 0.257059");
-            values ( \
-              "-0.0117128, -0.0714415, -0.0732249, -0.083079, -0.0900385, -0.093729, -0.0951012, -0.0959438, -0.096248, -0.0948365, -0.0922584, -0.084975, -0.079589, -0.0432736, -0.0283622, -0.0192405, -0.0110425, -0.00656268, -0.00352327, -0.00105343, -0.000283441, -9.48491e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0636805, 0.0744227, 0.0813603, 0.0817219, 0.0879195, 0.0949774, 0.103256, 0.113719, 0.118006, 0.121986, 0.131993, 0.142927, 0.155648, 0.163182, 0.176674, 0.183236, 0.191757, 0.212393, 0.22493, 0.230814, 0.238089, 0.24779, 0.254215, 0.264045, 0.277152, 0.302928, 0.332914");
-            values ( \
-              "-0.000642257, -0.0616646, -0.0819452, -0.0815175, -0.0910098, -0.0990357, -0.106121, -0.113054, -0.115253, -0.117043, -0.120332, -0.122536, -0.123959, -0.123911, -0.120052, -0.114758, -0.10225, -0.0601019, -0.0396727, -0.0321762, -0.0245896, -0.0169031, -0.0131354, -0.00886429, -0.00514729, -0.00159989, -0.000401189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0640876, 0.078688, 0.0900029, 0.105687, 0.114102, 0.125432, 0.161847, 0.181271, 0.203908, 0.221764, 0.234833, 0.248935, 0.271976, 0.307086, 0.321198, 0.342255, 0.35011, 0.360583, 0.379485, 0.400901, 0.418012, 0.452233, 0.502954, 0.565175");
-            values ( \
-              "-0.0150489, -0.0800068, -0.101824, -0.118431, -0.124907, -0.131529, -0.145313, -0.151377, -0.155647, -0.155919, -0.153616, -0.147529, -0.124979, -0.0744848, -0.0577281, -0.0384785, -0.0328715, -0.0266512, -0.017737, -0.0111812, -0.0077831, -0.00344028, -0.00104374, -0.000121713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.0718882, 0.0863497, 0.0957813, 0.117232, 0.127786, 0.169294, 0.197475, 0.22151, 0.237954, 0.261533, 0.30114, 0.341333, 0.358808, 0.383502, 0.395611, 0.419827, 0.489307, 0.519078, 0.563392, 0.607808, 0.640942, 0.674726, 0.7005, 0.75205, 0.817191, 0.819341");
-            values ( \
-              "-0.0986831, -0.100294, -0.113948, -0.133686, -0.140221, -0.159215, -0.170184, -0.176647, -0.179519, -0.181585, -0.181577, -0.176287, -0.172144, -0.163407, -0.157245, -0.140283, -0.0827896, -0.0631217, -0.0408736, -0.0258127, -0.0180908, -0.0125524, -0.00945118, -0.00527403, -0.00246888, -0.00243695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0839435, 0.145074, 0.190177, 0.21073, 0.236931, 0.260851, 0.297338, 0.336677, 0.41198, 0.488895, 0.568449, 0.597276, 0.652984, 0.718125, 0.857151, 0.937719, 1.02438, 1.07811, 1.13952, 1.20342, 1.23564, 1.3001, 1.36524, 1.43038, 1.56066, 1.75608");
-            values ( \
-              "-0.151521, -0.153118, -0.174511, -0.182411, -0.189261, -0.193775, -0.197144, -0.198622, -0.196727, -0.191592, -0.183326, -0.179023, -0.168426, -0.147062, -0.0882657, -0.0614565, -0.0403202, -0.0309281, -0.0222867, -0.0158747, -0.0135657, -0.00939128, -0.00676267, -0.00454998, -0.00216307, -0.000874479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0768178, 0.0883805, 0.0910229, 0.0951937, 0.10301, 0.110701, 0.114738, 0.119364, 0.122198, 0.127651, 0.131796, 0.149309, 0.156978, 0.162971, 0.167884, 0.177711, 0.182853");
-            values ( \
-              "-0.00160852, -0.0443858, -0.0488755, -0.0546217, -0.0619843, -0.0661568, -0.0676081, -0.0684577, -0.0684089, -0.0667785, -0.0620837, -0.0197028, -0.00930138, -0.00497476, -0.00295493, -0.000872357, -0.000714926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0793257, 0.0860266, 0.0886512, 0.0940495, 0.101659, 0.112376, 0.120923, 0.125145, 0.133638, 0.139656, 0.146226, 0.150566, 0.156812, 0.15987, 0.174418, 0.181996, 0.188277, 0.196848, 0.204802, 0.213892, 0.229102, 0.247889, 0.27141");
-            values ( \
-              "-0.00225241, -0.0459491, -0.0553282, -0.0674016, -0.0780623, -0.0871698, -0.0920124, -0.0937276, -0.0959453, -0.0962967, -0.0948786, -0.0922548, -0.0851992, -0.07958, -0.0432717, -0.0283272, -0.0193001, -0.0110527, -0.00650731, -0.00338554, -0.00110797, -0.000258853, -0.000121946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0784541, 0.0891201, 0.0953087, 0.0960626, 0.104354, 0.111878, 0.121855, 0.130078, 0.136619, 0.141935, 0.150619, 0.16313, 0.17121, 0.17779, 0.191278, 0.197939, 0.206363, 0.224703, 0.237092, 0.249084, 0.255668, 0.264893, 0.274539, 0.281942, 0.296748, 0.323548, 0.355243");
-            values ( \
-              "-0.00109584, -0.0616634, -0.0808655, -0.0803663, -0.0935507, -0.10116, -0.109111, -0.114086, -0.117113, -0.119032, -0.121281, -0.123345, -0.124001, -0.12396, -0.120054, -0.114671, -0.102257, -0.0644475, -0.0432149, -0.0281454, -0.0219765, -0.0153308, -0.0104628, -0.00776505, -0.00416976, -0.00122732, -0.000279238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0782265, 0.0935275, 0.101745, 0.110158, 0.124006, 0.134295, 0.14597, 0.182603, 0.196003, 0.215342, 0.22527, 0.238506, 0.24558, 0.254421, 0.263658, 0.282133, 0.319637, 0.341259, 0.359855, 0.384769, 0.411073, 0.429441, 0.466179, 0.488464");
-            values ( \
-              "-0.0100409, -0.0806642, -0.0974972, -0.108621, -0.121395, -0.128379, -0.134169, -0.14734, -0.151237, -0.155256, -0.156108, -0.155587, -0.154442, -0.152013, -0.147599, -0.130819, -0.0769616, -0.0522477, -0.0363498, -0.0217553, -0.0123322, -0.0083141, -0.00347407, -0.00239443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0890915, 0.114027, 0.125757, 0.141813, 0.184283, 0.212051, 0.233527, 0.251296, 0.276107, 0.300372, 0.315599, 0.346052, 0.3558, 0.375296, 0.397985, 0.440953, 0.481572, 0.525797, 0.554312, 0.578648, 0.62219, 0.655532, 0.690079, 0.716522, 0.769409, 0.834549, 0.854173");
-            values ( \
-              "-0.112432, -0.117825, -0.128981, -0.139841, -0.15931, -0.170089, -0.176006, -0.179245, -0.181677, -0.182037, -0.181369, -0.177967, -0.176178, -0.171532, -0.163361, -0.135116, -0.100262, -0.0681183, -0.0519051, -0.0407033, -0.0259534, -0.0181359, -0.0124818, -0.00933378, -0.0051191, -0.00240103, -0.00211806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.0943907, 0.140301, 0.208666, 0.252829, 0.275243, 0.312526, 0.35107, 0.403413, 0.464268, 0.50329, 0.568431, 0.611672, 0.667381, 0.732522, 0.815023, 0.924718, 1.00691, 1.09252, 1.20171, 1.25004, 1.37962, 1.5099, 1.55739");
-            values ( \
-              "-0.138783, -0.142994, -0.176393, -0.18977, -0.193581, -0.197375, -0.198432, -0.197679, -0.194457, -0.191406, -0.185071, -0.179205, -0.168241, -0.147246, -0.111213, -0.0697953, -0.0472397, -0.0307515, -0.0173333, -0.0133918, -0.0065894, -0.00318465, -0.00273203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.114258, 0.120809, 0.123954, 0.12749, 0.134763, 0.14119, 0.147206, 0.151248, 0.155875, 0.158711, 0.164177, 0.168283, 0.170665, 0.180611, 0.186339, 0.193691, 0.199227, 0.20377, 0.212857, 0.224396, 0.23039");
-            values ( \
-              "-7.85994e-05, -0.033062, -0.0421559, -0.0487278, -0.0577971, -0.0630134, -0.0661511, -0.0675118, -0.068365, -0.0684201, -0.0666905, -0.0620505, -0.0577781, -0.0310186, -0.0187846, -0.00917954, -0.00517933, -0.00310921, -0.00111444, -0.000233402, -0.000218844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.11392, 0.13355, 0.134503, 0.138311, 0.143699, 0.153014, 0.161805, 0.166152, 0.1703, 0.176239, 0.178695, 0.182891, 0.187232, 0.193598, 0.19654, 0.211084, 0.218641, 0.225015, 0.230223, 0.233564, 0.241297, 0.250134, 0.26598, 0.284606, 0.308341");
-            values ( \
-              "-0.0126744, -0.0715013, -0.0730897, -0.0778482, -0.0831631, -0.0895785, -0.0937377, -0.0950951, -0.0959532, -0.0962405, -0.0959778, -0.0948282, -0.0922655, -0.0849704, -0.0795957, -0.0432799, -0.028368, -0.0192354, -0.0137634, -0.0110367, -0.00656706, -0.0035149, -0.00105646, -0.000277083, -0.000100829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.118414, 0.125975, 0.130145, 0.137706, 0.147537, 0.164292, 0.173368, 0.183423, 0.194298, 0.206652, 0.214537, 0.22803, 0.234722, 0.243114, 0.261329, 0.274094, 0.284963, 0.301002, 0.312665, 0.321983, 0.340619, 0.352518");
-            values ( \
-              "-0.0260308, -0.0625389, -0.0761761, -0.0896368, -0.100732, -0.112849, -0.117213, -0.12046, -0.122622, -0.123974, -0.123974, -0.120077, -0.114656, -0.102271, -0.0646825, -0.0428399, -0.0290694, -0.0157105, -0.00989464, -0.00677077, -0.00304715, -0.00210516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.12251, 0.135511, 0.141638, 0.15114, 0.163098, 0.173852, 0.18441, 0.196303, 0.232761, 0.25169, 0.262804, 0.277599, 0.299876, 0.308857, 0.316721, 0.358283, 0.371758, 0.393388, 0.415092, 0.432002, 0.449633, 0.463145, 0.490171, 0.539317, 0.597429");
-            values ( \
-              "-0.0819934, -0.0927427, -0.102395, -0.113102, -0.123227, -0.12999, -0.134871, -0.13928, -0.151365, -0.155174, -0.1561, -0.15539, -0.14802, -0.141611, -0.133403, -0.0745087, -0.0586161, -0.0386917, -0.024867, -0.0173741, -0.0119057, -0.00886327, -0.00481804, -0.00142442, -0.000311322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.127917, 0.159806, 0.181671, 0.230621, 0.248204, 0.279584, 0.293169, 0.312842, 0.352449, 0.392059, 0.410235, 0.434667, 0.446008, 0.46869, 0.542004, 0.568534, 0.613829, 0.637375, 0.668769, 0.706815, 0.73027, 0.777179, 0.84232, 0.907461, 0.972601");
-            values ( \
-              "-0.121745, -0.126813, -0.141567, -0.163454, -0.169963, -0.177913, -0.179976, -0.181706, -0.181481, -0.176416, -0.172111, -0.163472, -0.157756, -0.142242, -0.0817789, -0.0642272, -0.0412229, -0.0324061, -0.023269, -0.0154575, -0.0119678, -0.00707389, -0.0033243, -0.00155207, -0.000723566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.132493, 0.182962, 0.238311, 0.278063, 0.307569, 0.321312, 0.339635, 0.376282, 0.387989, 0.411404, 0.463332, 0.540115, 0.572387, 0.619621, 0.684762, 0.70432, 0.743436, 0.79608, 0.882401, 0.935346, 0.974246, 1.01457, 1.06807, 1.1154, 1.1785, 1.23298, 1.30589, 1.37103, 1.43617, 1.50131, 1.63159, 1.82701");
-            values ( \
-              "-0.142699, -0.146408, -0.173376, -0.187012, -0.193039, -0.194876, -0.196606, -0.198333, -0.198445, -0.198324, -0.196581, -0.191464, -0.188536, -0.183205, -0.172682, -0.168294, -0.156838, -0.135756, -0.0984924, -0.0785437, -0.065875, -0.0544751, -0.0419356, -0.0330647, -0.0238372, -0.0178959, -0.0121315, -0.00851062, -0.00596958, -0.00416453, -0.00202563, -0.000693062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.198866, 0.219483, 0.223518, 0.230854, 0.236976, 0.242843, 0.248605, 0.253778, 0.255621, 0.260641, 0.273689, 0.27967, 0.285359, 0.293287, 0.30133");
-            values ( \
-              "-0.00191355, -0.0491509, -0.0553431, -0.0620004, -0.0654816, -0.0674288, -0.0679839, -0.0665256, -0.065032, -0.057594, -0.0237651, -0.0136544, -0.00765438, -0.00327103, -0.00141631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.20064, 0.210962, 0.211751, 0.212593, 0.21387, 0.215547, 0.21792, 0.219604, 0.222284, 0.224777, 0.227269, 0.228408, 0.230685, 0.233089, 0.23562, 0.23744, 0.240092, 0.242589, 0.245871, 0.248097, 0.251492, 0.254791, 0.256934, 0.260066, 0.261506, 0.264386, 0.267846, 0.269574, 0.271684, 0.273829, 0.274918, 0.277097, 0.278181, 0.279264, 0.28143, 0.283926, 0.286422, 0.28981, 0.293198, 0.299152, 0.300488, 0.30316, 0.304496, 0.307168, 0.311702, 0.314352, 0.317002, 0.319652, 0.322412, 0.324606");
-            values ( \
-              "-0.00020472, -0.0383837, -0.0400453, -0.0423611, -0.046837, -0.0523085, -0.0585044, -0.0622562, -0.0673966, -0.0712777, -0.0747262, -0.0760968, -0.0786885, -0.0812084, -0.0834217, -0.0848385, -0.0867373, -0.0883529, -0.0902374, -0.0913632, -0.0928627, -0.0940812, -0.0947173, -0.0953547, -0.0954683, -0.0955397, -0.0953513, -0.0950689, -0.0944349, -0.093483, -0.0928716, -0.0914007, -0.0904353, -0.0893438, -0.086783, -0.0827615, -0.0781271, -0.0705281, -0.062457, -0.0472643, -0.044125, -0.0383521, -0.0356895, -0.0307396, -0.0233026, -0.0197066, -0.0167687, -0.0141766, -0.0118811, -0.0101677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.193497, 0.236724, 0.24799, 0.254301, 0.262881, 0.268658, 0.276975, 0.289595, 0.297339, 0.304265, 0.317807, 0.324269, 0.332923, 0.351598, 0.365574, 0.37201, 0.379936, 0.390504, 0.403262, 0.413576, 0.434204, 0.462579, 0.497571");
-            values ( \
-              "-0.00258021, -0.0983626, -0.107786, -0.111902, -0.116249, -0.118434, -0.120678, -0.122802, -0.123495, -0.123462, -0.119676, -0.114569, -0.10204, -0.0637787, -0.0404348, -0.0321783, -0.0240031, -0.0159258, -0.00960774, -0.00629952, -0.00257911, -0.00068886, -0.000149174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.19357, 0.240882, 0.244017, 0.252498, 0.263154, 0.279349, 0.30992, 0.322729, 0.341131, 0.353847, 0.36767, 0.389981, 0.398818, 0.406755, 0.448449, 0.461756, 0.483492, 0.505274, 0.522168, 0.539734, 0.553189, 0.580099, 0.629159, 0.687135");
-            values ( \
-              "-0.00612339, -0.111649, -0.114685, -0.121896, -0.128928, -0.136246, -0.147031, -0.150892, -0.15474, -0.155835, -0.155127, -0.147875, -0.141612, -0.1334, -0.0744413, -0.058749, -0.0387103, -0.0248423, -0.0173641, -0.0119165, -0.0088826, -0.00484303, -0.0014357, -0.000315853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.213364, 0.231081, 0.244927, 0.265258, 0.308239, 0.337886, 0.362763, 0.38581, 0.402855, 0.436946, 0.453485, 0.482536, 0.496533, 0.524822, 0.567608, 0.608654, 0.652538, 0.705392, 0.749184, 0.782468, 0.816797, 0.84305, 0.895554, 0.960695, 0.974928");
-            values ( \
-              "-0.103936, -0.104168, -0.121361, -0.137469, -0.157746, -0.169529, -0.176342, -0.180116, -0.181551, -0.181688, -0.180446, -0.176185, -0.172998, -0.163364, -0.135257, -0.10008, -0.068178, -0.0407561, -0.0259063, -0.0181289, -0.0125089, -0.00935994, -0.00517042, -0.00241304, -0.00220606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.219672, 0.260912, 0.328082, 0.36821, 0.410891, 0.428718, 0.477862, 0.50484, 0.55323, 0.618371, 0.653014, 0.699204, 0.72984, 0.760731, 0.7941, 0.859241, 0.997999, 1.05145, 1.1341, 1.17314, 1.21861, 1.27924, 1.32795, 1.37717, 1.44231, 1.50745, 1.63773, 1.76801, 1.89829");
-            values ( \
-              "-0.135291, -0.138483, -0.173, -0.186874, -0.194706, -0.196459, -0.198403, -0.198217, -0.196561, -0.192427, -0.18944, -0.184505, -0.18042, -0.175357, -0.168294, -0.147137, -0.0884553, -0.0698388, -0.0471834, -0.0388969, -0.0309055, -0.0225585, -0.0174367, -0.0134217, -0.00944343, -0.00660954, -0.0032262, -0.00156703, -0.000758378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.389668, 0.396627, 0.403093, 0.411239, 0.421426, 0.429728, 0.43713, 0.444033, 0.450642, 0.455994, 0.457187, 0.459571, 0.464091, 0.474698, 0.482065, 0.488763, 0.49375, 0.49776, 0.50681, 0.507431");
-            values ( \
-              "-0.00895014, -0.0124542, -0.0205269, -0.0328101, -0.0434821, -0.0502463, -0.0548961, -0.0579188, -0.0595547, -0.0593631, -0.0589554, -0.0577243, -0.0526553, -0.0294325, -0.0161585, -0.00867109, -0.00531905, -0.0035176, -0.00102856, -0.00098133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.390054, 0.400797, 0.402655, 0.404512, 0.411184, 0.417857, 0.418547, 0.421306, 0.424986, 0.431635, 0.436132, 0.440505, 0.442915, 0.445607, 0.448299, 0.450704, 0.454357, 0.456854, 0.459251, 0.461547, 0.464303, 0.467517, 0.469124, 0.470563, 0.473151, 0.476596, 0.477758, 0.480081, 0.481243, 0.482408, 0.483573, 0.485903, 0.487215, 0.488528, 0.491152, 0.494996, 0.498839, 0.50444, 0.505124, 0.505807, 0.506491, 0.507858, 0.509291, 0.511539, 0.51637, 0.519434, 0.522499, 0.525563, 0.5285, 0.530966");
-            values ( \
-              "-0.00655209, -0.0235109, -0.0261574, -0.0290214, -0.0405262, -0.0513861, -0.0519109, -0.0555429, -0.0597503, -0.0668413, -0.0709716, -0.0744668, -0.0761915, -0.0779319, -0.0795524, -0.0808942, -0.0827672, -0.0839325, -0.084932, -0.0857423, -0.0865507, -0.0872679, -0.0875354, -0.0875517, -0.0874449, -0.0870306, -0.0867155, -0.0858517, -0.085303, -0.0845585, -0.0836893, -0.0815764, -0.0799147, -0.0780999, -0.0740109, -0.0665639, -0.0585143, -0.04595, -0.0418853, -0.0387943, -0.0382514, -0.0369383, -0.0351475, -0.0318959, -0.024204, -0.0201593, -0.0168611, -0.0139846, -0.0116629, -0.00983235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.388991, 0.41731, 0.423088, 0.436819, 0.454139, 0.459576, 0.471814, 0.485846, 0.495524, 0.505508, 0.515078, 0.519625, 0.524193, 0.531443, 0.539616, 0.560751, 0.5734, 0.586838, 0.596722, 0.603101, 0.612861, 0.625876, 0.651837, 0.681937");
-            values ( \
-              "-0.000413844, -0.0579678, -0.0673516, -0.0844472, -0.0989651, -0.102652, -0.109124, -0.113818, -0.115779, -0.116974, -0.116971, -0.116414, -0.114942, -0.110232, -0.0996062, -0.0591658, -0.0392645, -0.0242709, -0.0166346, -0.0129854, -0.00882213, -0.00517357, -0.0016107, -0.00041253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.39064, 0.400653, 0.432667, 0.46586, 0.484509, 0.529228, 0.552559, 0.575385, 0.598211, 0.61085, 0.66962, 0.693176, 0.721286, 0.745693, 0.794645, 0.79659");
-            values ( \
-              "-0.0200485, -0.0305501, -0.0861367, -0.117881, -0.127979, -0.145427, -0.151399, -0.152521, -0.146073, -0.136762, -0.0592426, -0.0377129, -0.0211873, -0.0125937, -0.00417971, -0.00406315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.40061, 0.43787, 0.447526, 0.465544, 0.483624, 0.538218, 0.570243, 0.588877, 0.610896, 0.650819, 0.693902, 0.718647, 0.733457, 0.763078, 0.839911, 0.868071, 0.913116, 0.935447, 0.958533, 1.0056, 1.03392, 1.09057, 1.15571, 1.22085, 1.28599");
-            values ( \
-              "-0.0422286, -0.0976293, -0.108605, -0.124491, -0.135902, -0.16225, -0.173126, -0.177109, -0.179819, -0.180472, -0.175199, -0.168767, -0.163122, -0.145496, -0.0824227, -0.0637954, -0.0410427, -0.0326723, -0.0256584, -0.0154714, -0.0113506, -0.00596458, -0.0027999, -0.00130504, -0.000609402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.417885, 0.462606, 0.481622, 0.537902, 0.585427, 0.610106, 0.6449, 0.686404, 0.724272, 0.761812, 0.826953, 0.862169, 0.936442, 0.9684, 1.00291, 1.06805, 1.20685, 1.26028, 1.3429, 1.42751, 1.48821, 1.53685, 1.58599, 1.65113, 1.71627, 1.84655, 1.909");
-            values ( \
-              "-0.119782, -0.125719, -0.13901, -0.169103, -0.186503, -0.191694, -0.195989, -0.197863, -0.197703, -0.196366, -0.19238, -0.189367, -0.180697, -0.175551, -0.168259, -0.147138, -0.0884497, -0.0698275, -0.0471835, -0.0308894, -0.0225481, -0.0174262, -0.0134184, -0.00945026, -0.00660572, -0.00322198, -0.00263042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.804727, 0.82461, 0.830395, 0.846015, 0.865449, 0.875047, 0.883906, 0.892387, 0.900704, 0.909014, 0.911111, 0.923604, 0.932963, 0.942291, 0.952378, 0.95887, 0.96935, 0.978115, 1.00222");
-            values ( \
-              "-0.00197881, -0.0105371, -0.0142313, -0.0272735, -0.0385705, -0.0424819, -0.0451385, -0.0467385, -0.0468665, -0.0434825, -0.0413189, -0.022619, -0.0114715, -0.00526708, -0.00213168, -0.00117429, -0.000412945, -0.000183901, -0.000151372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.814115, 0.826391, 0.828306, 0.832182, 0.836096, 0.838053, 0.84257, 0.847086, 0.850473, 0.853862, 0.857248, 0.862705, 0.86816, 0.874454, 0.876552, 0.881069, 0.887771, 0.891001, 0.897883, 0.905046, 0.912588, 0.91583, 0.919073, 0.921254, 0.923433, 0.925614, 0.927795, 0.929709, 0.930348, 0.931623, 0.932898, 0.934174, 0.93545, 0.937095, 0.940385, 0.942032, 0.944273, 0.948757, 0.952211, 0.959557, 0.961513, 0.966006, 0.969948, 0.972757, 0.977138, 0.981803, 0.985777");
-            values ( \
-              "-0.0101591, -0.0161419, -0.0175575, -0.0207778, -0.0243649, -0.0262435, -0.0310744, -0.0356481, -0.0389096, -0.0418554, -0.0445963, -0.0484749, -0.0520322, -0.0557366, -0.0568764, -0.0591201, -0.0621405, -0.0634155, -0.0658064, -0.0680457, -0.0702309, -0.0709336, -0.0714521, -0.0716569, -0.0717581, -0.0717556, -0.0716494, -0.0714531, -0.0713684, -0.0710441, -0.0705972, -0.0700277, -0.0693357, -0.0681854, -0.0653564, -0.0636776, -0.0609411, -0.0548168, -0.049482, -0.0369257, -0.0338871, -0.0274693, -0.0223512, -0.0191076, -0.0151008, -0.0116055, -0.00919283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.808682, 0.85927, 0.875829, 0.889599, 0.901977, 0.922014, 0.928932, 0.937986, 0.94625, 0.954096, 0.962875, 0.967964, 0.973607, 0.979243, 0.990515, 0.996501, 1.02393, 1.0332, 1.04612, 1.05556, 1.06159, 1.06767, 1.07578, 1.09198, 1.1196, 1.15213");
-            values ( \
-              "-0.000338925, -0.0538004, -0.0670174, -0.0755084, -0.0818221, -0.0910228, -0.093745, -0.096882, -0.0990993, -0.100737, -0.101898, -0.10223, -0.102164, -0.101388, -0.0963515, -0.0905979, -0.0487665, -0.036668, -0.0237608, -0.016914, -0.0135355, -0.0107777, -0.00790152, -0.00413237, -0.00124435, -0.000315393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.81584, 0.86311, 0.896283, 0.928658, 0.953562, 0.996999, 1.00942, 1.03437, 1.05932, 1.08413, 1.13891, 1.15874, 1.18521, 1.21558, 1.24861, 1.26957");
-            values ( \
-              "-0.010873, -0.0624289, -0.0879689, -0.106522, -0.117479, -0.133366, -0.137, -0.14111, -0.137708, -0.119135, -0.0521697, -0.0358013, -0.0209425, -0.0110473, -0.0054858, -0.0040243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.833678, 0.859627, 0.884753, 0.923322, 0.94926, 1.01324, 1.02861, 1.05936, 1.09324, 1.11363, 1.15501, 1.18066, 1.19832, 1.21564, 1.23444, 1.3064, 1.33341, 1.37875, 1.40193, 1.43285, 1.47174, 1.49571, 1.54366, 1.60881, 1.73909");
-            values ( \
-              "-0.0596816, -0.0613931, -0.0844866, -0.110494, -0.124493, -0.153978, -0.159774, -0.168394, -0.173368, -0.174275, -0.17168, -0.166351, -0.160466, -0.152015, -0.13936, -0.081622, -0.0639288, -0.0410909, -0.0324439, -0.0234309, -0.0154353, -0.0118914, -0.00694283, -0.00326945, -0.000715399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.843763, 0.889428, 0.941592, 1.00316, 1.02755, 1.05104, 1.07101, 1.11095, 1.15009, 1.20445, 1.24433, 1.28122, 1.34354, 1.38439, 1.44953, 1.46915, 1.50838, 1.56099, 1.64729, 1.7003, 1.73928, 1.77961, 1.83312, 1.88043, 1.94352, 1.99806, 2.07105, 2.13619, 2.20133, 2.33161, 2.4619, 2.59218");
-            values ( \
-              "-0.081358, -0.0901295, -0.124982, -0.156811, -0.167893, -0.176514, -0.182156, -0.189639, -0.193123, -0.194064, -0.193424, -0.191956, -0.187313, -0.182858, -0.1725, -0.168138, -0.156683, -0.135668, -0.0984707, -0.0785057, -0.0658254, -0.0544509, -0.0419086, -0.0330477, -0.0238436, -0.0178838, -0.0121169, -0.00851447, -0.00595994, -0.00290868, -0.00141378, -0.000685426" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(!A * B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0828221, 0.0988123, 0.12845, 0.187057, 0.307656, 0.557656", \
-            "0.0864853, 0.10248, 0.132147, 0.190759, 0.311367, 0.561361", \
-            "0.0954685, 0.111436, 0.141148, 0.199869, 0.320525, 0.570526", \
-            "0.114789, 0.131002, 0.160765, 0.21972, 0.340534, 0.590634", \
-            "0.138753, 0.156014, 0.186815, 0.246172, 0.367231, 0.617433", \
-            "0.154544, 0.174418, 0.207542, 0.267722, 0.388844, 0.63977" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0379446, 0.0561292, 0.0950353, 0.178581, 0.354618, 0.720613", \
-            "0.0379704, 0.0561291, 0.0950317, 0.178561, 0.354541, 0.720613", \
-            "0.0380628, 0.0561992, 0.0950601, 0.178585, 0.354608, 0.720613", \
-            "0.0397047, 0.0572817, 0.0955759, 0.178689, 0.354549, 0.720615", \
-            "0.0452599, 0.0617355, 0.0984062, 0.180096, 0.355101, 0.72062", \
-            "0.0559226, 0.0714699, 0.105428, 0.183288, 0.356245, 0.721535" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0545364, 0.0575958, 0.0609267, 0.0654507, 0.0727769, 0.0790267, 0.0823982, 0.0847938, 0.0895851, 0.0920795, 0.0961074, 0.0997816, 0.107669, 0.111733, 0.117814, 0.124418, 0.128028, 0.136917, 0.141366, 0.146319, 0.15345, 0.161378, 0.179865, 0.20175, 0.228202");
-            values ( \
-              "0.0193594, 0.0315567, 0.0388862, 0.0472443, 0.0588272, 0.0656776, 0.0679527, 0.0688112, 0.0695618, 0.0689993, 0.066439, 0.061379, 0.0440123, 0.0364166, 0.0271934, 0.0197225, 0.0164809, 0.010474, 0.00833003, 0.00654522, 0.0044269, 0.00293569, 0.00109819, 0.000400609, 3.12833e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0583815, 0.0687709, 0.0764029, 0.0812209, 0.0890868, 0.0915069, 0.0981367, 0.106373, 0.114978, 0.123677, 0.136507, 0.148496, 0.157942, 0.16984, 0.177528, 0.187446, 0.202333, 0.221412, 0.241764, 0.259274");
-            values ( \
-              "0.054018, 0.0671071, 0.0823075, 0.0890554, 0.0962668, 0.0974255, 0.0986715, 0.096859, 0.0904277, 0.0770505, 0.0540124, 0.037512, 0.0276688, 0.0184943, 0.0141614, 0.00995974, 0.00579415, 0.00285912, 0.00149639, 0.000815435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0585024, 0.0800641, 0.0874342, 0.094944, 0.108631, 0.122176, 0.136141, 0.146088, 0.158714, 0.185806, 0.209155, 0.231474, 0.258172, 0.281596, 0.313173, 0.337365, 0.364417");
-            values ( \
-              "0.05355, 0.102792, 0.113082, 0.120123, 0.124332, 0.12254, 0.116981, 0.110884, 0.0994751, 0.0680036, 0.0458898, 0.0301919, 0.0176514, 0.0107873, 0.00546896, 0.00319355, 0.00194647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0620411, 0.0936939, 0.103712, 0.118659, 0.137327, 0.154571, 0.193628, 0.209218, 0.251111, 0.30635, 0.34244, 0.38303, 0.42105, 0.457501, 0.489014, 0.544972, 0.593651, 0.640427");
-            values ( \
-              "0.0876823, 0.131973, 0.139253, 0.142825, 0.141691, 0.138354, 0.127635, 0.121863, 0.0997414, 0.0652838, 0.0467276, 0.0309286, 0.0204476, 0.0135549, 0.00939313, 0.00486416, 0.00268308, 0.00199791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.0646154, 0.0821608, 0.0934837, 0.10318, 0.114922, 0.1237, 0.135404, 0.15554, 0.182452, 0.260709, 0.315358, 0.362111, 0.437059, 0.537401, 0.609677, 0.685052, 0.736827, 0.777495, 0.840904, 0.897418, 0.966673, 1.04705, 1.20781, 1.22244");
-            values ( \
-              "0.119511, 0.12153, 0.139142, 0.147253, 0.152757, 0.154357, 0.154695, 0.154021, 0.151047, 0.14054, 0.131898, 0.122565, 0.101062, 0.0688006, 0.0490371, 0.0331894, 0.0249584, 0.0198192, 0.0137128, 0.00980044, 0.00646166, 0.00392675, 0.00143766, 0.00136985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0722395, 0.133166, 0.149109, 0.191739, 0.356073, 0.458072, 0.565736, 0.620308, 0.681643, 0.762021, 0.813466, 0.9798, 1.07797, 1.13934, 1.21971, 1.27066, 1.41897, 1.47718, 1.53528, 1.67411, 1.78358, 1.92216, 2.08291, 2.24367, 2.56518, 2.64556, 2.72594, 2.80631");
-            values ( \
-              "0.160586, 0.161329, 0.162193, 0.160449, 0.14985, 0.142796, 0.134433, 0.129091, 0.122492, 0.111146, 0.10341, 0.0761986, 0.0614904, 0.0534682, 0.0435459, 0.0381652, 0.0255008, 0.0216458, 0.0183317, 0.0122021, 0.0087886, 0.00575704, 0.00348677, 0.00208457, 0.000695369, 0.000778729, 0.000372869, 0.000526452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0685978, 0.0718143, 0.075153, 0.0835078, 0.0870171, 0.0932697, 0.0968399, 0.0990388, 0.103437, 0.107113, 0.110366, 0.11613, 0.120079, 0.126522, 0.135542, 0.142795, 0.148024, 0.152549, 0.1581, 0.165502, 0.173697, 0.179368, 0.190708, 0.207716");
-            values ( \
-              "0.0171406, 0.0312919, 0.0386863, 0.0534689, 0.0586861, 0.0655701, 0.0679632, 0.0688583, 0.0695019, 0.068622, 0.0663745, 0.0575273, 0.048162, 0.0355764, 0.0229968, 0.0161269, 0.0123534, 0.0098119, 0.00737509, 0.00502579, 0.00329359, 0.00247336, 0.00136561, 0.000614472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0725162, 0.0793966, 0.0855393, 0.0954498, 0.104123, 0.109188, 0.112367, 0.120599, 0.124342, 0.129207, 0.137934, 0.150709, 0.162827, 0.176062, 0.183841, 0.195137, 0.212771, 0.235171, 0.254973, 0.260969");
-            values ( \
-              "0.0586367, 0.0586456, 0.0726422, 0.0892057, 0.0965978, 0.0982885, 0.0984976, 0.0966912, 0.0946669, 0.0902386, 0.0771655, 0.0541862, 0.0375225, 0.0244255, 0.0187671, 0.0126938, 0.00676749, 0.00302296, 0.00144142, 0.00124266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0725666, 0.0784642, 0.0853207, 0.090215, 0.0943017, 0.101489, 0.109177, 0.120026, 0.12286, 0.128529, 0.136405, 0.149991, 0.155677, 0.160293, 0.165262, 0.172943, 0.200036, 0.21351, 0.223384, 0.235976, 0.245703, 0.255455, 0.268498, 0.28021, 0.295826, 0.309258, 0.327402, 0.351594, 0.398253, 0.452698");
-            values ( \
-              "0.0498313, 0.063663, 0.0828564, 0.0943558, 0.102699, 0.113049, 0.119997, 0.123847, 0.124182, 0.123847, 0.122385, 0.117169, 0.114019, 0.110903, 0.106928, 0.0994773, 0.0680057, 0.054619, 0.0458923, 0.0363683, 0.0301893, 0.0249123, 0.0191157, 0.0150108, 0.0107899, 0.00808944, 0.00546639, 0.00319609, 0.00103267, 0.000262501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.078199, 0.118382, 0.130291, 0.138243, 0.157354, 0.182515, 0.208958, 0.235767, 0.344794, 0.382546, 0.437904, 0.473136, 0.542932, 0.595438, 0.624324");
-            values ( \
-              "0.103549, 0.139316, 0.142572, 0.142842, 0.140654, 0.134959, 0.127199, 0.116482, 0.0524547, 0.0360279, 0.0198302, 0.0132974, 0.00593827, 0.00305583, 0.00256722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0813408, 0.107739, 0.117983, 0.129624, 0.13706, 0.146974, 0.162834, 0.178761, 0.226681, 0.299992, 0.335552, 0.366252, 0.386549, 0.427141, 0.53332, 0.596551, 0.647359, 0.689469, 0.722082, 0.780712, 0.848406, 0.908245, 0.969525, 1.01632, 1.0967, 1.17707, 1.25745, 1.41821");
-            values ( \
-              "0.133434, 0.138969, 0.147823, 0.152698, 0.154079, 0.154836, 0.154353, 0.152986, 0.147082, 0.13668, 0.130853, 0.124817, 0.120056, 0.108708, 0.0744054, 0.0560289, 0.0435688, 0.0349771, 0.0293117, 0.0211033, 0.0142627, 0.00998676, 0.00691969, 0.00520755, 0.00316387, 0.0019158, 0.00115815, 0.000421923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.0900354, 0.0900554, 0.238308, 0.47191, 0.552288, 0.634146, 0.695481, 0.775859, 1.02636, 1.15318, 1.25054, 1.35242, 1.48133, 1.62659, 1.76833, 1.85561, 2.01636, 2.33787, 2.44845");
-            values ( \
-              "1e-22, 0.17187, 0.158319, 0.142608, 0.136604, 0.129277, 0.122306, 0.111332, 0.0712027, 0.0532843, 0.0417761, 0.0319154, 0.0223333, 0.0147117, 0.00967863, 0.007454, 0.00457365, 0.00168826, 0.00138122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.101611, 0.106013, 0.10946, 0.114079, 0.121497, 0.127005, 0.129354, 0.133581, 0.135772, 0.139225, 0.14484, 0.148081, 0.151239, 0.157555, 0.164488, 0.170101, 0.177242, 0.182292, 0.186128, 0.193036, 0.20093, 0.205496, 0.21278, 0.222491, 0.241914, 0.265615");
-            values ( \
-              "0.00521128, 0.0301699, 0.0378215, 0.0463802, 0.0582067, 0.0645638, 0.0664916, 0.0686971, 0.0691496, 0.0692386, 0.0664571, 0.0621661, 0.0559629, 0.0416103, 0.0302554, 0.0230165, 0.0162222, 0.0125634, 0.0103332, 0.00725011, 0.00479844, 0.00379869, 0.00261752, 0.00158983, 0.000554787, 0.000158825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.106261, 0.113725, 0.11997, 0.125016, 0.129965, 0.137563, 0.140886, 0.145317, 0.146943, 0.150197, 0.155189, 0.163474, 0.168393, 0.173493, 0.183647, 0.19204, 0.197657, 0.204898, 0.210489, 0.216334, 0.224169, 0.231409, 0.241062, 0.248933, 0.25775, 0.269506, 0.293017, 0.323995, 0.362501");
-            values ( \
-              "0.050828, 0.0572778, 0.0716501, 0.0813574, 0.0886515, 0.095675, 0.0972613, 0.0982952, 0.098362, 0.0981143, 0.0966177, 0.0907051, 0.0839634, 0.0750903, 0.0564467, 0.0443208, 0.0372311, 0.0295144, 0.0245609, 0.0201731, 0.0153956, 0.0119629, 0.0084855, 0.00640374, 0.00467042, 0.00304584, 0.00124024, 0.000354147, 6.98866e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.108187, 0.116251, 0.119727, 0.124842, 0.128776, 0.136014, 0.143703, 0.154582, 0.157397, 0.163027, 0.170948, 0.18454, 0.190204, 0.19483, 0.19981, 0.207491, 0.234585, 0.248057, 0.257932, 0.270524, 0.280253, 0.290004, 0.303047, 0.314758, 0.330373, 0.343806, 0.349854, 0.361949, 0.386141, 0.432266, 0.486213");
-            values ( \
-              "0.0687665, 0.0723112, 0.0817697, 0.0942407, 0.102044, 0.112869, 0.119688, 0.123848, 0.124022, 0.123851, 0.122294, 0.1172, 0.11401, 0.110912, 0.106914, 0.0994817, 0.068012, 0.0546202, 0.045893, 0.0363688, 0.0301898, 0.0249118, 0.0191166, 0.0150121, 0.0107894, 0.00809083, 0.00710806, 0.00546783, 0.00319508, 0.00105039, 0.000269953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.111077, 0.124001, 0.13574, 0.142562, 0.153002, 0.164414, 0.173775, 0.186256, 0.191953, 0.217108, 0.242505, 0.258123, 0.272062, 0.29994, 0.333235, 0.355026, 0.390287, 0.411292, 0.435844, 0.472449, 0.494932, 0.514346, 0.541416, 0.565781, 0.598268, 0.663242, 0.74362, 0.823998");
-            values ( \
-              "0.0966236, 0.100266, 0.123793, 0.131997, 0.1393, 0.142422, 0.142736, 0.141569, 0.140601, 0.134894, 0.12753, 0.121853, 0.115637, 0.0997738, 0.0783472, 0.0653988, 0.0472013, 0.038308, 0.0296609, 0.0198841, 0.0154476, 0.0123714, 0.0090274, 0.00678785, 0.00460768, 0.00204188, 0.000712643, 0.000251144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.113878, 0.152157, 0.166857, 0.185747, 0.228829, 0.309657, 0.364368, 0.410165, 0.443809, 0.586907, 0.66124, 0.731407, 0.80796, 0.911586, 0.967126, 1.0515, 1.21226, 1.23512");
-            values ( \
-              "0.114434, 0.14738, 0.153253, 0.154832, 0.15123, 0.140414, 0.131896, 0.122786, 0.114056, 0.0686502, 0.048415, 0.0336658, 0.0220254, 0.012053, 0.0086564, 0.00519035, 0.00190764, 0.00176688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.121338, 0.121358, 0.272949, 0.307141, 0.506679, 0.614343, 0.668915, 0.73025, 0.810628, 1.06113, 1.18794, 1.31927, 1.38719, 1.52578, 1.58389, 1.72272, 1.83219, 1.97076, 2.13151, 2.29227, 2.46939");
-            values ( \
-              "1e-22, 0.164376, 0.158273, 0.156106, 0.142661, 0.134299, 0.129225, 0.122358, 0.11128, 0.0712545, 0.0533359, 0.0382968, 0.0319663, 0.0217761, 0.0184612, 0.0123314, 0.00891773, 0.00588611, 0.00361561, 0.0022132, 0.00136851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.178043, 0.183837, 0.200931, 0.207006, 0.210275, 0.211911, 0.216123, 0.219917, 0.221861, 0.225809, 0.231736, 0.239569, 0.242862, 0.252858, 0.262456, 0.270488, 0.274869, 0.282929, 0.292945, 0.30109, 0.31738, 0.322275");
-            values ( \
-              "0.0207118, 0.0236302, 0.0519853, 0.0598921, 0.062956, 0.0633042, 0.0657107, 0.0665917, 0.0664809, 0.0647907, 0.0566336, 0.0397285, 0.0341476, 0.0211841, 0.0131925, 0.00877896, 0.00700664, 0.00461375, 0.00277275, 0.00182395, 0.000778417, 0.000658778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.181249, 0.187992, 0.203301, 0.210303, 0.210907, 0.215122, 0.219204, 0.223136, 0.227736, 0.236159, 0.244581, 0.248754, 0.253206, 0.263058, 0.268361, 0.274688, 0.283124, 0.289571, 0.298507, 0.307761, 0.311811, 0.318942, 0.329079, 0.339931, 0.348293, 0.365018, 0.395068, 0.430903");
-            values ( \
-              "0.0375327, 0.0378385, 0.0716628, 0.083465, 0.083338, 0.0884668, 0.092301, 0.0947458, 0.0961027, 0.0954469, 0.0899732, 0.0846457, 0.0773597, 0.0594841, 0.0511207, 0.0422507, 0.0323677, 0.0262882, 0.0194763, 0.0141567, 0.0122904, 0.00955267, 0.00664285, 0.00450944, 0.00333328, 0.00179675, 0.000538217, 0.000121617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.183783, 0.19367, 0.203141, 0.208585, 0.217108, 0.224244, 0.230984, 0.238198, 0.251451, 0.25813, 0.265895, 0.280042, 0.291204, 0.319821, 0.33741, 0.351059, 0.362686, 0.371694, 0.388011, 0.406883, 0.423537, 0.440656, 0.453737, 0.4799, 0.519248");
-            values ( \
-              "0.0471708, 0.0577046, 0.0830811, 0.0951483, 0.108305, 0.116135, 0.120357, 0.122444, 0.121803, 0.119879, 0.116672, 0.10761, 0.0964948, 0.0638012, 0.0472062, 0.0367088, 0.0293902, 0.0245951, 0.017651, 0.0118973, 0.00832353, 0.0057609, 0.00433216, 0.00241065, 0.0010607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.186825, 0.196638, 0.210168, 0.223296, 0.229722, 0.239728, 0.248638, 0.260958, 0.273149, 0.293117, 0.308763, 0.324779, 0.35155, 0.37385, 0.42732, 0.461035, 0.485821, 0.505721, 0.532254, 0.553161, 0.57976, 0.615226, 0.658183, 0.692949, 0.76248, 0.842858, 0.923236");
-            values ( \
-              "0.0703026, 0.0711252, 0.107352, 0.12813, 0.134274, 0.139814, 0.141747, 0.141959, 0.140394, 0.136226, 0.13205, 0.127126, 0.116559, 0.104249, 0.0705826, 0.0521966, 0.0409746, 0.0334231, 0.0251553, 0.0199895, 0.0148159, 0.00981396, 0.00593701, 0.00390776, 0.00162591, 0.000560737, 0.000203483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.194337, 0.216779, 0.229355, 0.239325, 0.24802, 0.262539, 0.278348, 0.294794, 0.342719, 0.416007, 0.451692, 0.482452, 0.502588, 0.542861, 0.649371, 0.711561, 0.763148, 0.806418, 0.84008, 0.896766, 0.963824, 1.02393, 1.08619, 1.13384, 1.21422, 1.2946, 1.37498, 1.53573");
-            values ( \
-              "0.120284, 0.12453, 0.141857, 0.148981, 0.152292, 0.154439, 0.154288, 0.1529, 0.147043, 0.136682, 0.130834, 0.124781, 0.120054, 0.108805, 0.074401, 0.0563047, 0.0436224, 0.0348069, 0.0289969, 0.0211024, 0.0143152, 0.010008, 0.00689351, 0.00516031, 0.00313487, 0.00189823, 0.00114742, 0.000417961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.204971, 0.204991, 0.588369, 0.668747, 0.750606, 0.81194, 0.892318, 1.14282, 1.26964, 1.40096, 1.46888, 1.59779, 1.66558, 1.80442, 1.91388, 2.05243, 2.21319, 2.37395, 2.5398");
-            values ( \
-              "1e-22, 0.168553, 0.142646, 0.136567, 0.129239, 0.122343, 0.111294, 0.0712409, 0.0533226, 0.0383095, 0.0319544, 0.0223722, 0.0184721, 0.0123421, 0.00892847, 0.00589697, 0.00362626, 0.00222368, 0.00141852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.33189, 0.340051, 0.348089, 0.359134, 0.377415, 0.384852, 0.391637, 0.398244, 0.404836, 0.41338, 0.421476, 0.431509, 0.442428, 0.452441, 0.458256, 0.466215, 0.476827, 0.486677");
-            values ( \
-              "0.0079144, 0.011155, 0.0180376, 0.0288675, 0.0491857, 0.0555359, 0.0589032, 0.0590963, 0.0533017, 0.0374407, 0.0260665, 0.0163555, 0.00960287, 0.005826, 0.00436013, 0.00294124, 0.00173285, 0.00127021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.337239, 0.346129, 0.352207, 0.35916, 0.379376, 0.390216, 0.399784, 0.40892, 0.418055, 0.423011, 0.44362, 0.460002, 0.471472, 0.481628, 0.495723, 0.506913, 0.517901, 0.537906, 0.550706");
-            values ( \
-              "0.020897, 0.0210998, 0.0275687, 0.0365824, 0.0680253, 0.0809944, 0.087429, 0.0891654, 0.0859125, 0.0807977, 0.0485052, 0.0294189, 0.0202228, 0.0143204, 0.00875028, 0.00541256, 0.00369882, 0.00185527, 0.00131057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.344642, 0.360216, 0.37723, 0.395493, 0.410758, 0.425132, 0.439525, 0.453908, 0.515601, 0.540565, 0.564763, 0.597314, 0.632432, 0.659096");
-            values ( \
-              "0.0392592, 0.0439726, 0.0768704, 0.104294, 0.115164, 0.117257, 0.114242, 0.106236, 0.043664, 0.027436, 0.016823, 0.00844132, 0.00394648, 0.0022315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.350544, 0.373199, 0.385009, 0.394457, 0.409556, 0.421678, 0.439499, 0.459441, 0.472391, 0.498091, 0.518799, 0.530767, 0.547736, 0.601801, 0.633629, 0.65983, 0.682006, 0.699266, 0.728218, 0.762547, 0.793341, 0.825284, 0.849738, 0.898646, 0.979024, 1.0594");
-            values ( \
-              "0.0505206, 0.0750369, 0.0996571, 0.114813, 0.130353, 0.136105, 0.138557, 0.136345, 0.133598, 0.126768, 0.11909, 0.113785, 0.10445, 0.0705513, 0.0531284, 0.0411471, 0.0327938, 0.0273007, 0.0198747, 0.0134806, 0.00941931, 0.00648388, 0.00485397, 0.00266836, 0.000938046, 0.000325908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.359777, 0.388903, 0.405863, 0.421058, 0.438118, 0.453758, 0.468806, 0.492055, 0.536766, 0.567272, 0.620117, 0.673761, 0.717698, 0.823914, 0.886943, 0.937905, 0.98024, 1.01306, 1.07131, 1.09671, 1.13888, 1.19877, 1.26024, 1.3072, 1.38757, 1.46795, 1.54833, 1.70909");
-            values ( \
-              "0.0893724, 0.112218, 0.135622, 0.146358, 0.151226, 0.152095, 0.151466, 0.149268, 0.144111, 0.140066, 0.131874, 0.120937, 0.108699, 0.0744014, 0.0560811, 0.0435788, 0.0349436, 0.0292506, 0.0211032, 0.0182478, 0.014273, 0.00999094, 0.00691486, 0.00519859, 0.00315843, 0.00191246, 0.00115616, 0.000421213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.371254, 0.418074, 0.428927, 0.443397, 0.462307, 0.46898, 0.482327, 0.505586, 0.515917, 0.540868, 0.563226, 0.739242, 0.809854, 0.870395, 0.950773, 0.986412, 1.04636, 1.11802, 1.31929, 1.38889, 1.46927, 1.54034, 1.60794, 1.67355, 1.75392, 1.78259, 1.83993, 1.92031, 1.9806, 2.08858, 2.22417, 2.38492, 2.54568, 2.78681, 3.10832");
-            values ( \
-              "0.145127, 0.150086, 0.155122, 0.158621, 0.16007, 0.160085, 0.159808, 0.158773, 0.158049, 0.157286, 0.156017, 0.144334, 0.139171, 0.134287, 0.126525, 0.122327, 0.114284, 0.103286, 0.0709261, 0.0607566, 0.0501334, 0.0419294, 0.0351378, 0.0294531, 0.0235524, 0.0217444, 0.0184571, 0.01464, 0.0122608, 0.0089052, 0.00593043, 0.00364254, 0.00222933, 0.00107301, 0.000402359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.676726, 0.694974, 0.706778, 0.71295, 0.73937, 0.753896, 0.762542, 0.770633, 0.778732, 0.791774, 0.80216, 0.812442, 0.822274, 0.827889, 0.835932, 0.845419, 0.852882, 0.867808, 0.875952");
-            values ( \
-              "0.00518176, 0.00801003, 0.0128112, 0.0162854, 0.0340202, 0.0429187, 0.046995, 0.0490928, 0.0467346, 0.0294758, 0.0190054, 0.0120571, 0.00764284, 0.00586642, 0.00401062, 0.00259407, 0.00184597, 0.000941279, 0.000729875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.678872, 0.695347, 0.706229, 0.711114, 0.716936, 0.723245, 0.749744, 0.76453, 0.771639, 0.782399, 0.79283, 0.803238, 0.81661, 0.821546, 0.827187, 0.836313, 0.844627, 0.850186, 0.85741, 0.863206, 0.870627, 0.88108, 0.892279, 0.900903, 0.918151, 0.940775");
-            values ( \
-              "0.00805513, 0.0115318, 0.0167439, 0.0196446, 0.0240889, 0.0297453, 0.0547814, 0.0676869, 0.0725782, 0.0773482, 0.0776084, 0.0699357, 0.0508806, 0.0445811, 0.0380062, 0.0289465, 0.02235, 0.0186714, 0.0146986, 0.0121017, 0.0093936, 0.0065359, 0.00443867, 0.00328564, 0.0017754, 0.000869261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.689897, 0.709199, 0.714359, 0.720335, 0.73085, 0.765836, 0.783896, 0.800004, 0.815526, 0.83104, 0.836239, 0.841784, 0.848937, 0.863244, 0.878132, 0.887292, 0.90233, 0.91586, 0.924921, 0.936661, 0.944638, 0.955274, 0.973782, 0.98217, 0.994506, 1.01095, 1.04385, 1.0747");
-            values ( \
-              "0.0216118, 0.0222619, 0.0260043, 0.0314756, 0.0430163, 0.0845358, 0.10044, 0.107435, 0.108157, 0.102689, 0.0989898, 0.094186, 0.086897, 0.0712132, 0.0564269, 0.0482907, 0.0367857, 0.0284804, 0.0238386, 0.0188188, 0.0159905, 0.0128222, 0.00864607, 0.00723414, 0.00555363, 0.00388365, 0.00184164, 0.00104277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.703813, 0.733804, 0.763913, 0.782447, 0.795592, 0.821882, 0.827347, 0.833593, 0.846085, 0.854256, 0.864785, 0.875774, 0.897751, 0.918221, 0.937237, 0.97126, 0.994999, 1.01327, 1.03776, 1.05662, 1.08177, 1.09969, 1.11397, 1.13301, 1.16725, 1.18266, 1.20548, 1.22747, 1.24089, 1.28048, 1.32928, 1.40966, 1.49004");
-            values ( \
-              "0.0375326, 0.0505254, 0.0923298, 0.113622, 0.123626, 0.13262, 0.132891, 0.132842, 0.131778, 0.130489, 0.12832, 0.125494, 0.117899, 0.107812, 0.0963458, 0.0748343, 0.0613083, 0.0518527, 0.0408703, 0.0337263, 0.0258102, 0.0212297, 0.0181199, 0.014614, 0.00982723, 0.00821624, 0.00629044, 0.00466541, 0.00401183, 0.00252935, 0.00140092, 0.00048133, 0.000175619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.713684, 0.746423, 0.773982, 0.794342, 0.811717, 0.836404, 0.844536, 0.860799, 0.885945, 0.907557, 0.95805, 1.01606, 1.05374, 1.11183, 1.20075, 1.27443, 1.34931, 1.38577, 1.44862, 1.53701, 1.58234, 1.6325, 1.69937, 1.77975, 1.86013, 1.907");
-            values ( \
-              "0.0587221, 0.0717562, 0.112109, 0.13278, 0.142737, 0.14838, 0.148805, 0.148548, 0.146647, 0.144197, 0.137426, 0.12799, 0.119795, 0.103184, 0.0744125, 0.0533823, 0.0365236, 0.0299927, 0.0210991, 0.012601, 0.00963134, 0.00713031, 0.00472739, 0.00287758, 0.00173434, 0.00147245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.735476, 0.819452, 0.845392, 0.869897, 0.92866, 1.03806, 1.2162, 1.30455, 1.36419, 1.44457, 1.6951, 1.82193, 1.9532, 2.02105, 2.15975, 2.21799, 2.35691, 2.46621, 2.60442, 2.76518, 2.92594, 3.06827");
-            values ( \
-              "0.108882, 0.152152, 0.157517, 0.158501, 0.156115, 0.149288, 0.136685, 0.129041, 0.122345, 0.111267, 0.0712458, 0.0533281, 0.0383025, 0.031972, 0.0217797, 0.0184577, 0.0123274, 0.00892061, 0.00589564, 0.00362309, 0.00221939, 0.00153512" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(!A * B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0921785, 0.105768, 0.129959, 0.175077, 0.264979, 0.450324", \
-            "0.0963682, 0.109991, 0.134214, 0.17935, 0.269232, 0.454564", \
-            "0.107638, 0.121318, 0.145589, 0.190793, 0.28068, 0.466011", \
-            "0.136572, 0.150109, 0.174431, 0.219729, 0.309683, 0.495", \
-            "0.191628, 0.206379, 0.231887, 0.27841, 0.368807, 0.554185", \
-            "0.27949, 0.297322, 0.325597, 0.373563, 0.463605, 0.650205" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.029308, 0.0423593, 0.0684922, 0.123259, 0.239582, 0.485235", \
-            "0.0293173, 0.042348, 0.0685343, 0.12308, 0.239593, 0.485236", \
-            "0.0293293, 0.0423653, 0.0685034, 0.123232, 0.239592, 0.485209", \
-            "0.0297602, 0.0428294, 0.0688866, 0.123356, 0.239593, 0.485246", \
-            "0.0346425, 0.0469252, 0.0724023, 0.125806, 0.240564, 0.485356", \
-            "0.0453122, 0.0569929, 0.0797381, 0.130388, 0.243816, 0.487493" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0652915, 0.078344, 0.0822691, 0.0895598, 0.0932472, 0.0987384, 0.101809, 0.104336, 0.108876, 0.111174, 0.125747, 0.129428, 0.134588, 0.138411, 0.143508, 0.151788");
-            values ( \
-              "-0.00561152, -0.0540378, -0.0633203, -0.0751906, -0.0795023, -0.084166, -0.0855315, -0.0855467, -0.0825132, -0.0780612, -0.0257257, -0.0173384, -0.00964508, -0.00617907, -0.00332794, -0.00123876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0636398, 0.0832246, 0.0886369, 0.0920188, 0.0987826, 0.0996215, 0.101299, 0.106634, 0.113359, 0.116474, 0.119988, 0.126816, 0.129462, 0.134393, 0.149629, 0.155121, 0.162496, 0.167501, 0.17565, 0.184883");
-            values ( \
-              "-0.0019283, -0.0805041, -0.0955806, -0.0994266, -0.111476, -0.111076, -0.113681, -0.118333, -0.121607, -0.121772, -0.121325, -0.114903, -0.110043, -0.0952481, -0.0415691, -0.0287888, -0.0170497, -0.0118158, -0.00640702, -0.00326715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0624525, 0.0908054, 0.0989022, 0.114366, 0.115772, 0.118583, 0.126796, 0.137601, 0.148351, 0.149618, 0.153671, 0.161034, 0.167728, 0.182295, 0.195828, 0.207072, 0.218357, 0.227038, 0.236064, 0.242978, 0.256807, 0.273677");
-            values ( \
-              "-0.00543366, -0.112578, -0.130541, -0.148469, -0.148201, -0.15079, -0.154368, -0.155628, -0.152495, -0.151717, -0.148758, -0.140423, -0.127548, -0.0874671, -0.0554456, -0.0363557, -0.0232226, -0.0162294, -0.0111409, -0.00831076, -0.00453888, -0.00241264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0630256, 0.090145, 0.102907, 0.11358, 0.124414, 0.140399, 0.144143, 0.151632, 0.163343, 0.182641, 0.198556, 0.210006, 0.223382, 0.244684, 0.265277, 0.287127, 0.301127, 0.313517, 0.335449, 0.352049, 0.369125, 0.382176, 0.408278, 0.456684, 0.513793");
-            values ( \
-              "-0.00586748, -0.122052, -0.148272, -0.162282, -0.171554, -0.178806, -0.179764, -0.180753, -0.181096, -0.178391, -0.173312, -0.167723, -0.158084, -0.130659, -0.096539, -0.0658459, -0.050424, -0.0393927, -0.0249994, -0.017509, -0.0120947, -0.00905901, -0.00501791, -0.00149071, -0.000338004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.0766411, 0.114493, 0.12401, 0.139006, 0.155179, 0.174963, 0.198255, 0.226138, 0.25281, 0.31057, 0.346371, 0.367157, 0.394871, 0.437031, 0.479856, 0.506838, 0.532542, 0.57715, 0.610197, 0.643763, 0.669353, 0.720531, 0.784006, 0.801577");
-            values ( \
-              "-0.119401, -0.171726, -0.181474, -0.19006, -0.195565, -0.197678, -0.196947, -0.195065, -0.191592, -0.180382, -0.168243, -0.157376, -0.136322, -0.099815, -0.0684754, -0.05294, -0.0409641, -0.0258006, -0.0180914, -0.0125776, -0.00948839, -0.00531554, -0.00254123, -0.00226906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0773414, 0.0980641, 0.113376, 0.124222, 0.14011, 0.157259, 0.171677, 0.190901, 0.221028, 0.307101, 0.381339, 0.445914, 0.481423, 0.528768, 0.586418, 0.621677, 0.685153, 0.771248, 0.830152, 0.901646, 0.939172, 0.988197, 1.0275, 1.07594, 1.13942, 1.18968, 1.28216, 1.34564, 1.40911, 1.53606, 1.72649");
-            values ( \
-              "-0.138353, -0.149719, -0.175425, -0.186784, -0.197199, -0.203081, -0.205519, -0.206969, -0.207029, -0.202957, -0.198138, -0.193102, -0.189816, -0.184532, -0.175874, -0.168411, -0.147871, -0.110433, -0.0867295, -0.0629189, -0.0526325, -0.041399, -0.0339878, -0.0264751, -0.0189806, -0.0145232, -0.00881441, -0.00625188, -0.00439286, -0.00217499, -0.000772542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0827418, 0.0881332, 0.0911332, 0.0967833, 0.104855, 0.109799, 0.114481, 0.11905, 0.123614, 0.125556, 0.128743, 0.139114, 0.143619, 0.149091, 0.155383, 0.160887, 0.166975");
-            values ( \
-              "-0.0139024, -0.0406712, -0.0499769, -0.0626589, -0.0758532, -0.0812466, -0.084772, -0.0856667, -0.0824597, -0.0788469, -0.0696131, -0.029565, -0.0183673, -0.00987302, -0.00473509, -0.00243738, -0.00135038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0858944, 0.0907139, 0.0927864, 0.0941379, 0.096841, 0.0981925, 0.100895, 0.103597, 0.110368, 0.113753, 0.114581, 0.116236, 0.117616, 0.119645, 0.12159, 0.124184, 0.126778, 0.128314, 0.129082, 0.130618, 0.131387, 0.132275, 0.133164, 0.134942, 0.136601, 0.138261, 0.13992, 0.141769, 0.142337, 0.143284, 0.14442, 0.14556, 0.146701, 0.148023, 0.149346, 0.153396, 0.1583, 0.162059, 0.16332, 0.164581, 0.166712, 0.169765, 0.171302, 0.172531, 0.17376, 0.174989, 0.176218, 0.177448, 0.180183, 0.182453");
-            values ( \
-              "-0.0547015, -0.0594158, -0.0678643, -0.0716688, -0.0789159, -0.0823583, -0.08888, -0.0949191, -0.105715, -0.110938, -0.111681, -0.113555, -0.114976, -0.116828, -0.118332, -0.11978, -0.121077, -0.121774, -0.121823, -0.121759, -0.121645, -0.121443, -0.121169, -0.120406, -0.1193, -0.118034, -0.116607, -0.114829, -0.113997, -0.112232, -0.10976, -0.106887, -0.103662, -0.0993714, -0.0948224, -0.0792823, -0.0610315, -0.0484185, -0.0448208, -0.041407, -0.0361828, -0.0291704, -0.0260964, -0.0238886, -0.021988, -0.0202011, -0.0185279, -0.0169683, -0.0139584, -0.0116474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0758739, 0.112277, 0.118899, 0.130608, 0.141637, 0.152435, 0.163225, 0.170717, 0.174584, 0.182316, 0.197707, 0.210572, 0.222611, 0.234744, 0.245771, 0.264364, 0.286366");
-            values ( \
-              "-0.00869736, -0.127084, -0.136946, -0.148772, -0.154338, -0.155712, -0.152591, -0.146776, -0.142281, -0.128218, -0.0859889, -0.0556971, -0.0353848, -0.0218132, -0.013818, -0.00623053, -0.0023348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0759781, 0.108893, 0.113151, 0.117873, 0.127315, 0.139388, 0.154961, 0.167346, 0.178286, 0.197452, 0.214076, 0.223819, 0.238291, 0.247187, 0.259049, 0.280549, 0.301671, 0.314717, 0.327991, 0.339059, 0.350602, 0.367041, 0.374126, 0.388298, 0.416642, 0.46232, 0.516461");
-            values ( \
-              "-0.00276188, -0.131399, -0.140225, -0.148405, -0.161131, -0.171599, -0.178807, -0.180919, -0.181028, -0.178464, -0.173081, -0.168368, -0.158136, -0.148633, -0.131282, -0.0957849, -0.0661395, -0.0516178, -0.0396229, -0.031596, -0.0248092, -0.0174199, -0.0149502, -0.0109549, -0.00574972, -0.00186981, -0.000463137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0888354, 0.101937, 0.117545, 0.128861, 0.138736, 0.153649, 0.169595, 0.176493, 0.190287, 0.212918, 0.239707, 0.249745, 0.287383, 0.328728, 0.342449, 0.360742, 0.382565, 0.411662, 0.443852, 0.476728, 0.499171, 0.514388, 0.544822, 0.564542, 0.599313, 0.628591, 0.654928, 0.690045, 0.753521, 0.816996, 0.943947");
-            values ( \
-              "-0.101432, -0.118211, -0.155214, -0.171856, -0.181135, -0.190461, -0.195141, -0.196463, -0.197374, -0.197275, -0.194861, -0.19384, -0.188243, -0.17922, -0.17517, -0.168376, -0.156927, -0.134551, -0.106405, -0.0805324, -0.0656012, -0.0567482, -0.0419584, -0.0343194, -0.0238429, -0.0173962, -0.0130741, -0.00886688, -0.0042619, -0.00203749, -0.000462013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.0895291, 0.121921, 0.139053, 0.15487, 0.171543, 0.187552, 0.208896, 0.237388, 0.272532, 0.321902, 0.417708, 0.496069, 0.543073, 0.601747, 0.636493, 0.699969, 0.844969, 0.91646, 0.953987, 1.00301, 1.04232, 1.09076, 1.15424, 1.20449, 1.29698, 1.36046, 1.42393, 1.55088, 1.74131");
-            values ( \
-              "-0.105006, -0.16669, -0.186836, -0.197198, -0.202961, -0.205648, -0.207082, -0.20698, -0.205601, -0.20296, -0.196547, -0.189831, -0.184595, -0.175778, -0.168409, -0.147871, -0.0867316, -0.0629226, -0.0526289, -0.0414025, -0.0339911, -0.0264712, -0.0189841, -0.0145195, -0.00881081, -0.00625538, -0.00438932, -0.00217148, -0.000776028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.120209, 0.122982, 0.126064, 0.133955, 0.141248, 0.146777, 0.151465, 0.156041, 0.160583, 0.162872, 0.176456, 0.185751, 0.19409, 0.19758");
-            values ( \
-              "-0.0264411, -0.0306196, -0.0450575, -0.0634406, -0.0753035, -0.0812571, -0.084616, -0.0856082, -0.0823864, -0.0780588, -0.0285238, -0.0102301, -0.0038575, -0.00276898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.120989, 0.125381, 0.128235, 0.131992, 0.140029, 0.151263, 0.158269, 0.165007, 0.17165, 0.178289, 0.180977, 0.186043, 0.196696, 0.202238, 0.208703, 0.217189, 0.226533, 0.234663, 0.249168, 0.266411");
-            values ( \
-              "-0.0232606, -0.0502266, -0.0624425, -0.0745876, -0.0939545, -0.111671, -0.118185, -0.121625, -0.121346, -0.115226, -0.110377, -0.0952688, -0.0552923, -0.039075, -0.0252125, -0.013667, -0.00682145, -0.00363806, -0.00108263, -0.000265693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.122014, 0.128485, 0.132089, 0.139246, 0.149248, 0.1558, 0.167498, 0.17852, 0.189315, 0.200164, 0.207639, 0.211462, 0.21725, 0.224293, 0.234458, 0.247501, 0.259521, 0.265763, 0.271478, 0.282638, 0.288969, 0.301632, 0.325192, 0.352626");
-            values ( \
-              "-0.0327803, -0.0706196, -0.0852401, -0.10658, -0.127475, -0.137086, -0.148907, -0.154358, -0.15578, -0.15254, -0.146741, -0.142288, -0.132493, -0.114955, -0.0863217, -0.055578, -0.0353251, -0.0276249, -0.0219332, -0.0138188, -0.0105909, -0.00612031, -0.002024, -0.000527628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.111936, 0.148229, 0.154921, 0.167067, 0.176385, 0.192438, 0.203396, 0.215282, 0.234448, 0.250808, 0.261322, 0.275319, 0.296599, 0.339054, 0.365442, 0.387414, 0.404007, 0.42105, 0.460119, 0.470143");
-            values ( \
-              "-0.00167182, -0.136793, -0.148829, -0.164265, -0.17175, -0.179013, -0.180878, -0.181084, -0.178461, -0.173192, -0.16808, -0.15807, -0.130701, -0.0658655, -0.0393988, -0.0249918, -0.0174975, -0.0120941, -0.0050249, -0.00429492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.124557, 0.148523, 0.166692, 0.175853, 0.191276, 0.213591, 0.250066, 0.286839, 0.324478, 0.365822, 0.397813, 0.419711, 0.448909, 0.513205, 0.537053, 0.553332, 0.591382, 0.608615, 0.643082, 0.6662, 0.691979, 0.726351, 0.789826, 0.853068");
-            values ( \
-              "-0.0782075, -0.144474, -0.173248, -0.18102, -0.19105, -0.196711, -0.197471, -0.194005, -0.188389, -0.179234, -0.168369, -0.15688, -0.13443, -0.0809847, -0.0651239, -0.0557387, -0.0381134, -0.0319318, -0.0221381, -0.0172799, -0.0130667, -0.00892271, -0.00430187, -0.00205238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.133822, 0.168, 0.191601, 0.207724, 0.213908, 0.226275, 0.248911, 0.286126, 0.346167, 0.496771, 0.535666, 0.589081, 0.652557, 0.673644, 0.71582, 0.850501, 0.904149, 0.944214, 0.997635, 1.04017, 1.11865, 1.18213, 1.21542, 1.28594, 1.34942, 1.47637, 1.53985, 1.60332, 1.79375");
-            values ( \
-              "-0.17012, -0.179351, -0.197336, -0.202632, -0.204274, -0.205728, -0.207291, -0.206726, -0.203793, -0.193276, -0.189656, -0.183366, -0.173215, -0.168332, -0.155931, -0.0989332, -0.0787735, -0.0657152, -0.0508897, -0.0413892, -0.027708, -0.0199433, -0.0166391, -0.0114912, -0.00807102, -0.00400503, -0.00288854, -0.00196859, -0.000735345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.205826, 0.217952, 0.222987, 0.231948, 0.241744, 0.246361, 0.250973, 0.25424, 0.267934, 0.271772, 0.277017, 0.28289, 0.289601, 0.290209");
-            values ( \
-              "-0.00384923, -0.0466872, -0.0586675, -0.0744293, -0.0840746, -0.0846162, -0.0821834, -0.0752352, -0.0254953, -0.0169031, -0.00930883, -0.00468957, -0.0020539, -0.00196375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.206819, 0.212303, 0.222411, 0.233768, 0.241551, 0.248672, 0.255464, 0.262156, 0.268844, 0.271342, 0.27661, 0.28998, 0.296838, 0.303574, 0.310046, 0.321253, 0.323864");
-            values ( \
-              "-0.0227491, -0.0330282, -0.0708063, -0.0976558, -0.109671, -0.116821, -0.120578, -0.120646, -0.114701, -0.110365, -0.0950283, -0.0468327, -0.0298134, -0.0185834, -0.0115721, -0.00494128, -0.0042992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.209886, 0.227914, 0.240189, 0.245748, 0.257579, 0.268674, 0.279514, 0.291041, 0.29623, 0.301711, 0.312672, 0.326684, 0.336359, 0.348721, 0.363589, 0.377272, 0.386835, 0.405961, 0.42058");
-            values ( \
-              "-0.0345295, -0.0996688, -0.12691, -0.134887, -0.147867, -0.153433, -0.155423, -0.151828, -0.148057, -0.142097, -0.120189, -0.0813127, -0.0585874, -0.0369434, -0.0203874, -0.011544, -0.00768193, -0.00323616, -0.00193073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.213992, 0.222872, 0.228614, 0.238706, 0.244944, 0.257249, 0.266591, 0.282637, 0.293823, 0.305588, 0.324779, 0.338399, 0.35472, 0.365651, 0.387052, 0.407433, 0.429458, 0.44369, 0.455867, 0.477638, 0.494279, 0.511498, 0.524674, 0.551027, 0.59949, 0.656737");
-            values ( \
-              "-0.086652, -0.0888271, -0.109398, -0.13517, -0.146711, -0.163094, -0.17089, -0.178553, -0.180585, -0.180888, -0.178349, -0.174202, -0.166217, -0.158045, -0.130474, -0.0967113, -0.0657759, -0.050142, -0.0393259, -0.0250512, -0.0175205, -0.0120636, -0.00902092, -0.00495739, -0.00147775, -0.000326274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.214002, 0.239953, 0.254518, 0.266155, 0.281581, 0.298098, 0.303969, 0.31571, 0.340463, 0.369167, 0.414886, 0.456307, 0.470472, 0.489359, 0.507863, 0.532536, 0.59957, 0.62087, 0.651004, 0.675289, 0.694986, 0.718655, 0.751998, 0.786649, 0.813188, 0.866264, 0.92974, 0.947049");
-            values ( \
-              "-0.0776576, -0.144302, -0.16867, -0.180529, -0.190272, -0.195197, -0.196278, -0.197218, -0.197213, -0.194675, -0.188202, -0.17919, -0.174992, -0.167886, -0.158292, -0.140185, -0.0838846, -0.0692216, -0.0519225, -0.0407315, -0.0333005, -0.0259918, -0.0181634, -0.0124794, -0.00931883, -0.00509769, -0.00243993, -0.00218274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.227599, 0.28102, 0.304275, 0.31772, 0.339632, 0.36982, 0.416785, 0.545105, 0.623642, 0.670947, 0.728722, 0.763921, 0.827396, 0.972405, 1.04389, 1.13045, 1.2182, 1.28168, 1.33193, 1.42442, 1.48789, 1.61484, 1.65543");
-            values ( \
-              "-0.187754, -0.196162, -0.203889, -0.205862, -0.207082, -0.206847, -0.204751, -0.196554, -0.189802, -0.184545, -0.175843, -0.168418, -0.147854, -0.0867098, -0.0629014, -0.0413808, -0.0264924, -0.0189621, -0.0145417, -0.00883337, -0.0062329, -0.00309676, -0.00272212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.392353, 0.405788, 0.40984, 0.416462, 0.423091, 0.43283, 0.444443, 0.449648, 0.454804, 0.460356, 0.471494, 0.475792, 0.478185, 0.482756, 0.488268, 0.494148, 0.501415");
-            values ( \
-              "-0.000114171, -0.0168054, -0.0230778, -0.0356314, -0.044719, -0.0600581, -0.0734302, -0.0759296, -0.0751185, -0.0649667, -0.0277827, -0.0180883, -0.0139753, -0.00842397, -0.00451516, -0.0023121, -0.00111148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.395885, 0.409922, 0.423079, 0.436488, 0.44334, 0.451275, 0.455377, 0.459277, 0.466483, 0.473688, 0.478596, 0.495246, 0.502922, 0.51183, 0.518045, 0.528816, 0.537875");
-            values ( \
-              "-0.00460601, -0.0290393, -0.0579064, -0.0839374, -0.0953544, -0.105066, -0.108589, -0.111073, -0.112843, -0.108674, -0.100361, -0.0464929, -0.0284781, -0.0153924, -0.00988728, -0.00448604, -0.00254649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.396712, 0.433098, 0.448734, 0.461662, 0.473489, 0.484908, 0.496247, 0.505857, 0.512248, 0.52117, 0.54174, 0.557698, 0.567259, 0.581657, 0.592191, 0.606236, 0.60965");
-            values ( \
-              "-0.00336906, -0.0904945, -0.121533, -0.137233, -0.145069, -0.148387, -0.146714, -0.140287, -0.132244, -0.113111, -0.0608084, -0.0336949, -0.0230401, -0.0127021, -0.00812206, -0.00456679, -0.00411284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.39659, 0.447566, 0.460655, 0.470956, 0.4873, 0.500389, 0.524265, 0.544617, 0.551307, 0.561423, 0.572388, 0.593887, 0.63631, 0.662727, 0.684485, 0.701138, 0.718383, 0.757978, 0.769329");
-            values ( \
-              "-0.00402256, -0.132087, -0.151539, -0.161453, -0.171205, -0.174627, -0.175999, -0.172651, -0.170247, -0.165315, -0.157454, -0.130207, -0.0657171, -0.0393007, -0.0250468, -0.0175168, -0.0120565, -0.00494908, -0.00413513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.413436, 0.431151, 0.447423, 0.461172, 0.470454, 0.487111, 0.504258, 0.52565, 0.539996, 0.546981, 0.560951, 0.583908, 0.631055, 0.66068, 0.700209, 0.714764, 0.730928, 0.789383, 0.813783, 0.838811, 0.872181, 0.88487, 0.908837, 0.930789, 0.973673, 0.998004, 1.04666, 1.11014, 1.17361, 1.23709");
-            values ( \
-              "-0.0890757, -0.0976581, -0.138588, -0.160725, -0.17077, -0.182912, -0.189227, -0.193933, -0.1953, -0.195485, -0.195128, -0.19332, -0.186354, -0.179749, -0.166021, -0.158232, -0.146973, -0.0970427, -0.0785589, -0.062363, -0.0449534, -0.0395595, -0.0309236, -0.0245474, -0.0154707, -0.0118615, -0.00686276, -0.00329278, -0.00156906, -0.000748165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.413416, 0.455541, 0.483593, 0.527472, 0.551123, 0.583273, 0.656126, 0.79501, 0.886149, 0.935799, 0.970739, 1.03421, 1.15126, 1.23895, 1.33406, 1.44623, 1.4999, 1.57135, 1.6983, 1.82525, 1.8714");
-            values ( \
-              "-0.0766107, -0.156752, -0.186934, -0.203113, -0.205991, -0.206409, -0.203203, -0.193349, -0.183698, -0.176029, -0.16815, -0.148088, -0.0977893, -0.0662388, -0.0418437, -0.0238738, -0.0176583, -0.0120049, -0.00592422, -0.00285576, -0.00243947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.807029, 0.825883, 0.828397, 0.828719, 0.833228, 0.83734, 0.839587, 0.84408, 0.849692, 0.858758, 0.862648, 0.872449, 0.880725, 0.888092, 0.894836, 0.901306, 0.907758, 0.908374, 0.910836, 0.918643, 0.921529, 0.924824, 0.926641, 0.930275, 0.932614, 0.935162, 0.938559, 0.939992");
-            values ( \
-              "-0.00303988, -0.00660824, -0.00740378, -0.00767246, -0.0100288, -0.0126086, -0.0143866, -0.0185268, -0.0243927, -0.032297, -0.0356694, -0.0437148, -0.0502336, -0.0555345, -0.0595278, -0.0610334, -0.0558004, -0.0547597, -0.0494348, -0.0293822, -0.0230559, -0.0171044, -0.0143858, -0.0100144, -0.00788592, -0.00606039, -0.00423901, -0.00377264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.816085, 0.826391, 0.832201, 0.83492, 0.840357, 0.846492, 0.858283, 0.870078, 0.874278, 0.886414, 0.896643, 0.905746, 0.914198, 0.922472, 0.930731, 0.934394, 0.943159, 0.948302, 0.952525, 0.956204, 0.961108, 0.965314, 0.97102, 0.978628, 0.981716");
-            values ( \
-              "-0.00692034, -0.0104384, -0.0135184, -0.0159726, -0.0200761, -0.026792, -0.0416178, -0.0545328, -0.0597024, -0.0735, -0.0842955, -0.0928805, -0.097729, -0.0975696, -0.0860452, -0.0764882, -0.0506978, -0.0378864, -0.0292224, -0.0230694, -0.016608, -0.0124099, -0.00830954, -0.00479572, -0.00409513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.822749, 0.849943, 0.891961, 0.907635, 0.921143, 0.933639, 0.94579, 0.957922, 0.96381, 0.969887, 0.989181, 0.995086, 1.00296, 1.01593, 1.02552, 1.03118, 1.03981, 1.05133, 1.0726");
-            values ( \
-              "-0.00556868, -0.036283, -0.0962936, -0.117237, -0.130127, -0.13717, -0.138553, -0.1329, -0.125878, -0.114293, -0.0674142, -0.055298, -0.0416954, -0.0254386, -0.0172956, -0.0137427, -0.00962696, -0.00590759, -0.00235596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.83099, 0.852241, 0.903424, 0.918054, 0.930923, 0.940548, 0.961461, 0.981786, 1.00334, 1.01306, 1.02376, 1.04516, 1.06649, 1.09249, 1.11452, 1.137, 1.16064, 1.17487, 1.20332, 1.22692");
-            values ( \
-              "-0.0219836, -0.0433076, -0.124961, -0.144536, -0.156017, -0.162444, -0.169784, -0.171191, -0.166504, -0.162124, -0.155029, -0.129453, -0.0952787, -0.0604564, -0.0393899, -0.0248152, -0.0149704, -0.0109797, -0.00577133, -0.003513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.800393, 0.84742, 0.861149, 0.915981, 0.936245, 0.95683, 0.969987, 0.983694, 0.996889, 1.02328, 1.05332, 1.07265, 1.11104, 1.12743, 1.1541, 1.1725, 1.24903, 1.29476, 1.32156, 1.34129, 1.36428, 1.39494, 1.42553, 1.47186, 1.53365, 1.54077");
-            values ( \
-              "-0.0070388, -0.0372693, -0.056848, -0.149599, -0.170537, -0.182802, -0.187215, -0.190007, -0.191307, -0.191399, -0.188728, -0.185998, -0.178371, -0.173765, -0.163594, -0.153293, -0.0913202, -0.0605297, -0.0466019, -0.0382108, -0.0301553, -0.0217903, -0.0156821, -0.00943787, -0.00464252, -0.00437085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.846257, 0.879774, 0.91543, 0.931739, 0.953956, 0.965582, 0.981083, 1.00483, 1.03247, 1.06712, 1.10609, 1.18112, 1.21571, 1.25807, 1.29001, 1.33759, 1.40106, 1.42219, 1.46446, 1.51426, 1.59913, 1.65282, 1.69293, 1.74641, 1.78893, 1.82255, 1.86738, 1.93086, 1.97433, 2.03493, 2.09841, 2.16188, 2.28883, 2.41578, 2.54274");
-            values ( \
-              "-0.0849014, -0.093128, -0.155229, -0.173994, -0.189234, -0.194274, -0.198946, -0.202286, -0.20364, -0.202838, -0.201184, -0.196423, -0.193696, -0.191468, -0.188734, -0.183351, -0.173026, -0.168317, -0.15573, -0.135613, -0.0989537, -0.0786703, -0.0656177, -0.0509112, -0.0413097, -0.0349063, -0.0277547, -0.0198933, -0.01581, -0.0114355, -0.0081055, -0.0057251, -0.00284612, -0.00140969, -0.000696647" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(!A * !B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0970183, 0.113823, 0.142324, 0.192164, 0.28596, 0.475234", \
-            "0.101178, 0.11803, 0.146544, 0.1964, 0.290187, 0.479452", \
-            "0.112574, 0.129474, 0.158075, 0.207966, 0.30176, 0.49101", \
-            "0.141834, 0.158753, 0.187529, 0.237628, 0.331505, 0.520746", \
-            "0.19839, 0.21734, 0.248295, 0.300024, 0.394569, 0.583807", \
-            "0.290493, 0.313804, 0.350458, 0.406998, 0.504875, 0.695579" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0359384, 0.0511584, 0.0788356, 0.133084, 0.248042, 0.495218", \
-            "0.0359372, 0.0512282, 0.0788058, 0.133065, 0.248317, 0.495219", \
-            "0.0359768, 0.0512465, 0.0787847, 0.133039, 0.248003, 0.495221", \
-            "0.0365048, 0.0516773, 0.0791729, 0.133247, 0.248179, 0.495237", \
-            "0.0429183, 0.0580774, 0.084877, 0.13693, 0.249631, 0.495532", \
-            "0.055261, 0.0729192, 0.0999811, 0.148741, 0.257102, 0.498742" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0614465, 0.0831537, 0.0920218, 0.104605, 0.110299, 0.116045, 0.119024, 0.122064, 0.136798, 0.140529, 0.147695, 0.154052, 0.158541");
-            values ( \
-              "-0.00251045, -0.054111, -0.0619804, -0.0677339, -0.0689069, -0.0676115, -0.0645393, -0.0592366, -0.0202979, -0.0140929, -0.00665581, -0.00332928, -0.00223573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0681019, 0.0733232, 0.0776924, 0.0842732, 0.0935875, 0.10428, 0.113031, 0.12042, 0.126305, 0.129361, 0.135472, 0.141083, 0.146025, 0.156747, 0.165113, 0.172693, 0.181039, 0.187765, 0.195451, 0.210326, 0.22751, 0.24967");
-            values ( \
-              "-0.0171153, -0.0447223, -0.0575254, -0.0696921, -0.0806896, -0.0891905, -0.0950503, -0.0990208, -0.10104, -0.101447, -0.100182, -0.0949031, -0.0851724, -0.0538024, -0.0334284, -0.0205934, -0.0116305, -0.00725473, -0.00413023, -0.00128282, -0.000343002, -0.000101462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0652052, 0.0799888, 0.0892471, 0.0985288, 0.10977, 0.121686, 0.129153, 0.137366, 0.149923, 0.162241, 0.174551, 0.182097, 0.188626, 0.21264, 0.225159, 0.232524, 0.240941, 0.248793, 0.260696, 0.276567, 0.28665");
-            values ( \
-              "-0.00551212, -0.0708277, -0.0881108, -0.0991122, -0.109897, -0.120478, -0.126066, -0.130715, -0.135692, -0.136041, -0.130406, -0.121279, -0.108348, -0.05408, -0.0344444, -0.0260366, -0.0186558, -0.0135977, -0.00833368, -0.00421945, -0.0030612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0706858, 0.0884171, 0.0981866, 0.108361, 0.134703, 0.146988, 0.157523, 0.178987, 0.199924, 0.220864, 0.235536, 0.242899, 0.254123, 0.287493, 0.312847, 0.336188, 0.348241, 0.359356, 0.381042, 0.393343, 0.417944, 0.463295");
-            values ( \
-              "-0.0561346, -0.0947645, -0.108039, -0.119235, -0.144563, -0.153069, -0.15843, -0.164954, -0.166325, -0.163022, -0.156894, -0.152206, -0.141715, -0.0922328, -0.059324, -0.0377115, -0.0295344, -0.023452, -0.014781, -0.0113259, -0.00654997, -0.00219482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.0757126, 0.0985374, 0.133678, 0.146882, 0.162919, 0.176675, 0.19717, 0.216011, 0.248866, 0.254573, 0.288816, 0.317706, 0.333447, 0.364927, 0.375905, 0.397801, 0.422039, 0.461851, 0.490071, 0.511826, 0.540832, 0.559044, 0.592858, 0.631504, 0.649775, 0.677891, 0.71538, 0.780683, 0.845986, 0.911289");
-            values ( \
-              "-0.105934, -0.112821, -0.152486, -0.163281, -0.172597, -0.178427, -0.183601, -0.186234, -0.186823, -0.186742, -0.183746, -0.179068, -0.175911, -0.166441, -0.162107, -0.149759, -0.131327, -0.0979705, -0.0768533, -0.0630377, -0.0478595, -0.0397881, -0.0283133, -0.0186346, -0.0153174, -0.0112869, -0.00759695, -0.00347134, -0.00174523, -0.000700901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0867825, 0.134139, 0.163726, 0.201774, 0.22887, 0.253943, 0.291574, 0.328815, 0.40486, 0.482808, 0.563745, 0.595014, 0.649759, 0.715062, 0.857157, 0.937126, 1.02492, 1.08136, 1.14586, 1.2368, 1.2979, 1.3632, 1.4285, 1.55911, 1.75502");
-            values ( \
-              "-0.157243, -0.157661, -0.179438, -0.193185, -0.197202, -0.199157, -0.199265, -0.198425, -0.194287, -0.188436, -0.180107, -0.175602, -0.165644, -0.145389, -0.0871548, -0.061116, -0.0400667, -0.0303601, -0.021614, -0.013542, -0.00962101, -0.0068986, -0.00469621, -0.00225677, -0.000878109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0785015, 0.0909802, 0.093413, 0.100819, 0.107374, 0.118162, 0.125009, 0.130682, 0.13373, 0.136774, 0.151507, 0.155238, 0.162404, 0.168761, 0.176375");
-            values ( \
-              "-0.000881519, -0.0436704, -0.0476992, -0.0566963, -0.0620329, -0.0673159, -0.0688142, -0.0677342, -0.0645571, -0.0592259, -0.020287, -0.0141041, -0.00666546, -0.00333848, -0.00146687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0821753, 0.0882043, 0.0928595, 0.0991202, 0.105094, 0.119115, 0.13119, 0.136144, 0.144173, 0.1522, 0.160254, 0.177656, 0.186004, 0.19516, 0.205678, 0.217698, 0.223705");
-            values ( \
-              "-0.00837811, -0.045257, -0.0583487, -0.0698082, -0.0774409, -0.0892274, -0.097033, -0.0994317, -0.10154, -0.0989976, -0.0868226, -0.0384636, -0.0228458, -0.0122776, -0.00579778, -0.00234253, -0.00170026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0799555, 0.0949569, 0.104078, 0.113341, 0.122619, 0.136358, 0.14423, 0.152166, 0.164718, 0.177033, 0.189341, 0.196901, 0.203415, 0.227428, 0.240999, 0.247312, 0.25573, 0.26358, 0.27548, 0.291347, 0.30327");
-            values ( \
-              "-0.00472698, -0.0713241, -0.0881657, -0.0990922, -0.10802, -0.120278, -0.126196, -0.130886, -0.135618, -0.136175, -0.13032, -0.12126, -0.108361, -0.0540864, -0.0331244, -0.0260324, -0.0186579, -0.0136011, -0.00833801, -0.00421735, -0.00285026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0898776, 0.107034, 0.117249, 0.140525, 0.149364, 0.161687, 0.172178, 0.193642, 0.214571, 0.235633, 0.250224, 0.257545, 0.268769, 0.282424, 0.302139, 0.327493, 0.350834, 0.362887, 0.374002, 0.39569, 0.407991, 0.432593, 0.478214, 0.531354");
-            values ( \
-              "-0.0985231, -0.0992873, -0.112284, -0.136958, -0.144682, -0.153115, -0.158519, -0.16494, -0.166378, -0.162964, -0.156873, -0.152208, -0.141714, -0.122866, -0.0922313, -0.0593239, -0.0377117, -0.0295335, -0.0234522, -0.0147812, -0.0113251, -0.00655021, -0.00216841, -0.00056577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0899472, 0.109028, 0.134325, 0.148663, 0.161778, 0.177894, 0.191647, 0.212138, 0.230979, 0.263907, 0.280809, 0.308357, 0.33843, 0.36839, 0.390834, 0.434119, 0.475241, 0.50454, 0.529318, 0.573053, 0.593172, 0.617001, 0.650597, 0.685353, 0.711948, 0.765138, 0.830441, 0.895744, 0.961047");
-            values ( \
-              "-0.102991, -0.10658, -0.13801, -0.152707, -0.163136, -0.172774, -0.178316, -0.183754, -0.186108, -0.18696, -0.186003, -0.183104, -0.17806, -0.170469, -0.161973, -0.133957, -0.0992848, -0.0775372, -0.06178, -0.0403577, -0.0329182, -0.0257625, -0.0179681, -0.0123695, -0.00929363, -0.00507046, -0.00242005, -0.0010996, -0.000548107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.10017, 0.148717, 0.178128, 0.21629, 0.243409, 0.268506, 0.306136, 0.402356, 0.49737, 0.562673, 0.609578, 0.664323, 0.729626, 0.871719, 0.92454, 1.006, 1.09592, 1.20555, 1.31247, 1.37777, 1.50838, 1.57158");
-            values ( \
-              "-0.149635, -0.157555, -0.179217, -0.19303, -0.197359, -0.199007, -0.199418, -0.195281, -0.188288, -0.1819, -0.175745, -0.165499, -0.145531, -0.0872858, -0.0692399, -0.0472497, -0.0302404, -0.0170989, -0.00973847, -0.00678046, -0.00330889, -0.00269261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.116971, 0.122372, 0.125829, 0.129727, 0.137738, 0.143192, 0.154848, 0.161953, 0.167628, 0.17118, 0.173727, 0.183375, 0.188458, 0.192236, 0.199358, 0.205652, 0.214044, 0.225205, 0.257347");
-            values ( \
-              "-4.99527e-05, -0.0287569, -0.0389318, -0.0468689, -0.0565796, -0.0611693, -0.0671514, -0.0687329, -0.0676838, -0.0638184, -0.0591983, -0.0319241, -0.0202992, -0.0140436, -0.00666795, -0.00336376, -0.00128109, -0.000371921, -7.54042e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.115919, 0.13615, 0.142427, 0.16295, 0.173191, 0.181222, 0.189252, 0.197307, 0.215415, 0.222709, 0.231691, 0.241477, 0.249987, 0.256838");
-            values ( \
-              "-0.0106299, -0.0691505, -0.078285, -0.0941047, -0.0992716, -0.101624, -0.0988729, -0.0869012, -0.0369116, -0.0233528, -0.0127064, -0.00640881, -0.00339466, -0.00231402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.116515, 0.133185, 0.140603, 0.150433, 0.161714, 0.173732, 0.180835, 0.189278, 0.201827, 0.21414, 0.226446, 0.234037, 0.24052, 0.264531, 0.278103, 0.284419, 0.292842, 0.300685, 0.312577, 0.328432, 0.339024");
-            values ( \
-              "-0.00362813, -0.0740593, -0.087402, -0.0991565, -0.109968, -0.120613, -0.125923, -0.130915, -0.135659, -0.136187, -0.130337, -0.121218, -0.108365, -0.0540889, -0.0331237, -0.0260283, -0.0186525, -0.0136007, -0.00834095, -0.00422045, -0.00300549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.123073, 0.133258, 0.140378, 0.150159, 0.160481, 0.172111, 0.186637, 0.209429, 0.23088, 0.251813, 0.274611, 0.287484, 0.294785, 0.306009, 0.319663, 0.339378, 0.364733, 0.388075, 0.400128, 0.411243, 0.432932, 0.445234, 0.469838, 0.511635");
-            values ( \
-              "-0.0584184, -0.0779287, -0.0949365, -0.108178, -0.11955, -0.1319, -0.14502, -0.158753, -0.164811, -0.16655, -0.16239, -0.156864, -0.15221, -0.141713, -0.122866, -0.0922292, -0.0593237, -0.0377118, -0.0295315, -0.0234531, -0.0147821, -0.0113228, -0.00655106, -0.00253471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.128373, 0.153564, 0.185753, 0.199105, 0.215175, 0.228714, 0.249191, 0.268038, 0.301087, 0.317616, 0.339656, 0.356904, 0.379902, 0.396367, 0.41832, 0.427897, 0.447051, 0.473769, 0.516535, 0.542902, 0.562228, 0.582208, 0.6087, 0.63206, 0.663207, 0.702295, 0.726395, 0.774595, 0.839898, 0.905201, 0.970504");
-            values ( \
-              "-0.107612, -0.116791, -0.152794, -0.163479, -0.172895, -0.178423, -0.183733, -0.186178, -0.186916, -0.185986, -0.183804, -0.181381, -0.177095, -0.173075, -0.16598, -0.162016, -0.151612, -0.131467, -0.0957681, -0.076373, -0.0640693, -0.05303, -0.040828, -0.0322233, -0.0232761, -0.0153438, -0.0118123, -0.00691359, -0.00325599, -0.00153311, -0.000711218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.13376, 0.171306, 0.185981, 0.215496, 0.253655, 0.280703, 0.30574, 0.343368, 0.38061, 0.43944, 0.534604, 0.615543, 0.646814, 0.701558, 0.766861, 0.908956, 0.961778, 1.04324, 1.13316, 1.19765, 1.25806, 1.34972, 1.41502, 1.48033, 1.61093, 1.80684");
-            values ( \
-              "-0.13798, -0.141949, -0.15776, -0.179414, -0.193149, -0.197318, -0.199075, -0.199361, -0.198333, -0.19523, -0.188342, -0.180013, -0.175689, -0.165551, -0.145475, -0.0872376, -0.0692839, -0.0472934, -0.0302822, -0.0216937, -0.0158104, -0.00969738, -0.00682058, -0.00477293, -0.00233355, -0.000801352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.204026, 0.218017, 0.224947, 0.236481, 0.246971, 0.252746, 0.25848, 0.26463, 0.276274, 0.281753, 0.289372, 0.297462, 0.307124, 0.30999");
-            values ( \
-              "-0.000201538, -0.0407327, -0.0517593, -0.0622011, -0.067049, -0.0682423, -0.0670888, -0.0590577, -0.0268365, -0.0162381, -0.00732816, -0.00299688, -0.00103881, -0.000839588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.21026, 0.216398, 0.22361, 0.231168, 0.237044, 0.243513, 0.255483, 0.263865, 0.271952, 0.280005, 0.285696, 0.288741, 0.304946, 0.312879, 0.318367, 0.323084, 0.32855, 0.335838, 0.350061, 0.366508, 0.387266");
-            values ( \
-              "-0.040494, -0.0435739, -0.0623529, -0.0739472, -0.0802477, -0.0856501, -0.0939277, -0.0984541, -0.100705, -0.0984817, -0.0914136, -0.0848856, -0.0396817, -0.0243102, -0.016912, -0.0122238, -0.00833866, -0.00492248, -0.00161233, -0.000462788, -0.00012122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.213626, 0.225612, 0.231495, 0.241018, 0.266759, 0.277337, 0.285457, 0.292635, 0.304988, 0.317339, 0.324565, 0.331423, 0.355466, 0.369037, 0.375326, 0.383711, 0.391621, 0.403609, 0.419592, 0.433687");
-            values ( \
-              "-0.0642717, -0.0753291, -0.0858925, -0.097741, -0.121427, -0.128671, -0.132705, -0.135062, -0.135802, -0.130035, -0.121605, -0.108242, -0.0540417, -0.0331071, -0.0260471, -0.0186993, -0.0136002, -0.00830923, -0.0041797, -0.00257904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.215545, 0.229797, 0.240696, 0.265547, 0.277481, 0.290096, 0.300346, 0.321853, 0.342804, 0.363767, 0.371013, 0.378205, 0.385805, 0.401006, 0.430259, 0.443054, 0.455845, 0.4729, 0.479168, 0.490953, 0.502141, 0.523975, 0.536425, 0.561326, 0.606973, 0.660197");
-            values ( \
-              "-0.0821909, -0.0901493, -0.106339, -0.133306, -0.144044, -0.15282, -0.158107, -0.164728, -0.166198, -0.162915, -0.160333, -0.156955, -0.152149, -0.136834, -0.092465, -0.0746124, -0.0592478, -0.0426472, -0.0376732, -0.0296692, -0.023523, -0.0147796, -0.0112901, -0.00648157, -0.00214606, -0.000556358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.218707, 0.241623, 0.276739, 0.28994, 0.305736, 0.319819, 0.34035, 0.359184, 0.391921, 0.409435, 0.432786, 0.459426, 0.476643, 0.511076, 0.519129, 0.535235, 0.567859, 0.606125, 0.654121, 0.681123, 0.701616, 0.739405, 0.789097, 0.818005, 0.851044, 0.916347, 0.974927");
-            values ( \
-              "-0.107713, -0.112322, -0.152128, -0.16274, -0.172325, -0.178102, -0.183618, -0.186019, -0.186915, -0.185932, -0.183503, -0.179438, -0.175787, -0.165416, -0.161992, -0.153527, -0.129079, -0.0970955, -0.0637126, -0.0492084, -0.0401693, -0.0272899, -0.0160756, -0.0117758, -0.00819136, -0.00387489, -0.00202882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.228817, 0.276821, 0.305669, 0.344213, 0.371518, 0.396756, 0.434398, 0.530419, 0.625632, 0.690935, 0.737845, 0.792588, 0.857891, 0.999987, 1.05281, 1.13427, 1.22419, 1.28868, 1.3491, 1.44076, 1.50606, 1.63667, 1.71754");
-            values ( \
-              "-0.15366, -0.156966, -0.178575, -0.192847, -0.197257, -0.198983, -0.199382, -0.195271, -0.188302, -0.181879, -0.175723, -0.165513, -0.145509, -0.0872648, -0.0692589, -0.0472686, -0.0302607, -0.0217158, -0.0158314, -0.00971789, -0.00680008, -0.0033287, -0.00254009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.393663, 0.401885, 0.407615, 0.422364, 0.436893, 0.444384, 0.451329, 0.45746, 0.461233, 0.46451, 0.47104, 0.487883, 0.49596, 0.503119, 0.510978");
-            values ( \
-              "-0.00721282, -0.010784, -0.0165466, -0.036696, -0.0495466, -0.0542649, -0.0575148, -0.0593565, -0.0597736, -0.059419, -0.0540914, -0.0180965, -0.00840798, -0.00407902, -0.00184641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.398086, 0.422871, 0.431626, 0.445904, 0.456191, 0.467633, 0.479333, 0.488155, 0.497507, 0.518399, 0.52824, 0.535266, 0.547312, 0.548691");
-            values ( \
-              "-0.00697996, -0.0474159, -0.0585344, -0.0723758, -0.0797378, -0.0866992, -0.0915312, -0.0913149, -0.0804712, -0.0307932, -0.0166808, -0.0104246, -0.00448029, -0.00419719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.402079, 0.413838, 0.424544, 0.430587, 0.437152, 0.446721, 0.473006, 0.487559, 0.501125, 0.505658, 0.514187, 0.519404, 0.527271, 0.537732, 0.558266, 0.569253, 0.579712, 0.584374, 0.593697, 0.602244, 0.606868, 0.616114, 0.634608, 0.660999, 0.69312");
-            values ( \
-              "-0.0197665, -0.0371313, -0.0567657, -0.0663575, -0.0751477, -0.0859417, -0.109418, -0.120057, -0.126665, -0.127975, -0.128938, -0.12865, -0.125429, -0.113468, -0.0685436, -0.0477657, -0.0327359, -0.0274663, -0.0190749, -0.013562, -0.0112476, -0.007681, -0.00344283, -0.0010062, -0.000220237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.412144, 0.429841, 0.435701, 0.440686, 0.444674, 0.453132, 0.471303, 0.477273, 0.482272, 0.487789, 0.490806, 0.496841, 0.503618, 0.515906, 0.520866, 0.526364, 0.53021, 0.533795, 0.538814, 0.546728, 0.551523, 0.558444, 0.563767, 0.569119, 0.574471, 0.579833, 0.585195, 0.590557, 0.596675, 0.598944, 0.602725, 0.607263, 0.610288, 0.616339, 0.621777, 0.629019, 0.63626, 0.648822, 0.654117, 0.663717, 0.670894, 0.678071, 0.685247, 0.693279, 0.69949, 0.706943, 0.713923, 0.722913, 0.727409, 0.734122");
-            values ( \
-              "-0.0683604, -0.0697146, -0.0791294, -0.0861638, -0.0912736, -0.100823, -0.120141, -0.12623, -0.130895, -0.135648, -0.138071, -0.142543, -0.146131, -0.153339, -0.155546, -0.157738, -0.15911, -0.160162, -0.161326, -0.162656, -0.162721, -0.162566, -0.162245, -0.161231, -0.159895, -0.158047, -0.156001, -0.153754, -0.150947, -0.14939, -0.145925, -0.141061, -0.137541, -0.129862, -0.122232, -0.110631, -0.0995634, -0.081545, -0.0744422, -0.0623498, -0.0546276, -0.0477633, -0.0415746, -0.035374, -0.0308428, -0.0265721, -0.0230575, -0.0191185, -0.0173801, -0.0150562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.41172, 0.431585, 0.447205, 0.475045, 0.49607, 0.518013, 0.529201, 0.539996, 0.555218, 0.569411, 0.597797, 0.627727, 0.647349, 0.686535, 0.714837, 0.729936, 0.760135, 0.836719, 0.86629, 0.885981, 0.911423, 0.933061, 0.956537, 0.989861, 1.00433, 1.03326, 1.09112, 1.15642, 1.22173, 1.28703");
-            values ( \
-              "-0.0690575, -0.0757909, -0.0991972, -0.131196, -0.151249, -0.166133, -0.172211, -0.176634, -0.181031, -0.183592, -0.18562, -0.184534, -0.182569, -0.175889, -0.167889, -0.161913, -0.143986, -0.0821409, -0.0629202, -0.0521786, -0.0405923, -0.0326064, -0.025556, -0.0179259, -0.015354, -0.011217, -0.00583873, -0.00275228, -0.00128815, -0.000604044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.420815, 0.458739, 0.483956, 0.503657, 0.526652, 0.546574, 0.569342, 0.599903, 0.622662, 0.652699, 0.682602, 0.742407, 0.836637, 0.917584, 0.948872, 1.00361, 1.06891, 1.21103, 1.26385, 1.34529, 1.38687, 1.43527, 1.4998, 1.56016, 1.65172, 1.71702, 1.84763, 1.97824, 2.10884");
-            values ( \
-              "-0.104119, -0.115622, -0.144772, -0.162405, -0.177733, -0.186715, -0.193041, -0.197355, -0.198556, -0.198766, -0.198037, -0.195128, -0.188296, -0.179972, -0.175695, -0.16551, -0.145488, -0.087244, -0.0692664, -0.0472833, -0.0385763, -0.0302646, -0.0216965, -0.0158203, -0.00971255, -0.00681325, -0.00334028, -0.00162929, -0.000791815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.810811, 0.828706, 0.832386, 0.838365, 0.844514, 0.860713, 0.869335, 0.880391, 0.89012, 0.899084, 0.907648, 0.916012, 0.923583, 0.926663, 0.94431, 0.949391, 0.958809, 0.962732, 0.963907");
-            values ( \
-              "-0.0028348, -0.00590173, -0.00698118, -0.00993614, -0.0135005, -0.0268013, -0.0322756, -0.0380599, -0.0419171, -0.0446593, -0.0463289, -0.046758, -0.0441457, -0.0412617, -0.0154254, -0.0103049, -0.00453892, -0.00319961, -0.002949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.823348, 0.84338, 0.870839, 0.882094, 0.896585, 0.909761, 0.933139, 0.938679, 0.943951, 0.955021, 0.979723, 0.987767, 0.99722, 1.00402, 1.01308, 1.02985, 1.02986");
-            values ( \
-              "-0.00570816, -0.0180634, -0.0434952, -0.0509732, -0.0591435, -0.0645968, -0.073323, -0.0748818, -0.0754164, -0.0696988, -0.0259024, -0.0163043, -0.00905386, -0.00583618, -0.00316961, -0.000945321, -0.000945058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.820612, 0.846424, 0.873663, 0.890358, 0.957317, 0.971624, 0.979787, 0.988862, 1.00347, 1.04019, 1.06417, 1.07542, 1.07822");
-            values ( \
-              "-0.00677607, -0.0240899, -0.0524668, -0.0657516, -0.107817, -0.113796, -0.115229, -0.114003, -0.101006, -0.0367523, -0.0150812, -0.00968428, -0.00885316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.811718, 0.949122, 0.966804, 0.988847, 0.997672, 1.01505, 1.03201, 1.04909, 1.06089, 1.08431, 1.11938, 1.13467, 1.15791, 1.17027, 1.18675, 1.21597, 1.24874, 1.27196, 1.27738");
-            values ( \
-              "-0.000822329, -0.118507, -0.131995, -0.144111, -0.147565, -0.152032, -0.153317, -0.150635, -0.145627, -0.123454, -0.0741156, -0.0565267, -0.0362327, -0.0282738, -0.0200797, -0.0108028, -0.00534691, -0.00319535, -0.00291357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.811671, 0.966709, 0.989022, 1.02383, 1.04922, 1.07281, 1.11292, 1.15303, 1.17598, 1.19679, 1.22274, 1.29973, 1.33835, 1.38583, 1.44374, 1.47117, 1.51289, 1.56853, 1.63384, 1.63844");
-            values ( \
-              "-0.000141957, -0.142281, -0.157224, -0.172057, -0.177539, -0.17974, -0.178927, -0.173234, -0.16749, -0.160039, -0.145782, -0.0852255, -0.0602568, -0.0378909, -0.0207595, -0.0154972, -0.00985763, -0.00526504, -0.00248098, -0.00241266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.859868, 0.907211, 0.967296, 0.988958, 1.0249, 1.06223, 1.09008, 1.11948, 1.14839, 1.24533, 1.32469, 1.36674, 1.42075, 1.47081, 1.53611, 1.67832, 1.73114, 1.81258, 1.90264, 1.96723, 2.02754, 2.11905, 2.18435, 2.31496, 2.36694");
-            values ( \
-              "-0.091711, -0.0917609, -0.14824, -0.163858, -0.181167, -0.190566, -0.193748, -0.195077, -0.195064, -0.191353, -0.186117, -0.18196, -0.174879, -0.165429, -0.145431, -0.0872094, -0.0692433, -0.0472738, -0.0302517, -0.0216841, -0.0158162, -0.00971453, -0.00681464, -0.0033414, -0.00283412" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.083169, 0.0990743, 0.128993, 0.188706, 0.311778, 0.566858", \
-            "0.0868896, 0.102802, 0.132728, 0.192491, 0.315545, 0.57061", \
-            "0.096087, 0.111924, 0.141883, 0.201718, 0.324844, 0.579927", \
-            "0.11593, 0.13201, 0.161848, 0.221838, 0.345135, 0.600305", \
-            "0.140877, 0.157761, 0.188543, 0.249002, 0.372539, 0.627907", \
-            "0.159747, 0.178991, 0.211479, 0.272391, 0.396078, 0.65191" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0369919, 0.0557905, 0.0958033, 0.181281, 0.360564, 0.73309", \
-            "0.0369868, 0.05576, 0.0958286, 0.181289, 0.360564, 0.73309", \
-            "0.0370858, 0.0558484, 0.0958345, 0.181247, 0.360565, 0.73309", \
-            "0.0384533, 0.0567553, 0.096257, 0.181363, 0.360571, 0.733094", \
-            "0.0429305, 0.060324, 0.0985359, 0.182582, 0.3611, 0.733106", \
-            "0.0522352, 0.0686748, 0.104085, 0.1849, 0.361825, 0.733946" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.094388, 0.11151, 0.142104, 0.196412, 0.293539, 0.48125", \
-            "0.0983251, 0.115482, 0.146115, 0.200437, 0.297482, 0.485244", \
-            "0.109314, 0.126539, 0.157247, 0.211648, 0.308777, 0.496456", \
-            "0.137619, 0.154757, 0.185532, 0.2402, 0.337455, 0.525229", \
-            "0.190989, 0.209728, 0.242842, 0.299425, 0.397938, 0.585968", \
-            "0.276084, 0.299342, 0.338185, 0.401757, 0.505859, 0.696677" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0358665, 0.0528307, 0.084485, 0.141692, 0.252586, 0.490125", \
-            "0.0358601, 0.0528119, 0.0844603, 0.141533, 0.252862, 0.490131", \
-            "0.0358886, 0.0528288, 0.0844457, 0.141468, 0.252538, 0.489938", \
-            "0.0363743, 0.0533244, 0.0848852, 0.141796, 0.253033, 0.490064", \
-            "0.0426615, 0.0594728, 0.0905552, 0.146289, 0.255084, 0.490748", \
-            "0.0547547, 0.0738383, 0.106864, 0.162235, 0.266741, 0.495973" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0542883, 0.0628856, 0.0670701, 0.0732629, 0.0772901, 0.0845553, 0.0867194, 0.0907313, 0.0963552, 0.102037, 0.110157, 0.120739, 0.128856, 0.137268, 0.14651, 0.155902, 0.172856, 0.190133");
-            values ( \
-              "0.00357054, 0.0416865, 0.0513885, 0.0625006, 0.0669717, 0.0710922, 0.0714008, 0.0708769, 0.0673013, 0.0578626, 0.0397555, 0.0238563, 0.0159103, 0.0102572, 0.00626762, 0.00376783, 0.0014603, 0.000883816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0586883, 0.0626283, 0.0668613, 0.0725084, 0.0772192, 0.0818703, 0.0902876, 0.0984432, 0.107087, 0.115262, 0.123621, 0.133397, 0.1451, 0.159785, 0.168609, 0.177902, 0.189045, 0.199073, 0.21791, 0.23429, 0.248106");
-            values ( \
-              "0.0317338, 0.0502323, 0.0642382, 0.0783965, 0.0866968, 0.0929587, 0.0984823, 0.0995847, 0.0965941, 0.0899371, 0.077631, 0.0597025, 0.0423379, 0.0264919, 0.0196834, 0.014267, 0.00961591, 0.00669859, 0.00338372, 0.00184058, 0.00124585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0620357, 0.0722633, 0.08075, 0.0892476, 0.0952329, 0.106849, 0.112889, 0.120942, 0.125477, 0.136593, 0.147968, 0.151709, 0.15919, 0.168892, 0.186843, 0.195036, 0.209052, 0.225669, 0.233235, 0.25532, 0.267648, 0.284086, 0.296685, 0.301343, 0.310661, 0.329296, 0.365045, 0.416478, 0.479709");
-            values ( \
-              "0.0741851, 0.0894924, 0.107399, 0.117747, 0.121492, 0.124047, 0.123442, 0.121655, 0.120188, 0.115403, 0.108345, 0.105548, 0.098525, 0.0880836, 0.067455, 0.0592908, 0.0465924, 0.0343219, 0.0296816, 0.01905, 0.0147528, 0.0106414, 0.00789762, 0.00739549, 0.00581168, 0.00407519, 0.00160063, 0.000606884, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.062022, 0.0702156, 0.0765572, 0.0876099, 0.093998, 0.106005, 0.118959, 0.131273, 0.165841, 0.19626, 0.208569, 0.225255, 0.258381, 0.305563, 0.334583, 0.378802, 0.404654, 0.426853, 0.454971, 0.501999, 0.563021, 0.624316, 0.666887");
-            values ( \
-              "0.0794235, 0.089935, 0.108138, 0.127728, 0.133866, 0.140229, 0.141442, 0.140629, 0.133415, 0.124892, 0.120505, 0.113895, 0.0955928, 0.066769, 0.0515187, 0.0334876, 0.0252539, 0.0197952, 0.0144151, 0.00831612, 0.00398693, 0.00203811, 0.00125645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.066013, 0.0859299, 0.093939, 0.105935, 0.118254, 0.133215, 0.149324, 0.189443, 0.263856, 0.319443, 0.356367, 0.377466, 0.419662, 0.535654, 0.59257, 0.641958, 0.681134, 0.733368, 0.77452, 0.826719, 0.896318, 0.980979, 1.04947, 1.13104, 1.21262, 1.26532");
-            values ( \
-              "0.120195, 0.131334, 0.141299, 0.148981, 0.152232, 0.152668, 0.151856, 0.147374, 0.137692, 0.129385, 0.122701, 0.118065, 0.106871, 0.0707777, 0.0548613, 0.0430756, 0.0351882, 0.0265216, 0.0210855, 0.0156467, 0.010369, 0.00626138, 0.00412254, 0.00248878, 0.00149851, 0.0012457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0731494, 0.0731694, 0.261483, 0.465105, 0.574864, 0.656437, 0.693016, 0.75401, 0.826667, 0.995228, 1.09889, 1.18046, 1.24194, 1.32355, 1.38911, 1.49795, 1.63404, 1.77702, 1.86368, 2.02683, 2.18998, 2.35313, 2.84257");
-            values ( \
-              "1e-22, 0.163872, 0.153094, 0.139944, 0.131849, 0.124192, 0.120399, 0.112398, 0.101995, 0.075361, 0.0602738, 0.0499637, 0.0427005, 0.0348052, 0.0290005, 0.0214506, 0.0145203, 0.00952544, 0.00734767, 0.00446445, 0.00268301, 0.00158993, 0.000267282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0676129, 0.0813564, 0.0893513, 0.094008, 0.0984429, 0.101795, 0.105025, 0.110508, 0.111606, 0.115371, 0.124271, 0.13043, 0.136567, 0.144626, 0.15225, 0.163142, 0.169811, 0.181067, 0.196075, 0.208734");
-            values ( \
-              "0.00552873, 0.051101, 0.0646601, 0.0688443, 0.0708443, 0.071276, 0.0707208, 0.0674343, 0.0660366, 0.0597412, 0.0401609, 0.030068, 0.0222164, 0.014864, 0.0100094, 0.00562021, 0.00395549, 0.0021853, 0.000965761, 0.000726772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0714664, 0.0769692, 0.0812201, 0.0868872, 0.0948191, 0.099148, 0.104655, 0.112803, 0.121448, 0.129625, 0.138022, 0.151327, 0.16165, 0.174879, 0.183372, 0.192268, 0.20322, 0.213325, 0.232737, 0.249656, 0.261137");
-            values ( \
-              "0.00914986, 0.049515, 0.0644128, 0.0780251, 0.0916894, 0.0957081, 0.0984453, 0.0995721, 0.0965977, 0.0899402, 0.0775573, 0.0539998, 0.0395546, 0.0258645, 0.0194242, 0.0142754, 0.00968994, 0.00671566, 0.00333508, 0.00176222, 0.00129228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0743682, 0.0802915, 0.0841704, 0.0914022, 0.0950447, 0.10233, 0.109539, 0.121468, 0.1266, 0.133443, 0.143478, 0.150915, 0.165212, 0.17658, 0.205375, 0.22309, 0.236785, 0.248335, 0.257266, 0.273785, 0.292716, 0.309348, 0.331146, 0.360211, 0.402765");
-            values ( \
-              "0.0434696, 0.0696017, 0.0823408, 0.100554, 0.107252, 0.116378, 0.121443, 0.123957, 0.123535, 0.122112, 0.118689, 0.115326, 0.106239, 0.0954047, 0.0634061, 0.0469666, 0.0365731, 0.0293708, 0.0246438, 0.0176396, 0.011886, 0.00832214, 0.00519204, 0.00270022, 0.00103868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0778358, 0.089186, 0.0945824, 0.108361, 0.114609, 0.124232, 0.133278, 0.149757, 0.170492, 0.209517, 0.225356, 0.268091, 0.342986, 0.388117, 0.41512, 0.441091, 0.469269, 0.504479, 0.545126, 0.577802, 0.643153, 0.664283");
-            values ( \
-              "0.0972485, 0.103868, 0.116028, 0.134141, 0.138003, 0.140851, 0.141482, 0.139879, 0.135605, 0.125119, 0.11972, 0.0984045, 0.0545572, 0.0351285, 0.0264655, 0.019942, 0.0145453, 0.00967687, 0.0060258, 0.00408328, 0.0018031, 0.00159741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0823519, 0.108192, 0.119415, 0.132502, 0.146586, 0.163129, 0.179858, 0.279798, 0.330793, 0.37058, 0.391709, 0.433965, 0.549922, 0.607001, 0.656246, 0.695291, 0.74735, 0.788751, 0.841254, 0.911257, 0.994234, 1.06137, 1.14294, 1.22452, 1.46924");
-            values ( \
-              "0.137058, 0.141305, 0.148773, 0.152158, 0.152789, 0.151792, 0.150116, 0.137361, 0.129855, 0.122709, 0.118064, 0.106855, 0.070769, 0.0548113, 0.0430672, 0.0352055, 0.0265591, 0.0210862, 0.0156195, 0.0103265, 0.0062991, 0.00418176, 0.00252598, 0.0015198, 0.000330196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.0866344, 0.0866544, 0.25371, 0.456562, 0.588851, 0.670424, 0.767997, 0.840654, 1.04377, 1.11288, 1.19445, 1.26758, 1.33753, 1.4031, 1.51193, 1.56645, 1.64803, 1.70944, 1.8199, 1.95925, 2.12239, 2.28554, 2.44869, 2.61183, 2.85655");
-            values ( \
-              "1e-22, 0.162766, 0.154338, 0.141379, 0.131705, 0.124336, 0.112541, 0.101852, 0.0702382, 0.0604149, 0.0498225, 0.0415448, 0.0346656, 0.02914, 0.0215896, 0.0184697, 0.0146591, 0.0122254, 0.00883276, 0.00582837, 0.00355988, 0.00216382, 0.00130933, 0.000788064, 0.000404616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.104538, 0.10841, 0.115959, 0.119418, 0.122776, 0.128705, 0.134275, 0.139768, 0.145402, 0.149384, 0.159894, 0.168955, 0.173584, 0.179542, 0.187001, 0.190713, 0.198139, 0.204584, 0.215503, 0.230062, 0.250977, 0.276778");
-            values ( \
-              "0.00994533, 0.0302885, 0.0507529, 0.0575608, 0.0625588, 0.0686607, 0.0709446, 0.0707666, 0.067166, 0.0611379, 0.0386804, 0.0249824, 0.0199246, 0.014731, 0.0100077, 0.00823081, 0.00554425, 0.00394945, 0.00222165, 0.0010086, 0.000319084, 7.60457e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.106495, 0.111314, 0.115667, 0.121391, 0.125166, 0.130823, 0.139268, 0.147434, 0.155592, 0.159752, 0.164261, 0.17303, 0.180018, 0.18856, 0.197904, 0.205277, 0.211052, 0.218751, 0.23003, 0.23679, 0.247524, 0.259832, 0.269565, 0.289032, 0.307392");
-            values ( \
-              "0.0189746, 0.0480638, 0.0627314, 0.0774334, 0.084679, 0.0924451, 0.0984142, 0.0993372, 0.0968131, 0.0941404, 0.0899165, 0.0769229, 0.0639156, 0.0500607, 0.0376306, 0.0297175, 0.0245784, 0.0189221, 0.0127904, 0.0100597, 0.00682673, 0.00438251, 0.00306806, 0.00147171, 0.000842947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.109431, 0.114767, 0.12114, 0.129654, 0.136829, 0.144182, 0.155917, 0.161668, 0.175609, 0.183973, 0.194446, 0.213145, 0.243993, 0.267276, 0.283747, 0.292995, 0.308434, 0.326965, 0.343763, 0.374892, 0.401921, 0.435054");
-            values ( \
-              "0.0572067, 0.068274, 0.0886162, 0.10684, 0.115998, 0.121293, 0.123879, 0.123413, 0.119655, 0.116106, 0.11012, 0.0934064, 0.0594391, 0.0394811, 0.028954, 0.0240908, 0.0176122, 0.0119588, 0.0083894, 0.00423702, 0.00235005, 0.00121436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.112861, 0.12368, 0.129442, 0.13738, 0.143209, 0.154854, 0.168173, 0.176231, 0.18448, 0.209739, 0.236057, 0.264295, 0.274483, 0.29486, 0.347128, 0.381595, 0.407951, 0.430059, 0.447235, 0.470277, 0.489465, 0.511258, 0.542139, 0.574008, 0.598379, 0.647122, 0.728696, 0.810269");
-            values ( \
-              "0.101682, 0.102641, 0.115814, 0.128313, 0.133892, 0.14003, 0.141531, 0.140979, 0.139831, 0.134566, 0.127602, 0.118158, 0.113742, 0.103152, 0.0712642, 0.0526759, 0.0408773, 0.032643, 0.0272735, 0.0212032, 0.0171385, 0.0134271, 0.00935851, 0.00645159, 0.004873, 0.00266155, 0.000950463, 0.000294133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.11769, 0.144611, 0.154188, 0.167321, 0.182829, 0.198671, 0.214687, 0.312929, 0.365615, 0.405437, 0.426539, 0.468743, 0.58473, 0.641667, 0.691037, 0.730196, 0.782409, 0.823592, 0.87583, 0.94548, 1.02993, 1.09825, 1.17982, 1.26139, 1.50611");
-            values ( \
-              "0.141387, 0.142629, 0.148518, 0.152085, 0.152723, 0.151738, 0.150091, 0.137602, 0.129855, 0.122703, 0.118064, 0.106869, 0.0707758, 0.0548543, 0.0430752, 0.035191, 0.0265256, 0.021085, 0.0156427, 0.0103642, 0.00626664, 0.00412948, 0.00249395, 0.00150069, 0.000325849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.124366, 0.124386, 0.287792, 0.409954, 0.559114, 0.623816, 0.705389, 0.802962, 0.875619, 1.07873, 1.14784, 1.22942, 1.30255, 1.3725, 1.43806, 1.5469, 1.60142, 1.68299, 1.7444, 1.85486, 1.99421, 2.15736, 2.3205, 2.56522, 2.89152");
-            values ( \
-              "1e-22, 0.168156, 0.154362, 0.146719, 0.136642, 0.131733, 0.124308, 0.112513, 0.10188, 0.0702654, 0.0603878, 0.0498496, 0.0415713, 0.0346916, 0.0291141, 0.0215641, 0.0184952, 0.0146338, 0.0122506, 0.00885769, 0.00585309, 0.0035845, 0.0021883, 0.00103547, 0.000380762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.183342, 0.189227, 0.195478, 0.19729, 0.199102, 0.20013, 0.201158, 0.203215, 0.204117, 0.20502, 0.205922, 0.206824, 0.208199, 0.209513, 0.209908, 0.211486, 0.212076, 0.215463, 0.216925, 0.218386, 0.219092, 0.220504, 0.22121, 0.222641, 0.224072, 0.225503, 0.227024, 0.228295, 0.230474, 0.232652, 0.233375, 0.236256, 0.238549, 0.241119, 0.244596, 0.246139, 0.249031, 0.25408, 0.255472, 0.256864, 0.258256, 0.259649, 0.261041, 0.263825, 0.267717, 0.270991, 0.275356, 0.279234, 0.283112, 0.286612");
-            values ( \
-              "0.0277488, 0.0284484, 0.043295, 0.0468805, 0.0498489, 0.0522105, 0.0547939, 0.060626, 0.0607027, 0.0609068, 0.0612384, 0.0616974, 0.0626414, 0.0638937, 0.0643902, 0.0647703, 0.0650458, 0.0671171, 0.0674893, 0.0676956, 0.0677329, 0.0676972, 0.0676243, 0.0673638, 0.0669524, 0.0663902, 0.0656257, 0.0642325, 0.0610078, 0.0571225, 0.0556696, 0.0491648, 0.0443413, 0.0393769, 0.033243, 0.0309231, 0.0270195, 0.0211847, 0.0196838, 0.0183281, 0.017066, 0.0159537, 0.0148976, 0.0129538, 0.0106136, 0.00881311, 0.00704731, 0.00577602, 0.00471266, 0.00391865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.179753, 0.188984, 0.201247, 0.210221, 0.211472, 0.213129, 0.214859, 0.217417, 0.224645, 0.237042, 0.242436, 0.245766, 0.252427, 0.267091, 0.275393, 0.284879, 0.290179, 0.298813, 0.308483, 0.319826, 0.329775, 0.348016, 0.363839, 0.393352, 0.428393");
-            values ( \
-              "0.000180937, 0.034795, 0.0692603, 0.0856822, 0.085446, 0.0888751, 0.0896787, 0.0931126, 0.097018, 0.0960339, 0.092436, 0.0893041, 0.0802562, 0.0544532, 0.042681, 0.031559, 0.0266521, 0.0199444, 0.0142794, 0.00956341, 0.00665647, 0.00345794, 0.001903, 0.000590476, 0.000123226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.187366, 0.206558, 0.210444, 0.217117, 0.225379, 0.232572, 0.239235, 0.251536, 0.255867, 0.267159, 0.278697, 0.289489, 0.317412, 0.340872, 0.353545, 0.363301, 0.373078, 0.386144, 0.397828, 0.413407, 0.426876, 0.44503, 0.469236, 0.513369");
-            values ( \
-              "0.0464786, 0.093064, 0.100611, 0.109901, 0.117989, 0.121352, 0.122417, 0.120974, 0.119697, 0.11509, 0.108243, 0.0988783, 0.0675841, 0.0457213, 0.0362438, 0.0301089, 0.0248725, 0.0190898, 0.0150096, 0.0108137, 0.00809415, 0.00546695, 0.00320203, 0.00112954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.190964, 0.205383, 0.217718, 0.22419, 0.235395, 0.246785, 0.249469, 0.254837, 0.264482, 0.274279, 0.293873, 0.326866, 0.354192, 0.376545, 0.435549, 0.463834, 0.489571, 0.510756, 0.527098, 0.558079, 0.593171, 0.623838, 0.663801, 0.717084, 0.798657, 0.880231");
-            values ( \
-              "0.0775877, 0.0988561, 0.122177, 0.130255, 0.137843, 0.14053, 0.140701, 0.140629, 0.13976, 0.138128, 0.133882, 0.124754, 0.114606, 0.102968, 0.0672278, 0.0522809, 0.0407933, 0.0329021, 0.0277196, 0.0197894, 0.0133384, 0.00934123, 0.00584684, 0.00306233, 0.0010506, 0.000371443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.195666, 0.21599, 0.224293, 0.230439, 0.240184, 0.248926, 0.263584, 0.279946, 0.296386, 0.394634, 0.447284, 0.487245, 0.508243, 0.550238, 0.666347, 0.722713, 0.772583, 0.812759, 0.843581, 0.906403, 0.934056, 0.975781, 1.03548, 1.11252, 1.1941, 1.27567, 1.35724, 1.60197");
-            values ( \
-              "0.12136, 0.124999, 0.137363, 0.143271, 0.148803, 0.151223, 0.152387, 0.151669, 0.150045, 0.137609, 0.129861, 0.122681, 0.118063, 0.10693, 0.0708022, 0.0550254, 0.0431084, 0.0350376, 0.0297105, 0.0209635, 0.0179131, 0.0140727, 0.00988459, 0.00623281, 0.00377665, 0.00227774, 0.00137179, 0.000296497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.20346, 0.20348, 0.357761, 0.491811, 0.595886, 0.677459, 0.76184, 0.823742, 0.905316, 1.15854, 1.28622, 1.38551, 1.49184, 1.57341, 1.64603, 1.75343, 1.8189, 1.90047, 2.00479, 2.16794, 2.33108, 2.49423, 2.65738, 2.98367");
-            values ( \
-              "1e-22, 0.162665, 0.155104, 0.146705, 0.139818, 0.133948, 0.126828, 0.120284, 0.109655, 0.0705557, 0.0529398, 0.041443, 0.0313877, 0.0251534, 0.0205382, 0.0151241, 0.0125233, 0.00984861, 0.00723349, 0.00443569, 0.00270805, 0.00164842, 0.00100116, 0.000366891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.340441, 0.351455, 0.366593, 0.38037, 0.387254, 0.393696, 0.400056, 0.40641, 0.415004, 0.420966, 0.429499, 0.441209, 0.452495, 0.464183, 0.471594, 0.486418, 0.488679");
-            values ( \
-              "0.00900452, 0.0158289, 0.037134, 0.0538029, 0.0590948, 0.0615811, 0.0608568, 0.0544194, 0.0380063, 0.0290761, 0.0195067, 0.0109238, 0.00615418, 0.00339915, 0.00230657, 0.00108046, 0.00099834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.342394, 0.351899, 0.357008, 0.375413, 0.382289, 0.39245, 0.401655, 0.410613, 0.419554, 0.42493, 0.429745, 0.439375, 0.449678, 0.457728, 0.463139, 0.468988, 0.476788, 0.484938, 0.489519, 0.498681, 0.50569, 0.507871, 0.512996, 0.526735, 0.539839, 0.555987, 0.577485, 0.609654");
-            values ( \
-              "0.0129845, 0.0209557, 0.0292588, 0.0631359, 0.0737571, 0.0850043, 0.0899079, 0.0905177, 0.0864542, 0.0806249, 0.0733977, 0.0570369, 0.0426394, 0.0332714, 0.0280492, 0.0231648, 0.0178024, 0.013461, 0.011471, 0.00828766, 0.0064597, 0.00537316, 0.00451788, 0.0028391, 0.00181971, 0.00100173, 0.000468196, 0.00011275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.349926, 0.365642, 0.380468, 0.390971, 0.397704, 0.411172, 0.415379, 0.420989, 0.426859, 0.438598, 0.446963, 0.456716, 0.472288, 0.485066, 0.507136, 0.51709, 0.530604, 0.544834, 0.560014, 0.566681, 0.577433, 0.591769, 0.607212, 0.629855, 0.660046, 0.708713, 0.767011");
-            values ( \
-              "0.0317217, 0.0521124, 0.0838814, 0.100736, 0.108052, 0.116125, 0.117011, 0.117373, 0.11696, 0.114095, 0.110509, 0.104409, 0.089782, 0.0752789, 0.0525072, 0.0441607, 0.0346019, 0.0263834, 0.0194682, 0.0169956, 0.0136041, 0.0100454, 0.00721959, 0.00441403, 0.00222577, 0.000675819, 0.000146127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.353096, 0.3665, 0.380375, 0.391122, 0.396705, 0.40787, 0.42349, 0.436526, 0.448841, 0.474748, 0.501891, 0.521601, 0.544764, 0.606073, 0.639513, 0.664923, 0.685626, 0.701534, 0.733319, 0.747303, 0.768677, 0.799231, 0.838769, 0.891487, 0.973061, 1.05463");
-            values ( \
-              "0.0452794, 0.0582613, 0.0915016, 0.111379, 0.119261, 0.129674, 0.136438, 0.137246, 0.136342, 0.131349, 0.124085, 0.117287, 0.10676, 0.069915, 0.0520744, 0.0407428, 0.0330372, 0.027954, 0.019784, 0.0169311, 0.0132926, 0.00933162, 0.00587254, 0.00308961, 0.00106826, 0.000369474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.359858, 0.376883, 0.390845, 0.396793, 0.408688, 0.42283, 0.439113, 0.455257, 0.471042, 0.495147, 0.505616, 0.512275, 0.528444, 0.571522, 0.625416, 0.680116, 0.702955, 0.72449, 0.832221, 0.894741, 0.947043, 0.99077, 1.0248, 1.06937, 1.08169, 1.10633, 1.14912, 1.20964, 1.23635, 1.27235, 1.32034, 1.40191, 1.48349, 1.56506, 1.72821");
-            values ( \
-              "0.0816912, 0.0873201, 0.11699, 0.126111, 0.138799, 0.146558, 0.149737, 0.149884, 0.148813, 0.146316, 0.145082, 0.143976, 0.142638, 0.13731, 0.129362, 0.118886, 0.113168, 0.107193, 0.0736111, 0.0558642, 0.0432679, 0.0345258, 0.0287552, 0.0224625, 0.0209593, 0.0182239, 0.0142297, 0.00994942, 0.00849284, 0.00685181, 0.00512681, 0.00310113, 0.00186805, 0.00112461, 0.00040603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.367464, 0.421211, 0.428401, 0.437989, 0.454904, 0.471366, 0.498759, 0.511618, 0.527247, 0.541247, 0.593719, 0.667026, 0.771097, 0.85267, 0.937055, 0.998959, 1.08053, 1.33377, 1.39879, 1.46144, 1.56074, 1.59617, 1.66705, 1.74862, 1.82126, 1.85707, 1.92869, 1.99415, 2.07572, 2.17997, 2.26155, 2.34312, 2.50627, 2.66942, 2.91414, 3.15886");
-            values ( \
-              "0.112293, 0.151264, 0.153827, 0.15591, 0.157341, 0.157296, 0.156161, 0.155131, 0.154942, 0.154358, 0.151343, 0.146716, 0.139829, 0.133935, 0.126815, 0.120292, 0.109643, 0.0705637, 0.0612361, 0.0529477, 0.0414508, 0.0378525, 0.0313964, 0.0251468, 0.0205441, 0.0185702, 0.0151283, 0.0125164, 0.00985366, 0.00724, 0.00567459, 0.00444176, 0.0027138, 0.00165392, 0.000784513, 0.000371925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.692067, 0.707003, 0.715313, 0.735961, 0.754788, 0.759564, 0.76762, 0.775286, 0.782935, 0.795208, 0.805783, 0.815495, 0.825216, 0.830553, 0.838201, 0.846958, 0.853806, 0.867501, 0.885977");
-            values ( \
-              "0.00669752, 0.00783234, 0.0131283, 0.0301435, 0.0435194, 0.0463562, 0.0501087, 0.0516007, 0.048426, 0.0308568, 0.0196363, 0.0126369, 0.00794297, 0.00612357, 0.00420663, 0.00276413, 0.0019943, 0.00104084, 0.000480793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.694327, 0.708925, 0.714968, 0.72029, 0.752542, 0.765495, 0.776642, 0.786944, 0.797076, 0.807193, 0.820664, 0.824598, 0.829093, 0.838084, 0.847068, 0.852885, 0.860462, 0.867, 0.874106, 0.884229, 0.894582, 0.90248, 0.918274, 0.947809, 0.982862");
-            values ( \
-              "0.00993647, 0.0121639, 0.0168461, 0.0219328, 0.0560695, 0.0684831, 0.0763183, 0.0801075, 0.0793263, 0.0709616, 0.0512917, 0.04615, 0.0406436, 0.0310777, 0.023486, 0.0194306, 0.0150746, 0.0120699, 0.00944389, 0.00660983, 0.00459732, 0.003482, 0.00196466, 0.000630113, 0.000153131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.704214, 0.721981, 0.771185, 0.788379, 0.794275, 0.804023, 0.819396, 0.834764, 0.849854, 0.883707, 0.900451, 0.922418, 0.945766, 0.974255, 1.00282, 1.02535, 1.0576");
-            values ( \
-              "0.022237, 0.0277665, 0.0899566, 0.103932, 0.106661, 0.109145, 0.108529, 0.10245, 0.0897147, 0.0547757, 0.0409849, 0.0271414, 0.0169975, 0.00936426, 0.00508238, 0.00309304, 0.00163561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.716711, 0.739752, 0.769497, 0.78266, 0.799894, 0.823559, 0.82663, 0.842798, 0.853, 0.873406, 0.894221, 0.909566, 0.939437, 0.984961, 1.01999, 1.06283, 1.08765, 1.12081, 1.14035, 1.17421, 1.23484, 1.32676, 1.32762");
-            values ( \
-              "0.0488338, 0.0542323, 0.0985976, 0.113861, 0.126351, 0.13243, 0.132492, 0.131431, 0.12987, 0.125436, 0.119195, 0.113113, 0.0973412, 0.0697238, 0.0512528, 0.0336376, 0.0259074, 0.0180363, 0.0144868, 0.00979998, 0.00462309, 0.00155937, 0.00155157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.724015, 0.761035, 0.769688, 0.786994, 0.799227, 0.822931, 0.845314, 0.849295, 0.873181, 0.898689, 0.942408, 1.00414, 1.05351, 1.07987, 1.11502, 1.22493, 1.28826, 1.32871, 1.36356, 1.39927, 1.4468, 1.4609, 1.48911, 1.54552, 1.5941, 1.65935, 1.74092, 1.82249, 1.90407, 1.98564, 2.14879");
-            values ( \
-              "0.0574818, 0.091132, 0.10454, 0.125986, 0.135747, 0.145479, 0.147296, 0.147301, 0.145846, 0.143185, 0.137857, 0.128998, 0.119826, 0.113506, 0.103573, 0.069343, 0.0522071, 0.0427325, 0.0357044, 0.0295273, 0.022673, 0.0209754, 0.0178432, 0.0128685, 0.00963513, 0.0065221, 0.00397025, 0.00237924, 0.00144898, 0.000854486, 0.000301825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.735543, 0.772482, 0.787963, 0.799935, 0.823879, 0.845864, 0.856615, 0.87095, 0.899619, 0.941245, 1.04568, 1.20311, 1.25826, 1.31435, 1.37802, 1.45959, 1.71297, 1.84067, 1.93992, 2.04602, 2.1276, 2.20053, 2.30848, 2.37375, 2.45532, 2.55862, 2.6402, 2.72177, 2.88492, 3.04806, 3.29278, 3.5375");
-            values ( \
-              "0.102005, 0.11167, 0.131191, 0.141376, 0.152505, 0.155608, 0.15596, 0.155934, 0.154897, 0.15267, 0.146289, 0.135788, 0.13182, 0.126984, 0.120294, 0.10965, 0.0705554, 0.0529392, 0.0414478, 0.0314118, 0.0251633, 0.0205375, 0.0151003, 0.0125033, 0.00984015, 0.00725018, 0.00568563, 0.00444747, 0.00271672, 0.00165511, 0.000787383, 0.000371059" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0635379, 0.0695994, 0.0742326, 0.0805888, 0.0884267, 0.093239, 0.100188, 0.104802, 0.107637, 0.113068, 0.117303, 0.134783, 0.14201, 0.148969, 0.154661, 0.165023, 0.16758");
-            values ( \
-              "-0.00114861, -0.0325754, -0.0452849, -0.0546616, -0.0620526, -0.0649816, -0.0676774, -0.0685172, -0.0683344, -0.066851, -0.0620197, -0.0195992, -0.00967219, -0.00466146, -0.00256873, -0.000661356, -0.000602482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00447916");
-            index_3 ("0.0624695, 0.0822558, 0.0834002, 0.0924378, 0.102633, 0.110561, 0.114908, 0.119056, 0.124996, 0.131647, 0.135988, 0.142356, 0.145296, 0.159839, 0.167396, 0.173772, 0.18232, 0.190048, 0.19888, 0.214702, 0.233341, 0.257059");
-            values ( \
-              "-0.0117128, -0.0714415, -0.0732249, -0.083079, -0.0900385, -0.093729, -0.0951012, -0.0959438, -0.096248, -0.0948365, -0.0922584, -0.084975, -0.079589, -0.0432736, -0.0283622, -0.0192405, -0.0110425, -0.00656268, -0.00352327, -0.00105343, -0.000283441, -9.48491e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0092882");
-            index_3 ("0.0636805, 0.0744227, 0.0813603, 0.0817219, 0.0879195, 0.0949774, 0.103256, 0.113719, 0.118006, 0.121986, 0.131993, 0.142927, 0.155648, 0.163182, 0.176674, 0.183236, 0.191757, 0.212393, 0.22493, 0.230814, 0.238089, 0.24779, 0.254215, 0.264045, 0.277152, 0.302928, 0.332914");
-            values ( \
-              "-0.000642257, -0.0616646, -0.0819452, -0.0815175, -0.0910098, -0.0990357, -0.106121, -0.113054, -0.115253, -0.117043, -0.120332, -0.122536, -0.123959, -0.123911, -0.120052, -0.114758, -0.10225, -0.0601019, -0.0396727, -0.0321762, -0.0245896, -0.0169031, -0.0131354, -0.00886429, -0.00514729, -0.00159989, -0.000401189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192605");
-            index_3 ("0.0640876, 0.078688, 0.0900029, 0.105687, 0.114102, 0.125432, 0.161847, 0.181271, 0.203908, 0.221764, 0.234833, 0.248935, 0.271976, 0.307086, 0.321198, 0.342255, 0.35011, 0.360583, 0.379485, 0.400901, 0.418012, 0.452233, 0.502954, 0.565175");
-            values ( \
-              "-0.0150489, -0.0800068, -0.101824, -0.118431, -0.124907, -0.131529, -0.145313, -0.151377, -0.155647, -0.155919, -0.153616, -0.147529, -0.124979, -0.0744848, -0.0577281, -0.0384785, -0.0328715, -0.0266512, -0.017737, -0.0111812, -0.0077831, -0.00344028, -0.00104374, -0.000121713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0399395");
-            index_3 ("0.0718882, 0.0863497, 0.0957813, 0.117232, 0.127786, 0.169294, 0.197475, 0.22151, 0.237954, 0.261533, 0.30114, 0.341333, 0.358808, 0.383502, 0.395611, 0.419827, 0.489307, 0.519078, 0.563392, 0.607808, 0.640942, 0.674726, 0.7005, 0.75205, 0.817191, 0.819341");
-            values ( \
-              "-0.0986831, -0.100294, -0.113948, -0.133686, -0.140221, -0.159215, -0.170184, -0.176647, -0.179519, -0.181585, -0.181577, -0.176287, -0.172144, -0.163407, -0.157245, -0.140283, -0.0827896, -0.0631217, -0.0408736, -0.0258127, -0.0180908, -0.0125524, -0.00945118, -0.00527403, -0.00246888, -0.00243695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0828205");
-            index_3 ("0.0839435, 0.145074, 0.190177, 0.21073, 0.236931, 0.260851, 0.297338, 0.336677, 0.41198, 0.488895, 0.568449, 0.597276, 0.652984, 0.718125, 0.857151, 0.937719, 1.02438, 1.07811, 1.13952, 1.20342, 1.23564, 1.3001, 1.36524, 1.43038, 1.56066, 1.75608");
-            values ( \
-              "-0.151521, -0.153118, -0.174511, -0.182411, -0.189261, -0.193775, -0.197144, -0.198622, -0.196727, -0.191592, -0.183326, -0.179023, -0.168426, -0.147062, -0.0882657, -0.0614565, -0.0403202, -0.0309281, -0.0222867, -0.0158747, -0.0135657, -0.00939128, -0.00676267, -0.00454998, -0.00216307, -0.000874479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0768178, 0.0883805, 0.0910229, 0.0951937, 0.10301, 0.110701, 0.114738, 0.119364, 0.122198, 0.127651, 0.131796, 0.149309, 0.156978, 0.162971, 0.167884, 0.177711, 0.182853");
-            values ( \
-              "-0.00160852, -0.0443858, -0.0488755, -0.0546217, -0.0619843, -0.0661568, -0.0676081, -0.0684577, -0.0684089, -0.0667785, -0.0620837, -0.0197028, -0.00930138, -0.00497476, -0.00295493, -0.000872357, -0.000714926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00447916");
-            index_3 ("0.0793257, 0.0860266, 0.0886512, 0.0940495, 0.101659, 0.112376, 0.120923, 0.125145, 0.133638, 0.139656, 0.146226, 0.150566, 0.156812, 0.15987, 0.174418, 0.181996, 0.188277, 0.196848, 0.204802, 0.213892, 0.229102, 0.247889, 0.27141");
-            values ( \
-              "-0.00225241, -0.0459491, -0.0553282, -0.0674016, -0.0780623, -0.0871698, -0.0920124, -0.0937276, -0.0959453, -0.0962967, -0.0948786, -0.0922548, -0.0851992, -0.07958, -0.0432717, -0.0283272, -0.0193001, -0.0110527, -0.00650731, -0.00338554, -0.00110797, -0.000258853, -0.000121946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0092882");
-            index_3 ("0.0784541, 0.0891201, 0.0953087, 0.0960626, 0.104354, 0.111878, 0.121855, 0.130078, 0.136619, 0.141935, 0.150619, 0.16313, 0.17121, 0.17779, 0.191278, 0.197939, 0.206363, 0.224703, 0.237092, 0.249084, 0.255668, 0.264893, 0.274539, 0.281942, 0.296748, 0.323548, 0.355243");
-            values ( \
-              "-0.00109584, -0.0616634, -0.0808655, -0.0803663, -0.0935507, -0.10116, -0.109111, -0.114086, -0.117113, -0.119032, -0.121281, -0.123345, -0.124001, -0.12396, -0.120054, -0.114671, -0.102257, -0.0644475, -0.0432149, -0.0281454, -0.0219765, -0.0153308, -0.0104628, -0.00776505, -0.00416976, -0.00122732, -0.000279238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192605");
-            index_3 ("0.0782265, 0.0935275, 0.101745, 0.110158, 0.124006, 0.134295, 0.14597, 0.182603, 0.196003, 0.215342, 0.22527, 0.238506, 0.24558, 0.254421, 0.263658, 0.282133, 0.319637, 0.341259, 0.359855, 0.384769, 0.411073, 0.429441, 0.466179, 0.488464");
-            values ( \
-              "-0.0100409, -0.0806642, -0.0974972, -0.108621, -0.121395, -0.128379, -0.134169, -0.14734, -0.151237, -0.155256, -0.156108, -0.155587, -0.154442, -0.152013, -0.147599, -0.130819, -0.0769616, -0.0522477, -0.0363498, -0.0217553, -0.0123322, -0.0083141, -0.00347407, -0.00239443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0399395");
-            index_3 ("0.0890915, 0.114027, 0.125757, 0.141813, 0.184283, 0.212051, 0.233527, 0.251296, 0.276107, 0.300372, 0.315599, 0.346052, 0.3558, 0.375296, 0.397985, 0.440953, 0.481572, 0.525797, 0.554312, 0.578648, 0.62219, 0.655532, 0.690079, 0.716522, 0.769409, 0.834549, 0.854173");
-            values ( \
-              "-0.112432, -0.117825, -0.128981, -0.139841, -0.15931, -0.170089, -0.176006, -0.179245, -0.181677, -0.182037, -0.181369, -0.177967, -0.176178, -0.171532, -0.163361, -0.135116, -0.100262, -0.0681183, -0.0519051, -0.0407033, -0.0259534, -0.0181359, -0.0124818, -0.00933378, -0.0051191, -0.00240103, -0.00211806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0828205");
-            index_3 ("0.0943907, 0.140301, 0.208666, 0.252829, 0.275243, 0.312526, 0.35107, 0.403413, 0.464268, 0.50329, 0.568431, 0.611672, 0.667381, 0.732522, 0.815023, 0.924718, 1.00691, 1.09252, 1.20171, 1.25004, 1.37962, 1.5099, 1.55739");
-            values ( \
-              "-0.138783, -0.142994, -0.176393, -0.18977, -0.193581, -0.197375, -0.198432, -0.197679, -0.194457, -0.191406, -0.185071, -0.179205, -0.168241, -0.147246, -0.111213, -0.0697953, -0.0472397, -0.0307515, -0.0173333, -0.0133918, -0.0065894, -0.00318465, -0.00273203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.114258, 0.120809, 0.123954, 0.12749, 0.134763, 0.14119, 0.147206, 0.151248, 0.155875, 0.158711, 0.164177, 0.168283, 0.170665, 0.180611, 0.186339, 0.193691, 0.199227, 0.20377, 0.212857, 0.224396, 0.23039");
-            values ( \
-              "-7.85994e-05, -0.033062, -0.0421559, -0.0487278, -0.0577971, -0.0630134, -0.0661511, -0.0675118, -0.068365, -0.0684201, -0.0666905, -0.0620505, -0.0577781, -0.0310186, -0.0187846, -0.00917954, -0.00517933, -0.00310921, -0.00111444, -0.000233402, -0.000218844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00447916");
-            index_3 ("0.11392, 0.13355, 0.134503, 0.138311, 0.143699, 0.153014, 0.161805, 0.166152, 0.1703, 0.176239, 0.178695, 0.182891, 0.187232, 0.193598, 0.19654, 0.211084, 0.218641, 0.225015, 0.230223, 0.233564, 0.241297, 0.250134, 0.26598, 0.284606, 0.308341");
-            values ( \
-              "-0.0126744, -0.0715013, -0.0730897, -0.0778482, -0.0831631, -0.0895785, -0.0937377, -0.0950951, -0.0959532, -0.0962405, -0.0959778, -0.0948282, -0.0922655, -0.0849704, -0.0795957, -0.0432799, -0.028368, -0.0192354, -0.0137634, -0.0110367, -0.00656706, -0.0035149, -0.00105646, -0.000277083, -0.000100829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0092882");
-            index_3 ("0.118414, 0.125975, 0.130145, 0.137706, 0.147537, 0.164292, 0.173368, 0.183423, 0.194298, 0.206652, 0.214537, 0.22803, 0.234722, 0.243114, 0.261329, 0.274094, 0.284963, 0.301002, 0.312665, 0.321983, 0.340619, 0.352518");
-            values ( \
-              "-0.0260308, -0.0625389, -0.0761761, -0.0896368, -0.100732, -0.112849, -0.117213, -0.12046, -0.122622, -0.123974, -0.123974, -0.120077, -0.114656, -0.102271, -0.0646825, -0.0428399, -0.0290694, -0.0157105, -0.00989464, -0.00677077, -0.00304715, -0.00210516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192605");
-            index_3 ("0.12251, 0.135511, 0.141638, 0.15114, 0.163098, 0.173852, 0.18441, 0.196303, 0.232761, 0.25169, 0.262804, 0.277599, 0.299876, 0.308857, 0.316721, 0.358283, 0.371758, 0.393388, 0.415092, 0.432002, 0.449633, 0.463145, 0.490171, 0.539317, 0.597429");
-            values ( \
-              "-0.0819934, -0.0927427, -0.102395, -0.113102, -0.123227, -0.12999, -0.134871, -0.13928, -0.151365, -0.155174, -0.1561, -0.15539, -0.14802, -0.141611, -0.133403, -0.0745087, -0.0586161, -0.0386917, -0.024867, -0.0173741, -0.0119057, -0.00886327, -0.00481804, -0.00142442, -0.000311322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0399395");
-            index_3 ("0.127917, 0.159806, 0.181671, 0.230621, 0.248204, 0.279584, 0.293169, 0.312842, 0.352449, 0.392059, 0.410235, 0.434667, 0.446008, 0.46869, 0.542004, 0.568534, 0.613829, 0.637375, 0.668769, 0.706815, 0.73027, 0.777179, 0.84232, 0.907461, 0.972601");
-            values ( \
-              "-0.121745, -0.126813, -0.141567, -0.163454, -0.169963, -0.177913, -0.179976, -0.181706, -0.181481, -0.176416, -0.172111, -0.163472, -0.157756, -0.142242, -0.0817789, -0.0642272, -0.0412229, -0.0324061, -0.023269, -0.0154575, -0.0119678, -0.00707389, -0.0033243, -0.00155207, -0.000723566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0828205");
-            index_3 ("0.132493, 0.182962, 0.238311, 0.278063, 0.307569, 0.321312, 0.339635, 0.376282, 0.387989, 0.411404, 0.463332, 0.540115, 0.572387, 0.619621, 0.684762, 0.70432, 0.743436, 0.79608, 0.882401, 0.935346, 0.974246, 1.01457, 1.06807, 1.1154, 1.1785, 1.23298, 1.30589, 1.37103, 1.43617, 1.50131, 1.63159, 1.82701");
-            values ( \
-              "-0.142699, -0.146408, -0.173376, -0.187012, -0.193039, -0.194876, -0.196606, -0.198333, -0.198445, -0.198324, -0.196581, -0.191464, -0.188536, -0.183205, -0.172682, -0.168294, -0.156838, -0.135756, -0.0984924, -0.0785437, -0.065875, -0.0544751, -0.0419356, -0.0330647, -0.0238372, -0.0178959, -0.0121315, -0.00851062, -0.00596958, -0.00416453, -0.00202563, -0.000693062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.198866, 0.219483, 0.223518, 0.230854, 0.236976, 0.242843, 0.248605, 0.253778, 0.255621, 0.260641, 0.273689, 0.27967, 0.285359, 0.293287, 0.30133");
-            values ( \
-              "-0.00191355, -0.0491509, -0.0553431, -0.0620004, -0.0654816, -0.0674288, -0.0679839, -0.0665256, -0.065032, -0.057594, -0.0237651, -0.0136544, -0.00765438, -0.00327103, -0.00141631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00447916");
-            index_3 ("0.20064, 0.210962, 0.211751, 0.212593, 0.21387, 0.215547, 0.21792, 0.219604, 0.222284, 0.224777, 0.227269, 0.228408, 0.230685, 0.233089, 0.23562, 0.23744, 0.240092, 0.242589, 0.245871, 0.248097, 0.251492, 0.254791, 0.256934, 0.260066, 0.261506, 0.264386, 0.267846, 0.269574, 0.271684, 0.273829, 0.274918, 0.277097, 0.278181, 0.279264, 0.28143, 0.283926, 0.286422, 0.28981, 0.293198, 0.299152, 0.300488, 0.30316, 0.304496, 0.307168, 0.311702, 0.314352, 0.317002, 0.319652, 0.322412, 0.324606");
-            values ( \
-              "-0.00020472, -0.0383837, -0.0400453, -0.0423611, -0.046837, -0.0523085, -0.0585044, -0.0622562, -0.0673966, -0.0712777, -0.0747262, -0.0760968, -0.0786885, -0.0812084, -0.0834217, -0.0848385, -0.0867373, -0.0883529, -0.0902374, -0.0913632, -0.0928627, -0.0940812, -0.0947173, -0.0953547, -0.0954683, -0.0955397, -0.0953513, -0.0950689, -0.0944349, -0.093483, -0.0928716, -0.0914007, -0.0904353, -0.0893438, -0.086783, -0.0827615, -0.0781271, -0.0705281, -0.062457, -0.0472643, -0.044125, -0.0383521, -0.0356895, -0.0307396, -0.0233026, -0.0197066, -0.0167687, -0.0141766, -0.0118811, -0.0101677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0092882");
-            index_3 ("0.193497, 0.236724, 0.24799, 0.254301, 0.262881, 0.268658, 0.276975, 0.289595, 0.297339, 0.304265, 0.317807, 0.324269, 0.332923, 0.351598, 0.365574, 0.37201, 0.379936, 0.390504, 0.403262, 0.413576, 0.434204, 0.462579, 0.497571");
-            values ( \
-              "-0.00258021, -0.0983626, -0.107786, -0.111902, -0.116249, -0.118434, -0.120678, -0.122802, -0.123495, -0.123462, -0.119676, -0.114569, -0.10204, -0.0637787, -0.0404348, -0.0321783, -0.0240031, -0.0159258, -0.00960774, -0.00629952, -0.00257911, -0.00068886, -0.000149174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192605");
-            index_3 ("0.19357, 0.240882, 0.244017, 0.252498, 0.263154, 0.279349, 0.30992, 0.322729, 0.341131, 0.353847, 0.36767, 0.389981, 0.398818, 0.406755, 0.448449, 0.461756, 0.483492, 0.505274, 0.522168, 0.539734, 0.553189, 0.580099, 0.629159, 0.687135");
-            values ( \
-              "-0.00612339, -0.111649, -0.114685, -0.121896, -0.128928, -0.136246, -0.147031, -0.150892, -0.15474, -0.155835, -0.155127, -0.147875, -0.141612, -0.1334, -0.0744413, -0.058749, -0.0387103, -0.0248423, -0.0173641, -0.0119165, -0.0088826, -0.00484303, -0.0014357, -0.000315853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0399395");
-            index_3 ("0.213364, 0.231081, 0.244927, 0.265258, 0.308239, 0.337886, 0.362763, 0.38581, 0.402855, 0.436946, 0.453485, 0.482536, 0.496533, 0.524822, 0.567608, 0.608654, 0.652538, 0.705392, 0.749184, 0.782468, 0.816797, 0.84305, 0.895554, 0.960695, 0.974928");
-            values ( \
-              "-0.103936, -0.104168, -0.121361, -0.137469, -0.157746, -0.169529, -0.176342, -0.180116, -0.181551, -0.181688, -0.180446, -0.176185, -0.172998, -0.163364, -0.135257, -0.10008, -0.068178, -0.0407561, -0.0259063, -0.0181289, -0.0125089, -0.00935994, -0.00517042, -0.00241304, -0.00220606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0828205");
-            index_3 ("0.219672, 0.260912, 0.328082, 0.36821, 0.410891, 0.428718, 0.477862, 0.50484, 0.55323, 0.618371, 0.653014, 0.699204, 0.72984, 0.760731, 0.7941, 0.859241, 0.997999, 1.05145, 1.1341, 1.17314, 1.21861, 1.27924, 1.32795, 1.37717, 1.44231, 1.50745, 1.63773, 1.76801, 1.89829");
-            values ( \
-              "-0.135291, -0.138483, -0.173, -0.186874, -0.194706, -0.196459, -0.198403, -0.198217, -0.196561, -0.192427, -0.18944, -0.184505, -0.18042, -0.175357, -0.168294, -0.147137, -0.0884553, -0.0698388, -0.0471834, -0.0388969, -0.0309055, -0.0225585, -0.0174367, -0.0134217, -0.00944343, -0.00660954, -0.0032262, -0.00156703, -0.000758378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.389668, 0.396627, 0.403093, 0.411239, 0.421426, 0.429728, 0.43713, 0.444033, 0.450642, 0.455994, 0.457187, 0.459571, 0.464091, 0.474698, 0.482065, 0.488763, 0.49375, 0.49776, 0.50681, 0.507431");
-            values ( \
-              "-0.00895014, -0.0124542, -0.0205269, -0.0328101, -0.0434821, -0.0502463, -0.0548961, -0.0579188, -0.0595547, -0.0593631, -0.0589554, -0.0577243, -0.0526553, -0.0294325, -0.0161585, -0.00867109, -0.00531905, -0.0035176, -0.00102856, -0.00098133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00447916");
-            index_3 ("0.390054, 0.400797, 0.402655, 0.404512, 0.411184, 0.417857, 0.418547, 0.421306, 0.424986, 0.431635, 0.436132, 0.440505, 0.442915, 0.445607, 0.448299, 0.450704, 0.454357, 0.456854, 0.459251, 0.461547, 0.464303, 0.467517, 0.469124, 0.470563, 0.473151, 0.476596, 0.477758, 0.480081, 0.481243, 0.482408, 0.483573, 0.485903, 0.487215, 0.488528, 0.491152, 0.494996, 0.498839, 0.50444, 0.505124, 0.505807, 0.506491, 0.507858, 0.509291, 0.511539, 0.51637, 0.519434, 0.522499, 0.525563, 0.5285, 0.530966");
-            values ( \
-              "-0.00655209, -0.0235109, -0.0261574, -0.0290214, -0.0405262, -0.0513861, -0.0519109, -0.0555429, -0.0597503, -0.0668413, -0.0709716, -0.0744668, -0.0761915, -0.0779319, -0.0795524, -0.0808942, -0.0827672, -0.0839325, -0.084932, -0.0857423, -0.0865507, -0.0872679, -0.0875354, -0.0875517, -0.0874449, -0.0870306, -0.0867155, -0.0858517, -0.085303, -0.0845585, -0.0836893, -0.0815764, -0.0799147, -0.0780999, -0.0740109, -0.0665639, -0.0585143, -0.04595, -0.0418853, -0.0387943, -0.0382514, -0.0369383, -0.0351475, -0.0318959, -0.024204, -0.0201593, -0.0168611, -0.0139846, -0.0116629, -0.00983235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0092882");
-            index_3 ("0.388991, 0.41731, 0.423088, 0.436819, 0.454139, 0.459576, 0.471814, 0.485846, 0.495524, 0.505508, 0.515078, 0.519625, 0.524193, 0.531443, 0.539616, 0.560751, 0.5734, 0.586838, 0.596722, 0.603101, 0.612861, 0.625876, 0.651837, 0.681937");
-            values ( \
-              "-0.000413844, -0.0579678, -0.0673516, -0.0844472, -0.0989651, -0.102652, -0.109124, -0.113818, -0.115779, -0.116974, -0.116971, -0.116414, -0.114942, -0.110232, -0.0996062, -0.0591658, -0.0392645, -0.0242709, -0.0166346, -0.0129854, -0.00882213, -0.00517357, -0.0016107, -0.00041253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192605");
-            index_3 ("0.39064, 0.400653, 0.432667, 0.46586, 0.484509, 0.529228, 0.552559, 0.575385, 0.598211, 0.61085, 0.66962, 0.693176, 0.721286, 0.745693, 0.794645, 0.79659");
-            values ( \
-              "-0.0200485, -0.0305501, -0.0861367, -0.117881, -0.127979, -0.145427, -0.151399, -0.152521, -0.146073, -0.136762, -0.0592426, -0.0377129, -0.0211873, -0.0125937, -0.00417971, -0.00406315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0399395");
-            index_3 ("0.40061, 0.43787, 0.447526, 0.465544, 0.483624, 0.538218, 0.570243, 0.588877, 0.610896, 0.650819, 0.693902, 0.718647, 0.733457, 0.763078, 0.839911, 0.868071, 0.913116, 0.935447, 0.958533, 1.0056, 1.03392, 1.09057, 1.15571, 1.22085, 1.28599");
-            values ( \
-              "-0.0422286, -0.0976293, -0.108605, -0.124491, -0.135902, -0.16225, -0.173126, -0.177109, -0.179819, -0.180472, -0.175199, -0.168767, -0.163122, -0.145496, -0.0824227, -0.0637954, -0.0410427, -0.0326723, -0.0256584, -0.0154714, -0.0113506, -0.00596458, -0.0027999, -0.00130504, -0.000609402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0828205");
-            index_3 ("0.417885, 0.462606, 0.481622, 0.537902, 0.585427, 0.610106, 0.6449, 0.686404, 0.724272, 0.761812, 0.826953, 0.862169, 0.936442, 0.9684, 1.00291, 1.06805, 1.20685, 1.26028, 1.3429, 1.42751, 1.48821, 1.53685, 1.58599, 1.65113, 1.71627, 1.84655, 1.909");
-            values ( \
-              "-0.119782, -0.125719, -0.13901, -0.169103, -0.186503, -0.191694, -0.195989, -0.197863, -0.197703, -0.196366, -0.19238, -0.189367, -0.180697, -0.175551, -0.168259, -0.147138, -0.0884497, -0.0698275, -0.0471835, -0.0308894, -0.0225481, -0.0174262, -0.0134184, -0.00945026, -0.00660572, -0.00322198, -0.00263042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.804727, 0.82461, 0.830395, 0.846015, 0.865449, 0.875047, 0.883906, 0.892387, 0.900704, 0.909014, 0.911111, 0.923604, 0.932963, 0.942291, 0.952378, 0.95887, 0.96935, 0.978115, 1.00222");
-            values ( \
-              "-0.00197881, -0.0105371, -0.0142313, -0.0272735, -0.0385705, -0.0424819, -0.0451385, -0.0467385, -0.0468665, -0.0434825, -0.0413189, -0.022619, -0.0114715, -0.00526708, -0.00213168, -0.00117429, -0.000412945, -0.000183901, -0.000151372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00447916");
-            index_3 ("0.814115, 0.826391, 0.828306, 0.832182, 0.836096, 0.838053, 0.84257, 0.847086, 0.850473, 0.853862, 0.857248, 0.862705, 0.86816, 0.874454, 0.876552, 0.881069, 0.887771, 0.891001, 0.897883, 0.905046, 0.912588, 0.91583, 0.919073, 0.921254, 0.923433, 0.925614, 0.927795, 0.929709, 0.930348, 0.931623, 0.932898, 0.934174, 0.93545, 0.937095, 0.940385, 0.942032, 0.944273, 0.948757, 0.952211, 0.959557, 0.961513, 0.966006, 0.969948, 0.972757, 0.977138, 0.981803, 0.985777");
-            values ( \
-              "-0.0101591, -0.0161419, -0.0175575, -0.0207778, -0.0243649, -0.0262435, -0.0310744, -0.0356481, -0.0389096, -0.0418554, -0.0445963, -0.0484749, -0.0520322, -0.0557366, -0.0568764, -0.0591201, -0.0621405, -0.0634155, -0.0658064, -0.0680457, -0.0702309, -0.0709336, -0.0714521, -0.0716569, -0.0717581, -0.0717556, -0.0716494, -0.0714531, -0.0713684, -0.0710441, -0.0705972, -0.0700277, -0.0693357, -0.0681854, -0.0653564, -0.0636776, -0.0609411, -0.0548168, -0.049482, -0.0369257, -0.0338871, -0.0274693, -0.0223512, -0.0191076, -0.0151008, -0.0116055, -0.00919283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0092882");
-            index_3 ("0.808682, 0.85927, 0.875829, 0.889599, 0.901977, 0.922014, 0.928932, 0.937986, 0.94625, 0.954096, 0.962875, 0.967964, 0.973607, 0.979243, 0.990515, 0.996501, 1.02393, 1.0332, 1.04612, 1.05556, 1.06159, 1.06767, 1.07578, 1.09198, 1.1196, 1.15213");
-            values ( \
-              "-0.000338925, -0.0538004, -0.0670174, -0.0755084, -0.0818221, -0.0910228, -0.093745, -0.096882, -0.0990993, -0.100737, -0.101898, -0.10223, -0.102164, -0.101388, -0.0963515, -0.0905979, -0.0487665, -0.036668, -0.0237608, -0.016914, -0.0135355, -0.0107777, -0.00790152, -0.00413237, -0.00124435, -0.000315393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192605");
-            index_3 ("0.81584, 0.86311, 0.896283, 0.928658, 0.953562, 0.996999, 1.00942, 1.03437, 1.05932, 1.08413, 1.13891, 1.15874, 1.18521, 1.21558, 1.24861, 1.26957");
-            values ( \
-              "-0.010873, -0.0624289, -0.0879689, -0.106522, -0.117479, -0.133366, -0.137, -0.14111, -0.137708, -0.119135, -0.0521697, -0.0358013, -0.0209425, -0.0110473, -0.0054858, -0.0040243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0399395");
-            index_3 ("0.833678, 0.859627, 0.884753, 0.923322, 0.94926, 1.01324, 1.02861, 1.05936, 1.09324, 1.11363, 1.15501, 1.18066, 1.19832, 1.21564, 1.23444, 1.3064, 1.33341, 1.37875, 1.40193, 1.43285, 1.47174, 1.49571, 1.54366, 1.60881, 1.73909");
-            values ( \
-              "-0.0596816, -0.0613931, -0.0844866, -0.110494, -0.124493, -0.153978, -0.159774, -0.168394, -0.173368, -0.174275, -0.17168, -0.166351, -0.160466, -0.152015, -0.13936, -0.081622, -0.0639288, -0.0410909, -0.0324439, -0.0234309, -0.0154353, -0.0118914, -0.00694283, -0.00326945, -0.000715399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0828205");
-            index_3 ("0.843763, 0.889428, 0.941592, 1.00316, 1.02755, 1.05104, 1.07101, 1.11095, 1.15009, 1.20445, 1.24433, 1.28122, 1.34354, 1.38439, 1.44953, 1.46915, 1.50838, 1.56099, 1.64729, 1.7003, 1.73928, 1.77961, 1.83312, 1.88043, 1.94352, 1.99806, 2.07105, 2.13619, 2.20133, 2.33161, 2.4619, 2.59218");
-            values ( \
-              "-0.081358, -0.0901295, -0.124982, -0.156811, -0.167893, -0.176514, -0.182156, -0.189639, -0.193123, -0.194064, -0.193424, -0.191956, -0.187313, -0.182858, -0.1725, -0.168138, -0.156683, -0.135668, -0.0984707, -0.0785057, -0.0658254, -0.0544509, -0.0419086, -0.0330477, -0.0238436, -0.0178838, -0.0121169, -0.00851447, -0.00595994, -0.00290868, -0.00141378, -0.000685426" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "-0.000787454, -0.000789265, -0.000816045, -0.000888561, -0.000982361, -0.00106345", \
-            "-0.00110987, -0.00111453, -0.00113307, -0.0011957, -0.00128577, -0.00136423", \
-            "-0.00148555, -0.00148958, -0.00150305, -0.00155754, -0.00163888, -0.00171157", \
-            "-0.00179024, -0.00179762, -0.00181437, -0.00186332, -0.00191379, -0.00197748", \
-            "-0.00191403, -0.0019035, -0.00192932, -0.00197293, -0.00201224, -0.00205556", \
-            "-0.00166987, -0.00168573, -0.00172775, -0.00172554, -0.00176677, -0.00186243" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0179989, 0.0180626, 0.0181313, 0.0181245, 0.0180793, 0.0180355", \
-            "0.0179462, 0.0180186, 0.0180866, 0.0180821, 0.0180382, 0.0179941", \
-            "0.0178691, 0.0179513, 0.0180135, 0.0180167, 0.0179771, 0.0179331", \
-            "0.01779, 0.0178531, 0.0179178, 0.0179417, 0.0179213, 0.0178864", \
-            "0.0176568, 0.0177045, 0.0178258, 0.0178775, 0.0178988, 0.0178786", \
-            "0.0179035, 0.0178644, 0.0179684, 0.0180057, 0.0180259, 0.0180997" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00840333, 0.00844287, 0.00849112, 0.00863228, 0.00886924, 0.00944722", \
-            "0.00838028, 0.00842407, 0.00849186, 0.00861835, 0.00888614, 0.00944522", \
-            "0.00834014, 0.00838529, 0.00845567, 0.00857487, 0.00886802, 0.00943824", \
-            "0.00830072, 0.0083531, 0.00842553, 0.00855359, 0.00884782, 0.00943508", \
-            "0.00826585, 0.00829946, 0.00836254, 0.00852694, 0.00879685, 0.00942774", \
-            "0.00830148, 0.00833426, 0.00841324, 0.00851783, 0.00876773, 0.0093933" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00243739, 0.00246734, 0.0025191, 0.00257376, 0.00271213, 0.00297733", \
-            "0.00237545, 0.00240101, 0.00244921, 0.00250621, 0.00265263, 0.00291576", \
-            "0.00227719, 0.00231302, 0.00236194, 0.00244037, 0.00257977, 0.00284601", \
-            "0.00217163, 0.00221516, 0.00228326, 0.00236718, 0.00248691, 0.00278201", \
-            "0.0021133, 0.00215166, 0.00218353, 0.00229092, 0.0024275, 0.00274256", \
-            "0.00222769, 0.00224651, 0.0022637, 0.00231724, 0.00246691, 0.00270689" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00889685, 0.00893245, 0.00897419, 0.00902402, 0.00918372, 0.00952893", \
-            "0.00888266, 0.00890852, 0.00895725, 0.00900738, 0.00918413, 0.00951957", \
-            "0.008838, 0.00888609, 0.00893878, 0.00901002, 0.00914552, 0.00951346", \
-            "0.0087958, 0.00884152, 0.00890637, 0.00899385, 0.00913777, 0.00951079", \
-            "0.00874413, 0.00879732, 0.00884174, 0.0089168, 0.00909343, 0.00949074", \
-            "0.0088751, 0.0088626, 0.00885364, 0.00892095, 0.00906151, 0.00946217" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00216307, 0.00220023, 0.00225435, 0.00233091, 0.00249509, 0.00282206", \
-            "0.00213469, 0.00217266, 0.00221843, 0.00230795, 0.0024608, 0.00280731", \
-            "0.00207873, 0.00212497, 0.00218266, 0.0022723, 0.00243865, 0.00278368", \
-            "0.00200129, 0.00204713, 0.00212273, 0.00222013, 0.00242897, 0.00276718", \
-            "0.00196244, 0.00199855, 0.00206275, 0.00218426, 0.00237613, 0.0027295", \
-            "0.00204183, 0.00209521, 0.00212402, 0.0022318, 0.00234602, 0.00270979" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "-0.000923809, -0.000869739, -0.000809866, -0.000758582, -0.000733308, -0.000725333", \
-            "-0.00100772, -0.000955995, -0.000890529, -0.00083904, -0.000814196, -0.000805605", \
-            "-0.00110847, -0.00105963, -0.000994373, -0.000948205, -0.00092082, -0.000909877", \
-            "-0.00125143, -0.0012144, -0.00115681, -0.00113859, -0.00110035, -0.00108417", \
-            "-0.00138325, -0.00135756, -0.00131682, -0.00124602, -0.00120196, -0.00117014", \
-            "-0.00120317, -0.00121262, -0.0011843, -0.00112411, -0.00105424, -0.00103899" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0182881, 0.0183122, 0.0182303, 0.0180468, 0.0177955, 0.0175937", \
-            "0.0181905, 0.0182141, 0.0181302, 0.0179635, 0.0177161, 0.0175199", \
-            "0.018087, 0.018088, 0.0180386, 0.0178637, 0.0176345, 0.0174404", \
-            "0.0180031, 0.0179774, 0.0179347, 0.0177997, 0.0175929, 0.017411", \
-            "0.0179476, 0.017922, 0.0178608, 0.0177764, 0.0175972, 0.0174788", \
-            "0.018016, 0.0180442, 0.0180386, 0.0179687, 0.0178096, 0.0176847" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00889685, 0.00893245, 0.00897419, 0.00902402, 0.00918372, 0.00952893", \
-            "0.00888266, 0.00890852, 0.00895725, 0.00900738, 0.00918413, 0.00951957", \
-            "0.008838, 0.00888609, 0.00893878, 0.00901002, 0.00914552, 0.00951346", \
-            "0.0087958, 0.00884152, 0.00890637, 0.00899385, 0.00913777, 0.00951079", \
-            "0.00874413, 0.00879732, 0.00884174, 0.0089168, 0.00909343, 0.00949074", \
-            "0.0088751, 0.0088626, 0.00885364, 0.00892095, 0.00906151, 0.00946217" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0182881, 0.0183122, 0.0182303, 0.0180468, 0.0177955, 0.0175937", \
-            "0.0181905, 0.0182141, 0.0181302, 0.0179635, 0.0177161, 0.0175199", \
-            "0.018087, 0.018088, 0.0180386, 0.0178637, 0.0176345, 0.0174404", \
-            "0.0180031, 0.0179774, 0.0179347, 0.0177997, 0.0175929, 0.017411", \
-            "0.0179476, 0.017922, 0.0178608, 0.0177764, 0.0175972, 0.0174788", \
-            "0.018016, 0.0180442, 0.0180386, 0.0179687, 0.0178096, 0.0176847" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00118604, 0.00117683, 0.00116342, 0.00112209, 0.00106066, 0.00100808", \
-            "0.000965748, 0.000972067, 0.000955796, 0.000909875, 0.000852801, 0.000800956", \
-            "0.000620475, 0.000629334, 0.000622593, 0.000590134, 0.000540022, 0.000490921", \
-            "0.000218176, 0.000220495, 0.000217827, 0.000196445, 0.000158336, 0.000113668", \
-            "7.70637e-05, 6.90593e-05, 5.68239e-05, -1.87335e-07, -5.69863e-05, -0.000105307", \
-            "0.00029317, 0.000333729, 0.000251232, 0.000265416, 0.000219604, 0.000155033" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0156664, 0.015797, 0.0159034, 0.0159721, 0.0159939, 0.0159967", \
-            "0.0156155, 0.0157263, 0.0158477, 0.0159196, 0.0159452, 0.0159482", \
-            "0.0154891, 0.0155924, 0.0157092, 0.0157856, 0.0158135, 0.0158184", \
-            "0.0153532, 0.015446, 0.0155588, 0.0156472, 0.0156908, 0.0157041", \
-            "0.0151964, 0.0152849, 0.0153889, 0.0155133, 0.0155797, 0.0155947", \
-            "0.0153609, 0.015479, 0.015546, 0.0155874, 0.0156574, 0.0157565" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00887883, 0.00894545, 0.00903973, 0.00914285, 0.00931309, 0.00972993", \
-            "0.00885406, 0.0089266, 0.0090247, 0.00914339, 0.0093388, 0.00973619", \
-            "0.00881605, 0.00888295, 0.00899613, 0.00911583, 0.00930944, 0.00972377", \
-            "0.00874691, 0.00882568, 0.0089467, 0.00908978, 0.00930295, 0.00971924", \
-            "0.00862225, 0.00870385, 0.00882713, 0.00899704, 0.00924497, 0.00969853", \
-            "0.00857931, 0.00860675, 0.00869043, 0.00887927, 0.00915796, 0.00963987" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00308059, 0.00311601, 0.00315268, 0.00321782, 0.00335639, 0.00361308", \
-            "0.00304529, 0.00307929, 0.00312613, 0.00319441, 0.00332725, 0.00359461", \
-            "0.00296324, 0.00300317, 0.00306746, 0.00315533, 0.00330775, 0.00358015", \
-            "0.00283975, 0.00290081, 0.00299109, 0.00309119, 0.0032707, 0.00355294", \
-            "0.00272476, 0.00276317, 0.00284248, 0.00300121, 0.00316881, 0.00351466", \
-            "0.00277603, 0.0027629, 0.0028767, 0.00299819, 0.0031532, 0.00348057" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00860348, 0.00866753, 0.00872724, 0.00881183, 0.00897332, 0.00931726", \
-            "0.00856045, 0.00863149, 0.00870316, 0.00878987, 0.00895717, 0.00931673", \
-            "0.00850279, 0.00857958, 0.00866054, 0.00875459, 0.00892463, 0.00931065", \
-            "0.00841908, 0.00850403, 0.00860698, 0.00872673, 0.00890175, 0.00929867", \
-            "0.0083803, 0.00843187, 0.00853138, 0.00866532, 0.00887352, 0.00927991", \
-            "0.00851727, 0.00855375, 0.00861782, 0.00869263, 0.00889569, 0.00928283" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00226718, 0.00230546, 0.00235572, 0.00242059, 0.0025573, 0.00286448", \
-            "0.00225902, 0.00230234, 0.00235816, 0.00242679, 0.00256631, 0.00287153", \
-            "0.00220561, 0.00225938, 0.00234911, 0.00243162, 0.00256744, 0.00286911", \
-            "0.00210884, 0.00217252, 0.00227922, 0.00236753, 0.00254238, 0.0028557", \
-            "0.0019935, 0.00207028, 0.00218337, 0.00231154, 0.00249381, 0.00283898", \
-            "0.00204335, 0.0020678, 0.00218846, 0.00229023, 0.00245021, 0.0027906" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "-0.00320752, -0.00312332, -0.00303301, -0.00297102, -0.00294014, -0.00292607", \
-            "-0.00329663, -0.00321177, -0.00311887, -0.00305326, -0.00301928, -0.00300473", \
-            "-0.0034291, -0.00334966, -0.0032565, -0.00318344, -0.00314395, -0.00312609", \
-            "-0.00360983, -0.00356648, -0.00348369, -0.00341342, -0.0033478, -0.00333146", \
-            "-0.00372353, -0.00367266, -0.00359795, -0.00351433, -0.00346626, -0.0034371", \
-            "-0.00335471, -0.00330707, -0.00334762, -0.00326573, -0.0031913, -0.00315878" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0163882, 0.0163982, 0.0164269, 0.0164101, 0.0163848, 0.0163642", \
-            "0.0162636, 0.0162893, 0.0163032, 0.016296, 0.0162768, 0.0162588", \
-            "0.0160864, 0.0161088, 0.0161332, 0.016138, 0.0161273, 0.0161118", \
-            "0.0159845, 0.0160034, 0.0160286, 0.0160414, 0.0160362, 0.0160231", \
-            "0.0160556, 0.0160812, 0.0160769, 0.0160928, 0.0160769, 0.0160913", \
-            "0.0169593, 0.01691, 0.0168842, 0.0168253, 0.0167745, 0.0167417" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00887883, 0.00894545, 0.00903973, 0.00914285, 0.00931309, 0.00972993", \
-            "0.00885406, 0.0089266, 0.0090247, 0.00914339, 0.0093388, 0.00973619", \
-            "0.00881605, 0.00888295, 0.00899613, 0.00911583, 0.00930944, 0.00972377", \
-            "0.00874691, 0.00882568, 0.0089467, 0.00908978, 0.00930295, 0.00971924", \
-            "0.00862225, 0.00870385, 0.00882713, 0.00899704, 0.00924497, 0.00969853", \
-            "0.00857931, 0.00860675, 0.00869043, 0.00887927, 0.00915796, 0.00963987" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0163882, 0.0163982, 0.0164269, 0.0164101, 0.0163848, 0.0163642", \
-            "0.0162636, 0.0162893, 0.0163032, 0.016296, 0.0162768, 0.0162588", \
-            "0.0160864, 0.0161088, 0.0161332, 0.016138, 0.0161273, 0.0161118", \
-            "0.0159845, 0.0160034, 0.0160286, 0.0160414, 0.0160362, 0.0160231", \
-            "0.0160556, 0.0160812, 0.0160769, 0.0160928, 0.0160769, 0.0160913", \
-            "0.0169593, 0.01691, 0.0168842, 0.0168253, 0.0167745, 0.0167417" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0027494, 0.00274438, 0.00271885, 0.0026724, 0.00261615, 0.00256787", \
-            "0.00265714, 0.00265277, 0.0026318, 0.00258751, 0.0025349, 0.00248831", \
-            "0.00254794, 0.00254842, 0.00253409, 0.00250129, 0.00245551, 0.00241176", \
-            "0.00237947, 0.00237846, 0.00237112, 0.002321, 0.00228439, 0.00224436", \
-            "0.00241156, 0.0023827, 0.00237285, 0.00233849, 0.00228543, 0.00223837", \
-            "0.00275096, 0.00270148, 0.00268826, 0.00265882, 0.00264985, 0.00256998" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0135864, 0.0136761, 0.0137886, 0.0138641, 0.0139004, 0.0139148", \
-            "0.0135412, 0.0136387, 0.0137531, 0.0138303, 0.0138672, 0.0138818", \
-            "0.0134459, 0.0135403, 0.0136549, 0.0137359, 0.0137765, 0.0137921", \
-            "0.0133649, 0.0134517, 0.0135699, 0.0136263, 0.0136819, 0.0137085", \
-            "0.0132756, 0.0133478, 0.0134368, 0.0135505, 0.0136047, 0.0136664", \
-            "0.0134563, 0.0134691, 0.0135521, 0.0136137, 0.0137317, 0.0137716" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00776113, 0.00784785, 0.00796594, 0.00810995, 0.00830003, 0.00871442", \
-            "0.00773543, 0.00782431, 0.00795302, 0.00809893, 0.00829286, 0.00870969", \
-            "0.00770615, 0.00780124, 0.00793276, 0.00809252, 0.00829228, 0.00871093", \
-            "0.00765149, 0.00774068, 0.00788243, 0.00805814, 0.00826921, 0.00870782", \
-            "0.00765161, 0.00772307, 0.0078465, 0.0080198, 0.0082619, 0.00869528", \
-            "0.00789094, 0.00787486, 0.00793622, 0.00803744, 0.00825656, 0.00870349" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00327382, 0.00331307, 0.00336494, 0.00346624, 0.00362943, 0.00395377", \
-            "0.00326585, 0.00330638, 0.0033685, 0.00345974, 0.00363684, 0.00395552", \
-            "0.00325592, 0.00330246, 0.00336147, 0.00345989, 0.00364207, 0.00397734", \
-            "0.00319677, 0.0032446, 0.00331414, 0.00342828, 0.00362924, 0.00397056", \
-            "0.0032122, 0.00323149, 0.00327681, 0.00338331, 0.003568, 0.00394915", \
-            "0.00331858, 0.00338164, 0.00346221, 0.00350333, 0.00359193, 0.00392622" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00703554, 0.00707143, 0.00713914, 0.00726167, 0.00754279, 0.00810897", \
-            "0.00701148, 0.00705591, 0.00712097, 0.00724133, 0.00751767, 0.00810415", \
-            "0.00698416, 0.0070303, 0.00710312, 0.00725386, 0.00750721, 0.00811013", \
-            "0.00694858, 0.00698472, 0.00707192, 0.0072182, 0.00752126, 0.00810549", \
-            "0.00695491, 0.0070559, 0.007069, 0.00719506, 0.00750174, 0.0080947", \
-            "0.00723995, 0.00730447, 0.00723532, 0.0073015, 0.00748188, 0.00807839" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00273091, 0.00277438, 0.00283053, 0.00291531, 0.00307344, 0.00339248", \
-            "0.00271574, 0.0027601, 0.00281785, 0.00290443, 0.00305352, 0.0033726", \
-            "0.0026737, 0.00272564, 0.00279076, 0.00287716, 0.0030221, 0.00332755", \
-            "0.00260476, 0.00267894, 0.00276282, 0.00284495, 0.00299948, 0.00332157", \
-            "0.00253162, 0.00257455, 0.00266908, 0.00280398, 0.00296734, 0.00328742", \
-            "0.00266525, 0.00268481, 0.00273151, 0.00284589, 0.00295029, 0.00327929" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00102863, 0.00108498, 0.00116817, 0.00123891, 0.0012833, 0.00130172", \
-            "0.000991669, 0.00105857, 0.00114412, 0.0012181, 0.00126371, 0.0012817", \
-            "0.000876192, 0.000941044, 0.00102644, 0.00110283, 0.00115094, 0.00116995", \
-            "0.000730297, 0.000770252, 0.000866811, 0.000918087, 0.00097325, 0.000997728", \
-            "0.000598189, 0.000648655, 0.000698657, 0.000763565, 0.000805575, 0.000852439", \
-            "0.000862282, 0.000852127, 0.00087185, 0.000931479, 0.000993878, 0.00102468" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0146851, 0.0146944, 0.0146624, 0.0145694, 0.0144581, 0.0143763", \
-            "0.01462, 0.014659, 0.0146222, 0.0145342, 0.0144247, 0.0143438", \
-            "0.0145685, 0.0145626, 0.0145565, 0.0144751, 0.0143799, 0.0143023", \
-            "0.014493, 0.0144911, 0.0144711, 0.014413, 0.0143285, 0.0142573", \
-            "0.0145099, 0.0145023, 0.01448, 0.0144375, 0.0144012, 0.0143508", \
-            "0.0148225, 0.0148075, 0.0147873, 0.0147875, 0.0147276, 0.0146485" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.00776113, 0.00784785, 0.00796594, 0.00810995, 0.00830003, 0.00871442", \
-            "0.00773543, 0.00782431, 0.00795302, 0.00809893, 0.00829286, 0.00870969", \
-            "0.00770615, 0.00780124, 0.00793276, 0.00809252, 0.00829228, 0.00871093", \
-            "0.00765149, 0.00774068, 0.00788243, 0.00805814, 0.00826921, 0.00870782", \
-            "0.00765161, 0.00772307, 0.0078465, 0.0080198, 0.0082619, 0.00869528", \
-            "0.00789094, 0.00787486, 0.00793622, 0.00803744, 0.00825656, 0.00870349" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00447916, 0.0092882, 0.0192605, 0.0399395, 0.0828205");
-          values ( \
-            "0.0146851, 0.0146944, 0.0146624, 0.0145694, 0.0144581, 0.0143763", \
-            "0.01462, 0.014659, 0.0146222, 0.0145342, 0.0144247, 0.0143438", \
-            "0.0145685, 0.0145626, 0.0145565, 0.0144751, 0.0143799, 0.0143023", \
-            "0.014493, 0.0144911, 0.0144711, 0.014413, 0.0143285, 0.0142573", \
-            "0.0145099, 0.0145023, 0.01448, 0.0144375, 0.0144012, 0.0143508", \
-            "0.0148225, 0.0148075, 0.0147873, 0.0147875, 0.0147276, 0.0146485" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0104747;
-      rise_capacitance : 0.0104747;
-      rise_capacitance_range (0.00891663, 0.0104747);
-      fall_capacitance : 0.0103229;
-      fall_capacitance_range (0.00811886, 0.0103229);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0105446;
-      rise_capacitance : 0.0105446;
-      rise_capacitance_range (0.00865127, 0.0105446);
-      fall_capacitance : 0.0103624;
-      fall_capacitance_range (0.00811177, 0.0103624);
-    }
-    pin (CI) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0082114;
-      rise_capacitance : 0.0082114;
-      rise_capacitance_range (0.00673147, 0.0082114);
-      fall_capacitance : 0.00793335;
-      fall_capacitance_range (0.00653631, 0.00793335);
-    }
-  }
-  cell (AND2X1) {
-    area : 8.3028;
-    cell_footprint : "AND2";
-    cell_leakage_power : 0.0342465;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0543881;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0279111;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0281866;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0265;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0342465;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.08996;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0774434, 0.0919358, 0.119701, 0.176401, 0.295497, 0.5466", \
-            "0.0802639, 0.0947641, 0.122563, 0.179314, 0.298417, 0.549524", \
-            "0.0884746, 0.102955, 0.130805, 0.187603, 0.306761, 0.55788", \
-            "0.105823, 0.120622, 0.148689, 0.205622, 0.324844, 0.576011", \
-            "0.125034, 0.140563, 0.169388, 0.226969, 0.34682, 0.597839", \
-            "0.136774, 0.15451, 0.184852, 0.24262, 0.361941, 0.61399" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0325632, 0.0500703, 0.0879466, 0.17021, 0.345905, 0.71683", \
-            "0.0325577, 0.0500653, 0.0879311, 0.170201, 0.345902, 0.716827", \
-            "0.0325245, 0.0500493, 0.0879152, 0.170187, 0.3459, 0.71683", \
-            "0.0342509, 0.0513709, 0.0885409, 0.170333, 0.345908, 0.716827", \
-            "0.0380856, 0.0545322, 0.0910347, 0.172104, 0.346792, 0.716839", \
-            "0.0465048, 0.0617572, 0.0955892, 0.174117, 0.348245, 0.718489" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0668835, 0.0792832, 0.102454, 0.148547, 0.244463, 0.446274", \
-            "0.0716085, 0.084019, 0.107207, 0.15331, 0.249237, 0.450961", \
-            "0.0839454, 0.096357, 0.119601, 0.165761, 0.261694, 0.463492", \
-            "0.111862, 0.12455, 0.148069, 0.194407, 0.290445, 0.492375", \
-            "0.15813, 0.172121, 0.196975, 0.24424, 0.340732, 0.542773", \
-            "0.233231, 0.250263, 0.277739, 0.326572, 0.42328, 0.625235" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0240165, 0.0368644, 0.0640154, 0.122664, 0.248928, 0.515365", \
-            "0.0240045, 0.0368749, 0.0640055, 0.122656, 0.248653, 0.515366", \
-            "0.0241018, 0.0369458, 0.0640294, 0.122652, 0.248655, 0.515366", \
-            "0.0257251, 0.0381143, 0.0646648, 0.12288, 0.248665, 0.515366", \
-            "0.030845, 0.0427164, 0.0684728, 0.125498, 0.249572, 0.515378", \
-            "0.0403915, 0.0520931, 0.0754516, 0.129298, 0.251857, 0.517226" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0540226, 0.0669751, 0.0751761, 0.0800719, 0.084899, 0.0897223, 0.093362, 0.103679, 0.110467, 0.119802, 0.126323, 0.136088, 0.15153, 0.164489, 0.172093");
-            values ( \
-              "0.0229672, 0.065093, 0.078012, 0.0807979, 0.0804884, 0.0766029, 0.069616, 0.0415996, 0.0285195, 0.016736, 0.0113753, 0.0063022, 0.00249845, 0.00112933, 0.000941165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00455385");
-            index_3 ("0.0479815, 0.0737678, 0.0772871, 0.0848793, 0.0921644, 0.0996448, 0.107236, 0.114972, 0.126687, 0.137075, 0.149688, 0.16143, 0.176391, 0.193183, 0.206112, 0.22114");
-            values ( \
-              "0.000682997, 0.0986997, 0.10478, 0.111806, 0.113041, 0.109817, 0.101809, 0.0872929, 0.0611804, 0.0431059, 0.0273682, 0.0175024, 0.00970974, 0.00495377, 0.002909, 0.00174556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00960056");
-            index_3 ("0.0479705, 0.076279, 0.0835713, 0.089558, 0.100736, 0.104473, 0.109372, 0.114382, 0.124403, 0.133087, 0.141154, 0.155305, 0.16773, 0.177594, 0.185217, 0.194687, 0.206833, 0.21655, 0.229507, 0.238225, 0.245266, 0.254653, 0.272302, 0.280398, 0.292699, 0.3091, 0.341903, 0.391557, 0.452312");
-            values ( \
-              "0.00209102, 0.120071, 0.132259, 0.137692, 0.140772, 0.140333, 0.139138, 0.13723, 0.13209, 0.125978, 0.118482, 0.101043, 0.0834962, 0.0706997, 0.0616713, 0.0516435, 0.040596, 0.0332558, 0.0252509, 0.0208457, 0.0178346, 0.0144671, 0.00959321, 0.007955, 0.00596833, 0.00406799, 0.00177605, 0.00047327, 6.08706e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0202402");
-            index_3 ("0.057805, 0.076148, 0.0836674, 0.088996, 0.0996533, 0.112129, 0.1212, 0.145662, 0.183021, 0.199181, 0.235753, 0.289965, 0.333763, 0.372167, 0.403353, 0.445675, 0.468537, 0.527255, 0.594361, 0.600951");
-            values ( \
-              "0.0717846, 0.129112, 0.145069, 0.151486, 0.158978, 0.160609, 0.159578, 0.153445, 0.140525, 0.133332, 0.111036, 0.0722428, 0.0471019, 0.0311801, 0.0218371, 0.0132028, 0.00999918, 0.00482997, 0.00198536, 0.0018757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0426709");
-            index_3 ("0.0579975, 0.0810379, 0.0888991, 0.0994386, 0.11182, 0.119761, 0.148886, 0.24958, 0.302913, 0.355194, 0.407104, 0.516922, 0.601729, 0.655791, 0.733154, 0.811469, 0.870369, 0.977491, 1.05736, 1.13724, 1.1885");
-            values ( \
-              "0.0787828, 0.147655, 0.159708, 0.1689, 0.172544, 0.172984, 0.17058, 0.154541, 0.144559, 0.132109, 0.115445, 0.0760109, 0.0505818, 0.0380451, 0.0246398, 0.015565, 0.0108824, 0.00564164, 0.00340266, 0.00204881, 0.00170473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.08996");
-            index_3 ("0.0677381, 0.126822, 0.158123, 0.426411, 0.554135, 0.634007, 0.729395, 1.00423, 1.15688, 1.28782, 1.43515, 1.53231, 1.69205, 1.87825, 2.03799, 2.19774, 2.51722, 2.75684");
-            values ( \
-              "0.177781, 0.180223, 0.179132, 0.158385, 0.146699, 0.138067, 0.124379, 0.0764808, 0.0536835, 0.0384007, 0.025669, 0.0194851, 0.0122139, 0.00699409, 0.00428893, 0.00260783, 0.000929971, 0.000573271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0675779, 0.071907, 0.0760656, 0.0803864, 0.0835206, 0.0887387, 0.0936329, 0.0984594, 0.10341, 0.105204, 0.108888, 0.116106, 0.119497, 0.124018, 0.129855, 0.133374, 0.139882, 0.14313, 0.149627, 0.155368, 0.165114, 0.178108, 0.18796");
-            values ( \
-              "0.015002, 0.0386149, 0.0536342, 0.0650723, 0.070812, 0.078288, 0.0805752, 0.0807292, 0.0763506, 0.073392, 0.0643578, 0.0442375, 0.0367385, 0.0285405, 0.0205281, 0.0167279, 0.0113788, 0.00936006, 0.00631313, 0.00447522, 0.00249717, 0.00112255, 0.00071633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00455385");
-            index_3 ("0.0682691, 0.073669, 0.0770018, 0.0822238, 0.0864071, 0.0907129, 0.0982729, 0.105578, 0.113058, 0.116789, 0.120649, 0.128368, 0.140103, 0.150469, 0.160904, 0.167553, 0.174854, 0.181231, 0.189734, 0.196876, 0.206639, 0.219656, 0.244724, 0.24504");
-            values ( \
-              "0.0187967, 0.0550216, 0.0695527, 0.0870052, 0.0971686, 0.104831, 0.111823, 0.113048, 0.109824, 0.106594, 0.101812, 0.0873308, 0.0611727, 0.0431326, 0.0296779, 0.023155, 0.0174948, 0.013655, 0.0097366, 0.00732009, 0.0049452, 0.00289252, 0.000953811, 0.000946204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00960056");
-            index_3 ("0.0690928, 0.0757865, 0.0791285, 0.0818623, 0.0897441, 0.0961594, 0.103009, 0.11377, 0.118752, 0.125395, 0.132621, 0.140737, 0.153779, 0.164564, 0.190937, 0.207928, 0.220195, 0.230133, 0.243383, 0.258556, 0.267605, 0.285571, 0.306526, 0.32348, 0.357386, 0.376254");
-            values ( \
-              "0.0315222, 0.0717525, 0.087098, 0.0978315, 0.120277, 0.131212, 0.137776, 0.140764, 0.140154, 0.138271, 0.135013, 0.130176, 0.119466, 0.106559, 0.0708345, 0.0518203, 0.0406743, 0.0331718, 0.0249917, 0.0179087, 0.0146102, 0.0096441, 0.00592658, 0.00396108, 0.00169636, 0.00121509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0202402");
-            index_3 ("0.0698136, 0.0821126, 0.0894832, 0.095604, 0.102321, 0.112979, 0.124072, 0.133631, 0.144555, 0.156042, 0.196253, 0.213503, 0.22468, 0.247034, 0.302486, 0.342658, 0.374585, 0.396919, 0.41249, 0.43902, 0.469341, 0.491383, 0.525138, 0.570145, 0.650017, 0.729889");
-            values ( \
-              "0.0477715, 0.105866, 0.129692, 0.142658, 0.151835, 0.158807, 0.160591, 0.159579, 0.157404, 0.154261, 0.1405, 0.132837, 0.12688, 0.112463, 0.0727647, 0.0493132, 0.0351719, 0.0274049, 0.0229223, 0.0168057, 0.0116473, 0.00890804, 0.00587487, 0.00331377, 0.00110462, 0.000368059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0426709");
-            index_3 ("0.0705124, 0.0868129, 0.0946609, 0.102666, 0.11288, 0.125581, 0.137221, 0.170316, 0.268631, 0.316665, 0.368876, 0.421868, 0.531186, 0.560481, 0.618823, 0.66592, 0.698711, 0.759083, 0.78625, 0.840586, 0.888646, 0.953265, 1.03314, 1.11301, 1.19288, 1.4325");
-            values ( \
-              "0.0621147, 0.126422, 0.147613, 0.159833, 0.168827, 0.172626, 0.173033, 0.169576, 0.15352, 0.144551, 0.132137, 0.115096, 0.0758293, 0.0663838, 0.0497063, 0.0387891, 0.0324128, 0.0229786, 0.019592, 0.0141806, 0.0105794, 0.00711843, 0.00431951, 0.0025879, 0.00156843, 0.000327754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.08996");
-            index_3 ("0.080072, 0.126782, 0.140434, 0.171882, 0.409128, 0.541023, 0.621746, 0.683311, 0.763183, 1.01591, 1.1644, 1.30878, 1.45352, 1.53705, 1.6782, 1.78788, 1.92739, 2.08713, 2.24688, 2.56637, 2.80598");
-            values ( \
-              "0.168616, 0.178601, 0.180182, 0.179095, 0.160899, 0.149517, 0.141163, 0.13314, 0.1211, 0.076838, 0.0545272, 0.0376799, 0.0253474, 0.0200048, 0.0132653, 0.00957617, 0.00628445, 0.0038478, 0.0023358, 0.000828315, 0.000520839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0988268, 0.111286, 0.117315, 0.122521, 0.127407, 0.132229, 0.137436, 0.138992, 0.149869, 0.157835, 0.167053, 0.1754, 0.187216, 0.20295, 0.211644");
-            values ( \
-              "0.00430402, 0.0581373, 0.0709018, 0.0785989, 0.0805151, 0.0809521, 0.0761011, 0.0733895, 0.0442387, 0.0284573, 0.016813, 0.0102414, 0.00502668, 0.00194644, 0.00129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00455385");
-            index_3 ("0.102685, 0.106787, 0.110807, 0.116008, 0.120952, 0.124474, 0.132056, 0.139337, 0.146819, 0.15066, 0.154405, 0.161894, 0.170826, 0.181001, 0.188373, 0.194599, 0.2046, 0.209404, 0.217776, 0.227345, 0.233689, 0.243535, 0.256662, 0.280906");
-            values ( \
-              "0.028075, 0.0518645, 0.0699501, 0.0872711, 0.0989018, 0.10496, 0.11189, 0.1131, 0.109843, 0.106491, 0.101818, 0.0878317, 0.0673131, 0.0482266, 0.0372092, 0.0297467, 0.0204153, 0.0169748, 0.0122423, 0.00834144, 0.00647522, 0.00435626, 0.00253933, 0.000905144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00960056");
-            index_3 ("0.10254, 0.109691, 0.118988, 0.12352, 0.131041, 0.136761, 0.147515, 0.152479, 0.159097, 0.1665, 0.174472, 0.187516, 0.198288, 0.224671, 0.241652, 0.253925, 0.263873, 0.277137, 0.292282, 0.301316, 0.319297, 0.340279, 0.357258, 0.391216, 0.439824, 0.499705");
-            values ( \
-              "0.0232406, 0.0734963, 0.109243, 0.120634, 0.132962, 0.137873, 0.140897, 0.140255, 0.138265, 0.134914, 0.130223, 0.119427, 0.106576, 0.0708361, 0.0518273, 0.0406788, 0.0331686, 0.024979, 0.0179126, 0.0146162, 0.0096469, 0.00592493, 0.00395568, 0.00169306, 0.000448539, 7.84591e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0202402");
-            index_3 ("0.102563, 0.114026, 0.123509, 0.130247, 0.136288, 0.146761, 0.159393, 0.163509, 0.171742, 0.203517, 0.231374, 0.258643, 0.289782, 0.322303, 0.362656, 0.392004, 0.404025, 0.428066, 0.450012, 0.477777, 0.521963, 0.578091, 0.637961, 0.717833, 0.797705");
-            values ( \
-              "0.0294332, 0.0977567, 0.130003, 0.144746, 0.151667, 0.159326, 0.160876, 0.159868, 0.159205, 0.150373, 0.140235, 0.127102, 0.106267, 0.0819911, 0.0566442, 0.0418953, 0.0370935, 0.0281344, 0.0218564, 0.0157317, 0.00914272, 0.00451135, 0.00222775, 0.000588256, 0.000349207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0426709");
-            index_3 ("0.110158, 0.128318, 0.136528, 0.146926, 0.157861, 0.168751, 0.180833, 0.204136, 0.297325, 0.350499, 0.402711, 0.455691, 0.565014, 0.652646, 0.732583, 0.792918, 0.874365, 0.922463, 0.987137, 1.06701, 1.21519");
-            values ( \
-              "0.136543, 0.147433, 0.160489, 0.168779, 0.17234, 0.172852, 0.172316, 0.169358, 0.154463, 0.144558, 0.13213, 0.115094, 0.0758371, 0.0497151, 0.0324007, 0.0229733, 0.0141801, 0.0105856, 0.00712187, 0.00431343, 0.00176204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.08996");
-            index_3 ("0.116947, 0.116967, 0.339838, 0.474023, 0.601749, 0.681621, 0.777009, 1.05185, 1.20449, 1.27223, 1.33543, 1.40289, 1.48276, 1.57992, 1.6598, 1.73967, 1.82495, 1.92586, 2.0856, 2.24535, 2.56483, 2.80445");
-            values ( \
-              "1e-22, 0.185755, 0.169019, 0.158337, 0.146745, 0.138021, 0.124333, 0.0765259, 0.0537286, 0.0453224, 0.0384444, 0.0321785, 0.0257124, 0.0195279, 0.0155996, 0.0122567, 0.00951956, 0.00703666, 0.00433128, 0.00265001, 0.000971895, 0.000531519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.173637, 0.179175, 0.18639, 0.194976, 0.200719, 0.205974, 0.210417, 0.214997, 0.218804, 0.22759, 0.237618, 0.245452, 0.254114, 0.266098, 0.279322, 0.288164");
-            values ( \
-              "0.0105208, 0.0213998, 0.0438784, 0.0632786, 0.0722026, 0.0756065, 0.0768391, 0.0745582, 0.070011, 0.0473282, 0.0277108, 0.0178464, 0.0107337, 0.0052304, 0.00238403, 0.0017963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00455385");
-            index_3 ("0.182152, 0.192685, 0.194713, 0.196926, 0.198913, 0.200163, 0.202662, 0.203739, 0.204815, 0.205892, 0.206968, 0.209576, 0.210623, 0.21089, 0.211688, 0.215126, 0.216727, 0.218328, 0.220233, 0.222139, 0.224021, 0.225903, 0.227806, 0.22971, 0.231614, 0.233518, 0.234346, 0.23545, 0.237106, 0.238762, 0.24097, 0.242592, 0.2457, 0.249289, 0.252446, 0.257258, 0.261555, 0.265725, 0.269894, 0.275294, 0.279007, 0.280864, 0.284577, 0.286433, 0.289519, 0.294541, 0.298845, 0.302574, 0.307162, 0.312954");
-            values ( \
-              "0.0737642, 0.0740868, 0.080253, 0.0849651, 0.0897486, 0.093024, 0.100194, 0.101758, 0.103009, 0.103946, 0.104569, 0.104427, 0.104447, 0.104515, 0.10494, 0.107268, 0.108012, 0.10849, 0.108384, 0.108028, 0.107428, 0.106589, 0.105357, 0.103973, 0.102435, 0.100743, 0.0998076, 0.0980957, 0.0951947, 0.092114, 0.0877269, 0.0842972, 0.0771261, 0.0692923, 0.0627801, 0.053316, 0.0462224, 0.0400506, 0.0345793, 0.0282567, 0.024628, 0.0230085, 0.0200255, 0.018662, 0.0165846, 0.0134417, 0.011408, 0.00987703, 0.00824516, 0.00654348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00960056");
-            index_3 ("0.165816, 0.212759, 0.218343, 0.223477, 0.228335, 0.23805, 0.240896, 0.246589, 0.253884, 0.266997, 0.277604, 0.295832, 0.304128, 0.311333, 0.320939, 0.333322, 0.343594, 0.351481, 0.36396, 0.367508, 0.374602, 0.385284, 0.400585, 0.40718, 0.42037, 0.44675, 0.48961, 0.540476");
-            values ( \
-              "0.00293107, 0.128687, 0.134016, 0.136966, 0.138086, 0.137201, 0.136276, 0.133917, 0.129824, 0.119285, 0.106698, 0.0814339, 0.0708233, 0.0622616, 0.0519916, 0.0407224, 0.032991, 0.0279214, 0.0212765, 0.019682, 0.0168026, 0.0131954, 0.00926347, 0.00795368, 0.00583591, 0.00307645, 0.00100193, 0.000247733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0202402");
-            index_3 ("0.179887, 0.190703, 0.201376, 0.210163, 0.217708, 0.223218, 0.229115, 0.238554, 0.250085, 0.261614, 0.285481, 0.310672, 0.336225, 0.34151, 0.362649, 0.416693, 0.43199, 0.460685, 0.482505, 0.497587, 0.529895, 0.54458, 0.57395, 0.603655, 0.619698, 0.651786, 0.715962, 0.795834, 0.875706");
-            values ( \
-              "0.0525192, 0.0823094, 0.120066, 0.138754, 0.148498, 0.153715, 0.157019, 0.159088, 0.158505, 0.156149, 0.149114, 0.13997, 0.127917, 0.124808, 0.11075, 0.0721329, 0.0625997, 0.0469275, 0.0372705, 0.031579, 0.0218394, 0.0183865, 0.012927, 0.00900856, 0.00739639, 0.00495618, 0.0021277, 0.000705916, 0.000235533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0426709");
-            index_3 ("0.179851, 0.198499, 0.2101, 0.218087, 0.229771, 0.238661, 0.251491, 0.267111, 0.28354, 0.329882, 0.376723, 0.429894, 0.482104, 0.535107, 0.64442, 0.673725, 0.732063, 0.779134, 0.811905, 0.872311, 0.899496, 0.953867, 1.00189, 1.06646, 1.14633, 1.2262, 1.30608, 1.38595, 1.54569");
-            values ( \
-              "0.0485082, 0.116254, 0.144871, 0.157091, 0.167551, 0.170918, 0.172288, 0.171397, 0.169322, 0.162179, 0.154412, 0.144557, 0.132132, 0.115088, 0.0758321, 0.0663745, 0.049708, 0.0387963, 0.0324151, 0.0229747, 0.0195941, 0.0141721, 0.0105823, 0.00712387, 0.0043165, 0.00259238, 0.00156486, 0.00093143, 0.000331712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.08996");
-            index_3 ("0.188927, 0.210785, 0.2216, 0.23982, 0.253207, 0.268661, 0.343512, 0.522303, 0.654166, 0.734888, 0.796454, 0.876326, 1.12906, 1.27754, 1.42192, 1.56666, 1.6502, 1.79134, 1.90103, 2.04053, 2.20027, 2.36001, 2.6795, 2.91912");
-            values ( \
-              "0.146824, 0.14959, 0.166081, 0.177423, 0.179622, 0.179724, 0.174744, 0.160829, 0.149451, 0.141101, 0.133202, 0.121039, 0.0768938, 0.0545821, 0.0377275, 0.0253934, 0.0200489, 0.0133084, 0.00961767, 0.00632486, 0.00388739, 0.00237462, 0.000865663, 0.000484562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.325141, 0.331693, 0.334805, 0.337023, 0.341461, 0.359234, 0.366219, 0.372324, 0.378045, 0.383701, 0.389352, 0.394259, 0.399422, 0.402231, 0.407849, 0.414988, 0.420413, 0.42402, 0.4306, 0.436305, 0.443195, 0.452382, 0.462489");
-            values ( \
-              "0.00391399, 0.00748222, 0.0102624, 0.0132008, 0.0194303, 0.0508228, 0.0606178, 0.0667127, 0.0691773, 0.0684835, 0.0611466, 0.0491582, 0.0378903, 0.0327626, 0.0242382, 0.0164362, 0.0120516, 0.00981106, 0.00669889, 0.00480325, 0.00322898, 0.00189342, 0.00117796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00455385");
-            index_3 ("0.341564, 0.355569, 0.36041, 0.364216, 0.367135, 0.370054, 0.373946, 0.376918, 0.379312, 0.379996, 0.381388, 0.382779, 0.384171, 0.385563, 0.387582, 0.389602, 0.391621, 0.393641, 0.39566, 0.397679, 0.401718, 0.402233, 0.40292, 0.404293, 0.405666, 0.407039, 0.408023, 0.412945, 0.418852, 0.426104, 0.427256, 0.429559, 0.432788, 0.436942, 0.439019, 0.442216, 0.443849, 0.445482, 0.447115, 0.448748, 0.450381, 0.453646, 0.458745, 0.461438, 0.462515, 0.465746, 0.470055, 0.472209, 0.475874, 0.482489");
-            values ( \
-              "0.0519334, 0.056693, 0.0679285, 0.076207, 0.081578, 0.0862939, 0.0918469, 0.0955974, 0.0982666, 0.0989648, 0.100173, 0.101179, 0.101983, 0.102586, 0.102193, 0.101709, 0.101133, 0.100465, 0.0997058, 0.0988545, 0.0968768, 0.0964217, 0.0955922, 0.0937428, 0.09164, 0.0893717, 0.0875581, 0.0780705, 0.0657623, 0.052136, 0.0502546, 0.0466361, 0.041887, 0.0363322, 0.0337893, 0.0301546, 0.0283163, 0.0266426, 0.0250686, 0.0236519, 0.0222963, 0.0197682, 0.0162928, 0.0145661, 0.0139582, 0.0122774, 0.0104464, 0.00961607, 0.00835781, 0.00637515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00960056");
-            index_3 ("0.324585, 0.34069, 0.373689, 0.382463, 0.396094, 0.409227, 0.422349, 0.436729, 0.476308, 0.503159, 0.53602, 0.555979, 0.589799, 0.615818");
-            values ( \
-              "0.00650731, 0.0257514, 0.108973, 0.121917, 0.13164, 0.13183, 0.127142, 0.116513, 0.0668974, 0.0402412, 0.0202164, 0.0129657, 0.00592268, 0.00405419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0202402");
-            index_3 ("0.340376, 0.352557, 0.366012, 0.381334, 0.391602, 0.405996, 0.414951, 0.422418, 0.42993, 0.454195, 0.478706, 0.493785, 0.505572, 0.525082, 0.584619, 0.621087, 0.640834, 0.664614, 0.691052, 0.708435, 0.73919, 0.765197, 0.794432, 0.833412, 0.911373, 0.991245, 1.07112");
-            values ( \
-              "0.0591418, 0.0606119, 0.101545, 0.134475, 0.146298, 0.153849, 0.154588, 0.15416, 0.153071, 0.146997, 0.138744, 0.132471, 0.126599, 0.115221, 0.0731749, 0.0515571, 0.0420261, 0.0324631, 0.0240473, 0.0196571, 0.0136411, 0.00994151, 0.00694568, 0.00425067, 0.00146881, 0.000488049, 0.000161018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0426709");
-            index_3 ("0.340674, 0.382129, 0.391698, 0.405596, 0.424389, 0.438541, 0.452678, 0.526317, 0.59991, 0.652078, 0.677145, 0.814775, 0.902795, 0.979699, 1.0423, 1.12723, 1.17297, 1.29048, 1.44107");
-            values ( \
-              "0.0455562, 0.141466, 0.154595, 0.164756, 0.168485, 0.16822, 0.166949, 0.157238, 0.144561, 0.132142, 0.124546, 0.0757183, 0.0495264, 0.0328208, 0.022977, 0.0138853, 0.0105111, 0.00509056, 0.0020346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.08996");
-            index_3 ("0.357713, 0.407995, 0.42244, 0.43818, 0.45417, 0.505807, 0.544753, 0.581693, 0.744269, 0.824141, 0.904863, 0.966428, 1.0463, 1.29903, 1.44751, 1.5919, 1.65676, 1.76448, 1.82017, 1.96131, 2.071, 2.2105, 2.37024, 2.52999, 2.67098");
-            values ( \
-              "0.151851, 0.171592, 0.175753, 0.176754, 0.176587, 0.173383, 0.172176, 0.169497, 0.156499, 0.149419, 0.141068, 0.133236, 0.121005, 0.0769303, 0.0546191, 0.0377674, 0.031729, 0.0235344, 0.0200895, 0.0133492, 0.00965908, 0.00636679, 0.00392968, 0.00241729, 0.00168206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.677988, 0.688261, 0.690572, 0.698393, 0.712548, 0.7296, 0.737549, 0.744726, 0.751543, 0.758361, 0.769008, 0.778546, 0.787429, 0.795883, 0.807646, 0.816023, 0.822651, 0.835905, 0.846695");
-            values ( \
-              "0.00717868, 0.00796813, 0.0093686, 0.0155525, 0.030024, 0.0458336, 0.0521169, 0.0562307, 0.0578881, 0.0543183, 0.0352727, 0.0221924, 0.014033, 0.00888039, 0.00462887, 0.00294345, 0.00206466, 0.00102173, 0.000668923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00455385");
-            index_3 ("0.672293, 0.692895, 0.697034, 0.704734, 0.731673, 0.743258, 0.753237, 0.762486, 0.77161, 0.780723, 0.790029, 0.798589, 0.804003, 0.810917, 0.821645, 0.828858, 0.835413, 0.844153, 0.851588, 0.861808, 0.875434, 0.900223, 0.929713");
-            values ( \
-              "0.00142478, 0.0144989, 0.0185578, 0.0275401, 0.0636792, 0.0779115, 0.0865166, 0.0906604, 0.0892936, 0.0798481, 0.062793, 0.0485624, 0.0408254, 0.0323235, 0.02212, 0.0169106, 0.0132128, 0.00944882, 0.00706301, 0.00474019, 0.002767, 0.000959523, 0.00027948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00960056");
-            index_3 ("0.672499, 0.692154, 0.700191, 0.711493, 0.742838, 0.749184, 0.761877, 0.770548, 0.77901, 0.793076, 0.807139, 0.81498, 0.840948, 0.862472, 0.874542, 0.883959, 0.896516, 0.913434, 0.923484, 0.940732, 0.960323, 0.975904, 1.00707, 1.01756");
-            values ( \
-              "0.0041681, 0.0163734, 0.0253642, 0.0414011, 0.0935849, 0.102744, 0.116233, 0.121382, 0.123579, 0.122294, 0.11476, 0.107292, 0.0743254, 0.0507756, 0.0401242, 0.0331492, 0.0254386, 0.0176058, 0.0140676, 0.00947403, 0.00603361, 0.00418466, 0.0019469, 0.00163766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0202402");
-            index_3 ("0.676082, 0.709301, 0.720628, 0.747447, 0.759481, 0.775495, 0.795725, 0.800289, 0.809418, 0.82493, 0.849686, 0.863107, 0.878147, 0.906325, 0.949775, 0.964584, 0.982883, 1.00623, 1.02449, 1.04885, 1.06597, 1.07968, 1.09796, 1.1312, 1.14639, 1.16921, 1.19963, 1.2302, 1.2967, 1.35744, 1.43731");
-            values ( \
-              "0.00253246, 0.0413564, 0.0612526, 0.11233, 0.129701, 0.143556, 0.149426, 0.149418, 0.148702, 0.145785, 0.138442, 0.133098, 0.125725, 0.107786, 0.0768561, 0.0672963, 0.0565363, 0.0446283, 0.0367686, 0.0280781, 0.0231026, 0.0197138, 0.0158977, 0.0106248, 0.00883595, 0.00668181, 0.00457599, 0.00308676, 0.00138611, 0.000630782, 0.000200872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0426709");
-            index_3 ("0.680821, 0.721655, 0.746924, 0.761117, 0.77484, 0.794695, 0.814864, 0.822203, 0.836881, 0.862575, 0.918217, 0.96999, 1.02238, 1.07755, 1.18629, 1.21592, 1.25609, 1.29691, 1.3378, 1.39351, 1.41417, 1.47043, 1.53472, 1.60922, 1.6683, 1.74817, 1.82804, 1.86476");
-            values ( \
-              "0.00996718, 0.0653169, 0.117077, 0.14, 0.153865, 0.163726, 0.165737, 0.165698, 0.164443, 0.161523, 0.152977, 0.143717, 0.131442, 0.11395, 0.0753754, 0.0658155, 0.0544869, 0.0442002, 0.0354741, 0.0258758, 0.0229869, 0.0165274, 0.0111886, 0.00710026, 0.00491563, 0.00296203, 0.00178194, 0.00156756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.08996");
-            index_3 ("0.720138, 0.764146, 0.775796, 0.796467, 0.815913, 0.838095, 0.902642, 1.0922, 1.23422, 1.28647, 1.33747, 1.41734, 1.67002, 1.81849, 1.96292, 2.02777, 2.13548, 2.19114, 2.33229, 2.44199, 2.58152, 2.74127, 2.90101, 3.06869");
-            values ( \
-              "0.137718, 0.148571, 0.160634, 0.171633, 0.175064, 0.175425, 0.171929, 0.15764, 0.145297, 0.139803, 0.133246, 0.120984, 0.0769355, 0.054625, 0.0377662, 0.0317272, 0.0235338, 0.0200918, 0.0133508, 0.00966005, 0.00636717, 0.00393027, 0.00241803, 0.00154237" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00194173, 0.00194231, 0.00194283, 0.00194318, 0.00194338, 0.00194349", \
-            "0.00222254, 0.00222266, 0.0022229, 0.00222316, 0.00222333, 0.00222344", \
-            "0.00240123, 0.0024012, 0.00240119, 0.00240124, 0.00240131, 0.00240137", \
-            "0.00254441, 0.00254441, 0.00254441, 0.00254441, 0.00254443, 0.00254445", \
-            "0.00266604, 0.00266597, 0.00266592, 0.00266592, 0.00266594, 0.00266597", \
-            "0.00276328, 0.00276324, 0.00276319, 0.00276314, 0.00276312, 0.00276313" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00234687, 0.00234699, 0.0023474, 0.00234781, 0.00234811, 0.00234827", \
-            "0.00238669, 0.00238644, 0.00238625, 0.00238624, 0.00238632, 0.0023864", \
-            "0.00244562, 0.00244539, 0.00244517, 0.00244498, 0.00244488, 0.00244484", \
-            "0.00269914, 0.00269863, 0.00269803, 0.00269747, 0.00269708, 0.00269686", \
-            "0.00314536, 0.00317072, 0.00318477, 0.00319262, 0.00319564, 0.00319785", \
-            "0.00309234, 0.00309226, 0.00308887, 0.00308154, 0.0030906, 0.00309315" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0464012, 0.0600972, 0.0666628, 0.0706148, 0.0744366, 0.0782196, 0.0820012, 0.0837533, 0.0864627, 0.0942198, 0.0982227, 0.103253, 0.108967, 0.113617, 0.117615");
-            values ( \
-              "-0.00194354, -0.0825834, -0.095908, -0.100675, -0.103114, -0.102609, -0.0969407, -0.0921324, -0.080554, -0.0389976, -0.0244082, -0.0129285, -0.0061227, -0.00326524, -0.00216551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00455385");
-            index_3 ("0.0502591, 0.0575566, 0.0586967, 0.0618996, 0.0688013, 0.0750666, 0.0810107, 0.0868414, 0.0926687, 0.098799, 0.103028, 0.117854, 0.124148, 0.130449, 0.136251, 0.146107, 0.155467");
-            values ( \
-              "-0.00769617, -0.0947937, -0.099257, -0.110052, -0.126514, -0.135566, -0.140205, -0.141263, -0.138664, -0.129405, -0.117392, -0.0521751, -0.0332012, -0.0205107, -0.0129315, -0.00574766, -0.00282165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00960056");
-            index_3 ("0.0470301, 0.0684813, 0.0748345, 0.079608, 0.0886114, 0.0925702, 0.0999811, 0.110043, 0.120136, 0.126925, 0.131229, 0.139837, 0.158506, 0.164951, 0.176289, 0.182301, 0.190319, 0.198913, 0.212709, 0.231104, 0.241491");
-            values ( \
-              "-0.0165364, -0.14471, -0.1579, -0.163338, -0.170817, -0.171993, -0.172685, -0.170564, -0.164775, -0.158121, -0.152356, -0.13453, -0.0783766, -0.0621571, -0.0399687, -0.0312494, -0.0222323, -0.0153248, -0.00829856, -0.0034703, -0.00242251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0202402");
-            index_3 ("0.0477944, 0.0676115, 0.0748835, 0.0794594, 0.0886112, 0.0990253, 0.108629, 0.11787, 0.136788, 0.156153, 0.179742, 0.184487, 0.197436, 0.204355, 0.218192, 0.239772, 0.253656, 0.26439, 0.278703, 0.287324, 0.303234, 0.321418, 0.331125, 0.345999, 0.365831, 0.405495, 0.456831");
-            values ( \
-              "-0.0121889, -0.155388, -0.170677, -0.178073, -0.187096, -0.192341, -0.193687, -0.193812, -0.191001, -0.18585, -0.17607, -0.173421, -0.164217, -0.157461, -0.138449, -0.10159, -0.0800127, -0.0656173, -0.0495561, -0.0415884, -0.0297926, -0.0200183, -0.0161624, -0.0115948, -0.0073631, -0.00278502, -0.00070945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0426709");
-            index_3 ("0.0484729, 0.0667055, 0.0741161, 0.0886193, 0.0995824, 0.1154, 0.136949, 0.212753, 0.283719, 0.311374, 0.33619, 0.380222, 0.472957, 0.513115, 0.570221, 0.624998, 0.659464, 0.714962, 0.721685");
-            values ( \
-              "-0.0132182, -0.155386, -0.178389, -0.197391, -0.203281, -0.206538, -0.206559, -0.198482, -0.186437, -0.179269, -0.169896, -0.142345, -0.0677761, -0.0458989, -0.025452, -0.0140736, -0.00959653, -0.00507854, -0.00479835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.08996");
-            index_3 ("0.0611306, 0.0918849, 0.106821, 0.121242, 0.138896, 0.204866, 0.29288, 0.453888, 0.538483, 0.603621, 0.628224, 0.674409, 0.730501, 0.849744, 0.91229, 1.0031, 1.05056, 1.09802, 1.16316, 1.20831, 1.27847, 1.34361, 1.40875, 1.53902, 1.55749");
-            values ( \
-              "-0.203025, -0.205135, -0.210809, -0.21314, -0.213738, -0.211219, -0.206495, -0.19555, -0.187218, -0.177727, -0.173112, -0.160847, -0.139724, -0.0899921, -0.0686105, -0.0447946, -0.0354798, -0.0278478, -0.0199476, -0.0156992, -0.0108247, -0.00767709, -0.00534611, -0.00261523, -0.00247291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0632672, 0.0730766, 0.0773327, 0.0820357, 0.0859914, 0.0898057, 0.0936004, 0.0973779, 0.0990416, 0.110922, 0.114828, 0.11964, 0.125051, 0.12977, 0.135795");
-            values ( \
-              "-0.00390301, -0.0754637, -0.0873588, -0.0959384, -0.100737, -0.103108, -0.102538, -0.0969404, -0.0923822, -0.0335344, -0.0209767, -0.0113305, -0.00557632, -0.00294558, -0.00147184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00455385");
-            index_3 ("0.0635562, 0.0772321, 0.0812016, 0.0904033, 0.10218, 0.10894, 0.114138, 0.118772, 0.135025, 0.14001, 0.146697, 0.15133, 0.158823, 0.168712");
-            values ( \
-              "-0.0109227, -0.109075, -0.121041, -0.135971, -0.141579, -0.137532, -0.1294, -0.115878, -0.0459231, -0.0319338, -0.0190655, -0.0131929, -0.00716497, -0.00306676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00960056");
-            index_3 ("0.0641291, 0.077498, 0.0838276, 0.0893436, 0.0949584, 0.105287, 0.109907, 0.115349, 0.125366, 0.130607, 0.135706, 0.146102, 0.147572, 0.153449, 0.168879, 0.175022, 0.18112, 0.189249, 0.193549, 0.198979, 0.206217, 0.212312, 0.218436, 0.2266, 0.242929, 0.267254, 0.296682");
-            values ( \
-              "-0.00251301, -0.126897, -0.145218, -0.156034, -0.163721, -0.171116, -0.172341, -0.172583, -0.170643, -0.168062, -0.164568, -0.153111, -0.150866, -0.138992, -0.0925847, -0.07523, -0.0602735, -0.0439294, -0.0369511, -0.0295397, -0.021723, -0.0166795, -0.0127642, -0.00887631, -0.00413338, -0.00120697, -0.000242985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0202402");
-            index_3 ("0.0648134, 0.0804387, 0.0899993, 0.0948174, 0.103995, 0.114378, 0.123977, 0.133219, 0.148801, 0.171502, 0.190935, 0.199885, 0.209432, 0.219491, 0.232902, 0.262342, 0.284018, 0.299508, 0.307294, 0.320222, 0.33746, 0.359807, 0.377496, 0.412873, 0.43454");
-            values ( \
-              "-0.0164838, -0.147231, -0.170691, -0.177993, -0.187375, -0.192218, -0.193858, -0.193688, -0.19165, -0.185782, -0.178212, -0.173384, -0.166949, -0.157699, -0.139469, -0.0899529, -0.0604447, -0.0443483, -0.0377815, -0.0287559, -0.0197223, -0.0120101, -0.00802443, -0.00342472, -0.00234146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0426709");
-            index_3 ("0.0655381, 0.0821175, 0.0898286, 0.10382, 0.114962, 0.131828, 0.152324, 0.189867, 0.24652, 0.267504, 0.307126, 0.344006, 0.366766, 0.397113, 0.457803, 0.489619, 0.533277, 0.576966, 0.610099, 0.644074, 0.670024, 0.721924, 0.787062, 0.794732");
-            values ( \
-              "-0.0293378, -0.156205, -0.178872, -0.196984, -0.203068, -0.206427, -0.206349, -0.203009, -0.195631, -0.192324, -0.184384, -0.173199, -0.162491, -0.140617, -0.0889739, -0.0668366, -0.0436583, -0.0277906, -0.0194641, -0.0134575, -0.0100996, -0.00559001, -0.00260281, -0.00248236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.08996");
-            index_3 ("0.0738668, 0.0916554, 0.102253, 0.116026, 0.128464, 0.141503, 0.1542, 0.202675, 0.286145, 0.3876, 0.452738, 0.553792, 0.61893, 0.643533, 0.689717, 0.74581, 0.865053, 0.927604, 0.967821, 1.01979, 1.06331, 1.1117, 1.17684, 1.22443, 1.31149, 1.37663, 1.44177, 1.57204, 1.76746");
-            values ( \
-              "-0.179496, -0.184818, -0.199454, -0.208541, -0.212089, -0.213374, -0.213651, -0.211987, -0.207653, -0.201516, -0.196811, -0.187139, -0.177805, -0.173037, -0.160921, -0.139651, -0.0899219, -0.0685623, -0.0569942, -0.0444616, -0.0359035, -0.0281236, -0.0200843, -0.0156602, -0.0098608, -0.00695431, -0.0048732, -0.0023911, -0.000829749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.099873, 0.113634, 0.11989, 0.123861, 0.127696, 0.131489, 0.135279, 0.137008, 0.148976, 0.15264, 0.157521, 0.163142, 0.16806, 0.172497");
-            values ( \
-              "-0.00545343, -0.08299, -0.0955984, -0.100073, -0.102988, -0.102249, -0.0969215, -0.0920883, -0.0329876, -0.0212447, -0.0113839, -0.005449, -0.00279915, -0.00177244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00455385");
-            index_3 ("0.101894, 0.115062, 0.119083, 0.128304, 0.134262, 0.140103, 0.146789, 0.152071, 0.157379, 0.171043, 0.177037, 0.183023, 0.189529, 0.201463, 0.207011");
-            values ( \
-              "-0.0164775, -0.108152, -0.120239, -0.135537, -0.139603, -0.141375, -0.137519, -0.129331, -0.113202, -0.0525173, -0.0342206, -0.0216925, -0.0129369, -0.00479307, -0.00336084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00960056");
-            index_3 ("0.101994, 0.115336, 0.121704, 0.127364, 0.132861, 0.143217, 0.153252, 0.163283, 0.169083, 0.173629, 0.184521, 0.188118, 0.195311, 0.205877, 0.21884, 0.229781, 0.240929, 0.252651, 0.259722, 0.273864, 0.294515");
-            values ( \
-              "-0.0036753, -0.125869, -0.144638, -0.155956, -0.163438, -0.170994, -0.172541, -0.170605, -0.16772, -0.164544, -0.152391, -0.146107, -0.128321, -0.095414, -0.0607387, -0.0396205, -0.024951, -0.015021, -0.0109927, -0.00574049, -0.00221788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0202402");
-            index_3 ("0.10237, 0.120998, 0.128113, 0.132825, 0.14225, 0.152387, 0.161989, 0.171237, 0.187025, 0.209516, 0.228947, 0.233173, 0.250804, 0.257085, 0.269646, 0.302803, 0.318933, 0.328111, 0.340041, 0.349641, 0.361226, 0.377518, 0.394356, 0.407238, 0.433002, 0.480512, 0.536576");
-            values ( \
-              "-0.0237802, -0.154892, -0.170558, -0.177913, -0.187426, -0.192201, -0.193817, -0.193685, -0.191605, -0.185753, -0.178248, -0.176045, -0.164211, -0.15816, -0.141483, -0.0862022, -0.0641501, -0.0536551, -0.042157, -0.0345367, -0.0269716, -0.0188714, -0.0129929, -0.00971257, -0.00533074, -0.00157346, -0.000342466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0426709");
-            index_3 ("0.110129, 0.11998, 0.133258, 0.142052, 0.151933, 0.158462, 0.165923, 0.178135, 0.208836, 0.227755, 0.265449, 0.305393, 0.345016, 0.362843, 0.381859, 0.404724, 0.43521, 0.492805, 0.53047, 0.55634, 0.577462, 0.60192, 0.634531, 0.66166, 0.702856, 0.757784, 0.822922, 0.953198");
-            values ( \
-              "-0.154296, -0.157838, -0.186848, -0.19642, -0.202511, -0.20452, -0.205818, -0.206572, -0.204856, -0.20288, -0.198334, -0.192318, -0.18439, -0.179605, -0.173213, -0.162452, -0.140441, -0.0912152, -0.0650129, -0.0506192, -0.0409701, -0.0318525, -0.0225127, -0.0167999, -0.0106839, -0.00569943, -0.00265785, -0.000570552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.08996");
-            index_3 ("0.10797, 0.124625, 0.138511, 0.153905, 0.165542, 0.178983, 0.192073, 0.213164, 0.346063, 0.425473, 0.50707, 0.591665, 0.656803, 0.681406, 0.727591, 0.783683, 0.902924, 0.965477, 1.00569, 1.05766, 1.10118, 1.14957, 1.21471, 1.2623, 1.34934, 1.41448, 1.47962, 1.60989, 1.80531");
-            values ( \
-              "-0.102967, -0.175054, -0.198227, -0.208787, -0.211832, -0.213487, -0.213563, -0.213246, -0.206463, -0.201569, -0.195512, -0.187181, -0.177762, -0.173079, -0.160877, -0.139702, -0.0899765, -0.0685976, -0.0569596, -0.0444953, -0.0359328, -0.0280951, -0.0201119, -0.0156428, -0.00984611, -0.00697092, -0.00485878, -0.00237736, -0.00084241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.186093, 0.194397, 0.201024, 0.20371, 0.210237, 0.216941, 0.220934, 0.224928, 0.226825, 0.229448, 0.238405, 0.241418, 0.245642, 0.250269, 0.253554, 0.260124, 0.268673, 0.279287");
-            values ( \
-              "-0.000236037, -0.0466356, -0.0692058, -0.0762152, -0.0889965, -0.0967098, -0.0981249, -0.0937756, -0.0891785, -0.0789003, -0.0341552, -0.0240069, -0.0141893, -0.00782151, -0.0050752, -0.00205936, -0.000639849, -0.000192038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00455385");
-            index_3 ("0.187929, 0.196419, 0.202851, 0.206775, 0.210289, 0.217437, 0.221998, 0.226739, 0.229686, 0.23566, 0.241864, 0.243161, 0.248348, 0.260473, 0.266796, 0.269951, 0.274156, 0.27945, 0.282725, 0.289274, 0.301574, 0.315878");
-            values ( \
-              "-0.00280114, -0.0672768, -0.0936601, -0.10549, -0.113949, -0.127362, -0.133417, -0.136916, -0.13766, -0.136478, -0.128097, -0.12529, -0.107649, -0.0539459, -0.0344477, -0.027207, -0.0196328, -0.0129019, -0.00991201, -0.00575695, -0.00191412, -0.000515312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00960056");
-            index_3 ("0.187489, 0.203155, 0.209105, 0.217792, 0.222255, 0.230067, 0.232888, 0.238077, 0.243087, 0.253236, 0.263395, 0.269583, 0.274489, 0.2843, 0.300895, 0.309464, 0.320033, 0.33068, 0.338986, 0.347651, 0.354293, 0.367576, 0.385083");
-            values ( \
-              "-0.00238343, -0.108027, -0.128244, -0.148961, -0.156786, -0.165638, -0.167563, -0.169893, -0.170816, -0.169677, -0.164361, -0.158529, -0.152159, -0.131216, -0.0808486, -0.0593945, -0.0392727, -0.0252458, -0.0176437, -0.0120864, -0.0089944, -0.00488899, -0.00239116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0202402");
-            index_3 ("0.175323, 0.233031, 0.242277, 0.252023, 0.261262, 0.276225, 0.299597, 0.319057, 0.327668, 0.340884, 0.348945, 0.365103, 0.382398, 0.408183, 0.42976, 0.451848, 0.47483, 0.488646, 0.516277, 0.551028");
-            values ( \
-              "-0.00853761, -0.184167, -0.189918, -0.192657, -0.192969, -0.191553, -0.185644, -0.178263, -0.173563, -0.164207, -0.156186, -0.132734, -0.10301, -0.0652318, -0.0425036, -0.0266845, -0.0160997, -0.0118117, -0.00620094, -0.00294127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0426709");
-            index_3 ("0.195262, 0.214246, 0.226177, 0.233184, 0.242735, 0.254356, 0.267457, 0.280274, 0.317829, 0.359787, 0.395466, 0.435087, 0.45297, 0.472044, 0.494579, 0.524625, 0.589654, 0.629365, 0.667861, 0.685204, 0.719892, 0.751029, 0.801595, 0.866733, 0.931871, 0.935953");
-            values ( \
-              "-0.0945735, -0.158116, -0.18457, -0.193379, -0.200473, -0.204626, -0.20611, -0.206052, -0.202895, -0.19773, -0.192319, -0.18439, -0.17959, -0.17317, -0.162578, -0.140996, -0.0860121, -0.0597666, -0.040799, -0.0341475, -0.0236332, -0.0168936, -0.00966851, -0.00453606, -0.00210604, -0.0020541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.08996");
-            index_3 ("0.202892, 0.25623, 0.269353, 0.282646, 0.328374, 0.43665, 0.51606, 0.597657, 0.682252, 0.74739, 0.771994, 0.818178, 0.87427, 0.993512, 1.05606, 1.09628, 1.14825, 1.19177, 1.24016, 1.30529, 1.35288, 1.43994, 1.50508, 1.57021, 1.70049, 1.8959");
-            values ( \
-              "-0.186066, -0.211005, -0.212961, -0.21346, -0.212098, -0.206411, -0.201521, -0.19547, -0.187141, -0.177802, -0.17304, -0.160916, -0.13966, -0.0899335, -0.0685684, -0.0569885, -0.0444675, -0.0359085, -0.0281196, -0.0200886, -0.0156595, -0.00986091, -0.00695554, -0.00487308, -0.00239103, -0.000829605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.369597, 0.379127, 0.384001, 0.400535, 0.410864, 0.415512, 0.420125, 0.425109, 0.435054, 0.439164, 0.445455, 0.450462, 0.455802, 0.464646, 0.466769");
-            values ( \
-              "-0.00730434, -0.0243913, -0.0365234, -0.0675941, -0.0821122, -0.085167, -0.0836304, -0.0723582, -0.0310255, -0.019606, -0.00917508, -0.00492983, -0.00250508, -0.000803906, -0.000742907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00455385");
-            index_3 ("0.372021, 0.392598, 0.402827, 0.410986, 0.418199, 0.424949, 0.431535, 0.438113, 0.441472, 0.445564, 0.459876, 0.465218, 0.472368, 0.476871, 0.484194, 0.493957, 0.496528");
-            values ( \
-              "-0.0117513, -0.0676076, -0.0923669, -0.1087, -0.118658, -0.124065, -0.125252, -0.12001, -0.113767, -0.100946, -0.0452192, -0.0310736, -0.0181747, -0.0128244, -0.00717381, -0.00316552, -0.00272548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00960056");
-            index_3 ("0.375862, 0.401999, 0.416113, 0.42801, 0.439059, 0.449827, 0.460582, 0.466668, 0.471866, 0.48226, 0.498331, 0.507947, 0.518853, 0.530379, 0.541087, 0.559214, 0.579055");
-            values ( \
-              "-0.0274907, -0.105432, -0.13756, -0.152908, -0.159869, -0.161634, -0.158437, -0.153897, -0.148166, -0.127873, -0.0810528, -0.0576524, -0.0378953, -0.0236365, -0.0149339, -0.006647, -0.0027317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0202402");
-            index_3 ("0.37227, 0.387745, 0.412069, 0.425314, 0.438531, 0.449187, 0.458501, 0.47502, 0.518183, 0.53958, 0.561271, 0.607737, 0.629007, 0.650267, 0.683306, 0.709065");
-            values ( \
-              "-0.0283137, -0.0624217, -0.139968, -0.164277, -0.177833, -0.183487, -0.185857, -0.186376, -0.176029, -0.163781, -0.137146, -0.0643973, -0.0421933, -0.0269453, -0.0130114, -0.00788924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0426709");
-            index_3 ("0.358736, 0.438543, 0.452534, 0.465505, 0.477569, 0.496487, 0.505709, 0.522807, 0.536744, 0.554316, 0.593677, 0.613566, 0.634466, 0.675703, 0.69009, 0.706533, 0.766871, 0.791401, 0.815902, 0.84857, 0.862133, 0.887483, 0.916454, 0.941037, 0.965721, 0.998632, 1.06377, 1.12891, 1.19405, 1.25918");
-            values ( \
-              "-0.00419977, -0.188391, -0.19648, -0.200096, -0.201096, -0.201111, -0.200357, -0.200557, -0.19983, -0.198018, -0.192297, -0.188674, -0.184058, -0.171004, -0.164124, -0.153798, -0.102664, -0.0834042, -0.0667571, -0.0486107, -0.0424458, -0.0327237, -0.0240875, -0.0184774, -0.0141274, -0.00981246, -0.00459785, -0.00214176, -0.000988306, -0.000459831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.08996");
-            index_3 ("0.387813, 0.424477, 0.440349, 0.467021, 0.498952, 0.505844, 0.526686, 0.540482, 0.58566, 0.670178, 0.779501, 0.828879, 0.880556, 0.945694, 0.970297, 1.01648, 1.19182, 1.25437, 1.34655, 1.43846, 1.5036, 1.55118, 1.63824, 1.70338, 1.76851, 1.89879, 2.0942");
-            values ( \
-              "-0.107645, -0.176636, -0.195068, -0.207309, -0.209782, -0.209046, -0.21079, -0.210886, -0.209109, -0.204332, -0.196812, -0.192571, -0.187136, -0.177807, -0.173035, -0.160922, -0.0899278, -0.0685636, -0.0444627, -0.0281247, -0.0200839, -0.0156643, -0.00986573, -0.00695111, -0.00487776, -0.00239563, -0.000825112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.782299, 0.790423, 0.794467, 0.799675, 0.811889, 0.827387, 0.834703, 0.841256, 0.847321, 0.853177, 0.859235, 0.872976, 0.879291, 0.882604, 0.888301, 0.895898, 0.899807");
-            values ( \
-              "-0.00708915, -0.0102465, -0.0134152, -0.0184438, -0.0338434, -0.0498338, -0.0565998, -0.0620839, -0.0659135, -0.0672359, -0.0608154, -0.0220245, -0.0112969, -0.00780742, -0.00407056, -0.00165639, -0.00122921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00455385");
-            index_3 ("0.785523, 0.789319, 0.795344, 0.79676, 0.79959, 0.805251, 0.810431, 0.815969, 0.825162, 0.829971, 0.840824, 0.843293, 0.84823, 0.85009, 0.853809, 0.858419, 0.866228, 0.873894, 0.88155, 0.882084, 0.885289, 0.889562, 0.893037, 0.896512, 0.901147, 0.903568, 0.904898, 0.907557, 0.912877, 0.915371, 0.916605, 0.919074, 0.92401, 0.933882, 0.936443");
-            values ( \
-              "-0.0121418, -0.0147226, -0.0190572, -0.02107, -0.0240124, -0.0318488, -0.0403986, -0.0487949, -0.0616363, -0.0683298, -0.082768, -0.0858886, -0.0919213, -0.0940641, -0.0981123, -0.102499, -0.107522, -0.106667, -0.0943865, -0.0931235, -0.0827134, -0.0674313, -0.0557235, -0.045209, -0.0334335, -0.0283193, -0.0258166, -0.0213523, -0.0144016, -0.0119489, -0.0108845, -0.0090303, -0.00616888, -0.00279874, -0.00245367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00960056");
-            index_3 ("0.787981, 0.797326, 0.802865, 0.808017, 0.846946, 0.859768, 0.864791, 0.871488, 0.879333, 0.885944, 0.897534, 0.909362, 0.912716, 0.91655, 0.922408, 0.939016, 0.945022, 0.953031, 0.957815, 0.963798, 0.971776, 0.979041, 0.982701, 0.99002, 1.00466, 1.01317");
-            values ( \
-              "-0.0174406, -0.0267815, -0.0335012, -0.0416175, -0.108527, -0.127513, -0.133727, -0.140424, -0.145979, -0.148825, -0.14956, -0.142578, -0.138599, -0.132325, -0.118793, -0.0733166, -0.0593844, -0.0439011, -0.0363814, -0.0285618, -0.0204497, -0.0150009, -0.0128062, -0.00929932, -0.00477115, -0.00359688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0202402");
-            index_3 ("0.783134, 0.862623, 0.872167, 0.889009, 0.903712, 0.914353, 0.934582, 0.95481, 0.965544, 0.976768, 0.998782, 1.03319, 1.04591, 1.06695, 1.08803, 1.11004, 1.13448, 1.16054, 1.187");
-            values ( \
-              "-9.13834e-05, -0.14666, -0.158846, -0.172724, -0.178708, -0.180486, -0.179902, -0.174417, -0.169349, -0.161882, -0.135825, -0.0802086, -0.0634972, -0.0418993, -0.0269379, -0.0166498, -0.00965245, -0.0052673, -0.00320525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0426709");
-            index_3 ("0.791987, 0.853802, 0.872374, 0.890209, 0.911286, 0.929776, 0.952719, 0.980602, 1.03134, 1.07934, 1.10672, 1.1161, 1.13486, 1.16571, 1.21594, 1.25612, 1.27497, 1.29914, 1.31856, 1.34223, 1.37551, 1.41, 1.4364, 1.4892, 1.55434, 1.55944");
-            values ( \
-              "-0.0164216, -0.139867, -0.168872, -0.185351, -0.195095, -0.198382, -0.199002, -0.197152, -0.190531, -0.180919, -0.172611, -0.168916, -0.159476, -0.136727, -0.094068, -0.0662188, -0.0553374, -0.0435388, -0.0357261, -0.0278923, -0.0195023, -0.0134084, -0.0100144, -0.00547924, -0.00255319, -0.00244589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.08996");
-            index_3 ("0.755808, 0.934198, 0.957755, 1.05421, 1.26476, 1.31796, 1.40762, 1.47199, 1.67011, 1.76541, 1.87506, 1.9892, 2.14432, 2.23552");
-            values ( \
-              "-0.0190498, -0.207145, -0.20912, -0.205862, -0.193018, -0.187395, -0.17327, -0.154595, -0.0753666, -0.048761, -0.0281738, -0.015553, -0.00689674, -0.00474329" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00169213, 0.00169282, 0.0016934, 0.00169378, 0.00169399, 0.00169411", \
-            "0.00190046, 0.00190071, 0.00190101, 0.00190126, 0.00190143, 0.00190152", \
-            "0.00201622, 0.00201615, 0.00201611, 0.00201611, 0.00201614, 0.00201616", \
-            "0.00208609, 0.00208603, 0.00208597, 0.00208591, 0.00208588, 0.00208586", \
-            "0.0021294, 0.00212937, 0.00212931, 0.00212926, 0.00212922, 0.00212919", \
-            "0.00215564, 0.00215563, 0.00215561, 0.00215559, 0.00215557, 0.00215555" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00228274, 0.00228289, 0.00228333, 0.00228361, 0.00228388, 0.00228396", \
-            "0.00240096, 0.00240011, 0.00239948, 0.00239915, 0.00239902, 0.00239895", \
-            "0.00250811, 0.00250778, 0.00250727, 0.00250656, 0.00250604, 0.0025054", \
-            "0.00270358, 0.00270234, 0.00270077, 0.00269932, 0.00269832, 0.00269772", \
-            "0.00310812, 0.00312222, 0.00313236, 0.00313666, 0.00313945, 0.00313895", \
-            "0.00366133, 0.00365747, 0.00364038, 0.00365064, 0.00366794, 0.00367568" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0814621, 0.0959107, 0.12349, 0.179929, 0.298753, 0.549678", \
-            "0.0838487, 0.0983207, 0.12596, 0.182448, 0.301311, 0.552252", \
-            "0.0902413, 0.104661, 0.13238, 0.188959, 0.307909, 0.558872", \
-            "0.103961, 0.11881, 0.146837, 0.203603, 0.322693, 0.573716", \
-            "0.120273, 0.13596, 0.164895, 0.222262, 0.341898, 0.59295", \
-            "0.127345, 0.145048, 0.175508, 0.233355, 0.352808, 0.604749" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0325614, 0.0500845, 0.0879705, 0.170203, 0.345904, 0.716828", \
-            "0.0325485, 0.050092, 0.0879583, 0.170219, 0.345902, 0.716826", \
-            "0.0325675, 0.0500867, 0.0879366, 0.170206, 0.345901, 0.716825", \
-            "0.0341294, 0.0512367, 0.0884892, 0.170333, 0.345902, 0.716825", \
-            "0.0377768, 0.0543371, 0.0908364, 0.171888, 0.346604, 0.716834", \
-            "0.0454039, 0.0611051, 0.0954504, 0.173846, 0.347624, 0.718036" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0674031, 0.0793118, 0.101876, 0.147543, 0.243236, 0.444921", \
-            "0.0722568, 0.0842281, 0.106891, 0.152577, 0.248285, 0.449969", \
-            "0.0849188, 0.0969413, 0.119654, 0.16546, 0.261203, 0.462897", \
-            "0.113741, 0.126104, 0.149126, 0.195095, 0.290888, 0.492596", \
-            "0.163341, 0.17698, 0.201108, 0.248051, 0.344272, 0.545928", \
-            "0.243064, 0.25973, 0.286627, 0.33477, 0.431444, 0.634067" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0240433, 0.0368942, 0.0639912, 0.122676, 0.24872, 0.515393", \
-            "0.0240371, 0.0368871, 0.063958, 0.122648, 0.248716, 0.515393", \
-            "0.0240882, 0.0368655, 0.0639449, 0.122773, 0.248718, 0.515392", \
-            "0.0255378, 0.0379053, 0.0645062, 0.122798, 0.248687, 0.515466", \
-            "0.030453, 0.0421902, 0.0679753, 0.125124, 0.249466, 0.515514", \
-            "0.0402982, 0.0515696, 0.0746687, 0.128634, 0.251469, 0.517043" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0551526, 0.0660955, 0.068066, 0.0740847, 0.0793033, 0.0841998, 0.0890265, 0.0938495, 0.0974916, 0.103385, 0.107807, 0.114605, 0.118664, 0.123915, 0.13045, 0.133713, 0.140238, 0.14594, 0.155627, 0.168543, 0.18765, 0.211316");
-            values ( \
-              "0.000113523, 0.0513705, 0.0576293, 0.071219, 0.0778454, 0.0809724, 0.0803258, 0.0767673, 0.0696209, 0.0527277, 0.0415906, 0.0284975, 0.0227076, 0.0167463, 0.0113714, 0.00935941, 0.00628922, 0.00446757, 0.00249934, 0.00113813, 0.000338042, 8.35181e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00455385");
-            index_3 ("0.0541484, 0.0727492, 0.0769812, 0.0812593, 0.088828, 0.0961372, 0.103435, 0.107276, 0.111212, 0.119082, 0.125394, 0.133032, 0.141422, 0.148068, 0.153298, 0.160272, 0.170341, 0.176379, 0.186084, 0.197226, 0.206051, 0.223702, 0.236677");
-            values ( \
-              "0.00200654, 0.0861825, 0.0974883, 0.10422, 0.112178, 0.112571, 0.110299, 0.106645, 0.10181, 0.0869914, 0.0722885, 0.0566404, 0.0425576, 0.0335805, 0.0277381, 0.0213155, 0.014458, 0.0113944, 0.00772031, 0.00494329, 0.0034515, 0.00164564, 0.0011009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00960056");
-            index_3 ("0.0575102, 0.0657777, 0.0698577, 0.0763828, 0.0800081, 0.0872589, 0.093334, 0.104334, 0.105776, 0.108659, 0.113368, 0.11818, 0.127804, 0.131097, 0.144956, 0.160881, 0.164351, 0.18009, 0.198617, 0.213873, 0.233019, 0.241954, 0.244357, 0.258776, 0.282207, 0.28421, 0.288216, 0.312252, 0.344299, 0.39138, 0.452394");
-            values ( \
-              "0.0154753, 0.0681824, 0.0882248, 0.110737, 0.11879, 0.132294, 0.136916, 0.141162, 0.140164, 0.140577, 0.138616, 0.13756, 0.131934, 0.130514, 0.118798, 0.0986693, 0.0933024, 0.0720783, 0.0512943, 0.0378526, 0.0252079, 0.0210794, 0.0196464, 0.0141815, 0.00818847, 0.00811862, 0.00711748, 0.00397762, 0.00199777, 0.000382796, 0.000223419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0202402");
-            index_3 ("0.0575594, 0.072813, 0.0793094, 0.0863179, 0.0922573, 0.102696, 0.113755, 0.115443, 0.118818, 0.12557, 0.161498, 0.184422, 0.203514, 0.214684, 0.237025, 0.29249, 0.305881, 0.332663, 0.347838, 0.364587, 0.386919, 0.402492, 0.429026, 0.459349, 0.481382, 0.515122, 0.560108, 0.63947, 0.718831");
-            values ( \
-              "0.0312161, 0.10652, 0.127337, 0.14322, 0.15075, 0.158671, 0.160124, 0.160576, 0.159924, 0.159424, 0.149644, 0.14119, 0.132829, 0.126885, 0.112469, 0.07277, 0.0643163, 0.0493181, 0.0421306, 0.0351775, 0.0274021, 0.0229275, 0.0168016, 0.0116509, 0.00891258, 0.00588027, 0.00331174, 0.00111852, 0.000370775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0426709");
-            index_3 ("0.0680012, 0.0923944, 0.103095, 0.11542, 0.129078, 0.14452, 0.182732, 0.253362, 0.306534, 0.358746, 0.411738, 0.521055, 0.608693, 0.688575, 0.748949, 0.830456, 0.878511, 0.94312, 1.02248, 1.15498");
-            values ( \
-              "0.156102, 0.15878, 0.167922, 0.172414, 0.172578, 0.17166, 0.166155, 0.1546, 0.144561, 0.132128, 0.115088, 0.0758372, 0.049714, 0.0324072, 0.0229724, 0.0141739, 0.0105863, 0.00712567, 0.00432793, 0.00203234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.08996");
-            index_3 ("0.0680749, 0.0948476, 0.104042, 0.116505, 0.130018, 0.145858, 0.253865, 0.45089, 0.557669, 0.612354, 0.673052, 0.752413, 0.804741, 0.971343, 1.07747, 1.15684, 1.21681, 1.29516, 1.36124, 1.47107, 1.61137, 1.75077, 1.77823, 1.83313, 1.99186, 2.15058, 2.22994, 2.3093, 2.38866, 2.46802, 2.54738, 2.62675, 2.70611, 2.78547");
-            values ( \
-              "0.158873, 0.165889, 0.173412, 0.178663, 0.179793, 0.180041, 0.172332, 0.156677, 0.14699, 0.140791, 0.13341, 0.120915, 0.112293, 0.0825406, 0.0653987, 0.0544264, 0.0465514, 0.0382649, 0.0317563, 0.0233809, 0.0155952, 0.0103108, 0.00979428, 0.00804472, 0.00493876, 0.00299784, 0.00261747, 0.00179306, 0.00166873, 0.00104837, 0.00108274, 0.000589315, 0.000721448, 0.000306851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0716955, 0.0787826, 0.0834001, 0.0864667, 0.0923219, 0.0960273, 0.0996022, 0.102033, 0.10686, 0.107811, 0.110565, 0.118965, 0.124074, 0.132474, 0.137376, 0.14204, 0.146355, 0.152107, 0.163897, 0.173131, 0.189439");
-            values ( \
-              "0.0248665, 0.0504303, 0.063335, 0.0698276, 0.0778741, 0.080331, 0.0809162, 0.0803553, 0.0765815, 0.0750702, 0.0694423, 0.0458849, 0.0347766, 0.021699, 0.0163213, 0.0123784, 0.0095735, 0.00675765, 0.0033302, 0.00190479, 0.000707381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00455385");
-            index_3 ("0.0723325, 0.080473, 0.085726, 0.0900363, 0.0942331, 0.101805, 0.109116, 0.116416, 0.124191, 0.132059, 0.146005, 0.154388, 0.161044, 0.173288, 0.183312, 0.189324, 0.199053, 0.210239, 0.219107, 0.236842, 0.248996");
-            values ( \
-              "0.0310689, 0.0688622, 0.0865563, 0.0971661, 0.104544, 0.111762, 0.112922, 0.109924, 0.101802, 0.0870054, 0.0566602, 0.0425848, 0.0335817, 0.021292, 0.0144589, 0.0114155, 0.00771896, 0.00493254, 0.00344517, 0.00162997, 0.00112384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00960056");
-            index_3 ("0.0730518, 0.0788457, 0.0850742, 0.0930375, 0.0993797, 0.106353, 0.117179, 0.122022, 0.128481, 0.13663, 0.144108, 0.157168, 0.167881, 0.194311, 0.21125, 0.223548, 0.233655, 0.254074, 0.264923, 0.279389, 0.291828, 0.308578, 0.330911, 0.374469, 0.425301");
-            values ( \
-              "0.0502066, 0.0691156, 0.0963189, 0.119488, 0.130599, 0.137492, 0.14065, 0.140095, 0.138319, 0.134649, 0.130158, 0.119441, 0.10663, 0.0708322, 0.051869, 0.0406905, 0.033078, 0.0213091, 0.0167508, 0.0120476, 0.00904025, 0.00611806, 0.00357873, 0.0011429, 0.000281912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0202402");
-            index_3 ("0.0738352, 0.096485, 0.108137, 0.113328, 0.12119, 0.128675, 0.151651, 0.175506, 0.200694, 0.221778, 0.240342, 0.301255, 0.332512, 0.373101, 0.419294, 0.447898, 0.480254, 0.516855, 0.545916, 0.604037, 0.627726");
-            values ( \
-              "0.0705891, 0.137325, 0.15358, 0.15715, 0.159672, 0.160282, 0.156407, 0.149209, 0.139947, 0.130344, 0.11928, 0.0757458, 0.0565176, 0.0370339, 0.0220185, 0.0157265, 0.0105884, 0.00676301, 0.00472788, 0.00219788, 0.00190508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0426709");
-            index_3 ("0.0743455, 0.0971917, 0.10548, 0.115843, 0.128471, 0.139236, 0.173217, 0.271538, 0.319571, 0.371782, 0.42477, 0.53409, 0.621725, 0.66883, 0.701628, 0.761987, 0.843469, 0.891541, 0.956174, 1.03554, 1.19426, 1.19528");
-            values ( \
-              "0.081626, 0.145146, 0.158795, 0.168335, 0.172378, 0.172911, 0.169539, 0.153519, 0.144553, 0.132136, 0.115096, 0.0758315, 0.0497089, 0.0387895, 0.0324099, 0.0229779, 0.0141815, 0.0105812, 0.00711941, 0.0043329, 0.00158334, 0.001578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.08996");
-            index_3 ("0.0839614, 0.129496, 0.143023, 0.174573, 0.41187, 0.543247, 0.625348, 0.765408, 1.01972, 1.16984, 1.30816, 1.45359, 1.545, 1.68441, 1.79123, 1.92549, 2.24293, 2.42937");
-            values ( \
-              "0.177463, 0.178322, 0.180119, 0.179118, 0.160922, 0.149589, 0.141086, 0.121206, 0.0766422, 0.0541476, 0.0380027, 0.0255218, 0.0196919, 0.0131152, 0.00954496, 0.00636066, 0.00237288, 0.00176012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0998354, 0.113025, 0.115911, 0.119049, 0.124271, 0.129167, 0.133995, 0.138947, 0.140734, 0.144426, 0.151644, 0.155028, 0.159539, 0.165402, 0.168936, 0.175421, 0.178657, 0.185128, 0.190903, 0.2007, 0.213763, 0.222352");
-            values ( \
-              "0.0019418, 0.057053, 0.0649828, 0.070734, 0.0782495, 0.0805395, 0.0807172, 0.0763399, 0.0733966, 0.0643533, 0.0442352, 0.0367514, 0.0285674, 0.0205179, 0.0167042, 0.011379, 0.00936693, 0.00632846, 0.00447686, 0.00249045, 0.00111401, 0.000762222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00455385");
-            index_3 ("0.100467, 0.112348, 0.117602, 0.126115, 0.133684, 0.140994, 0.148473, 0.156069, 0.163937, 0.177885, 0.186271, 0.192922, 0.205148, 0.215193, 0.221216, 0.230934, 0.242101, 0.25095, 0.268648, 0.28188");
-            values ( \
-              "0.00011017, 0.0689372, 0.0866234, 0.10464, 0.111738, 0.112984, 0.109798, 0.101802, 0.0870037, 0.0566543, 0.0425754, 0.0335785, 0.021305, 0.0144562, 0.0114084, 0.00771732, 0.00493532, 0.00344995, 0.00163495, 0.00107912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00960056");
-            index_3 ("0.104733, 0.11101, 0.11719, 0.125133, 0.129552, 0.134246, 0.138395, 0.144759, 0.150787, 0.16311, 0.16693, 0.170874, 0.176139, 0.186669, 0.190013, 0.196701, 0.205856, 0.222404, 0.235024, 0.244204, 0.255907, 0.264896, 0.273971, 0.286091, 0.289707, 0.296939, 0.311403, 0.323843, 0.329427, 0.340595, 0.36293, 0.405719, 0.455765");
-            values ( \
-              "0.0395874, 0.0705847, 0.0972528, 0.120128, 0.128261, 0.134145, 0.137558, 0.140106, 0.1405, 0.137327, 0.13556, 0.133452, 0.130199, 0.121857, 0.118449, 0.110785, 0.098464, 0.0755647, 0.0605837, 0.0509472, 0.0404127, 0.0336102, 0.0277487, 0.0213061, 0.0196842, 0.0167487, 0.0120501, 0.00903833, 0.00794616, 0.00611607, 0.00358015, 0.00116972, 0.000299228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0202402");
-            index_3 ("0.104483, 0.121321, 0.131245, 0.137508, 0.148007, 0.160664, 0.172828, 0.205181, 0.232655, 0.259939, 0.286002, 0.322039, 0.361112, 0.392242, 0.40763, 0.445895, 0.484849, 0.514269, 0.54467, 0.567929, 0.614447, 0.693808, 0.773169");
-            values ( \
-              "0.0457665, 0.119535, 0.143365, 0.15104, 0.159, 0.16074, 0.159176, 0.150231, 0.14022, 0.127077, 0.109957, 0.0831993, 0.0582769, 0.0423864, 0.0359489, 0.0233341, 0.0148796, 0.0102789, 0.00707636, 0.00541359, 0.00288985, 0.0010588, 0.000266963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0426709");
-            index_3 ("0.110995, 0.128508, 0.137584, 0.147975, 0.159024, 0.169676, 0.181849, 0.205277, 0.298468, 0.351642, 0.403854, 0.456835, 0.566157, 0.653789, 0.733722, 0.794059, 0.875509, 0.923603, 0.988269, 1.06763, 1.21689");
-            values ( \
-              "0.137565, 0.143886, 0.159501, 0.168356, 0.172113, 0.172784, 0.172269, 0.169376, 0.154444, 0.144557, 0.132131, 0.115094, 0.0758365, 0.0497145, 0.0324021, 0.0229742, 0.0141807, 0.0105853, 0.00712186, 0.00432878, 0.00174347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.08996");
-            index_3 ("0.118119, 0.118139, 0.444025, 0.575406, 0.657507, 0.797567, 1.05188, 1.202, 1.34032, 1.48575, 1.57717, 1.71658, 1.82339, 1.95765, 2.27509, 2.45278");
-            values ( \
-              "1e-22, 0.186025, 0.160924, 0.149589, 0.141085, 0.121204, 0.0766487, 0.054155, 0.0380145, 0.025534, 0.0197043, 0.0131279, 0.00955816, 0.00637429, 0.00238728, 0.00179369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.172021, 0.177918, 0.184609, 0.193345, 0.199044, 0.204267, 0.209349, 0.212478, 0.216556, 0.227471, 0.233523, 0.242625, 0.251503, 0.258298, 0.264468, 0.276762, 0.283651");
-            values ( \
-              "0.0107682, 0.0228829, 0.043954, 0.063917, 0.0726016, 0.0761314, 0.0771793, 0.0752804, 0.0708284, 0.0437336, 0.0315586, 0.0189897, 0.0113018, 0.00753774, 0.00520082, 0.0025047, 0.00174254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00455385");
-            index_3 ("0.180873, 0.191441, 0.192704, 0.194387, 0.195771, 0.197417, 0.198899, 0.200879, 0.203032, 0.204108, 0.206108, 0.207955, 0.209628, 0.210377, 0.212214, 0.214361, 0.215956, 0.21743, 0.22026, 0.222149, 0.224038, 0.225932, 0.227826, 0.22972, 0.231615, 0.232447, 0.234666, 0.235776, 0.237003, 0.242978, 0.249864, 0.251784, 0.254368, 0.257321, 0.26113, 0.264034, 0.268935, 0.273143, 0.273985, 0.2766, 0.279215, 0.280966, 0.284469, 0.287828, 0.291577, 0.292649, 0.296934, 0.301219, 0.306527, 0.31152");
-            values ( \
-              "0.0765458, 0.0766114, 0.0803362, 0.084705, 0.0880166, 0.0916263, 0.094574, 0.0980595, 0.101008, 0.102308, 0.104417, 0.106009, 0.107111, 0.107473, 0.107795, 0.108517, 0.108675, 0.108724, 0.108553, 0.107878, 0.106966, 0.105679, 0.104244, 0.10266, 0.100927, 0.0999678, 0.0962849, 0.0942414, 0.0917114, 0.0790742, 0.0638679, 0.0604284, 0.0553239, 0.0499257, 0.0439756, 0.0398403, 0.033428, 0.0284794, 0.0275761, 0.0250968, 0.0227887, 0.0213946, 0.0187246, 0.0163127, 0.0139914, 0.0133964, 0.0113888, 0.00962773, 0.00782399, 0.00627586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00960056");
-            index_3 ("0.167423, 0.207961, 0.210337, 0.216107, 0.220764, 0.225572, 0.22833, 0.232008, 0.23905, 0.251656, 0.257255, 0.263227, 0.271306, 0.29491, 0.313764, 0.328286, 0.337078, 0.350701, 0.365614, 0.372251, 0.383093, 0.398519, 0.41432, 0.426386, 0.450517, 0.496081, 0.549875");
-            values ( \
-              "0.00687207, 0.126575, 0.129414, 0.134026, 0.136806, 0.138128, 0.138298, 0.138038, 0.136276, 0.130154, 0.126202, 0.121064, 0.112299, 0.080257, 0.0574394, 0.0433556, 0.0362978, 0.0272528, 0.0196791, 0.0169759, 0.0132826, 0.00929966, 0.00644525, 0.00485116, 0.00270479, 0.000805595, 0.000177121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0202402");
-            index_3 ("0.179385, 0.188824, 0.199443, 0.210202, 0.222369, 0.236574, 0.259634, 0.278549, 0.283501, 0.308691, 0.336006, 0.346771, 0.407065, 0.428838, 0.473667, 0.499634, 0.527974, 0.561146, 0.600397, 0.603256, 0.608974, 0.620409, 0.642814, 0.766829, 0.846191");
-            values ( \
-              "0.0714173, 0.082979, 0.120205, 0.142255, 0.155079, 0.159549, 0.156593, 0.150314, 0.149549, 0.140394, 0.127248, 0.120642, 0.0768996, 0.0629296, 0.0397774, 0.0298316, 0.0214759, 0.0143997, 0.00880001, 0.00919576, 0.00789194, 0.00751798, 0.00507502, 0.000684516, 0.000695226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0426709");
-            index_3 ("0.17952, 0.206005, 0.210207, 0.219715, 0.224187, 0.233133, 0.236622, 0.2436, 0.257556, 0.276557, 0.309175, 0.398926, 0.427844, 0.48001, 0.505086, 0.533743, 0.620221, 0.672711, 0.730746, 0.760393, 0.807581, 0.85607, 0.898573, 0.955244, 1.0177, 1.05124, 1.11832, 1.19768, 1.27704, 1.35641, 1.51513");
-            values ( \
-              "0.0666603, 0.142083, 0.149657, 0.161415, 0.165327, 0.169938, 0.170914, 0.171937, 0.172012, 0.17001, 0.165154, 0.150119, 0.144549, 0.132154, 0.124536, 0.114853, 0.0834128, 0.0660599, 0.0495168, 0.0424527, 0.0328337, 0.0249111, 0.0194591, 0.0138817, 0.00950559, 0.00772484, 0.0050963, 0.00307055, 0.00186521, 0.00111049, 0.000396785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.08996");
-            index_3 ("0.189946, 0.226208, 0.244152, 0.253046, 0.267886, 0.342662, 0.571948, 0.651309, 0.733411, 0.794109, 0.87347, 1.12778, 1.2779, 1.41622, 1.48229, 1.59212, 1.65307, 1.79248, 1.89929, 2.03355, 2.19227, 2.351, 2.58908, 2.90652");
-            values ( \
-              "0.166355, 0.171944, 0.178692, 0.179565, 0.17964, 0.174603, 0.156496, 0.14947, 0.14097, 0.133233, 0.121092, 0.0767504, 0.0542551, 0.0381031, 0.0319172, 0.0235391, 0.0197884, 0.0132107, 0.00963896, 0.00645381, 0.00399545, 0.00246483, 0.0011999, 0.000456988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.323837, 0.331703, 0.333501, 0.333707, 0.334118, 0.33494, 0.336584, 0.339755, 0.34605, 0.354773, 0.361626, 0.367655, 0.373337, 0.37897, 0.384599, 0.384979, 0.38574, 0.387263, 0.390307, 0.392303, 0.394161, 0.396284, 0.399621, 0.40396, 0.407307, 0.410903, 0.415734, 0.417261, 0.420315, 0.426424, 0.431235, 0.433495, 0.438016, 0.447058, 0.455591");
-            values ( \
-              "0.00457334, 0.0119522, 0.0137763, 0.0143699, 0.0149289, 0.0162194, 0.0188967, 0.0246595, 0.0371468, 0.0519541, 0.0618225, 0.0670621, 0.0697718, 0.068437, 0.0615228, 0.0601879, 0.058606, 0.0547854, 0.0473809, 0.0427954, 0.0389902, 0.0349041, 0.0293389, 0.0232428, 0.0193784, 0.0158708, 0.0120315, 0.0110466, 0.00924552, 0.00647601, 0.00488319, 0.00429628, 0.00329775, 0.00195792, 0.00132249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00455385");
-            index_3 ("0.32481, 0.334021, 0.336753, 0.352542, 0.363699, 0.372762, 0.381026, 0.389093, 0.397146, 0.399841, 0.421863, 0.434593, 0.446433, 0.458135, 0.464562, 0.477695, 0.490521, 0.505379");
-            values ( \
-              "0.00713071, 0.0190219, 0.0235448, 0.0615936, 0.0845058, 0.0964758, 0.101661, 0.101986, 0.0971935, 0.0938498, 0.0520298, 0.033686, 0.0218934, 0.0140185, 0.0109104, 0.0064869, 0.00389086, 0.00192783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00960056");
-            index_3 ("0.326255, 0.341672, 0.368159, 0.377583, 0.391181, 0.404311, 0.417423, 0.431747, 0.48593, 0.510462, 0.530653, 0.551984, 0.583818, 0.618904");
-            values ( \
-              "0.00946244, 0.0400162, 0.108701, 0.122271, 0.131728, 0.131966, 0.127403, 0.116838, 0.0512128, 0.031157, 0.0203361, 0.0126617, 0.00605922, 0.00343098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0202402");
-            index_3 ("0.323825, 0.376924, 0.39133, 0.401864, 0.413882, 0.425491, 0.444307, 0.460492, 0.500912, 0.525851, 0.580678, 0.621255, 0.652204, 0.69033, 0.718436, 0.750558, 0.799081, 0.838286, 0.909146");
-            values ( \
-              "0.00282448, 0.134486, 0.149564, 0.153796, 0.154821, 0.153475, 0.149121, 0.14408, 0.127098, 0.111562, 0.0727323, 0.0490863, 0.0353703, 0.0230009, 0.0165492, 0.0112116, 0.00618653, 0.00377154, 0.00152973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0426709");
-            index_3 ("0.343076, 0.361061, 0.377175, 0.389051, 0.401868, 0.417353, 0.432621, 0.447626, 0.470476, 0.512753, 0.531242, 0.543245, 0.594671, 0.64687, 0.671714, 0.700109, 0.809312, 0.83884, 0.89708, 0.943601, 0.975945, 1.0233, 1.03708, 1.06464, 1.11976, 1.16702, 1.23053, 1.3099, 1.38926, 1.46862, 1.54798, 1.7067");
-            values ( \
-              "0.0993005, 0.104594, 0.141713, 0.157042, 0.165251, 0.168834, 0.168798, 0.167512, 0.164453, 0.15805, 0.155838, 0.153948, 0.144547, 0.132145, 0.124605, 0.115011, 0.0757947, 0.0662706, 0.0496483, 0.0388638, 0.0325483, 0.0248599, 0.022974, 0.019553, 0.0140749, 0.0105605, 0.00715638, 0.00434863, 0.00262307, 0.00158641, 0.000949988, 0.000341694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.08996");
-            index_3 ("0.34285, 0.388739, 0.40292, 0.415071, 0.428827, 0.448963, 0.516276, 0.541841, 0.602005, 0.710197, 0.790296, 0.845659, 0.92502, 0.961145, 1.02089, 1.29676, 1.37157, 1.45093, 1.51621, 1.57623, 1.64481, 1.72417, 1.75901, 1.82868, 1.90742, 1.98678, 2.10101, 2.16492, 2.24428, 2.403, 2.56173, 2.79981, 3.11726");
-            values ( \
-              "0.0861563, 0.161583, 0.171732, 0.175488, 0.176976, 0.177167, 0.173003, 0.171993, 0.167539, 0.158907, 0.15204, 0.146812, 0.138016, 0.133216, 0.12427, 0.0764184, 0.0646512, 0.0534512, 0.0453671, 0.038812, 0.0323008, 0.0259419, 0.0235405, 0.0193039, 0.0153838, 0.0121876, 0.00870085, 0.00718471, 0.00566548, 0.0035065, 0.00216448, 0.00104246, 0.000393022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.664842, 0.676282, 0.680333, 0.684295, 0.690988, 0.708049, 0.719182, 0.723853, 0.728406, 0.735397, 0.742095, 0.74878, 0.759563, 0.762773, 0.768744, 0.774943, 0.779982, 0.787621, 0.792064, 0.801173, 0.806699, 0.817751, 0.834793");
-            values ( \
-              "0.00209801, 0.00594597, 0.00785495, 0.0106029, 0.0166938, 0.0352548, 0.0461536, 0.0502163, 0.0536565, 0.0575639, 0.0588118, 0.0549214, 0.0351949, 0.0301564, 0.0224237, 0.0163705, 0.0125002, 0.00824703, 0.0064439, 0.00388816, 0.00287537, 0.00157145, 0.000656681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00455385");
-            index_3 ("0.666605, 0.682928, 0.685659, 0.689833, 0.697084, 0.722898, 0.734165, 0.737462, 0.743954, 0.753128, 0.762207, 0.771279, 0.780912, 0.790261, 0.79901, 0.804741, 0.812974, 0.819659, 0.825242, 0.831788, 0.841079, 0.85096, 0.858564, 0.873771, 0.888495");
-            values ( \
-              "0.00322259, 0.0129697, 0.0152776, 0.0198524, 0.0292249, 0.0658607, 0.0794722, 0.082649, 0.0876406, 0.0911283, 0.0896483, 0.079881, 0.0622145, 0.0468488, 0.0352285, 0.0289171, 0.0215201, 0.0168025, 0.0136186, 0.0105883, 0.00737737, 0.00502504, 0.00372297, 0.00202815, 0.0012681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00960056");
-            index_3 ("0.677698, 0.691714, 0.703063, 0.720314, 0.733468, 0.73975, 0.752313, 0.755249, 0.761121, 0.769482, 0.783551, 0.797617, 0.805382, 0.814417, 0.831378, 0.843473, 0.852857, 0.864902, 0.874284, 0.886793, 0.896149, 0.903647, 0.913645, 0.9308, 0.938612, 0.950102, 0.965422, 0.996061, 1.02311");
-            values ( \
-              "0.0239642, 0.0253787, 0.042774, 0.0731769, 0.0948935, 0.103762, 0.116494, 0.118539, 0.121464, 0.12359, 0.122225, 0.114859, 0.107456, 0.096669, 0.0744557, 0.0605833, 0.0509013, 0.040236, 0.0332585, 0.0255386, 0.0208622, 0.0176942, 0.0141499, 0.0095479, 0.0079818, 0.00611905, 0.0042677, 0.00201238, 0.00118427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0202402");
-            index_3 ("0.677773, 0.701285, 0.728078, 0.738508, 0.754201, 0.766446, 0.790586, 0.795699, 0.801543, 0.813231, 0.821144, 0.831056, 0.841487, 0.862349, 0.88235, 0.932997, 0.955773, 0.973322, 0.996831, 1.01496, 1.03912, 1.06092, 1.07009, 1.08843, 1.12139, 1.13637, 1.15871, 1.18849, 1.23469, 1.27746, 1.31944, 1.39652");
-            values ( \
-              "0.0192402, 0.0427837, 0.094851, 0.113552, 0.134191, 0.143436, 0.149684, 0.149404, 0.148767, 0.146657, 0.144712, 0.141841, 0.138328, 0.129475, 0.11797, 0.0819444, 0.0670895, 0.0567432, 0.0447134, 0.0368892, 0.0282228, 0.0220049, 0.0197821, 0.015937, 0.0106862, 0.00890828, 0.00677555, 0.0046756, 0.00257306, 0.00156051, 0.000902925, 0.000321171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0426709");
-            index_3 ("0.677583, 0.714158, 0.738302, 0.75155, 0.765946, 0.788878, 0.80932, 0.821061, 0.836716, 0.860147, 0.902862, 0.960757, 1.0012, 1.01663, 1.04558, 1.08024, 1.16111, 1.2158, 1.23371, 1.27346, 1.31495, 1.37026, 1.40402, 1.44716, 1.50468, 1.55396, 1.57901, 1.6291, 1.70846, 1.78782, 1.86718, 2.0259");
-            values ( \
-              "0.0140309, 0.0699917, 0.119843, 0.140175, 0.154344, 0.164603, 0.166099, 0.165469, 0.163968, 0.160851, 0.154274, 0.143904, 0.134799, 0.130669, 0.121806, 0.109838, 0.0807199, 0.0630304, 0.0579655, 0.0475229, 0.0381232, 0.0279685, 0.0230528, 0.0179113, 0.0126806, 0.00940185, 0.00806163, 0.0059179, 0.00358081, 0.0021659, 0.0013009, 0.000469262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.08996");
-            index_3 ("0.703643, 0.740935, 0.766903, 0.789612, 0.809422, 0.824322, 0.844188, 0.907461, 1.10534, 1.23719, 1.28128, 1.32791, 1.40727, 1.66152, 1.81163, 1.94998, 2.01605, 2.12587, 2.18679, 2.3262, 2.43303, 2.56732, 2.72604, 2.88476, 3.12285, 3.44029");
-            values ( \
-              "0.103732, 0.127596, 0.160634, 0.172485, 0.175404, 0.175754, 0.175308, 0.171262, 0.156003, 0.144133, 0.139299, 0.133224, 0.121085, 0.076752, 0.054258, 0.0381031, 0.0319144, 0.0235372, 0.0197918, 0.0132135, 0.00964129, 0.00645564, 0.00399748, 0.00246699, 0.00119746, 0.000454528" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00195733, 0.00195847, 0.0019595, 0.00196023, 0.00196064, 0.00196085", \
-            "0.00225875, 0.00225909, 0.00225964, 0.00226019, 0.00226057, 0.00226079", \
-            "0.00244991, 0.00245006, 0.00245026, 0.00245051, 0.00245076, 0.00245093", \
-            "0.00260259, 0.00260269, 0.0026029, 0.0026031, 0.0026033, 0.00260345", \
-            "0.00276114, 0.00276113, 0.00276114, 0.00276117, 0.00276125, 0.00276135", \
-            "0.0028883, 0.00288827, 0.00288788, 0.002888, 0.00288796, 0.00288798" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00247402, 0.00247508, 0.00247653, 0.00247774, 0.00247855, 0.002479", \
-            "0.00254475, 0.00254517, 0.00254592, 0.00254682, 0.00254759, 0.00254809", \
-            "0.00272156, 0.00271883, 0.00271842, 0.00271817, 0.00271819, 0.00271829", \
-            "0.00305609, 0.00305499, 0.00305355, 0.00305214, 0.0030513, 0.00305089", \
-            "0.00328196, 0.00334051, 0.00339422, 0.00342714, 0.00344505, 0.00345403", \
-            "0.00313156, 0.00313153, 0.003132, 0.00315412, 0.00321844, 0.00325667" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0448607, 0.0628969, 0.0671819, 0.0703799, 0.0749728, 0.0787456, 0.0826291, 0.0841864, 0.0960193, 0.0998528, 0.104644, 0.110025, 0.114718, 0.120584");
-            values ( \
-              "-0.000349703, -0.0860915, -0.0949612, -0.0996328, -0.103193, -0.103042, -0.0970843, -0.0927851, -0.0336348, -0.0211781, -0.0114382, -0.00563106, -0.00297635, -0.00150902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00455385");
-            index_3 ("0.0485973, 0.0551552, 0.0599398, 0.0650228, 0.0687781, 0.0751091, 0.0810697, 0.0868967, 0.0927191, 0.0955243, 0.0988129, 0.101581, 0.117293, 0.123491, 0.131306, 0.135887, 0.143293, 0.153168, 0.15853");
-            values ( \
-              "-0.000778633, -0.0724979, -0.0983702, -0.115143, -0.124057, -0.13497, -0.140031, -0.141672, -0.138964, -0.135593, -0.129846, -0.122693, -0.0542076, -0.0348231, -0.0190913, -0.0132535, -0.00723868, -0.00307596, -0.00220283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00960056");
-            index_3 ("0.0495749, 0.0558801, 0.0609449, 0.0676524, 0.0733561, 0.0789832, 0.089348, 0.0974977, 0.103182, 0.109439, 0.119515, 0.126416, 0.1306, 0.138966, 0.157836, 0.164298, 0.175617, 0.181597, 0.189571, 0.202803, 0.212021, 0.230459, 0.242347");
-            values ( \
-              "-0.0215316, -0.0882581, -0.116498, -0.140383, -0.153479, -0.162608, -0.171065, -0.172903, -0.172513, -0.170704, -0.165097, -0.158155, -0.1525, -0.135264, -0.0784869, -0.0621978, -0.0400071, -0.0313141, -0.0223149, -0.0125464, -0.00829794, -0.00345639, -0.00225829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0202402");
-            index_3 ("0.0465424, 0.0590199, 0.0672195, 0.0724106, 0.0783293, 0.0869476, 0.097963, 0.109366, 0.116817, 0.13172, 0.143698, 0.155081, 0.174531, 0.178593, 0.192518, 0.196363, 0.204052, 0.220576, 0.23854, 0.252748, 0.263034, 0.276747, 0.288479, 0.303115, 0.321678, 0.330087, 0.342827, 0.359812, 0.393784, 0.443375, 0.503946");
-            values ( \
-              "-0.00236262, -0.114432, -0.14975, -0.164527, -0.175695, -0.186196, -0.192196, -0.193949, -0.193746, -0.191796, -0.189054, -0.185767, -0.17821, -0.176124, -0.167342, -0.164222, -0.156635, -0.132782, -0.10194, -0.0798379, -0.0660203, -0.0504812, -0.039749, -0.0292039, -0.0194391, -0.0161477, -0.0121539, -0.00825567, -0.00365829, -0.000999263, -0.000182397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0426709");
-            index_3 ("0.0550334, 0.0689851, 0.0785195, 0.0868364, 0.095869, 0.102962, 0.11242, 0.124083, 0.13561, 0.173155, 0.212697, 0.250809, 0.290436, 0.308238, 0.327226, 0.350242, 0.384172, 0.423586, 0.450393, 0.469526, 0.489373, 0.515632, 0.538636, 0.569308, 0.608023, 0.63184, 0.679473, 0.744729, 0.809986, 0.875242");
-            values ( \
-              "-0.151526, -0.161819, -0.183991, -0.194878, -0.201494, -0.20417, -0.205984, -0.206562, -0.206198, -0.202835, -0.198023, -0.192305, -0.184381, -0.179616, -0.173242, -0.162411, -0.137464, -0.103109, -0.0820999, -0.0690241, -0.0571784, -0.0440882, -0.0348665, -0.0252278, -0.0166185, -0.0128015, -0.00747739, -0.00348978, -0.00161491, -0.000747515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.08996");
-            index_3 ("0.0485475, 0.0626218, 0.0746359, 0.0820304, 0.0992186, 0.110438, 0.123191, 0.137401, 0.184014, 0.291442, 0.370862, 0.452463, 0.53706, 0.602316, 0.626799, 0.672984, 0.729068, 0.848426, 0.910727, 0.950982, 1.00299, 1.04659, 1.09495, 1.16021, 1.20756, 1.29418, 1.35944, 1.48995, 1.62046, 1.75098");
-            values ( \
-              "-0.0428285, -0.141552, -0.18065, -0.193825, -0.208383, -0.211838, -0.213289, -0.213584, -0.211966, -0.206382, -0.201513, -0.195474, -0.187153, -0.177779, -0.173058, -0.160921, -0.139673, -0.0898909, -0.0686001, -0.0570263, -0.0444648, -0.0358857, -0.0281353, -0.0200561, -0.0156902, -0.00991255, -0.006946, -0.00340854, -0.00166003, -0.0008013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0605804, 0.0787723, 0.0814296, 0.084741, 0.0866419, 0.09046, 0.0942312, 0.0980019, 0.0996763, 0.111516, 0.115325, 0.120123, 0.125523, 0.130235, 0.135463");
-            values ( \
-              "-0.00234937, -0.0869374, -0.0928074, -0.0982132, -0.1005, -0.103297, -0.103023, -0.097352, -0.0927849, -0.0335833, -0.0212083, -0.0114455, -0.00561882, -0.00296196, -0.00166184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00455385");
-            index_3 ("0.0611951, 0.0843063, 0.0906088, 0.096561, 0.102382, 0.1082, 0.114304, 0.120343, 0.133182, 0.13915, 0.145239, 0.151648, 0.163295, 0.170786");
-            values ( \
-              "-0.0169017, -0.124607, -0.135013, -0.140245, -0.141665, -0.13907, -0.129814, -0.110434, -0.0528622, -0.0344734, -0.0216689, -0.0130041, -0.00493023, -0.00291655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00960056");
-            index_3 ("0.0619908, 0.0766718, 0.0812721, 0.0874444, 0.0946479, 0.10325, 0.108461, 0.115024, 0.125042, 0.130042, 0.135363, 0.145741, 0.147232, 0.153198, 0.168515, 0.174644, 0.180725, 0.188833, 0.193144, 0.198586, 0.205842, 0.211895, 0.217975, 0.226082, 0.242295, 0.266396, 0.295524");
-            values ( \
-              "-0.000786181, -0.116992, -0.134625, -0.150836, -0.162754, -0.170286, -0.172256, -0.172904, -0.170905, -0.168453, -0.164795, -0.153275, -0.15099, -0.138849, -0.0926472, -0.0753041, -0.0603591, -0.044018, -0.0370023, -0.0295587, -0.021714, -0.0166977, -0.0127986, -0.00892006, -0.00417388, -0.00123382, -0.000252903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0202402");
-            index_3 ("0.0628216, 0.0748632, 0.0818977, 0.0895073, 0.0940416, 0.102685, 0.111878, 0.117236, 0.12438, 0.132516, 0.148468, 0.157317, 0.174055, 0.190799, 0.212058, 0.218149, 0.22627, 0.236348, 0.255827, 0.267849, 0.279831, 0.295806, 0.30428, 0.314982, 0.329251, 0.341197, 0.353191, 0.369184, 0.401169, 0.448598, 0.506011");
-            values ( \
-              "-0.00473756, -0.116365, -0.147747, -0.167791, -0.176182, -0.186203, -0.191706, -0.193145, -0.193891, -0.193827, -0.191558, -0.18956, -0.184697, -0.17788, -0.164271, -0.158434, -0.148434, -0.132618, -0.0992388, -0.0806637, -0.0646236, -0.0471103, -0.039611, -0.0316511, -0.0232617, -0.0178811, -0.0137006, -0.00954464, -0.00446087, -0.00131974, -0.000268366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0426709");
-            index_3 ("0.0707202, 0.0838335, 0.094208, 0.103247, 0.113905, 0.123284, 0.134453, 0.151269, 0.188818, 0.228362, 0.266472, 0.3061, 0.323889, 0.342864, 0.365954, 0.399848, 0.465677, 0.485912, 0.512893, 0.538237, 0.569797, 0.606625, 0.648019, 0.680947, 0.746204, 0.798355");
-            values ( \
-              "-0.152326, -0.159711, -0.184307, -0.195885, -0.202703, -0.205361, -0.206444, -0.206295, -0.202882, -0.198022, -0.192304, -0.184382, -0.17962, -0.173252, -0.162383, -0.137451, -0.0823697, -0.068556, -0.0529348, -0.0410986, -0.0296562, -0.0199629, -0.0127268, -0.008821, -0.00412523, -0.0023562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.08996");
-            index_3 ("0.0650763, 0.0787136, 0.0903091, 0.0976947, 0.114862, 0.126158, 0.138932, 0.153039, 0.229367, 0.307079, 0.386499, 0.468101, 0.552697, 0.617953, 0.642436, 0.688621, 0.744706, 0.864063, 0.926366, 0.96662, 1.01862, 1.06223, 1.11059, 1.17584, 1.2232, 1.30982, 1.37508, 1.44034, 1.57085, 1.76662");
-            values ( \
-              "-0.0507064, -0.144378, -0.181069, -0.19418, -0.208524, -0.211843, -0.213362, -0.213541, -0.210578, -0.206428, -0.201558, -0.195519, -0.187198, -0.177734, -0.173103, -0.160875, -0.139721, -0.0899393, -0.0686486, -0.0569772, -0.044515, -0.0359362, -0.0280851, -0.0201061, -0.0156407, -0.00986301, -0.00699502, -0.00485558, -0.00236746, -0.00085051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.102493, 0.107309, 0.110446, 0.113974, 0.120873, 0.124855, 0.128684, 0.132459, 0.136233, 0.137903, 0.149739, 0.153569, 0.158362, 0.163746, 0.168442, 0.172933");
-            values ( \
-              "-0.00337649, -0.0496011, -0.0671856, -0.0789122, -0.0949681, -0.10025, -0.103268, -0.102885, -0.0973477, -0.0927601, -0.0336203, -0.0211823, -0.0114395, -0.00562817, -0.00297444, -0.00185307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00455385");
-            index_3 ("0.101113, 0.115473, 0.122589, 0.128911, 0.134861, 0.140685, 0.143379, 0.146515, 0.152378, 0.153997, 0.159463, 0.17156, 0.178352, 0.185385, 0.191924, 0.19631, 0.205081, 0.208687");
-            values ( \
-              "-0.00873375, -0.105473, -0.124769, -0.134941, -0.140155, -0.141553, -0.140873, -0.138869, -0.130268, -0.126582, -0.106586, -0.0524766, -0.0321449, -0.0186727, -0.0110664, -0.00772824, -0.00365152, -0.00295043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00960056");
-            index_3 ("0.101135, 0.114688, 0.119613, 0.125792, 0.132962, 0.141658, 0.146594, 0.153337, 0.163354, 0.168344, 0.173673, 0.184051, 0.185544, 0.191516, 0.206826, 0.212954, 0.219034, 0.227142, 0.231455, 0.236898, 0.244155, 0.250206, 0.256285, 0.264391, 0.280601, 0.304726, 0.333882");
-            values ( \
-              "-0.00502394, -0.116422, -0.135051, -0.151135, -0.162915, -0.170412, -0.172266, -0.172951, -0.170919, -0.168473, -0.164804, -0.15328, -0.150991, -0.138832, -0.0926448, -0.0753034, -0.0603591, -0.0440187, -0.0370017, -0.0295567, -0.0217111, -0.0166965, -0.0127982, -0.00892042, -0.00417467, -0.00123218, -0.000251971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0202402");
-            index_3 ("0.106876, 0.113434, 0.121453, 0.126155, 0.132485, 0.141204, 0.152074, 0.161076, 0.170924, 0.187573, 0.209177, 0.229206, 0.239172, 0.250446, 0.272108, 0.291874, 0.318616, 0.339812, 0.361013, 0.377287, 0.394085, 0.406932, 0.432626, 0.466165");
-            values ( \
-              "-0.103429, -0.118921, -0.151631, -0.164613, -0.176538, -0.186678, -0.192387, -0.193918, -0.193815, -0.191499, -0.185794, -0.177854, -0.17242, -0.164219, -0.13728, -0.10337, -0.0643201, -0.0421922, -0.0269784, -0.0188818, -0.0130117, -0.00972777, -0.00535144, -0.00263889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0426709");
-            index_3 ("0.106843, 0.125104, 0.132733, 0.141139, 0.152378, 0.164383, 0.177139, 0.189743, 0.22728, 0.266822, 0.304934, 0.344561, 0.362359, 0.381344, 0.40438, 0.438301, 0.477272, 0.504418, 0.523843, 0.543616, 0.569807, 0.592665, 0.615524, 0.662059, 0.689865, 0.745477, 0.810733, 0.87599, 0.941246");
-            values ( \
-              "-0.102382, -0.169185, -0.184697, -0.195887, -0.202936, -0.205906, -0.206532, -0.206308, -0.202911, -0.198023, -0.192303, -0.184383, -0.179617, -0.173245, -0.162403, -0.13746, -0.103476, -0.0821708, -0.0688992, -0.0571121, -0.0440655, -0.0349008, -0.0274686, -0.0166334, -0.0122556, -0.00649651, -0.00302742, -0.00139948, -0.000647604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.08996");
-            index_3 ("0.113017, 0.145094, 0.153347, 0.177807, 0.212363, 0.345556, 0.506578, 0.591174, 0.65643, 0.680913, 0.727098, 0.783182, 0.902539, 0.964842, 1.0051, 1.0571, 1.14907, 1.21432, 1.26167, 1.3483, 1.41356, 1.47882, 1.60933, 1.8051");
-            values ( \
-              "-0.195335, -0.203605, -0.208762, -0.213497, -0.2132, -0.206472, -0.195549, -0.187224, -0.177708, -0.173126, -0.160851, -0.139747, -0.0899659, -0.0686644, -0.0569616, -0.0445302, -0.0280707, -0.0201203, -0.0156279, -0.00984979, -0.00700808, -0.00484223, -0.00235421, -0.00086356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.189502, 0.194115, 0.1954, 0.196474, 0.198412, 0.19958, 0.200748, 0.201527, 0.203084, 0.204491, 0.205897, 0.206575, 0.207252, 0.20793, 0.208607, 0.209073, 0.20954, 0.210006, 0.210607, 0.212496, 0.214381, 0.214978, 0.217096, 0.219055, 0.220044, 0.221032, 0.222021, 0.22301, 0.224987, 0.225975, 0.227025, 0.227642, 0.228136, 0.228876, 0.229863, 0.230849, 0.231297, 0.232641, 0.234593, 0.237659, 0.23889, 0.240737, 0.242112, 0.243877, 0.244481, 0.245285, 0.246492, 0.248102, 0.248907, 0.250479");
-            values ( \
-              "-0.0294823, -0.03032, -0.0357701, -0.0406219, -0.0500552, -0.0545705, -0.0587525, -0.0613553, -0.0661164, -0.0719489, -0.0785686, -0.0806757, -0.0824366, -0.0838514, -0.0849199, -0.0846565, -0.0845434, -0.0845807, -0.0848508, -0.088616, -0.0919941, -0.0929917, -0.0961147, -0.0991309, -0.0989003, -0.0985719, -0.0981455, -0.0976211, -0.0962787, -0.0954607, -0.0944621, -0.0931092, -0.0917943, -0.0895175, -0.0860669, -0.0821423, -0.0801089, -0.0729825, -0.0620771, -0.0458587, -0.0401085, -0.0327677, -0.0279925, -0.0223841, -0.0207351, -0.0187856, -0.016197, -0.013226, -0.0119268, -0.00971216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00455385");
-            index_3 ("0.189078, 0.198521, 0.202216, 0.210299, 0.214822, 0.219081, 0.225278, 0.23125, 0.237189, 0.243344, 0.244638, 0.249812, 0.261909, 0.26818, 0.275443, 0.280767, 0.284058, 0.290639, 0.301023");
-            values ( \
-              "-0.0209299, -0.0596015, -0.0807211, -0.108964, -0.120278, -0.128599, -0.136224, -0.138506, -0.137642, -0.128824, -0.125964, -0.108053, -0.0540227, -0.0345656, -0.0198068, -0.0129657, -0.00994593, -0.00574222, -0.00249038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00960056");
-            index_3 ("0.189648, 0.206603, 0.216679, 0.223347, 0.228947, 0.233931, 0.244517, 0.254187, 0.261999, 0.269574, 0.275401, 0.286482, 0.310198, 0.320895, 0.331605, 0.348459, 0.368156, 0.374483");
-            values ( \
-              "-0.0225955, -0.112253, -0.143997, -0.157494, -0.16396, -0.168658, -0.171653, -0.17014, -0.166331, -0.159821, -0.152324, -0.127771, -0.0598564, -0.0393783, -0.0252348, -0.0121367, -0.00495912, -0.00403931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0202402");
-            index_3 ("0.189941, 0.202182, 0.209442, 0.216517, 0.223078, 0.229132, 0.236517, 0.252686, 0.276889, 0.280901, 0.288926, 0.31973, 0.328382, 0.341558, 0.349452, 0.365773, 0.383349, 0.397853, 0.408603, 0.430273, 0.441423, 0.452635, 0.475448, 0.489083, 0.516353, 0.560819, 0.613342");
-            values ( \
-              "-0.0217198, -0.097337, -0.13165, -0.155143, -0.170666, -0.179465, -0.187392, -0.193447, -0.191993, -0.19048, -0.189321, -0.178545, -0.173541, -0.164218, -0.156399, -0.132776, -0.102579, -0.0799629, -0.0655564, -0.0426386, -0.0338133, -0.0266094, -0.0161101, -0.0118664, -0.00628461, -0.00204952, -0.000506677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0426709");
-            index_3 ("0.19851, 0.214143, 0.223252, 0.236941, 0.243281, 0.254369, 0.266767, 0.280765, 0.318324, 0.356034, 0.395979, 0.435606, 0.453434, 0.47245, 0.495309, 0.525788, 0.583449, 0.620959, 0.646721, 0.668005, 0.692653, 0.725517, 0.752218, 0.792786, 0.846876, 0.912133, 1.04265");
-            values ( \
-              "-0.143483, -0.153551, -0.178272, -0.196817, -0.200988, -0.204886, -0.206258, -0.206101, -0.202825, -0.198265, -0.192298, -0.184385, -0.179608, -0.173222, -0.16247, -0.14047, -0.0911796, -0.0650741, -0.0507208, -0.0409846, -0.031799, -0.0224099, -0.0167992, -0.0107579, -0.00579771, -0.00269958, -0.000577637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.08996");
-            index_3 ("0.205659, 0.250643, 0.262235, 0.28276, 0.312802, 0.436795, 0.516223, 0.597807, 0.682436, 0.721182, 0.772094, 0.837351, 0.99517, 1.0546, 1.09473, 1.14787, 1.19302, 1.24101, 1.30627, 1.35267, 1.43733, 1.50259, 1.6331, 1.76361, 1.89412");
-            values ( \
-              "-0.207783, -0.209565, -0.212304, -0.213468, -0.212694, -0.206361, -0.201488, -0.195455, -0.187117, -0.182057, -0.173033, -0.154521, -0.0893678, -0.0690632, -0.0574846, -0.0445668, -0.035696, -0.0280477, -0.0199822, -0.0157129, -0.0100304, -0.00703573, -0.00345607, -0.00168688, -0.000818408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.374969, 0.38214, 0.385629, 0.390087, 0.406042, 0.411398, 0.416231, 0.420793, 0.425323, 0.430227, 0.44006, 0.444048, 0.449177, 0.455099, 0.460282, 0.46158");
-            values ( \
-              "-0.0142987, -0.0186835, -0.0249705, -0.0366703, -0.068173, -0.0772013, -0.0837017, -0.0867319, -0.085135, -0.073471, -0.0313873, -0.0200062, -0.0107258, -0.00511545, -0.00263327, -0.00236755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00455385");
-            index_3 ("0.37796, 0.385727, 0.401681, 0.41603, 0.423145, 0.429794, 0.436285, 0.442768, 0.446396, 0.450134, 0.462556, 0.469586, 0.477393, 0.481238, 0.487069, 0.494844, 0.50261");
-            values ( \
-              "-0.0296924, -0.032273, -0.0767794, -0.110294, -0.120311, -0.12608, -0.126896, -0.121577, -0.114291, -0.10195, -0.0514398, -0.0315034, -0.017474, -0.0129481, -0.0081484, -0.00428841, -0.00242924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00960056");
-            index_3 ("0.36901, 0.388022, 0.409902, 0.421308, 0.433152, 0.4441, 0.454778, 0.469263, 0.482395, 0.510832, 0.523521, 0.534486, 0.545529, 0.560727");
-            values ( \
-              "-0.011578, -0.0414797, -0.110576, -0.137856, -0.154165, -0.16132, -0.162885, -0.156715, -0.139304, -0.0614909, -0.0378374, -0.0240972, -0.0149832, -0.00812982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0202402");
-            index_3 ("0.370429, 0.387269, 0.412824, 0.427324, 0.44258, 0.456547, 0.47221, 0.501389, 0.515316, 0.54327, 0.564945, 0.611372, 0.632647, 0.653931, 0.686912, 0.713491");
-            values ( \
-              "-0.0146896, -0.0440749, -0.129562, -0.161953, -0.179087, -0.185856, -0.187457, -0.182843, -0.178734, -0.163869, -0.137206, -0.0644555, -0.042222, -0.0269508, -0.0130228, -0.00772569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0426709");
-            index_3 ("0.386757, 0.402886, 0.414188, 0.42934, 0.442901, 0.452433, 0.4626, 0.479195, 0.485162, 0.527857, 0.542727, 0.587939, 0.61562, 0.637963, 0.679176, 0.69375, 0.710406, 0.770402, 0.819361, 0.86559, 0.891018, 0.920079, 0.944541, 0.969111, 1.00187, 1.06713, 1.13238, 1.14");
-            values ( \
-              "-0.0891765, -0.101439, -0.141736, -0.174689, -0.189845, -0.195863, -0.199485, -0.201786, -0.201886, -0.200782, -0.199663, -0.193764, -0.188919, -0.184033, -0.171014, -0.164043, -0.153544, -0.102649, -0.0667838, -0.0424616, -0.0327073, -0.0240503, -0.0184716, -0.0141399, -0.00983776, -0.00460196, -0.00214019, -0.00204202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.08996");
-            index_3 ("0.392141, 0.415817, 0.422255, 0.443353, 0.456642, 0.47029, 0.482958, 0.532917, 0.559971, 0.637705, 0.717165, 0.798753, 0.883387, 0.92214, 0.973046, 1.0383, 1.19612, 1.25555, 1.29569, 1.34882, 1.39397, 1.44197, 1.50723, 1.55362, 1.63829, 1.70354, 1.83406, 1.96457, 2.09508");
-            values ( \
-              "-0.124577, -0.149145, -0.165664, -0.195663, -0.203674, -0.207876, -0.20916, -0.211032, -0.210336, -0.206351, -0.201487, -0.19546, -0.187124, -0.182044, -0.17304, -0.154512, -0.0893746, -0.069068, -0.0574796, -0.0445714, -0.0357001, -0.028043, -0.0199864, -0.0157089, -0.0100265, -0.00703932, -0.00345967, -0.00169045, -0.000821943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.788588, 0.798947, 0.805898, 0.824823, 0.844494, 0.851038, 0.857029, 0.862789, 0.868737, 0.882376, 0.886933, 0.891833, 0.897009, 0.902925, 0.910407");
-            values ( \
-              "-0.00581846, -0.00919577, -0.0145036, -0.0363042, -0.0565487, -0.0625894, -0.0669325, -0.0684905, -0.0619654, -0.0221276, -0.0136624, -0.00785365, -0.00432231, -0.00215236, -0.00103813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00455385");
-            index_3 ("0.794878, 0.805266, 0.808434, 0.850375, 0.859581, 0.86779, 0.875449, 0.882983, 0.890504, 0.901877, 0.909415, 0.91413, 0.922053, 0.927856, 0.935592, 0.947016");
-            values ( \
-              "-0.018182, -0.0189381, -0.0222038, -0.083123, -0.0952343, -0.104461, -0.109453, -0.108561, -0.0956638, -0.0563105, -0.0349294, -0.025162, -0.0140109, -0.00900067, -0.004865, -0.00203475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00960056");
-            index_3 ("0.79528, 0.810441, 0.816668, 0.856156, 0.870471, 0.883025, 0.894705, 0.906169, 0.917621, 0.92577, 0.953409, 0.966087, 0.979438, 0.991015, 0.998747, 1.01421, 1.02032");
-            values ( \
-              "-0.016132, -0.0295121, -0.0383062, -0.109313, -0.131745, -0.144448, -0.150966, -0.150971, -0.144162, -0.131704, -0.0594945, -0.0365291, -0.0210395, -0.012794, -0.00911262, -0.00447541, -0.00368327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0202402");
-            index_3 ("0.789545, 0.819221, 0.866877, 0.880918, 0.893022, 0.902411, 0.920787, 0.926697, 0.942784, 0.959196, 0.971558, 0.984888, 1.00679, 1.05352, 1.07484, 1.0961, 1.1124, 1.12911, 1.16738, 1.17531");
-            values ( \
-              "-0.00275684, -0.0463654, -0.140525, -0.160632, -0.171432, -0.176772, -0.181628, -0.181915, -0.180531, -0.176249, -0.170827, -0.16212, -0.136155, -0.0641088, -0.0420668, -0.0269435, -0.0188615, -0.0130405, -0.00540992, -0.00477916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0426709");
-            index_3 ("0.814137, 0.840757, 0.870351, 0.880875, 0.895928, 0.921233, 0.935231, 0.948049, 0.96077, 0.980024, 0.999582, 1.0496, 1.08042, 1.11851, 1.12405, 1.13514, 1.15268, 1.17368, 1.22026, 1.2464, 1.26699, 1.30013, 1.3102, 1.32793, 1.3482, 1.38285, 1.41765, 1.4446, 1.4985, 1.56375, 1.62901, 1.69427");
-            values ( \
-              "-0.0789901, -0.0926172, -0.154569, -0.170471, -0.184845, -0.197026, -0.199021, -0.199598, -0.199398, -0.198104, -0.196132, -0.188956, -0.182715, -0.171297, -0.169061, -0.163843, -0.15323, -0.136709, -0.0968401, -0.0774835, -0.064121, -0.0463714, -0.0419128, -0.0349617, -0.0282932, -0.0194859, -0.0133503, -0.0099107, -0.00534794, -0.00248866, -0.00114904, -0.000532397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.08996");
-            index_3 ("0.814284, 0.84898, 0.868157, 0.89696, 0.923784, 0.944611, 0.966179, 1.00158, 1.04708, 1.14518, 1.25632, 1.32656, 1.39181, 1.4163, 1.46249, 1.51858, 1.63794, 1.70024, 1.7405, 1.7925, 1.8361, 1.88447, 1.94972, 1.99708, 2.08372, 2.14898, 2.21424, 2.34475, 2.54052");
-            values ( \
-              "-0.072958, -0.11378, -0.154892, -0.191637, -0.20471, -0.208199, -0.209292, -0.208627, -0.206629, -0.201235, -0.194077, -0.187111, -0.177764, -0.173034, -0.160914, -0.139667, -0.0898922, -0.0686074, -0.0570152, -0.0444761, -0.0359004, -0.02812, -0.0200721, -0.0156689, -0.0098891, -0.00696752, -0.00488139, -0.00239275, -0.000825963" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00175285, 0.00175464, 0.00175612, 0.00175694, 0.0017575, 0.00175777", \
-            "0.00195828, 0.00195936, 0.00196055, 0.00196147, 0.00196203, 0.00196234", \
-            "0.00207122, 0.00207143, 0.00207134, 0.00207182, 0.00207219, 0.00207242", \
-            "0.00213886, 0.00213886, 0.0021389, 0.00213902, 0.00213917, 0.00213929", \
-            "0.00218103, 0.00218102, 0.002181, 0.002181, 0.00218103, 0.00218109", \
-            "0.00220744, 0.00220745, 0.00220747, 0.00220751, 0.00220757, 0.00220762" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.0023095, 0.00231195, 0.0023148, 0.0023168, 0.00231796, 0.00231857", \
-            "0.00244604, 0.00244631, 0.00244719, 0.00244825, 0.00244908, 0.00244959", \
-            "0.00259314, 0.00259061, 0.00259436, 0.00259367, 0.00259351, 0.00259354", \
-            "0.00284959, 0.00284618, 0.00284253, 0.00283951, 0.00283762, 0.00283663", \
-            "0.00326275, 0.00328668, 0.00330046, 0.00331012, 0.00331497, 0.00331742", \
-            "0.00375391, 0.00374965, 0.00373625, 0.00379087, 0.00384661, 0.00387559" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00284335, 0.00287681, 0.00289106, 0.00288229, 0.00286634, 0.00285367", \
-            "0.00271984, 0.0027546, 0.00277455, 0.00277127, 0.00275792, 0.00274593", \
-            "0.00254791, 0.00258471, 0.00261458, 0.00262466, 0.00262022, 0.00261165", \
-            "0.00246883, 0.00248552, 0.00251299, 0.00253402, 0.00254092, 0.0025483", \
-            "0.00264947, 0.00266366, 0.00269041, 0.00271083, 0.00271489, 0.00270649", \
-            "0.00350141, 0.00348638, 0.00349744, 0.00351371, 0.00355367, 0.003506" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00750563, 0.00760336, 0.00768276, 0.00772537, 0.00774575, 0.00775512", \
-            "0.00740777, 0.00751044, 0.00759198, 0.00763892, 0.00766106, 0.0076712", \
-            "0.00724997, 0.00735683, 0.00745041, 0.00751567, 0.00754966, 0.00756631", \
-            "0.00720201, 0.00727883, 0.00737903, 0.00746304, 0.00753293, 0.0075666", \
-            "0.00750017, 0.0075566, 0.00763243, 0.00772013, 0.00781091, 0.00786362", \
-            "0.00848959, 0.0085177, 0.00857111, 0.00866704, 0.00876512, 0.00882803" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00290997, 0.00293506, 0.00291892, 0.00286566, 0.00280875, 0.00276867", \
-            "0.00276076, 0.00278643, 0.002779, 0.00273331, 0.00268112, 0.00264266", \
-            "0.00256229, 0.00258731, 0.00259346, 0.00256939, 0.0025314, 0.0024989", \
-            "0.00242693, 0.00244677, 0.00246805, 0.00247406, 0.002451, 0.00242532", \
-            "0.00247597, 0.00249996, 0.00252336, 0.00253169, 0.00252296, 0.00250016", \
-            "0.00314616, 0.00312617, 0.00313867, 0.00313275, 0.0031559, 0.00310406" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00455385, 0.00960056, 0.0202402, 0.0426709, 0.08996");
-          values ( \
-            "0.00819142, 0.00820959, 0.0081946, 0.00817481, 0.00815349, 0.00814036", \
-            "0.00809255, 0.00811855, 0.00811716, 0.00809726, 0.0080766, 0.00806331", \
-            "0.00796547, 0.00801142, 0.00802917, 0.00802681, 0.00801423, 0.00800335", \
-            "0.00794403, 0.00797576, 0.00801005, 0.00802819, 0.00803591, 0.00803685", \
-            "0.00823982, 0.0082703, 0.00829193, 0.00832967, 0.00835348, 0.00834652", \
-            "0.00933776, 0.00933931, 0.00935357, 0.0093452, 0.00935383, 0.00935382" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00271697;
-      rise_capacitance : 0.00271697;
-      rise_capacitance_range (0.00203136, 0.00271697);
-      fall_capacitance : 0.00271082;
-      fall_capacitance_range (0.00183158, 0.00271082);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00192868, 0.00219852, 0.00233994, 0.00241138, 0.00244235, 0.00245618" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00223731, 0.00215177, 0.00206213, 0.00196664, 0.00193816, 0.00192619" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00147357, 0.00161485, 0.00169443, 0.00174617, 0.00177483, 0.00178833" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00205778, 0.00225401, 0.00236191, 0.00241237, 0.0024247, 0.00242802" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00273426, -0.00273545, -0.00274506, -0.00274525, -0.00274346, -0.00274659" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00273478, 0.00273545, 0.00275215, 0.00276027, 0.00274346, 0.00274777" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00284042;
-      rise_capacitance : 0.00284042;
-      rise_capacitance_range (0.00221335, 0.00284042);
-      fall_capacitance : 0.00279871;
-      fall_capacitance_range (0.00206069, 0.00279871);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00201074, 0.00232877, 0.00249553, 0.00258371, 0.00262376, 0.00264054" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00253576, 0.002493, 0.00240024, 0.00232798, 0.00229132, 0.0022775" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00172701, 0.0019205, 0.00203179, 0.00209391, 0.00212882, 0.00214557" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00230953, 0.00250136, 0.002606, 0.00265674, 0.00266956, 0.00267611" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.0026418, -0.002647, -0.00263998, -0.00264194, -0.00264578, -0.00263506" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00264635, 0.00265283, 0.00264422, 0.00266311, 0.00265055, 0.00265052" \
-          );
-        }
-      }
-    }
-  }
-  cell (AND2X2) {
-    area : 10.2564;
-    cell_footprint : "AND2";
-    cell_leakage_power : 0.054393;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0563698;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0541126;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0543881;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0527015;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.054393;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.173065;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.0846255, 0.0971313, 0.121189, 0.171159, 0.286517, 0.56262", \
-            "0.0876633, 0.100163, 0.124218, 0.174244, 0.28958, 0.565706", \
-            "0.0958231, 0.10833, 0.132379, 0.18245, 0.297826, 0.573967", \
-            "0.116113, 0.128667, 0.152698, 0.202642, 0.318332, 0.594494", \
-            "0.143219, 0.157117, 0.182731, 0.233975, 0.349854, 0.626099", \
-            "0.164104, 0.181018, 0.209808, 0.262858, 0.378781, 0.655999" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.0262526, 0.0383276, 0.0661763, 0.134744, 0.30548, 0.720465", \
-            "0.0262648, 0.0383408, 0.0661711, 0.134746, 0.305523, 0.720465", \
-            "0.0262433, 0.0382931, 0.0661502, 0.134747, 0.305541, 0.720465", \
-            "0.0273301, 0.0392139, 0.0666462, 0.134935, 0.305505, 0.720465", \
-            "0.0326187, 0.0442387, 0.0706362, 0.137419, 0.306436, 0.720494", \
-            "0.04202, 0.0540688, 0.0790496, 0.142147, 0.308584, 0.721972" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.072816, 0.0833052, 0.103234, 0.143601, 0.234585, 0.451304", \
-            "0.0776549, 0.0881373, 0.108105, 0.148479, 0.239483, 0.456233", \
-            "0.0900402, 0.100493, 0.120467, 0.160862, 0.251924, 0.468626", \
-            "0.120539, 0.130985, 0.151027, 0.191402, 0.282208, 0.498968", \
-            "0.175098, 0.187328, 0.20915, 0.251298, 0.342611, 0.559355", \
-            "0.260905, 0.276537, 0.303106, 0.348745, 0.440995, 0.658441" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.0192936, 0.0281009, 0.0478381, 0.0952439, 0.213325, 0.502276", \
-            "0.0193021, 0.0281093, 0.0478464, 0.0952336, 0.213326, 0.502275", \
-            "0.0193161, 0.0281125, 0.0478569, 0.0952355, 0.213333, 0.502276", \
-            "0.0200305, 0.0287136, 0.0482795, 0.0954345, 0.213387, 0.502276", \
-            "0.0260721, 0.0347566, 0.053239, 0.0987133, 0.214543, 0.502295", \
-            "0.0355746, 0.0459742, 0.0643488, 0.106108, 0.218232, 0.504011" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.062575, 0.0664085, 0.0791873, 0.0838632, 0.0880792, 0.0920812, 0.0960398, 0.0999957, 0.107622, 0.113586, 0.119712, 0.124331, 0.130234, 0.138866, 0.150791, 0.170644");
-            values ( \
-              "0.0181052, 0.0285297, 0.0774233, 0.088996, 0.0954679, 0.098837, 0.0978154, 0.0893945, 0.050002, 0.0311119, 0.0192525, 0.0133338, 0.00835119, 0.00432321, 0.00193043, 0.000965027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00519052");
-            index_3 ("0.0631248, 0.0694504, 0.0769734, 0.0824896, 0.0865554, 0.093038, 0.0989651, 0.104708, 0.110592, 0.113632, 0.116764, 0.123027, 0.129242, 0.134205, 0.142735, 0.14878, 0.15253, 0.159098, 0.166179, 0.174354, 0.180828, 0.193778, 0.215254, 0.241664");
-            values ( \
-              "0.005616, 0.0535405, 0.0960478, 0.120919, 0.135244, 0.153168, 0.161629, 0.164504, 0.158588, 0.149795, 0.136115, 0.103121, 0.0770987, 0.0601936, 0.03883, 0.0280379, 0.022914, 0.0160059, 0.0108293, 0.00698404, 0.00495644, 0.00250384, 0.000830889, 0.00025494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0124727");
-            index_3 ("0.0628959, 0.0798846, 0.0863636, 0.0894956, 0.0936716, 0.0991569, 0.109385, 0.119089, 0.128794, 0.138478, 0.144959, 0.161289, 0.174227, 0.184358, 0.191157, 0.201377, 0.212516, 0.217518, 0.225714, 0.237429, 0.249771, 0.259255, 0.278222, 0.306688");
-            values ( \
-              "0.00318019, 0.129063, 0.163919, 0.177941, 0.193814, 0.210422, 0.228797, 0.234096, 0.229481, 0.213974, 0.195159, 0.137838, 0.100098, 0.0762154, 0.0631385, 0.0470894, 0.0338771, 0.0291638, 0.0227349, 0.0158443, 0.010841, 0.00807319, 0.00442267, 0.00191847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0299716");
-            index_3 ("0.062816, 0.0859812, 0.0956553, 0.101401, 0.112892, 0.121596, 0.137904, 0.145315, 0.155196, 0.167085, 0.178728, 0.198534, 0.208555, 0.251675, 0.266046, 0.281363, 0.30144, 0.319368, 0.332971, 0.355406, 0.365138, 0.382363, 0.40673, 0.422459, 0.451811, 0.490946, 0.564531, 0.645283");
-            values ( \
-              "0.00426041, 0.177039, 0.224317, 0.24493, 0.272602, 0.2837, 0.290595, 0.289361, 0.285316, 0.27764, 0.267519, 0.242917, 0.226434, 0.149313, 0.126842, 0.105583, 0.0817924, 0.0646046, 0.0536578, 0.0391967, 0.0341474, 0.0266008, 0.0185869, 0.0147132, 0.00947847, 0.00519255, 0.00146444, 0.00036688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.072021");
-            index_3 ("0.0754943, 0.112639, 0.126604, 0.142445, 0.160167, 0.16671, 0.179795, 0.207058, 0.249233, 0.276025, 0.293942, 0.329777, 0.342762, 0.368212, 0.470309, 0.501854, 0.53483, 0.576726, 0.609269, 0.652659, 0.68639, 0.713571, 0.749813, 0.809733, 0.837281, 0.877616, 0.931397, 1.01215, 1.0929, 1.17365, 1.33516");
-            values ( \
-              "0.174016, 0.292308, 0.314285, 0.324994, 0.326737, 0.326282, 0.323408, 0.315591, 0.299822, 0.288437, 0.279686, 0.25943, 0.250331, 0.231696, 0.150901, 0.128909, 0.108442, 0.0856623, 0.0709014, 0.054742, 0.0442976, 0.0373573, 0.029808, 0.0200344, 0.0167323, 0.0128251, 0.0090931, 0.00513204, 0.00307485, 0.00164888, 0.00049357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.173065");
-            index_3 ("0.0761309, 0.110564, 0.12338, 0.133538, 0.150884, 0.165219, 0.178945, 0.204988, 0.236989, 0.41304, 0.545188, 0.620291, 0.685509, 0.766261, 0.970961, 1.11224, 1.19299, 1.26036, 1.32201, 1.39508, 1.47583, 1.5982, 1.73988, 1.84588, 1.97713, 2.13863, 2.30013, 2.62314, 2.94615");
-            values ( \
-              "0.185656, 0.295302, 0.321816, 0.333471, 0.343998, 0.346587, 0.347181, 0.34507, 0.340999, 0.313394, 0.289624, 0.272717, 0.254579, 0.228919, 0.159343, 0.117724, 0.0973433, 0.0826487, 0.0708521, 0.0588913, 0.0475183, 0.0342122, 0.0231896, 0.0172624, 0.0119316, 0.00753535, 0.0047378, 0.00184485, 0.000692898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0760858, 0.0800239, 0.0928527, 0.0975332, 0.101752, 0.105756, 0.109716, 0.113673, 0.121304, 0.127266, 0.133388, 0.13801, 0.143915, 0.152546, 0.164465, 0.183363");
-            values ( \
-              "0.0174323, 0.0282656, 0.0773526, 0.0888974, 0.0954457, 0.0987708, 0.0978174, 0.0893497, 0.0499775, 0.0311063, 0.019256, 0.0133331, 0.0083496, 0.00432283, 0.0019313, 0.00100808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00519052");
-            index_3 ("0.0776388, 0.0832411, 0.0926477, 0.100403, 0.106891, 0.112821, 0.118565, 0.124299, 0.127468, 0.136935, 0.147853, 0.157473, 0.166082, 0.176767, 0.193053, 0.201524");
-            values ( \
-              "0.029897, 0.0530512, 0.104322, 0.135312, 0.152868, 0.161762, 0.164268, 0.158915, 0.149861, 0.102869, 0.060846, 0.0370639, 0.0233026, 0.0129742, 0.00540017, 0.0036549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0124727");
-            index_3 ("0.0730955, 0.107596, 0.112816, 0.123035, 0.132745, 0.142449, 0.152132, 0.158619, 0.17493, 0.187905, 0.204792, 0.214993, 0.226168, 0.239386, 0.251092, 0.27286, 0.291772, 0.309979");
-            values ( \
-              "0.00428109, 0.194838, 0.21047, 0.228845, 0.2341, 0.229511, 0.213965, 0.195142, 0.137884, 0.100033, 0.0631713, 0.0471404, 0.0338775, 0.0227217, 0.0158392, 0.00808542, 0.00443755, 0.00282371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0299716");
-            index_3 ("0.0847037, 0.093223, 0.102928, 0.113766, 0.117882, 0.123371, 0.13531, 0.150088, 0.154059, 0.162002, 0.172848, 0.19174, 0.196552, 0.213603, 0.268269, 0.296595, 0.315695, 0.331393, 0.343421, 0.363369, 0.380523, 0.403396, 0.422696, 0.448724, 0.483428, 0.550725, 0.629099");
-            values ( \
-              "0.116698, 0.136831, 0.194999, 0.241147, 0.253543, 0.266527, 0.283904, 0.2905, 0.290338, 0.288346, 0.28309, 0.268307, 0.263267, 0.24066, 0.144712, 0.103594, 0.0812621, 0.066084, 0.0561362, 0.0425063, 0.0333188, 0.0238757, 0.0179679, 0.0122032, 0.00717378, 0.00234537, 0.000595441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.072021");
-            index_3 ("0.0854554, 0.109039, 0.118488, 0.131318, 0.140428, 0.156452, 0.172852, 0.180554, 0.195958, 0.220911, 0.275785, 0.320991, 0.34738, 0.375, 0.470076, 0.518742, 0.572936, 0.611893, 0.633891, 0.677887, 0.703621, 0.747741, 0.798164, 0.849388, 0.92736, 1.00811, 1.08886, 1.16962, 1.33112");
-            values ( \
-              "0.113303, 0.234589, 0.27078, 0.301923, 0.31416, 0.324912, 0.32679, 0.326151, 0.322891, 0.31548, 0.294392, 0.272899, 0.256746, 0.236925, 0.161435, 0.126961, 0.0946951, 0.0757749, 0.0665664, 0.0509395, 0.0434484, 0.0329027, 0.0237704, 0.0170277, 0.0101692, 0.00587286, 0.00338934, 0.00194373, 0.000638881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.173065");
-            index_3 ("0.0990058, 0.137194, 0.147322, 0.164534, 0.178929, 0.192719, 0.218591, 0.250675, 0.34939, 0.478208, 0.583995, 0.634064, 0.699282, 0.780034, 0.984734, 1.12601, 1.20676, 1.29468, 1.40885, 1.4896, 1.61197, 1.69272, 1.75365, 1.8344, 1.9909, 2.1524, 2.39466, 2.54118");
-            values ( \
-              "0.320083, 0.322026, 0.333705, 0.343943, 0.34668, 0.347133, 0.345154, 0.340952, 0.325821, 0.304548, 0.284271, 0.272662, 0.254633, 0.228864, 0.159397, 0.117672, 0.097396, 0.0786508, 0.058841, 0.0475683, 0.0342619, 0.0275273, 0.0232394, 0.0186143, 0.01198, 0.00758358, 0.00383819, 0.00266131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.110385, 0.115502, 0.120604, 0.126667, 0.131336, 0.13555, 0.139551, 0.143509, 0.147464, 0.155091, 0.157634, 0.161053, 0.167177, 0.171797, 0.177701, 0.183204, 0.186332, 0.191441, 0.198254, 0.211879, 0.221858");
-            values ( \
-              "0.0150245, 0.0350183, 0.057906, 0.0777103, 0.0888598, 0.0956847, 0.0986998, 0.0979909, 0.0892606, 0.0500011, 0.0407024, 0.0311156, 0.0192559, 0.0133348, 0.00835135, 0.00543817, 0.00432325, 0.00302132, 0.0019309, 0.000871845, 0.000600563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00519052");
-            index_3 ("0.111033, 0.117001, 0.124499, 0.129748, 0.133961, 0.14043, 0.146349, 0.152087, 0.15797, 0.161095, 0.172941, 0.182348, 0.189258, 0.194135, 0.198726, 0.204424, 0.212022, 0.216749, 0.224533, 0.234911, 0.254575, 0.255352");
-            values ( \
-              "0.0078938, 0.0547915, 0.097307, 0.120859, 0.135522, 0.153501, 0.161714, 0.164696, 0.158644, 0.149522, 0.0920776, 0.0578674, 0.0406048, 0.0312908, 0.0244363, 0.0179112, 0.0117651, 0.00911284, 0.00601157, 0.00346517, 0.00122616, 0.00121014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0124727");
-            index_3 ("0.109134, 0.131778, 0.134811, 0.140878, 0.146543, 0.156759, 0.166457, 0.176156, 0.185837, 0.192357, 0.208575, 0.221731, 0.238409, 0.248519, 0.25987, 0.273182, 0.28485, 0.30634, 0.324993, 0.344022");
-            values ( \
-              "0.00035893, 0.154686, 0.16909, 0.193811, 0.210569, 0.229171, 0.234027, 0.229719, 0.213843, 0.195068, 0.138106, 0.0997185, 0.0633238, 0.047381, 0.0338756, 0.0226548, 0.015815, 0.00814055, 0.00450907, 0.00278588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0299716");
-            index_3 ("0.109307, 0.136722, 0.142162, 0.148877, 0.160448, 0.169047, 0.184882, 0.193672, 0.206586, 0.230482, 0.247354, 0.302009, 0.330367, 0.364942, 0.385594, 0.402374, 0.423822, 0.451874, 0.484607, 0.511065, 0.563981, 0.585533");
-            values ( \
-              "0.00133497, 0.19557, 0.220999, 0.245434, 0.273101, 0.283859, 0.290676, 0.289148, 0.283104, 0.263088, 0.240658, 0.144721, 0.103559, 0.0662415, 0.0499172, 0.0394584, 0.0290264, 0.019181, 0.0118065, 0.00791121, 0.00340369, 0.00269782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.072021");
-            index_3 ("0.123049, 0.137433, 0.152184, 0.163106, 0.174106, 0.190221, 0.206966, 0.228769, 0.254592, 0.29676, 0.341468, 0.381676, 0.407604, 0.475864, 0.531506, 0.600199, 0.6345, 0.672928, 0.740168, 0.798523, 0.858933, 0.922726, 0.973168, 1.05392, 1.13467, 1.21542, 1.37693");
-            values ( \
-              "0.195968, 0.208668, 0.271111, 0.298405, 0.314254, 0.324924, 0.326839, 0.323152, 0.315452, 0.299714, 0.279795, 0.256474, 0.237863, 0.18321, 0.141202, 0.0981859, 0.0808516, 0.064497, 0.0426614, 0.0294958, 0.0199088, 0.0131181, 0.00936551, 0.00542403, 0.00311082, 0.00180195, 0.000599817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.173065");
-            index_3 ("0.135168, 0.212614, 0.226523, 0.284266, 0.460617, 0.592764, 0.667868, 0.733086, 0.813838, 1.01854, 1.15981, 1.24057, 1.30794, 1.36958, 1.44266, 1.52341, 1.64578, 1.78746, 1.89346, 2.02471, 2.18621, 2.34771, 2.67072, 2.99373");
-            values ( \
-              "0.333959, 0.346596, 0.347214, 0.341059, 0.313411, 0.289641, 0.272735, 0.254562, 0.228935, 0.159328, 0.117737, 0.0973305, 0.0826379, 0.0708419, 0.0589012, 0.0475083, 0.0342024, 0.02318, 0.0172528, 0.0119221, 0.00752601, 0.00472861, 0.00183576, 0.000683781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.185754, 0.192295, 0.210255, 0.217033, 0.220251, 0.223129, 0.225283, 0.229351, 0.237328, 0.243319, 0.248608, 0.257554, 0.26983, 0.287074, 0.291011");
-            values ( \
-              "0.00894483, 0.0201479, 0.0780208, 0.0905736, 0.094707, 0.0959806, 0.0952361, 0.0878688, 0.0483774, 0.0303145, 0.0200548, 0.00991595, 0.00391943, 0.0013005, 0.00122585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00519052");
-            index_3 ("0.187376, 0.191654, 0.195596, 0.197794, 0.200943, 0.206799, 0.213072, 0.215134, 0.218139, 0.221958, 0.228126, 0.233975, 0.239837, 0.245713, 0.246214, 0.249223, 0.253235, 0.256663, 0.260732, 0.266118, 0.268324, 0.26941, 0.271583, 0.2751, 0.279732, 0.281032, 0.283632, 0.288534, 0.295583, 0.29908, 0.300793, 0.30422, 0.311075, 0.324783, 0.331797");
-            values ( \
-              "0.0165286, 0.0259356, 0.0399063, 0.0500778, 0.065094, 0.0945578, 0.118678, 0.12618, 0.136044, 0.146587, 0.157562, 0.161397, 0.157027, 0.138305, 0.135267, 0.119692, 0.0995677, 0.0848552, 0.0695682, 0.053155, 0.0475173, 0.0449333, 0.0401221, 0.0333102, 0.0259646, 0.024212, 0.0210299, 0.0160847, 0.010917, 0.009045, 0.00825058, 0.00687581, 0.00478223, 0.00232837, 0.00182026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0124727");
-            index_3 ("0.200456, 0.213717, 0.215609, 0.217779, 0.219873, 0.222614, 0.223984, 0.224984, 0.227984, 0.229983, 0.231983, 0.233983, 0.235982, 0.237826, 0.23967, 0.240899, 0.242128, 0.243357, 0.24703, 0.250689, 0.253125, 0.257995, 0.258026, 0.260389, 0.262612, 0.264917, 0.266548, 0.26818, 0.269484, 0.273396, 0.282692, 0.291728, 0.294215, 0.298444, 0.301264, 0.306273, 0.307943, 0.312229, 0.318737, 0.323181, 0.326573, 0.329964, 0.334514, 0.34184, 0.346004, 0.347392, 0.352945, 0.358497, 0.365467, 0.372039");
-            values ( \
-              "0.147652, 0.148267, 0.156, 0.166582, 0.175999, 0.187149, 0.192224, 0.195481, 0.204382, 0.209432, 0.214009, 0.218115, 0.221748, 0.22468, 0.227179, 0.228592, 0.229806, 0.230821, 0.230504, 0.229943, 0.229434, 0.22809, 0.228212, 0.22556, 0.2224, 0.218411, 0.215014, 0.211227, 0.207787, 0.196502, 0.165111, 0.133984, 0.126658, 0.113568, 0.105386, 0.0927881, 0.0888297, 0.079344, 0.0659909, 0.0579317, 0.0527374, 0.0478997, 0.0421282, 0.0335204, 0.0294296, 0.0281815, 0.0239704, 0.0202745, 0.016441, 0.0131496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0299716");
-            index_3 ("0.19674, 0.210179, 0.22216, 0.229718, 0.238229, 0.250348, 0.265001, 0.269238, 0.277711, 0.288081, 0.307022, 0.316958, 0.336552, 0.385413, 0.412582, 0.445882, 0.465435, 0.483774, 0.507115, 0.533984, 0.564643, 0.589061, 0.637897, 0.673478");
-            values ( \
-              "0.103526, 0.139101, 0.205112, 0.236141, 0.260556, 0.280632, 0.288973, 0.289061, 0.287368, 0.282577, 0.268129, 0.257149, 0.227998, 0.141629, 0.102628, 0.0667321, 0.05108, 0.0395105, 0.0282746, 0.0190209, 0.0120764, 0.00834936, 0.00386631, 0.00253068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.072021");
-            index_3 ("0.197032, 0.22101, 0.227534, 0.233918, 0.246686, 0.256178, 0.272422, 0.284704, 0.296448, 0.316326, 0.336809, 0.378979, 0.406118, 0.423688, 0.458828, 0.472504, 0.497932, 0.529019, 0.588297, 0.600052, 0.631604, 0.664577, 0.706471, 0.739009, 0.782394, 0.816131, 0.843317, 0.879566, 0.939479, 0.967024, 1.00735, 1.06112, 1.14187, 1.22263, 1.30338, 1.46488");
-            values ( \
-              "0.0801263, 0.207079, 0.239438, 0.263935, 0.29786, 0.311872, 0.324001, 0.326138, 0.325823, 0.321573, 0.31545, 0.299775, 0.288242, 0.27972, 0.259841, 0.250367, 0.231678, 0.206508, 0.159642, 0.150933, 0.12893, 0.108415, 0.0856862, 0.070927, 0.0547207, 0.0443225, 0.0373807, 0.0297823, 0.020058, 0.0167561, 0.0128495, 0.00907065, 0.00515635, 0.00305165, 0.00167271, 0.000517222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.173065");
-            index_3 ("0.214551, 0.253842, 0.262965, 0.27933, 0.29425, 0.308463, 0.33331, 0.365554, 0.462905, 0.593966, 0.699753, 0.749822, 0.81504, 0.895792, 1.10049, 1.24177, 1.32252, 1.41044, 1.52461, 1.60536, 1.72773, 1.86941, 1.97541, 2.10666, 2.26816, 2.42966, 2.75267, 3.07568");
-            values ( \
-              "0.320768, 0.320814, 0.331854, 0.342791, 0.346199, 0.346949, 0.345178, 0.341091, 0.326197, 0.304524, 0.284247, 0.272687, 0.254608, 0.22889, 0.159371, 0.117699, 0.0973686, 0.07868, 0.0588705, 0.0475389, 0.0342322, 0.0232092, 0.0172812, 0.0119499, 0.0075534, 0.00475561, 0.00186214, 0.000709656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.345448, 0.35204, 0.355606, 0.360601, 0.364183, 0.373934, 0.379078, 0.385539, 0.39111, 0.396192, 0.401037, 0.405884, 0.408767, 0.413988, 0.416754, 0.420471, 0.427165, 0.432139, 0.438567, 0.444635, 0.448232, 0.454281, 0.462347, 0.478478, 0.478916");
-            values ( \
-              "0.0041937, 0.00719317, 0.0100574, 0.0162174, 0.0225477, 0.0445522, 0.0546428, 0.0657728, 0.0739353, 0.0791167, 0.0814158, 0.0776245, 0.0680451, 0.0465681, 0.0377865, 0.028831, 0.01771, 0.0122343, 0.0075887, 0.0048803, 0.00382897, 0.00261017, 0.00164013, 0.000744318, 0.000735597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00519052");
-            index_3 ("0.340406, 0.359589, 0.36637, 0.396137, 0.403357, 0.410065, 0.416613, 0.42315, 0.435194, 0.444489, 0.452775, 0.462904, 0.474993, 0.490132, 0.501074");
-            values ( \
-              "0.00467718, 0.0210645, 0.0369682, 0.122429, 0.135913, 0.142818, 0.143077, 0.129367, 0.0787447, 0.0506997, 0.0335013, 0.0197478, 0.010385, 0.00477019, 0.00327091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0124727");
-            index_3 ("0.344595, 0.359007, 0.363728, 0.373354, 0.394885, 0.403058, 0.414885, 0.425591, 0.436011, 0.44641, 0.450066, 0.473676, 0.486275, 0.50336, 0.521748, 0.540503, 0.551697, 0.570139, 0.581253");
-            values ( \
-              "0.00972086, 0.0254918, 0.0367439, 0.0674201, 0.150113, 0.176324, 0.203451, 0.215334, 0.216604, 0.205382, 0.197278, 0.123548, 0.090078, 0.0566348, 0.0333674, 0.0190677, 0.0135898, 0.00771312, 0.00580947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0299716");
-            index_3 ("0.358822, 0.375896, 0.399989, 0.404947, 0.414863, 0.427546, 0.447446, 0.46687, 0.486303, 0.494491, 0.503404, 0.515445, 0.522811, 0.553521, 0.575062, 0.588501, 0.609838, 0.6215, 0.629144, 0.642347, 0.659468, 0.66405, 0.673213, 0.690638, 0.715156, 0.726191, 0.741248, 0.761324, 0.801476, 0.872987, 0.953739");
-            values ( \
-              "0.0408635, 0.0855735, 0.190239, 0.208626, 0.238384, 0.263318, 0.279034, 0.276583, 0.263954, 0.255864, 0.244969, 0.22711, 0.214925, 0.160397, 0.126235, 0.107473, 0.0820526, 0.070447, 0.0635993, 0.0531255, 0.0418184, 0.0392085, 0.0344121, 0.0267562, 0.0186311, 0.0158315, 0.0126601, 0.00936708, 0.0050248, 0.00149971, 0.000358321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.072021");
-            index_3 ("0.358825, 0.3882, 0.408594, 0.422191, 0.432853, 0.45325, 0.474536, 0.491986, 0.53419, 0.572973, 0.60261, 0.647895, 0.673372, 0.776272, 0.81111, 0.843669, 0.885563, 0.917896, 0.961008, 0.995052, 1.02247, 1.05903, 1.11865, 1.14606, 1.18602, 1.2393, 1.32006, 1.40081, 1.48156, 1.64306");
-            values ( \
-              "0.0324805, 0.144159, 0.233526, 0.274342, 0.29457, 0.315088, 0.319623, 0.317605, 0.307362, 0.293207, 0.279783, 0.253068, 0.234287, 0.153017, 0.128679, 0.108311, 0.0857171, 0.0710463, 0.0547972, 0.0444123, 0.0374089, 0.0296406, 0.0200974, 0.0168098, 0.0129329, 0.00906996, 0.00523875, 0.00301697, 0.00173465, 0.000572062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.173065");
-            index_3 ("0.388117, 0.43263, 0.455401, 0.47184, 0.486978, 0.536761, 0.578671, 0.754273, 0.810858, 0.878849, 0.959601, 0.994309, 1.05435, 1.27846, 1.34257, 1.42332, 1.50407, 1.58876, 1.70205, 1.7828, 1.90685, 1.9876, 2.04854, 2.12929, 2.28584, 2.44734, 2.6896, 2.93186, 3.25486");
-            values ( \
-              "0.288614, 0.305378, 0.331776, 0.338934, 0.341415, 0.340722, 0.335779, 0.307825, 0.297808, 0.284321, 0.264603, 0.254616, 0.235701, 0.159795, 0.139877, 0.117017, 0.0968565, 0.078799, 0.059086, 0.0478021, 0.0342819, 0.0275133, 0.0232573, 0.0185993, 0.0119952, 0.00759882, 0.00382236, 0.00190741, 0.000754507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.699897, 0.717147, 0.726318, 0.732803, 0.746204, 0.754401, 0.765376, 0.772075, 0.778399, 0.784621, 0.790831, 0.800489, 0.805244, 0.812937, 0.82389, 0.833898, 0.848693, 0.863914");
-            values ( \
-              "0.00205791, 0.006961, 0.012543, 0.0191046, 0.0375929, 0.0464422, 0.0558445, 0.0601017, 0.062802, 0.0624126, 0.051807, 0.026933, 0.0199238, 0.0118732, 0.00560068, 0.00298524, 0.00141055, 0.00102408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00519052");
-            index_3 ("0.700282, 0.721295, 0.724907, 0.731669, 0.734184, 0.755997, 0.771192, 0.780543, 0.78896, 0.796911, 0.804868, 0.808235, 0.819527, 0.826196, 0.830445, 0.836897, 0.842354, 0.847351, 0.852681, 0.860523, 0.863501, 0.870482, 0.878459, 0.894413, 0.918172, 0.948038");
-            values ( \
-              "0.00314191, 0.0146594, 0.0180614, 0.0263683, 0.0302172, 0.0696909, 0.0936357, 0.10654, 0.115324, 0.119688, 0.113788, 0.104399, 0.0674661, 0.0505677, 0.0417796, 0.0307962, 0.0235776, 0.0184004, 0.0140694, 0.0094612, 0.00816818, 0.00582793, 0.00399832, 0.00194204, 0.000745417, 0.000274872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0124727");
-            index_3 ("0.70042, 0.728959, 0.73087, 0.738514, 0.744435, 0.778692, 0.793375, 0.806048, 0.817821, 0.829481, 0.841129, 0.854836, 0.857788, 0.863693, 0.875503, 0.88251, 0.891122, 0.902583, 0.906323, 0.910743, 0.916636, 0.928422, 0.937109, 0.941426, 0.95006, 0.967328, 1.00113, 1.0407");
-            values ( \
-              "0.00161822, 0.0298654, 0.032808, 0.0464781, 0.0589448, 0.137863, 0.168084, 0.186536, 0.194728, 0.19091, 0.168455, 0.128146, 0.12011, 0.104557, 0.0777197, 0.0646506, 0.0510573, 0.0368103, 0.0330472, 0.0290524, 0.0244169, 0.0171438, 0.0132115, 0.0116006, 0.00893928, 0.00525301, 0.00172994, 0.000462246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0299716");
-            index_3 ("0.702845, 0.740344, 0.807804, 0.829886, 0.850453, 0.871041, 0.891676, 0.953621, 0.995614, 1.02548, 1.04953, 1.10136, 1.14499, 1.19297");
-            values ( \
-              "0.00762747, 0.0559674, 0.230223, 0.259004, 0.265307, 0.258215, 0.237617, 0.135133, 0.0810788, 0.0545475, 0.0390491, 0.0184308, 0.00965491, 0.00532601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.072021");
-            index_3 ("0.700116, 0.843722, 0.857334, 0.878498, 0.899037, 0.930276, 0.963469, 0.986839, 1.03265, 1.0428, 1.15, 1.21593, 1.25225, 1.30413, 1.34743, 1.38126, 1.40852, 1.44485, 1.50468, 1.5724, 1.62603, 1.70678, 1.78753, 1.80377");
-            values ( \
-              "3.54555e-05, 0.303131, 0.309748, 0.312334, 0.309665, 0.300856, 0.288332, 0.27797, 0.251598, 0.244502, 0.160877, 0.115811, 0.0954913, 0.0710012, 0.0546944, 0.0443993, 0.0374341, 0.0296983, 0.0201137, 0.0129131, 0.00903298, 0.00521897, 0.00300385, 0.00274747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.173065");
-            index_3 ("0.741907, 0.781678, 0.82048, 0.84487, 0.869515, 0.895784, 0.923052, 0.956525, 1.03796, 1.15749, 1.25512, 1.28066, 1.31472, 1.37901, 1.45977, 1.66445, 1.80571, 1.88647, 1.9744, 2.08857, 2.16932, 2.29168, 2.37243, 2.43336, 2.51411, 2.6706, 2.83211, 3.07436, 3.31662, 3.63963");
-            values ( \
-              "0.129113, 0.184311, 0.289966, 0.322356, 0.335617, 0.33925, 0.338014, 0.334274, 0.322431, 0.303146, 0.285815, 0.280451, 0.272384, 0.254656, 0.228831, 0.159424, 0.117647, 0.0974247, 0.0786265, 0.0588171, 0.0475912, 0.0342847, 0.0275055, 0.0232614, 0.018593, 0.0120011, 0.00760411, 0.00381859, 0.00191165, 0.000758432" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00197344, 0.00197402, 0.00197461, 0.00197501, 0.00197522, 0.00197532", \
-            "0.00225651, 0.00225654, 0.00225675, 0.00225703, 0.00225722, 0.00225733", \
-            "0.00241773, 0.00241781, 0.00241794, 0.00241805, 0.00241814, 0.00241822", \
-            "0.00253827, 0.00253824, 0.00253817, 0.00253812, 0.0025381, 0.0025381", \
-            "0.00264611, 0.0026461, 0.00264608, 0.00264605, 0.00264603, 0.00264602", \
-            "0.00273319, 0.00273319, 0.00273315, 0.00273311, 0.00273306, 0.00273304" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00239142, 0.00239117, 0.00239138, 0.00239177, 0.00239207, 0.00239221", \
-            "0.00235818, 0.00235783, 0.00235736, 0.0023571, 0.00235705, 0.00235706", \
-            "0.00237496, 0.00237454, 0.00237383, 0.00237301, 0.0023726, 0.00237241", \
-            "0.00253936, 0.00253903, 0.00253855, 0.00253795, 0.00253746, 0.00253717", \
-            "0.00295021, 0.00297521, 0.0029948, 0.0030057, 0.0030066, 0.00300427", \
-            "0.00314022, 0.00313947, 0.00313129, 0.00311737, 0.00314058, 0.00314627" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0558237, 0.0678046, 0.0739799, 0.0772839, 0.0803678, 0.0833459, 0.0863202, 0.0896077, 0.0943395, 0.0980574, 0.101016, 0.104511, 0.107321, 0.111068, 0.117466, 0.122639");
-            values ( \
-              "-0.00268333, -0.0881696, -0.112842, -0.122556, -0.129317, -0.132272, -0.128605, -0.108767, -0.0613647, -0.0336557, -0.019877, -0.0103956, -0.00617865, -0.00315211, -0.00104355, -0.000904061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00519052");
-            index_3 ("0.0607843, 0.0637603, 0.069089, 0.0766171, 0.0817903, 0.086506, 0.090973, 0.0953446, 0.0997123, 0.102369, 0.11626, 0.120445, 0.12565, 0.130841, 0.134186");
-            values ( \
-              "-0.0664633, -0.0787021, -0.128133, -0.170082, -0.191368, -0.20499, -0.213197, -0.21481, -0.204935, -0.190442, -0.0624785, -0.0397591, -0.0219573, -0.0120001, -0.00855148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0124727");
-            index_3 ("0.0589404, 0.0774581, 0.0793725, 0.0871967, 0.0954798, 0.103245, 0.110771, 0.1183, 0.125829, 0.128013, 0.13051, 0.15114, 0.159505, 0.167876, 0.17566, 0.180089, 0.188947, 0.199419");
-            values ( \
-              "-0.0257537, -0.210632, -0.222591, -0.25807, -0.282761, -0.296078, -0.300677, -0.296022, -0.276962, -0.268132, -0.25471, -0.107348, -0.0681125, -0.0421134, -0.0264796, -0.0202605, -0.0116807, -0.00671081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0299716");
-            index_3 ("0.0601262, 0.0766777, 0.0853978, 0.0895702, 0.0979148, 0.106253, 0.119787, 0.124969, 0.136324, 0.147629, 0.158163, 0.16642, 0.181819, 0.187656, 0.193921, 0.216765, 0.226452, 0.236461, 0.254636, 0.263786, 0.272556, 0.289705, 0.299521, 0.319154, 0.355022, 0.396878");
-            values ( \
-              "-0.0143924, -0.226037, -0.278303, -0.29697, -0.325721, -0.344589, -0.361364, -0.364107, -0.365666, -0.362339, -0.355032, -0.346043, -0.319071, -0.303073, -0.280899, -0.185016, -0.149463, -0.118218, -0.0749716, -0.059093, -0.0467997, -0.0293415, -0.0223698, -0.0127973, -0.00422623, -0.00109101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.072021");
-            index_3 ("0.0612198, 0.0792702, 0.0925885, 0.102923, 0.110948, 0.124463, 0.138086, 0.143979, 0.155763, 0.176141, 0.201144, 0.242102, 0.279043, 0.292235, 0.309825, 0.321958, 0.337812, 0.39237, 0.414856, 0.438245, 0.480296, 0.50096, 0.521444, 0.561539, 0.584643, 0.630853, 0.6957, 0.760547, 0.825393");
-            values ( \
-              "-0.0337173, -0.253969, -0.3249, -0.358956, -0.37551, -0.393309, -0.40099, -0.402955, -0.403867, -0.402409, -0.396269, -0.382096, -0.362555, -0.353029, -0.336696, -0.321803, -0.296725, -0.19263, -0.15533, -0.122483, -0.0776231, -0.0615566, -0.0486467, -0.0303792, -0.0230807, -0.0130843, -0.00573411, -0.00247533, -0.00109475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.173065");
-            index_3 ("0.0779806, 0.143309, 0.155028, 0.17467, 0.198523, 0.265748, 0.368519, 0.458989, 0.541067, 0.605914, 0.628738, 0.674115, 0.81523, 0.885811, 0.956629, 1.01331, 1.06343, 1.11315, 1.178, 1.23055, 1.32528, 1.39012, 1.45497, 1.58466, 1.84405");
-            values ( \
-              "-0.385496, -0.418201, -0.422044, -0.423471, -0.42323, -0.416601, -0.403569, -0.389031, -0.37041, -0.348951, -0.338038, -0.310302, -0.194774, -0.14579, -0.10672, -0.0822942, -0.0649376, -0.0509196, -0.0371005, -0.0284629, -0.0176247, -0.0127519, -0.00905089, -0.00461477, -0.00117067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0738503, 0.076032, 0.0772167, 0.0804661, 0.0857686, 0.092752, 0.0958372, 0.0988162, 0.101792, 0.105079, 0.110222, 0.114207, 0.116528, 0.121427, 0.125245, 0.130937, 0.133454");
-            values ( \
-              "-0.0361108, -0.0401484, -0.0451993, -0.0730188, -0.0988481, -0.122504, -0.129264, -0.13224, -0.128573, -0.108751, -0.0577863, -0.0299254, -0.0197305, -0.00799334, -0.00385295, -0.00146641, -0.001392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00519052");
-            index_3 ("0.0728479, 0.0846187, 0.0883774, 0.0971153, 0.101833, 0.106301, 0.110674, 0.115042, 0.117688, 0.131592, 0.14098, 0.146174, 0.150256");
-            values ( \
-              "-0.000621422, -0.129763, -0.152056, -0.191242, -0.204956, -0.213116, -0.214798, -0.204891, -0.190494, -0.0624708, -0.0219601, -0.0119964, -0.0077908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0124727");
-            index_3 ("0.0734975, 0.0908859, 0.0932141, 0.102694, 0.110997, 0.118744, 0.126277, 0.133803, 0.141331, 0.143522, 0.146026, 0.166641, 0.175006, 0.183378, 0.191161, 0.195589, 0.204444, 0.214495");
-            values ( \
-              "-0.00442091, -0.198815, -0.213258, -0.258125, -0.283008, -0.29616, -0.300783, -0.29606, -0.277012, -0.268134, -0.254652, -0.107354, -0.0681159, -0.0421122, -0.0264805, -0.0202618, -0.0116835, -0.00691039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0299716");
-            index_3 ("0.0745122, 0.0952462, 0.101122, 0.105122, 0.113123, 0.121796, 0.135177, 0.140811, 0.148322, 0.158932, 0.166702, 0.181604, 0.192438, 0.198183, 0.209673, 0.228226, 0.246795, 0.255689, 0.267547, 0.281932, 0.293748, 0.310318, 0.321182, 0.342912, 0.373615");
-            values ( \
-              "-0.0135789, -0.246809, -0.279292, -0.297241, -0.324857, -0.344709, -0.361273, -0.364263, -0.365788, -0.364072, -0.360311, -0.346716, -0.329606, -0.316977, -0.28004, -0.201531, -0.133797, -0.108137, -0.0802682, -0.0552268, -0.0401884, -0.0255646, -0.018902, -0.0101225, -0.00440949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.072021");
-            index_3 ("0.0753302, 0.094813, 0.108123, 0.118183, 0.126472, 0.139983, 0.153748, 0.171001, 0.19166, 0.216907, 0.257621, 0.294578, 0.307863, 0.325577, 0.337036, 0.352316, 0.40777, 0.444588, 0.470241, 0.492884, 0.511601, 0.533154, 0.563635, 0.595088, 0.619143, 0.667251, 0.732098, 0.861791");
-            values ( \
-              "-0.0114949, -0.254824, -0.325355, -0.35822, -0.37576, -0.393217, -0.401202, -0.403975, -0.402323, -0.396298, -0.382022, -0.362538, -0.352938, -0.336448, -0.322413, -0.298509, -0.192845, -0.134681, -0.102904, -0.0803238, -0.0651494, -0.0509066, -0.0356135, -0.0245679, -0.0184113, -0.0101627, -0.00443606, -0.000840646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.173065");
-            index_3 ("0.0926132, 0.129226, 0.139768, 0.153567, 0.170509, 0.190707, 0.244268, 0.319146, 0.395611, 0.465321, 0.523919, 0.556541, 0.621387, 0.644211, 0.689589, 0.83071, 0.887168, 0.929517, 0.972097, 1.02878, 1.0789, 1.12863, 1.19347, 1.24603, 1.34079, 1.40563, 1.47048, 1.60017, 1.85956");
-            values ( \
-              "-0.384508, -0.391145, -0.405307, -0.416151, -0.421803, -0.423863, -0.420484, -0.411963, -0.40177, -0.390598, -0.378623, -0.370654, -0.348717, -0.33826, -0.310095, -0.194908, -0.154821, -0.129097, -0.106838, -0.0821815, -0.0648359, -0.0510177, -0.0370041, -0.028533, -0.0176876, -0.0126845, -0.00911252, -0.00467434, -0.00122516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.109796, 0.117958, 0.121935, 0.127356, 0.130668, 0.133755, 0.136736, 0.139713, 0.143001, 0.148147, 0.151677, 0.154316, 0.157939, 0.160967, 0.165005, 0.171495, 0.176533");
-            values ( \
-              "-0.00172211, -0.0694018, -0.0914142, -0.112633, -0.122394, -0.129204, -0.132154, -0.128559, -0.10879, -0.0576969, -0.0323872, -0.020266, -0.0103682, -0.00593391, -0.00282092, -0.000996365, -0.000865399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00519052");
-            index_3 ("0.110047, 0.127616, 0.129873, 0.135048, 0.139006, 0.144234, 0.148607, 0.152979, 0.1556, 0.164999, 0.169534, 0.173714, 0.17892, 0.184123, 0.190068, 0.1994, 0.225094");
-            values ( \
-              "-0.0131916, -0.157513, -0.170466, -0.191008, -0.202959, -0.212843, -0.214749, -0.204714, -0.190598, -0.0981325, -0.0624499, -0.0397638, -0.0219582, -0.0119878, -0.00586733, -0.00188038, -0.000222207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0124727");
-            index_3 ("0.10985, 0.135974, 0.140636, 0.148942, 0.156692, 0.164227, 0.171755, 0.179283, 0.18147, 0.18397, 0.204593, 0.212959, 0.221329, 0.229113, 0.233541, 0.242397, 0.250829");
-            values ( \
-              "-0.0169732, -0.238427, -0.257911, -0.282929, -0.296066, -0.300751, -0.296018, -0.276997, -0.268135, -0.254683, -0.107353, -0.0681161, -0.0421145, -0.0264816, -0.0202618, -0.0116836, -0.00767868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0299716");
-            index_3 ("0.109666, 0.138754, 0.143056, 0.151659, 0.159724, 0.173409, 0.183981, 0.189789, 0.201404, 0.211009, 0.219883, 0.236115, 0.241189, 0.247422, 0.270151, 0.279896, 0.289958, 0.303374, 0.308122, 0.317124, 0.325933, 0.343163, 0.353062, 0.37286, 0.408759, 0.450689");
-            values ( \
-              "-0.00787875, -0.278167, -0.297414, -0.326745, -0.344782, -0.361562, -0.365622, -0.365706, -0.362217, -0.355592, -0.34607, -0.317116, -0.302857, -0.280749, -0.185317, -0.149524, -0.11812, -0.0845716, -0.0749239, -0.0592841, -0.0469038, -0.0293425, -0.0223185, -0.0127044, -0.00419006, -0.00107835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.072021");
-            index_3 ("0.119044, 0.133002, 0.144293, 0.150112, 0.15787, 0.164555, 0.177924, 0.192151, 0.197574, 0.208421, 0.229733, 0.25615, 0.274396, 0.295696, 0.329293, 0.337312, 0.346477, 0.364806, 0.383743, 0.405386, 0.44288, 0.469086, 0.482799, 0.508803, 0.531118, 0.549507, 0.571137, 0.601678, 0.633351, 0.657598, 0.706093, 0.770939, 0.835786, 0.900633");
-            values ( \
-              "-0.11425, -0.257523, -0.318026, -0.340107, -0.362267, -0.375857, -0.393085, -0.401383, -0.402863, -0.404002, -0.402313, -0.39601, -0.390147, -0.382002, -0.364729, -0.359391, -0.352502, -0.33518, -0.309637, -0.270468, -0.198285, -0.154441, -0.134557, -0.102417, -0.0802246, -0.0653096, -0.0509886, -0.0356462, -0.0245268, -0.0183365, -0.0100703, -0.00439494, -0.00190414, -0.000832571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.173065");
-            index_3 ("0.13259, 0.18482, 0.208424, 0.228253, 0.252543, 0.319144, 0.421913, 0.512383, 0.594461, 0.659308, 0.682132, 0.727508, 0.868628, 0.967442, 1.01002, 1.0667, 1.11682, 1.16655, 1.23139, 1.28394, 1.37868, 1.44353, 1.50837, 1.63807, 1.89745");
-            values ( \
-              "-0.403616, -0.412296, -0.422029, -0.423566, -0.423129, -0.416528, -0.403495, -0.388953, -0.370486, -0.348879, -0.338108, -0.310238, -0.194819, -0.129172, -0.106763, -0.0822528, -0.064898, -0.0509577, -0.0370622, -0.0284959, -0.0176564, -0.0127191, -0.00908246, -0.00464598, -0.00120086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.200418, 0.201951, 0.203457, 0.20647, 0.210176, 0.219012, 0.222484, 0.225678, 0.22874, 0.231796, 0.235114, 0.240431, 0.244095, 0.24677, 0.248774, 0.251523, 0.25519, 0.260982, 0.270079");
-            values ( \
-              "-0.0245689, -0.0281161, -0.0286391, -0.04181, -0.067963, -0.106435, -0.117679, -0.125349, -0.129218, -0.126325, -0.107411, -0.0562184, -0.0308977, -0.0192307, -0.013283, -0.00799917, -0.00405843, -0.00145998, -0.000829005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00519052");
-            index_3 ("0.200697, 0.206582, 0.212985, 0.221311, 0.22672, 0.231573, 0.23613, 0.240568, 0.245001, 0.247141, 0.261242, 0.266215, 0.26992, 0.274915, 0.279018, 0.287224, 0.288353");
-            values ( \
-              "-0.00306822, -0.0605308, -0.110342, -0.160937, -0.18478, -0.200286, -0.209511, -0.212213, -0.203025, -0.192356, -0.0647151, -0.0378804, -0.0248061, -0.0139435, -0.00860203, -0.00314871, -0.00301234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0124727");
-            index_3 ("0.204148, 0.2108, 0.219056, 0.228857, 0.23275, 0.241233, 0.249079, 0.256677, 0.264266, 0.271823, 0.273854, 0.297156, 0.305523, 0.313864, 0.321674, 0.333819");
-            values ( \
-              "-0.0653342, -0.101005, -0.174056, -0.233854, -0.250871, -0.27864, -0.293142, -0.298912, -0.294713, -0.276229, -0.268144, -0.107226, -0.0680524, -0.0421602, -0.026475, -0.0129777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0299716");
-            index_3 ("0.19699, 0.240589, 0.251511, 0.264121, 0.272351, 0.281715, 0.296534, 0.305364, 0.311862, 0.32486, 0.328107, 0.334601, 0.345661, 0.366104, 0.375274, 0.386109, 0.39786, 0.410669, 0.419105, 0.430808, 0.435235, 0.44409, 0.4618, 0.497208, 0.538228");
-            values ( \
-              "-0.0187862, -0.312482, -0.341367, -0.358719, -0.363542, -0.364924, -0.360139, -0.353154, -0.345925, -0.324385, -0.316982, -0.298044, -0.254847, -0.170232, -0.138402, -0.106834, -0.0794578, -0.0569869, -0.0455054, -0.0331012, -0.0293279, -0.0229774, -0.0139192, -0.00468907, -0.00124883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.072021");
-            index_3 ("0.210372, 0.233512, 0.245301, 0.256151, 0.269486, 0.283654, 0.289329, 0.300679, 0.321522, 0.347557, 0.387494, 0.421104, 0.429036, 0.438102, 0.456233, 0.477678, 0.528838, 0.555222, 0.572294, 0.597896, 0.622069, 0.642992, 0.664027, 0.694104, 0.707013, 0.732829, 0.784463, 0.849309, 0.914156, 0.979002");
-            values ( \
-              "-0.162662, -0.297223, -0.345123, -0.372139, -0.390962, -0.400307, -0.402036, -0.40358, -0.4021, -0.396104, -0.38196, -0.364754, -0.359443, -0.35264, -0.335593, -0.306172, -0.209027, -0.163322, -0.1378, -0.105467, -0.0809912, -0.0640804, -0.0503588, -0.0353953, -0.0304028, -0.0223462, -0.0117963, -0.0051602, -0.00222973, -0.000982652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.173065");
-            index_3 ("0.222212, 0.262286, 0.276291, 0.288643, 0.300146, 0.319747, 0.343747, 0.410889, 0.513657, 0.594987, 0.653582, 0.686205, 0.751052, 0.773876, 0.819253, 0.960372, 1.01683, 1.05918, 1.10176, 1.15845, 1.20857, 1.25829, 1.32314, 1.37568, 1.47043, 1.53528, 1.60013, 1.72982, 1.98921");
-            values ( \
-              "-0.383318, -0.39445, -0.410707, -0.417889, -0.421474, -0.423523, -0.422988, -0.416413, -0.403385, -0.390489, -0.378719, -0.370561, -0.348805, -0.338178, -0.31017, -0.194865, -0.154868, -0.129135, -0.1068, -0.0822179, -0.0648684, -0.0509876, -0.0370345, -0.0285133, -0.0176706, -0.0127042, -0.00909574, -0.00465813, -0.00121068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.388159, 0.389945, 0.394144, 0.399328, 0.403764, 0.411385, 0.421271, 0.427931, 0.431852, 0.435652, 0.439624, 0.445892, 0.44957, 0.454565, 0.457135, 0.459061, 0.462912, 0.469661, 0.477808, 0.519792");
-            values ( \
-              "-0.00922756, -0.0112845, -0.0136447, -0.0222342, -0.0342777, -0.0589179, -0.0828423, -0.096224, -0.101879, -0.103263, -0.0916277, -0.0487169, -0.0286515, -0.0126769, -0.008266, -0.0059996, -0.00318975, -0.00117069, -0.000586383, -0.0001369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00519052");
-            index_3 ("0.390556, 0.40289, 0.41612, 0.428505, 0.434645, 0.440189, 0.445406, 0.45056, 0.455708, 0.467378, 0.471804, 0.478982, 0.484926, 0.491267, 0.494932");
-            values ( \
-              "-0.0126266, -0.0443065, -0.0992813, -0.14302, -0.161695, -0.175335, -0.18272, -0.180412, -0.157655, -0.0656284, -0.0421799, -0.0194282, -0.0100433, -0.00489086, -0.00368774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0124727");
-            index_3 ("0.393426, 0.40398, 0.406158, 0.409061, 0.4278, 0.434847, 0.444953, 0.453891, 0.462265, 0.47045, 0.478625, 0.481267, 0.484084, 0.500028, 0.505964, 0.514061, 0.517418, 0.524131, 0.529548, 0.538448, 0.549174");
-            values ( \
-              "-0.032241, -0.0601338, -0.0688446, -0.0809699, -0.17346, -0.203985, -0.24047, -0.262009, -0.273895, -0.275547, -0.262264, -0.253499, -0.240386, -0.131678, -0.0981706, -0.0642693, -0.053355, -0.0362645, -0.0264197, -0.0155272, -0.00816569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0299716");
-            index_3 ("0.396743, 0.411023, 0.437477, 0.44751, 0.456961, 0.47359, 0.489216, 0.504623, 0.517092, 0.526422, 0.53662, 0.586784, 0.60765, 0.624967, 0.6517, 0.682857, 0.684893");
-            values ( \
-              "-0.0478803, -0.0990322, -0.24018, -0.2813, -0.309498, -0.339672, -0.350795, -0.350274, -0.343425, -0.333345, -0.314721, -0.129643, -0.0774213, -0.0491787, -0.0237199, -0.00979177, -0.00942708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.072021");
-            index_3 ("0.383491, 0.479567, 0.49639, 0.520979, 0.529266, 0.54559, 0.562017, 0.604252, 0.630214, 0.646776, 0.667334, 0.705271, 0.74308, 0.782748, 0.80841, 0.831041, 0.8713, 0.901783, 0.933241, 0.9573, 1.00542, 1.07027, 1.07901");
-            values ( \
-              "-0.0308224, -0.375228, -0.389416, -0.396836, -0.397716, -0.396624, -0.393133, -0.377975, -0.363912, -0.352038, -0.332011, -0.270827, -0.197914, -0.134703, -0.102916, -0.0802969, -0.0509333, -0.0355959, -0.0245545, -0.0184247, -0.0101469, -0.00444969, -0.00420693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.173065");
-            index_3 ("0.383611, 0.468127, 0.48419, 0.501136, 0.52487, 0.534898, 0.554954, 0.582015, 0.656914, 0.73338, 0.803092, 0.861685, 0.894309, 0.959156, 0.98198, 1.02736, 1.16848, 1.22493, 1.26729, 1.30987, 1.36655, 1.41667, 1.46639, 1.53124, 1.58379, 1.67853, 1.74338, 1.80823, 1.93792, 2.19731");
-            values ( \
-              "-6.65899e-05, -0.368723, -0.393225, -0.408378, -0.418203, -0.420189, -0.421399, -0.420021, -0.411862, -0.401679, -0.390509, -0.378703, -0.370577, -0.34879, -0.338192, -0.310157, -0.194874, -0.154859, -0.129126, -0.106809, -0.0822098, -0.0648606, -0.0509958, -0.0370268, -0.0285209, -0.0176782, -0.0126974, -0.00910303, -0.00466527, -0.00121764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.814183, 0.822952, 0.827635, 0.830418, 0.835986, 0.843772, 0.853046, 0.863273, 0.874422, 0.879584, 0.88484, 0.893806, 0.898069, 0.901615, 0.905374");
-            values ( \
-              "-0.0104923, -0.0110298, -0.0142095, -0.0168139, -0.0242838, -0.0380744, -0.0518235, -0.064539, -0.0762321, -0.0747556, -0.0709397, -0.0350736, -0.0205427, -0.012725, -0.00734226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00519052");
-            index_3 ("0.810602, 0.829419, 0.836076, 0.855004, 0.86683, 0.87702, 0.884494, 0.891396, 0.89805, 0.90469, 0.905389, 0.917758, 0.923221, 0.929838, 0.934409, 0.938018, 0.945236, 0.956603, 0.970434, 1.01452");
-            values ( \
-              "-0.00204037, -0.0258352, -0.0354591, -0.0783321, -0.101555, -0.119474, -0.131026, -0.139283, -0.142258, -0.129709, -0.126966, -0.0589711, -0.0365908, -0.0193313, -0.0122589, -0.00850473, -0.00403826, -0.00132394, -0.000562745, -0.000149178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0124727");
-            index_3 ("0.818293, 0.831222, 0.833925, 0.83933, 0.846076, 0.858878, 0.871685, 0.876373, 0.889744, 0.901128, 0.911355, 0.920956, 0.930455, 0.939941, 0.95443, 0.961596, 0.966435, 0.970455, 0.974936, 0.981284, 0.98786, 0.9929, 1.00298, 1.00872");
-            values ( \
-              "-0.0216772, -0.0389116, -0.0431125, -0.0533026, -0.0690471, -0.106381, -0.13836, -0.151661, -0.184866, -0.209998, -0.229025, -0.238524, -0.234902, -0.204071, -0.119793, -0.0852637, -0.0665534, -0.0537782, -0.0420872, -0.0293869, -0.0201732, -0.0150465, -0.00822665, -0.00644957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0299716");
-            index_3 ("0.819768, 0.853692, 0.890263, 0.903523, 0.922633, 0.928063, 0.940311, 0.953224, 0.956823, 0.964021, 0.973197, 0.989614, 0.991475, 0.998916, 1.02497, 1.03494, 1.04504, 1.06338, 1.07257, 1.08141, 1.09867, 1.10854, 1.12829, 1.16421, 1.20609");
-            values ( \
-              "-0.0129882, -0.100566, -0.215642, -0.254504, -0.298685, -0.307392, -0.321998, -0.329913, -0.330726, -0.330719, -0.326848, -0.306793, -0.303111, -0.283626, -0.183136, -0.147772, -0.117102, -0.0743965, -0.0587353, -0.0465244, -0.0291828, -0.0222578, -0.0127344, -0.0042312, -0.00109928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.072021");
-            index_3 ("0.82938, 0.85586, 0.90771, 0.925306, 0.943102, 0.954722, 0.968499, 0.981431, 1.0073, 1.03056, 1.04901, 1.08315, 1.09805, 1.11395, 1.12159, 1.13688, 1.20967, 1.23805, 1.25097, 1.27585, 1.29862, 1.31124, 1.33135, 1.35953, 1.3707, 1.39304, 1.43771, 1.50256, 1.52399");
-            values ( \
-              "-0.0828111, -0.113081, -0.286801, -0.33052, -0.359236, -0.371201, -0.380253, -0.384888, -0.386737, -0.382667, -0.377051, -0.361206, -0.351237, -0.337673, -0.329577, -0.309239, -0.176172, -0.134345, -0.117653, -0.0901247, -0.0700545, -0.060757, -0.0482205, -0.0346514, -0.0303799, -0.0232916, -0.0134755, -0.0059029, -0.00479585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.173065");
-            index_3 ("0.829438, 0.931312, 0.965354, 0.979665, 1.00518, 1.03453, 1.0687, 1.11015, 1.26643, 1.34852, 1.41337, 1.4362, 1.48158, 1.6227, 1.72151, 1.76409, 1.82077, 1.87089, 1.92061, 1.98546, 2.03801, 2.13275, 2.1976, 2.26245, 2.39214, 2.65153");
-            values ( \
-              "-0.0603319, -0.355064, -0.397713, -0.405806, -0.413128, -0.414605, -0.412847, -0.40861, -0.388497, -0.3706, -0.348782, -0.338202, -0.310149, -0.194877, -0.129124, -0.106813, -0.0822068, -0.0648575, -0.0509993, -0.0370236, -0.0285244, -0.0176818, -0.0126944, -0.00910647, -0.0046686, -0.00122085" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00170613, 0.00170689, 0.00170758, 0.00170801, 0.00170823, 0.00170833", \
-            "0.0019132, 0.00191347, 0.00191388, 0.00191423, 0.00191444, 0.00191455", \
-            "0.0020206, 0.00202051, 0.00202046, 0.0020205, 0.00202056, 0.00202061", \
-            "0.00208495, 0.00208491, 0.0020849, 0.0020849, 0.00208489, 0.00208489", \
-            "0.00212441, 0.00212438, 0.00212432, 0.00212426, 0.00212421, 0.00212419", \
-            "0.00215052, 0.00215051, 0.00215049, 0.00215046, 0.00215042, 0.00215039" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00230029, 0.00229914, 0.00229958, 0.00230005, 0.00230031, 0.00230044", \
-            "0.00239707, 0.00239608, 0.00239531, 0.00239497, 0.00239487, 0.00239485", \
-            "0.00247331, 0.00247228, 0.00247101, 0.00246996, 0.00246936, 0.00246908", \
-            "0.00259856, 0.00259765, 0.00259603, 0.00259432, 0.00259313, 0.00259249", \
-            "0.00286864, 0.00287373, 0.00287902, 0.00288255, 0.00288484, 0.00288528", \
-            "0.00352747, 0.0035198, 0.00349427, 0.00354345, 0.00357757, 0.00359399" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.0886379, 0.101168, 0.125172, 0.175032, 0.290227, 0.566227", \
-            "0.0913088, 0.103831, 0.127834, 0.177742, 0.292925, 0.568939", \
-            "0.0978573, 0.11037, 0.134392, 0.184366, 0.299574, 0.575621", \
-            "0.113282, 0.125976, 0.150066, 0.200238, 0.315544, 0.591606", \
-            "0.135268, 0.149166, 0.174674, 0.226007, 0.341831, 0.618148", \
-            "0.150956, 0.167392, 0.19581, 0.249126, 0.365267, 0.642298" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.0262637, 0.0383023, 0.0661835, 0.134755, 0.305585, 0.720464", \
-            "0.0262515, 0.0383072, 0.0661813, 0.134775, 0.305543, 0.720464", \
-            "0.0262646, 0.0383093, 0.0661585, 0.134756, 0.305559, 0.720464", \
-            "0.0273138, 0.0390874, 0.0665934, 0.134907, 0.305502, 0.720464", \
-            "0.0315394, 0.0433511, 0.0701338, 0.137306, 0.306355, 0.720495", \
-            "0.039681, 0.0518855, 0.0775835, 0.141659, 0.308269, 0.721633" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.0728977, 0.0829824, 0.102361, 0.142154, 0.232833, 0.44949", \
-            "0.0778051, 0.0879789, 0.107371, 0.147089, 0.237741, 0.454382", \
-            "0.090398, 0.100561, 0.120027, 0.159915, 0.250646, 0.467258", \
-            "0.121547, 0.131711, 0.150905, 0.191144, 0.281975, 0.498632", \
-            "0.177971, 0.18998, 0.211353, 0.252933, 0.344146, 0.5608", \
-            "0.266975, 0.282462, 0.308468, 0.353395, 0.446012, 0.663569" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.0191073, 0.0278362, 0.0474822, 0.09489, 0.213202, 0.502243", \
-            "0.0190991, 0.0278073, 0.0474534, 0.0948988, 0.213217, 0.502243", \
-            "0.0191235, 0.0278128, 0.0474837, 0.0948746, 0.213202, 0.502244", \
-            "0.01978, 0.0283432, 0.0478593, 0.095107, 0.213216, 0.502363", \
-            "0.0258426, 0.0342175, 0.0524654, 0.0980214, 0.214061, 0.502275", \
-            "0.0357789, 0.045945, 0.0636546, 0.105145, 0.217537, 0.503832" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0647714, 0.0760064, 0.0807419, 0.087942, 0.0921616, 0.0961652, 0.100125, 0.104082, 0.111716, 0.117676, 0.123795, 0.130685, 0.139828, 0.154871, 0.166674");
-            values ( \
-              "0.00104488, 0.0525466, 0.0699115, 0.0888203, 0.0955238, 0.0987063, 0.0978808, 0.0892944, 0.0499682, 0.0311056, 0.0192581, 0.0111393, 0.00543766, 0.00193103, 0.00101426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00519052");
-            index_3 ("0.0656728, 0.08284, 0.0906141, 0.0970901, 0.10301, 0.108749, 0.114632, 0.117714, 0.129581, 0.13863, 0.146343, 0.156943, 0.171233, 0.184758, 0.200216, 0.200949");
-            values ( \
-              "0.00335487, 0.105506, 0.135047, 0.153813, 0.161307, 0.165023, 0.158623, 0.149676, 0.0921762, 0.0590155, 0.0397202, 0.0224646, 0.0102629, 0.00497705, 0.00217401, 0.00214453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0124727");
-            index_3 ("0.0650531, 0.0913635, 0.0970684, 0.103135, 0.113366, 0.123071, 0.132778, 0.142894, 0.14893, 0.165297, 0.178163, 0.195177, 0.205433, 0.216502, 0.229662, 0.241392, 0.263328, 0.282398, 0.300337");
-            values ( \
-              "0.00268261, 0.168082, 0.191989, 0.209991, 0.229079, 0.233741, 0.229783, 0.212768, 0.195211, 0.13777, 0.100216, 0.0630712, 0.0469872, 0.0338726, 0.0227566, 0.0158592, 0.00804786, 0.00439828, 0.00283088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0299716");
-            index_3 ("0.0649721, 0.100414, 0.105274, 0.114995, 0.125492, 0.141412, 0.150022, 0.163059, 0.172409, 0.182644, 0.20249, 0.212223, 0.238644, 0.257139, 0.266881, 0.279869, 0.302893, 0.310986, 0.319674, 0.331259, 0.352772, 0.366153, 0.375398, 0.391204, 0.413112, 0.4216, 0.438575, 0.472526, 0.540428, 0.620002");
-            values ( \
-              "0.0127529, 0.227208, 0.244194, 0.268982, 0.283352, 0.290571, 0.289143, 0.283152, 0.276634, 0.267391, 0.242953, 0.226773, 0.178906, 0.146691, 0.131414, 0.112858, 0.0843281, 0.075944, 0.0676926, 0.058032, 0.0428727, 0.0354775, 0.0312102, 0.0246873, 0.0179879, 0.0157373, 0.0123417, 0.00723612, 0.00242648, 0.000514668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.072021");
-            index_3 ("0.0775523, 0.0917611, 0.103672, 0.117469, 0.130319, 0.146352, 0.163899, 0.1836, 0.21082, 0.251428, 0.297706, 0.343181, 0.36722, 0.454534, 0.476208, 0.511189, 0.542174, 0.581858, 0.610682, 0.643589, 0.687234, 0.727381, 0.780911, 0.838366, 0.869369, 0.931375, 1.01183, 1.09229, 1.17275, 1.33366");
-            values ( \
-              "0.169646, 0.195383, 0.252828, 0.293413, 0.313764, 0.324535, 0.326801, 0.323544, 0.315375, 0.300416, 0.279818, 0.25293, 0.235236, 0.165612, 0.149492, 0.125408, 0.106323, 0.0851439, 0.0720325, 0.0591664, 0.0452303, 0.0351603, 0.0249025, 0.0171292, 0.01398, 0.00923651, 0.00535074, 0.00308437, 0.00178101, 0.000591464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.173065");
-            index_3 ("0.0913954, 0.154686, 0.168957, 0.182608, 0.208761, 0.240712, 0.368524, 0.468101, 0.573887, 0.624544, 0.689176, 0.769633, 0.974313, 1.11625, 1.19671, 1.28452, 1.39863, 1.47909, 1.60156, 1.68202, 1.8236, 1.98156, 2.14248, 2.38385, 2.51916");
-            values ( \
-              "0.335778, 0.343826, 0.346588, 0.347071, 0.345121, 0.34092, 0.321124, 0.304561, 0.284286, 0.2725, 0.254648, 0.228948, 0.159513, 0.117563, 0.0973999, 0.0786445, 0.0588405, 0.0476379, 0.0343084, 0.027555, 0.0186327, 0.0119735, 0.00759931, 0.00382662, 0.00275839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.079562, 0.0836276, 0.0964704, 0.101151, 0.109367, 0.113326, 0.117281, 0.124895, 0.130868, 0.137005, 0.147515, 0.156151, 0.168091, 0.183198");
-            values ( \
-              "0.017728, 0.0278934, 0.0768635, 0.0895426, 0.0993256, 0.097357, 0.0898414, 0.0500407, 0.0311118, 0.0192396, 0.00835312, 0.00432431, 0.00192772, 0.00119312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00519052");
-            index_3 ("0.0796106, 0.088583, 0.0961159, 0.103895, 0.110373, 0.116294, 0.122034, 0.127916, 0.130985, 0.142858, 0.151785, 0.159772, 0.165425, 0.17284, 0.186859, 0.204333, 0.216709");
-            values ( \
-              "0.00165098, 0.0641388, 0.105387, 0.135024, 0.153748, 0.161315, 0.164975, 0.158608, 0.149722, 0.0922113, 0.0594095, 0.0394205, 0.0290909, 0.0194737, 0.00905054, 0.00354124, 0.00208628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0124727");
-            index_3 ("0.077893, 0.111532, 0.116423, 0.126666, 0.136359, 0.146065, 0.15575, 0.162224, 0.178572, 0.191474, 0.208446, 0.218684, 0.229788, 0.242967, 0.254689, 0.276569, 0.295586, 0.31359");
-            values ( \
-              "0.0121552, 0.195901, 0.210242, 0.228886, 0.233967, 0.229574, 0.213865, 0.195181, 0.137802, 0.100158, 0.0631067, 0.0470404, 0.033876, 0.0227466, 0.015851, 0.00806164, 0.00441036, 0.0028281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0299716");
-            index_3 ("0.0777284, 0.113552, 0.128385, 0.13871, 0.154521, 0.163448, 0.176281, 0.195158, 0.200144, 0.217049, 0.271706, 0.300059, 0.319146, 0.33464, 0.355299, 0.372069, 0.393505, 0.421564, 0.454306, 0.480773, 0.533708, 0.611059, 0.691517");
-            values ( \
-              "0.00937236, 0.22694, 0.269317, 0.283451, 0.290511, 0.289017, 0.283032, 0.268395, 0.263108, 0.240654, 0.144719, 0.103565, 0.0812559, 0.0662395, 0.0499115, 0.039459, 0.0290316, 0.0191827, 0.0118059, 0.0079097, 0.00340187, 0.000885857, 0.000216005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.072021");
-            index_3 ("0.0900751, 0.105062, 0.121574, 0.13219, 0.143577, 0.159864, 0.176882, 0.183741, 0.197461, 0.224104, 0.266273, 0.31098, 0.352173, 0.359838, 0.375167, 0.48045, 0.507746, 0.544015, 0.590853, 0.617469, 0.634688, 0.664647, 0.703482, 0.734725, 0.774159, 0.829673, 0.88874, 0.934188, 1.01465, 1.0951, 1.17556, 1.33648");
-            values ( \
-              "0.15062, 0.195593, 0.269353, 0.296635, 0.313683, 0.324705, 0.326734, 0.326044, 0.323327, 0.31544, 0.299705, 0.279805, 0.255812, 0.250432, 0.239332, 0.156059, 0.136549, 0.113051, 0.0871739, 0.0747789, 0.0675459, 0.0564172, 0.0443811, 0.0364889, 0.0283832, 0.019754, 0.013422, 0.00994454, 0.0057455, 0.00333133, 0.00190573, 0.000625263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.173065");
-            index_3 ("0.103835, 0.167866, 0.182217, 0.195947, 0.22182, 0.253908, 0.352663, 0.48144, 0.587226, 0.637882, 0.702515, 0.782972, 0.987652, 1.12959, 1.21005, 1.29786, 1.41197, 1.49243, 1.6149, 1.75648, 1.86278, 1.9949, 2.15581, 2.31673, 2.63856, 2.96039");
-            values ( \
-              "0.326204, 0.343821, 0.346564, 0.347111, 0.345118, 0.340976, 0.32584, 0.304522, 0.284245, 0.272541, 0.254606, 0.228989, 0.15947, 0.117606, 0.0973559, 0.0786905, 0.0588869, 0.0475913, 0.0342615, 0.0232364, 0.0172881, 0.0119258, 0.0075516, 0.0047634, 0.00187305, 0.000717848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.111651, 0.11584, 0.128669, 0.13335, 0.137569, 0.141573, 0.145533, 0.14949, 0.157123, 0.163083, 0.169204, 0.179733, 0.188364, 0.200282, 0.20872");
-            values ( \
-              "0.0176155, 0.0283632, 0.0774073, 0.0888445, 0.0954935, 0.0987277, 0.0978571, 0.0893128, 0.0499707, 0.0311053, 0.0192574, 0.00834876, 0.00432278, 0.00193121, 0.00151832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00519052");
-            index_3 ("0.114303, 0.11899, 0.128295, 0.136022, 0.142495, 0.148421, 0.154161, 0.15989, 0.163154, 0.175009, 0.184278, 0.1915, 0.196603, 0.202573, 0.213054, 0.218754, 0.228066, 0.240481, 0.251491");
-            values ( \
-              "0.0329836, 0.0543172, 0.10497, 0.135704, 0.153025, 0.161967, 0.16432, 0.159031, 0.14956, 0.0921122, 0.0583069, 0.0402547, 0.0306382, 0.022203, 0.0124744, 0.00915953, 0.00556693, 0.0028773, 0.00186147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0124727");
-            index_3 ("0.115736, 0.123181, 0.129286, 0.136777, 0.143818, 0.148522, 0.15874, 0.168441, 0.178142, 0.187825, 0.194332, 0.210585, 0.223672, 0.24043, 0.250575, 0.261858, 0.275134, 0.286817, 0.308414, 0.327166, 0.348192");
-            values ( \
-              "0.0300646, 0.0906674, 0.129634, 0.168958, 0.196868, 0.210555, 0.229016, 0.234081, 0.229612, 0.213915, 0.195092, 0.138017, 0.0998406, 0.063266, 0.0472893, 0.0338769, 0.022681, 0.015824, 0.00811957, 0.00448145, 0.00259465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0299716");
-            index_3 ("0.115727, 0.128851, 0.138571, 0.14614, 0.150765, 0.160016, 0.170949, 0.186328, 0.196515, 0.208514, 0.227393, 0.232292, 0.249271, 0.303931, 0.332271, 0.351364, 0.36687, 0.387544, 0.404293, 0.425703, 0.453778, 0.486551, 0.513049, 0.566045, 0.598284");
-            values ( \
-              "0.0282879, 0.136304, 0.194675, 0.228925, 0.244995, 0.268448, 0.2837, 0.29055, 0.288764, 0.283074, 0.268349, 0.263193, 0.240658, 0.144714, 0.103577, 0.0812597, 0.0662323, 0.049895, 0.0394578, 0.0290418, 0.0191846, 0.0118015, 0.00790309, 0.00339523, 0.00234425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.072021");
-            index_3 ("0.126876, 0.144787, 0.153015, 0.159995, 0.167972, 0.175964, 0.191949, 0.209029, 0.216119, 0.230301, 0.25648, 0.306764, 0.343357, 0.384087, 0.392212, 0.518014, 0.572846, 0.623009, 0.650786, 0.698446, 0.736418, 0.761941, 0.795971, 0.858072, 0.901066, 0.929863, 0.987457, 1.06791, 1.14837, 1.22883, 1.38974");
-            values ( \
-              "0.22914, 0.234688, 0.266942, 0.286798, 0.30292, 0.313665, 0.324543, 0.326759, 0.326006, 0.323238, 0.315406, 0.296388, 0.27983, 0.256094, 0.250457, 0.152269, 0.115256, 0.0872775, 0.0743547, 0.0559179, 0.0442527, 0.0377229, 0.0303655, 0.0202745, 0.0152968, 0.0126772, 0.00861276, 0.00500064, 0.0028683, 0.00166957, 0.000560141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.173065");
-            index_3 ("0.126628, 0.172351, 0.182623, 0.199881, 0.214267, 0.228038, 0.285797, 0.462129, 0.593987, 0.669972, 0.734604, 0.815062, 1.01974, 1.16168, 1.24214, 1.30946, 1.37093, 1.44406, 1.52452, 1.64699, 1.78858, 1.89487, 2.02699, 2.18791, 2.34882, 2.67065, 2.99248");
-            values ( \
-              "0.212265, 0.321372, 0.333372, 0.343871, 0.346575, 0.347145, 0.341027, 0.313388, 0.28968, 0.27257, 0.254576, 0.22902, 0.159437, 0.117641, 0.0973211, 0.0826344, 0.0708683, 0.0589239, 0.0475541, 0.0342241, 0.0231988, 0.0172501, 0.0118874, 0.00751298, 0.00472469, 0.00183439, 0.000679376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.191201, 0.198657, 0.199977, 0.201296, 0.202206, 0.203117, 0.204937, 0.205625, 0.206313, 0.207001, 0.207689, 0.208248, 0.208807, 0.209215, 0.21015, 0.21044, 0.211019, 0.211625, 0.213954, 0.215454, 0.216551, 0.218061, 0.218564, 0.21959, 0.220616, 0.221642, 0.222668, 0.223694, 0.224721, 0.225747, 0.226933, 0.227896, 0.231746, 0.233306, 0.234867, 0.236427, 0.238508, 0.239549, 0.241742, 0.243609, 0.244677, 0.245983, 0.248406, 0.251321, 0.25361, 0.256364, 0.25867, 0.261446, 0.262834, 0.265773");
-            values ( \
-              "0.0521056, 0.0522577, 0.0566339, 0.060425, 0.0639936, 0.0679478, 0.0770129, 0.0771879, 0.0775539, 0.0781108, 0.0788587, 0.0796075, 0.0804824, 0.081303, 0.0832919, 0.084195, 0.0847721, 0.0855891, 0.0896024, 0.091839, 0.0933024, 0.0939265, 0.0940327, 0.0940916, 0.093939, 0.0935749, 0.0929994, 0.0919383, 0.0905562, 0.088853, 0.0864022, 0.0820285, 0.0615279, 0.0536433, 0.0473089, 0.041854, 0.0356404, 0.0329418, 0.0279287, 0.0239847, 0.0220876, 0.0199947, 0.0166092, 0.013074, 0.0107794, 0.00874414, 0.00734979, 0.00596393, 0.00537368, 0.00432724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00519052");
-            index_3 ("0.192169, 0.199881, 0.201309, 0.204165, 0.208849, 0.210411, 0.214643, 0.216314, 0.216871, 0.218675, 0.219276, 0.220478, 0.221681, 0.222883, 0.22474, 0.226049, 0.228011, 0.230428, 0.232686, 0.234138, 0.237043, 0.237883, 0.23878, 0.239676, 0.240572, 0.243425, 0.244852, 0.247705, 0.249647, 0.253956, 0.255534, 0.257112, 0.258613, 0.260114, 0.261615, 0.263117, 0.26705, 0.268416, 0.269781, 0.271147, 0.273878, 0.275244, 0.277916, 0.279953, 0.2817, 0.285192, 0.288058, 0.291461, 0.293163, 0.295961");
-            values ( \
-              "0.0720406, 0.0757761, 0.0826866, 0.0957137, 0.115388, 0.120395, 0.134584, 0.139827, 0.14151, 0.146051, 0.147443, 0.149953, 0.152279, 0.154419, 0.157359, 0.159165, 0.161452, 0.160675, 0.159709, 0.158965, 0.157191, 0.155365, 0.152978, 0.150099, 0.146883, 0.134407, 0.126785, 0.112441, 0.103362, 0.0839402, 0.0779216, 0.0722387, 0.0671447, 0.0623544, 0.0578678, 0.0536849, 0.043636, 0.0406463, 0.0378385, 0.0352727, 0.030566, 0.0284252, 0.0246273, 0.0218774, 0.0197293, 0.0164102, 0.0140922, 0.0117358, 0.0107018, 0.00920022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0124727");
-            index_3 ("0.189399, 0.20756, 0.22047, 0.225196, 0.234647, 0.241443, 0.245403, 0.253322, 0.255134, 0.258757, 0.265304, 0.275604, 0.290861, 0.304625, 0.320645, 0.330183, 0.342404, 0.349754, 0.362891, 0.378346, 0.390762, 0.415594, 0.432219");
-            values ( \
-              "0.0286604, 0.132172, 0.189636, 0.204743, 0.22434, 0.230678, 0.231934, 0.229749, 0.22825, 0.224214, 0.212071, 0.180698, 0.128132, 0.0901829, 0.0579458, 0.0439363, 0.0305738, 0.0244843, 0.0163152, 0.0101421, 0.00688555, 0.00307477, 0.00207017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0299716");
-            index_3 ("0.189702, 0.20449, 0.210287, 0.218217, 0.221704, 0.227618, 0.239445, 0.248103, 0.263969, 0.266968, 0.272968, 0.285785, 0.304688, 0.309576, 0.315162, 0.326569, 0.348289, 0.368161, 0.381231, 0.394671, 0.409572, 0.428665, 0.44417, 0.464844, 0.481593, 0.48873, 0.503003, 0.531078, 0.543977, 0.563849, 0.590345, 0.643337, 0.719117, 0.799574");
-            values ( \
-              "0.0234459, 0.122581, 0.158008, 0.200045, 0.216191, 0.238989, 0.269622, 0.281653, 0.289645, 0.289538, 0.288442, 0.2828, 0.268243, 0.263125, 0.256569, 0.240627, 0.202972, 0.166757, 0.144715, 0.124025, 0.103578, 0.081259, 0.0662321, 0.0498965, 0.039459, 0.0356534, 0.0290427, 0.0191844, 0.0158603, 0.0118016, 0.00790424, 0.00339524, 0.000917193, 0.000222909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.072021");
-            index_3 ("0.204984, 0.227446, 0.238078, 0.251979, 0.255742, 0.260759, 0.270794, 0.289928, 0.300484, 0.314559, 0.333823, 0.384315, 0.426532, 0.438284, 0.469501, 0.484931, 0.588305, 0.621009, 0.655873, 0.701428, 0.725974, 0.772533, 0.812451, 0.845759, 0.88471, 0.939857, 0.997517, 1.04173, 1.12219, 1.20265, 1.2831, 1.44402");
-            values ( \
-              "0.24377, 0.251996, 0.285456, 0.310935, 0.314956, 0.319043, 0.324156, 0.326177, 0.324624, 0.321311, 0.315299, 0.296295, 0.276824, 0.270389, 0.250449, 0.239224, 0.157394, 0.134073, 0.11175, 0.0867171, 0.0752879, 0.0570264, 0.0445815, 0.0361817, 0.0282152, 0.019697, 0.0135102, 0.0100795, 0.00583727, 0.00337153, 0.00194199, 0.000642847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.173065");
-            index_3 ("0.213498, 0.291492, 0.305558, 0.330516, 0.362898, 0.460136, 0.59106, 0.696846, 0.747503, 0.812135, 0.892592, 1.09727, 1.23921, 1.31967, 1.40748, 1.52159, 1.60205, 1.72452, 1.80498, 1.86611, 1.94656, 2.10452, 2.26544, 2.50681, 2.65642");
-            values ( \
-              "0.327796, 0.34628, 0.346921, 0.345207, 0.34102, 0.32614, 0.304557, 0.284278, 0.272508, 0.254638, 0.228957, 0.159501, 0.117577, 0.0973849, 0.0786639, 0.0588607, 0.0476173, 0.034287, 0.0275765, 0.0232616, 0.0186548, 0.01195, 0.00757535, 0.0038511, 0.00263928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.335914, 0.347317, 0.35176, 0.356237, 0.368602, 0.372056, 0.37819, 0.383512, 0.388401, 0.393117, 0.397901, 0.405475, 0.409207, 0.41236, 0.415616, 0.419956, 0.423653, 0.42898, 0.435495, 0.439499, 0.446823, 0.456589, 0.463459");
-            values ( \
-              "0.00296193, 0.00714239, 0.0127356, 0.0203285, 0.0503873, 0.0578692, 0.069023, 0.0770795, 0.0816985, 0.0835993, 0.0788367, 0.048417, 0.0363349, 0.0290786, 0.02287, 0.0165056, 0.0125404, 0.00840669, 0.00517654, 0.00393022, 0.00245642, 0.00140221, 0.00109046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00519052");
-            index_3 ("0.340193, 0.347531, 0.352388, 0.354742, 0.357121, 0.360294, 0.36294, 0.36813, 0.37044, 0.375061, 0.38069, 0.388693, 0.395713, 0.402276, 0.40873, 0.415177, 0.42038, 0.423599, 0.426604, 0.430037, 0.436118, 0.439812, 0.442333, 0.447376, 0.450665, 0.451477, 0.453101, 0.456348, 0.462844, 0.467948, 0.469835, 0.473609, 0.481158, 0.496254, 0.496492");
-            values ( \
-              "0.00706385, 0.0115653, 0.0204654, 0.0253948, 0.0314953, 0.0407436, 0.0493098, 0.0674114, 0.0750924, 0.0900328, 0.106757, 0.126902, 0.139199, 0.145387, 0.144579, 0.130471, 0.107039, 0.0929681, 0.0812602, 0.0692685, 0.0516692, 0.0431426, 0.0379893, 0.0292791, 0.0246702, 0.0236438, 0.0217073, 0.018283, 0.0129155, 0.00986196, 0.00893418, 0.00734385, 0.00497402, 0.00230341, 0.00228357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0124727");
-            index_3 ("0.34736, 0.36227, 0.38074, 0.395001, 0.405001, 0.409803, 0.416207, 0.423308, 0.427527, 0.435967, 0.442471, 0.468008, 0.487228, 0.500767, 0.517545, 0.537814, 0.562293, 0.58359, 0.585757");
-            values ( \
-              "0.0114198, 0.0569495, 0.132282, 0.180659, 0.203084, 0.210123, 0.216045, 0.218072, 0.217073, 0.209024, 0.195266, 0.115429, 0.0699607, 0.0478386, 0.0293029, 0.0159621, 0.0075653, 0.00385222, 0.00368709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0299716");
-            index_3 ("0.347289, 0.369289, 0.382581, 0.397285, 0.409387, 0.419682, 0.439522, 0.458916, 0.478314, 0.486448, 0.505675, 0.554676, 0.571733, 0.591227, 0.607846, 0.628265, 0.649321, 0.672582, 0.688264, 0.710037, 0.735105, 0.768528, 0.834231, 0.910566");
-            values ( \
-              "0.00702222, 0.091137, 0.152946, 0.211943, 0.245963, 0.26428, 0.27958, 0.277031, 0.264561, 0.256453, 0.230204, 0.145324, 0.119499, 0.0940251, 0.0759375, 0.0577664, 0.0430963, 0.0309609, 0.0246608, 0.0178812, 0.0123197, 0.00739821, 0.00249463, 0.000668104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.072021");
-            index_3 ("0.347243, 0.401122, 0.415918, 0.42516, 0.443644, 0.462138, 0.466736, 0.491592, 0.527492, 0.571501, 0.594715, 0.640212, 0.773238, 0.837805, 0.908653, 0.941192, 0.984504, 1.02389, 1.0764, 1.13521, 1.23014, 1.3106, 1.39106, 1.42546");
-            values ( \
-              "0.00283005, 0.23786, 0.279461, 0.296037, 0.314551, 0.320035, 0.320154, 0.316681, 0.30688, 0.290496, 0.279758, 0.252926, 0.14948, 0.107125, 0.0716224, 0.0589546, 0.0451566, 0.0352726, 0.0251545, 0.0171503, 0.0091277, 0.00528574, 0.00304828, 0.00269698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.173065");
-            index_3 ("0.371014, 0.39964, 0.414259, 0.432607, 0.452737, 0.479206, 0.505516, 0.532591, 0.570835, 0.746142, 0.803614, 0.871014, 0.951472, 0.986472, 1.04651, 1.27033, 1.33532, 1.41577, 1.49623, 1.58089, 1.69414, 1.7746, 1.89872, 1.97917, 2.04031, 2.12076, 2.27878, 2.43969, 2.68106, 2.92244, 3.24427");
-            values ( \
-              "0.198037, 0.237676, 0.284478, 0.318574, 0.336207, 0.342575, 0.341921, 0.340319, 0.335754, 0.307873, 0.297694, 0.284326, 0.264678, 0.254622, 0.235699, 0.159897, 0.139707, 0.116934, 0.0968628, 0.0788018, 0.0590927, 0.0478523, 0.0343137, 0.0275551, 0.0232868, 0.0186322, 0.0119727, 0.00759831, 0.00382732, 0.00191912, 0.000763375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.692517, 0.70858, 0.716559, 0.722378, 0.734899, 0.742322, 0.752653, 0.758954, 0.764914, 0.770808, 0.776694, 0.781818, 0.7903, 0.799381, 0.804965, 0.81092, 0.821893, 0.830288, 0.847008, 0.855035");
-            values ( \
-              "0.00156479, 0.00812027, 0.0141723, 0.0212922, 0.0407181, 0.0495762, 0.059548, 0.0637937, 0.0666316, 0.0655074, 0.0536374, 0.0372308, 0.02122, 0.0113845, 0.00773352, 0.00511229, 0.00255649, 0.00161573, 0.000785502, 0.000714295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00519052");
-            index_3 ("0.692274, 0.712636, 0.7169, 0.722229, 0.734575, 0.748199, 0.758534, 0.767388, 0.775424, 0.783077, 0.79073, 0.803155, 0.809255, 0.817533, 0.821801, 0.827548, 0.833179, 0.840688, 0.846558, 0.855702, 0.867895, 0.888376, 0.913089, 0.944963");
-            values ( \
-              "0.00151574, 0.0168475, 0.0220556, 0.0302134, 0.0556447, 0.0816366, 0.099145, 0.112166, 0.120387, 0.123892, 0.116473, 0.074785, 0.0573207, 0.0394174, 0.0321231, 0.0241961, 0.0182483, 0.0124164, 0.0092232, 0.00585943, 0.0032425, 0.00128379, 0.000520968, 0.000185125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0124727");
-            index_3 ("0.695068, 0.712578, 0.718374, 0.723946, 0.733981, 0.765964, 0.780002, 0.784696, 0.792276, 0.803859, 0.815392, 0.826922, 0.841414, 0.84796, 0.855588, 0.865529, 0.87464, 0.881217, 0.889742, 0.8968, 0.905325, 0.917411, 0.930457, 0.940529, 0.960675, 0.985547");
-            values ( \
-              "0.0064198, 0.0226201, 0.0313366, 0.0417313, 0.0647494, 0.145221, 0.174102, 0.181497, 0.190725, 0.197288, 0.19234, 0.169157, 0.12622, 0.108672, 0.0902096, 0.0696866, 0.0544676, 0.0452943, 0.0354545, 0.028864, 0.0224138, 0.0155639, 0.0105118, 0.00774455, 0.00414367, 0.00213118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0299716");
-            index_3 ("0.711927, 0.730632, 0.740724, 0.779132, 0.794142, 0.815923, 0.836422, 0.856918, 0.868116, 0.878738, 0.899981, 0.938134, 0.951794, 0.970008, 0.993571, 1.00694, 1.02839, 1.05225, 1.06781, 1.08945, 1.11397, 1.14667, 1.21208, 1.23251, 1.2926");
-            values ( \
-              "0.0523235, 0.063084, 0.0899718, 0.202833, 0.234812, 0.260676, 0.265984, 0.258382, 0.24881, 0.236111, 0.202251, 0.137334, 0.117289, 0.0938708, 0.069309, 0.0579335, 0.0430525, 0.0307188, 0.0245311, 0.0178497, 0.0124164, 0.00755515, 0.00257514, 0.00175475, 0.000764841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.072021");
-            index_3 ("0.712279, 0.746597, 0.780308, 0.799678, 0.815277, 0.836076, 0.843816, 0.859295, 0.885476, 0.917363, 0.949772, 0.973182, 1.02232, 1.04263, 1.15042, 1.17883, 1.21579, 1.23784, 1.26799, 1.30609, 1.35758, 1.37311, 1.39948, 1.42962, 1.4899, 1.51761, 1.55839, 1.61277, 1.69323, 1.77369, 1.85414, 2.01506");
-            values ( \
-              "0.0392486, 0.112007, 0.221085, 0.267286, 0.290786, 0.30729, 0.310304, 0.31244, 0.310035, 0.300926, 0.288663, 0.278347, 0.249557, 0.234811, 0.150527, 0.130739, 0.107647, 0.0954414, 0.0805396, 0.0643796, 0.0469528, 0.0426462, 0.0361379, 0.0298319, 0.0201389, 0.0168122, 0.0128648, 0.0089546, 0.00518266, 0.00299077, 0.00172333, 0.000570831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.173065");
-            index_3 ("0.7422, 0.785788, 0.807515, 0.83524, 0.856355, 0.883482, 0.91246, 0.948757, 1.04407, 1.18313, 1.27497, 1.36547, 1.44593, 1.65057, 1.7925, 1.87296, 1.96078, 2.07489, 2.15535, 2.27782, 2.35828, 2.49986, 2.65781, 2.81873, 3.0601, 3.30147, 3.6233");
-            values ( \
-              "0.203556, 0.243301, 0.292559, 0.325198, 0.335489, 0.339385, 0.33816, 0.333954, 0.31981, 0.296615, 0.278657, 0.254651, 0.228916, 0.159527, 0.117552, 0.0974128, 0.0786379, 0.0588348, 0.0476423, 0.0343123, 0.0275514, 0.01863, 0.0119744, 0.00759951, 0.0038274, 0.00191947, 0.000763458" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00198663, 0.00198758, 0.00198862, 0.00198935, 0.00198974, 0.00198992", \
-            "0.0022912, 0.00229134, 0.00229176, 0.00229228, 0.00229265, 0.00229284", \
-            "0.0024682, 0.00246829, 0.00246838, 0.00246856, 0.00246877, 0.00246891", \
-            "0.0026088, 0.00260881, 0.0026089, 0.00260902, 0.00260915, 0.00260925", \
-            "0.00274269, 0.00274267, 0.00274262, 0.00274257, 0.00274256, 0.00274259", \
-            "0.00285592, 0.00285601, 0.00285597, 0.00285586, 0.00285577, 0.00285572" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00253118, 0.00253156, 0.00253259, 0.00253368, 0.00253438, 0.00253474", \
-            "0.00256718, 0.00256707, 0.00256713, 0.00256755, 0.00256807, 0.00256838", \
-            "0.00265446, 0.00265386, 0.00265314, 0.00265262, 0.00265243, 0.00265241", \
-            "0.00285375, 0.00285285, 0.00285144, 0.00284989, 0.00284883, 0.00284828", \
-            "0.00317598, 0.00324507, 0.00329977, 0.00333046, 0.00334456, 0.00334831", \
-            "0.00319071, 0.00319013, 0.00318612, 0.00319829, 0.00328187, 0.0033255" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0574862, 0.0601713, 0.0628585, 0.0680764, 0.0741031, 0.0804316, 0.0833681, 0.0863004, 0.0895401, 0.0981003, 0.100829, 0.105677, 0.108638");
-            values ( \
-              "-0.0303968, -0.0368012, -0.0490456, -0.0874125, -0.11331, -0.131093, -0.134247, -0.130551, -0.110298, -0.0328714, -0.0200925, -0.00810128, -0.00484807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00519052");
-            index_3 ("0.0593266, 0.0607695, 0.0628081, 0.0661924, 0.0702455, 0.0762561, 0.0764232, 0.0767574, 0.0774258, 0.078687, 0.0811809, 0.081581, 0.0823812, 0.0839817, 0.0862536, 0.0906759, 0.0949937, 0.0993091, 0.0999053, 0.100587, 0.101949, 0.104265, 0.108115, 0.110458, 0.111209, 0.112712, 0.115718, 0.117143, 0.117528, 0.118298, 0.119838, 0.122918, 0.125, 0.125741, 0.127222, 0.130186, 0.136112, 0.139265");
-            values ( \
-              "-0.0524326, -0.0593428, -0.0698155, -0.0957368, -0.134813, -0.167032, -0.168486, -0.170826, -0.174382, -0.180269, -0.19069, -0.192223, -0.195158, -0.200519, -0.207019, -0.215654, -0.217545, -0.207243, -0.204424, -0.200952, -0.192439, -0.172199, -0.13027, -0.106126, -0.0989717, -0.0856179, -0.0628977, -0.0540255, -0.051825, -0.0476518, -0.0401766, -0.0282587, -0.0222143, -0.0203861, -0.0171578, -0.0121038, -0.00591704, -0.00454583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0124727");
-            index_3 ("0.0596292, 0.066102, 0.0677805, 0.0748019, 0.0813642, 0.0865494, 0.093955, 0.099574, 0.102487, 0.109955, 0.113237, 0.117396, 0.124878, 0.127008, 0.13403, 0.145554, 0.15008, 0.158413, 0.166721, 0.174499, 0.178932, 0.187798, 0.204121, 0.204544");
-            values ( \
-              "-0.0235369, -0.115358, -0.132247, -0.195151, -0.23489, -0.257975, -0.283224, -0.294449, -0.298675, -0.303233, -0.302346, -0.29807, -0.278898, -0.269954, -0.223517, -0.13543, -0.107665, -0.068319, -0.0423306, -0.026593, -0.0203255, -0.0116954, -0.00390061, -0.00383724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0299716");
-            index_3 ("0.0606774, 0.0703984, 0.0753669, 0.0841763, 0.0883656, 0.0967442, 0.105024, 0.117404, 0.125768, 0.134919, 0.149622, 0.156051, 0.162581, 0.169534, 0.18112, 0.185754, 0.195021, 0.209894, 0.222705, 0.23008, 0.241664, 0.251628, 0.260151, 0.269331, 0.282378, 0.288043, 0.299375, 0.322037, 0.358144, 0.401031");
-            values ( \
-              "-0.0527315, -0.169407, -0.215044, -0.274841, -0.295176, -0.326756, -0.346304, -0.362816, -0.366935, -0.367238, -0.361874, -0.356624, -0.349818, -0.340331, -0.317496, -0.304435, -0.27044, -0.206687, -0.157018, -0.132563, -0.100184, -0.0778487, -0.0624197, -0.0489299, -0.034308, -0.0293939, -0.0214679, -0.0111874, -0.00364743, -0.000901518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.072021");
-            index_3 ("0.0617647, 0.0773933, 0.0855913, 0.101564, 0.109439, 0.122289, 0.132682, 0.142333, 0.158445, 0.174408, 0.206969, 0.240362, 0.277312, 0.290596, 0.308309, 0.319744, 0.334989, 0.390464, 0.427279, 0.452937, 0.475563, 0.494266, 0.515816, 0.546293, 0.577743, 0.601796, 0.649901, 0.714853, 0.844758");
-            values ( \
-              "-0.0917733, -0.240199, -0.295593, -0.359747, -0.377084, -0.394423, -0.400922, -0.404092, -0.404667, -0.40279, -0.3944, -0.38217, -0.362621, -0.353025, -0.336535, -0.32253, -0.298681, -0.192915, -0.134713, -0.102915, -0.0803409, -0.0651698, -0.0509203, -0.0356237, -0.0245742, -0.0184147, -0.0101648, -0.0044291, -0.000836259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.173065");
-            index_3 ("0.0698066, 0.0899857, 0.102603, 0.117094, 0.127328, 0.140486, 0.153024, 0.17401, 0.226751, 0.301638, 0.378107, 0.447207, 0.507012, 0.539024, 0.603048, 0.626676, 0.672025, 0.813157, 0.869603, 0.911945, 0.954522, 1.0112, 1.06132, 1.11104, 1.17599, 1.22839, 1.32288, 1.38784, 1.45279, 1.58269, 1.8425");
-            values ( \
-              "-0.293211, -0.324933, -0.371789, -0.400691, -0.411509, -0.419227, -0.422565, -0.424089, -0.420344, -0.411846, -0.401694, -0.390651, -0.378592, -0.370626, -0.349174, -0.338251, -0.310214, -0.194895, -0.154866, -0.129135, -0.106825, -0.0822108, -0.0648584, -0.0510039, -0.0370029, -0.0285329, -0.0177098, -0.0127045, -0.00911147, -0.00466664, -0.00121872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0723211, 0.0757066, 0.0783793, 0.0834275, 0.0895977, 0.0928773, 0.0959231, 0.0988588, 0.10179, 0.10503, 0.109726, 0.113418, 0.116011, 0.119812, 0.12305, 0.127316, 0.13379, 0.146715");
-            values ( \
-              "-0.00810743, -0.0378807, -0.0499891, -0.0866641, -0.113297, -0.12389, -0.131066, -0.134349, -0.130517, -0.110295, -0.0619343, -0.0338503, -0.0212476, -0.0104274, -0.00569602, -0.00262493, -0.000918022, -0.000619273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00519052");
-            index_3 ("0.0734835, 0.078418, 0.0884965, 0.0970003, 0.101669, 0.106081, 0.110394, 0.114704, 0.117397, 0.131103, 0.14039, 0.145557, 0.150941");
-            values ( \
-              "-0.00339301, -0.0724514, -0.151846, -0.192935, -0.207383, -0.21606, -0.217725, -0.207463, -0.192177, -0.0629339, -0.022207, -0.0121295, -0.00648635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0124727");
-            index_3 ("0.0758451, 0.0818877, 0.0835262, 0.0904755, 0.0970525, 0.102198, 0.109644, 0.115156, 0.118117, 0.12558, 0.128659, 0.133019, 0.140498, 0.142643, 0.149651, 0.161174, 0.165698, 0.174031, 0.182342, 0.190118, 0.194548, 0.203409, 0.219736, 0.238775");
-            values ( \
-              "-0.0309524, -0.117829, -0.13396, -0.195974, -0.23559, -0.258638, -0.283551, -0.294702, -0.298802, -0.303303, -0.302634, -0.298117, -0.279001, -0.269938, -0.223538, -0.135435, -0.107677, -0.0683252, -0.0423253, -0.0265938, -0.0203289, -0.0117018, -0.00390058, -0.00105057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0299716");
-            index_3 ("0.0733022, 0.0859095, 0.0938403, 0.0998602, 0.103915, 0.112024, 0.120598, 0.132897, 0.141461, 0.150479, 0.165183, 0.171627, 0.178174, 0.185034, 0.196681, 0.201678, 0.211674, 0.22331, 0.236851, 0.243661, 0.251513, 0.261984, 0.269878, 0.279079, 0.291348, 0.300179, 0.309144, 0.321097, 0.345004, 0.383037, 0.4286");
-            values ( \
-              "-0.00272662, -0.168553, -0.237223, -0.275222, -0.295011, -0.325705, -0.346313, -0.362652, -0.366886, -0.367267, -0.361813, -0.356604, -0.349775, -0.340421, -0.317493, -0.303297, -0.265896, -0.215739, -0.162079, -0.138827, -0.11524, -0.0888952, -0.0726687, -0.0571436, -0.0410809, -0.0323085, -0.0252671, -0.0181033, -0.00905407, -0.00273145, -0.000597544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.072021");
-            index_3 ("0.084599, 0.105604, 0.11317, 0.125032, 0.136067, 0.147414, 0.157931, 0.174055, 0.19001, 0.215861, 0.235994, 0.255964, 0.292951, 0.306457, 0.324465, 0.334299, 0.347412, 0.394782, 0.418636, 0.436315, 0.454273, 0.478217, 0.497086, 0.519143, 0.548553, 0.56793, 0.587684, 0.614023, 0.666701, 0.731653, 0.796605, 0.861558");
-            values ( \
-              "-0.265925, -0.318561, -0.347302, -0.376793, -0.39237, -0.400413, -0.403965, -0.404677, -0.402718, -0.396277, -0.389737, -0.382107, -0.362597, -0.352818, -0.33593, -0.323951, -0.304132, -0.213723, -0.171502, -0.144118, -0.119849, -0.0927131, -0.0752951, -0.0587082, -0.0417214, -0.0332355, -0.0263188, -0.0191923, -0.00997344, -0.00434675, -0.00187747, -0.000822194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.173065");
-            index_3 ("0.0851371, 0.105431, 0.11342, 0.130846, 0.144446, 0.156868, 0.168509, 0.187786, 0.242236, 0.317122, 0.382075, 0.462691, 0.522496, 0.554508, 0.618532, 0.642161, 0.687509, 0.828641, 0.885087, 0.927429, 0.970006, 1.02669, 1.07681, 1.12652, 1.19148, 1.24387, 1.33837, 1.40332, 1.46827, 1.59818, 1.85799");
-            values ( \
-              "-0.289954, -0.324382, -0.357224, -0.397957, -0.412955, -0.419581, -0.422607, -0.424036, -0.420321, -0.411818, -0.403365, -0.390625, -0.378617, -0.3706, -0.349199, -0.338226, -0.310239, -0.19487, -0.15489, -0.129159, -0.106801, -0.0822349, -0.0648825, -0.0509801, -0.037027, -0.0285093, -0.0176862, -0.0127285, -0.00908778, -0.0046429, -0.00119495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.111244, 0.113815, 0.116518, 0.121223, 0.127794, 0.131079, 0.134129, 0.137067, 0.140002, 0.143242, 0.150772, 0.154438, 0.157486, 0.160876, 0.164751, 0.165032");
-            values ( \
-              "-0.0301023, -0.0369744, -0.0492841, -0.0843055, -0.113066, -0.123716, -0.130932, -0.134234, -0.130449, -0.110277, -0.0392223, -0.0204661, -0.0115388, -0.00616456, -0.00298829, -0.00290332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00519052");
-            index_3 ("0.108916, 0.130735, 0.135151, 0.139514, 0.144236, 0.14855, 0.152864, 0.155516, 0.164764, 0.169271, 0.173393, 0.178554, 0.183736, 0.189658, 0.198876, 0.224245");
-            values ( \
-              "-0.00841637, -0.173323, -0.192473, -0.206428, -0.215727, -0.217589, -0.20729, -0.192383, -0.0989745, -0.0629027, -0.040172, -0.0222112, -0.0121102, -0.00592028, -0.00191083, -0.000212916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0124727");
-            index_3 ("0.108814, 0.135934, 0.140387, 0.148644, 0.156322, 0.163786, 0.171242, 0.178705, 0.180953, 0.183522, 0.199396, 0.203906, 0.212241, 0.22058, 0.228335, 0.232743, 0.241561, 0.257874, 0.2579");
-            values ( \
-              "-0.0107524, -0.238878, -0.258679, -0.285423, -0.298892, -0.303664, -0.298481, -0.279026, -0.26959, -0.255261, -0.135416, -0.107734, -0.0683531, -0.0422732, -0.0265938, -0.0203564, -0.0117497, -0.00392166, -0.00391775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0299716");
-            index_3 ("0.108676, 0.138502, 0.142399, 0.150191, 0.15903, 0.171522, 0.179457, 0.188886, 0.203585, 0.210073, 0.216664, 0.223261, 0.232057, 0.235079, 0.241121, 0.252564, 0.273699, 0.285058, 0.291092, 0.303158, 0.314443, 0.322524, 0.336212, 0.350022, 0.360714, 0.382096, 0.410035");
-            values ( \
-              "-0.00922746, -0.277706, -0.296657, -0.325648, -0.347039, -0.363028, -0.366907, -0.367504, -0.361796, -0.356614, -0.349702, -0.340711, -0.324528, -0.3175, -0.299942, -0.255311, -0.167893, -0.129509, -0.112081, -0.0828505, -0.0619063, -0.0499744, -0.0344359, -0.0235863, -0.0175086, -0.00946509, -0.00463396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.072021");
-            index_3 ("0.118409, 0.140137, 0.145155, 0.155192, 0.163457, 0.176573, 0.186807, 0.196327, 0.212432, 0.228395, 0.254033, 0.274807, 0.29435, 0.327967, 0.331325, 0.344756, 0.362665, 0.373037, 0.386865, 0.444212, 0.466976, 0.481371, 0.507391, 0.52968, 0.548039, 0.56967, 0.600209, 0.613699, 0.63189, 0.656145, 0.704655, 0.769608, 0.83456, 0.899512");
-            values ( \
-              "-0.148287, -0.300319, -0.324575, -0.359492, -0.377829, -0.394891, -0.401288, -0.40409, -0.404835, -0.402691, -0.396413, -0.389645, -0.382054, -0.364814, -0.362598, -0.352882, -0.336139, -0.323481, -0.302323, -0.193356, -0.155522, -0.134583, -0.102409, -0.0802361, -0.0653363, -0.0510063, -0.0356569, -0.0304261, -0.0245299, -0.0183367, -0.0100669, -0.00438666, -0.00189702, -0.00082853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.173065");
-            index_3 ("0.126725, 0.177334, 0.187231, 0.203409, 0.230413, 0.280956, 0.355844, 0.432313, 0.501413, 0.59323, 0.657254, 0.680882, 0.726231, 0.867363, 0.966151, 1.00873, 1.06541, 1.11553, 1.16525, 1.2302, 1.2826, 1.37709, 1.44204, 1.507, 1.6369, 1.66074");
-            values ( \
-              "-0.334195, -0.407892, -0.415602, -0.421969, -0.423964, -0.420315, -0.411831, -0.40168, -0.390638, -0.370616, -0.349182, -0.338243, -0.310221, -0.194893, -0.129135, -0.106824, -0.0822102, -0.0648569, -0.0510051, -0.0370011, -0.0285363, -0.0177137, -0.0127002, -0.00911569, -0.00467114, -0.00435486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.198519, 0.203868, 0.207383, 0.211883, 0.218362, 0.223506, 0.226652, 0.229663, 0.232657, 0.235934, 0.243917, 0.250216, 0.255424");
-            values ( \
-              "-0.00181369, -0.0273065, -0.0385309, -0.0687972, -0.100363, -0.119253, -0.127384, -0.131496, -0.128464, -0.109024, -0.036453, -0.0116292, -0.00537113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00519052");
-            index_3 ("0.201837, 0.210905, 0.215026, 0.222166, 0.227532, 0.23232, 0.236809, 0.241179, 0.245616, 0.247781, 0.250091, 0.260093, 0.264774, 0.268094, 0.271, 0.274526, 0.279228, 0.28782, 0.289838");
-            values ( \
-              "-0.0226062, -0.0784045, -0.116465, -0.161914, -0.186661, -0.20355, -0.212501, -0.215788, -0.205445, -0.194084, -0.175578, -0.0764327, -0.0465553, -0.0321103, -0.0229492, -0.01522, -0.00869664, -0.00297621, -0.00257443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0124727");
-            index_3 ("0.203157, 0.211194, 0.218295, 0.222465, 0.225875, 0.232407, 0.240827, 0.248589, 0.256108, 0.263616, 0.271105, 0.273212, 0.280264, 0.291797, 0.296323, 0.304659, 0.31297, 0.320749, 0.325181, 0.334046, 0.349494");
-            values ( \
-              "-0.039883, -0.0991528, -0.164876, -0.196777, -0.218805, -0.252354, -0.281287, -0.296447, -0.301896, -0.297489, -0.278243, -0.269659, -0.223323, -0.135361, -0.107623, -0.0682978, -0.0423194, -0.0265883, -0.0203272, -0.011696, -0.00431588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0299716");
-            index_3 ("0.202284, 0.216027, 0.220362, 0.224441, 0.230803, 0.234748, 0.24264, 0.25158, 0.263651, 0.266782, 0.273046, 0.281532, 0.296252, 0.302385, 0.308614, 0.31733, 0.327746, 0.344215, 0.360615, 0.371888, 0.377693, 0.388647, 0.398521, 0.406679, 0.415945, 0.429058, 0.442521, 0.452807, 0.473378, 0.511545, 0.556636");
-            values ( \
-              "-0.00352801, -0.153636, -0.19486, -0.229188, -0.270689, -0.290837, -0.321914, -0.344719, -0.361431, -0.363622, -0.366381, -0.366969, -0.361562, -0.356755, -0.35042, -0.338425, -0.31741, -0.260209, -0.190438, -0.148728, -0.129946, -0.0996523, -0.0776071, -0.0628195, -0.0491316, -0.034392, -0.0237822, -0.0178612, -0.0098986, -0.00298005, -0.000660679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.072021");
-            index_3 ("0.213571, 0.227754, 0.237699, 0.245855, 0.256175, 0.268579, 0.279235, 0.289103, 0.305228, 0.32119, 0.346533, 0.387144, 0.4241, 0.437419, 0.455178, 0.466362, 0.481274, 0.537189, 0.574086, 0.599834, 0.622377, 0.640996, 0.662566, 0.693057, 0.724565, 0.748668, 0.796873, 0.861825, 0.99173");
-            values ( \
-              "-0.240181, -0.263258, -0.319743, -0.350817, -0.376058, -0.393317, -0.400556, -0.403771, -0.404667, -0.40263, -0.396469, -0.382044, -0.362611, -0.352987, -0.336444, -0.322757, -0.299543, -0.193017, -0.134684, -0.10279, -0.0803136, -0.0652092, -0.0509423, -0.0356305, -0.0245622, -0.018396, -0.0101396, -0.00441933, -0.000835133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.173065");
-            index_3 ("0.223312, 0.26919, 0.282308, 0.297128, 0.31536, 0.362816, 0.43693, 0.572517, 0.666191, 0.724843, 0.7733, 0.838253, 0.933939, 1.04098, 1.15921, 1.2564, 1.37589, 1.53878, 1.66391");
-            values ( \
-              "-0.398153, -0.406565, -0.416776, -0.422044, -0.423816, -0.421362, -0.413256, -0.394347, -0.375701, -0.358692, -0.338221, -0.295491, -0.215275, -0.139448, -0.0818153, -0.0513198, -0.0283892, -0.0124861, -0.00737116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.388951, 0.401669, 0.403351, 0.414311, 0.424366, 0.430771, 0.434637, 0.438373, 0.442277, 0.452054, 0.456861, 0.459474, 0.465314, 0.471866");
-            values ( \
-              "-0.00300866, -0.0207583, -0.0241344, -0.0587146, -0.0835972, -0.0973704, -0.103514, -0.105159, -0.0932123, -0.029193, -0.0131882, -0.00847982, -0.00319037, -0.00120811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00519052");
-            index_3 ("0.389251, 0.405608, 0.419765, 0.43135, 0.437403, 0.442845, 0.447958, 0.453012, 0.458058, 0.469576, 0.47394, 0.476353, 0.481006, 0.486789, 0.492956, 0.497583");
-            values ( \
-              "-0.00180926, -0.0422447, -0.101401, -0.144567, -0.164583, -0.178785, -0.186529, -0.183787, -0.160334, -0.0665266, -0.0427853, -0.0330909, -0.0198022, -0.0103658, -0.0051123, -0.0035026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0124727");
-            index_3 ("0.394678, 0.407687, 0.410944, 0.415518, 0.4301, 0.437502, 0.447449, 0.456239, 0.464475, 0.472535, 0.480586, 0.483444, 0.48645, 0.497011, 0.505971, 0.515616, 0.523109, 0.529229, 0.531815, 0.536986, 0.546018");
-            values ( \
-              "-0.0275094, -0.0628278, -0.074564, -0.0962795, -0.172357, -0.206442, -0.244755, -0.266454, -0.278502, -0.279423, -0.265554, -0.255386, -0.240244, -0.165057, -0.10806, -0.0666989, -0.0437582, -0.0305718, -0.0262365, -0.019258, -0.0112807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0299716");
-            index_3 ("0.403035, 0.41496, 0.420683, 0.430203, 0.438269, 0.442155, 0.444461, 0.449073, 0.454041, 0.459009, 0.463097, 0.467185, 0.471272, 0.47541, 0.478485, 0.480072, 0.483246, 0.48642, 0.488717, 0.490848, 0.49511, 0.498905, 0.501279, 0.506027, 0.511778, 0.515944, 0.519346, 0.52359, 0.525557, 0.529491, 0.531621, 0.535882, 0.542182, 0.546352, 0.550522, 0.55649, 0.561885, 0.566349, 0.576505, 0.584856, 0.590016, 0.593764, 0.599379, 0.604186, 0.611625, 0.616886, 0.623884, 0.629507, 0.636089, 0.644538");
-            values ( \
-              "-0.0768609, -0.104233, -0.134198, -0.192238, -0.237886, -0.25757, -0.268171, -0.287249, -0.301454, -0.314047, -0.322783, -0.330704, -0.337809, -0.344168, -0.347353, -0.348681, -0.350918, -0.352596, -0.353404, -0.353701, -0.352264, -0.351538, -0.35135, -0.351584, -0.349032, -0.346523, -0.343736, -0.339118, -0.336548, -0.330601, -0.326305, -0.316694, -0.299993, -0.287305, -0.273316, -0.250908, -0.226925, -0.20832, -0.16899, -0.13996, -0.123371, -0.112634, -0.0984367, -0.0875516, -0.0718876, -0.0621296, -0.0519476, -0.0448547, -0.0376295, -0.0298899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.072021");
-            index_3 ("0.407312, 0.425476, 0.442859, 0.452273, 0.463523, 0.48109, 0.49816, 0.505612, 0.519479, 0.530844, 0.542172, 0.563519, 0.601015, 0.617198, 0.631705, 0.66072, 0.668873, 0.685179, 0.755248, 0.777641, 0.80435, 0.83036, 0.854645, 0.887024, 0.907446, 0.930378, 0.960953, 1.0221, 1.08706, 1.15201");
-            values ( \
-              "-0.114764, -0.170766, -0.273418, -0.313763, -0.347794, -0.379246, -0.392466, -0.395017, -0.398214, -0.399029, -0.398055, -0.393517, -0.380178, -0.372376, -0.363965, -0.340927, -0.332087, -0.309474, -0.178942, -0.143732, -0.108946, -0.082027, -0.0624801, -0.0429318, -0.03377, -0.0257515, -0.017837, -0.00823778, -0.00359484, -0.0015412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.173065");
-            index_3 ("0.424891, 0.495936, 0.523435, 0.536659, 0.551315, 0.583459, 0.658381, 0.734855, 0.803981, 0.863738, 0.895775, 0.959849, 0.983428, 1.02878, 1.16991, 1.22636, 1.2687, 1.31128, 1.36795, 1.41808, 1.46779, 1.53275, 1.58514, 1.67964, 1.74459, 1.80954, 1.93945, 2.19926");
-            values ( \
-              "-0.318238, -0.40629, -0.4187, -0.42106, -0.421711, -0.419998, -0.411802, -0.401671, -0.39063, -0.378598, -0.370614, -0.349156, -0.338242, -0.310218, -0.194889, -0.154871, -0.12914, -0.106819, -0.0822158, -0.0648634, -0.0509991, -0.0370078, -0.0285287, -0.0177057, -0.0127087, -0.00910739, -0.00466261, -0.00121479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.815974, 0.826621, 0.831822, 0.83535, 0.842407, 0.849202, 0.858969, 0.869334, 0.880529, 0.883105, 0.885682, 0.890912, 0.903101, 0.906672, 0.91138");
-            values ( \
-              "-0.00843581, -0.00892437, -0.0122697, -0.0154138, -0.0243887, -0.0366432, -0.0509298, -0.063988, -0.076273, -0.0759126, -0.0750145, -0.0714615, -0.0238298, -0.0145013, -0.00713191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00519052");
-            index_3 ("0.813282, 0.829047, 0.840624, 0.864683, 0.882998, 0.890443, 0.897287, 0.903861, 0.910635, 0.926297, 0.931248, 0.937012, 0.943442, 0.95079, 0.957908");
-            values ( \
-              "-0.00627786, -0.0174423, -0.0317776, -0.0845493, -0.119314, -0.131634, -0.140841, -0.144279, -0.130816, -0.0461219, -0.0290784, -0.0163794, -0.00850236, -0.00394759, -0.00227698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0124727");
-            index_3 ("0.825749, 0.842068, 0.850216, 0.884808, 0.904063, 0.910801, 0.916373, 0.92583, 0.935184, 0.944527, 0.956819, 0.965514, 0.972132, 0.980877, 0.988327, 0.992947, 1.00219, 1.01894, 1.03877");
-            values ( \
-              "-0.0374319, -0.045898, -0.0636747, -0.15833, -0.207879, -0.222493, -0.232427, -0.242612, -0.238037, -0.206708, -0.132424, -0.0880889, -0.0625457, -0.0386722, -0.0252801, -0.0193238, -0.0111312, -0.00380819, -0.00108511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0299716");
-            index_3 ("0.82618, 0.847657, 0.858756, 0.908737, 0.925834, 0.932193, 0.938877, 0.945059, 0.957424, 0.961396, 0.96934, 0.977632, 0.993925, 0.995833, 1.00347, 1.01385, 1.02919, 1.03912, 1.0492, 1.06265, 1.06751, 1.07666, 1.08548, 1.09778, 1.1027, 1.11254, 1.13223, 1.16803, 1.20976");
-            values ( \
-              "-0.0333876, -0.0671539, -0.0956577, -0.256945, -0.299236, -0.310189, -0.319308, -0.325782, -0.333092, -0.333902, -0.333355, -0.329225, -0.308464, -0.304563, -0.283956, -0.245224, -0.183532, -0.148108, -0.117345, -0.084283, -0.0745537, -0.0588808, -0.0466453, -0.0334552, -0.0292748, -0.0223393, -0.0127969, -0.004263, -0.0011125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.072021");
-            index_3 ("0.827023, 0.86343, 0.914071, 0.929906, 0.947615, 0.962582, 0.982537, 0.995157, 1.01198, 1.02015, 1.03648, 1.05406, 1.08815, 1.10316, 1.11917, 1.12656, 1.14135, 1.21528, 1.23581, 1.24992, 1.27812, 1.2963, 1.31936, 1.3501, 1.37391, 1.41024, 1.45869, 1.52364, 1.58859, 1.65355");
-            values ( \
-              "-0.0261782, -0.116314, -0.293723, -0.334117, -0.362848, -0.377171, -0.386715, -0.388575, -0.388109, -0.386941, -0.383281, -0.377639, -0.361601, -0.351494, -0.337744, -0.329877, -0.310254, -0.175128, -0.143431, -0.124282, -0.0919811, -0.0752849, -0.0580396, -0.0405783, -0.0306811, -0.0198957, -0.0109449, -0.0047706, -0.00206535, -0.00090078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.173065");
-            index_3 ("0.852702, 0.885603, 0.920987, 0.937525, 0.957283, 0.976188, 0.997503, 1.01883, 1.0396, 1.1151, 1.27137, 1.31046, 1.35336, 1.41832, 1.44104, 1.48642, 1.62751, 1.68397, 1.72633, 1.7689, 1.82558, 1.87569, 1.92541, 1.99036, 2.04276, 2.13726, 2.20221, 2.26717, 2.39707, 2.65688");
-            values ( \
-              "-0.179676, -0.199117, -0.32386, -0.362439, -0.389584, -0.404204, -0.412623, -0.41493, -0.415433, -0.409055, -0.38856, -0.380939, -0.370575, -0.348761, -0.338221, -0.310184, -0.194894, -0.154874, -0.129135, -0.106814, -0.0822161, -0.0648661, -0.0509988, -0.0370097, -0.0285283, -0.0177049, -0.0127092, -0.0091067, -0.00466201, -0.00121427" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00176485, 0.0017666, 0.00176812, 0.00176907, 0.00176955, 0.00176977", \
-            "0.00196902, 0.00196987, 0.00197106, 0.00197199, 0.00197254, 0.00197281", \
-            "0.0020756, 0.00207566, 0.00207592, 0.00207634, 0.00207669, 0.00207689", \
-            "0.00213876, 0.00213874, 0.0021388, 0.00213896, 0.00213914, 0.00213921", \
-            "0.00217741, 0.00217737, 0.00217731, 0.00217727, 0.00217726, 0.00217728", \
-            "0.00220346, 0.00220345, 0.00220343, 0.00220341, 0.0022034, 0.0022034" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00232836, 0.00232999, 0.00233198, 0.00233385, 0.0023349, 0.00233539", \
-            "0.00244924, 0.00244854, 0.00244859, 0.00244936, 0.00245006, 0.00245045", \
-            "0.00256165, 0.00255989, 0.00255792, 0.00255665, 0.0025562, 0.00255608", \
-            "0.00273641, 0.00273416, 0.00273515, 0.00273155, 0.00272889, 0.00272797", \
-            "0.00306366, 0.00307197, 0.00307932, 0.0030832, 0.00308417, 0.00308416", \
-            "0.00362463, 0.00361671, 0.00359845, 0.00369789, 0.00377033, 0.00380323" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.005475, 0.00555133, 0.00564837, 0.00571984, 0.00574408, 0.00574789", \
-            "0.00538847, 0.00545056, 0.00555433, 0.00563277, 0.00566062, 0.00566516", \
-            "0.00522732, 0.00529713, 0.00540334, 0.00549428, 0.00553754, 0.00554919", \
-            "0.00517817, 0.00519282, 0.00524897, 0.00523281, 0.00536793, 0.00538798", \
-            "0.00535261, 0.00537355, 0.00539735, 0.00544491, 0.00549289, 0.00551801", \
-            "0.00628189, 0.00619827, 0.00612366, 0.00610128, 0.00618723, 0.00616014" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00928434, 0.00949215, 0.00974428, 0.00991616, 0.0100064, 0.0100465", \
-            "0.00921737, 0.00942074, 0.00965694, 0.00983717, 0.00993061, 0.00997184", \
-            "0.00911032, 0.00928552, 0.00952301, 0.00972993, 0.00984363, 0.00989455", \
-            "0.00899405, 0.00912397, 0.00933064, 0.00959861, 0.00974842, 0.00982517", \
-            "0.00939795, 0.00942999, 0.00954097, 0.00972714, 0.00990165, 0.0100098", \
-            "0.0103629, 0.0103105, 0.0103406, 0.0105229, 0.0107788, 0.0109051" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.00555194, 0.00561854, 0.00570614, 0.00574126, 0.00571556, 0.00568295", \
-            "0.00543557, 0.005516, 0.00559311, 0.00563496, 0.00561418, 0.00558332", \
-            "0.00523891, 0.00530844, 0.00540354, 0.00546314, 0.00546258, 0.00544038", \
-            "0.00511627, 0.00516818, 0.0052301, 0.00529897, 0.00532624, 0.0053034", \
-            "0.00521975, 0.0052159, 0.00525509, 0.00530647, 0.00534063, 0.00534721", \
-            "0.00592526, 0.00585729, 0.00579905, 0.0058199, 0.00585116, 0.00585615" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00519052, 0.0124727, 0.0299716, 0.072021, 0.173065");
-          values ( \
-            "0.0100217, 0.0101547, 0.0102609, 0.0103032, 0.0103066, 0.0103067", \
-            "0.0099425, 0.0100612, 0.0101815, 0.0102392, 0.0102456, 0.0102422", \
-            "0.00982527, 0.00995799, 0.0100822, 0.0101648, 0.0101912, 0.0101952", \
-            "0.00975333, 0.00984205, 0.00998222, 0.0101112, 0.0101747, 0.0101965", \
-            "0.0100858, 0.0101112, 0.0101881, 0.0102894, 0.0103797, 0.0104124", \
-            "0.0113443, 0.0112587, 0.0112166, 0.0112331, 0.0112721, 0.0113199" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00271787;
-      rise_capacitance : 0.00271787;
-      rise_capacitance_range (0.00204024, 0.00271787);
-      fall_capacitance : 0.00270958;
-      fall_capacitance_range (0.00184315, 0.00270958);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00194689, 0.00221065, 0.0023427, 0.00241168, 0.00244232, 0.00245615" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00225111, 0.00216104, 0.00206842, 0.00196287, 0.00193806, 0.00192619" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00148068, 0.00162081, 0.00169608, 0.00174704, 0.00177499, 0.00178835" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00207308, 0.00226225, 0.00236292, 0.00241073, 0.00242433, 0.00242797" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00273512, -0.00273241, -0.00273801, -0.00273753, -0.00274334, -0.00274642" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00273512, 0.00273298, 0.00274743, 0.00276003, 0.00274334, 0.00274755" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00284369;
-      rise_capacitance : 0.00284369;
-      rise_capacitance_range (0.00224692, 0.00284369);
-      fall_capacitance : 0.00279931;
-      fall_capacitance_range (0.00207369, 0.00279931);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00202885, 0.00234147, 0.002499, 0.0025843, 0.00262425, 0.002641" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00255553, 0.00248787, 0.00239811, 0.00232556, 0.00229166, 0.00227799" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00173528, 0.00192694, 0.00203533, 0.0020954, 0.00212948, 0.00214606" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00232365, 0.00251038, 0.00260662, 0.0026551, 0.00266956, 0.00267653" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00264308, -0.00264884, -0.00264312, -0.00265295, -0.00264712, -0.00263653" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00264785, 0.0026541, 0.00265869, 0.00266428, 0.00265182, 0.00265173" \
-          );
-        }
-      }
-    }
-  }
-  cell (ANT) {
-    area : 4.3956;
-    cell_footprint : "ANT";
-    cell_leakage_power : 154503;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "A";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 6.65582e-05;
-      when : "A";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "!A";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 309006;
-      when : "!A";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 154503;
-      related_pg_pin : VDD;
-    }
-    pin (A) {
-      direction : input;
-      related_power_pin : VDD;
-      capacitance : 0.0272202;
-      rise_capacitance : 0.0231898;
-      rise_capacitance_range (3.78665e-05, 0.0231898);
-      fall_capacitance : 0.0272202;
-      fall_capacitance_range (0.00228161, 0.0272202);
-      receiver_capacitance () {
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00114349, 0.000312879, -0.00190065, -0.00703551, -0.0194246, -0.0493274" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00208575, 0.00203359, 0.00197459, 0.00190979, 0.00177161, 0.00137276" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00193251, 0.00204046, 0.00215839, 0.00218497, 0.00227559, 0.00252061" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00236124, 0.00285565, 0.00425412, 0.00685649, 0.0134714, 0.0295137" \
-          );
-        }
-      }
-      internal_power () {
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.001603, -0.000825441, 0.00100408, 0.00519898, 0.0153345, 0.0397551" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.20282, 0.200304, 0.194355, 0.179489, 0.143961, 0.0586461" \
-          );
-        }
-      }
-    }
-  }
-  cell (AOI21XL) {
-    area : 8.3028;
-    cell_footprint : "AOI21";
-    cell_leakage_power : 0.0143128;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00226368;
-      when : "(A0 * A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * A1 * !B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0236956;
-      when : "(A0 * A1 * !B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * !A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0262038;
-      when : "(A0 * !A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * !A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00396471;
-      when : "(A0 * !A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0262038;
-      when : "(!A0 * A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00368923;
-      when : "(!A0 * A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * !A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0262038;
-      when : "(!A0 * !A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * !A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00227812;
-      when : "(!A0 * !A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0143128;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A0 * !B0) + (!A1 * !B0)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0375308;
-      timing () {
-        related_pin : "A0";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.087444, 0.108398, 0.145243, 0.210176, 0.324891, 0.527769", \
-            "0.0925186, 0.113566, 0.150493, 0.215496, 0.330279, 0.533194", \
-            "0.104816, 0.125903, 0.162931, 0.228053, 0.342925, 0.545929", \
-            "0.13088, 0.15194, 0.188933, 0.254129, 0.369098, 0.572276", \
-            "0.179573, 0.205183, 0.246298, 0.312451, 0.427568, 0.630839", \
-            "0.260794, 0.296753, 0.351847, 0.43558, 0.564431, 0.769779" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0800819, 0.109512, 0.161447, 0.253222, 0.415474, 0.702435", \
-            "0.0800856, 0.109488, 0.161448, 0.253249, 0.415475, 0.702435", \
-            "0.0800902, 0.109507, 0.161448, 0.253247, 0.415524, 0.702435", \
-            "0.082513, 0.110586, 0.161656, 0.253246, 0.415429, 0.702435", \
-            "0.102524, 0.128382, 0.173955, 0.259212, 0.416145, 0.702432", \
-            "0.153219, 0.181469, 0.228996, 0.308541, 0.448302, 0.714527" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0532514, 0.0649243, 0.0848806, 0.119298, 0.179285, 0.284608", \
-            "0.0561806, 0.0678773, 0.0878605, 0.122304, 0.182297, 0.287628", \
-            "0.0632513, 0.0749882, 0.0950584, 0.129581, 0.189729, 0.295044", \
-            "0.0789473, 0.0918538, 0.112639, 0.146965, 0.207823, 0.313355", \
-            "0.0988594, 0.116681, 0.14422, 0.186159, 0.250634, 0.357143", \
-            "0.10843, 0.134714, 0.175145, 0.235601, 0.323909, 0.452512" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.046543, 0.0608708, 0.0862394, 0.131239, 0.211, 0.352651", \
-            "0.0464879, 0.0608388, 0.0861372, 0.131263, 0.211, 0.352651", \
-            "0.0464442, 0.0607051, 0.0861793, 0.131247, 0.211026, 0.352651", \
-            "0.0540935, 0.0666729, 0.0897162, 0.132084, 0.211232, 0.352681", \
-            "0.0783132, 0.0926609, 0.115814, 0.15432, 0.223471, 0.35634", \
-            "0.122645, 0.142378, 0.172902, 0.219742, 0.291828, 0.408972" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0373847, 0.0418831, 0.0454494, 0.0510394, 0.0566363, 0.0618307, 0.0725693, 0.076781, 0.0892195, 0.0950325, 0.106517, 0.110953, 0.121588, 0.129439, 0.139677, 0.14664, 0.155924, 0.171175, 0.175489, 0.190063, 0.20561, 0.212479, 0.223841, 0.23899, 0.251217, 0.263464, 0.279794, 0.312453, 0.3635, 0.425126");
-            values ( \
-              "0.00300277, 0.0315858, 0.0339145, 0.0356173, 0.0362095, 0.0362389, 0.0357612, 0.0354199, 0.0342463, 0.0335032, 0.030707, 0.0287216, 0.0233229, 0.0197763, 0.0161456, 0.0141274, 0.0117603, 0.00871696, 0.00799586, 0.00597409, 0.00436571, 0.00378205, 0.00299507, 0.00219954, 0.00169478, 0.00131378, 0.000945332, 0.000458202, 0.000151793, 2.85444e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0373455, 0.0430094, 0.0470243, 0.0492993, 0.0523326, 0.0552939, 0.0612167, 0.0700327, 0.0847413, 0.100183, 0.115983, 0.131945, 0.163324, 0.179642, 0.196301, 0.216388, 0.226829, 0.243898, 0.26744, 0.278482, 0.300566, 0.323388, 0.361107, 0.411398, 0.47763, 0.560108");
-            values ( \
-              "0.00689899, 0.0417055, 0.0444304, 0.0453247, 0.0461313, 0.0465381, 0.0468967, 0.046685, 0.0457705, 0.0444216, 0.0426326, 0.0390836, 0.0263481, 0.0209753, 0.0164967, 0.012223, 0.0104161, 0.00798437, 0.00548825, 0.00461949, 0.00319997, 0.00220186, 0.00117537, 0.000507639, 0.000136327, 4.43563e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0372812, 0.0447438, 0.0515898, 0.0543454, 0.0598564, 0.0624943, 0.0677699, 0.084226, 0.117585, 0.129181, 0.152139, 0.162055, 0.177986, 0.188693, 0.229941, 0.249216, 0.270309, 0.298434, 0.311417, 0.332106, 0.366497, 0.390302, 0.409636, 0.436737, 0.467764, 0.509133, 0.58959, 0.625663");
-            values ( \
-              "0.0140016, 0.0513732, 0.0550768, 0.055657, 0.0562479, 0.0563212, 0.0563166, 0.0556316, 0.0535225, 0.0526566, 0.0505533, 0.0491567, 0.0458523, 0.0429709, 0.0305186, 0.0254074, 0.0206009, 0.0153738, 0.0133298, 0.0106025, 0.00716205, 0.00544081, 0.00436955, 0.00314224, 0.00217091, 0.00134095, 0.000451709, 0.000362097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0410013, 0.0665573, 0.0749366, 0.109184, 0.16338, 0.216703, 0.232318, 0.248974, 0.274906, 0.359541, 0.40276, 0.460647, 0.485196, 0.540762, 0.578544, 0.621723, 0.662483, 0.725177, 0.807916, 0.890655, 0.90206");
-            values ( \
-              "0.0633899, 0.0636956, 0.0636557, 0.0623417, 0.05976, 0.0564619, 0.0549243, 0.0527717, 0.048332, 0.031134, 0.0236673, 0.0158413, 0.0132533, 0.00872985, 0.00655395, 0.00464685, 0.00336668, 0.00203682, 0.00104554, 0.000507927, 0.000481223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0409177, 0.0688968, 0.0966946, 0.153173, 0.27065, 0.324951, 0.371851, 0.398217, 0.44408, 0.568603, 0.647285, 0.704096, 0.750926, 0.786855, 0.862872, 0.965022, 1.02288, 1.10159, 1.18433, 1.3498, 1.43924");
-            values ( \
-              "0.0675711, 0.0689032, 0.068387, 0.0668327, 0.063061, 0.060795, 0.0577104, 0.0552851, 0.0500015, 0.0336925, 0.0247493, 0.0193615, 0.0157381, 0.0133241, 0.00929818, 0.0056452, 0.00425379, 0.00287449, 0.00187359, 0.000799786, 0.000621628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0454648, 0.0454848, 0.182593, 0.360599, 0.430587, 0.47721, 0.535598, 0.618337, 0.704915, 0.961103, 1.01477, 1.08003, 1.16277, 1.2294, 1.30006, 1.3828, 1.42282, 1.50288, 1.57685, 1.65959, 1.75829, 1.89577, 2.06125, 2.22673, 2.39221, 2.72317");
-            values ( \
-              "1e-22, 0.0769109, 0.0705659, 0.0672404, 0.0657478, 0.0646411, 0.0629472, 0.0594687, 0.0539738, 0.0335997, 0.0297041, 0.0252977, 0.0204703, 0.0171296, 0.0140783, 0.0111603, 0.00993321, 0.00788628, 0.0063276, 0.00496139, 0.00368109, 0.00242781, 0.00146486, 0.000881538, 0.000529545, 0.000190235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0513282, 0.0610924, 0.0667172, 0.0723376, 0.0775155, 0.0882625, 0.0884477, 0.0993461, 0.110721, 0.122205, 0.145127, 0.155365, 0.162328, 0.171612, 0.191176, 0.205751, 0.221297, 0.239526, 0.254674, 0.279147, 0.295476, 0.328133, 0.365165");
-            values ( \
-              "0.00604827, 0.0338114, 0.0353816, 0.0363132, 0.0360792, 0.0358995, 0.0357247, 0.0348095, 0.0335013, 0.0307089, 0.0197778, 0.0161444, 0.0141263, 0.0117617, 0.0079972, 0.00597525, 0.00436485, 0.00299613, 0.0021989, 0.00131476, 0.000944646, 0.000459111, 0.000235569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0549319, 0.0587667, 0.0635681, 0.0694623, 0.071101, 0.0743785, 0.0801141, 0.0858134, 0.097212, 0.100716, 0.107725, 0.115986, 0.131359, 0.131792, 0.137857, 0.144788, 0.14864, 0.156343, 0.168465, 0.176342, 0.184419, 0.197858, 0.213069, 0.233131, 0.242219, 0.25683, 0.276312, 0.285764, 0.301335, 0.322096, 0.335468, 0.3488, 0.366576, 0.402129, 0.465345, 0.540173");
-            values ( \
-              "0.0307045, 0.0411851, 0.0448181, 0.0461976, 0.0467139, 0.0466579, 0.0470101, 0.0465399, 0.0461338, 0.0455873, 0.0452953, 0.0442862, 0.0428426, 0.0426381, 0.0415671, 0.0398737, 0.0386237, 0.0357716, 0.0305562, 0.0274063, 0.0245451, 0.0202611, 0.0162521, 0.0120554, 0.0104737, 0.00834722, 0.0061517, 0.00526778, 0.00409851, 0.00293929, 0.00234291, 0.00188294, 0.00142392, 0.00076573, 0.000258479, 5.19343e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0548914, 0.0605837, 0.0674525, 0.0783781, 0.100113, 0.133346, 0.168024, 0.177968, 0.193873, 0.245864, 0.286101, 0.327379, 0.382443, 0.405545, 0.452402, 0.526307, 0.582726");
-            values ( \
-              "0.0343844, 0.0512466, 0.0550154, 0.0564339, 0.0557665, 0.0535255, 0.0505472, 0.0491529, 0.0458525, 0.0305096, 0.0206209, 0.0133189, 0.00715748, 0.00548219, 0.00315041, 0.00132013, 0.000706311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0588734, 0.0588934, 0.107689, 0.125208, 0.196351, 0.230192, 0.254768, 0.273624, 0.303358, 0.343848, 0.378696, 0.410103, 0.45198, 0.480096, 0.520568, 0.553544, 0.581288, 0.613549, 0.659389, 0.689381, 0.745663, 0.820706, 0.903446, 1.06892");
-            values ( \
-              "1e-22, 0.0689235, 0.0629243, 0.0624119, 0.0588539, 0.0566618, 0.0541572, 0.0514299, 0.0458338, 0.0373526, 0.030556, 0.0250653, 0.0188838, 0.0154668, 0.0114889, 0.00896926, 0.00725539, 0.0056334, 0.00393285, 0.00309317, 0.00197258, 0.00107315, 0.000531987, 0.000131582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0587838, 0.0588038, 0.140597, 0.226032, 0.341084, 0.387576, 0.414272, 0.460181, 0.584661, 0.663306, 0.720138, 0.767024, 0.803, 0.87893, 0.980943, 1.0389, 1.11773, 1.20047, 1.36595, 1.45185");
-            values ( \
-              "1e-22, 0.0719886, 0.0676884, 0.0651819, 0.0607912, 0.0577375, 0.0552858, 0.0499952, 0.0336911, 0.0247543, 0.0193614, 0.0157363, 0.0133175, 0.00929703, 0.00564793, 0.00425588, 0.00287438, 0.00187166, 0.000798329, 0.000627269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.063873, 0.063893, 0.187211, 0.34561, 0.462226, 0.551717, 0.634457, 0.663368, 0.721034, 0.789701, 0.95039, 1.03089, 1.09615, 1.17889, 1.24552, 1.39892, 1.519, 1.67571, 1.82916, 1.99464, 2.16012, 2.49108, 2.57382, 2.65656, 2.7393");
-            values ( \
-              "1e-22, 0.0801241, 0.0706687, 0.067907, 0.0654469, 0.0630424, 0.0593734, 0.0578532, 0.0538782, 0.0487548, 0.0356061, 0.0296107, 0.0253908, 0.0203774, 0.0170375, 0.0110686, 0.00779489, 0.00487032, 0.00304179, 0.00180671, 0.00105624, 0.000328821, 0.000406255, 0.000164074, 0.000278657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0910035, 0.0947205, 0.0977354, 0.1003, 0.10439, 0.108411, 0.115316, 0.124585, 0.13715, 0.14773, 0.15336, 0.159795, 0.162287, 0.180788, 0.191397, 0.198773, 0.212, 0.229314, 0.239341, 0.24861, 0.260753, 0.270964, 0.28342, 0.301162, 0.320905, 0.336246, 0.366928, 0.419003, 0.481498");
-            values ( \
-              "0.0137524, 0.0281489, 0.0313037, 0.0330685, 0.0347792, 0.0356125, 0.0360649, 0.0357854, 0.0348068, 0.0336287, 0.0325809, 0.0306845, 0.0296473, 0.0207126, 0.016658, 0.0144808, 0.0111813, 0.00794304, 0.00650606, 0.00541458, 0.00420853, 0.00341517, 0.00265879, 0.00181985, 0.00120741, 0.000891993, 0.000448873, 0.000147866, 2.38802e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0927765, 0.104835, 0.108924, 0.116494, 0.12372, 0.138464, 0.153892, 0.169693, 0.177708, 0.186532, 0.215026, 0.222832, 0.235595, 0.24965, 0.26839, 0.281091, 0.300265, 0.316439, 0.330664, 0.34614, 0.368335, 0.382924, 0.410373, 0.446971, 0.511888, 0.589619");
-            values ( \
-              "0.0386293, 0.0449439, 0.0460307, 0.0467591, 0.0466378, 0.0457623, 0.0444226, 0.0426262, 0.0411691, 0.0386595, 0.0271553, 0.0243564, 0.0203379, 0.0165974, 0.0125367, 0.0103399, 0.00766609, 0.00593521, 0.00472827, 0.00367269, 0.00255886, 0.00201098, 0.00127949, 0.000695224, 0.0002094, 5.37803e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0949789, 0.0949989, 0.138149, 0.161046, 0.183104, 0.206058, 0.216036, 0.231909, 0.283944, 0.324014, 0.365506, 0.38723, 0.420547, 0.44278, 0.490153, 0.52263, 0.565933, 0.646762, 0.650447");
-            values ( \
-              "1e-22, 0.0572821, 0.0556755, 0.0542496, 0.0526608, 0.0505499, 0.0491476, 0.0458525, 0.0304982, 0.0206466, 0.0133058, 0.0104601, 0.00715232, 0.00553431, 0.00316112, 0.00214604, 0.00129457, 0.000432392, 0.000423612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0945362, 0.10712, 0.111985, 0.120643, 0.128986, 0.163236, 0.234379, 0.267866, 0.294856, 0.311653, 0.341754, 0.41149, 0.448109, 0.489959, 0.518137, 0.558625, 0.600816, 0.651554, 0.697399, 0.783714, 0.858795, 0.941535, 0.959715");
-            values ( \
-              "0.0616273, 0.0616514, 0.0629334, 0.0636316, 0.0636131, 0.0623246, 0.0588525, 0.0566906, 0.0538944, 0.0514233, 0.0457636, 0.0315712, 0.0250695, 0.0188894, 0.0154652, 0.0114863, 0.00834604, 0.0056341, 0.00393363, 0.0019718, 0.00107299, 0.000531299, 0.000487331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0962201, 0.0962401, 0.174032, 0.264233, 0.324972, 0.375436, 0.409483, 0.452535, 0.500233, 0.622984, 0.701463, 0.758392, 0.805517, 0.841699, 0.917247, 0.95105, 1.01866, 1.07701, 1.15641, 1.23915, 1.40463, 1.48668");
-            values ( \
-              "1e-22, 0.0706912, 0.0677622, 0.0651224, 0.0630594, 0.0609828, 0.0589882, 0.0552965, 0.0497722, 0.0337024, 0.0247576, 0.0193796, 0.0157114, 0.0133058, 0.00930891, 0.00790819, 0.00567675, 0.0042485, 0.0028576, 0.00187963, 0.00080821, 0.000645106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.100798, 0.100818, 0.236842, 0.383754, 0.477081, 0.531474, 0.589861, 0.672601, 0.701512, 0.759179, 1.01537, 1.06904, 1.13429, 1.21703, 1.28366, 1.35432, 1.43706, 1.47709, 1.55715, 1.63111, 1.71385, 1.81255, 1.95004, 2.03278, 2.11552, 2.281, 2.52921, 2.77743");
-            values ( \
-              "1e-22, 0.0781003, 0.0705363, 0.0678386, 0.0659044, 0.064618, 0.06297, 0.0594463, 0.0577792, 0.0539527, 0.0336162, 0.0296879, 0.0253135, 0.0204549, 0.0171156, 0.0140924, 0.0111467, 0.00994666, 0.00787305, 0.00634064, 0.00494858, 0.00369252, 0.0024389, 0.00188514, 0.00147574, 0.000892217, 0.000407173, 0.000200365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.164803, 0.17336, 0.179089, 0.186938, 0.194776, 0.210308, 0.212855, 0.2177, 0.222471, 0.224541, 0.228681, 0.234946, 0.236039, 0.242598, 0.248179, 0.267948, 0.273648, 0.285661, 0.300782, 0.316958, 0.32572, 0.347915, 0.359242, 0.388981, 0.395117, 0.396946, 0.400604, 0.407918, 0.451807, 0.564858");
-            values ( \
-              "0.00029737, 0.0178874, 0.0228578, 0.0267521, 0.0293144, 0.031815, 0.0324642, 0.0330461, 0.0341976, 0.033877, 0.0341173, 0.0333614, 0.033423, 0.0321629, 0.0302497, 0.0208413, 0.0186746, 0.0146219, 0.0108593, 0.00787774, 0.00661043, 0.0041895, 0.00331186, 0.00175761, 0.0016656, 0.00148262, 0.00149267, 0.00116916, 0.00042458, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.162526, 0.182547, 0.192526, 0.217482, 0.225823, 0.233527, 0.241218, 0.262356, 0.273882, 0.305197, 0.334482, 0.364883, 0.397543, 0.436147, 0.483207, 0.518108, 0.548262");
-            values ( \
-              "0.00244106, 0.031979, 0.0370414, 0.0435649, 0.0448704, 0.0448431, 0.0443379, 0.0417248, 0.0386429, 0.0261013, 0.0172238, 0.0109184, 0.0065451, 0.00352005, 0.00162813, 0.00090452, 0.000606268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.16254, 0.181877, 0.187955, 0.195643, 0.201509, 0.210247, 0.219487, 0.22535, 0.231087, 0.238735, 0.247665, 0.270458, 0.280324, 0.294068, 0.317897, 0.337038, 0.36413, 0.383508, 0.408996, 0.437689, 0.453597, 0.47982, 0.502673, 0.523095, 0.544265, 0.585124, 0.606427, 0.630773, 0.679465, 0.762204, 0.844943");
-            values ( \
-              "0.000725704, 0.0374018, 0.0418606, 0.0455297, 0.0475682, 0.0497967, 0.0530439, 0.054409, 0.0547704, 0.0546705, 0.0542538, 0.052668, 0.0518373, 0.0504646, 0.0463285, 0.0408488, 0.0324807, 0.0271819, 0.0211828, 0.0156883, 0.0132209, 0.00987889, 0.00763047, 0.00603512, 0.00469967, 0.00289611, 0.00226461, 0.00168059, 0.000937848, 0.00030993, 0.000117289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.171202, 0.185151, 0.196323, 0.224561, 0.230903, 0.240814, 0.267901, 0.320996, 0.332709, 0.35919, 0.387166, 0.419696, 0.498817, 0.535944, 0.578375, 0.605182, 0.645307, 0.688196, 0.739296, 0.784991, 0.814667, 0.870211, 0.944269, 1.02701, 1.19249");
-            values ( \
-              "0.0311997, 0.0451301, 0.0516672, 0.0619414, 0.0625362, 0.0626217, 0.0615578, 0.0589141, 0.0582136, 0.0563507, 0.053275, 0.0476979, 0.0315437, 0.0250036, 0.0187503, 0.0154963, 0.0115383, 0.0083368, 0.00560851, 0.00393772, 0.00308544, 0.00197559, 0.00110101, 0.00052955, 0.000122818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.17124, 0.189462, 0.199834, 0.210183, 0.221885, 0.229461, 0.238454, 0.25211, 0.294949, 0.342598, 0.412421, 0.472333, 0.500771, 0.531106, 0.557878, 0.593573, 0.726896, 0.759169, 0.823715, 0.860657, 0.902086, 0.957326, 0.994427, 1.02193, 1.05859, 1.13193, 1.18884, 1.27652, 1.35926, 1.442, 1.52474, 1.69022, 1.85569");
-            values ( \
-              "0.0320298, 0.0518416, 0.0574016, 0.060994, 0.0665633, 0.0679172, 0.0682121, 0.0680085, 0.0668189, 0.0654057, 0.0630572, 0.0605161, 0.0587075, 0.056168, 0.0533724, 0.0490256, 0.0316892, 0.0279296, 0.0213313, 0.0181551, 0.0150608, 0.0116491, 0.00976898, 0.00856302, 0.00717549, 0.00498889, 0.00376196, 0.00242301, 0.001596, 0.0010405, 0.000687017, 0.000296673, 0.00012967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.181701, 0.224804, 0.230208, 0.23923, 0.252007, 0.373232, 0.471524, 0.588178, 0.677631, 0.76037, 0.789282, 0.846948, 1.10314, 1.1568, 1.22206, 1.3048, 1.37143, 1.44208, 1.52482, 1.56485, 1.64491, 1.71887, 1.80161, 1.90031, 1.95506, 2.0378, 2.12054, 2.20328, 2.36876, 2.61698, 2.86519");
-            values ( \
-              "0.0685468, 0.0704785, 0.0713975, 0.0717725, 0.0717865, 0.0696941, 0.0678471, 0.0653814, 0.0629768, 0.0594393, 0.0577867, 0.0539452, 0.0336224, 0.029682, 0.0253194, 0.0204492, 0.0171106, 0.0140975, 0.011142, 0.00995144, 0.00786859, 0.00634521, 0.00494432, 0.00369603, 0.00311705, 0.00244216, 0.00188206, 0.00147882, 0.000895136, 0.000404503, 0.000202841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.322088, 0.351463, 0.36458, 0.385069, 0.402211, 0.416686, 0.424094, 0.43248, 0.446588, 0.473802, 0.50136, 0.540489, 0.558899, 0.58612, 0.603399, 0.632253, 0.670725, 0.673979");
-            values ( \
-              "0.00037752, 0.0128696, 0.0167732, 0.021245, 0.0241581, 0.0258403, 0.0263713, 0.0266521, 0.025373, 0.0173415, 0.0111178, 0.00570689, 0.00393093, 0.00224195, 0.00156998, 0.000860957, 0.000369378, 0.000359775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.332204, 0.353189, 0.365061, 0.388297, 0.398347, 0.419627, 0.439151, 0.458043, 0.476899, 0.486872, 0.505674, 0.519566, 0.539227, 0.559888, 0.57569, 0.58489, 0.60329, 0.614503, 0.628034, 0.64272, 0.66345, 0.689092, 0.723281, 0.783318, 0.854374");
-            values ( \
-              "0.00867746, 0.0173076, 0.0215555, 0.0281469, 0.0304515, 0.0342507, 0.0361713, 0.0368532, 0.0349464, 0.0323276, 0.0264136, 0.022937, 0.0175573, 0.0129033, 0.0101635, 0.00882332, 0.00658262, 0.00551005, 0.0044345, 0.00350458, 0.00248651, 0.00163211, 0.000926641, 0.00031027, 9.11143e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.334665, 0.349607, 0.36492, 0.378901, 0.401388, 0.418963, 0.447113, 0.473434, 0.499176, 0.511492, 0.52517, 0.593059, 0.615197, 0.643807, 0.685035, 0.715379, 0.750394, 0.780712, 0.800073, 0.836095, 0.884123, 0.966862, 1.0496");
-            values ( \
-              "0.0138434, 0.0188029, 0.0257764, 0.0306511, 0.0373432, 0.0412929, 0.0452981, 0.0472177, 0.0475694, 0.0471552, 0.0456303, 0.0262486, 0.0211035, 0.0156715, 0.00994539, 0.00703397, 0.00467708, 0.00329761, 0.00259402, 0.00168431, 0.000960758, 0.000306737, 0.000127124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.334572, 0.357025, 0.378684, 0.40623, 0.430253, 0.450771, 0.490384, 0.505594, 0.513721, 0.527864, 0.539917, 0.565276, 0.591088, 0.605152, 0.63328, 0.675263, 0.710209, 0.741685, 0.783652, 0.82681, 0.851966, 0.885005, 0.912873, 0.9451, 0.990911, 1.02084, 1.07699, 1.15184, 1.23458, 1.40006");
-            values ( \
-              "0.0130993, 0.0253341, 0.0344719, 0.0436491, 0.0491359, 0.0525108, 0.0563078, 0.05725, 0.057972, 0.0586314, 0.0581108, 0.0563446, 0.0535707, 0.0513918, 0.0461968, 0.0374013, 0.0305707, 0.0250652, 0.0188531, 0.013865, 0.0115018, 0.00897055, 0.00724989, 0.00563338, 0.00393127, 0.00309498, 0.00197602, 0.00107625, 0.000534025, 0.000132309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.349424, 0.389178, 0.414598, 0.436028, 0.472717, 0.505589, 0.518635, 0.52477, 0.53704, 0.590385, 0.618604, 0.678686, 0.704588, 0.732217, 0.77417, 0.820981, 0.911818, 0.945062, 0.983056, 1.04889, 1.08574, 1.11036, 1.14838, 1.19797, 1.20908, 1.23131, 1.27577, 1.35797, 1.39613, 1.45428, 1.53181, 1.61455, 1.69729, 1.78003, 1.94551, 2.02825");
-            values ( \
-              "0.0367256, 0.0411399, 0.0495246, 0.0545479, 0.0603388, 0.0635759, 0.0653702, 0.0658013, 0.0657351, 0.0640631, 0.0630494, 0.0605143, 0.0588766, 0.0566401, 0.0522045, 0.0462707, 0.0342889, 0.0302717, 0.025991, 0.0196495, 0.0166922, 0.0149091, 0.0125212, 0.00988608, 0.00939522, 0.00842697, 0.00680745, 0.00450851, 0.00373068, 0.00278887, 0.00189718, 0.00122959, 0.000819922, 0.000522487, 0.000219341, 0.000158255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.349408, 0.383461, 0.403826, 0.425505, 0.458195, 0.516059, 0.529511, 0.542156, 0.567446, 0.579369, 0.639966, 0.753188, 0.830092, 0.883821, 0.96656, 0.995471, 1.05314, 1.30932, 1.36299, 1.42825, 1.51099, 1.57762, 1.64827, 1.73101, 1.77104, 1.85109, 1.92505, 2.00779, 2.10648, 2.16122, 2.24395, 2.32669, 2.40943, 2.57491, 2.82313, 3.07135");
-            values ( \
-              "0.0388546, 0.0405648, 0.0485573, 0.0548042, 0.0616864, 0.0691405, 0.0703241, 0.0704061, 0.0698543, 0.0697237, 0.0685875, 0.0663108, 0.0645194, 0.0629511, 0.0594641, 0.0577642, 0.0539669, 0.0336094, 0.0296949, 0.0253077, 0.0204609, 0.0171199, 0.0140887, 0.0111505, 0.00994373, 0.00787643, 0.00633819, 0.00495139, 0.00369172, 0.00312167, 0.00243835, 0.0018862, 0.00147523, 0.000891784, 0.000407585, 0.000200206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.681483, 0.704605, 0.716147, 0.727869, 0.754795, 0.792315, 0.821426, 0.8464, 0.86889, 0.890871, 0.910428, 0.91516, 0.924624, 0.945598, 0.979268, 0.996975, 1.00698, 1.027, 1.04588, 1.05956, 1.08304, 1.09149, 1.09443, 1.1121, 1.13566, 1.18278, 1.21601, 1.23642, 1.26152, 1.28464, 1.33088");
-            values ( \
-              "0.00259952, 0.00413384, 0.00497736, 0.00595488, 0.00860375, 0.0121275, 0.0145764, 0.0166009, 0.0179725, 0.0174567, 0.0150517, 0.014793, 0.0134086, 0.0108692, 0.00718347, 0.00564592, 0.00489146, 0.00356115, 0.00261364, 0.00210529, 0.00136572, 0.00120771, 0.00111421, 0.000806825, 0.000546049, 0.000194112, 0.000126035, 0.000214559, 0.000201229, 0.000107128, 4.5605e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.681656, 0.710831, 0.737743, 0.797988, 0.854966, 0.877879, 0.899256, 0.904713, 0.915627, 0.930768, 0.949734, 1.01213, 1.0469, 1.08715, 1.11714, 1.13436, 1.15905, 1.19253, 1.21587, 1.25248, 1.30487");
-            values ( \
-              "0.00305278, 0.006389, 0.00902574, 0.0163109, 0.0224403, 0.024652, 0.0262954, 0.0265622, 0.0267045, 0.025839, 0.0234948, 0.0139267, 0.00945019, 0.00564893, 0.00373362, 0.00291006, 0.00201901, 0.00121421, 0.00084235, 0.000740362, 0.000319844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.681977, 0.720193, 0.742007, 0.775245, 0.791461, 0.846251, 0.889056, 0.926001, 0.959906, 0.993472, 1.02298, 1.03004, 1.07536, 1.1266, 1.16531, 1.19328, 1.21599, 1.23435, 1.26179, 1.29015, 1.32486, 1.37114, 1.45303");
-            values ( \
-              "0.00357481, 0.0091807, 0.011685, 0.0162184, 0.0186716, 0.0259117, 0.0310377, 0.0349406, 0.0368468, 0.0358854, 0.0316877, 0.0309064, 0.0229398, 0.0149629, 0.0103476, 0.00775629, 0.00606637, 0.00530285, 0.00390438, 0.00280579, 0.0018641, 0.00106358, 0.000374427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.707539, 0.775265, 0.893495, 0.948548, 0.997234, 1.04327, 1.08932, 1.13989, 1.21556, 1.28685, 1.36169, 1.39808, 1.46412, 1.56425, 1.64518, 1.71188");
-            values ( \
-              "0.0133884, 0.0184819, 0.035953, 0.0424387, 0.04612, 0.0475736, 0.0458503, 0.0397019, 0.0275138, 0.0175604, 0.0101624, 0.00770414, 0.00460134, 0.0020801, 0.00106597, 0.000640062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.707898, 0.75331, 0.914352, 0.962016, 1.03696, 1.10571, 1.17248, 1.19416, 1.22765, 1.25629, 1.42693, 1.52468, 1.6008, 1.65444, 1.72272, 1.7615, 1.87624, 1.9667, 2.04944, 2.21492, 2.25383");
-            values ( \
-              "0.0168263, 0.0168274, 0.0418935, 0.0478958, 0.0539927, 0.0569086, 0.0576571, 0.0572189, 0.0559352, 0.0535752, 0.0316771, 0.0212377, 0.0151461, 0.0117983, 0.0085299, 0.00706668, 0.00400295, 0.002548, 0.00167211, 0.000717683, 0.000648859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.736826, 0.853419, 0.936158, 0.984291, 1.0264, 1.06134, 1.11938, 1.16991, 1.23662, 1.265, 1.32045, 1.37785, 1.46059, 1.4895, 1.54717, 1.80335, 1.85702, 1.92228, 2.00502, 2.07165, 2.1423, 2.22504, 2.26506, 2.34512, 2.41908, 2.50182, 2.6005, 2.65524, 2.73798, 2.82072, 2.90346, 3.06894, 3.31716, 3.56537");
-            values ( \
-              "0.0239377, 0.0340518, 0.0472395, 0.0530887, 0.0569817, 0.059513, 0.0626666, 0.06454, 0.0660853, 0.0660047, 0.064602, 0.062962, 0.0594537, 0.0577736, 0.053958, 0.0336148, 0.0296899, 0.0253125, 0.0204564, 0.0171166, 0.0140922, 0.0111474, 0.00994673, 0.00787373, 0.00634092, 0.00494895, 0.00369337, 0.00312023, 0.00243979, 0.00188487, 0.00147654, 0.000892986, 0.000406534, 0.000201146" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00199016, 0.00199073, 0.00199124, 0.00199164, 0.00199191, 0.00199208", \
-            "0.00228208, 0.00228425, 0.00228631, 0.00228799, 0.00228919, 0.00228998", \
-            "0.0024478, 0.0024517, 0.00245595, 0.00245985, 0.00246291, 0.00246504", \
-            "0.0025637, 0.00256644, 0.00257, 0.00257389, 0.00257754, 0.00258027", \
-            "0.00263434, 0.0026293, 0.00262502, 0.00262199, 0.00262039, 0.00261988", \
-            "0.00271672, 0.0027083, 0.00269637, 0.00268133, 0.00266595, 0.00265379" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00249395, 0.00249671, 0.00249919, 0.00250119, 0.0025026, 0.00250345", \
-            "0.00251302, 0.00251734, 0.00252193, 0.00252599, 0.00252906, 0.00253113", \
-            "0.00244107, 0.00242844, 0.00241751, 0.00240864, 0.00240341, 0.0023991", \
-            "0.00241504, 0.00238611, 0.00235497, 0.0023266, 0.00230554, 0.00228632", \
-            "0.00259124, 0.00251876, 0.00244139, 0.00238572, 0.00233387, 0.00229314", \
-            "0.00254019, 0.00255207, 0.00256206, 0.00252138, 0.00242514, 0.00236283" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0192047, 0.0211769, 0.0257931, 0.0324241, 0.0454714, 0.0535705, 0.0608992, 0.0677287, 0.0745524, 0.0879291, 0.100231, 0.113131, 0.128326, 0.144604, 0.155617");
-            values ( \
-              "-0.0315848, -0.0474209, -0.044597, -0.0437714, -0.046196, -0.0499516, -0.0562233, -0.0577462, -0.0519491, -0.0317506, -0.0171447, -0.00835078, -0.0035042, -0.00144298, -0.000897452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0192067, 0.0220526, 0.0308134, 0.0418563, 0.0526306, 0.0629509, 0.0725744, 0.0816911, 0.0911974, 0.111246, 0.125086, 0.141455, 0.160497, 0.178475, 0.197383");
-            values ( \
-              "-0.0324978, -0.0643662, -0.0620462, -0.0629169, -0.0648502, -0.068433, -0.0749032, -0.0762425, -0.0680363, -0.0387744, -0.0231138, -0.0117505, -0.00516591, -0.00239951, -0.00107577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0207059, 0.0427276, 0.0646589, 0.0788921, 0.0924746, 0.105651, 0.119484, 0.1465, 0.16367, 0.183448, 0.204013, 0.229498, 0.248266, 0.28023");
-            values ( \
-              "-0.0841685, -0.0821933, -0.0842916, -0.0868901, -0.0924176, -0.0929328, -0.0825138, -0.0497172, -0.032526, -0.0188856, -0.0104045, -0.00487095, -0.00275601, -0.00107423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0206475, 0.0278909, 0.0316967, 0.0425299, 0.102739, 0.113573, 0.126928, 0.147252, 0.167568, 0.21563, 0.235716, 0.256819, 0.274027, 0.295253, 0.314969, 0.337412, 0.354957, 0.390046, 0.43616");
-            values ( \
-              "-0.0969985, -0.09766, -0.0987767, -0.100245, -0.10256, -0.104031, -0.106607, -0.105746, -0.0942954, -0.0526445, -0.0382874, -0.0267279, -0.0196384, -0.0132631, -0.00916255, -0.00598554, -0.0042354, -0.00214622, -0.00089128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0206984, 0.0239932, 0.0279171, 0.0317027, 0.0381014, 0.0465305, 0.0709863, 0.143102, 0.170564, 0.186954, 0.21983, 0.232426, 0.255365, 0.31871, 0.361482, 0.387034, 0.416237, 0.441795, 0.460208, 0.494408, 0.531302, 0.560512, 0.6139, 0.667288, 0.720677, 0.827454");
-            values ( \
-              "-0.0985001, -0.106204, -0.11003, -0.112271, -0.114246, -0.115369, -0.115779, -0.11503, -0.115663, -0.116633, -0.11426, -0.110502, -0.100298, -0.0638375, -0.042957, -0.0331672, -0.024282, -0.0183439, -0.0149187, -0.0100636, -0.00657125, -0.00466374, -0.0024519, -0.00129137, -0.000689318, -0.000208037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0205742, 0.0322597, 0.0383009, 0.0485185, 0.0612593, 0.128726, 0.237166, 0.292056, 0.328688, 0.347675, 0.385651, 0.407742, 0.53434, 0.592723, 0.652257, 0.690505, 0.759714, 0.813103, 0.848942, 0.91634, 0.969728, 1.11471");
-            values ( \
-              "-0.103675, -0.122098, -0.124657, -0.12642, -0.126762, -0.125807, -0.123465, -0.12312, -0.12142, -0.11901, -0.111236, -0.104471, -0.0603156, -0.0435759, -0.0304657, -0.0238854, -0.0152058, -0.0105754, -0.0083118, -0.00523048, -0.00359143, -0.00141563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0316395, 0.0345917, 0.0374524, 0.0402229, 0.0470631, 0.0504691, 0.0590409, 0.0671232, 0.0744442, 0.0812723, 0.0883567, 0.101611, 0.113403, 0.126863, 0.141896, 0.157716, 0.170136");
-            values ( \
-              "-0.0141995, -0.0385457, -0.0436237, -0.0454067, -0.0445276, -0.0446424, -0.046392, -0.0502148, -0.0561396, -0.057859, -0.0515861, -0.0315503, -0.0174858, -0.00825671, -0.00349739, -0.00147592, -0.000839246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0315869, 0.0355904, 0.0390598, 0.0421397, 0.0475203, 0.0554273, 0.0661807, 0.0765089, 0.0860909, 0.0952241, 0.104728, 0.124808, 0.138585, 0.154998, 0.174026, 0.191969, 0.211108, 0.214229");
-            values ( \
-              "-0.0137715, -0.0550843, -0.0626558, -0.0638046, -0.0628634, -0.0631386, -0.064995, -0.0687098, -0.074786, -0.0763733, -0.0680063, -0.0387334, -0.0231429, -0.0117434, -0.00516588, -0.00240303, -0.00106021, -0.0009813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0315605, 0.0369815, 0.0392333, 0.0415365, 0.048899, 0.0606637, 0.0636887, 0.0697386, 0.0899032, 0.106085, 0.116703, 0.119198, 0.124186, 0.132978, 0.15456, 0.175796, 0.187349, 0.200456, 0.21955, 0.232759, 0.255382, 0.31229, 0.353278");
-            values ( \
-              "-0.013587, -0.0764013, -0.0811026, -0.0830764, -0.0821419, -0.082469, -0.083122, -0.0832101, -0.0861016, -0.0926563, -0.093302, -0.0929613, -0.0901291, -0.0826405, -0.0558665, -0.0334963, -0.0245193, -0.01688, -0.0095461, -0.00637395, -0.00312098, -0.000354122, -0.00033245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0337846, 0.0388486, 0.0411344, 0.0432916, 0.0987936, 0.119891, 0.140495, 0.160838, 0.181164, 0.229273, 0.24931, 0.270383, 0.283947, 0.308864, 0.328575, 0.350993, 0.368516, 0.403562, 0.45695, 0.563727");
-            values ( \
-              "-0.0753242, -0.0952572, -0.0991023, -0.100102, -0.10163, -0.102861, -0.10648, -0.105758, -0.0942324, -0.052599, -0.0382864, -0.0267434, -0.0210369, -0.0132614, -0.00915782, -0.00598349, -0.00424207, -0.00214611, -0.000698123, -9.63275e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0340108, 0.0395126, 0.0416483, 0.0466794, 0.0550831, 0.068247, 0.156816, 0.184105, 0.200496, 0.233372, 0.245968, 0.256847, 0.268907, 0.332252, 0.358727, 0.375023, 0.400576, 0.42978, 0.455337, 0.473751, 0.50795, 0.544845, 0.574055, 0.627443, 0.680832, 0.73422, 0.840997");
-            values ( \
-              "-0.0816988, -0.108389, -0.112023, -0.114275, -0.115281, -0.115738, -0.115031, -0.115664, -0.116633, -0.11426, -0.110502, -0.106212, -0.100298, -0.0638375, -0.0502606, -0.0429569, -0.0331671, -0.0242819, -0.0183438, -0.0149186, -0.0100635, -0.00657115, -0.00466365, -0.00245185, -0.00129135, -0.000689299, -0.000208028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.0340682, 0.0414869, 0.0500885, 0.0562176, 0.0741636, 0.115536, 0.250839, 0.305729, 0.342361, 0.361348, 0.399323, 0.421415, 0.548009, 0.606396, 0.665932, 0.704179, 0.73535, 0.773387, 0.826775, 0.862616, 0.930019, 0.983407, 1.0368, 1.14357, 1.15788");
-            values ( \
-              "-0.08723, -0.120545, -0.125048, -0.126093, -0.126816, -0.126299, -0.123466, -0.123121, -0.121419, -0.119011, -0.111236, -0.104471, -0.060317, -0.0435761, -0.030465, -0.0238854, -0.0195242, -0.0152056, -0.0105756, -0.00831154, -0.00523009, -0.0035914, -0.00248938, -0.00118751, -0.00112686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0581467, 0.0619116, 0.0812986, 0.0898122, 0.0996366, 0.109449, 0.113704, 0.120449, 0.135134, 0.147057, 0.1611, 0.174994, 0.187506, 0.204188, 0.216624");
-            values ( \
-              "-0.0175728, -0.0237819, -0.0409202, -0.0463332, -0.0506093, -0.0575473, -0.057784, -0.0521622, -0.0299984, -0.0163684, -0.00744965, -0.00337181, -0.00169365, -0.000694064, -0.000451717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0582393, 0.0635552, 0.0761603, 0.0881983, 0.0917707, 0.0988977, 0.109175, 0.118746, 0.127876, 0.136979, 0.157415, 0.171272, 0.187624, 0.206667, 0.224647, 0.243825, 0.24696");
-            values ( \
-              "-0.0165548, -0.0353001, -0.0516284, -0.0629777, -0.0646983, -0.0652645, -0.0686625, -0.0749838, -0.0762342, -0.0685799, -0.0387936, -0.023109, -0.0117556, -0.00516668, -0.00239913, -0.00105764, -0.000978193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0612181, 0.0716068, 0.0759834, 0.0812293, 0.0896925, 0.0926357, 0.095404, 0.0985442, 0.102731, 0.110991, 0.125212, 0.138792, 0.151968, 0.1658, 0.16992, 0.192808, 0.204431, 0.209992, 0.218665, 0.229776, 0.237076, 0.24222, 0.250291, 0.261717, 0.266427, 0.275847, 0.294687, 0.329039, 0.369609, 0.421266, 0.474655");
-            values ( \
-              "-0.049962, -0.060086, -0.0669306, -0.0737635, -0.0815291, -0.0830506, -0.0834384, -0.0834397, -0.0836781, -0.0843936, -0.0869228, -0.092401, -0.0929581, -0.0825114, -0.077791, -0.0497268, -0.0375137, -0.0325215, -0.0257825, -0.0188798, -0.0153261, -0.013204, -0.0104164, -0.00741216, -0.00644425, -0.00486612, -0.00274701, -0.000929465, -0.000289151, -9.34344e-05, -5.00062e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0611778, 0.0771104, 0.0918419, 0.141859, 0.152728, 0.173322, 0.193663, 0.215318, 0.262104, 0.282131, 0.316765, 0.341687, 0.361396, 0.383807, 0.436358, 0.461572");
-            values ( \
-              "-0.0542018, -0.0826901, -0.100572, -0.102124, -0.103029, -0.106408, -0.105834, -0.0932049, -0.0525764, -0.0382959, -0.0210314, -0.0132678, -0.00915122, -0.0059779, -0.00214079, -0.00146259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0650927, 0.0948081, 0.200563, 0.233554, 0.266543, 0.28035, 0.302002, 0.367517, 0.409174, 0.445734, 0.468534, 0.509775, 0.541621, 0.576232, 0.656407, 0.710225");
-            values ( \
-              "-0.0910655, -0.115676, -0.115087, -0.116424, -0.114329, -0.110035, -0.100293, -0.0626469, -0.0424979, -0.0292134, -0.022847, -0.014432, -0.0100057, -0.00670877, -0.00257699, -0.00164272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.0661644, 0.0856173, 0.0945437, 0.110988, 0.283733, 0.338623, 0.37526, 0.394243, 0.432207, 0.454309, 0.580872, 0.639288, 0.73708, 0.806271, 0.895514, 1.01633, 1.10689");
-            values ( \
-              "-0.110605, -0.117061, -0.126219, -0.126733, -0.123466, -0.123121, -0.121419, -0.119011, -0.111239, -0.104471, -0.0603272, -0.0435768, -0.0238843, -0.0152066, -0.00831139, -0.0035906, -0.0022318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.114651, 0.124396, 0.138359, 0.145396, 0.165852, 0.172293, 0.186678, 0.191567, 0.198856, 0.217828, 0.222423, 0.228102, 0.233089, 0.237952, 0.244436, 0.250483, 0.255501, 0.264967, 0.277588, 0.298752, 0.313986");
-            values ( \
-              "-0.0144298, -0.0147554, -0.0208602, -0.0248733, -0.0355669, -0.0396746, -0.0525812, -0.0541621, -0.0496552, -0.0248765, -0.0196069, -0.0144105, -0.010897, -0.00826404, -0.00567351, -0.0040151, -0.00302636, -0.00180088, -0.000923917, -0.000331499, -0.000222879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.114487, 0.127659, 0.138586, 0.160847, 0.174771, 0.186673, 0.197008, 0.206435, 0.212917, 0.216002, 0.239528, 0.247958, 0.262571, 0.27682, 0.28318, 0.291305, 0.302138, 0.323804, 0.340071");
-            values ( \
-              "-0.0159688, -0.0227881, -0.0295711, -0.0452517, -0.0537288, -0.0619498, -0.0712636, -0.0747746, -0.0715186, -0.0679719, -0.034254, -0.0248989, -0.0137171, -0.00743566, -0.00562796, -0.00397051, -0.00251065, -0.000962925, -0.000597884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.118734, 0.136846, 0.150855, 0.16134, 0.17179, 0.188895, 0.204069, 0.21782, 0.221893, 0.230039, 0.232637, 0.236102, 0.24303, 0.248036, 0.272594, 0.284237, 0.295046, 0.303735, 0.311961, 0.321554, 0.334345, 0.344866, 0.350552, 0.361924, 0.384668, 0.42132, 0.465375, 0.572151");
-            values ( \
-              "-0.0298719, -0.0374183, -0.0498301, -0.0584579, -0.0661877, -0.0763603, -0.0842361, -0.0924585, -0.0933336, -0.0931989, -0.0921261, -0.0901566, -0.0842134, -0.0786073, -0.0486703, -0.0366257, -0.0275881, -0.0217067, -0.0172002, -0.0130364, -0.00892433, -0.00653235, -0.00551286, -0.00392807, -0.00195915, -0.000629524, -0.000188111, -4.02927e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.123709, 0.142326, 0.155129, 0.162201, 0.168962, 0.175722, 0.182212, 0.188701, 0.191833, 0.194965, 0.198097, 0.201228, 0.203599, 0.20597, 0.20834, 0.210711, 0.211333, 0.213466, 0.215545, 0.225823, 0.236048, 0.240138, 0.248318, 0.252559, 0.25468, 0.258921, 0.263968, 0.266895, 0.272749, 0.277828, 0.282908, 0.287987, 0.293066, 0.297308, 0.305792, 0.324136, 0.33071, 0.338658, 0.352644, 0.364448, 0.37085, 0.373537, 0.381597, 0.388632, 0.399635, 0.407569, 0.417838, 0.426151, 0.435933, 0.448829");
-            values ( \
-              "-0.0507745, -0.0508183, -0.06439, -0.0715294, -0.0761652, -0.081612, -0.0876033, -0.094342, -0.0960347, -0.0974171, -0.0984891, -0.0992507, -0.0996208, -0.0998131, -0.0998275, -0.0996641, -0.100167, -0.10112, -0.101628, -0.1025, -0.103766, -0.10439, -0.105842, -0.107069, -0.107075, -0.106857, -0.106179, -0.10559, -0.103997, -0.102169, -0.0999259, -0.0972683, -0.0941961, -0.090883, -0.0834676, -0.066595, -0.0609413, -0.0544706, -0.0440576, -0.0362671, -0.0324167, -0.0310117, -0.0270549, -0.0239732, -0.0195243, -0.0167278, -0.0139151, -0.011955, -0.00996381, -0.00780427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.120415, 0.136968, 0.15524, 0.177872, 0.200472, 0.213815, 0.225219, 0.230611, 0.238773, 0.246938, 0.261255, 0.280121, 0.313126, 0.346107, 0.379252, 0.449092, 0.479027, 0.505242, 0.51922, 0.545802, 0.571637, 0.606084, 0.626567, 0.650296, 0.681936, 0.732982, 0.839759, 0.946536");
-            values ( \
-              "-0.0401393, -0.0521262, -0.0732444, -0.0956442, -0.10963, -0.115192, -0.115628, -0.11502, -0.11557, -0.114852, -0.115336, -0.114809, -0.116698, -0.114063, -0.101699, -0.0617671, -0.0466662, -0.0359784, -0.031276, -0.0233732, -0.0175621, -0.011979, -0.00933238, -0.00707796, -0.0050026, -0.00259935, -0.000677445, -0.000153203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.126746, 0.156906, 0.170277, 0.197017, 0.213024, 0.216963, 0.226228, 0.363727, 0.427511, 0.439393, 0.474018, 0.495743, 0.534166, 0.646249, 0.689869, 0.731757, 0.797105, 0.821053, 0.86189, 0.908562, 0.989096, 1.04248, 1.09587, 1.24416");
-            values ( \
-              "-0.0632511, -0.0817827, -0.0968077, -0.117847, -0.125317, -0.126146, -0.126215, -0.123458, -0.12287, -0.12247, -0.119035, -0.115125, -0.10454, -0.0651, -0.0515628, -0.0405409, -0.0271353, -0.0232946, -0.0178648, -0.0130799, -0.00757184, -0.00523151, -0.00360792, -0.00136109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.238428, 0.272857, 0.305204, 0.324152, 0.339457, 0.351761, 0.36219, 0.372325, 0.3824, 0.399529, 0.404293, 0.413588, 0.420323, 0.42802, 0.433579, 0.440633, 0.450037, 0.468846, 0.492528, 0.505738, 0.51409, 0.534215, 0.583488, 0.685559");
-            values ( \
-              "-0.00733631, -0.0107296, -0.0181978, -0.0228152, -0.0280136, -0.0352197, -0.0391916, -0.0379116, -0.0321242, -0.0176524, -0.014372, -0.00927107, -0.00661896, -0.00445124, -0.00332884, -0.00231373, -0.00142945, -0.000540802, -0.000210596, -0.000146408, -0.000279655, -0.000138153, -4.40507e-05, -1.17699e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.237171, 0.252922, 0.266737, 0.279911, 0.337345, 0.354978, 0.369584, 0.382156, 0.394571, 0.406914, 0.42883, 0.445735, 0.458715, 0.47664, 0.492883, 0.505697, 0.531671");
-            values ( \
-              "-0.0109701, -0.0110467, -0.013898, -0.0172712, -0.0354232, -0.0426821, -0.0518359, -0.0571767, -0.0542081, -0.0453282, -0.0247465, -0.0135732, -0.00817968, -0.00394154, -0.00202173, -0.00120358, -0.000608812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.241952, 0.263341, 0.279284, 0.35484, 0.363428, 0.378948, 0.387087, 0.397032, 0.413209, 0.429363, 0.445545, 0.461946, 0.472875, 0.481532, 0.488161, 0.498428, 0.505665, 0.5209, 0.531678, 0.540767, 0.552886, 0.577124, 0.613883, 0.658927, 0.765703");
-            values ( \
-              "-0.0171539, -0.0176281, -0.0226386, -0.0516776, -0.0551966, -0.0622097, -0.0665624, -0.0727116, -0.0782071, -0.0725329, -0.0595393, -0.0438909, -0.0344596, -0.0279584, -0.0235969, -0.0179307, -0.0146782, -0.00978214, -0.00711745, -0.00544553, -0.00380058, -0.00182152, -0.000592341, -0.000181133, -4.32658e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.247086, 0.285914, 0.34119, 0.438975, 0.461185, 0.485078, 0.510628, 0.533205, 0.558903, 0.570198, 0.585283, 0.599028, 0.617354, 0.640288, 0.654985, 0.684378, 0.736936, 0.790324, 0.897101");
-            values ( \
-              "-0.0215668, -0.0306269, -0.0557083, -0.0951759, -0.0991638, -0.0894643, -0.0699527, -0.0511186, -0.0338585, -0.0278969, -0.0213328, -0.0166142, -0.0117933, -0.00763783, -0.00576514, -0.00324569, -0.00109189, -0.0003882, -7.69066e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.25272, 0.295138, 0.340551, 0.370302, 0.391724, 0.432836, 0.46942, 0.487438, 0.495516, 0.507599, 0.513002, 0.526694, 0.536239, 0.552947, 0.571738, 0.644183, 0.689761, 0.717058, 0.738943, 0.773479, 0.809056, 0.821521, 0.851418, 0.885585, 0.938974, 0.992362, 1.04575, 1.15253");
-            values ( \
-              "-0.0296918, -0.0399423, -0.0630051, -0.0767264, -0.0857353, -0.100019, -0.108726, -0.111723, -0.114029, -0.115992, -0.1164, -0.115431, -0.113798, -0.108856, -0.10024, -0.059011, -0.0381766, -0.0287638, -0.0227126, -0.0154794, -0.0102795, -0.00890602, -0.00629977, -0.00421131, -0.00221452, -0.00116766, -0.000624838, -0.000190315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.264976, 0.329211, 0.389792, 0.406793, 0.442876, 0.496264, 0.510691, 0.516343, 0.565442, 0.609933, 0.657506, 0.681431, 0.725649, 0.838142, 0.908206, 0.967577, 1.00735, 1.04126, 1.07866, 1.1319, 1.16621, 1.23031, 1.2837, 1.33709, 1.44386, 1.65742");
-            values ( \
-              "-0.0429138, -0.0622554, -0.0922667, -0.0993458, -0.111636, -0.122233, -0.123886, -0.124121, -0.123319, -0.123091, -0.120361, -0.116454, -0.104626, -0.0648424, -0.0441472, -0.0308897, -0.0240759, -0.0193367, -0.0150471, -0.0105443, -0.00830985, -0.00534161, -0.00371774, -0.00252811, -0.00119164, -0.00026335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.497662, 0.527777, 0.542425, 0.560314, 0.596228, 0.608345, 0.660029, 0.672361, 0.696937, 0.716509, 0.732834, 0.747991, 0.763125, 0.790144, 0.805704, 0.81142, 0.826731, 0.839063, 0.853157, 0.879455, 0.910838, 0.943809");
-            values ( \
-              "-0.00223577, -0.00293236, -0.00348435, -0.00430533, -0.00653814, -0.00767498, -0.0127383, -0.0141529, -0.0174851, -0.0222593, -0.0254409, -0.0259186, -0.0234699, -0.0116982, -0.00656082, -0.0052133, -0.00273513, -0.001623, -0.000889468, -0.000293126, -0.000117634, -8.87745e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.510333, 0.557285, 0.588992, 0.608632, 0.657207, 0.691991, 0.719954, 0.742755, 0.762137, 0.780357, 0.798537, 0.82995, 0.848121, 0.859326, 0.872288, 0.882508, 0.889683, 0.904034, 0.932734, 0.969245, 0.99806");
-            values ( \
-              "-0.00500898, -0.00614855, -0.00872945, -0.0109379, -0.0173612, -0.0221895, -0.0270576, -0.0333393, -0.0377516, -0.0379055, -0.03384, -0.017789, -0.0105568, -0.00742568, -0.00484995, -0.0034274, -0.00270177, -0.00163386, -0.00059275, -0.000178358, -0.000137737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.510118, 0.544956, 0.584088, 0.626851, 0.722499, 0.744121, 0.755582, 0.792839, 0.807206, 0.830185, 0.853126, 0.89163, 0.916741, 0.936771, 0.952221, 0.963017, 0.983972, 1.01191, 1.05062");
-            values ( \
-              "-0.00661382, -0.0073841, -0.0113228, -0.0173555, -0.0335723, -0.0377038, -0.0402827, -0.0506407, -0.0532533, -0.0529369, -0.0458015, -0.0253768, -0.0150494, -0.00947462, -0.00648669, -0.00496721, -0.00291788, -0.0013831, -0.000533386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.52309, 0.593273, 0.615803, 0.782178, 0.808886, 0.84383, 0.874781, 0.905154, 0.9354, 0.981021, 1.01126, 1.03638, 1.07404, 1.09149, 1.12719, 1.1748, 1.18992");
-            values ( \
-              "-0.00939673, -0.0158971, -0.0193448, -0.0516027, -0.0571637, -0.0663997, -0.0726046, -0.0698866, -0.0589535, -0.0359913, -0.0236001, -0.0160404, -0.00854412, -0.006284, -0.00331033, -0.00134922, -0.00107557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.535053, 0.608532, 0.772902, 0.886508, 0.932269, 0.974088, 1.01675, 1.02447, 1.04755, 1.12338, 1.16474, 1.20042, 1.2162, 1.24521, 1.27932, 1.30843, 1.34169, 1.39508, 1.43092");
-            values ( \
-              "-0.0143156, -0.0213792, -0.0558484, -0.0786175, -0.0883578, -0.0941957, -0.0871046, -0.0847768, -0.0760525, -0.0441477, -0.0301049, -0.0209152, -0.0176722, -0.0130756, -0.00885823, -0.00633204, -0.00428823, -0.00226364, -0.00154829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.558123, 0.645937, 0.745078, 0.848857, 0.928015, 0.981403, 1.06025, 1.11364, 1.13395, 1.15723, 1.18252, 1.21558, 1.28587, 1.33926, 1.3818, 1.45372, 1.50238, 1.53737, 1.59065, 1.65903, 1.71241, 1.7658, 1.87258, 1.91383");
-            values ( \
-              "-0.024506, -0.0319023, -0.0545343, -0.0767305, -0.0919576, -0.100738, -0.111276, -0.11349, -0.111897, -0.10822, -0.102632, -0.0928839, -0.068195, -0.0514123, -0.0402654, -0.0258336, -0.0188786, -0.0149835, -0.0104439, -0.00654129, -0.00452043, -0.00311221, -0.00147726, -0.00125748" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0017462, 0.00174717, 0.00174803, 0.00174868, 0.00174912, 0.0017494", \
-            "0.00191566, 0.00191657, 0.0019175, 0.00191829, 0.00191887, 0.00191926", \
-            "0.00199932, 0.00199977, 0.00200034, 0.00200095, 0.00200147, 0.00200186", \
-            "0.00204778, 0.0020479, 0.00204807, 0.00204834, 0.00204865, 0.00204893", \
-            "0.00208008, 0.00208013, 0.00208019, 0.00208028, 0.00208039, 0.00208052", \
-            "0.00211817, 0.00211824, 0.00211831, 0.00211838, 0.00211844, 0.00211851" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00227659, 0.00227861, 0.00228041, 0.00228179, 0.00228274, 0.00228334", \
-            "0.0023607, 0.00236232, 0.00236376, 0.00236484, 0.00236555, 0.00236599", \
-            "0.00244474, 0.00244626, 0.00244753, 0.0024484, 0.00244892, 0.0024492", \
-            "0.00254155, 0.00254153, 0.00254141, 0.00254141, 0.00254136, 0.0025413", \
-            "0.00266667, 0.00263653, 0.00261021, 0.00259003, 0.00257611, 0.00256634", \
-            "0.0029453, 0.0028127, 0.00271273, 0.00264055, 0.00259102, 0.00255867" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A1";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0730848, 0.0916378, 0.124053, 0.181039, 0.281592, 0.459327", \
-            "0.077801, 0.0965174, 0.129125, 0.18627, 0.286944, 0.464788", \
-            "0.089806, 0.10855, 0.141293, 0.198659, 0.299549, 0.477545", \
-            "0.116757, 0.135451, 0.168133, 0.22557, 0.326694, 0.50495", \
-            "0.16435, 0.189309, 0.227912, 0.287884, 0.389149, 0.567924", \
-            "0.240162, 0.278011, 0.333664, 0.414597, 0.534407, 0.717443" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.062261, 0.0879223, 0.133234, 0.213319, 0.35485, 0.605025", \
-            "0.0622747, 0.0879238, 0.133224, 0.213319, 0.35485, 0.605025", \
-            "0.0623828, 0.0879537, 0.133241, 0.213317, 0.354838, 0.605025", \
-            "0.0661355, 0.0900337, 0.133701, 0.213284, 0.354701, 0.605046", \
-            "0.089756, 0.11227, 0.149936, 0.221636, 0.356397, 0.605036", \
-            "0.143361, 0.169343, 0.211533, 0.280209, 0.397132, 0.622124" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0500071, 0.0616954, 0.0816632, 0.116099, 0.176089, 0.281413", \
-            "0.0532158, 0.0649153, 0.0849521, 0.119395, 0.17945, 0.284796", \
-            "0.061711, 0.0733597, 0.0935524, 0.127939, 0.188189, 0.293609", \
-            "0.08205, 0.0951343, 0.115076, 0.149515, 0.209578, 0.315481", \
-            "0.105922, 0.125542, 0.155362, 0.199794, 0.264123, 0.369413", \
-            "0.12081, 0.149524, 0.194138, 0.260872, 0.357444, 0.493724" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0465657, 0.0608843, 0.0862448, 0.131265, 0.211027, 0.352669", \
-            "0.0464753, 0.0608459, 0.0862345, 0.131263, 0.211, 0.352632", \
-            "0.0462216, 0.0604863, 0.0861118, 0.131247, 0.211025, 0.352671", \
-            "0.0578297, 0.0692775, 0.0913308, 0.13266, 0.211002, 0.352716", \
-            "0.0871478, 0.103001, 0.127333, 0.164077, 0.22863, 0.356874", \
-            "0.136242, 0.159781, 0.195105, 0.2466, 0.320667, 0.431243" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0379172, 0.0444607, 0.0484833, 0.0547942, 0.0563159, 0.0593592, 0.0720479, 0.0806626, 0.0866485, 0.100862, 0.106239, 0.111377, 0.12044, 0.131647, 0.143884, 0.15648, 0.166641, 0.174072, 0.18654, 0.20079, 0.219081, 0.231506, 0.256356, 0.289094");
-            values ( \
-              "0.0117904, 0.047654, 0.0490926, 0.0501186, 0.0498243, 0.0497902, 0.0475314, 0.0447183, 0.0414229, 0.0287042, 0.0249305, 0.0218894, 0.0176668, 0.0136109, 0.0102118, 0.00761311, 0.00596229, 0.0049883, 0.00367949, 0.0025844, 0.00164107, 0.00120243, 0.000631782, 0.000291903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0383652, 0.0431418, 0.0467223, 0.0496735, 0.0524586, 0.0580287, 0.0637386, 0.0772753, 0.0915683, 0.0992046, 0.111626, 0.126742, 0.133546, 0.141095, 0.151756, 0.171438, 0.185332, 0.19685, 0.212208, 0.230544, 0.241593, 0.262587, 0.287288, 0.307226, 0.347101, 0.368794");
-            values ( \
-              "0.00147349, 0.0562655, 0.0589622, 0.060162, 0.0608455, 0.06124, 0.0609863, 0.0592155, 0.0564623, 0.0544186, 0.0486528, 0.0378402, 0.0337054, 0.0296765, 0.0248494, 0.0177716, 0.0138954, 0.0112914, 0.00849175, 0.0060033, 0.00486042, 0.00321315, 0.00198019, 0.0013344, 0.000575746, 0.000418079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.038803, 0.0448058, 0.0502458, 0.0543082, 0.0589779, 0.0676697, 0.0960855, 0.112483, 0.129754, 0.135195, 0.152263, 0.17189, 0.193478, 0.221883, 0.237236, 0.262356, 0.294614, 0.308414, 0.334732, 0.36401, 0.398366, 0.426142, 0.481693, 0.509865");
-            values ( \
-              "0.0157305, 0.0654982, 0.0688571, 0.0698796, 0.0703416, 0.0701994, 0.0673865, 0.0652759, 0.0624552, 0.0611827, 0.055303, 0.046, 0.0368848, 0.0269654, 0.0224907, 0.0164803, 0.0107977, 0.00897037, 0.00624957, 0.00412916, 0.00253814, 0.00169927, 0.000730702, 0.000537641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0391579, 0.0473558, 0.0559764, 0.0630796, 0.0700161, 0.0983476, 0.144609, 0.182932, 0.1999, 0.222061, 0.271204, 0.30901, 0.348778, 0.382328, 0.400442, 0.433065, 0.467253, 0.509014, 0.547425, 0.588277, 0.619705, 0.682563, 0.757352, 0.778071");
-            values ( \
-              "0.0321285, 0.073203, 0.0764556, 0.0769613, 0.0769496, 0.0752929, 0.0718176, 0.0681446, 0.0656533, 0.0608718, 0.0467, 0.0365158, 0.0273117, 0.0208925, 0.0179747, 0.0135651, 0.00999626, 0.00681329, 0.00474653, 0.00322695, 0.00238637, 0.00128335, 0.000603121, 0.000537866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0431029, 0.0431229, 0.112794, 0.188045, 0.236688, 0.280037, 0.307694, 0.345375, 0.410008, 0.487656, 0.551588, 0.605504, 0.634082, 0.68608, 0.740938, 0.764227, 0.807612, 0.868725, 0.933366, 0.983045, 1.05783, 1.13262, 1.2822, 1.43178");
-            values ( \
-              "1e-22, 0.0839659, 0.079914, 0.0766665, 0.0742469, 0.0716219, 0.0692585, 0.0646078, 0.0537153, 0.040069, 0.0301345, 0.0231038, 0.0199339, 0.0150758, 0.0111014, 0.00972681, 0.00756776, 0.00527691, 0.00359692, 0.002665, 0.00168857, 0.00106403, 0.000421569, 0.00016615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0477467, 0.0477667, 0.163526, 0.280074, 0.376712, 0.445483, 0.510235, 0.563216, 0.638006, 0.810861, 0.93404, 1.00728, 1.0587, 1.11972, 1.22494, 1.2858, 1.3501, 1.42489, 1.50579, 1.62643, 1.70122, 1.8508, 2.00038, 2.29953");
-            values ( \
-              "1e-22, 0.0924477, 0.082185, 0.0792098, 0.0764076, 0.0739974, 0.0707899, 0.0669686, 0.059918, 0.0416009, 0.0298783, 0.0240044, 0.020458, 0.0168254, 0.0118427, 0.00959476, 0.00768408, 0.00588309, 0.00442393, 0.0028645, 0.0021692, 0.00125182, 0.000719424, 0.000234226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0549773, 0.0571441, 0.059705, 0.0637767, 0.0701639, 0.071651, 0.0746253, 0.0795148, 0.0874028, 0.0960144, 0.102059, 0.115976, 0.118986, 0.123, 0.131028, 0.145089, 0.156923, 0.169465, 0.177592, 0.188259, 0.197683, 0.207765, 0.228609, 0.241417, 0.267032, 0.307013, 0.354712");
-            values ( \
-              "0.0279586, 0.0440017, 0.0469961, 0.048551, 0.0500911, 0.0495994, 0.0498214, 0.0488113, 0.0476152, 0.0447115, 0.0413859, 0.0288198, 0.0266595, 0.0240447, 0.0196757, 0.0142281, 0.0107832, 0.00805438, 0.00663206, 0.00512801, 0.00408005, 0.00318237, 0.00189523, 0.00137642, 0.000708062, 0.000236606, 5.85944e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0549573, 0.0585662, 0.0621957, 0.0679727, 0.073747, 0.0905296, 0.0909726, 0.108395, 0.114728, 0.127184, 0.141778, 0.150038, 0.161053, 0.172085, 0.188511, 0.201438, 0.222032, 0.236435, 0.249842, 0.267718, 0.286982, 0.318334, 0.360138, 0.380353");
-            values ( \
-              "0.0213551, 0.0550887, 0.0587191, 0.0605058, 0.0614273, 0.0597852, 0.0595009, 0.0561527, 0.0544141, 0.0486304, 0.0381656, 0.0331419, 0.0275118, 0.0229344, 0.0173012, 0.0137595, 0.00945473, 0.00720954, 0.00558828, 0.00394828, 0.00271054, 0.00145413, 0.000603829, 0.000446635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0548684, 0.0604021, 0.0679865, 0.0713503, 0.0811735, 0.10947, 0.119725, 0.144967, 0.151872, 0.16792, 0.204166, 0.217479, 0.241112, 0.275376, 0.292239, 0.311511, 0.334411, 0.37339, 0.392853, 0.422793, 0.462712, 0.517887");
-            values ( \
-              "0.0231662, 0.0649476, 0.0692183, 0.0700235, 0.0703263, 0.0677261, 0.0664011, 0.0625424, 0.0609184, 0.0552874, 0.0388712, 0.0336965, 0.0258298, 0.017022, 0.0137172, 0.0106172, 0.00779214, 0.00451925, 0.00343509, 0.00224106, 0.00124451, 0.000594976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0548732, 0.0631889, 0.0718345, 0.0789469, 0.0858803, 0.114213, 0.173248, 0.198764, 0.215831, 0.237926, 0.287062, 0.324874, 0.364654, 0.398195, 0.416302, 0.448922, 0.483116, 0.524881, 0.56329, 0.604134, 0.635556, 0.6984, 0.773189, 0.792748");
-            values ( \
-              "0.0308023, 0.0729164, 0.0763899, 0.0769237, 0.0769579, 0.0753138, 0.0707281, 0.0681511, 0.0656441, 0.060869, 0.0467039, 0.0365179, 0.0273108, 0.0208909, 0.0179748, 0.0135653, 0.00999573, 0.00681258, 0.00474716, 0.00322766, 0.00238625, 0.00128418, 0.000602842, 0.000541221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0588858, 0.0589058, 0.128774, 0.201145, 0.252677, 0.296058, 0.323618, 0.361364, 0.425997, 0.503646, 0.567567, 0.621491, 0.66866, 0.702074, 0.756926, 0.780211, 0.823597, 0.884712, 0.949356, 0.999038, 1.07383, 1.14862, 1.29819, 1.44777");
-            values ( \
-              "1e-22, 0.0834768, 0.0799211, 0.0768065, 0.0742505, 0.0716162, 0.0692617, 0.0646112, 0.0537181, 0.0400664, 0.030134, 0.023106, 0.0180662, 0.0150767, 0.0111027, 0.00972584, 0.00756916, 0.00527576, 0.0035958, 0.00266603, 0.00168744, 0.00106509, 0.000422639, 0.000167216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.0634803, 0.0635003, 0.179613, 0.305795, 0.392785, 0.461556, 0.526308, 0.57929, 0.654079, 0.849104, 0.950113, 1.07477, 1.13579, 1.24101, 1.36617, 1.44096, 1.52186, 1.64251, 1.79209, 1.94166, 2.03653");
-            values ( \
-              "1e-22, 0.0919652, 0.0821554, 0.078963, 0.0763742, 0.074031, 0.0708246, 0.0669336, 0.0599532, 0.039324, 0.0298418, 0.0204949, 0.0167884, 0.0118055, 0.0076467, 0.00592055, 0.00438575, 0.00282613, 0.00162438, 0.00092473, 0.000759429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0892502, 0.0967649, 0.101084, 0.106056, 0.115289, 0.117057, 0.120595, 0.125149, 0.133291, 0.135378, 0.14284, 0.152419, 0.156482, 0.164181, 0.171594, 0.186271, 0.200037, 0.215587, 0.228986, 0.245148, 0.259664, 0.275024, 0.310437, 0.329219");
-            values ( \
-              "0.00839753, 0.0434028, 0.0470813, 0.0489222, 0.0491553, 0.048701, 0.0483448, 0.0472171, 0.0449725, 0.0439121, 0.0387305, 0.02965, 0.0267394, 0.0219627, 0.0184106, 0.0130815, 0.00949574, 0.00656967, 0.0047541, 0.00320002, 0.00222898, 0.00152303, 0.000616498, 0.000426963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0917058, 0.0954693, 0.0993867, 0.105366, 0.111275, 0.116772, 0.128209, 0.134996, 0.149965, 0.15228, 0.15691, 0.165651, 0.179363, 0.187013, 0.203162, 0.214803, 0.225139, 0.238651, 0.249438, 0.263821, 0.278004, 0.296262, 0.316202, 0.339026, 0.357121, 0.393311, 0.427715");
-            values ( \
-              "0.0233571, 0.0499018, 0.0554345, 0.0594297, 0.0606422, 0.060744, 0.0595273, 0.058397, 0.0551398, 0.0543904, 0.0526657, 0.0478659, 0.0379887, 0.0335021, 0.0255201, 0.0210021, 0.0175859, 0.013832, 0.0113884, 0.00873615, 0.00669355, 0.00471941, 0.00317602, 0.00202776, 0.00143096, 0.000662282, 0.00037804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0940002, 0.101607, 0.107177, 0.112353, 0.121161, 0.129784, 0.152448, 0.173384, 0.185033, 0.204351, 0.225569, 0.239881, 0.258964, 0.271589, 0.288025, 0.309396, 0.315757, 0.329894, 0.343574, 0.361356, 0.375654, 0.393891, 0.419576, 0.447125, 0.468354, 0.510813, 0.585498, 0.660287");
-            values ( \
-              "0.0627342, 0.0653023, 0.0685921, 0.0697799, 0.0700643, 0.069473, 0.0670318, 0.0641639, 0.0620355, 0.0560638, 0.0459818, 0.0396962, 0.0323212, 0.0281246, 0.0232208, 0.0178423, 0.0164838, 0.0137452, 0.0114775, 0.0090331, 0.00743396, 0.00577242, 0.00401477, 0.00271866, 0.00200508, 0.00106977, 0.000321695, 9.61424e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0940179, 0.100955, 0.105697, 0.109778, 0.116987, 0.123884, 0.152224, 0.21126, 0.236548, 0.254295, 0.275936, 0.325025, 0.362876, 0.402736, 0.436221, 0.454279, 0.486882, 0.521121, 0.562906, 0.601303, 0.642104, 0.673487, 0.736255, 0.811044, 0.822324");
-            values ( \
-              "0.0541828, 0.0702688, 0.0738996, 0.075722, 0.0766297, 0.0769295, 0.0753684, 0.0707359, 0.0681701, 0.0655584, 0.0608718, 0.046712, 0.0365144, 0.0272909, 0.020893, 0.0179831, 0.0135744, 0.00999912, 0.00681444, 0.00474422, 0.00322653, 0.00239041, 0.00128386, 0.000606124, 0.000570529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0986124, 0.0986324, 0.165034, 0.23931, 0.290867, 0.33282, 0.364654, 0.399549, 0.464174, 0.54179, 0.606149, 0.659768, 0.68809, 0.740006, 0.7951, 0.81857, 0.86189, 0.922952, 0.962645, 1.03691, 1.11169, 1.18648, 1.27625");
-            values ( \
-              "1e-22, 0.0872518, 0.080028, 0.0768351, 0.0742461, 0.0717199, 0.0689792, 0.064595, 0.0537108, 0.040079, 0.0300811, 0.0230901, 0.0199487, 0.0150939, 0.0110999, 0.00971888, 0.00756105, 0.00527696, 0.00416752, 0.00266835, 0.0016934, 0.00106441, 0.000678138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.102252, 0.102272, 0.208778, 0.344089, 0.431079, 0.499847, 0.564608, 0.617583, 0.692373, 0.887397, 0.988406, 1.11306, 1.17409, 1.27931, 1.40446, 1.47925, 1.56015, 1.68079, 1.83037, 1.97994, 2.07149");
-            values ( \
-              "1e-22, 0.093338, 0.0823825, 0.0789574, 0.0763798, 0.0740256, 0.0708188, 0.066939, 0.0599479, 0.0393283, 0.029846, 0.0204911, 0.0167925, 0.0118096, 0.00765091, 0.00591657, 0.00439052, 0.00283105, 0.00162937, 0.000929823, 0.000767734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.164887, 0.175911, 0.183201, 0.18722, 0.196603, 0.203602, 0.210252, 0.212024, 0.217871, 0.22187, 0.228043, 0.245049, 0.256801, 0.262604, 0.272558, 0.285279, 0.298415, 0.309116, 0.32052, 0.339533, 0.354039, 0.376216, 0.405786, 0.429106");
-            values ( \
-              "0.00359215, 0.0269937, 0.0332399, 0.0357574, 0.0397035, 0.041313, 0.0420564, 0.0427489, 0.0434147, 0.0431515, 0.0407815, 0.0266567, 0.0198439, 0.017379, 0.0137919, 0.0102305, 0.00752879, 0.00582126, 0.00442025, 0.00276678, 0.00193109, 0.00110674, 0.000509958, 0.000392517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.166513, 0.174384, 0.180429, 0.188749, 0.195914, 0.203036, 0.215998, 0.223735, 0.228252, 0.237287, 0.246906, 0.275133, 0.291267, 0.304623, 0.319886, 0.3304, 0.342559, 0.35877, 0.376078, 0.388619, 0.406377, 0.429031, 0.459237, 0.509483, 0.568937");
-            values ( \
-              "0.00455101, 0.0304693, 0.0377643, 0.0446354, 0.048462, 0.0511839, 0.0552064, 0.0567564, 0.0565723, 0.0552345, 0.0518958, 0.0336235, 0.0256091, 0.0204925, 0.0156815, 0.0130278, 0.0104423, 0.00770651, 0.00555277, 0.00435819, 0.00307761, 0.00197056, 0.00106632, 0.000356853, 9.23413e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.166438, 0.17776, 0.186003, 0.197546, 0.210213, 0.216607, 0.223184, 0.229537, 0.235748, 0.24817, 0.263386, 0.273224, 0.292588, 0.318526, 0.329359, 0.347906, 0.372118, 0.395815, 0.406772, 0.424027, 0.447035, 0.462125, 0.488749, 0.517903, 0.558451, 0.612515, 0.687305, 0.762094");
-            values ( \
-              "0.00338577, 0.0396933, 0.0488405, 0.0566802, 0.0615437, 0.0648833, 0.0669549, 0.0675159, 0.0672664, 0.0660602, 0.0638799, 0.0620172, 0.056059, 0.0438385, 0.0391623, 0.0320663, 0.024388, 0.0182266, 0.0159041, 0.0127162, 0.00932863, 0.00760327, 0.00525551, 0.00348133, 0.0019455, 0.00086102, 0.000255504, 7.89034e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.166453, 0.182555, 0.194414, 0.210223, 0.211186, 0.223779, 0.231919, 0.24046, 0.269535, 0.303717, 0.330704, 0.352503, 0.364205, 0.434354, 0.470507, 0.492829, 0.524899, 0.541727, 0.573929, 0.601599, 0.624731, 0.651669, 0.689824, 0.729704, 0.760279, 0.82143, 0.896219, 1.0458");
-            values ( \
-              "0.0043254, 0.0492732, 0.0598252, 0.0676445, 0.0691771, 0.0745345, 0.0752163, 0.0751736, 0.0732374, 0.0703517, 0.0673891, 0.0636605, 0.0607889, 0.0408251, 0.0318414, 0.0269003, 0.0208103, 0.0181031, 0.0137166, 0.0107108, 0.00868633, 0.00678272, 0.00472692, 0.00324226, 0.00242647, 0.00132107, 0.000628206, 0.000141336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.176042, 0.18932, 0.195153, 0.219984, 0.224593, 0.231899, 0.243595, 0.258439, 0.315829, 0.379356, 0.430332, 0.454065, 0.47938, 0.505487, 0.625826, 0.688405, 0.745487, 0.779935, 0.803072, 0.849346, 0.882808, 0.920507, 0.960496, 1.01661, 1.08365, 1.15843, 1.23322, 1.30801, 1.3828, 1.53238");
-            values ( \
-              "0.0561637, 0.0588903, 0.063341, 0.0777889, 0.0792523, 0.0802578, 0.0803047, 0.0798231, 0.0773672, 0.07423, 0.0710859, 0.068868, 0.0658178, 0.0618644, 0.0408027, 0.0309562, 0.023394, 0.0195855, 0.0173154, 0.0134352, 0.0111408, 0.0089872, 0.00712583, 0.00511597, 0.00343175, 0.00217527, 0.00137579, 0.000867009, 0.000547022, 0.000216962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.176026, 0.198919, 0.214559, 0.225676, 0.236392, 0.260799, 0.266229, 0.340134, 0.432935, 0.519939, 0.555549, 0.610271, 0.685061, 0.706567, 0.749579, 0.976712, 1.02193, 1.07811, 1.1508, 1.20138, 1.26262, 1.33741, 1.36817, 1.4297, 1.49376, 1.56855, 1.64882, 1.69424, 1.76903, 1.84382, 1.91861, 2.06819, 2.21777, 2.44213");
-            values ( \
-              "0.0501599, 0.0679755, 0.0772278, 0.0823167, 0.0836232, 0.083325, 0.0831144, 0.0813875, 0.0789618, 0.0764188, 0.0752065, 0.0731015, 0.068664, 0.0669487, 0.0630854, 0.0392991, 0.0348848, 0.0297923, 0.0239988, 0.0205062, 0.0168331, 0.0131316, 0.011833, 0.00958308, 0.00766321, 0.00588381, 0.00441991, 0.00375501, 0.00286409, 0.00218132, 0.0016599, 0.000958926, 0.000553024, 0.000241042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.320716, 0.358856, 0.376633, 0.391464, 0.404726, 0.417246, 0.429766, 0.439334, 0.445468, 0.457736, 0.474339, 0.484062, 0.496547, 0.505726, 0.510895, 0.529989, 0.541202, 0.557955, 0.571756, 0.58133, 0.600479, 0.638777, 0.685485");
-            values ( \
-              "0.00104847, 0.0193468, 0.0244011, 0.0281287, 0.0304964, 0.0316429, 0.0289978, 0.0244776, 0.0219828, 0.0177994, 0.0134971, 0.011293, 0.00884307, 0.00732384, 0.00703205, 0.00476439, 0.00361197, 0.00239178, 0.00169067, 0.00133649, 0.000816162, 0.000290669, 7.282e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.320799, 0.362381, 0.379411, 0.409273, 0.426117, 0.442166, 0.458213, 0.47768, 0.493073, 0.505677, 0.506026, 0.545247, 0.560787, 0.577302, 0.597508, 0.616014, 0.635792, 0.651021, 0.68148, 0.721038");
-            values ( \
-              "0.00130918, 0.024779, 0.0308071, 0.0393929, 0.0423308, 0.0434824, 0.0403399, 0.0320064, 0.0261409, 0.0221118, 0.022293, 0.0120452, 0.00904698, 0.00662815, 0.00449591, 0.00313066, 0.00212658, 0.00156969, 0.000845697, 0.000411636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.335267, 0.347888, 0.362786, 0.37999, 0.411233, 0.424831, 0.435972, 0.458742, 0.480711, 0.502682, 0.508192, 0.520566, 0.54189, 0.56131, 0.579591, 0.603966, 0.624849, 0.639518, 0.664194, 0.693025, 0.718263, 0.744108, 0.763844, 0.803314, 0.877118, 0.951907");
-            values ( \
-              "0.0178323, 0.0208075, 0.0282386, 0.0353583, 0.0461705, 0.0497177, 0.052059, 0.0550953, 0.0558377, 0.052414, 0.0510431, 0.0469475, 0.0380864, 0.0309256, 0.0251047, 0.0186388, 0.0143203, 0.0118129, 0.00847312, 0.00569063, 0.00398183, 0.00276296, 0.00208244, 0.001165, 0.000356597, 0.000106841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.339511, 0.35616, 0.376378, 0.402027, 0.427728, 0.442287, 0.471404, 0.487999, 0.505765, 0.509353, 0.525576, 0.53314, 0.540866, 0.574347, 0.633193, 0.691596, 0.737945, 0.755806, 0.809797, 0.838686, 0.904743, 0.9355, 0.976508, 1.0513, 1.11439");
-            values ( \
-              "0.0245745, 0.0272697, 0.0368518, 0.0471308, 0.0557411, 0.0591809, 0.0644118, 0.0662435, 0.0674141, 0.0683877, 0.0692609, 0.0679788, 0.0676049, 0.0610516, 0.0437774, 0.029245, 0.0202316, 0.017435, 0.0108307, 0.00832667, 0.00446042, 0.00332333, 0.00233492, 0.00101924, 0.000665518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.339363, 0.367401, 0.395419, 0.430858, 0.459062, 0.488139, 0.505552, 0.515067, 0.52707, 0.538839, 0.589381, 0.635279, 0.655358, 0.675814, 0.698089, 0.742638, 0.797001, 0.858462, 0.885679, 0.940112, 0.966392, 1.00789, 1.05532, 1.0927, 1.13669, 1.19535, 1.24695, 1.27321, 1.32572, 1.40051, 1.4753, 1.55009, 1.62488, 1.77446");
-            values ( \
-              "0.0198742, 0.034603, 0.0472652, 0.060159, 0.0670428, 0.0722237, 0.0742527, 0.0758615, 0.076892, 0.0767756, 0.0742809, 0.0714134, 0.0697421, 0.0675549, 0.0645723, 0.0572059, 0.0475184, 0.0371203, 0.0328483, 0.0252979, 0.0221448, 0.0178234, 0.0137382, 0.0111463, 0.00866994, 0.00616024, 0.00454379, 0.00387512, 0.00283638, 0.00178548, 0.00113774, 0.000707045, 0.000455396, 0.000183979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.355503, 0.397178, 0.422767, 0.473699, 0.514542, 0.531121, 0.54524, 0.643586, 0.774583, 0.820916, 0.895705, 0.960208, 1.18735, 1.28875, 1.41202, 1.47326, 1.57881, 1.70439, 1.77918, 1.85944, 1.97964, 2.12922, 2.27879, 2.3809");
-            values ( \
-              "0.0460347, 0.0494039, 0.0593673, 0.0732527, 0.080111, 0.081782, 0.0815934, 0.0789489, 0.0749326, 0.0730741, 0.0686901, 0.0631116, 0.0392794, 0.0297738, 0.0205227, 0.0168172, 0.0118182, 0.00764901, 0.00589834, 0.00440839, 0.00285307, 0.00164908, 0.000948363, 0.000757937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.669272, 0.700791, 0.709133, 0.724718, 0.751937, 0.764424, 0.779117, 0.80524, 0.827716, 0.848177, 0.86869, 0.871686, 0.881274, 0.892927, 0.921667, 0.947092, 0.962451, 0.972946, 0.984969, 1.00074, 1.01489, 1.03376, 1.04968, 1.05767, 1.07364, 1.10557, 1.15859, 1.21597, 1.23946, 1.27109, 1.30093");
-            values ( \
-              "0.000417001, 0.00451928, 0.00533387, 0.00698842, 0.0104282, 0.0119152, 0.0135391, 0.0162655, 0.0183318, 0.0196215, 0.0179899, 0.0174036, 0.0160347, 0.014726, 0.0116421, 0.00858473, 0.00693564, 0.00593611, 0.00491131, 0.00375936, 0.00292461, 0.00205598, 0.00150373, 0.0012874, 0.000926713, 0.000468746, 0.000127867, 3.66401e-05, 0.000209989, 0.000148973, 4.17718e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.683929, 0.707377, 0.727935, 0.780689, 0.83372, 0.861146, 0.885912, 0.910422, 0.99381, 1.03278, 1.06214, 1.0919, 1.12669, 1.16652, 1.21587, 1.22351, 1.23591, 1.25008");
-            values ( \
-              "0.00491441, 0.0068334, 0.00931339, 0.0168111, 0.0235585, 0.0266899, 0.0287601, 0.027586, 0.0140901, 0.00869003, 0.00568509, 0.00356243, 0.00198095, 0.000982518, 0.000382364, 0.000603293, 0.000630814, 0.000524217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.679554, 0.71691, 0.73751, 0.835459, 0.910157, 0.941363, 0.972089, 1.00271, 1.00573, 1.08054, 1.1088, 1.13662, 1.16558, 1.17888, 1.20546, 1.21566, 1.22581, 1.27547, 1.31311, 1.38263, 1.45742");
-            values ( \
-              "0.00337465, 0.00967448, 0.0125014, 0.0275026, 0.03763, 0.0402854, 0.0392612, 0.0336531, 0.0334724, 0.0191058, 0.014416, 0.0105895, 0.00748185, 0.00640682, 0.004477, 0.00398046, 0.00383197, 0.00200761, 0.00115866, 0.000384302, 0.000115792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.705092, 0.75005, 0.87561, 0.935957, 0.980865, 1.02247, 1.06387, 1.10912, 1.21577, 1.29305, 1.32232, 1.35949, 1.41572, 1.4864, 1.56119, 1.59728");
-            values ( \
-              "0.0154337, 0.0159493, 0.0364226, 0.0454524, 0.0505781, 0.053039, 0.0512656, 0.044157, 0.0240244, 0.0130893, 0.0100785, 0.00717035, 0.00422225, 0.00214808, 0.00101615, 0.000755324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.705123, 0.751604, 0.78026, 0.865058, 0.951977, 1.02121, 1.08324, 1.1424, 1.20156, 1.21582, 1.22522, 1.24596, 1.34234, 1.36342, 1.39152, 1.44773, 1.47155, 1.5091, 1.54244, 1.58615, 1.62173, 1.66496, 1.72595, 1.75317, 1.79012, 1.83938, 1.91417, 1.98896, 2.06375, 2.13854, 2.28812");
-            values ( \
-              "0.0153209, 0.01754, 0.0219823, 0.0367182, 0.0509845, 0.0593109, 0.0637652, 0.0653257, 0.0627252, 0.0611387, 0.0604065, 0.0574528, 0.0404658, 0.0370274, 0.0326683, 0.0248861, 0.022088, 0.0181287, 0.0151409, 0.0118666, 0.0097019, 0.00755918, 0.00527117, 0.00448917, 0.00360394, 0.00267805, 0.00169598, 0.00106958, 0.000675354, 0.000424027, 0.000167337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.734003, 0.849826, 0.960099, 1.00065, 1.0463, 1.12108, 1.18997, 1.23479, 1.24761, 1.32512, 1.35969, 1.42127, 1.49606, 1.6911, 1.79211, 1.91676, 1.97779, 2.083, 2.20814, 2.28293, 2.36381, 2.48444, 2.63402, 2.7836, 2.88221");
-            values ( \
-              "0.0233102, 0.0350749, 0.0542704, 0.0601665, 0.0652127, 0.0713359, 0.0746837, 0.0761327, 0.0759888, 0.0730614, 0.0713056, 0.0669741, 0.0599191, 0.0393594, 0.0298771, 0.020461, 0.0168239, 0.0118411, 0.00768243, 0.00588633, 0.00442185, 0.0028622, 0.00166022, 0.000960467, 0.000769823" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00200132, 0.0020045, 0.00200736, 0.00200957, 0.00201109, 0.00201207", \
-            "0.00233624, 0.00234164, 0.00234703, 0.00235159, 0.00235494, 0.00235718", \
-            "0.00249922, 0.00250388, 0.00250955, 0.00251519, 0.00251989, 0.00252328", \
-            "0.00259698, 0.00259624, 0.00259839, 0.00259819, 0.0025988, 0.00259931", \
-            "0.00268426, 0.00267434, 0.00266453, 0.00265393, 0.0026452, 0.00264004", \
-            "0.0027553, 0.00274546, 0.00273192, 0.00271452, 0.00269532, 0.00267861" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00251436, 0.00251791, 0.00252179, 0.00252524, 0.00252783, 0.00252959", \
-            "0.00242251, 0.00241634, 0.00241191, 0.00241054, 0.00240959, 0.002409", \
-            "0.00236721, 0.00234406, 0.00231978, 0.00230012, 0.00228608, 0.00228056", \
-            "0.00240696, 0.00236492, 0.00231876, 0.00228, 0.00224818, 0.00222493", \
-            "0.00272907, 0.00255389, 0.00244513, 0.00236195, 0.00229472, 0.00224314", \
-            "0.00278936, 0.0028032, 0.00279525, 0.00261307, 0.00244178, 0.0023377" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0156786, 0.0176253, 0.0201782, 0.024658, 0.0336018, 0.0422097, 0.050313, 0.0576424, 0.0644721, 0.0715591, 0.0846667, 0.096989, 0.109865, 0.125065, 0.141352, 0.153");
-            values ( \
-              "-0.0321991, -0.0477818, -0.0442152, -0.0430712, -0.0441306, -0.0461741, -0.0499424, -0.056222, -0.0577452, -0.0516222, -0.0317586, -0.0171325, -0.00835593, -0.00350512, -0.00144243, -0.000866072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0164695, 0.0330982, 0.0493578, 0.0596775, 0.0693016, 0.0784184, 0.0879247, 0.107973, 0.121813, 0.13818, 0.157221, 0.175192, 0.194362, 0.197345");
-            values ( \
-              "-0.0626941, -0.0619151, -0.064854, -0.0684148, -0.0749177, -0.0762277, -0.0680378, -0.0387756, -0.0231146, -0.0117516, -0.00516682, -0.00240054, -0.00105778, -0.000982747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0171813, 0.0394408, 0.0614288, 0.0756631, 0.0892456, 0.102422, 0.116255, 0.143277, 0.160437, 0.180209, 0.200807, 0.226238, 0.244951, 0.278153");
-            values ( \
-              "-0.0822924, -0.0819857, -0.0842753, -0.0869043, -0.092404, -0.0929456, -0.0825098, -0.0497105, -0.0325299, -0.018891, -0.0103975, -0.00487541, -0.00276348, -0.00100574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0184199, 0.050128, 0.082, 0.103097, 0.1237, 0.144044, 0.164369, 0.212479, 0.232515, 0.253585, 0.270825, 0.292068, 0.325433, 0.351713, 0.386753, 0.431776");
-            values ( \
-              "-0.104005, -0.100744, -0.101623, -0.10287, -0.106472, -0.105766, -0.0942261, -0.0526067, -0.0382703, -0.0267247, -0.0196199, -0.0132407, -0.00701182, -0.0042201, -0.00216946, -0.000931737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0170943, 0.0283753, 0.034849, 0.0433709, 0.0663751, 0.117765, 0.150776, 0.183826, 0.205678, 0.216727, 0.233348, 0.252098, 0.32456, 0.354404, 0.397367, 0.424201, 0.453837, 0.501846, 0.531704, 0.565827, 0.62178, 0.667712");
-            values ( \
-              "-0.0989191, -0.110567, -0.113497, -0.115176, -0.1158, -0.115132, -0.115138, -0.116655, -0.115888, -0.113865, -0.108974, -0.100241, -0.0590064, -0.0446066, -0.0287719, -0.021523, -0.0154738, -0.00890527, -0.00630335, -0.00421447, -0.00214408, -0.00128239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0170728, 0.0253866, 0.0363885, 0.045413, 0.0721075, 0.227459, 0.288973, 0.330571, 0.344604, 0.372671, 0.404592, 0.512194, 0.59175, 0.642457, 0.684853, 0.723597, 0.775256, 0.816276, 0.862053, 0.973958, 1.08586, 1.11986");
-            values ( \
-              "-0.103767, -0.116586, -0.124319, -0.126221, -0.12674, -0.123565, -0.123089, -0.121003, -0.118968, -0.113779, -0.104451, -0.066549, -0.0431222, -0.0317828, -0.0242683, -0.0188811, -0.0134163, -0.0101244, -0.00740754, -0.00339086, -0.00154138, -0.00135525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0228383, 0.038879, 0.0405495, 0.0443597, 0.0474842, 0.0537332, 0.06026, 0.0641196, 0.0714388, 0.0782673, 0.0853514, 0.0986265, 0.110342, 0.119078, 0.123886, 0.128725, 0.138892, 0.14624, 0.154637, 0.171432, 0.192195");
-            values ( \
-              "-0.00124982, -0.0472464, -0.045716, -0.0446557, -0.0447666, -0.0457193, -0.0479697, -0.050258, -0.056109, -0.0578923, -0.051581, -0.0315215, -0.0175377, -0.0108207, -0.00824298, -0.00625263, -0.00349717, -0.00232924, -0.00148178, -0.000615585, -0.00027235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0228996, 0.0402187, 0.0469335, 0.0632755, 0.0735846, 0.083207, 0.0923237, 0.10183, 0.121877, 0.135719, 0.152085, 0.171126, 0.189098, 0.206982");
-            values ( \
-              "-0.00478359, -0.0645455, -0.0628353, -0.0648886, -0.0684251, -0.0749172, -0.0762301, -0.0680375, -0.038777, -0.0231137, -0.0117518, -0.00516695, -0.00240054, -0.00114778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0228896, 0.041336, 0.0506927, 0.0754081, 0.0896422, 0.103225, 0.116402, 0.130235, 0.15725, 0.17442, 0.194197, 0.21476, 0.240244, 0.25901, 0.286926");
-            values ( \
-              "-0.00666159, -0.0831701, -0.0823485, -0.0842951, -0.0868867, -0.0924173, -0.0929332, -0.0825149, -0.0497181, -0.0325267, -0.0188861, -0.0104054, -0.00487167, -0.00275651, -0.00127667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0282648, 0.0375624, 0.0451417, 0.0958628, 0.11696, 0.137564, 0.157907, 0.178233, 0.226342, 0.246379, 0.281016, 0.305931, 0.325641, 0.348057, 0.400619, 0.427216");
-            values ( \
-              "-0.0240067, -0.101479, -0.100145, -0.10164, -0.102851, -0.10649, -0.105749, -0.0942411, -0.0526014, -0.0382886, -0.0210337, -0.0132659, -0.00915437, -0.0059795, -0.00214198, -0.00143689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0302427, 0.0388191, 0.0407815, 0.0655343, 0.154098, 0.197801, 0.230677, 0.243284, 0.266212, 0.329556, 0.372328, 0.427087, 0.471045, 0.50525, 0.542152, 0.627323, 0.654806");
-            values ( \
-              "-0.061838, -0.114924, -0.113582, -0.115737, -0.115032, -0.116632, -0.11426, -0.110499, -0.100298, -0.063838, -0.0429572, -0.0242814, -0.0149205, -0.0100642, -0.00657099, -0.0023708, -0.0019421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.0304105, 0.0388728, 0.0401171, 0.0539914, 0.0707835, 0.139794, 0.239333, 0.303148, 0.358042, 0.384322, 0.41145, 0.540889, 0.603399, 0.666133, 0.710659, 0.758905, 0.814858, 0.848167, 0.898104, 0.954056, 1.01001, 1.12191, 1.13938");
-            values ( \
-              "-0.0667638, -0.123167, -0.1222, -0.125948, -0.126761, -0.125804, -0.123613, -0.12303, -0.119484, -0.114329, -0.106905, -0.0617866, -0.0436896, -0.0299187, -0.0225443, -0.0164448, -0.0112701, -0.0089934, -0.00639026, -0.00433342, -0.00292948, -0.00134045, -0.0012583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0519739, 0.0545143, 0.0634673, 0.0817925, 0.0875765, 0.0889402, 0.0904188, 0.0921667, 0.0952867, 0.0983065, 0.104099, 0.108496, 0.112339, 0.119069, 0.133538, 0.139593, 0.143459, 0.146129, 0.151469, 0.154675, 0.158598, 0.163399, 0.170386, 0.173096, 0.175873, 0.179577, 0.186984, 0.201798, 0.22386, 0.233387");
-            values ( \
-              "-0.0126888, -0.0146125, -0.0228946, -0.0406311, -0.0463968, -0.048354, -0.048868, -0.0484352, -0.0493329, -0.0507934, -0.0552737, -0.0577845, -0.0578038, -0.0522144, -0.0303164, -0.0225364, -0.0184409, -0.0159785, -0.011879, -0.00992203, -0.00794249, -0.00603493, -0.00403707, -0.00346955, -0.00297363, -0.00242441, -0.00162422, -0.000745145, -0.000277632, -0.000224084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0437447, 0.0839237, 0.0874635, 0.0888459, 0.0910492, 0.092876, 0.0950652, 0.097264, 0.101662, 0.107524, 0.117083, 0.126217, 0.135719, 0.155729, 0.163079, 0.169634, 0.174366, 0.180552, 0.185949, 0.192271, 0.201471, 0.205, 0.2134, 0.223001, 0.242201, 0.270073, 0.304919, 0.462626");
-            values ( \
-              "-0.000923513, -0.0590347, -0.0634947, -0.0666326, -0.0657591, -0.0654902, -0.065471, -0.0657297, -0.0666104, -0.0688615, -0.0748746, -0.0763811, -0.0680261, -0.0388273, -0.029744, -0.0230877, -0.0190797, -0.0147639, -0.011762, -0.00897133, -0.00601414, -0.00516864, -0.00361064, -0.00239823, -0.00105519, -0.000350927, -0.000130674, -2.18952e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0543882, 0.0689051, 0.0891413, 0.0917494, 0.0950049, 0.103913, 0.109516, 0.120723, 0.137327, 0.150476, 0.163614, 0.191397, 0.211157, 0.231695, 0.242533, 0.25492, 0.264551, 0.279568, 0.299591, 0.334384");
-            values ( \
-              "-0.024143, -0.0516233, -0.0856037, -0.0843767, -0.0838766, -0.0839801, -0.0844999, -0.0862425, -0.0924592, -0.0930389, -0.0832695, -0.0496264, -0.0303064, -0.0171287, -0.0125206, -0.00866553, -0.0065113, -0.00416189, -0.0022698, -0.000782172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0542983, 0.0654029, 0.0884872, 0.0993139, 0.129984, 0.151055, 0.171659, 0.192001, 0.212326, 0.260432, 0.280473, 0.31511, 0.340023, 0.359734, 0.38215, 0.434714, 0.462434");
-            values ( \
-              "-0.023187, -0.054574, -0.102906, -0.101299, -0.101664, -0.10287, -0.10647, -0.105775, -0.0942162, -0.0525879, -0.0383003, -0.0210242, -0.0132753, -0.00914566, -0.00597135, -0.00213373, -0.00140701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0543043, 0.0699755, 0.0893748, 0.0922807, 0.0980489, 0.182359, 0.198976, 0.231965, 0.264953, 0.278946, 0.29305, 0.315143, 0.366461, 0.396558, 0.410347, 0.425412, 0.443293, 0.466595, 0.486368, 0.508592, 0.540263, 0.574188, 0.600368, 0.652729, 0.708682, 0.764634, 0.876539");
-            values ( \
-              "-0.0244684, -0.0729674, -0.117289, -0.116327, -0.115986, -0.115015, -0.115123, -0.116393, -0.114356, -0.10997, -0.104018, -0.0920488, -0.0623586, -0.0473037, -0.0413494, -0.0355181, -0.0294746, -0.0229307, -0.0184451, -0.0143634, -0.00997808, -0.00674372, -0.00496307, -0.002644, -0.00134653, -0.000695209, -0.000197628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.0543146, 0.0763291, 0.0896963, 0.0931931, 0.115176, 0.152633, 0.28258, 0.345582, 0.371579, 0.393029, 0.435928, 0.484717, 0.560705, 0.605589, 0.639557, 0.676759, 0.726363, 0.753609, 0.799455, 0.855407, 0.876243, 0.922412, 0.975176, 1.03113, 1.14303, 1.25494, 1.36684");
-            values ( \
-              "-0.0272869, -0.0955294, -0.127484, -0.126765, -0.126766, -0.126182, -0.123443, -0.122894, -0.121541, -0.119014, -0.109838, -0.0936148, -0.066578, -0.0524397, -0.0432308, -0.0346239, -0.0253955, -0.0212971, -0.0157612, -0.0108145, -0.0093713, -0.00685586, -0.00474019, -0.00322286, -0.00147978, -0.000685586, -0.000324249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.100443, 0.111867, 0.122648, 0.132289, 0.153549, 0.167228, 0.178371, 0.187379, 0.19502, 0.202537, 0.210478, 0.211363, 0.213134, 0.219969, 0.224581, 0.229363, 0.23542, 0.240286, 0.243895, 0.251113, 0.254891, 0.25942, 0.265458, 0.277535, 0.297576, 0.310564");
-            values ( \
-              "-0.00591509, -0.00917855, -0.0124599, -0.0163546, -0.025351, -0.0314726, -0.0383496, -0.0480141, -0.0538559, -0.0497686, -0.0388019, -0.0386422, -0.036654, -0.0264573, -0.0208418, -0.0160701, -0.0113825, -0.00861326, -0.00699055, -0.00457578, -0.00368821, -0.00285596, -0.00203778, -0.00105627, -0.000384979, -0.000268548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.0940282, 0.120002, 0.176954, 0.189822, 0.20057, 0.205564, 0.212019, 0.21919, 0.251916, 0.265453, 0.27436, 0.284197, 0.297894, 0.307905");
-            values ( \
-              "-6.10552e-05, -0.0166596, -0.0488027, -0.0582175, -0.0701683, -0.0739399, -0.0757381, -0.0684839, -0.0241674, -0.0138496, -0.00947269, -0.00617596, -0.00343407, -0.00226378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.099703, 0.105401, 0.13234, 0.191326, 0.213336, 0.216958, 0.227066, 0.23351, 0.246397, 0.270758, 0.29264, 0.308723, 0.330333, 0.347854, 0.358691, 0.380365, 0.397915");
-            values ( \
-              "-0.0108233, -0.0131959, -0.0300904, -0.0723114, -0.0900405, -0.0918495, -0.0941425, -0.0928015, -0.0837679, -0.0538925, -0.0315651, -0.020304, -0.0108697, -0.00645083, -0.00466911, -0.00240372, -0.00159813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.103537, 0.105326, 0.12847, 0.136282, 0.161246, 0.190329, 0.210442, 0.213207, 0.218736, 0.22567, 0.229984, 0.234257, 0.24274, 0.25234, 0.254868, 0.259923, 0.270033, 0.275027, 0.285013, 0.296601, 0.326555, 0.340517, 0.35872, 0.36516, 0.374844, 0.385059, 0.398358, 0.401371, 0.407396, 0.419446, 0.441393, 0.449204, 0.45195, 0.457442, 0.468426, 0.490393, 0.534327, 0.59028, 0.646232, 0.702185");
-            values ( \
-              "-0.0147929, -0.0156888, -0.0337189, -0.0402681, -0.0625241, -0.0856871, -0.100693, -0.103621, -0.101303, -0.103142, -0.1023, -0.103463, -0.104079, -0.106397, -0.106397, -0.107223, -0.106551, -0.105491, -0.101192, -0.093248, -0.0666952, -0.0548465, -0.0415377, -0.0371955, -0.0315887, -0.0266794, -0.0208277, -0.019958, -0.0176555, -0.0143471, -0.00925516, -0.00820808, -0.00755367, -0.00703851, -0.00548364, -0.00378913, -0.00138635, -0.00061081, -5.5271e-05, -0.000204241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.105198, 0.169451, 0.196786, 0.212847, 0.215567, 0.218535, 0.248631, 0.281817, 0.314806, 0.347794, 0.361741, 0.383255, 0.449169, 0.490614, 0.508583, 0.526346, 0.549523, 0.569048, 0.59133, 0.623044, 0.657139, 0.683469, 0.73613, 0.792083, 0.848035, 0.95994");
-            values ( \
-              "-0.016163, -0.0792402, -0.10327, -0.115868, -0.116073, -0.115612, -0.115143, -0.115089, -0.116426, -0.114325, -0.109986, -0.100293, -0.0624305, -0.0424165, -0.035399, -0.0294095, -0.0229099, -0.0184791, -0.0143809, -0.00998524, -0.00673528, -0.00494799, -0.00262582, -0.00133734, -0.000690595, -0.00019645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.104991, 0.1431, 0.170799, 0.210106, 0.213936, 0.219259, 0.22366, 0.232461, 0.24153, 0.257197, 0.36529, 0.429435, 0.447194, 0.476128, 0.524615, 0.559809, 0.649675, 0.702322, 0.723053, 0.745606, 0.775676, 0.817247, 0.853472, 0.890326, 0.943149, 0.966247, 0.99729, 1.03868, 1.09463, 1.15059, 1.20654, 1.26249, 1.31844, 1.4863");
-            values ( \
-              "-0.0127463, -0.0581932, -0.0878592, -0.124748, -0.126492, -0.126609, -0.126244, -0.126349, -0.125997, -0.125859, -0.123319, -0.122932, -0.122163, -0.119049, -0.108254, -0.0965274, -0.0646669, -0.0485542, -0.0431094, -0.0377273, -0.0314539, -0.0241341, -0.0190873, -0.0150026, -0.0104503, -0.00893617, -0.00722943, -0.00546798, -0.00367135, -0.00251462, -0.00167056, -0.00116179, -0.0007584, -0.000265551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.199671, 0.226672, 0.24399, 0.257206, 0.264958, 0.327121, 0.345002, 0.370632, 0.381035, 0.392126, 0.40772, 0.420621, 0.426586, 0.434541, 0.447236, 0.459247, 0.475261, 0.499947, 0.500475");
-            values ( \
-              "-0.00169576, -0.00386725, -0.00566187, -0.00732595, -0.00850458, -0.0195208, -0.0240184, -0.036927, -0.0378978, -0.0320746, -0.0180551, -0.00977722, -0.00717473, -0.00460959, -0.00232507, -0.00122999, -0.000570074, -0.000175551, -0.000176225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.20252, 0.232588, 0.245927, 0.258162, 0.267912, 0.336689, 0.351604, 0.362094, 0.39271, 0.405675, 0.419745, 0.439682, 0.452505, 0.457278, 0.466068, 0.476114, 0.485575, 0.491298, 0.502744, 0.506024, 0.510177, 0.51574, 0.520652, 0.535924");
-            values ( \
-              "-0.00283044, -0.00654895, -0.0086365, -0.0108204, -0.0128588, -0.0293484, -0.0334703, -0.0370854, -0.0530538, -0.0533246, -0.0441835, -0.0250441, -0.0158106, -0.0130758, -0.00924544, -0.00604287, -0.00406457, -0.00322841, -0.00196421, -0.00174709, -0.00217932, -0.00193202, -0.00146565, -0.000875273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.201725, 0.24136, 0.253534, 0.267858, 0.364098, 0.388354, 0.408859, 0.42649, 0.44339, 0.460198, 0.489613, 0.506271, 0.510264, 0.527403, 0.540301, 0.546148, 0.560156, 0.576165, 0.590468");
-            values ( \
-              "-0.00381519, -0.0107976, -0.0134515, -0.0170431, -0.0460618, -0.0542979, -0.064938, -0.0730894, -0.0715212, -0.0591627, -0.0322084, -0.0209186, -0.0196527, -0.0120951, -0.00822473, -0.00691417, -0.00455033, -0.00280844, -0.00201273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.204492, 0.251741, 0.279028, 0.427465, 0.453315, 0.476359, 0.499333, 0.506296, 0.540577, 0.574551, 0.600282, 0.626779, 0.667026, 0.708501");
-            values ( \
-              "-0.00537857, -0.0163649, -0.0250202, -0.077447, -0.0893925, -0.0974037, -0.0907509, -0.0869195, -0.0573531, -0.033462, -0.0212868, -0.0130831, -0.00607902, -0.00289627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.259294, 0.314271, 0.326387, 0.338625, 0.359022, 0.37534, 0.40036, 0.483407, 0.494644, 0.500263, 0.505881, 0.51171, 0.517236, 0.521129, 0.525022, 0.528137, 0.534368, 0.537484, 0.543825, 0.550166, 0.556507, 0.562848, 0.569189, 0.57553, 0.580575, 0.58562, 0.590266, 0.604202, 0.642307, 0.648904, 0.662096, 0.675288, 0.687607, 0.699074, 0.702754, 0.706434, 0.717474, 0.724835, 0.732195, 0.739555, 0.751477, 0.762703, 0.771588, 0.780474, 0.78936, 0.798088, 0.806816, 0.815544, 0.824272, 0.838664");
-            values ( \
-              "-0.0438168, -0.0438506, -0.0488944, -0.053738, -0.0616496, -0.0678512, -0.0771443, -0.10688, -0.111198, -0.113573, -0.116092, -0.116389, -0.116815, -0.116725, -0.116573, -0.116408, -0.115959, -0.115676, -0.114568, -0.113173, -0.111492, -0.109524, -0.107269, -0.104727, -0.10248, -0.10006, -0.0975036, -0.0896649, -0.067229, -0.0635446, -0.0566834, -0.0502818, -0.0447603, -0.0397611, -0.0381886, -0.0368159, -0.0329205, -0.0305342, -0.0282794, -0.0261562, -0.0230046, -0.020264, -0.0181797, -0.0165067, -0.0149594, -0.0135921, -0.0123257, -0.0111601, -0.0100953, -0.00854267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.24021, 0.283239, 0.380536, 0.432081, 0.499695, 0.505503, 0.509209, 0.512815, 0.567316, 0.637769, 0.657494, 0.677763, 0.718303, 0.769327, 0.845491, 0.890474, 0.924275, 0.961293, 1.01065, 1.03848, 1.08531, 1.14126, 1.18018, 1.25564, 1.31159, 1.36754, 1.47945, 1.6473");
-            values ( \
-              "-0.0286621, -0.0341852, -0.0765855, -0.0970693, -0.121984, -0.12396, -0.124646, -0.124664, -0.123484, -0.122665, -0.121459, -0.118994, -0.110509, -0.0936652, -0.0665606, -0.0523819, -0.0432238, -0.0346572, -0.0254693, -0.0212742, -0.0156406, -0.0107334, -0.00822268, -0.00488338, -0.00332142, -0.00223112, -0.00101828, -0.00033409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.461643, 0.519024, 0.545013, 0.558162, 0.57866, 0.58961, 0.64164, 0.677823, 0.706498, 0.72886, 0.746558, 0.762187, 0.777866, 0.789668, 0.796122, 0.797818, 0.806414, 0.815354, 0.827865, 0.838856, 0.839847, 0.84183, 0.853729, 0.869595, 0.895011, 0.927358, 0.969567, 1.02552, 1.08147, 1.13742, 1.19338, 1.216, 1.23287, 1.25515");
-            values ( \
-              "-0.00175114, -0.00243099, -0.00331955, -0.00386474, -0.00489918, -0.0056032, -0.00937486, -0.012116, -0.0150213, -0.0197426, -0.0243378, -0.0253139, -0.0242233, -0.0185155, -0.0161014, -0.0147045, -0.0108427, -0.00758174, -0.0043526, -0.00261373, -0.00264155, -0.00231024, -0.00132644, -0.000706753, -0.000186028, -0.00013906, -1.1572e-05, -7.21085e-05, -1e-22, -5.4446e-05, -1e-22, -4.86563e-05, -0.000154357, -4.20191e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.461698, 0.507235, 0.515694, 0.55498, 0.581674, 0.602061, 0.698854, 0.731365, 0.757637, 0.779193, 0.798633, 0.818103, 0.850042, 0.873832, 0.892232, 0.901034, 0.91277, 0.936242, 0.96875, 0.99014");
-            values ( \
-              "-0.00287999, -0.00316917, -0.00354188, -0.00558494, -0.00743643, -0.00928671, -0.0192038, -0.0231787, -0.0291664, -0.0348092, -0.0359394, -0.0337906, -0.0174748, -0.0083754, -0.00441655, -0.00322825, -0.00210541, -0.000873152, -0.000271652, -0.000181636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.461492, 0.518804, 0.521524, 0.537841, 0.570236, 0.58924, 0.608243, 0.647914, 0.731719, 0.770111, 0.802235, 0.829348, 0.854363, 0.879378, 0.922579, 0.943845, 0.955204, 0.970351, 0.989735, 1.00341, 1.03075, 1.07347, 1.12497, 1.21591, 1.23544, 1.28493");
-            values ( \
-              "-0.00327063, -0.00523246, -0.00541084, -0.00655671, -0.00917558, -0.0110358, -0.0132495, -0.0185064, -0.0290265, -0.0344697, -0.0416078, -0.0481168, -0.0493112, -0.0450142, -0.0229965, -0.0144258, -0.0110082, -0.00752962, -0.0045686, -0.00318225, -0.00149506, -0.000436832, -0.000124122, -4.35766e-05, -0.000323564, -7.45609e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.459762, 0.536428, 0.598601, 0.814804, 0.850749, 0.868699, 0.903825, 0.936892, 0.971963, 1.01963, 1.05731, 1.09265, 1.1098, 1.1345, 1.16744, 1.21576, 1.23667, 1.29113, 1.40105");
-            values ( \
-              "-0.00275286, -0.00849799, -0.0153387, -0.0473606, -0.0537995, -0.0580837, -0.0648294, -0.0658836, -0.0567728, -0.0337043, -0.0193475, -0.010667, -0.00794579, -0.00510775, -0.00270703, -0.00108255, -0.00112941, -0.000411367, -6.09512e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.515064, 0.584114, 0.625698, 0.693158, 0.749111, 0.913988, 0.984203, 0.99851, 1.01187, 1.03429, 1.0561, 1.09973, 1.17471, 1.20792, 1.24824, 1.28033, 1.32185, 1.34611, 1.39462, 1.45057, 1.4702");
-            values ( \
-              "-0.0158236, -0.0162624, -0.0224346, -0.0342345, -0.043428, -0.0691549, -0.0823494, -0.0844758, -0.0858682, -0.0868014, -0.0850487, -0.0717257, -0.0402847, -0.0293065, -0.0195107, -0.0136129, -0.00844526, -0.00636395, -0.00357008, -0.00181231, -0.00150457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.514024, 0.591417, 0.641813, 0.723641, 0.807973, 0.925908, 1.09002, 1.10179, 1.12534, 1.16369, 1.21589, 1.22584, 1.29353, 1.33436, 1.35978, 1.40276, 1.4325, 1.46411, 1.5061, 1.54393, 1.59438, 1.63663, 1.65582, 1.69421, 1.75016, 1.80611, 1.86207, 1.91802, 2.02992, 2.14183");
-            values ( \
-              "-0.0152574, -0.0196619, -0.0279966, -0.0432543, -0.0579633, -0.0774575, -0.10336, -0.105554, -0.108866, -0.111984, -0.107094, -0.104868, -0.0801785, -0.0662582, -0.0579017, -0.0457081, -0.038371, -0.0315774, -0.0242791, -0.0189989, -0.0135427, -0.0102038, -0.00893442, -0.00688487, -0.00465445, -0.00316171, -0.00212887, -0.00144887, -0.000667757, -0.00031165" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00176344, 0.00176985, 0.00177548, 0.00177974, 0.00178264, 0.00178447", \
-            "0.00196833, 0.0019727, 0.00197715, 0.00198096, 0.00198386, 0.00198579", \
-            "0.00207775, 0.00207927, 0.00208143, 0.00208383, 0.00208595, 0.00208756", \
-            "0.00213658, 0.00213789, 0.00213835, 0.00213917, 0.00214024, 0.0021413", \
-            "0.00217178, 0.00217186, 0.00217213, 0.00217229, 0.00217256, 0.00217298", \
-            "0.00220193, 0.00220203, 0.00220216, 0.00220229, 0.00220242, 0.00220256" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00232503, 0.00232669, 0.00232744, 0.0023287, 0.00232975, 0.0023303", \
-            "0.00244064, 0.00244144, 0.0024413, 0.00244039, 0.00243912, 0.00243887", \
-            "0.00249797, 0.00250045, 0.0025016, 0.00250167, 0.0025011, 0.00250036", \
-            "0.00257039, 0.00256698, 0.00256528, 0.00256375, 0.00256239, 0.00256122", \
-            "0.00274392, 0.00269058, 0.00264802, 0.00261814, 0.00259863, 0.00258581", \
-            "0.0031757, 0.00299423, 0.00281541, 0.00270986, 0.0026431, 0.00260131" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(A0 & ~A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A0 * !A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0515769, 0.0705029, 0.103228, 0.160433, 0.261114, 0.438956", \
-            "0.0546112, 0.0736741, 0.106658, 0.164153, 0.265097, 0.443128", \
-            "0.0649799, 0.0837469, 0.116724, 0.174069, 0.275312, 0.453591", \
-            "0.0932095, 0.112028, 0.143936, 0.201054, 0.302104, 0.480497", \
-            "0.140451, 0.168991, 0.210589, 0.269932, 0.369404, 0.546845", \
-            "0.220441, 0.261257, 0.322335, 0.410738, 0.534826, 0.713362" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0618036, 0.0877559, 0.133228, 0.213234, 0.354705, 0.605038", \
-            "0.0614833, 0.0876574, 0.133202, 0.213306, 0.354827, 0.605038", \
-            "0.0607336, 0.0869688, 0.133076, 0.213228, 0.354753, 0.605038", \
-            "0.072437, 0.0933873, 0.134293, 0.212886, 0.354757, 0.605038", \
-            "0.104295, 0.129113, 0.164487, 0.228946, 0.357593, 0.605057", \
-            "0.154413, 0.189535, 0.241126, 0.314605, 0.423066, 0.632181" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.025892, 0.0332645, 0.0460411, 0.0684332, 0.107902, 0.177629", \
-            "0.0297016, 0.0371819, 0.0500876, 0.072584, 0.112126, 0.181925", \
-            "0.0387, 0.0467964, 0.0597907, 0.0824243, 0.122084, 0.191965", \
-            "0.0492562, 0.0618974, 0.0804193, 0.105646, 0.145664, 0.215524", \
-            "0.0544541, 0.0744068, 0.103373, 0.143848, 0.199197, 0.274515", \
-            "0.0412196, 0.0709927, 0.11462, 0.176608, 0.262744, 0.379552" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0201511, 0.0295142, 0.0461273, 0.0755614, 0.127666, 0.219907", \
-            "0.0201312, 0.0295092, 0.0461389, 0.0755609, 0.127697, 0.219901", \
-            "0.0244612, 0.0319934, 0.046888, 0.0756444, 0.127668, 0.220166", \
-            "0.0396328, 0.0487149, 0.0615414, 0.0836627, 0.129959, 0.21991", \
-            "0.0651846, 0.0787961, 0.0983602, 0.125885, 0.164344, 0.23711", \
-            "0.109716, 0.129794, 0.159289, 0.201203, 0.259132, 0.337937" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0218189, 0.0232159, 0.0282288, 0.0352207, 0.0382035, 0.0427711, 0.050411, 0.0513438, 0.0591236, 0.0672208, 0.079431, 0.0836663, 0.0885065, 0.0958087, 0.104894, 0.112782, 0.123215, 0.131784, 0.143209, 0.151789, 0.158906, 0.168395, 0.184239, 0.202596, 0.217204, 0.246421, 0.27274");
-            values ( \
-              "0.0462203, 0.0654026, 0.0582907, 0.0528877, 0.0519396, 0.0499071, 0.0479689, 0.0474842, 0.044843, 0.0398843, 0.0286465, 0.0256005, 0.0226217, 0.018951, 0.0153588, 0.0127853, 0.0100221, 0.00820104, 0.00623622, 0.00507427, 0.00427, 0.0033818, 0.00227873, 0.00144522, 0.000998906, 0.000465197, 0.000266824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0222595, 0.0244634, 0.0315786, 0.0355214, 0.0428218, 0.0503659, 0.0658455, 0.0776978, 0.0807263, 0.0915117, 0.105315, 0.112691, 0.128952, 0.141057, 0.154891, 0.168119, 0.184871, 0.199583, 0.213746, 0.232629, 0.260373, 0.28, 0.319254, 0.344095");
-            values ( \
-              "0.048091, 0.0769645, 0.067577, 0.0652192, 0.0623628, 0.0605115, 0.0575011, 0.0546077, 0.0534959, 0.0478295, 0.0379295, 0.0335919, 0.0255306, 0.020867, 0.016397, 0.012979, 0.00955064, 0.00724382, 0.00553354, 0.00383073, 0.00222578, 0.00150609, 0.000663507, 0.000451028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.021688, 0.0259998, 0.0304887, 0.0346452, 0.0463615, 0.0917468, 0.114789, 0.131509, 0.152738, 0.174032, 0.199531, 0.213732, 0.2355, 0.255322, 0.269155, 0.291986, 0.319902, 0.34554, 0.372898, 0.436083, 0.478361");
-            values ( \
-              "0.0287092, 0.0844109, 0.0783752, 0.0751208, 0.070997, 0.0653861, 0.0610948, 0.0553313, 0.0452326, 0.0364111, 0.0274771, 0.0232579, 0.0177893, 0.0138237, 0.011515, 0.00846444, 0.00575632, 0.00401096, 0.00272404, 0.00108172, 0.000651348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0237595, 0.0237795, 0.0616329, 0.0778747, 0.101803, 0.106942, 0.117219, 0.136911, 0.168108, 0.182756, 0.205675, 0.261084, 0.286838, 0.318216, 0.354077, 0.37705, 0.41087, 0.438829, 0.462351, 0.489206, 0.527305, 0.551772, 0.597345, 0.65811, 0.733567, 0.884482");
-            values ( \
-              "1e-22, 0.0867732, 0.0761311, 0.0755003, 0.073326, 0.0733965, 0.0721629, 0.0709455, 0.0674184, 0.0650478, 0.0597364, 0.0437594, 0.0369531, 0.0294759, 0.0222357, 0.0184055, 0.0137566, 0.0107293, 0.00867197, 0.00676213, 0.00472871, 0.00374953, 0.00242552, 0.00133735, 0.000620491, 0.000131942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0262693, 0.0262893, 0.0940485, 0.116561, 0.178773, 0.260884, 0.315926, 0.533052, 0.612341, 0.663632, 0.707965, 0.787824, 0.848584, 0.96038, 1.11129, 1.12326");
-            values ( \
-              "1e-22, 0.0929841, 0.079692, 0.0790705, 0.0761393, 0.071522, 0.0658648, 0.029844, 0.0200472, 0.0152236, 0.0118999, 0.00752872, 0.00526193, 0.00269739, 0.00106769, 0.00103186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0303175, 0.0303375, 0.143355, 0.356533, 0.446892, 0.543038, 0.652481, 0.790696, 0.913859, 1.03855, 1.17503, 1.26431, 1.40454, 1.53211, 1.68302, 1.83394, 2.28668");
-            values ( \
-              "1e-22, 0.0965721, 0.0823118, 0.0765302, 0.0731939, 0.0670947, 0.0564704, 0.0414803, 0.0299982, 0.0203397, 0.0129582, 0.00952288, 0.00577118, 0.00361707, 0.00204045, 0.00112381, 0.000116007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.036411, 0.0377978, 0.0387891, 0.0396231, 0.0411034, 0.0451339, 0.0483201, 0.0510941, 0.0566023, 0.0724687, 0.0750742, 0.0820771, 0.0901275, 0.0937609, 0.101121, 0.109303, 0.119824, 0.131849, 0.138092, 0.148826, 0.161094, 0.166675, 0.176457, 0.186182, 0.199812, 0.216713, 0.239248, 0.278665, 0.325108");
-            values ( \
-              "0.0512806, 0.0610365, 0.0647453, 0.0656803, 0.0649407, 0.0595677, 0.0560858, 0.0538866, 0.0508781, 0.045104, 0.043807, 0.038726, 0.0310719, 0.0281921, 0.0232491, 0.0190224, 0.0149249, 0.0112582, 0.0097614, 0.00757929, 0.00563508, 0.00493309, 0.00388475, 0.00306109, 0.00217721, 0.0014281, 0.000804148, 0.000270082, 7.3863e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0363882, 0.0390969, 0.0396593, 0.041707, 0.0463327, 0.0503855, 0.0566737, 0.0645014, 0.0796104, 0.0914523, 0.0980415, 0.105274, 0.119082, 0.126436, 0.131859, 0.142705, 0.147908, 0.154845, 0.168719, 0.181873, 0.1986, 0.213321, 0.227504, 0.246416, 0.259396, 0.274064, 0.293622, 0.332736, 0.387209, 0.453903");
-            values ( \
-              "0.0230107, 0.0789339, 0.0795513, 0.0779243, 0.0710327, 0.0668648, 0.0631483, 0.0606976, 0.0575308, 0.0546125, 0.0519625, 0.0478311, 0.0379249, 0.0336019, 0.0306598, 0.0255342, 0.0234471, 0.0208583, 0.0163778, 0.0129809, 0.00955628, 0.00724751, 0.00553422, 0.00382857, 0.00297249, 0.00222921, 0.00151009, 0.00066786, 0.000198389, 4.08048e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0371846, 0.0408281, 0.04843, 0.0538536, 0.0600835, 0.0697507, 0.105374, 0.118497, 0.12496, 0.134687, 0.145612, 0.166866, 0.177066, 0.188062, 0.201173, 0.21368, 0.227973, 0.24962, 0.269238, 0.283126, 0.306055, 0.315882, 0.334017, 0.359627, 0.38688, 0.407848, 0.449786, 0.524242, 0.599699");
-            values ( \
-              "0.0440188, 0.0899027, 0.0786633, 0.0743858, 0.0718764, 0.0698577, 0.0653466, 0.0632916, 0.062013, 0.0594407, 0.0553076, 0.0452261, 0.040824, 0.0364286, 0.0315586, 0.0274584, 0.0232129, 0.0177836, 0.0138526, 0.0115341, 0.00846774, 0.00740485, 0.00575548, 0.00400938, 0.00272667, 0.00201678, 0.00108659, 0.000326939, 9.77479e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0395362, 0.0395562, 0.0886452, 0.143645, 0.166285, 0.182383, 0.21395, 0.284854, 0.346666, 0.402323, 0.452275, 0.504694, 0.542303, 0.591056, 0.656061, 0.71669");
-            values ( \
-              "1e-22, 0.0895327, 0.0754752, 0.0714116, 0.0693124, 0.0673797, 0.0614976, 0.0411315, 0.0264702, 0.0167854, 0.0108128, 0.00669648, 0.00469687, 0.00295463, 0.00155703, 0.000889832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0411629, 0.0411829, 0.102394, 0.131248, 0.182327, 0.230863, 0.27095, 0.30837, 0.339544, 0.404161, 0.481708, 0.546966, 0.599961, 0.627754, 0.679498, 0.72316, 0.75894, 0.802127, 0.86309, 0.927155, 0.976328, 1.05179, 1.12724, 1.27816, 1.42907");
-            values ( \
-              "1e-22, 0.0945095, 0.0800636, 0.0789559, 0.0766639, 0.0742497, 0.071844, 0.0685862, 0.0645846, 0.0537112, 0.0400881, 0.0299584, 0.0230708, 0.019987, 0.015139, 0.0118711, 0.00969343, 0.00755404, 0.00526832, 0.00360279, 0.00268128, 0.00168838, 0.00106274, 0.000418686, 0.00016482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.0452363, 0.0452563, 0.153902, 0.275175, 0.371171, 0.441624, 0.501341, 0.557677, 0.633134, 0.805333, 0.928495, 1.00174, 1.05318, 1.1142, 1.18966, 1.27894, 1.3437, 1.41916, 1.54671, 1.69762, 1.84854, 1.99945, 2.30128");
-            values ( \
-              "1e-22, 0.0975906, 0.0822966, 0.0792046, 0.0764178, 0.0739208, 0.0709814, 0.0669829, 0.0598377, 0.0415915, 0.0298883, 0.0239963, 0.0204495, 0.0168326, 0.0130676, 0.0096322, 0.00771443, 0.00588007, 0.00372493, 0.00214792, 0.00123098, 0.000701575, 0.000222578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0552792, 0.076493, 0.0821293, 0.0857389, 0.087418, 0.0886286, 0.090209, 0.091604, 0.0930017, 0.0955285, 0.100478, 0.108679, 0.11146, 0.11702, 0.122883, 0.128667, 0.134686, 0.141564, 0.14779, 0.155471, 0.165712, 0.173459, 0.179625, 0.187845, 0.199942, 0.211801, 0.227613, 0.23693, 0.247633, 0.261904, 0.290445, 0.332914, 0.384451");
-            values ( \
-              "4.65616e-05, 0.0366367, 0.0433356, 0.0473213, 0.0489152, 0.054047, 0.0563011, 0.0558025, 0.0548688, 0.0527826, 0.0494951, 0.045393, 0.0438115, 0.0396725, 0.0339698, 0.0287849, 0.0245763, 0.0206881, 0.0178853, 0.0149577, 0.0117836, 0.00984267, 0.00851633, 0.00701237, 0.00522581, 0.0039168, 0.00265335, 0.00209768, 0.00160657, 0.00113064, 0.000528413, 0.000167744, 3.00656e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0627182, 0.0687943, 0.0744851, 0.0873766, 0.089288, 0.0921427, 0.0969519, 0.103564, 0.107921, 0.126868, 0.134835, 0.140783, 0.158305, 0.165091, 0.174139, 0.189055, 0.199507, 0.213505, 0.225309, 0.234405, 0.249233, 0.262192, 0.27947, 0.300733, 0.313999, 0.340529, 0.390209, 0.448196");
-            values ( \
-              "0.0141353, 0.0312215, 0.0414994, 0.0605526, 0.0672042, 0.0693293, 0.0649112, 0.0612617, 0.0597609, 0.0546806, 0.0513373, 0.0478018, 0.0357497, 0.0318551, 0.0273478, 0.0213245, 0.0178073, 0.0139014, 0.0112441, 0.00950728, 0.00719134, 0.00562252, 0.00401973, 0.0026533, 0.00204303, 0.0011958, 0.000407019, 0.000109569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0569025, 0.0863086, 0.087438, 0.0884096, 0.0892399, 0.0903297, 0.0925092, 0.0981207, 0.101612, 0.105406, 0.112994, 0.123024, 0.141354, 0.146115, 0.155637, 0.160471, 0.170139, 0.181123, 0.202373, 0.212583, 0.223576, 0.236683, 0.249183, 0.263469, 0.285124, 0.29701, 0.304754, 0.318637, 0.336647, 0.341556, 0.351375, 0.369509, 0.395115, 0.411876, 0.422355, 0.443314, 0.485231, 0.559771, 0.635228");
-            values ( \
-              "0.000624589, 0.0676187, 0.0694758, 0.0759766, 0.0788002, 0.0799003, 0.0791767, 0.0744085, 0.0724495, 0.0710322, 0.0692063, 0.0677052, 0.0652774, 0.0645838, 0.0630008, 0.0620096, 0.0594626, 0.0553066, 0.0452305, 0.0408227, 0.0364275, 0.0315599, 0.0274605, 0.0232183, 0.0177847, 0.0153043, 0.0138546, 0.0115316, 0.00905581, 0.00846616, 0.00740979, 0.00575409, 0.00401381, 0.0031608, 0.00273161, 0.00201459, 0.00109125, 0.000323136, 0.000101261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.062551, 0.0767272, 0.0873245, 0.0901946, 0.0920521, 0.0995109, 0.104949, 0.11402, 0.125795, 0.167742, 0.186511, 0.216677, 0.232156, 0.248666, 0.256086, 0.299194, 0.337911, 0.379565, 0.411807, 0.428789, 0.461041, 0.488625, 0.511593, 0.53857, 0.576756, 0.616749, 0.647424, 0.708774, 0.784232, 0.935146");
-            values ( \
-              "0.00538542, 0.0555023, 0.0757693, 0.0873381, 0.0867963, 0.0806975, 0.0784013, 0.076536, 0.0754235, 0.0723084, 0.0707293, 0.0675854, 0.0650783, 0.0614823, 0.0595247, 0.0470336, 0.036574, 0.0269498, 0.020819, 0.0180831, 0.013694, 0.0107108, 0.0087001, 0.00678179, 0.0047337, 0.00324443, 0.00241781, 0.00132052, 0.000616755, 0.000133338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0626173, 0.0826812, 0.0873804, 0.0888047, 0.091062, 0.0992699, 0.105456, 0.114541, 0.128238, 0.207641, 0.266487, 0.31733, 0.343085, 0.370557, 0.384136, 0.40224, 0.506614, 0.553142, 0.588842, 0.63739, 0.674992, 0.725127, 0.759962, 0.788016, 0.825422, 0.89388, 0.925295, 0.972673, 1.03584, 1.1113, 1.18676, 1.26221, 1.41313");
-            values ( \
-              "0.00527856, 0.0708834, 0.0801073, 0.0883462, 0.0925763, 0.0856255, 0.0830365, 0.0813921, 0.0805228, 0.0771557, 0.0742326, 0.0710951, 0.0686685, 0.0652574, 0.0632177, 0.0602446, 0.0418004, 0.0343395, 0.0290736, 0.0228549, 0.0187946, 0.0143074, 0.011789, 0.010058, 0.00810794, 0.00541292, 0.00449603, 0.00339027, 0.00231139, 0.00145591, 0.000914129, 0.000573972, 0.000225529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.0626415, 0.0901487, 0.0922724, 0.103273, 0.111306, 0.116489, 0.13722, 0.320003, 0.407116, 0.477619, 0.537186, 0.593621, 0.669079, 0.863437, 0.964438, 1.03768, 1.08912, 1.15014, 1.2256, 1.25536, 1.31487, 1.37963, 1.45509, 1.53636, 1.65807, 1.80899, 1.9599, 2.11082, 2.33719");
-            values ( \
-              "0.0040478, 0.0942528, 0.0944399, 0.0866911, 0.084789, 0.0843315, 0.0835228, 0.0789471, 0.0763961, 0.0739405, 0.071008, 0.0669629, 0.0598579, 0.0393528, 0.0298714, 0.0240137, 0.0204659, 0.0168169, 0.0130837, 0.0118343, 0.00964807, 0.00769964, 0.00589546, 0.00441372, 0.00284416, 0.00163984, 0.000942275, 0.00054035, 0.000234837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.112791, 0.125825, 0.132674, 0.147902, 0.16418, 0.177034, 0.188164, 0.198347, 0.208459, 0.210299, 0.212296, 0.22445, 0.232703, 0.240055, 0.252491, 0.262807, 0.271234, 0.282471, 0.293669, 0.308194, 0.323827, 0.341773, 0.355984, 0.384407, 0.40973");
-            values ( \
-              "0.00361961, 0.00831991, 0.0115247, 0.0201823, 0.0276856, 0.0328871, 0.0369495, 0.0392746, 0.0378605, 0.0355885, 0.0363587, 0.0252837, 0.0202248, 0.0170463, 0.0127489, 0.0100126, 0.00821987, 0.00628563, 0.00480036, 0.00336546, 0.00227461, 0.00145636, 0.00102239, 0.000482443, 0.000286419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.113198, 0.130271, 0.139191, 0.14256, 0.156215, 0.175886, 0.191407, 0.199585, 0.2049, 0.2103, 0.213324, 0.217169, 0.228383, 0.241756, 0.255662, 0.269357, 0.283594, 0.300493, 0.308985, 0.322688, 0.338221, 0.342929, 0.352344, 0.371176, 0.389129, 0.398943, 0.41857, 0.457826, 0.512163, 0.578939");
-            values ( \
-              "0.00313865, 0.0129916, 0.0184546, 0.0209738, 0.0295064, 0.0405268, 0.0482538, 0.0517264, 0.0535607, 0.0552101, 0.0575139, 0.0568738, 0.0495648, 0.0396174, 0.0311374, 0.0248186, 0.0195044, 0.0145166, 0.0124633, 0.00969769, 0.00725381, 0.00662402, 0.00554794, 0.003832, 0.0026976, 0.00223737, 0.00150156, 0.000672106, 0.000191218, 4.77311e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.113973, 0.136682, 0.151454, 0.168339, 0.192845, 0.210332, 0.212231, 0.216028, 0.221481, 0.225618, 0.230078, 0.23854, 0.249138, 0.264265, 0.269824, 0.280625, 0.292922, 0.294431, 0.297448, 0.303481, 0.311758, 0.325434, 0.327117, 0.330483, 0.337215, 0.348954, 0.372431, 0.378524, 0.37981, 0.382381, 0.387524, 0.397528, 0.417536, 0.427644, 0.429983, 0.43466, 0.444013, 0.4613, 0.485371, 0.495371, 0.515369, 0.555366, 0.622178, 0.632056");
-            values ( \
-              "0.00199868, 0.0194678, 0.0302687, 0.0419211, 0.0573943, 0.0667943, 0.0720085, 0.0695529, 0.0687466, 0.0659008, 0.0665396, 0.0633312, 0.0628464, 0.0568506, 0.0560249, 0.0495375, 0.0451883, 0.04311, 0.0432037, 0.0392287, 0.0372931, 0.0308432, 0.0316568, 0.0291658, 0.0283256, 0.0234454, 0.0187428, 0.0160945, 0.0171463, 0.0153043, 0.0155968, 0.0124681, 0.0106988, 0.00811564, 0.00914237, 0.00732098, 0.00765618, 0.00486402, 0.00456872, 0.00275972, 0.00320574, 0.000777194, 0.00114497, 0.000995091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.127151, 0.145164, 0.185187, 0.21334, 0.216527, 0.227225, 0.233599, 0.275187, 0.30541, 0.320036, 0.348417, 0.412075, 0.464858, 0.518847, 0.551825, 0.578121, 0.619391, 0.662337, 0.711996, 0.751825, 0.827283, 0.853503");
-            values ( \
-              "0.0202302, 0.0277059, 0.0580899, 0.0782544, 0.0788287, 0.0752877, 0.0743375, 0.0707392, 0.0675673, 0.0652266, 0.0585213, 0.040235, 0.0276749, 0.0178737, 0.0134447, 0.0106322, 0.00729813, 0.00486701, 0.00304301, 0.00207402, 0.000970286, 0.000790855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.127215, 0.212597, 0.215858, 0.223748, 0.229085, 0.239759, 0.304298, 0.371421, 0.407414, 0.444104, 0.502508, 0.610061, 0.670263, 0.723734, 0.770173, 0.803788, 0.859002, 0.925849, 0.98688, 1.05121, 1.10062, 1.17607, 1.25153, 1.33788");
-            values ( \
-              "0.0146801, 0.0835922, 0.0846178, 0.0815813, 0.0806195, 0.0796738, 0.0767457, 0.0733181, 0.0709021, 0.0672555, 0.0582185, 0.0393789, 0.0300585, 0.023079, 0.0181217, 0.0151091, 0.0111049, 0.00756346, 0.00527219, 0.00359939, 0.00267601, 0.00168401, 0.00106101, 0.000693302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.127048, 0.172274, 0.214379, 0.219982, 0.22495, 0.241602, 0.408173, 0.495286, 0.565783, 0.625368, 0.681791, 0.757249, 0.951607, 1.05261, 1.12585, 1.17729, 1.23831, 1.34352, 1.40303, 1.46779, 1.54325, 1.62452, 1.74622, 1.89714, 2.04805, 2.19897, 2.42534");
-            values ( \
-              "0.0122495, 0.0533481, 0.086923, 0.0867373, 0.0846212, 0.0832065, 0.0789568, 0.0763884, 0.0739482, 0.0710142, 0.0669561, 0.0598649, 0.0393457, 0.0298641, 0.0240215, 0.0204739, 0.0168094, 0.0118267, 0.00965622, 0.00769204, 0.00590355, 0.00440611, 0.00283654, 0.00163216, 0.000934577, 0.000532662, 0.000242516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.227086, 0.266073, 0.280932, 0.290889, 0.324654, 0.338671, 0.359246, 0.377101, 0.393356, 0.408628, 0.423924, 0.439142, 0.453693, 0.465926, 0.484058, 0.505725, 0.510914, 0.515164, 0.531124, 0.543619, 0.563622, 0.578764, 0.609049, 0.628011");
-            values ( \
-              "0.000811014, 0.00318057, 0.00490016, 0.0066091, 0.0146653, 0.0172939, 0.020539, 0.0229989, 0.0248491, 0.0260394, 0.0249782, 0.0174459, 0.0125192, 0.00948819, 0.00636828, 0.00388697, 0.0047867, 0.00476416, 0.00321555, 0.0023619, 0.00143427, 0.000981146, 0.000439999, 0.000306204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.226759, 0.271978, 0.283171, 0.297307, 0.323228, 0.334653, 0.35543, 0.380556, 0.402273, 0.421908, 0.440383, 0.458866, 0.460585, 0.467464, 0.474627, 0.483289, 0.491839, 0.505729, 0.51036, 0.516179, 0.525238, 0.535227, 0.553377, 0.56464, 0.571396, 0.583878, 0.601281, 0.608535, 0.623043, 0.652058, 0.702121, 0.761062");
-            values ( \
-              "0.000839535, 0.00525415, 0.00706669, 0.0101642, 0.0176304, 0.0204376, 0.0249495, 0.0298151, 0.0336383, 0.0364674, 0.0380468, 0.0342349, 0.032934, 0.0293288, 0.025967, 0.0224946, 0.019598, 0.0155767, 0.0161739, 0.015351, 0.012978, 0.0108321, 0.00769923, 0.00622918, 0.00548011, 0.00430106, 0.00306307, 0.00265391, 0.00199724, 0.001105, 0.000375098, 9.53709e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.252816, 0.293075, 0.344842, 0.39846, 0.438901, 0.463343, 0.486799, 0.505553, 0.512311, 0.523803, 0.532661, 0.561598, 0.593389, 0.59768, 0.606262, 0.621478, 0.641666, 0.647943, 0.660498, 0.685608, 0.704609, 0.711665, 0.725776, 0.753999, 0.807818, 0.882581, 0.958038");
-            values ( \
-              "0.00701685, 0.0112305, 0.0263508, 0.0393692, 0.0479076, 0.0517913, 0.0522592, 0.0450304, 0.0452233, 0.0382236, 0.0358625, 0.0252744, 0.0170489, 0.0168178, 0.0144346, 0.0124283, 0.00889641, 0.0087954, 0.00681499, 0.00533672, 0.00352442, 0.00378741, 0.00253531, 0.00220074, 0.000531703, 0.000557812, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.252976, 0.293078, 0.365325, 0.416889, 0.456847, 0.491303, 0.505713, 0.513159, 0.535784, 0.5563, 0.627933, 0.674214, 0.731221, 0.764544, 0.793071, 0.844317, 0.88219, 0.950666, 1.00997, 1.0691");
-            values ( \
-              "0.00848217, 0.0130351, 0.034798, 0.0489442, 0.0589979, 0.066269, 0.0687275, 0.0694448, 0.065401, 0.060796, 0.040505, 0.0291709, 0.0184863, 0.0138801, 0.0107671, 0.00673736, 0.00471618, 0.00246037, 0.00137292, 0.000799723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.284356, 0.337285, 0.385089, 0.432775, 0.486444, 0.50555, 0.51195, 0.519156, 0.526812, 0.55569, 0.56967, 0.595262, 0.622216, 0.675371, 0.696327, 0.717788, 0.793034, 0.82492, 0.851256, 0.886369, 0.938911, 0.966309, 0.983911, 1.01793, 1.0618, 1.07387, 1.09799, 1.14108, 1.20196, 1.22903, 1.2657, 1.31459, 1.39005, 1.46551, 1.54097, 1.61642, 1.76734");
-            values ( \
-              "0.0246501, 0.0280639, 0.0430391, 0.0573199, 0.0721356, 0.0768721, 0.078131, 0.0776161, 0.0764951, 0.0749366, 0.0742742, 0.0727578, 0.0709295, 0.0651078, 0.0617984, 0.0581301, 0.0447317, 0.0393713, 0.0351236, 0.0298897, 0.0230383, 0.0200116, 0.0182339, 0.0151689, 0.011883, 0.0111042, 0.0096803, 0.00754679, 0.00526718, 0.00448818, 0.00360852, 0.00268993, 0.00169407, 0.00106614, 0.000667934, 0.000419988, 0.0001653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.284145, 0.334185, 0.449521, 0.505238, 0.515397, 0.537661, 0.56014, 0.584946, 0.622086, 0.69377, 0.709091, 0.799425, 0.874882, 0.937419, 1.00481, 1.16589, 1.21115, 1.28661, 1.35684, 1.43799, 1.51345, 1.5722, 1.60253, 1.66321, 1.73866, 1.79796, 1.8466, 1.91144, 1.9869, 2.06236, 2.13782, 2.28873, 2.43965, 2.66602");
-            values ( \
-              "0.0258504, 0.0281781, 0.0652398, 0.0815299, 0.0826779, 0.0810341, 0.0806837, 0.0798532, 0.0790068, 0.0768177, 0.0764379, 0.073114, 0.0686079, 0.0632154, 0.0563216, 0.0392885, 0.0348881, 0.0281432, 0.0227721, 0.0176014, 0.0137308, 0.0112501, 0.0101464, 0.00821498, 0.00630051, 0.00509869, 0.00428681, 0.00339505, 0.00258043, 0.0019607, 0.00148681, 0.000854199, 0.000490031, 0.000212044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.51921, 0.598192, 0.625737, 0.6477, 0.657161, 0.676082, 0.697665, 0.715926, 0.744593, 0.776227, 0.803509, 0.828371, 0.851901, 0.874828, 0.897729, 0.917705, 0.931671, 0.945901, 0.956173, 0.969805, 0.982745, 0.997121, 1.01907, 1.04254, 1.06051, 1.09645, 1.15587, 1.21584, 1.23962, 1.30289, 1.36398");
-            values ( \
-              "0.000739752, 0.00123044, 0.0018481, 0.00256746, 0.0029991, 0.00413389, 0.0061107, 0.00813839, 0.0110153, 0.0134887, 0.0150774, 0.0161628, 0.0168672, 0.017103, 0.0151285, 0.0102262, 0.007794, 0.00597999, 0.00488439, 0.00371299, 0.0028477, 0.00209916, 0.00129963, 0.000778101, 0.00052245, 0.000226062, 5.46894e-05, 1.70506e-05, 0.000487542, 6.82322e-05, 4.26325e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.519043, 0.606159, 0.640939, 0.665304, 0.699996, 0.744908, 0.766983, 0.805585, 0.83898, 0.86951, 0.89822, 0.926159, 0.95404, 0.971754, 1.00737, 1.03292, 1.06993, 1.09772, 1.11232, 1.13389, 1.16264, 1.21615, 1.23928, 1.27424");
-            values ( \
-              "0.00102322, 0.00213925, 0.003413, 0.0047994, 0.00810303, 0.0138384, 0.0161873, 0.0194987, 0.0217123, 0.0233811, 0.0245223, 0.024848, 0.0208193, 0.01617, 0.00976979, 0.00654844, 0.00352872, 0.00215353, 0.00165448, 0.00111865, 0.000659615, 0.000223511, 0.000867898, 0.000495525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.518427, 0.617986, 0.63746, 0.672176, 0.705808, 0.752342, 0.782257, 0.800572, 0.849389, 0.891928, 0.930382, 0.966329, 1.00162, 1.06173, 1.09862, 1.13119, 1.15519, 1.18474, 1.21592, 1.22711, 1.24089, 1.25753, 1.27655, 1.31458, 1.38797, 1.46342");
-            values ( \
-              "0.00126556, 0.00363172, 0.00459283, 0.00699782, 0.0106821, 0.0172345, 0.0207957, 0.0226949, 0.0270737, 0.0303759, 0.0329449, 0.0347582, 0.0344717, 0.0217514, 0.0148763, 0.0102247, 0.00758928, 0.00519495, 0.00342369, 0.00397801, 0.00361316, 0.00278494, 0.00211863, 0.00121376, 0.000373639, 0.000111434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.518046, 0.636078, 0.691969, 0.707178, 0.770071, 0.825953, 0.903567, 0.969524, 1.0187, 1.06457, 1.11048, 1.16645, 1.2158, 1.22455, 1.2733, 1.30428, 1.32547, 1.35044, 1.38373, 1.41208, 1.44086, 1.47924, 1.5547, 1.63015, 1.70561, 1.78107");
-            values ( \
-              "0.00131551, 0.00599492, 0.0107968, 0.0126773, 0.0216407, 0.028207, 0.0360485, 0.0420384, 0.0458296, 0.0481607, 0.0447835, 0.0332024, 0.0238214, 0.0234735, 0.0159266, 0.012133, 0.0100361, 0.00799399, 0.00586306, 0.00449039, 0.00341982, 0.00236517, 0.00110896, 0.000518, 0.000239977, 0.000112874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.593408, 0.712606, 0.799062, 0.864807, 0.927139, 1.0026, 1.04932, 1.07944, 1.14299, 1.20357, 1.21587, 1.22824, 1.30929, 1.3505, 1.3808, 1.41824, 1.46597, 1.50339, 1.55329, 1.59781, 1.61664, 1.6543, 1.72251, 1.75381, 1.80086, 1.8636, 1.93906, 2.01451, 2.08997, 2.24089");
-            values ( \
-              "0.00809975, 0.0150397, 0.0270146, 0.0349425, 0.0420517, 0.0502147, 0.0549304, 0.0577255, 0.0627294, 0.063275, 0.0617794, 0.0608841, 0.0463508, 0.0393139, 0.0344569, 0.0289663, 0.0228354, 0.0187972, 0.0143459, 0.0111887, 0.0100475, 0.00809599, 0.00540548, 0.00449464, 0.00339623, 0.0023235, 0.00146202, 0.000919366, 0.000576054, 0.000226117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.607862, 0.699163, 0.835837, 1.0323, 1.13884, 1.22293, 1.23873, 1.32114, 1.39111, 1.41739, 1.46711, 1.73247, 1.86489, 1.96018, 2.03564, 2.09365, 2.18332, 2.25878, 2.36894, 2.51084, 2.66176, 2.81267, 2.84845");
-            values ( \
-              "0.0146794, 0.0149382, 0.0330556, 0.0572841, 0.0691909, 0.0772444, 0.0762016, 0.0730764, 0.0690304, 0.0669354, 0.0624498, 0.0349172, 0.0237699, 0.0175735, 0.0137041, 0.0112589, 0.00826021, 0.00633478, 0.00427632, 0.00255879, 0.00147406, 0.000846619, 0.000786328" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00188761, 0.00192445, 0.00195575, 0.00197907, 0.00199495, 0.00200499", \
-            "0.00218287, 0.00222525, 0.00226617, 0.00229984, 0.00232408, 0.00233991", \
-            "0.00238711, 0.00240974, 0.00243765, 0.00246483, 0.00248726, 0.00250351", \
-            "0.00258129, 0.00257844, 0.00257273, 0.00257629, 0.00258237, 0.00258873", \
-            "0.00276157, 0.00273235, 0.00270085, 0.00267348, 0.00265377, 0.00264304", \
-            "0.00290656, 0.00285499, 0.0028099, 0.00277159, 0.00273583, 0.00270395" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00248145, 0.00251078, 0.00253676, 0.00255596, 0.00256643, 0.00257173", \
-            "0.00266225, 0.00260985, 0.00256209, 0.00253143, 0.0025156, 0.00250679", \
-            "0.00280495, 0.0026812, 0.00257298, 0.00248855, 0.00242823, 0.0023902", \
-            "0.0031702, 0.002911, 0.00270263, 0.00254362, 0.00243482, 0.00236499", \
-            "0.00332722, 0.00334921, 0.0031437, 0.00281141, 0.0025898, 0.00244541", \
-            "0.0031022, 0.00318804, 0.00326288, 0.00329502, 0.00300866, 0.00269233" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0174491, 0.0191964, 0.021157, 0.027215, 0.0322189, 0.0359114, 0.0383499, 0.0402384, 0.0438345, 0.0516805, 0.0552955, 0.0589415, 0.0629143, 0.0701942, 0.0724624");
-            values ( \
-              "-0.0277775, -0.128462, -0.129277, -0.12725, -0.123124, -0.117504, -0.111334, -0.105091, -0.0872676, -0.0406838, -0.02657, -0.0168697, -0.0100761, -0.00374543, -0.00299953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0181329, 0.0192958, 0.0223689, 0.0316478, 0.0408184, 0.0456336, 0.0496156, 0.0531309, 0.0667155, 0.0717957, 0.0778243, 0.0837615, 0.094342, 0.100557");
-            values ( \
-              "-0.0801368, -0.157279, -0.157306, -0.153634, -0.146283, -0.1393, -0.130592, -0.119331, -0.0522684, -0.0348007, -0.0208379, -0.0122747, -0.00456854, -0.00284931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0176114, 0.0200054, 0.0207225, 0.0322958, 0.041729, 0.0499062, 0.0536039, 0.0609245, 0.0678854, 0.0718146, 0.0770535, 0.0868684, 0.0942012, 0.103042, 0.107558, 0.113579, 0.118391, 0.123667, 0.130702, 0.144772, 0.163885, 0.187398");
-            values ( \
-              "-0.0242232, -0.17975, -0.179763, -0.176649, -0.172585, -0.167847, -0.165133, -0.157948, -0.147237, -0.138489, -0.121517, -0.0830299, -0.0588325, -0.0372383, -0.0291426, -0.020779, -0.0157799, -0.0116327, -0.00767249, -0.0031704, -0.00087351, -0.000152654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0176254, 0.0210131, 0.0354233, 0.0523137, 0.0699304, 0.0760003, 0.0880145, 0.0992983, 0.106049, 0.11505, 0.136196, 0.144723, 0.150293, 0.162267, 0.168649, 0.180101, 0.193099, 0.20348, 0.224244, 0.238886");
-            values ( \
-              "-0.0595187, -0.195515, -0.19266, -0.187822, -0.181047, -0.178086, -0.170498, -0.1595, -0.149633, -0.129991, -0.0765392, -0.0593972, -0.0499038, -0.0337468, -0.0271907, -0.018224, -0.0115108, -0.00790607, -0.00359333, -0.00237975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0188495, 0.0201893, 0.0537852, 0.0952508, 0.114981, 0.128727, 0.136356, 0.151613, 0.158596, 0.170037, 0.18403, 0.204202, 0.217179, 0.230215, 0.254124, 0.266392, 0.277801, 0.300064, 0.31271, 0.338002, 0.3846, 0.431197");
-            values ( \
-              "-0.205068, -0.205881, -0.200926, -0.192095, -0.186498, -0.181507, -0.178152, -0.169541, -0.164283, -0.152557, -0.132131, -0.0991521, -0.0801492, -0.0637184, -0.040511, -0.0317752, -0.0252249, -0.0158853, -0.0121568, -0.00701914, -0.00233027, -0.000775411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0188822, 0.0212923, 0.091198, 0.142138, 0.166899, 0.185158, 0.220182, 0.235994, 0.252861, 0.272871, 0.299551, 0.357135, 0.378857, 0.39366, 0.419669, 0.442325, 0.472532, 0.493661, 0.517081, 0.548308, 0.594905, 0.641503, 0.734698");
-            values ( \
-              "-0.211344, -0.212183, -0.205167, -0.198511, -0.194631, -0.191341, -0.183367, -0.17854, -0.172077, -0.161319, -0.139509, -0.0850579, -0.0682761, -0.0583519, -0.0437424, -0.0337544, -0.0235844, -0.0182848, -0.0137506, -0.0093253, -0.00514521, -0.00282023, -0.000841331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0310391, 0.0320513, 0.0363342, 0.0369793, 0.0377995, 0.0400974, 0.0437409, 0.0478528, 0.0510262, 0.0541424, 0.0556463, 0.0576516, 0.0635612, 0.0658759, 0.0696161, 0.0733772, 0.0772852, 0.0796402, 0.0843502, 0.0920836, 0.101243");
-            values ( \
-              "-0.0698685, -0.0843195, -0.111628, -0.122141, -0.127127, -0.127843, -0.125817, -0.121621, -0.116029, -0.106922, -0.101147, -0.0907727, -0.0534324, -0.0415192, -0.0267429, -0.0167393, -0.0100822, -0.00738196, -0.00386796, -0.00122337, -0.000296252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0286938, 0.0369052, 0.037956, 0.0403051, 0.0462453, 0.0554016, 0.0602236, 0.0653726, 0.0690329, 0.0821147, 0.0876664, 0.0930499, 0.0983482, 0.107528, 0.110972");
-            values ( \
-              "-0.00583274, -0.147447, -0.154645, -0.156063, -0.153544, -0.14625, -0.139348, -0.127439, -0.113762, -0.0490868, -0.0312453, -0.0196772, -0.0122679, -0.00524216, -0.00414129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0294546, 0.0363844, 0.0366796, 0.0372698, 0.0381544, 0.038939, 0.0401394, 0.0416487, 0.0441003, 0.0469915, 0.0527739, 0.0539362, 0.0562608, 0.0610311, 0.0669847, 0.0682808, 0.070873, 0.0758431, 0.0824883, 0.0838975, 0.086716, 0.0923529, 0.093165, 0.0947892, 0.0980377, 0.101437, 0.105816, 0.106739, 0.108584, 0.112274, 0.117348, 0.119251, 0.123057, 0.130669, 0.133828, 0.135048, 0.137489, 0.142372, 0.149276, 0.161977, 0.181807");
-            values ( \
-              "-0.00928717, -0.152922, -0.170215, -0.17094, -0.181046, -0.174616, -0.182197, -0.174789, -0.181242, -0.173106, -0.177889, -0.170266, -0.176171, -0.166616, -0.169653, -0.161682, -0.166341, -0.154166, -0.150778, -0.141321, -0.141281, -0.115938, -0.11935, -0.106367, -0.099792, -0.0803697, -0.0715358, -0.0622743, -0.0629039, -0.0465821, -0.0411403, -0.0313065, -0.0309433, -0.0150603, -0.0181222, -0.0110965, -0.015186, -0.00623342, -0.0090371, -1e-22, -0.00365202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0307504, 0.0355731, 0.0375326, 0.0381531, 0.0390871, 0.0402821, 0.0504861, 0.0672217, 0.0846945, 0.0907646, 0.102779, 0.108239, 0.114063, 0.120812, 0.129812, 0.141295, 0.150963, 0.159474, 0.165049, 0.177034, 0.183424, 0.194866, 0.207846, 0.21821, 0.238937, 0.270358, 0.308593");
-            values ( \
-              "-0.0172654, -0.161729, -0.190884, -0.192911, -0.19417, -0.194637, -0.192554, -0.18775, -0.181047, -0.178087, -0.170497, -0.165722, -0.159501, -0.149635, -0.129998, -0.0997465, -0.0765343, -0.0594221, -0.0499187, -0.0337447, -0.0271808, -0.0182235, -0.0115181, -0.00791641, -0.00360345, -0.000980676, -0.00017407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0320763, 0.0374394, 0.038281, 0.0409139, 0.0611869, 0.0877376, 0.116415, 0.132954, 0.148809, 0.164433, 0.173477, 0.191565, 0.229749, 0.243288, 0.252467, 0.266841, 0.279249, 0.295794, 0.313958, 0.343099, 0.381954, 0.428552, 0.47515");
-            values ( \
-              "-0.0858386, -0.199107, -0.20348, -0.205263, -0.202243, -0.197242, -0.190429, -0.185484, -0.179316, -0.170875, -0.164306, -0.143627, -0.0834334, -0.0659079, -0.0556698, -0.0423442, -0.0331717, -0.0236442, -0.0162091, -0.00870213, -0.00362, -0.0011694, -0.000412197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.024579, 0.0452114, 0.125806, 0.165329, 0.199311, 0.236175, 0.261672, 0.274466, 0.294143, 0.318238, 0.35295, 0.375287, 0.397728, 0.438877, 0.459973, 0.479615, 0.517933, 0.539699, 0.583232, 0.629829, 0.676427, 0.769622");
-            values ( \
-              "-0.0223659, -0.211601, -0.20278, -0.197263, -0.191523, -0.183035, -0.174634, -0.168952, -0.156939, -0.135893, -0.101984, -0.0824236, -0.0655233, -0.0416585, -0.0326868, -0.0259463, -0.0163403, -0.0125067, -0.00722081, -0.00396563, -0.00218001, -0.000653831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0532003, 0.0602234, 0.069403, 0.0784729, 0.0823883, 0.0861345, 0.0874279, 0.0885172, 0.0898925, 0.0917566, 0.101245, 0.10706, 0.112445, 0.11851, 0.122382");
-            values ( \
-              "-0.00424071, -0.0511253, -0.0753618, -0.0957084, -0.102435, -0.105733, -0.104699, -0.107387, -0.104539, -0.096592, -0.0405482, -0.0201601, -0.0100792, -0.00445702, -0.00292246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0538884, 0.0628579, 0.071029, 0.0803846, 0.0874227, 0.0886794, 0.0904475, 0.0953058, 0.0994579, 0.102466, 0.106377, 0.114262, 0.116859, 0.122522, 0.12659, 0.132835, 0.136689, 0.141093, 0.1499, 0.162404, 0.177769");
-            values ( \
-              "-0.00541662, -0.0713212, -0.0969745, -0.123411, -0.140194, -0.14551, -0.146448, -0.139306, -0.130153, -0.120653, -0.102311, -0.0613268, -0.0504197, -0.031889, -0.022548, -0.012936, -0.00913617, -0.00608554, -0.00257714, -0.000690331, -0.000115306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.054778, 0.0637675, 0.0766968, 0.0874247, 0.0891332, 0.0918914, 0.0940345, 0.0962169, 0.0997888, 0.103494, 0.109776, 0.111041, 0.113573, 0.125714, 0.139506, 0.141501, 0.141916, 0.142747, 0.144408, 0.14703, 0.152185, 0.15405, 0.154446, 0.15524, 0.156826, 0.159576, 0.164969, 0.169001, 0.170266, 0.172798, 0.177861, 0.186996, 0.204543, 0.225402");
-            values ( \
-              "-0.000642276, -0.0846557, -0.130385, -0.16435, -0.176514, -0.16996, -0.17336, -0.16834, -0.169526, -0.163529, -0.16073, -0.155963, -0.155701, -0.127692, -0.071828, -0.0683462, -0.0639251, -0.0644495, -0.0564589, -0.0523519, -0.0372912, -0.0366346, -0.0329254, -0.0343658, -0.0287955, -0.02736, -0.0177332, -0.016586, -0.0127438, -0.013571, -0.00770194, -0.00659716, -0.00028655, -0.00174941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.055876, 0.064766, 0.075408, 0.0874032, 0.0887814, 0.0907427, 0.0920921, 0.102574, 0.114013, 0.127749, 0.137576, 0.14029, 0.143908, 0.151647, 0.165054, 0.177645, 0.186619, 0.194099, 0.199872, 0.20746, 0.213662, 0.220895, 0.231098, 0.241597, 0.249621, 0.265669, 0.295533, 0.330775");
-            values ( \
-              "-0.0010156, -0.0961583, -0.13788, -0.18107, -0.188646, -0.191626, -0.19086, -0.187746, -0.183615, -0.177203, -0.170849, -0.168664, -0.165412, -0.156614, -0.13049, -0.0974078, -0.0760651, -0.0609255, -0.0509026, -0.0398311, -0.0324217, -0.0253441, -0.01774, -0.0122455, -0.00917886, -0.00506563, -0.00149993, -0.000327555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0571168, 0.0681828, 0.0873842, 0.0878147, 0.0903, 0.0916019, 0.0937818, 0.0966305, 0.101654, 0.106734, 0.116238, 0.125964, 0.145286, 0.145606, 0.165778, 0.186098, 0.195328, 0.205174, 0.216512, 0.231628, 0.251684, 0.267546, 0.281254, 0.290844, 0.303186, 0.312942, 0.325311, 0.342654, 0.360832, 0.374778, 0.40267, 0.449268, 0.495865");
-            values ( \
-              "-0.0226062, -0.115534, -0.191896, -0.200336, -0.204521, -0.201494, -0.204233, -0.200687, -0.20289, -0.198889, -0.200169, -0.195086, -0.193729, -0.192093, -0.186328, -0.178514, -0.173673, -0.167266, -0.157044, -0.136555, -0.103713, -0.0801146, -0.0629311, -0.0527003, -0.0415921, -0.0343337, -0.0267542, -0.0186794, -0.0127699, -0.00948758, -0.00513698, -0.0017011, -0.000562971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.0583694, 0.0729468, 0.0888833, 0.0907722, 0.0924061, 0.119064, 0.173456, 0.20099, 0.235688, 0.265658, 0.283875, 0.310077, 0.348911, 0.38571, 0.41169, 0.433219, 0.44982, 0.472084, 0.490322, 0.511271, 0.540858, 0.57117, 0.594319, 0.640616, 0.687213, 0.733811, 0.827006");
-            values ( \
-              "-0.0468301, -0.138762, -0.207487, -0.210921, -0.210302, -0.207758, -0.201214, -0.197288, -0.191349, -0.184695, -0.179438, -0.16897, -0.140973, -0.104945, -0.082061, -0.0658156, -0.0551171, -0.0429968, -0.034908, -0.0273275, -0.0191199, -0.0132277, -0.00994996, -0.00550242, -0.00303165, -0.0016492, -0.000487386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105136, 0.120406, 0.140816, 0.154359, 0.160378, 0.166224, 0.172322, 0.184964, 0.19025, 0.194196, 0.20161, 0.207908, 0.210252, 0.212555, 0.229108");
-            values ( \
-              "-0.0103382, -0.0312077, -0.0517652, -0.0628283, -0.0662242, -0.0670823, -0.0600729, -0.024349, -0.0142313, -0.00916742, -0.00385571, -0.00174255, -0.00130758, -0.0027582, -0.000303278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.105167, 0.113287, 0.119966, 0.128063, 0.140422, 0.159144, 0.167035, 0.174399, 0.181578, 0.189127, 0.20099, 0.210283, 0.212258, 0.221959, 0.225812, 0.233517, 0.238581");
-            values ( \
-              "-0.00798109, -0.0259897, -0.036509, -0.0481222, -0.0631038, -0.0835957, -0.0908782, -0.0958283, -0.0963563, -0.0851619, -0.0453372, -0.022614, -0.0216868, -0.00950176, -0.00666698, -0.0031866, -0.00222977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.105152, 0.11791, 0.126141, 0.132485, 0.152045, 0.175623, 0.185547, 0.194831, 0.203946, 0.213612, 0.218171, 0.229631, 0.23826, 0.239691, 0.242554, 0.253016, 0.255646, 0.260906, 0.288464, 0.308698");
-            values ( \
-              "-0.000746274, -0.0375057, -0.0517877, -0.0614324, -0.0884512, -0.117726, -0.127834, -0.134383, -0.133456, -0.118193, -0.0962206, -0.0573709, -0.0364696, -0.0349843, -0.0287554, -0.015624, -0.0146239, -0.00964047, -0.00113982, -0.00109293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.104547, 0.127727, 0.133253, 0.183613, 0.21121, 0.222556, 0.235718, 0.249156, 0.278214, 0.29155, 0.304919, 0.315137, 0.325689, 0.349903, 0.379717, 0.414907");
-            values ( \
-              "-0.00317676, -0.0593169, -0.0691514, -0.143314, -0.177147, -0.170117, -0.156598, -0.1304, -0.0608332, -0.0398009, -0.0253721, -0.0177601, -0.0122383, -0.0050386, -0.0014879, -0.000333218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.105142, 0.132928, 0.139647, 0.179369, 0.210258, 0.210986, 0.212442, 0.215354, 0.217733, 0.221692, 0.229052, 0.230626, 0.233776, 0.240076, 0.271122, 0.293979, 0.301445, 0.316376, 0.33772, 0.365363, 0.387934, 0.410675, 0.427871, 0.445508, 0.458979, 0.485922, 0.520434");
-            values ( \
-              "-0.00164307, -0.0705646, -0.0825635, -0.146513, -0.192004, -0.196164, -0.195465, -0.196341, -0.194533, -0.19488, -0.191857, -0.192724, -0.190636, -0.190214, -0.179147, -0.16428, -0.157144, -0.136991, -0.10211, -0.0639912, -0.0418174, -0.026566, -0.0186091, -0.0128686, -0.00965411, -0.00535124, -0.00269759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.105052, 0.137611, 0.182593, 0.210168, 0.214005, 0.218876, 0.22416, 0.251987, 0.28583, 0.33076, 0.356676, 0.385287, 0.394984, 0.414379, 0.438748, 0.473438, 0.495795, 0.518254, 0.5482, 0.559398, 0.580442, 0.600096, 0.627545, 0.638441, 0.660234, 0.70382, 0.750417, 0.797015, 0.89021");
-            values ( \
-              "-0.0014201, -0.0816795, -0.157946, -0.201993, -0.205914, -0.206394, -0.205265, -0.202115, -0.197343, -0.189291, -0.183039, -0.17337, -0.168957, -0.157136, -0.135902, -0.102005, -0.0824338, -0.065519, -0.0472291, -0.0416583, -0.0327031, -0.025958, -0.0186377, -0.0163429, -0.0125023, -0.00721509, -0.00396052, -0.00217909, -0.000654365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.221766, 0.241097, 0.242829, 0.246293, 0.249757, 0.253221, 0.253468, 0.253798, 0.257099, 0.25974, 0.263701, 0.26748, 0.271258, 0.274714, 0.27817, 0.285083, 0.291004, 0.296926, 0.302252, 0.307578, 0.312512, 0.317446, 0.319813, 0.322181, 0.324548, 0.326916, 0.329279, 0.331643, 0.334006, 0.33637, 0.336458, 0.338048, 0.339108, 0.340521, 0.341934, 0.343214, 0.345772, 0.347736, 0.349792, 0.352719, 0.35555, 0.357526, 0.358558, 0.359591, 0.361221, 0.362851, 0.364017, 0.366349, 0.367515, 0.369434");
-            values ( \
-              "-0.010582, -0.0115503, -0.0123176, -0.0139422, -0.0156866, -0.0175508, -0.0176233, -0.0177719, -0.0194245, -0.0206751, -0.0224578, -0.0240693, -0.0256298, -0.0269953, -0.02833, -0.0309068, -0.0330163, -0.0350353, -0.0367493, -0.038409, -0.0398981, -0.0413408, -0.0413321, -0.0412662, -0.0411431, -0.0409628, -0.0407258, -0.0404318, -0.0400808, -0.0396728, -0.0396292, -0.0384102, -0.0374668, -0.0359622, -0.0343662, -0.0328183, -0.029547, -0.0268757, -0.0239502, -0.0201459, -0.0167697, -0.0145352, -0.0134389, -0.0124013, -0.0110021, -0.00972016, -0.00888186, -0.00737204, -0.00670053, -0.00570807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.213648, 0.241673, 0.252937, 0.262048, 0.283434, 0.300065, 0.31435, 0.327252, 0.339183, 0.350642, 0.36208, 0.367341, 0.382869, 0.390597, 0.400644, 0.407277, 0.412475, 0.42287, 0.43977, 0.460012, 0.505673, 0.515902, 0.528653");
-            values ( \
-              "-0.00441734, -0.0147436, -0.0208485, -0.0267242, -0.0376428, -0.0451849, -0.051098, -0.0558041, -0.0593732, -0.0610332, -0.0576174, -0.0519476, -0.0279368, -0.0182684, -0.00975658, -0.00627305, -0.00437129, -0.00202403, -0.000497971, -0.000106225, -3.16383e-05, -0.000707214, -0.000270978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.212822, 0.239511, 0.251107, 0.275233, 0.301636, 0.322356, 0.340216, 0.356237, 0.371099, 0.385399, 0.39967, 0.400701, 0.432278, 0.444865, 0.453907, 0.459624, 0.47106, 0.491681, 0.505684, 0.514306, 0.534739");
-            values ( \
-              "-0.000901875, -0.0173702, -0.0227917, -0.0387052, -0.0534779, -0.0640984, -0.0725687, -0.0793413, -0.0843367, -0.0864569, -0.0803153, -0.0792841, -0.0300109, -0.0170743, -0.0110149, -0.00825664, -0.00449387, -0.00129417, -0.000587728, -0.00138614, -0.000367428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.22432, 0.248012, 0.250177, 0.253576, 0.260375, 0.270744, 0.291481, 0.294054, 0.299198, 0.309486, 0.327715, 0.354219, 0.37693, 0.397354, 0.416293, 0.434601, 0.452868, 0.455063, 0.457991, 0.463846, 0.475467, 0.479474, 0.485119, 0.491041, 0.498622, 0.503463, 0.506313, 0.510198, 0.519079, 0.525692, 0.527095, 0.529902, 0.535515, 0.546742, 0.56251");
-            values ( \
-              "-0.0135961, -0.0238333, -0.025224, -0.0270967, -0.0312236, -0.0382, -0.0526001, -0.053839, -0.0572212, -0.063278, -0.0741292, -0.0888882, -0.100914, -0.110242, -0.117135, -0.119021, -0.108, -0.105054, -0.100627, -0.0907588, -0.0703837, -0.0637186, -0.0548997, -0.0464949, -0.037107, -0.03194, -0.0291799, -0.0271621, -0.0201354, -0.0160406, -0.0152322, -0.0137985, -0.0112966, -0.00751861, -0.00446841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.229005, 0.253338, 0.34574, 0.396713, 0.426149, 0.452588, 0.477186, 0.501184, 0.511236, 0.51851, 0.557759, 0.580002, 0.598745, 0.623914, 0.641759, 0.670448, 0.702055");
-            values ( \
-              "-0.0173687, -0.0293998, -0.0906276, -0.121622, -0.138038, -0.150687, -0.159306, -0.159549, -0.154245, -0.144982, -0.0830827, -0.0559338, -0.0390415, -0.0234886, -0.0162145, -0.00879952, -0.00458178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.234056, 0.253136, 0.27386, 0.388273, 0.4538, 0.505873, 0.513772, 0.540798, 0.563677, 0.581989, 0.601951, 0.641, 0.677215, 0.703397, 0.725407, 0.764094, 0.802871, 0.832554, 0.863217, 0.886674, 0.933271, 0.979869, 0.992043");
-            values ( \
-              "-0.0210617, -0.0312789, -0.0447035, -0.123193, -0.16453, -0.193161, -0.193722, -0.188638, -0.183037, -0.177314, -0.168955, -0.14072, -0.105258, -0.0821528, -0.0655719, -0.0429151, -0.0273975, -0.0191556, -0.013197, -0.00988005, -0.00544839, -0.00299434, -0.00263948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.441465, 0.496932, 0.508759, 0.523702, 0.547115, 0.553689, 0.587281, 0.611292, 0.628953, 0.649307, 0.665791, 0.681464, 0.697027, 0.708689, 0.728757, 0.734591, 0.740814, 0.747213, 0.757413, 0.771014, 0.790754, 0.814805, 0.829954");
-            values ( \
-              "-0.000532039, -0.0028691, -0.00361544, -0.00469459, -0.00741158, -0.00869476, -0.0144675, -0.0180047, -0.0203812, -0.0227877, -0.0243697, -0.0252696, -0.0247541, -0.0211039, -0.0100349, -0.00747505, -0.00532558, -0.0036984, -0.00202603, -0.000875704, -0.000291045, -0.000122806, -0.000104655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.440904, 0.499606, 0.523813, 0.534109, 0.5547, 0.56694, 0.60572, 0.633952, 0.65779, 0.678974, 0.694134, 0.707284, 0.717061, 0.735447, 0.740779, 0.746196, 0.771933, 0.783088, 0.79109, 0.799415, 0.805831, 0.818661, 0.841641, 0.868731, 0.949929, 1.18292");
-            values ( \
-              "-0.000255782, -0.00443526, -0.00652034, -0.00785494, -0.0109269, -0.0137217, -0.0217633, -0.0269336, -0.0309141, -0.0340218, -0.0359249, -0.0371777, -0.0377234, -0.0368361, -0.0352849, -0.0329343, -0.0158926, -0.0100732, -0.00699962, -0.00472217, -0.0034462, -0.00177295, -0.000475654, -0.000129345, -3.48193e-05, -4.60061e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.458373, 0.48753, 0.512612, 0.54015, 0.570115, 0.633277, 0.667717, 0.696878, 0.722878, 0.746848, 0.769757, 0.792627, 0.809763, 0.838358, 0.857656, 0.86687, 0.881743, 0.901574, 0.91551");
-            values ( \
-              "-0.00285019, -0.00471228, -0.00726581, -0.010891, -0.0165571, -0.0317706, -0.0389982, -0.0446722, -0.0490309, -0.0524038, -0.0542009, -0.0524564, -0.0437898, -0.0224298, -0.0120035, -0.00865946, -0.00495009, -0.0021974, -0.00141678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.48727, 0.530088, 0.552221, 0.572174, 0.629209, 0.672022, 0.715126, 0.751879, 0.784717, 0.815046, 0.844083, 0.873067, 0.879777, 0.886705, 0.922928, 0.937444, 0.953183, 0.966915, 0.984308, 1.0075, 1.04534, 1.08941, 1.18261");
-            values ( \
-              "-0.00854194, -0.0116298, -0.0153723, -0.0194926, -0.0344588, -0.045081, -0.0549374, -0.0625776, -0.0686949, -0.0732235, -0.0756566, -0.072135, -0.0691993, -0.0650996, -0.0368451, -0.0270385, -0.0186487, -0.0131394, -0.00824031, -0.00420225, -0.00119887, -0.000248697, -2.65893e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.487264, 0.552805, 0.580571, 0.681669, 0.726272, 0.781489, 0.828563, 0.870892, 0.909892, 0.947329, 0.984686, 0.986956, 1.00815, 1.05846, 1.07943, 1.09123, 1.11374, 1.13861, 1.15852, 1.19833, 1.21601, 1.22647");
-            values ( \
-              "-0.00554994, -0.0177505, -0.0236307, -0.0511019, -0.0624624, -0.0757126, -0.0861778, -0.0945811, -0.100795, -0.103636, -0.096733, -0.0956125, -0.0814548, -0.0431697, -0.030978, -0.0253895, -0.0169559, -0.0106489, -0.00721042, -0.00309334, -0.00214495, -0.00216826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.53371, 0.601289, 0.707329, 0.799869, 0.871607, 0.918204, 0.961901, 0.987542, 1.03414, 1.04716, 1.06453, 1.08715, 1.13238, 1.14918, 1.21585, 1.24981, 1.26575, 1.29762, 1.33776, 1.36211, 1.38993, 1.43652, 1.48312, 1.52972, 1.57632");
-            values ( \
-              "-0.0261409, -0.03081, -0.0605611, -0.0851349, -0.103202, -0.114333, -0.123891, -0.128904, -0.136585, -0.138087, -0.139378, -0.139502, -0.127461, -0.116769, -0.068138, -0.0481093, -0.0402392, -0.0276818, -0.0170755, -0.0126997, -0.00898591, -0.00495719, -0.00271575, -0.00149097, -0.000809872" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00174507, 0.00175857, 0.00177073, 0.00178013, 0.00178658, 0.00179068", \
-            "0.00194047, 0.00194872, 0.00195773, 0.00196584, 0.00197206, 0.00197629", \
-            "0.00205539, 0.00205764, 0.00205921, 0.00206275, 0.00206676, 0.00206998", \
-            "0.00212272, 0.00212197, 0.00212213, 0.00212244, 0.00212331, 0.00212461", \
-            "0.00216082, 0.00215852, 0.002156, 0.00215354, 0.00215151, 0.00215024", \
-            "0.00218081, 0.0021783, 0.00217501, 0.00217122, 0.0021674, 0.0021641" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00219403, 0.00221095, 0.00222553, 0.00223592, 0.00224238, 0.00224609", \
-            "0.00229545, 0.00229661, 0.00229861, 0.00230048, 0.00230173, 0.00230224", \
-            "0.00234812, 0.00232071, 0.00230094, 0.0022894, 0.00228088, 0.00227412", \
-            "0.00247492, 0.00239425, 0.00232885, 0.00228258, 0.0022515, 0.00223071", \
-            "0.00282858, 0.00264253, 0.00248749, 0.00237385, 0.00229772, 0.00224905", \
-            "0.00366279, 0.00327106, 0.00287306, 0.00262507, 0.00245167, 0.00233898" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(~A0 & A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A0 * A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0687223, 0.0901665, 0.127451, 0.192728, 0.307665, 0.510668", \
-            "0.0715212, 0.0931497, 0.130708, 0.196281, 0.311527, 0.514707", \
-            "0.0813388, 0.102886, 0.1405, 0.206352, 0.321856, 0.525376", \
-            "0.109714, 0.130215, 0.167235, 0.232506, 0.348003, 0.551733", \
-            "0.165065, 0.193712, 0.236344, 0.300693, 0.414845, 0.617529", \
-            "0.255434, 0.296925, 0.360388, 0.452845, 0.583681, 0.783549" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0799234, 0.10946, 0.161443, 0.253222, 0.415475, 0.702419", \
-            "0.0798217, 0.109418, 0.161443, 0.253218, 0.415439, 0.702419", \
-            "0.0791907, 0.109212, 0.161425, 0.253223, 0.415562, 0.702419", \
-            "0.0862317, 0.112158, 0.161276, 0.253191, 0.415561, 0.702445", \
-            "0.120223, 0.146402, 0.185874, 0.263683, 0.416032, 0.702433", \
-            "0.173603, 0.210858, 0.266008, 0.34623, 0.471164, 0.720304" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0265379, 0.0339918, 0.04692, 0.0695573, 0.10938, 0.179468", \
-            "0.0304127, 0.0379754, 0.0510322, 0.0737757, 0.113668, 0.183806", \
-            "0.0395748, 0.0476455, 0.0607756, 0.0836351, 0.123659, 0.193882", \
-            "0.0508523, 0.0634074, 0.0817848, 0.107332, 0.147309, 0.217499", \
-            "0.057082, 0.076953, 0.10584, 0.146098, 0.201275, 0.276446", \
-            "0.0458679, 0.0752787, 0.118602, 0.180345, 0.266121, 0.382416" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0239785, 0.0333929, 0.0500346, 0.079489, 0.131611, 0.224099", \
-            "0.0239803, 0.033393, 0.0500299, 0.0794875, 0.131605, 0.224099", \
-            "0.0280974, 0.0357215, 0.0507016, 0.0794683, 0.131629, 0.223961", \
-            "0.0448038, 0.0530036, 0.0651029, 0.0876565, 0.133823, 0.224095", \
-            "0.0737394, 0.0858012, 0.103872, 0.130119, 0.167735, 0.240841", \
-            "0.123798, 0.141559, 0.168712, 0.208411, 0.264485, 0.342088" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.02338, 0.0254411, 0.0330258, 0.0432843, 0.0485107, 0.0539697, 0.064735, 0.0654381, 0.072001, 0.0763422, 0.0850244, 0.0947477, 0.101924, 0.108881, 0.11489, 0.122182, 0.137653, 0.152998, 0.159511, 0.169932, 0.183826, 0.190296, 0.201144, 0.213178, 0.237339, 0.251979, 0.281259, 0.329289, 0.386361");
-            values ( \
-              "0.0324229, 0.0431802, 0.0390218, 0.0368593, 0.036512, 0.0357596, 0.034974, 0.0347752, 0.0340939, 0.0335008, 0.0316086, 0.0275292, 0.0237487, 0.0205744, 0.0182523, 0.0157627, 0.0116634, 0.00862642, 0.00757606, 0.00615062, 0.00464947, 0.00406366, 0.00326768, 0.00253692, 0.00153349, 0.00114052, 0.000600962, 0.000211186, 4.98021e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0235795, 0.0267144, 0.0306086, 0.037457, 0.0443884, 0.0518426, 0.0663824, 0.0937783, 0.105753, 0.114609, 0.142747, 0.150699, 0.163759, 0.178343, 0.197789, 0.208713, 0.226397, 0.243911, 0.259924, 0.281276, 0.305099, 0.344283, 0.396528, 0.463346, 0.546724");
-            values ( \
-              "0.0333849, 0.0546323, 0.0516583, 0.0489808, 0.047834, 0.0468821, 0.0458468, 0.0431737, 0.0411815, 0.0386525, 0.0272876, 0.0244295, 0.0203088, 0.0164438, 0.0122874, 0.0104072, 0.00790084, 0.00599149, 0.00463867, 0.003264, 0.00221078, 0.00115365, 0.000472047, 0.000131286, 3.5954e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0236053, 0.0285244, 0.0358392, 0.0465085, 0.0561888, 0.0665096, 0.111462, 0.134431, 0.144186, 0.154591, 0.171617, 0.199116, 0.226066, 0.254555, 0.288887, 0.309892, 0.332297, 0.357014, 0.398157, 0.427954, 0.473813, 0.534958, 0.618433, 0.701907");
-            values ( \
-              "0.0356891, 0.0634905, 0.059225, 0.0570706, 0.0562398, 0.0557417, 0.0526585, 0.0505492, 0.0491807, 0.0472085, 0.0427817, 0.0342551, 0.0267518, 0.0201906, 0.0140404, 0.0111519, 0.00869575, 0.00652332, 0.00402458, 0.00282717, 0.00162851, 0.000784969, 0.000242528, 0.000106019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0262081, 0.0262281, 0.0743954, 0.0917887, 0.162932, 0.199306, 0.214943, 0.231622, 0.257425, 0.342162, 0.38522, 0.443283, 0.467973, 0.523465, 0.560796, 0.603461, 0.645059, 0.708998, 0.792473, 0.875948, 0.878343");
-            values ( \
-              "1e-22, 0.0709666, 0.0629406, 0.0623817, 0.0588507, 0.0564645, 0.0549214, 0.0527651, 0.0483479, 0.0311309, 0.0236897, 0.0158373, 0.013236, 0.00872297, 0.00657155, 0.004679, 0.00336749, 0.00201669, 0.00102862, 0.000496046, 0.000490591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0262524, 0.0262724, 0.107485, 0.192928, 0.253602, 0.307957, 0.354496, 0.381169, 0.427066, 0.551557, 0.630266, 0.687064, 0.733222, 0.794765, 0.833817, 0.908348, 0.991822, 1.09169, 1.17258, 1.33953, 1.40915");
-            values ( \
-              "1e-22, 0.073008, 0.0676601, 0.0651527, 0.0630644, 0.0607887, 0.0577391, 0.0552814, 0.0500002, 0.0336949, 0.0247431, 0.0193628, 0.0157549, 0.0118668, 0.00983739, 0.00688918, 0.00452817, 0.00275182, 0.00184224, 0.000790855, 0.000657557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0301044, 0.0301244, 0.154071, 0.312428, 0.437706, 0.518529, 0.600997, 0.63017, 0.68783, 0.756504, 0.917195, 0.997695, 1.06296, 1.14643, 1.21087, 1.36556, 1.48612, 1.55999, 1.64346, 1.7951, 1.96205, 2.129, 2.4629, 2.54637, 2.62985, 2.71332");
-            values ( \
-              "1e-22, 0.0794058, 0.0706749, 0.067899, 0.0652332, 0.0630256, 0.0594032, 0.0578355, 0.0538967, 0.0487356, 0.035623, 0.0296271, 0.0253735, 0.0203536, 0.017121, 0.0110888, 0.00780226, 0.00639922, 0.00487079, 0.00306303, 0.001816, 0.00106155, 0.000334573, 0.000388501, 0.00017099, 0.0002626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0371507, 0.039706, 0.046823, 0.0567809, 0.065346, 0.089429, 0.0993507, 0.123143, 0.144373, 0.167117, 0.18986, 0.205374, 0.224623, 0.262159, 0.308283, 0.31926");
-            values ( \
-              "0.0149388, 0.0460445, 0.0411154, 0.0374721, 0.036173, 0.033565, 0.0312505, 0.0201873, 0.0132898, 0.00847843, 0.00538772, 0.00392593, 0.00263848, 0.00122403, 0.000465037, 0.000424374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0386556, 0.0411021, 0.0478248, 0.0513082, 0.0580109, 0.0655155, 0.0956148, 0.110987, 0.117487, 0.128268, 0.155975, 0.177486, 0.19269, 0.212754, 0.236481, 0.261512, 0.280859, 0.301496, 0.328535, 0.382535, 0.4321");
-            values ( \
-              "0.054066, 0.058631, 0.0523316, 0.0504126, 0.0482519, 0.0471265, 0.0444255, 0.0427083, 0.0415713, 0.0386274, 0.0274014, 0.020259, 0.0162517, 0.0120578, 0.00834264, 0.00560045, 0.00410411, 0.00295147, 0.00187847, 0.000754191, 0.000363941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0394015, 0.0394215, 0.0681782, 0.125307, 0.148253, 0.158328, 0.174112, 0.226282, 0.265861, 0.307988, 0.331422, 0.356631, 0.410171, 0.441326, 0.492595, 0.560954, 0.584177");
-            values ( \
-              "1e-22, 0.0655133, 0.0565069, 0.0526796, 0.0505316, 0.0491366, 0.0458555, 0.0304528, 0.0207284, 0.013271, 0.0102332, 0.00771404, 0.00410486, 0.00283758, 0.00152856, 0.000670651, 0.000590488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0409124, 0.0409324, 0.0854051, 0.14103, 0.177111, 0.208608, 0.226382, 0.250081, 0.263069, 0.354166, 0.39099, 0.433075, 0.460705, 0.501051, 0.534179, 0.594417, 0.640211, 0.670098, 0.726137, 0.800856, 0.884331, 1.05128");
-            values ( \
-              "1e-22, 0.0728969, 0.0631343, 0.0607153, 0.0588508, 0.0568431, 0.0552296, 0.0521278, 0.0499327, 0.0315578, 0.0250452, 0.0188289, 0.0154814, 0.0115109, 0.00897427, 0.00562763, 0.00392942, 0.00309333, 0.00197649, 0.00107855, 0.00053093, 0.000129416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0422484, 0.0422684, 0.130968, 0.189827, 0.237687, 0.268058, 0.328107, 0.354523, 0.3827, 0.421576, 0.470425, 0.561555, 0.629265, 0.69702, 0.737097, 0.764277, 0.818638, 0.851777, 0.90609, 0.953402, 1.02015, 1.04668, 1.09974, 1.18321, 1.26668, 1.35016, 1.51711, 1.68406");
-            values ( \
-              "1e-22, 0.0751299, 0.067391, 0.0656752, 0.0641412, 0.0630442, 0.0605209, 0.0588431, 0.0565448, 0.0524447, 0.0462748, 0.0342576, 0.0263399, 0.01976, 0.016549, 0.014606, 0.0113483, 0.00968207, 0.00747162, 0.00591212, 0.00425983, 0.00371765, 0.00286604, 0.00186082, 0.00123028, 0.000787993, 0.000330252, 0.000135873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.0473625, 0.0473825, 0.180016, 0.356575, 0.415852, 0.491814, 0.533037, 0.615483, 0.644678, 0.702337, 0.958535, 1.0122, 1.07746, 1.16094, 1.22537, 1.29658, 1.38006, 1.42024, 1.50062, 1.57448, 1.65795, 1.72839, 1.80958, 1.89306, 1.97653, 2.06001, 2.22695, 2.47738, 2.7278");
-            values ( \
-              "1e-22, 0.0818941, 0.0705359, 0.0672431, 0.0660011, 0.0641743, 0.0629636, 0.0594662, 0.0577746, 0.0539576, 0.0336139, 0.0296897, 0.0253114, 0.0204164, 0.0171847, 0.0141261, 0.0111527, 0.00994519, 0.00786666, 0.00633535, 0.0049354, 0.00399641, 0.00312925, 0.00243892, 0.00188235, 0.00146879, 0.000883713, 0.000401518, 0.000195362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.064082, 0.0687269, 0.0693717, 0.0731212, 0.0874089, 0.0892997, 0.0918449, 0.0971209, 0.102826, 0.106849, 0.125037, 0.130142, 0.137132, 0.158338, 0.16604, 0.176276, 0.182045, 0.191244, 0.203292, 0.212939, 0.222909, 0.236377, 0.249118, 0.266107, 0.279658, 0.295124, 0.315746, 0.356991, 0.40992, 0.475795");
-            values ( \
-              "0.0127143, 0.0169999, 0.0181929, 0.0222453, 0.0350759, 0.0398572, 0.0411069, 0.0384334, 0.0367528, 0.0359962, 0.033548, 0.0325483, 0.0303676, 0.0202791, 0.017359, 0.0142306, 0.0127039, 0.0106179, 0.00835722, 0.00690198, 0.00565503, 0.00428806, 0.00330501, 0.00232936, 0.00175218, 0.00127088, 0.000829087, 0.000329781, 0.000101853, 1.92485e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0587132, 0.086527, 0.0874697, 0.0876087, 0.0885425, 0.0893936, 0.0907754, 0.0927663, 0.0953691, 0.100955, 0.104832, 0.112586, 0.115603, 0.121638, 0.130844, 0.146187, 0.146647, 0.149407, 0.153087, 0.160448, 0.169592, 0.183324, 0.192569, 0.209642, 0.210261, 0.211501, 0.218937, 0.228853, 0.248683, 0.254655, 0.261054, 0.269585, 0.286648, 0.297924, 0.310944, 0.325783, 0.346667, 0.37283, 0.407714, 0.467375, 0.538698");
-            values ( \
-              "0.00238426, 0.0441689, 0.0452243, 0.0480626, 0.051733, 0.0530846, 0.0531887, 0.0523842, 0.0507093, 0.0483866, 0.0475641, 0.0461857, 0.0459953, 0.0452503, 0.0445113, 0.0426408, 0.0426292, 0.0421946, 0.0414958, 0.0396327, 0.0364083, 0.0305473, 0.0268715, 0.0211492, 0.0210491, 0.0206108, 0.0185348, 0.0160874, 0.0118789, 0.0108672, 0.00985337, 0.00866205, 0.00658161, 0.00550222, 0.00446316, 0.00353313, 0.00248592, 0.00161465, 0.000918059, 0.000298854, 9.76891e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0602037, 0.0910783, 0.0974627, 0.102779, 0.111574, 0.161633, 0.175919, 0.184199, 0.200757, 0.2224, 0.253908, 0.273552, 0.299382, 0.327993, 0.343599, 0.369234, 0.392586, 0.413535, 0.434584, 0.46491, 0.505005, 0.558465, 0.64194, 0.665418");
-            values ( \
-              "0.000476561, 0.0637761, 0.0599054, 0.057901, 0.0562231, 0.0525791, 0.0513391, 0.050452, 0.0478711, 0.0423213, 0.0326138, 0.0271663, 0.0211247, 0.0156493, 0.0132317, 0.00995251, 0.00764772, 0.00601262, 0.00468469, 0.00328871, 0.00204595, 0.0010451, 0.000365262, 0.000321261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0614034, 0.0915726, 0.10275, 0.107571, 0.117212, 0.194473, 0.249202, 0.282182, 0.30606, 0.392188, 0.433828, 0.493555, 0.520516, 0.574649, 0.635376, 0.678615, 0.732797, 0.805038, 0.888513, 0.913953");
-            values ( \
-              "3.92363e-05, 0.0718063, 0.065625, 0.0646028, 0.0634547, 0.0598621, 0.0564906, 0.0526734, 0.0485954, 0.0311151, 0.0238987, 0.0157978, 0.0129826, 0.00863778, 0.0053976, 0.00385704, 0.0025126, 0.00137176, 0.000705147, 0.000592019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.061799, 0.0934354, 0.102476, 0.108877, 0.119238, 0.244412, 0.29035, 0.331295, 0.365789, 0.42819, 0.477277, 0.594341, 0.618717, 0.651219, 0.716224, 0.752389, 0.792942, 0.847013, 0.885657, 0.914313, 0.952522, 1.02894, 1.08091, 1.16124, 1.24472, 1.32819, 1.41167, 1.57862, 1.74557");
-            values ( \
-              "0.00271926, 0.0761236, 0.0710977, 0.0696914, 0.0687833, 0.0650783, 0.0635519, 0.0620044, 0.0603916, 0.0556863, 0.0500693, 0.0346574, 0.0316652, 0.0278989, 0.0212492, 0.0181504, 0.0151189, 0.0117606, 0.00979165, 0.0085373, 0.00709578, 0.00485987, 0.00375677, 0.00251295, 0.00164338, 0.00107311, 0.000699989, 0.00029787, 0.000127123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.0618844, 0.0946557, 0.106132, 0.113898, 0.122034, 0.138112, 0.26497, 0.363264, 0.488568, 0.569364, 0.651806, 0.681005, 0.738664, 0.994861, 1.04853, 1.11379, 1.19726, 1.26169, 1.3329, 1.41638, 1.45656, 1.53694, 1.61079, 1.69427, 1.7647, 1.84588, 1.92936, 2.01283, 2.09631, 2.26326, 2.51368, 2.76411");
-            values ( \
-              "0.003368, 0.0791936, 0.0736342, 0.0726104, 0.072242, 0.0718436, 0.0696923, 0.0678452, 0.0651772, 0.0629701, 0.0594597, 0.0577823, 0.05395, 0.0336209, 0.0296831, 0.0253182, 0.02041, 0.0171789, 0.0141325, 0.0111469, 0.00995128, 0.00786113, 0.00634129, 0.00492998, 0.00399159, 0.00312477, 0.00244377, 0.00187793, 0.00147341, 0.000888139, 0.000397416, 0.000199291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.113247, 0.130361, 0.139203, 0.142472, 0.155868, 0.175121, 0.19022, 0.198064, 0.206772, 0.210313, 0.212758, 0.214892, 0.22362, 0.232773, 0.240014, 0.247309, 0.255647, 0.262846, 0.271581, 0.280748, 0.29276, 0.302334, 0.312322, 0.325806, 0.338584, 0.355622, 0.369119, 0.384529, 0.405075, 0.446167, 0.499033, 0.564777");
-            values ( \
-              "0.00166342, 0.00742726, 0.0106029, 0.0120646, 0.0170108, 0.0234553, 0.0281298, 0.0303158, 0.0324149, 0.033032, 0.0349436, 0.0351058, 0.031987, 0.0277165, 0.0238168, 0.0204659, 0.0172959, 0.0150237, 0.0126716, 0.0106119, 0.00834582, 0.00690198, 0.00566521, 0.00428296, 0.00329744, 0.00233217, 0.00174558, 0.00126621, 0.000837043, 0.000326139, 0.00010846, 1.37262e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.113661, 0.134547, 0.142133, 0.148387, 0.158659, 0.17484, 0.186683, 0.204489, 0.210231, 0.213627, 0.218622, 0.219703, 0.221864, 0.226186, 0.233839, 0.238199, 0.244011, 0.252132, 0.266477, 0.280306, 0.28824, 0.297633, 0.301262, 0.3061, 0.315777, 0.335131, 0.341764, 0.346274, 0.352288, 0.364315, 0.381516, 0.386739, 0.397184, 0.418074, 0.435796, 0.443991, 0.460382, 0.493162, 0.553209, 0.623595, 0.707069");
-            values ( \
-              "0.00151969, 0.0116691, 0.015155, 0.0183637, 0.0231253, 0.0302507, 0.035255, 0.042141, 0.0440891, 0.0465247, 0.0463319, 0.0458099, 0.0451761, 0.0442507, 0.0430203, 0.0422695, 0.041015, 0.0386853, 0.0329384, 0.0272798, 0.0244172, 0.0213818, 0.02032, 0.0189702, 0.0164705, 0.0123166, 0.0111507, 0.0104059, 0.00948891, 0.00785603, 0.00598028, 0.00549994, 0.00465497, 0.00330776, 0.00248073, 0.00216588, 0.0016587, 0.000953586, 0.000328817, 8.82886e-05, 2.17532e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.113199, 0.157531, 0.213858, 0.218766, 0.232557, 0.272311, 0.296151, 0.342372, 0.387241, 0.43184, 0.480914, 0.522506, 0.552922, 0.609006, 0.680747");
-            values ( \
-              "1.7479e-05, 0.0270307, 0.0569286, 0.0569095, 0.0540693, 0.0504426, 0.0463482, 0.032494, 0.0211929, 0.013229, 0.00762346, 0.00470662, 0.00328542, 0.00168638, 0.000940262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.105263, 0.222426, 0.229313, 0.300401, 0.324745, 0.337809, 0.363937, 0.40519, 0.482742, 0.514249, 0.584061, 0.62445, 0.666829, 0.717663, 0.763465, 0.849458, 0.924236, 0.997249");
-            values ( \
-              "0.00173509, 0.0644499, 0.0625622, 0.0588887, 0.0573211, 0.0563779, 0.053499, 0.0462992, 0.0305483, 0.0250383, 0.0154599, 0.0114869, 0.00833048, 0.0056144, 0.00394804, 0.00196228, 0.00109216, 0.000588692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.132099, 0.160973, 0.21, 0.212721, 0.217024, 0.224315, 0.232092, 0.247425, 0.331605, 0.377144, 0.419286, 0.452982, 0.5154, 0.564416, 0.705909, 0.738412, 0.803418, 0.839578, 0.880125, 0.934188, 0.982394, 1.03972, 1.11614, 1.16808, 1.24837, 1.33184, 1.41532, 1.49879, 1.66574, 1.83269");
-            values ( \
-              "0.0177559, 0.0351462, 0.0671538, 0.0707446, 0.0714394, 0.0691504, 0.0682702, 0.0675518, 0.0650782, 0.0635659, 0.0619715, 0.0603912, 0.0556852, 0.0500748, 0.0316673, 0.0278972, 0.021251, 0.0181529, 0.0151218, 0.0117594, 0.0093559, 0.00709332, 0.00486204, 0.0037597, 0.00251649, 0.00164135, 0.00107615, 0.000697589, 0.000295301, 0.000124469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.132064, 0.213255, 0.219605, 0.224732, 0.242448, 0.500262, 0.635284, 0.699747, 0.768278, 0.848185, 1.08325, 1.20305, 1.34538, 1.418, 1.55748, 1.63899, 1.79595, 1.93646, 2.01993, 2.18688, 2.35383, 2.47886");
-            values ( \
-              "0.014796, 0.0744596, 0.0746771, 0.0725862, 0.0715764, 0.0668666, 0.0636623, 0.0613716, 0.0577718, 0.0523242, 0.0335412, 0.0251935, 0.0173637, 0.0142114, 0.00955805, 0.00753963, 0.00473073, 0.0030982, 0.00241595, 0.00145495, 0.000875387, 0.000705121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.233282, 0.272183, 0.282883, 0.297569, 0.322744, 0.353912, 0.378238, 0.438941, 0.442996, 0.451532, 0.460006, 0.47378, 0.487136, 0.49776, 0.505669, 0.51021, 0.514825, 0.530235, 0.542281, 0.555524, 0.5644, 0.582152, 0.590923, 0.611493, 0.635002, 0.682019, 0.738787, 0.810882");
-            values ( \
-              "0.00104023, 0.00296145, 0.00392919, 0.00584798, 0.0102699, 0.0145567, 0.0174237, 0.0241468, 0.0240808, 0.0218989, 0.0178933, 0.0134246, 0.0103987, 0.00845958, 0.00728318, 0.00808484, 0.00790645, 0.00577439, 0.00452141, 0.00344591, 0.00287358, 0.00198001, 0.00165559, 0.00107665, 0.000657023, 0.00022565, 6.29502e-05, 9.94219e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.236041, 0.277623, 0.284767, 0.299056, 0.333913, 0.37087, 0.424359, 0.446489, 0.467048, 0.486732, 0.500526, 0.50558, 0.510714, 0.534015, 0.551799, 0.572322, 0.584475, 0.605129, 0.629937, 0.65217, 0.666859, 0.694547, 0.731464, 0.796614, 0.874455");
-            values ( \
-              "0.00176484, 0.00485996, 0.00579027, 0.00817818, 0.0154963, 0.0216995, 0.0296934, 0.032507, 0.0344697, 0.0296545, 0.0238687, 0.0223785, 0.0226356, 0.0165243, 0.0126528, 0.00925222, 0.00764309, 0.0055024, 0.00368574, 0.00256195, 0.00201383, 0.00127665, 0.000686684, 0.000208026, 5.09792e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.252836, 0.286227, 0.307673, 0.351396, 0.396768, 0.431894, 0.461996, 0.489124, 0.497349, 0.505573, 0.510299, 0.514952, 0.559653, 0.582743, 0.604664, 0.633333, 0.644821, 0.675224, 0.698213, 0.718776, 0.739911, 0.7703, 0.801937, 0.82619, 0.874696, 0.958171, 1.04165");
-            values ( \
-              "0.00677956, 0.00781591, 0.0121805, 0.0223449, 0.0313714, 0.0379562, 0.0430812, 0.0467266, 0.0472306, 0.0469428, 0.0474512, 0.0464362, 0.0324909, 0.0262672, 0.0211726, 0.0156745, 0.0138669, 0.00991443, 0.00762119, 0.00601532, 0.00471059, 0.00327749, 0.00225513, 0.00169592, 0.000930484, 0.000319301, 0.000103769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.26026, 0.29888, 0.350319, 0.434463, 0.478416, 0.510974, 0.516111, 0.522481, 0.560624, 0.575089, 0.593281, 0.62267, 0.698367, 0.749153, 0.783397, 0.810328, 0.846237, 0.873827, 0.896353, 0.926387, 0.976177, 1.03362, 1.0793, 1.16277, 1.24625, 1.4132");
-            values ( \
-              "0.0102103, 0.012139, 0.02501, 0.0444155, 0.0536691, 0.0598505, 0.0596317, 0.0586933, 0.0556865, 0.0540867, 0.0514154, 0.0459193, 0.0305634, 0.0220644, 0.0173796, 0.0143307, 0.0109939, 0.00891299, 0.00750461, 0.00595384, 0.00400804, 0.00254547, 0.00176709, 0.00088151, 0.000443923, 0.000113441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.276298, 0.336442, 0.415316, 0.48773, 0.505537, 0.515168, 0.541324, 0.546224, 0.605823, 0.665875, 0.692313, 0.720514, 0.759256, 0.808193, 0.899334, 0.966891, 1.03468, 1.07498, 1.10232, 1.15701, 1.18967, 1.24315, 1.29067, 1.35762, 1.38437, 1.43786, 1.52134, 1.60481, 1.68829, 1.85524, 2.02219");
-            values ( \
-              "0.0153692, 0.0233235, 0.0438498, 0.061565, 0.0656513, 0.0667554, 0.0650809, 0.0651122, 0.0630468, 0.0605181, 0.0588417, 0.0565414, 0.0524559, 0.046276, 0.0342575, 0.0263562, 0.0197691, 0.0165405, 0.0145882, 0.0113158, 0.00967696, 0.00749704, 0.00592696, 0.00426552, 0.0037193, 0.00286039, 0.0018577, 0.00122764, 0.000786853, 0.000329966, 0.000135949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.305569, 0.397981, 0.505315, 0.515054, 0.546363, 0.565843, 0.594878, 0.629035, 0.66412, 0.73429, 0.765306, 0.816456, 0.870232, 0.953707, 1.03825, 1.10821, 1.29575, 1.34944, 1.41469, 1.49817, 1.56252, 1.63375, 1.71722, 1.75743, 1.83786, 1.91169, 1.99517, 2.09258, 2.14666, 2.23013, 2.31361, 2.39708, 2.56403, 2.64751, 2.81446, 3.06488");
-            values ( \
-              "0.0285928, 0.041552, 0.0700868, 0.0713617, 0.0698357, 0.0698195, 0.0690408, 0.0686032, 0.0677607, 0.066474, 0.0656682, 0.0645558, 0.062921, 0.0594513, 0.0540743, 0.0486557, 0.0336083, 0.0296921, 0.0253064, 0.0204192, 0.0171903, 0.0141256, 0.0111566, 0.00994365, 0.00786827, 0.00633316, 0.00493757, 0.00369263, 0.00313315, 0.00243728, 0.00188585, 0.00146664, 0.000881209, 0.000677274, 0.000404725, 0.000192245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.541793, 0.608757, 0.639252, 0.669925, 0.711901, 0.737298, 0.765766, 0.802706, 0.863473, 0.889764, 0.90645, 0.913924, 0.925664, 0.960542, 0.980946, 1.00555, 1.02143, 1.05471, 1.08217, 1.09594, 1.14641, 1.21594, 1.22812, 1.24603, 1.26037, 1.28198");
-            values ( \
-              "0.00111624, 0.00120806, 0.0018165, 0.00281832, 0.00547417, 0.00758795, 0.00950906, 0.011546, 0.0140516, 0.0153795, 0.0165172, 0.0166164, 0.0158189, 0.00889976, 0.0063186, 0.00412426, 0.00309686, 0.00167444, 0.00098568, 0.000760719, 0.000287841, 7.17758e-05, 0.000653583, 0.000596861, 0.000353387, 0.000267038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.541697, 0.615414, 0.659251, 0.680013, 0.721538, 0.772732, 0.813243, 0.870266, 0.904822, 0.948193, 0.966057, 0.988547, 1.00717, 1.04021, 1.06606, 1.08075, 1.10064, 1.12055, 1.14153, 1.17449, 1.19875, 1.21578, 1.22015, 1.22335, 1.25902, 1.29805, 1.36904, 1.45252");
-            values ( \
-              "0.00171286, 0.00202388, 0.00355294, 0.00472781, 0.00829153, 0.0131305, 0.0159292, 0.0190864, 0.020726, 0.0233781, 0.0237426, 0.0199952, 0.0158948, 0.0104229, 0.00740193, 0.00608449, 0.00449547, 0.00334157, 0.00248355, 0.00142915, 0.000972736, 0.000770543, 0.0016753, 0.00171659, 0.000989366, 0.000507419, 0.000134407, 2.65336e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.541869, 0.627866, 0.666707, 0.702233, 0.753367, 0.786555, 0.824013, 0.878792, 0.926038, 1.00787, 1.02239, 1.04548, 1.07275, 1.10433, 1.1525, 1.18066, 1.19953, 1.21612, 1.22348, 1.23044, 1.26078, 1.28783, 1.30871, 1.33776, 1.3765, 1.45398, 1.4731");
-            values ( \
-              "0.00222045, 0.003447, 0.00539382, 0.00822016, 0.013936, 0.0172125, 0.0202984, 0.0242285, 0.0273205, 0.0322591, 0.0328589, 0.0317403, 0.0261926, 0.0204285, 0.0130456, 0.00987139, 0.00802388, 0.00669646, 0.00723619, 0.00707363, 0.00498337, 0.00360159, 0.00282297, 0.00199877, 0.00124909, 0.0004625, 0.000391816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.541269, 0.64589, 0.657795, 0.681606, 0.711101, 0.788187, 0.877679, 0.947332, 1.00707, 1.06065, 1.11054, 1.15878, 1.21564, 1.22424, 1.23951, 1.2542, 1.27232, 1.31559, 1.31801, 1.32286, 1.33256, 1.34614, 1.40041, 1.40721, 1.42079, 1.49537, 1.51749, 1.52487, 1.53963, 1.56915, 1.62567, 1.70915, 1.79262, 1.8761, 1.95957");
-            values ( \
-              "0.0025288, 0.00571528, 0.00644813, 0.00821733, 0.0110103, 0.0199122, 0.0280864, 0.0338535, 0.0384247, 0.0420703, 0.044375, 0.0403255, 0.0305261, 0.0310515, 0.0274373, 0.0255837, 0.0222255, 0.0164486, 0.0164886, 0.0156274, 0.014875, 0.0131715, 0.00871428, 0.0085798, 0.00743843, 0.00407882, 0.00370774, 0.00320425, 0.0031331, 0.00221138, 0.00164071, 0.000599719, 0.000520645, 4.05581e-05, 0.000241089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.608059, 0.71501, 0.780261, 0.818284, 0.888523, 1.04077, 1.12276, 1.19146, 1.21573, 1.23232, 1.27101, 1.28831, 1.42843, 1.49507, 1.56078, 1.59753, 1.62205, 1.66013, 1.72093, 1.74322, 1.78781, 1.86989, 1.92732, 1.96597, 2.04328, 2.12675, 2.21023, 2.37718, 2.54413");
-            values ( \
-              "0.00989118, 0.0131244, 0.0207945, 0.0249876, 0.0320042, 0.0463578, 0.0532473, 0.0577797, 0.058362, 0.0578471, 0.0539088, 0.0519265, 0.0337026, 0.0259878, 0.0196315, 0.0166836, 0.0149346, 0.0125139, 0.00938228, 0.00843812, 0.00678815, 0.00452051, 0.00339335, 0.0028038, 0.00188861, 0.00123976, 0.00080295, 0.000339668, 0.000142925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.607804, 0.710163, 0.889159, 1.06903, 1.14676, 1.21547, 1.23525, 1.25888, 1.35364, 1.39131, 1.46664, 1.5029, 1.56038, 1.62924, 1.81677, 1.87044, 1.9357, 2.01917, 2.08358, 2.15479, 2.23827, 2.27846, 2.35884, 2.43268, 2.51616, 2.61361, 2.66771, 2.75118, 2.83465, 2.91813, 3.08508, 3.16855, 3.3355, 3.58593");
-            values ( \
-              "0.012352, 0.014074, 0.0341173, 0.0529825, 0.0606096, 0.0668515, 0.0670241, 0.0663388, 0.0640761, 0.0629648, 0.0598319, 0.0577807, 0.0539662, 0.0486803, 0.0336157, 0.0296883, 0.0253128, 0.0204156, 0.0171856, 0.0141279, 0.0111531, 0.00994652, 0.00786667, 0.00633647, 0.00493542, 0.00369553, 0.00312998, 0.00244012, 0.00188267, 0.00146964, 0.000884349, 0.000673978, 0.000401351, 0.000195719" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00186781, 0.00190521, 0.00193682, 0.00196086, 0.00197721, 0.00198753", \
-            "0.00215542, 0.00220157, 0.00224583, 0.00228173, 0.00230748, 0.00232423", \
-            "0.00236155, 0.00238646, 0.00241936, 0.00245068, 0.00247633, 0.00249415", \
-            "0.00256125, 0.00256086, 0.00255964, 0.00256582, 0.00257464, 0.0025831", \
-            "0.00275821, 0.00272738, 0.00269477, 0.00266758, 0.00264904, 0.00263954", \
-            "0.00291155, 0.00285908, 0.00281277, 0.00277293, 0.00273568, 0.00270297" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0024586, 0.00248841, 0.00251472, 0.0025305, 0.00253976, 0.00254466", \
-            "0.00266593, 0.00261224, 0.00256459, 0.00253419, 0.00251531, 0.00250373", \
-            "0.00283003, 0.00270521, 0.00259236, 0.00250351, 0.00243978, 0.0024009", \
-            "0.00310531, 0.0029174, 0.00271739, 0.00255654, 0.00244449, 0.00237214", \
-            "0.00332836, 0.00332521, 0.00309235, 0.00280338, 0.00259514, 0.00244977", \
-            "0.00309369, 0.00318115, 0.00325766, 0.00328069, 0.00298856, 0.00268902" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0181626, 0.0189047, 0.0213266, 0.0274552, 0.0307882, 0.0396318, 0.0408415, 0.0432089, 0.0455932, 0.0564108, 0.0638884, 0.0711441, 0.0800544, 0.0852208");
-            values ( \
-              "-0.0822212, -0.128106, -0.12885, -0.124663, -0.119616, -0.0782006, -0.0760841, -0.0783481, -0.0771208, -0.0362445, -0.0175621, -0.00821471, -0.00306503, -0.00192229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0185817, 0.0194707, 0.0207869, 0.0296222, 0.0364336, 0.0380468, 0.047474, 0.0492949, 0.0516764, 0.0539459, 0.0564979, 0.0731423, 0.0791549, 0.085203, 0.0918631, 0.0959416, 0.104098, 0.110843");
-            values ( \
-              "-0.153907, -0.156644, -0.157236, -0.153064, -0.14601, -0.142049, -0.105278, -0.105075, -0.108142, -0.108193, -0.103649, -0.0429333, -0.0280798, -0.0179314, -0.0107056, -0.00770621, -0.00397433, -0.002533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0176407, 0.0202113, 0.0205747, 0.0256485, 0.0325304, 0.0395254, 0.045151, 0.0498903, 0.0577954, 0.0598497, 0.0622879, 0.0634686, 0.0658298, 0.0690996, 0.0724736, 0.0761162, 0.0806988, 0.0936527, 0.101629, 0.107329, 0.111022, 0.119787, 0.128547, 0.133499, 0.143402, 0.162404, 0.162585");
-            values ( \
-              "-0.0105035, -0.179478, -0.179529, -0.178549, -0.175968, -0.172132, -0.167987, -0.159645, -0.136308, -0.132294, -0.132104, -0.134185, -0.136307, -0.13621, -0.131864, -0.124809, -0.112392, -0.0704025, -0.0495567, -0.0377879, -0.0315322, -0.020263, -0.012805, -0.00979141, -0.00572399, -0.00179813, -0.00178731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0190184, 0.0201008, 0.0273091, 0.0413185, 0.0527385, 0.0622783, 0.0689358, 0.0802491, 0.0828789, 0.0882753, 0.0909584, 0.0948313, 0.0989767, 0.104872, 0.112007, 0.133419, 0.149659, 0.164605, 0.179435, 0.193332, 0.201221, 0.216997, 0.246869, 0.28209");
-            values ( \
-              "-0.194889, -0.195406, -0.194461, -0.190664, -0.1866, -0.181942, -0.174301, -0.154686, -0.153711, -0.15871, -0.159239, -0.157638, -0.154411, -0.148133, -0.137764, -0.0896474, -0.0575903, -0.0366057, -0.0227751, -0.0143492, -0.0109905, -0.00634943, -0.00203841, -0.00049901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0190767, 0.022794, 0.0566374, 0.0856378, 0.0981362, 0.117246, 0.126131, 0.131207, 0.138954, 0.157273, 0.171317, 0.188338, 0.222806, 0.235845, 0.259031, 0.282273, 0.300069, 0.318465, 0.332539, 0.360688, 0.395085");
-            values ( \
-              "-0.205122, -0.205702, -0.200186, -0.193271, -0.187481, -0.170183, -0.174705, -0.175115, -0.172447, -0.162484, -0.15048, -0.127839, -0.0771983, -0.0618048, -0.0404528, -0.0258132, -0.0180556, -0.0124335, -0.00929977, -0.00510754, -0.00264444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0191119, 0.0235906, 0.0784052, 0.133249, 0.152702, 0.177382, 0.193306, 0.200816, 0.239936, 0.262885, 0.302582, 0.339681, 0.388477, 0.427948, 0.46758, 0.497769, 0.52887, 0.59957, 0.651984");
-            values ( \
-              "-0.211374, -0.212087, -0.206505, -0.199066, -0.19399, -0.18228, -0.185653, -0.185115, -0.174931, -0.165714, -0.138311, -0.103208, -0.0644824, -0.0421634, -0.026893, -0.0187889, -0.012964, -0.00539273, -0.00329515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0279311, 0.0375016, 0.038867, 0.0416857, 0.0451367, 0.0543537, 0.0557326, 0.0588105, 0.0607327, 0.0715554, 0.0785965, 0.0844693, 0.0912322, 0.0985987");
-            values ( \
-              "-0.000873019, -0.125819, -0.126654, -0.124829, -0.119989, -0.0777081, -0.0759938, -0.0784656, -0.0755393, -0.0341449, -0.0171661, -0.00927108, -0.00449879, -0.0019245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0285927, 0.0375567, 0.0397928, 0.0465034, 0.051787, 0.0620955, 0.0685454, 0.0711166, 0.0862237, 0.0937842, 0.0991239, 0.105876, 0.114211, 0.124733");
-            values ( \
-              "-0.000239137, -0.15344, -0.15556, -0.151204, -0.144441, -0.105454, -0.108273, -0.103761, -0.0475158, -0.028069, -0.0188336, -0.0111544, -0.00575682, -0.00346402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0295696, 0.0373133, 0.0403403, 0.050666, 0.0612585, 0.0738452, 0.0759663, 0.0802186, 0.0829776, 0.0871383, 0.092965, 0.115457, 0.124948, 0.13455, 0.143209, 0.157759, 0.168636");
-            values ( \
-              "-0.00190841, -0.174407, -0.178411, -0.174657, -0.166668, -0.133304, -0.131708, -0.136334, -0.136522, -0.131907, -0.119397, -0.0515215, -0.032723, -0.0201383, -0.0127843, -0.00580098, -0.0035342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0307313, 0.0359149, 0.0368105, 0.0378048, 0.0386047, 0.0393818, 0.0409152, 0.0561561, 0.0662607, 0.0675786, 0.0747017, 0.0793336, 0.0851627, 0.0919954, 0.0947049, 0.0972299, 0.102821, 0.105804, 0.109662, 0.113753, 0.119703, 0.126839, 0.135635, 0.148255, 0.156362, 0.164494, 0.175337, 0.180541, 0.187148, 0.194269, 0.204221, 0.208164, 0.216051, 0.231823, 0.261503, 0.296135");
-            values ( \
-              "-0.00213186, -0.164301, -0.181891, -0.191865, -0.19364, -0.194294, -0.194601, -0.190831, -0.186902, -0.186709, -0.18353, -0.179945, -0.17205, -0.159301, -0.15507, -0.15379, -0.158731, -0.159198, -0.157741, -0.15439, -0.148214, -0.137701, -0.119393, -0.0895829, -0.0724727, -0.0576489, -0.0415363, -0.0353372, -0.0286513, -0.0228196, -0.016325, -0.0143927, -0.0109521, -0.00639258, -0.00201699, -0.000560557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0319673, 0.0376879, 0.0387021, 0.0401744, 0.0417152, 0.0715444, 0.090834, 0.100527, 0.110455, 0.113053, 0.126292, 0.132163, 0.133957, 0.141131, 0.146126, 0.153868, 0.171935, 0.176868, 0.186734, 0.203248, 0.220152, 0.237324, 0.251915, 0.261659, 0.274225, 0.282633, 0.293845, 0.313676, 0.320462, 0.336116, 0.354006, 0.389786, 0.436707, 0.483628");
-            values ( \
-              "-0.0666454, -0.200499, -0.204146, -0.20507, -0.205206, -0.200084, -0.195893, -0.193348, -0.189217, -0.187481, -0.174618, -0.170182, -0.1704, -0.174738, -0.175109, -0.172447, -0.162656, -0.158937, -0.149942, -0.127838, -0.101789, -0.0776937, -0.0605523, -0.0508336, -0.0402385, -0.0342912, -0.0275737, -0.018519, -0.0161494, -0.011743, -0.00809732, -0.00371362, -0.0012681, -0.000431501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.033378, 0.0389349, 0.0402095, 0.0467939, 0.0986228, 0.148516, 0.16761, 0.19217, 0.197037, 0.202017, 0.208071, 0.215357, 0.255317, 0.277826, 0.317539, 0.354603, 0.403438, 0.442899, 0.482505, 0.5127, 0.543822, 0.61454, 0.668602");
-            values ( \
-              "-0.158357, -0.212558, -0.211327, -0.211434, -0.205898, -0.198965, -0.194004, -0.182315, -0.182245, -0.184698, -0.185575, -0.18523, -0.174822, -0.16568, -0.138327, -0.103202, -0.0644562, -0.0421463, -0.0269096, -0.0187799, -0.0129528, -0.00538132, -0.00321857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0538708, 0.0608329, 0.0698896, 0.0747015, 0.0790408, 0.0812197, 0.0874405, 0.0879541, 0.090039, 0.0928712, 0.0953213, 0.0976567, 0.106621, 0.111328, 0.116863, 0.120558, 0.126475, 0.134364, 0.135138");
-            values ( \
-              "-0.00347695, -0.0523918, -0.0758827, -0.0858521, -0.0930226, -0.0925864, -0.0790056, -0.0811903, -0.0782034, -0.0796787, -0.0772213, -0.0698572, -0.0344095, -0.0219067, -0.0124176, -0.00839695, -0.0044114, -0.00177441, -0.00167699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0538663, 0.0659708, 0.0749135, 0.0808884, 0.0862442, 0.087464, 0.0883661, 0.0898318, 0.0973403, 0.0990985, 0.100701, 0.103567, 0.106779, 0.120666, 0.125211, 0.132028, 0.140029, 0.145058, 0.151764, 0.161594");
-            values ( \
-              "-0.00697865, -0.0809568, -0.107686, -0.122846, -0.133605, -0.134319, -0.137398, -0.134624, -0.105448, -0.105387, -0.107535, -0.108474, -0.102412, -0.0501211, -0.0368499, -0.0224572, -0.0121498, -0.00818071, -0.00476772, -0.00225043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0547395, 0.0668991, 0.088546, 0.0895782, 0.0932889, 0.0956821, 0.0989114, 0.108968, 0.111193, 0.116435, 0.119364, 0.122516, 0.126197, 0.130993, 0.142535, 0.149519, 0.153641, 0.15936, 0.164632, 0.171662, 0.17822, 0.188863, 0.203054, 0.214013");
-            values ( \
-              "-0.00419764, -0.0960653, -0.169402, -0.171418, -0.169598, -0.167404, -0.162075, -0.133704, -0.131482, -0.136696, -0.135797, -0.131902, -0.1247, -0.11161, -0.0738765, -0.0546841, -0.045185, -0.0343252, -0.0264061, -0.0183443, -0.0130019, -0.00733665, -0.0032901, -0.0020185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0559361, 0.0701344, 0.089832, 0.104958, 0.114732, 0.120234, 0.129867, 0.132292, 0.137777, 0.141169, 0.144941, 0.155089, 0.161066, 0.169036, 0.182557, 0.199623, 0.21378, 0.228133, 0.24352, 0.252861, 0.271542, 0.294811");
-            values ( \
-              "-0.0153588, -0.117837, -0.191005, -0.185778, -0.180065, -0.172463, -0.155364, -0.15357, -0.158333, -0.159251, -0.157797, -0.148187, -0.1397, -0.123918, -0.0920675, -0.0580272, -0.0378602, -0.0239592, -0.0143719, -0.0104619, -0.00542477, -0.00254789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0570653, 0.0686582, 0.0873678, 0.0880921, 0.0895406, 0.0913217, 0.0929759, 0.0954886, 0.0996206, 0.105476, 0.107024, 0.110121, 0.116314, 0.125196, 0.126305, 0.128523, 0.132959, 0.139432, 0.146097, 0.153817, 0.159818, 0.165977, 0.167319, 0.170003, 0.173208, 0.179618, 0.184495, 0.189331, 0.195196, 0.20345, 0.230116, 0.238712, 0.270366, 0.276079, 0.277272, 0.279657, 0.284428, 0.292524, 0.308136, 0.312924, 0.322499, 0.34165, 0.353238, 0.357572, 0.36624, 0.383577, 0.413522, 0.460443, 0.507364");
-            values ( \
-              "-0.0146061, -0.117267, -0.1918, -0.202423, -0.20125, -0.204291, -0.20103, -0.204068, -0.199771, -0.202122, -0.198392, -0.201135, -0.196482, -0.19782, -0.194245, -0.196985, -0.19259, -0.193856, -0.187481, -0.184507, -0.175008, -0.172703, -0.168573, -0.172306, -0.171255, -0.177041, -0.172714, -0.173931, -0.168084, -0.166678, -0.141904, -0.126367, -0.0793497, -0.0751405, -0.0706159, -0.0707862, -0.0623017, -0.0567883, -0.0399345, -0.0393481, -0.0300025, -0.0230228, -0.015568, -0.0171082, -0.0116204, -0.0106284, -0.00334499, -0.00312663, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.058265, 0.0732914, 0.0904729, 0.150614, 0.182264, 0.202122, 0.22827, 0.235891, 0.237621, 0.248, 0.262474, 0.283705, 0.313446, 0.348216, 0.409496, 0.439405, 0.456391, 0.478493, 0.517832, 0.548089, 0.579437, 0.60343, 0.650351, 0.697272, 0.744193, 0.838035");
-            values ( \
-              "-0.039665, -0.140393, -0.210842, -0.204014, -0.199488, -0.194438, -0.182221, -0.183327, -0.18462, -0.185675, -0.182773, -0.177075, -0.165864, -0.142423, -0.086452, -0.0642038, -0.053611, -0.0421629, -0.0268943, -0.0188601, -0.0129746, -0.00965381, -0.00540141, -0.00295019, -0.00166218, -0.000518984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105194, 0.112219, 0.120635, 0.129663, 0.14165, 0.148873, 0.152168, 0.160762, 0.163248, 0.168994, 0.171368, 0.174468, 0.17794, 0.194749, 0.205101, 0.21031, 0.213089, 0.219164, 0.220323");
-            values ( \
-              "-0.00309945, -0.0190642, -0.0309985, -0.0408033, -0.0514149, -0.0561188, -0.0556144, -0.0463363, -0.0464856, -0.056438, -0.0575207, -0.0564937, -0.0529119, -0.0180598, -0.00690266, -0.00409367, -0.00474669, -0.00251328, -0.00229106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.105172, 0.114822, 0.125776, 0.141197, 0.151183, 0.160002, 0.163593, 0.17318, 0.175514, 0.179725, 0.182313, 0.185363, 0.18939, 0.194203, 0.210288, 0.219704, 0.224819, 0.234461, 0.242984, 0.248791");
-            values ( \
-              "-0.000772725, -0.0278215, -0.0449306, -0.0637393, -0.0742415, -0.0818, -0.0817868, -0.0728599, -0.0736542, -0.082508, -0.0859826, -0.0870488, -0.0849024, -0.0771098, -0.0339462, -0.0188466, -0.012683, -0.00592602, -0.00291602, -0.00204258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.105159, 0.124152, 0.133013, 0.15267, 0.165274, 0.176334, 0.180324, 0.190772, 0.193213, 0.197723, 0.202016, 0.204815, 0.20749, 0.212775, 0.231888, 0.241912, 0.25118, 0.257818, 0.272178, 0.283726, 0.296901");
-            values ( \
-              "-0.000820353, -0.0476985, -0.0620512, -0.08903, -0.104503, -0.115708, -0.11645, -0.109958, -0.110809, -0.120529, -0.125944, -0.126144, -0.125334, -0.120668, -0.0618607, -0.0389536, -0.0246143, -0.0174553, -0.0081443, -0.00428193, -0.00228248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.105132, 0.134776, 0.168407, 0.184716, 0.193591, 0.198941, 0.203398, 0.210248, 0.211352, 0.217189, 0.222641, 0.226205, 0.230008, 0.240127, 0.245471, 0.252596, 0.274205, 0.285198, 0.298995, 0.312919, 0.323736, 0.33502, 0.343668, 0.360963, 0.392491, 0.429795");
-            values ( \
-              "-0.00223493, -0.0705799, -0.121173, -0.143282, -0.154059, -0.158929, -0.160644, -0.158595, -0.159727, -0.15407, -0.158472, -0.159321, -0.157812, -0.148178, -0.140724, -0.127187, -0.0775946, -0.0571047, -0.0376391, -0.0241484, -0.0168571, -0.0115518, -0.00861585, -0.00467734, -0.0013917, -0.000292787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.105153, 0.143742, 0.180012, 0.210268, 0.211428, 0.213748, 0.218297, 0.221522, 0.226311, 0.238151, 0.25241, 0.26668, 0.270573, 0.274465, 0.292891, 0.306626, 0.358415, 0.371032, 0.394376, 0.417997, 0.4357, 0.453759, 0.467538, 0.495096, 0.542017, 0.588938");
-            values ( \
-              "-0.00373989, -0.0893713, -0.147243, -0.191305, -0.196319, -0.194455, -0.194967, -0.192484, -0.192283, -0.184331, -0.169529, -0.175887, -0.173288, -0.173062, -0.163023, -0.151318, -0.077102, -0.0621518, -0.0405685, -0.0257242, -0.018, -0.0124763, -0.00941643, -0.00521838, -0.00180635, -0.000596375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.105132, 0.14986, 0.183137, 0.210248, 0.213928, 0.219325, 0.224201, 0.233579, 0.252488, 0.2692, 0.286234, 0.312801, 0.317695, 0.322705, 0.32864, 0.335843, 0.35079, 0.376659, 0.398514, 0.438251, 0.475259, 0.508015, 0.524158, 0.541377, 0.563603, 0.581734, 0.603165, 0.633369, 0.646655, 0.664523, 0.688348, 0.735269, 0.782191, 0.829112, 0.922954");
-            values ( \
-              "-0.00484568, -0.102609, -0.158724, -0.20201, -0.205781, -0.206208, -0.205076, -0.20423, -0.201656, -0.198921, -0.194828, -0.182326, -0.182233, -0.184695, -0.185567, -0.185259, -0.18195, -0.1746, -0.165685, -0.138299, -0.103235, -0.0758277, -0.0644357, -0.0538126, -0.0421401, -0.034381, -0.0269167, -0.0187851, -0.0160437, -0.0129514, -0.00973083, -0.00537932, -0.00300258, -0.00162718, -0.00048054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.213192, 0.215629, 0.224564, 0.227646, 0.233811, 0.240531, 0.250282, 0.259706, 0.271809, 0.285638, 0.2975, 0.298443, 0.299701, 0.302217, 0.305788, 0.311243, 0.313602, 0.316749, 0.323801, 0.327545, 0.331286, 0.334738, 0.340085, 0.34557, 0.353808, 0.368558, 0.377298, 0.381645, 0.387858, 0.396142, 0.410296, 0.414643");
-            values ( \
-              "-0.00457035, -0.00485101, -0.00638418, -0.00731347, -0.00878374, -0.0111659, -0.0157308, -0.0205895, -0.025634, -0.0304908, -0.0334926, -0.0335825, -0.0335313, -0.0329509, -0.0310438, -0.0274115, -0.0263277, -0.026282, -0.0335025, -0.0355556, -0.0362378, -0.0365099, -0.0362419, -0.0346098, -0.0283808, -0.013762, -0.00778984, -0.00573934, -0.00363184, -0.00188796, -0.000548223, -0.000440192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.215442, 0.233539, 0.243257, 0.252974, 0.263088, 0.284249, 0.300868, 0.313367, 0.315406, 0.319483, 0.324392, 0.332217, 0.334863, 0.337652, 0.344831, 0.348973, 0.35747, 0.365775, 0.370083, 0.376546, 0.393136, 0.400686, 0.411901, 0.420785, 0.428029, 0.442517, 0.461973, 0.505711, 0.515944, 0.528556");
-            values ( \
-              "-0.00332372, -0.0118941, -0.0154173, -0.0207361, -0.0272278, -0.0378072, -0.0448712, -0.0492623, -0.0496138, -0.049498, -0.0473782, -0.0428086, -0.0423729, -0.0443611, -0.0527676, -0.0546727, -0.0556757, -0.0543279, -0.0522347, -0.0462498, -0.0249604, -0.0171583, -0.00913801, -0.00535102, -0.00337516, -0.00122553, -0.000279816, -3.50817e-05, -0.000669308, -0.000283241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.223648, 0.252948, 0.276325, 0.292639, 0.32316, 0.34117, 0.344401, 0.347682, 0.352244, 0.358673, 0.361827, 0.365212, 0.372305, 0.375812, 0.380385, 0.38539, 0.390982, 0.402165, 0.406367, 0.414304, 0.428217, 0.437393, 0.448209, 0.455676, 0.461466, 0.467504, 0.475556, 0.491659, 0.505685, 0.514327, 0.534379");
-            values ( \
-              "-0.00879186, -0.0240085, -0.0392773, -0.0485266, -0.0639649, -0.0710435, -0.0714095, -0.0710152, -0.0693317, -0.0663101, -0.0657595, -0.0677461, -0.0766184, -0.0788807, -0.0802113, -0.0811839, -0.0812493, -0.0784237, -0.0751846, -0.0656923, -0.0444393, -0.032065, -0.0207827, -0.0150052, -0.0115498, -0.00872543, -0.00591141, -0.00255869, -0.00121581, -0.00178156, -0.000558839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.257572, 0.302532, 0.314814, 0.334739, 0.354578, 0.367774, 0.372587, 0.3774, 0.382383, 0.387801, 0.393011, 0.397374, 0.399236, 0.400167, 0.401098, 0.402029, 0.40296, 0.406157, 0.408808, 0.411459, 0.41307, 0.416293, 0.417904, 0.42344, 0.425235, 0.428825, 0.43062, 0.432627, 0.434635, 0.436642, 0.43865, 0.442665, 0.44668, 0.450695, 0.45471, 0.456492, 0.458274, 0.465402, 0.483139, 0.490141, 0.504894, 0.505427, 0.507027, 0.508644, 0.514206, 0.518017, 0.521827, 0.526909, 0.529449, 0.533993");
-            values ( \
-              "-0.0564015, -0.0594475, -0.0668528, -0.0783197, -0.0892079, -0.0960299, -0.0980233, -0.0997525, -0.0995491, -0.0989934, -0.098122, -0.0971106, -0.0971345, -0.0973864, -0.0977983, -0.09837, -0.0991018, -0.10325, -0.106242, -0.10883, -0.109864, -0.111547, -0.112195, -0.113897, -0.114142, -0.114383, -0.11438, -0.114278, -0.114072, -0.113763, -0.113351, -0.112176, -0.11056, -0.108503, -0.106005, -0.104383, -0.102601, -0.0923678, -0.0642692, -0.0536572, -0.0354322, -0.0351049, -0.0344991, -0.0334317, -0.0278459, -0.0246531, -0.0218384, -0.0185234, -0.0170312, -0.014628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.264355, 0.312631, 0.346902, 0.371536, 0.38009, 0.397197, 0.413296, 0.416653, 0.423368, 0.426725, 0.428616, 0.430506, 0.434287, 0.438855, 0.443423, 0.448977, 0.45037, 0.451763, 0.454549, 0.463972, 0.466777, 0.469583, 0.480068, 0.489264, 0.496835, 0.504406, 0.506033, 0.506847, 0.509288, 0.511758, 0.514228, 0.518123, 0.523989, 0.534159, 0.544329, 0.553144, 0.561958, 0.571869, 0.577028, 0.584768, 0.590782, 0.597649, 0.604982, 0.610832, 0.615876, 0.625158, 0.629556, 0.638352, 0.644648, 0.65034");
-            values ( \
-              "-0.0681863, -0.0696693, -0.0915729, -0.106901, -0.112045, -0.122056, -0.130974, -0.132542, -0.135378, -0.136645, -0.137019, -0.137321, -0.137703, -0.137433, -0.137414, -0.137729, -0.138123, -0.138642, -0.14006, -0.149531, -0.151195, -0.152596, -0.156329, -0.156481, -0.156326, -0.155916, -0.155424, -0.155057, -0.153704, -0.151952, -0.149816, -0.145359, -0.137585, -0.122303, -0.106514, -0.0936725, -0.0814367, -0.0688682, -0.0626796, -0.0548356, -0.0493085, -0.0434431, -0.0375889, -0.0333977, -0.0302968, -0.0253263, -0.0233301, -0.0195673, -0.0170623, -0.0149325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.237601, 0.253159, 0.275291, 0.388807, 0.454324, 0.471299, 0.492986, 0.505896, 0.509155, 0.522302, 0.52958, 0.536807, 0.55076, 0.582017, 0.605406, 0.645088, 0.68222, 0.708787, 0.730979, 0.770456, 0.788702, 0.810107, 0.840289, 0.871363, 0.895116, 0.942037, 0.988958, 1.0095");
-            values ( \
-              "-0.0227824, -0.0311675, -0.0456627, -0.123451, -0.164498, -0.174075, -0.184201, -0.186959, -0.187015, -0.182214, -0.184822, -0.185752, -0.183472, -0.174977, -0.165808, -0.138242, -0.103255, -0.0806317, -0.0645177, -0.0421905, -0.0343802, -0.0268736, -0.0188071, -0.0129809, -0.0097253, -0.00540821, -0.00298698, -0.00240158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.444441, 0.492069, 0.51328, 0.523782, 0.544788, 0.553322, 0.586783, 0.608285, 0.610981, 0.624467, 0.631668, 0.638097, 0.650747, 0.653911, 0.657243, 0.66099, 0.664701, 0.671006, 0.67545, 0.687265, 0.693142, 0.704897, 0.71053, 0.71936, 0.730749, 0.745754, 0.759005, 0.766374, 0.778032, 0.793577, 0.815351, 0.841944, 0.876036, 0.969878, 1.15756");
-            values ( \
-              "-0.000569371, -0.00269705, -0.003995, -0.00483271, -0.0071857, -0.00878289, -0.0144641, -0.0172903, -0.0177504, -0.019266, -0.0195557, -0.0190365, -0.0153126, -0.0145821, -0.0145283, -0.0160805, -0.0186242, -0.0208951, -0.0214699, -0.0222119, -0.0223661, -0.022378, -0.0218453, -0.0201932, -0.0157751, -0.00917526, -0.00502779, -0.00348486, -0.00189221, -0.000801482, -0.000232545, -8.98954e-05, -3.72709e-05, -9.43851e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.444084, 0.521401, 0.534537, 0.558436, 0.566885, 0.613724, 0.633925, 0.652473, 0.65826, 0.66522, 0.679441, 0.684965, 0.699188, 0.71076, 0.727004, 0.743504, 0.754138, 0.788979, 0.804448, 0.822775, 0.838039, 0.862848, 0.89215, 1.16387");
-            values ( \
-              "-0.000177453, -0.00639546, -0.00801175, -0.01176, -0.0138612, -0.0232392, -0.0266748, -0.0292345, -0.0297015, -0.0291587, -0.0251421, -0.0243411, -0.0321879, -0.033578, -0.0345623, -0.0341422, -0.0319121, -0.0135828, -0.00745501, -0.00336583, -0.0016238, -0.000437543, -0.00010714, -6.79934e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.464153, 0.490894, 0.514374, 0.536493, 0.550745, 0.576886, 0.633192, 0.685045, 0.697306, 0.705652, 0.72268, 0.726736, 0.736774, 0.745397, 0.757582, 0.777714, 0.801725, 0.809044, 0.850923, 0.865964, 0.881212, 0.890228, 0.908262, 0.937951, 0.972451, 1.15602");
-            values ( \
-              "-0.00330856, -0.00511368, -0.00760216, -0.0104978, -0.0128152, -0.0182909, -0.0318048, -0.0419067, -0.0432444, -0.0430471, -0.0391999, -0.0389186, -0.0453212, -0.0483237, -0.0497593, -0.0511396, -0.049392, -0.047028, -0.0207616, -0.0133007, -0.00805362, -0.00588014, -0.00300195, -0.000846547, -0.000188765, -1.65407e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.490804, 0.532377, 0.553683, 0.573309, 0.631017, 0.672423, 0.715589, 0.73603, 0.752623, 0.762086, 0.780931, 0.788471, 0.800163, 0.806585, 0.820603, 0.834313, 0.850608, 0.880393, 0.88785, 0.950026, 0.965099, 0.978773, 0.995344, 1.01744, 1.05655, 1.10169, 1.19553");
-            values ( \
-              "-0.00864262, -0.0121312, -0.0157619, -0.019863, -0.0349905, -0.0451372, -0.0547694, -0.0587902, -0.0612487, -0.0612897, -0.0586667, -0.0598932, -0.0670553, -0.0686003, -0.0705864, -0.0719382, -0.0729206, -0.0695615, -0.0665464, -0.0246608, -0.0174611, -0.012478, -0.00814561, -0.00442531, -0.00128243, -0.000273429, -2.64957e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.490575, 0.58153, 0.608102, 0.691619, 0.726654, 0.79843, 0.814593, 0.829269, 0.840529, 0.862531, 0.868265, 0.880415, 0.889963, 0.905975, 0.930698, 0.952201, 0.990059, 1.00644, 1.04627, 1.07662, 1.09177, 1.11196, 1.12657, 1.14235, 1.1634, 1.2055, 1.21577, 1.22717, 1.25653, 1.28333, 1.33025");
-            values ( \
-              "-0.00539452, -0.0240089, -0.0308271, -0.0537163, -0.0625762, -0.0792502, -0.0825744, -0.0847642, -0.0854073, -0.0844835, -0.0856832, -0.0925026, -0.0952423, -0.0976343, -0.100462, -0.101265, -0.0948055, -0.085315, -0.0554158, -0.0358338, -0.028147, -0.0199664, -0.0154019, -0.0115462, -0.00774485, -0.00323365, -0.00263829, -0.00269782, -0.00144885, -0.000849105, -0.000260454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.537754, 0.604012, 0.70837, 0.800745, 0.872293, 0.919214, 0.933839, 0.972644, 0.989628, 1.02952, 1.0669, 1.0913, 1.13822, 1.24209, 1.27215, 1.29616, 1.33064, 1.37696, 1.41455, 1.46147, 1.49951");
-            values ( \
-              "-0.0273232, -0.0315176, -0.060902, -0.0853673, -0.103227, -0.11365, -0.116145, -0.118697, -0.126468, -0.133754, -0.137625, -0.137691, -0.125376, -0.0548759, -0.0394886, -0.0300153, -0.0200118, -0.011495, -0.00722191, -0.00400927, -0.00254636" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00174412, 0.00175482, 0.00176508, 0.00177341, 0.00177938, 0.00178326", \
-            "0.00193367, 0.00194053, 0.00194826, 0.0019555, 0.00196124, 0.00196527", \
-            "0.00204585, 0.00204748, 0.00204917, 0.00205312, 0.002057, 0.00206017", \
-            "0.00211246, 0.002112, 0.00211273, 0.00211317, 0.00211419, 0.00211558", \
-            "0.0021498, 0.00214811, 0.00214608, 0.00214374, 0.00214189, 0.00214057", \
-            "0.00216896, 0.00216717, 0.00216441, 0.00216115, 0.00215781, 0.00215488" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00216244, 0.00217478, 0.00218623, 0.00219507, 0.00220094, 0.00220449", \
-            "0.00224988, 0.00225194, 0.00225448, 0.00225677, 0.00225832, 0.00225909", \
-            "0.00227657, 0.00226047, 0.00224797, 0.00223729, 0.00223028, 0.00222544", \
-            "0.00235582, 0.00230547, 0.00225876, 0.00222413, 0.00219863, 0.00218115", \
-            "0.00262166, 0.00249619, 0.00238455, 0.00229802, 0.00223791, 0.00219652", \
-            "0.00340156, 0.00297508, 0.00270741, 0.00250971, 0.00236765, 0.00227282" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(~A0 & ~A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A0 * !A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0432547, 0.0582365, 0.0841721, 0.129502, 0.209298, 0.350245", \
-            "0.0469121, 0.0619877, 0.0881319, 0.133694, 0.213665, 0.354749", \
-            "0.0583277, 0.0730945, 0.0991492, 0.144806, 0.224652, 0.365815", \
-            "0.0860684, 0.102909, 0.128228, 0.172852, 0.253373, 0.394692", \
-            "0.130432, 0.156284, 0.193551, 0.245862, 0.32455, 0.464945", \
-            "0.206009, 0.243199, 0.298777, 0.378593, 0.489018, 0.63998" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0480596, 0.0689582, 0.105619, 0.170156, 0.284125, 0.485809", \
-            "0.0478208, 0.0688709, 0.105604, 0.170162, 0.28412, 0.485809", \
-            "0.0482326, 0.0683838, 0.105429, 0.170091, 0.284194, 0.485803", \
-            "0.0626423, 0.078134, 0.109156, 0.170063, 0.284168, 0.485826", \
-            "0.0944221, 0.115155, 0.144986, 0.193194, 0.291539, 0.485615", \
-            "0.144504, 0.175392, 0.220267, 0.283115, 0.370686, 0.528511" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0258075, 0.0331838, 0.0459634, 0.0683542, 0.107818, 0.177548", \
-            "0.0295312, 0.0370157, 0.0499266, 0.072427, 0.111966, 0.181751", \
-            "0.038403, 0.0465423, 0.0595348, 0.0821744, 0.121671, 0.191714", \
-            "0.0488052, 0.0614567, 0.0800554, 0.105462, 0.145579, 0.215512", \
-            "0.0541497, 0.0740484, 0.10298, 0.143488, 0.198856, 0.274227", \
-            "0.0419432, 0.0714428, 0.114705, 0.176469, 0.26244, 0.379176" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0201491, 0.0295168, 0.0461354, 0.0755615, 0.127675, 0.219915", \
-            "0.0201352, 0.0295084, 0.0461397, 0.0755708, 0.127664, 0.220144", \
-            "0.0246394, 0.0321045, 0.0469199, 0.0755612, 0.127668, 0.219915", \
-            "0.0399523, 0.0490371, 0.0618275, 0.0836919, 0.12999, 0.219911", \
-            "0.0654939, 0.0791202, 0.0987225, 0.126187, 0.164621, 0.237008", \
-            "0.109774, 0.129846, 0.159323, 0.201352, 0.259402, 0.338298" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0205977, 0.0223272, 0.026449, 0.0319969, 0.0379155, 0.0492761, 0.0539717, 0.0581291, 0.0656451, 0.0700721, 0.0734125, 0.079119, 0.0861275, 0.0921474, 0.100151, 0.106838, 0.115753, 0.127866, 0.135228, 0.147608, 0.162049, 0.173563, 0.19659, 0.214848");
-            values ( \
-              "0.0119421, 0.0781495, 0.0728483, 0.067553, 0.0637309, 0.0575531, 0.0540613, 0.0495354, 0.0389042, 0.0334326, 0.029876, 0.0248328, 0.0200075, 0.0166164, 0.0129802, 0.0105723, 0.00800501, 0.00548145, 0.0043439, 0.00291927, 0.00184351, 0.00127231, 0.000586208, 0.000365481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0206589, 0.0233295, 0.0255916, 0.0295228, 0.035549, 0.0379113, 0.0422099, 0.0467864, 0.0557617, 0.0652121, 0.0695655, 0.0764766, 0.0880904, 0.0964447, 0.110123, 0.116472, 0.12917, 0.144168, 0.154924, 0.162564, 0.17597, 0.191292, 0.206994, 0.239077, 0.272227");
-            values ( \
-              "0.0110923, 0.0922315, 0.0903483, 0.0846817, 0.0811332, 0.0790548, 0.0779261, 0.0755152, 0.072895, 0.0686303, 0.0660921, 0.0604995, 0.0480689, 0.0401202, 0.0297723, 0.0258944, 0.0193914, 0.013735, 0.0106308, 0.00885136, 0.00639014, 0.00436754, 0.00296001, 0.00130954, 0.000589542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0216988, 0.0246019, 0.0312736, 0.0345747, 0.0410095, 0.047984, 0.0765001, 0.0917664, 0.107164, 0.13414, 0.14688, 0.165356, 0.176888, 0.191709, 0.203147, 0.214978, 0.23082, 0.245301, 0.26461, 0.28651, 0.321978, 0.369268, 0.396177");
-            values ( \
-              "0.0647018, 0.103455, 0.0958345, 0.0935815, 0.0907412, 0.0886658, 0.0820488, 0.0776115, 0.0703872, 0.0510961, 0.0429169, 0.0330007, 0.0277232, 0.0219801, 0.0182874, 0.0150396, 0.0115075, 0.00898069, 0.00640031, 0.00434765, 0.0022973, 0.000933125, 0.000639547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0217353, 0.0264512, 0.0325501, 0.0369743, 0.0432323, 0.0540118, 0.093591, 0.112221, 0.137153, 0.149038, 0.163975, 0.202759, 0.233376, 0.266475, 0.302133, 0.315724, 0.332791, 0.354875, 0.373128, 0.395015, 0.425967, 0.458642, 0.483742, 0.533944, 0.600214, 0.666485, 0.732755");
-            values ( \
-              "0.0737509, 0.109337, 0.103406, 0.101085, 0.0990525, 0.0970706, 0.0916509, 0.0888088, 0.0841666, 0.0810592, 0.076069, 0.0586468, 0.0455582, 0.0335542, 0.0233891, 0.0202562, 0.0169691, 0.0131673, 0.010714, 0.00846491, 0.00578332, 0.00392505, 0.00303916, 0.00153852, 0.000771029, 0.000231688, 0.000202211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0237078, 0.0237278, 0.0751683, 0.0958401, 0.174843, 0.216886, 0.245489, 0.295028, 0.387837, 0.434351, 0.47355, 0.505006, 0.546948, 0.574026, 0.625235, 0.682245, 0.74876, 0.802491, 0.868762, 0.971714");
-            values ( \
-              "1e-22, 0.114269, 0.101599, 0.100122, 0.0930892, 0.0883641, 0.0838138, 0.0719587, 0.0466934, 0.0358433, 0.0281659, 0.0230072, 0.0173591, 0.0144209, 0.0100633, 0.00665981, 0.00410486, 0.00274872, 0.00167275, 0.000850588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0264956, 0.0265156, 0.116694, 0.149661, 0.214897, 0.285688, 0.334, 0.358019, 0.394815, 0.435417, 0.501687, 0.626403, 0.654954, 0.693023, 0.759294, 0.809251, 0.862775, 0.929045, 0.965088, 0.990855, 1.02521, 1.07964, 1.14591, 1.18633, 1.2526, 1.31887, 1.38514, 1.45141, 1.58395, 1.71649, 1.91531");
-            values ( \
-              "1e-22, 0.119564, 0.104484, 0.102735, 0.0996787, 0.0957325, 0.0927262, 0.0909747, 0.0877362, 0.0830461, 0.0732424, 0.0526537, 0.0481836, 0.0425233, 0.033661, 0.0279495, 0.0227121, 0.0173925, 0.0149944, 0.0134729, 0.0116668, 0.00924951, 0.00694833, 0.00582633, 0.00435765, 0.00324804, 0.00242235, 0.00179984, 0.000993853, 0.000547553, 0.00022589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0319995, 0.0408719, 0.0411369, 0.041667, 0.0427272, 0.0440349, 0.0464977, 0.0503916, 0.0523029, 0.0552783, 0.0585088, 0.0648089, 0.065121, 0.06949, 0.0724098, 0.0813946, 0.0838894, 0.0872157, 0.0932651, 0.101063, 0.109974, 0.1165, 0.124348, 0.13787, 0.14939, 0.161679, 0.170569, 0.188241, 0.211803, 0.227915");
-            values ( \
-              "0.00412315, 0.0790729, 0.0719843, 0.078257, 0.0705084, 0.0755374, 0.0663592, 0.0692956, 0.061461, 0.0658957, 0.0576082, 0.0600807, 0.056721, 0.0528924, 0.0495781, 0.0369831, 0.0339271, 0.0303682, 0.0248833, 0.0196236, 0.0148258, 0.0121566, 0.00953513, 0.00623419, 0.00433095, 0.00296277, 0.00219033, 0.00122928, 0.000592439, 0.000380488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0323235, 0.0420772, 0.0472204, 0.0523566, 0.0576013, 0.0801809, 0.0900798, 0.107769, 0.116034, 0.122652, 0.130963, 0.142044, 0.15983, 0.172381, 0.186817, 0.196708, 0.216292, 0.227886, 0.251074, 0.287663");
-            values ( \
-              "0.0123058, 0.090613, 0.084748, 0.0805633, 0.0777142, 0.0683144, 0.0614501, 0.0428538, 0.0357293, 0.0310023, 0.0258138, 0.0200927, 0.0133296, 0.00985848, 0.00695786, 0.00546708, 0.00336401, 0.00250779, 0.00139043, 0.000529841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0374354, 0.0374554, 0.055353, 0.0626021, 0.0911272, 0.10581, 0.109766, 0.114288, 0.122873, 0.147471, 0.156257, 0.166727, 0.177885, 0.190073, 0.205779, 0.21901, 0.229187, 0.245518, 0.259684, 0.278571, 0.294977, 0.317258, 0.346966, 0.40197, 0.466224");
-            values ( \
-              "1e-22, 0.107647, 0.0916079, 0.0889342, 0.082041, 0.0778385, 0.0763165, 0.0743154, 0.0695476, 0.05196, 0.0461792, 0.0399239, 0.0340227, 0.0283283, 0.0221608, 0.0179162, 0.0151418, 0.0114881, 0.00901464, 0.0064749, 0.00484784, 0.00326245, 0.00189482, 0.000642997, 0.000171059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0385028, 0.0385228, 0.0678584, 0.126973, 0.151021, 0.164163, 0.17874, 0.231573, 0.248129, 0.281303, 0.307411, 0.32139, 0.347501, 0.36961, 0.387913, 0.409785, 0.440723, 0.473348, 0.498405, 0.54852, 0.61479, 0.629904");
-            values ( \
-              "1e-22, 0.115773, 0.0973097, 0.0887617, 0.0843682, 0.080982, 0.076028, 0.0524857, 0.0456133, 0.0335939, 0.02586, 0.0223767, 0.0169083, 0.0132418, 0.0107823, 0.0083929, 0.00585505, 0.00400003, 0.00297028, 0.00161468, 0.000700233, 0.000635339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0394003, 0.0394203, 0.087639, 0.151545, 0.189842, 0.231885, 0.261555, 0.300309, 0.382834, 0.450097, 0.48895, 0.51922, 0.55958, 0.588446, 0.642833, 0.698138, 0.761644, 0.812414, 0.878684, 0.944955, 0.999346");
-            values ( \
-              "1e-22, 0.11776, 0.101852, 0.096631, 0.093091, 0.0883664, 0.0836114, 0.0745692, 0.0517336, 0.0356872, 0.028094, 0.0231245, 0.0176473, 0.0144777, 0.00988329, 0.00661646, 0.004167, 0.00286184, 0.00173489, 0.00105347, 0.000828328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.0412082, 0.0412282, 0.130359, 0.231434, 0.300674, 0.373004, 0.409797, 0.450402, 0.516672, 0.669939, 0.774278, 0.824234, 0.877756, 0.944026, 0.980067, 1.04019, 1.09461, 1.16088, 1.26757, 1.33384, 1.46638, 1.59892, 1.63964");
-            values ( \
-              "1e-22, 0.119147, 0.104437, 0.0995037, 0.0957329, 0.0909752, 0.0877364, 0.0830466, 0.0732423, 0.0481842, 0.0336615, 0.02795, 0.0227127, 0.0173929, 0.0149949, 0.0116673, 0.00924999, 0.00694871, 0.00435804, 0.00324829, 0.00179996, 0.000993896, 0.000899515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0553803, 0.0744441, 0.0796505, 0.085156, 0.0874283, 0.0886149, 0.089574, 0.0910494, 0.0932681, 0.102029, 0.108633, 0.11759, 0.11948, 0.122, 0.125792, 0.13043, 0.135192, 0.140634, 0.150357, 0.154482, 0.161039, 0.169782, 0.174306, 0.181865, 0.189456, 0.200102, 0.204528, 0.21338, 0.231084, 0.261855, 0.298135");
-            values ( \
-              "0.00122239, 0.0395992, 0.0477136, 0.0554251, 0.0582382, 0.0635926, 0.0655707, 0.0660122, 0.0648317, 0.0575017, 0.050836, 0.0378333, 0.0354703, 0.0324914, 0.0286476, 0.0246711, 0.0212982, 0.0179771, 0.013304, 0.0117321, 0.00958384, 0.00729849, 0.00633069, 0.00500201, 0.00392324, 0.00279973, 0.00242345, 0.00183312, 0.00101742, 0.000351946, 8.73425e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0620836, 0.0758957, 0.0875271, 0.0886267, 0.0908579, 0.093492, 0.102415, 0.116448, 0.1231, 0.146835, 0.154287, 0.163813, 0.183454, 0.196515, 0.207136, 0.218819, 0.238264, 0.252325, 0.273832, 0.302509, 0.319622");
-            values ( \
-              "0.0220872, 0.0510602, 0.0723639, 0.0788509, 0.082572, 0.0816395, 0.0754414, 0.0686782, 0.0644217, 0.0407111, 0.0345631, 0.0281193, 0.0180154, 0.0133226, 0.0103402, 0.00780683, 0.00483934, 0.00341598, 0.00199189, 0.000941063, 0.000676837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0590378, 0.0767148, 0.0874255, 0.0885374, 0.0900057, 0.0912789, 0.104999, 0.142871, 0.158325, 0.187242, 0.202011, 0.221492, 0.248763, 0.262213, 0.280146, 0.303555, 0.322899, 0.361203, 0.39165, 0.436449");
-            values ( \
-              "0.00278192, 0.0604653, 0.0837131, 0.0910627, 0.0953502, 0.0957448, 0.088246, 0.0775939, 0.0703536, 0.0497242, 0.0405421, 0.0305995, 0.019973, 0.0160321, 0.0118423, 0.00792716, 0.00563751, 0.0028559, 0.00163471, 0.000765784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.058994, 0.0839448, 0.0873767, 0.0891349, 0.0913429, 0.103363, 0.112368, 0.167746, 0.184452, 0.196619, 0.212841, 0.220379, 0.278469, 0.305401, 0.323037, 0.34559, 0.362475, 0.380644, 0.40485, 0.426956, 0.45643, 0.489263, 0.50696, 0.542355, 0.608625, 0.674896, 0.741166");
-            values ( \
-              "0.00291028, 0.0835914, 0.0916377, 0.100938, 0.105247, 0.0987698, 0.0963858, 0.0880782, 0.0850003, 0.0821433, 0.07699, 0.0739714, 0.047939, 0.0378344, 0.0319392, 0.0254451, 0.0213361, 0.0175519, 0.0134304, 0.010476, 0.00746028, 0.0050914, 0.00413507, 0.00271208, 0.00118251, 0.000513978, 0.000221419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0641054, 0.079768, 0.0918501, 0.099928, 0.103787, 0.109748, 0.121447, 0.173631, 0.226308, 0.266903, 0.288557, 0.31344, 0.359572, 0.427917, 0.481393, 0.523931, 0.546368, 0.588415, 0.624062, 0.653488, 0.68864, 0.738326, 0.790538, 0.830613, 0.896883, 0.963154, 1.02942, 1.16197");
-            values ( \
-              "0.020562, 0.0769739, 0.111335, 0.106475, 0.104952, 0.103665, 0.102129, 0.0978945, 0.0930658, 0.0886205, 0.0852994, 0.0803545, 0.068301, 0.0495986, 0.0368124, 0.028367, 0.0245833, 0.018607, 0.014576, 0.0118752, 0.00925101, 0.00645506, 0.00441626, 0.00328502, 0.00199739, 0.00120932, 0.000732838, 0.000268057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.0642579, 0.0919604, 0.112979, 0.291494, 0.372491, 0.409752, 0.476022, 0.555006, 0.707488, 0.813428, 0.911507, 1.02527, 1.1341, 1.20037, 1.37069, 1.50323, 1.59588");
-            values ( \
-              "0.0219393, 0.114957, 0.107343, 0.0982814, 0.0935979, 0.090983, 0.0845032, 0.0729667, 0.0480721, 0.0333779, 0.0229897, 0.0144791, 0.00914661, 0.00686306, 0.00325068, 0.00180272, 0.00123937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.112589, 0.124107, 0.130081, 0.145106, 0.160168, 0.171916, 0.182045, 0.19124, 0.200093, 0.208926, 0.210316, 0.211014, 0.213081, 0.222806, 0.230064, 0.23836, 0.244891, 0.252505, 0.262656, 0.268759, 0.279263, 0.294867, 0.312577, 0.331466, 0.3562");
-            values ( \
-              "0.00496838, 0.00854335, 0.011664, 0.0216113, 0.0300817, 0.0360819, 0.0407723, 0.0438043, 0.044175, 0.0355678, 0.0336317, 0.0345742, 0.0336364, 0.024417, 0.0193312, 0.014884, 0.01219, 0.00963231, 0.00700455, 0.00578844, 0.00415414, 0.00252222, 0.00142912, 0.000770834, 0.000369115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.11307, 0.128434, 0.152853, 0.170905, 0.184979, 0.197096, 0.208158, 0.210406, 0.212572, 0.215605, 0.231441, 0.24136, 0.251872, 0.268492, 0.276256, 0.291012, 0.302942, 0.31455, 0.330027, 0.343362, 0.36541, 0.394808, 0.413022");
-            values ( \
-              "0.00438303, 0.0135426, 0.0318903, 0.0444808, 0.0534099, 0.060211, 0.0640448, 0.0642858, 0.0662345, 0.065165, 0.0471268, 0.0376284, 0.0300692, 0.0207177, 0.0173651, 0.012296, 0.00923046, 0.00696867, 0.00475157, 0.00341662, 0.00196566, 0.000906793, 0.000637164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.11367, 0.141142, 0.162137, 0.173201, 0.185949, 0.203323, 0.210221, 0.213561, 0.21822, 0.222476, 0.230987, 0.237985, 0.247316, 0.254767, 0.278343, 0.292513, 0.300552, 0.311269, 0.330173, 0.339346, 0.344224, 0.35398, 0.371685, 0.387771, 0.409218, 0.423005, 0.428213, 0.438629, 0.459462, 0.501128, 0.560984, 0.627254");
-            values ( \
-              "0.00321385, 0.0261272, 0.0444409, 0.0535776, 0.0638515, 0.0765866, 0.0809205, 0.0842315, 0.0841733, 0.081838, 0.0787028, 0.0758974, 0.0710776, 0.0661628, 0.0492465, 0.0405032, 0.0361591, 0.0308912, 0.0230109, 0.0199189, 0.0183951, 0.0156761, 0.0116116, 0.00881489, 0.00605321, 0.00473833, 0.00433054, 0.0035914, 0.00247513, 0.00112496, 0.000341594, 8.20896e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.118252, 0.138952, 0.214459, 0.229144, 0.276876, 0.291371, 0.397551, 0.440286, 0.487183, 0.539205, 0.568423, 0.604901, 0.653538, 0.711083");
-            values ( \
-              "0.0115459, 0.026672, 0.0969648, 0.0927865, 0.0843728, 0.080609, 0.0368691, 0.0240931, 0.0145253, 0.00806462, 0.00573905, 0.00374019, 0.00207169, 0.00105808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.127131, 0.152209, 0.213692, 0.219222, 0.224485, 0.237481, 0.316504, 0.358541, 0.400989, 0.436119, 0.521676, 0.574806, 0.614906, 0.648074, 0.673612, 0.723999, 0.780499, 0.82946, 0.893151, 0.959422, 1.02569, 1.09196, 1.11669");
-            values ( \
-              "0.0198221, 0.0410943, 0.103375, 0.10407, 0.101829, 0.100148, 0.0930984, 0.0883907, 0.081017, 0.0720971, 0.0486819, 0.0361219, 0.0282193, 0.0228051, 0.0192458, 0.013623, 0.00914123, 0.00640875, 0.00402142, 0.00244927, 0.00148403, 0.000900049, 0.000812644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.126946, 0.209966, 0.215039, 0.220791, 0.226568, 0.248252, 0.340299, 0.427302, 0.493572, 0.535221, 0.577058, 0.643329, 0.796592, 0.900935, 1.00439, 1.07066, 1.1153, 1.22126, 1.28753, 1.39419, 1.46047, 1.65928, 1.73795");
-            values ( \
-              "0.0159063, 0.103377, 0.108045, 0.108369, 0.106438, 0.104828, 0.100443, 0.0957112, 0.0914827, 0.0878319, 0.0830713, 0.0732184, 0.0482021, 0.0336784, 0.0227298, 0.0173789, 0.0144618, 0.0092638, 0.00693554, 0.00434544, 0.00326171, 0.00132856, 0.000972394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.239974, 0.263607, 0.268981, 0.27973, 0.287514, 0.31769, 0.332853, 0.351921, 0.368422, 0.383387, 0.397412, 0.411231, 0.425015, 0.432405, 0.438217, 0.449842, 0.460767, 0.468666, 0.480426, 0.490922, 0.505778, 0.51139, 0.517172, 0.523788, 0.537019, 0.551718, 0.581117, 0.616861");
-            values ( \
-              "0.00325676, 0.00332818, 0.00388792, 0.00537585, 0.0068227, 0.0150379, 0.0186102, 0.0221904, 0.0249872, 0.0269843, 0.028415, 0.0279878, 0.0214542, 0.0173866, 0.0148451, 0.0108036, 0.00808019, 0.00647945, 0.00461235, 0.00340541, 0.00219009, 0.00315371, 0.00309192, 0.00241385, 0.00159365, 0.000979182, 0.000354937, 9.51778e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.233905, 0.269359, 0.286229, 0.295112, 0.318288, 0.348211, 0.371349, 0.391249, 0.409185, 0.425966, 0.442771, 0.459627, 0.462781, 0.472392, 0.481835, 0.494154, 0.505716, 0.506711, 0.507599, 0.509376, 0.525771, 0.54034, 0.556989, 0.56512, 0.577777, 0.594653, 0.628404, 0.647941");
-            values ( \
-              "0.00225455, 0.00551495, 0.00869872, 0.0110504, 0.0187741, 0.0270601, 0.0324551, 0.0367951, 0.0399519, 0.0420294, 0.0397725, 0.0292277, 0.0281205, 0.0231955, 0.0192115, 0.0148194, 0.0115461, 0.0124244, 0.0126276, 0.0127063, 0.00889013, 0.00624773, 0.00412292, 0.00337301, 0.00246091, 0.00160664, 0.000650929, 0.000447211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.252928, 0.285234, 0.299834, 0.33403, 0.371225, 0.399889, 0.42281, 0.44641, 0.467232, 0.488043, 0.490793, 0.505665, 0.510157, 0.536967, 0.553529, 0.567806, 0.578958, 0.593949, 0.606698, 0.620956, 0.64125, 0.662405, 0.678615, 0.711036, 0.770314, 0.836585");
-            values ( \
-              "0.00887014, 0.0106439, 0.0148666, 0.0270623, 0.0384268, 0.0465942, 0.0525482, 0.0575828, 0.0595051, 0.0526438, 0.0506506, 0.0423604, 0.0419693, 0.0287964, 0.0222319, 0.0176689, 0.0146908, 0.0113974, 0.00916305, 0.00715241, 0.00499175, 0.0034328, 0.0025693, 0.00141243, 0.000431631, 0.000107641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.252889, 0.290267, 0.309988, 0.346672, 0.378257, 0.440097, 0.470862, 0.498628, 0.511662, 0.518485, 0.539601, 0.587801, 0.617736, 0.641014, 0.669709, 0.685954, 0.713643, 0.722493, 0.745324, 0.771416, 0.800337, 0.84284, 0.899511, 0.965782, 0.975456");
-            values ( \
-              "0.00906328, 0.0140197, 0.0205401, 0.0342164, 0.0452256, 0.0657813, 0.0745657, 0.0803652, 0.0812181, 0.0791892, 0.0701365, 0.0483966, 0.0371317, 0.0296055, 0.0220498, 0.0185457, 0.0136538, 0.0123729, 0.00956864, 0.00707094, 0.00504829, 0.00305449, 0.00152842, 0.000657895, 0.000618593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.252779, 0.305549, 0.448856, 0.488913, 0.505515, 0.513003, 0.521118, 0.52809, 0.577214, 0.604298, 0.622398, 0.658598, 0.701962, 0.751796, 0.77412, 0.818769, 0.844053, 0.872138, 0.909585, 0.941957, 0.980191, 1.03117, 1.06829, 1.12529, 1.19156, 1.25783, 1.3241, 1.39037, 1.52291");
-            values ( \
-              "0.00827272, 0.0206795, 0.0751505, 0.0891942, 0.0943979, 0.0957162, 0.0950387, 0.0938268, 0.0883704, 0.084075, 0.0803288, 0.0710667, 0.0589386, 0.0458051, 0.0404356, 0.0309559, 0.026419, 0.0220124, 0.0171029, 0.0136876, 0.0104646, 0.00725188, 0.00554343, 0.00365148, 0.00221449, 0.00134877, 0.000810201, 0.000495795, 0.000183237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.25267, 0.327449, 0.505407, 0.509407, 0.517406, 0.529711, 0.538567, 0.556277, 0.5761, 0.606527, 0.645312, 0.706394, 0.717673, 0.740231, 0.785348, 0.795113, 0.846242, 0.988872, 1.06322, 1.18498, 1.21696, 1.26094, 1.31894, 1.33201, 1.35814, 1.4104, 1.47667, 1.52867, 1.54404, 1.57477, 1.63622, 1.70249, 1.76876, 1.83504, 1.90131, 1.96758, 2.03385, 2.10012, 2.16639, 2.23266");
-            values ( \
-              "0.0060251, 0.0301153, 0.101646, 0.104932, 0.10239, 0.102306, 0.101018, 0.100888, 0.0991359, 0.0982602, 0.0953767, 0.092194, 0.0906252, 0.0894333, 0.0839417, 0.0833654, 0.0759605, 0.0518927, 0.0406874, 0.0259616, 0.0235314, 0.0191563, 0.0156839, 0.014236, 0.0133725, 0.0101488, 0.00818959, 0.00596644, 0.00619273, 0.00482702, 0.00423602, 0.00261173, 0.00249026, 0.00130805, 0.0015159, 0.000583707, 0.000975225, 0.000183251, 0.000676022, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.537814, 0.604133, 0.632722, 0.650127, 0.684938, 0.734962, 0.765081, 0.790694, 0.83595, 0.857326, 0.878678, 0.90378, 0.927984, 0.949426, 0.978427, 1.00681, 1.0308, 1.07671, 1.21589, 1.23095, 1.23555");
-            values ( \
-              "0.00126671, 0.0015335, 0.00232959, 0.00307488, 0.00564435, 0.0115835, 0.0142541, 0.0161433, 0.0181267, 0.0183085, 0.0167943, 0.0100228, 0.00593119, 0.00357097, 0.00170596, 0.000810978, 0.000417584, 0.000107305, 6.53734e-06, 0.000500081, 0.000455507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.537645, 0.601009, 0.622784, 0.649704, 0.680619, 0.755594, 0.791808, 0.822906, 0.851237, 0.877854, 0.903693, 0.929492, 0.966785, 0.994318, 1.01454, 1.04976, 1.08839, 1.12175, 1.17764, 1.21594, 1.23683, 1.23828");
-            values ( \
-              "0.00207211, 0.00227333, 0.00304116, 0.00439606, 0.00700552, 0.0171444, 0.0208907, 0.0233816, 0.02521, 0.0264567, 0.0269161, 0.0236584, 0.0132199, 0.00816293, 0.00551646, 0.00264148, 0.00114159, 0.000534394, 0.000126427, 6.2087e-05, 0.000706605, 0.000691467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.537238, 0.611632, 0.633209, 0.661979, 0.675048, 0.701186, 0.770848, 0.812812, 0.831975, 0.871207, 0.906603, 0.939657, 0.971841, 1.00393, 1.02622, 1.06805, 1.10133, 1.12528, 1.14196, 1.17524, 1.19669, 1.21571, 1.23114, 1.24789, 1.26516, 1.29971, 1.31184");
-            values ( \
-              "0.00280272, 0.00375007, 0.00491914, 0.00704725, 0.00834921, 0.011686, 0.0223988, 0.0273562, 0.0293057, 0.0329969, 0.0357912, 0.0378291, 0.0380787, 0.0316462, 0.0250735, 0.0151229, 0.00942022, 0.00654607, 0.00502695, 0.00291122, 0.00203299, 0.00147193, 0.0021091, 0.00181127, 0.00121251, 0.000699372, 0.000586434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.537372, 0.629722, 0.654004, 0.687037, 0.698499, 0.758204, 0.812353, 0.867525, 0.941963, 0.986698, 1.02834, 1.0697, 1.1664, 1.19535, 1.21596, 1.22752, 1.25771, 1.28826, 1.33171, 1.35759, 1.40935, 1.47562, 1.54189, 1.60816");
-            values ( \
-              "0.00308838, 0.00625701, 0.00818182, 0.0117227, 0.0132718, 0.0230313, 0.0308469, 0.0377174, 0.0460771, 0.0503187, 0.0531608, 0.0513326, 0.0268289, 0.0205421, 0.0167274, 0.0160501, 0.0117117, 0.00826806, 0.00498288, 0.00367141, 0.00195437, 0.00085118, 0.000366975, 0.0001613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.537232, 0.655233, 0.692634, 0.722238, 0.785664, 0.842799, 0.902176, 0.968446, 0.99328, 1.04013, 1.08372, 1.09707, 1.12377, 1.15052, 1.20354, 1.21589, 1.22582, 1.26594, 1.28712, 1.31536, 1.36193, 1.39189, 1.41221, 1.45285, 1.47472, 1.49923, 1.53191, 1.58096, 1.60173, 1.64326, 1.70953, 1.7758, 1.84207, 1.90835, 1.97462, 2.04089");
-            values ( \
-              "0.002854, 0.010097, 0.0143934, 0.0187174, 0.029253, 0.0377563, 0.046102, 0.0551262, 0.0583502, 0.0640751, 0.0687228, 0.0699348, 0.0718295, 0.0722031, 0.0654346, 0.0626386, 0.0612197, 0.0504407, 0.0450556, 0.0384288, 0.028921, 0.0238994, 0.0209093, 0.0158674, 0.0136482, 0.0114998, 0.00911444, 0.00638954, 0.00549373, 0.00405188, 0.00246391, 0.00149702, 0.000903874, 0.000548895, 0.00032924, 0.000201268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.617256, 0.721278, 0.846087, 1.04949, 1.15832, 1.21541, 1.22751, 1.2745, 1.32498, 1.36493, 1.56211, 1.6779, 1.74453, 1.78976, 1.86398, 1.95457, 2.02084, 2.09809, 2.21069, 2.27696, 2.4095, 2.54204, 2.74085");
-            values ( \
-              "0.0167021, 0.0201836, 0.0403279, 0.0715834, 0.0864257, 0.0925066, 0.0926035, 0.0886799, 0.0830364, 0.0773711, 0.0455078, 0.0302284, 0.0234158, 0.0195596, 0.0144284, 0.00984519, 0.0073956, 0.00529081, 0.00322219, 0.00239705, 0.00132542, 0.000730752, 0.00030162" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00189664, 0.00193303, 0.00196439, 0.00198746, 0.00200319, 0.00201313", \
-            "0.00220252, 0.00224279, 0.00228184, 0.00231388, 0.00233731, 0.00235266", \
-            "0.0024124, 0.00243073, 0.00245459, 0.00247859, 0.00249788, 0.00251249", \
-            "0.0025997, 0.00259495, 0.00258563, 0.00258428, 0.00258777, 0.00259291", \
-            "0.00276438, 0.00273649, 0.00270615, 0.00267834, 0.002658, 0.00264628", \
-            "0.00290028, 0.0028505, 0.00280687, 0.00277003, 0.0027359, 0.00270488" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00249613, 0.00252716, 0.002551, 0.00257292, 0.00258549, 0.00259181", \
-            "0.00266282, 0.00260576, 0.0025595, 0.0025275, 0.00251434, 0.00250745", \
-            "0.00277942, 0.00265948, 0.00255484, 0.00247334, 0.00241694, 0.00237985", \
-            "0.00314896, 0.00289161, 0.00268685, 0.00253326, 0.00242737, 0.0023584", \
-            "0.00332291, 0.00334321, 0.00313794, 0.00280581, 0.00258463, 0.00244134", \
-            "0.0031128, 0.00319567, 0.00326814, 0.00329779, 0.00300955, 0.00269185" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0176359, 0.0186533, 0.0210683, 0.0271031, 0.0308937, 0.035856, 0.0381868, 0.0401467, 0.0437393, 0.051588, 0.0552069, 0.0588606, 0.0628337, 0.0701073, 0.072759");
-            values ( \
-              "-0.0250945, -0.128361, -0.129127, -0.127303, -0.124409, -0.117443, -0.111548, -0.105094, -0.0872894, -0.0406761, -0.0265556, -0.0168476, -0.0100702, -0.00375463, -0.00287994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0181998, 0.0192208, 0.0222641, 0.0315695, 0.0407403, 0.0455553, 0.0496993, 0.052732, 0.0671257, 0.072789, 0.0768111, 0.083653, 0.0917118, 0.100706");
-            values ( \
-              "-0.11308, -0.157286, -0.157373, -0.153683, -0.146296, -0.139308, -0.130168, -0.120582, -0.0503349, -0.0318335, -0.022604, -0.0123038, -0.00588956, -0.00247068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0175778, 0.019909, 0.0321971, 0.0462374, 0.0535046, 0.0584839, 0.0661784, 0.0690926, 0.074921, 0.0852014, 0.0952652, 0.09877, 0.106359, 0.110468, 0.117291, 0.124931, 0.130976, 0.143065, 0.16225, 0.18546");
-            values ( \
-              "-0.0296318, -0.179819, -0.176657, -0.170097, -0.165117, -0.160564, -0.150134, -0.144619, -0.128867, -0.0888953, -0.0555362, -0.0464584, -0.0309801, -0.0246846, -0.0167152, -0.0107551, -0.00753671, -0.00357228, -0.000978222, -0.00017493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0176383, 0.0209476, 0.0270202, 0.0410038, 0.0567097, 0.069862, 0.0759319, 0.0879462, 0.0992307, 0.10598, 0.114978, 0.136135, 0.144638, 0.150217, 0.162214, 0.168613, 0.180053, 0.193025, 0.203381, 0.224093, 0.238");
-            values ( \
-              "-0.0654637, -0.195577, -0.194592, -0.19116, -0.186265, -0.181046, -0.178085, -0.170497, -0.159498, -0.149634, -0.129994, -0.0765157, -0.0594226, -0.0499129, -0.0337304, -0.0271623, -0.0182157, -0.011519, -0.0079208, -0.00361148, -0.00244833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0189013, 0.0205933, 0.0375329, 0.0756394, 0.110846, 0.12473, 0.136401, 0.157543, 0.173879, 0.20465, 0.230086, 0.254058, 0.278312, 0.300151, 0.312368, 0.336802, 0.3835, 0.430198");
-            values ( \
-              "-0.205003, -0.206178, -0.20392, -0.196867, -0.188033, -0.183342, -0.177917, -0.16544, -0.147645, -0.0985336, -0.0639232, -0.0406084, -0.024996, -0.0158816, -0.0122674, -0.00722561, -0.00239374, -0.000792752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0189145, 0.021867, 0.0663368, 0.116104, 0.178541, 0.198258, 0.221256, 0.257626, 0.271184, 0.285895, 0.338541, 0.360508, 0.382594, 0.412042, 0.423823, 0.445923, 0.465298, 0.503075, 0.524293, 0.566729, 0.613426, 0.660124, 0.75352");
-            values ( \
-              "-0.211626, -0.212407, -0.208136, -0.202268, -0.192593, -0.188673, -0.183044, -0.16992, -0.162473, -0.151771, -0.101522, -0.0823203, -0.0656811, -0.0476291, -0.0417338, -0.0323685, -0.0257707, -0.0163363, -0.0125911, -0.00737677, -0.00404934, -0.00222018, -0.000663121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0280774, 0.0351142, 0.0363318, 0.0370335, 0.0377339, 0.0397222, 0.0445285, 0.049204, 0.0509531, 0.0544669, 0.056977, 0.0616654, 0.0659649, 0.0697778, 0.0734789, 0.0771279, 0.0834553, 0.0911181, 0.100086");
-            values ( \
-              "-0.00627013, -0.104369, -0.111636, -0.122433, -0.126745, -0.127804, -0.125034, -0.119287, -0.115577, -0.105227, -0.0937125, -0.0636416, -0.0403114, -0.0256594, -0.0161572, -0.0100694, -0.00429798, -0.00138364, -0.00033999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0287172, 0.0354763, 0.0371429, 0.0377342, 0.0399216, 0.046029, 0.0551853, 0.0600067, 0.0651556, 0.0688238, 0.0819083, 0.087457, 0.092838, 0.0981435, 0.107338, 0.112646");
-            values ( \
-              "-0.00501382, -0.129781, -0.151376, -0.154305, -0.156042, -0.15357, -0.146254, -0.139359, -0.127439, -0.113718, -0.0490359, -0.0312228, -0.0196728, -0.0122642, -0.00523928, -0.0035445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.029502, 0.0363378, 0.036823, 0.0372005, 0.0378375, 0.0387676, 0.0399275, 0.0415206, 0.0436011, 0.046783, 0.0519892, 0.0537367, 0.0572316, 0.0608223, 0.0679458, 0.0680903, 0.0756346, 0.082054, 0.0869496, 0.0929544, 0.105102, 0.109561, 0.117765, 0.126057, 0.135017, 0.140468, 0.151371, 0.168784, 0.189462");
-            values ( \
-              "-0.0151528, -0.155313, -0.173706, -0.171069, -0.17974, -0.175186, -0.181462, -0.175493, -0.180638, -0.173834, -0.177433, -0.170966, -0.174893, -0.167296, -0.168096, -0.165225, -0.157487, -0.147874, -0.136785, -0.116089, -0.0699678, -0.0563628, -0.0368, -0.0233343, -0.0139762, -0.0101649, -0.00524788, -0.00166854, -0.000399821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0307354, 0.0353941, 0.0376008, 0.038275, 0.0401236, 0.0474667, 0.0670738, 0.0786913, 0.0903746, 0.102995, 0.113169, 0.116171, 0.122176, 0.131239, 0.149807, 0.157023, 0.161774, 0.171175, 0.180882, 0.187478, 0.20008, 0.207027, 0.220919, 0.248692, 0.280804");
-            values ( \
-              "-0.0251491, -0.160167, -0.191257, -0.193139, -0.194597, -0.19331, -0.187747, -0.183544, -0.178237, -0.170118, -0.160385, -0.156622, -0.146877, -0.125966, -0.0788038, -0.063765, -0.0551085, -0.0407389, -0.0294931, -0.0235292, -0.0151276, -0.0118177, -0.00711433, -0.00235329, -0.000613886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0322187, 0.037394, 0.0407118, 0.0418531, 0.0429901, 0.0452642, 0.048091, 0.0523319, 0.0588819, 0.0683355, 0.0712113, 0.0769627, 0.0884138, 0.090443, 0.0945013, 0.102211, 0.110098, 0.125592, 0.130271, 0.139629, 0.151198, 0.170992, 0.173475, 0.178443, 0.187744, 0.19892, 0.219246, 0.232072, 0.236369, 0.244961, 0.262146, 0.268905, 0.270716, 0.274339, 0.281585, 0.290586, 0.306628, 0.313075, 0.314858, 0.318425, 0.325559, 0.334572, 0.350701, 0.376364, 0.413243");
-            values ( \
-              "-0.0891729, -0.206176, -0.209168, -0.201615, -0.208717, -0.201144, -0.207989, -0.200036, -0.206261, -0.197309, -0.204094, -0.195693, -0.200728, -0.192962, -0.199428, -0.190338, -0.195756, -0.184145, -0.189989, -0.179402, -0.181817, -0.1626, -0.167955, -0.156062, -0.152569, -0.128372, -0.102507, -0.0764018, -0.0780055, -0.0601604, -0.0498681, -0.0369028, -0.0427766, -0.0328296, -0.0351788, -0.0226987, -0.0224929, -0.0129054, -0.0194897, -0.0111963, -0.0162695, -0.00694391, -0.0109814, -0.000674411, -0.00515811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.024627, 0.0436732, 0.0818161, 0.130904, 0.165179, 0.195444, 0.23605, 0.254392, 0.274323, 0.313374, 0.349584, 0.375771, 0.397791, 0.414741, 0.436477, 0.454177, 0.475255, 0.504943, 0.535615, 0.559078, 0.605775, 0.652473, 0.699171, 0.792566");
-            values ( \
-              "-0.0101441, -0.211669, -0.207897, -0.202102, -0.197279, -0.1922, -0.183031, -0.177309, -0.168948, -0.140722, -0.105252, -0.082155, -0.0655579, -0.0546818, -0.0429057, -0.035051, -0.0273972, -0.0191501, -0.0131929, -0.0098802, -0.00543855, -0.00298874, -0.00162633, -0.000480813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0532586, 0.0570305, 0.059631, 0.0689673, 0.0781307, 0.0820749, 0.0858442, 0.0874119, 0.0884884, 0.0895236, 0.0908194, 0.100612, 0.104483, 0.109062, 0.113612, 0.11678, 0.123117, 0.131467, 0.141773");
-            values ( \
-              "-0.0017843, -0.0395379, -0.0497494, -0.0743892, -0.0949041, -0.101767, -0.105142, -0.104009, -0.106616, -0.104576, -0.099856, -0.0422803, -0.0268398, -0.0151476, -0.00835714, -0.00549574, -0.00221052, -0.000634104, -0.000100026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0539713, 0.0607135, 0.0667978, 0.0740373, 0.0800728, 0.0874271, 0.0886841, 0.0902469, 0.0948088, 0.098296, 0.100205, 0.104023, 0.113288, 0.116494, 0.121976, 0.124824, 0.128621, 0.131791, 0.135252, 0.139868, 0.149098, 0.161238, 0.176224");
-            values ( \
-              "-0.00629593, -0.0637865, -0.084267, -0.105812, -0.122475, -0.139981, -0.145269, -0.146232, -0.139865, -0.132474, -0.127392, -0.112977, -0.064639, -0.0508377, -0.0326672, -0.025684, -0.0184449, -0.0139076, -0.0101892, -0.00667053, -0.00269925, -0.000754684, -0.000136269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.054846, 0.0632794, 0.074183, 0.0874018, 0.0879886, 0.0888637, 0.0895607, 0.0927731, 0.0968061, 0.10196, 0.105689, 0.110759, 0.118803, 0.121445, 0.124458, 0.135252, 0.140039, 0.144829, 0.151215, 0.153492, 0.157777, 0.161962, 0.170134, 0.174818, 0.184186, 0.201293, 0.221268");
-            values ( \
-              "-0.00898939, -0.0830407, -0.122, -0.164215, -0.169674, -0.172718, -0.172807, -0.171853, -0.169584, -0.166119, -0.163014, -0.157577, -0.144686, -0.138493, -0.129458, -0.0875995, -0.0705788, -0.055936, -0.0401557, -0.0355749, -0.0281625, -0.0222973, -0.0139668, -0.0106333, -0.00606233, -0.00198365, -0.000504496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0559913, 0.0643471, 0.0886027, 0.0903579, 0.110376, 0.12595, 0.137964, 0.149249, 0.155996, 0.164994, 0.186154, 0.194644, 0.212231, 0.218638, 0.230068, 0.243022, 0.253359, 0.274035, 0.282029");
-            values ( \
-              "-0.00886051, -0.094363, -0.188235, -0.19156, -0.184945, -0.178087, -0.170495, -0.159498, -0.149636, -0.130002, -0.076514, -0.0594461, -0.0337311, -0.0271565, -0.0182177, -0.0115281, -0.00793246, -0.00362246, -0.00294825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0633487, 0.0749708, 0.0766741, 0.078344, 0.0800138, 0.0833534, 0.0844245, 0.0854956, 0.0876377, 0.0896421, 0.0902593, 0.0914937, 0.0936422, 0.100961, 0.113701, 0.130634, 0.147363, 0.155032, 0.160325, 0.165617, 0.175777, 0.187785, 0.19148, 0.195176, 0.200103, 0.205031, 0.208793, 0.214437, 0.22008, 0.223843, 0.227605, 0.234333, 0.240263, 0.246193, 0.252124, 0.259825, 0.267526, 0.275516, 0.28058, 0.285468, 0.290356, 0.299767, 0.306282, 0.313038, 0.316109, 0.32225, 0.32964, 0.338279, 0.342598, 0.349373");
-            values ( \
-              "-0.142789, -0.142988, -0.149568, -0.157357, -0.165977, -0.185713, -0.189838, -0.193501, -0.199441, -0.201983, -0.202547, -0.203364, -0.20262, -0.201441, -0.199053, -0.195479, -0.191593, -0.189481, -0.187816, -0.186046, -0.182356, -0.177562, -0.175625, -0.173491, -0.170411, -0.167063, -0.16407, -0.158197, -0.151627, -0.14686, -0.141783, -0.1319, -0.121634, -0.111906, -0.10254, -0.0909208, -0.0799131, -0.0693567, -0.0630522, -0.0578063, -0.05287, -0.0443171, -0.0387886, -0.0336341, -0.03171, -0.0281155, -0.0242742, -0.0203632, -0.0186232, -0.0161691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.058374, 0.0725615, 0.0889477, 0.0909381, 0.0926638, 0.116644, 0.173461, 0.210064, 0.235422, 0.271565, 0.307938, 0.32148, 0.336197, 0.388864, 0.41082, 0.432896, 0.46233, 0.474126, 0.496251, 0.515618, 0.553379, 0.57458, 0.616982, 0.663679, 0.710377, 0.803772");
-            values ( \
-              "-0.0532764, -0.137408, -0.20757, -0.210945, -0.210255, -0.207988, -0.201165, -0.195814, -0.191349, -0.183047, -0.169916, -0.162481, -0.151778, -0.10151, -0.0823188, -0.0656867, -0.0476406, -0.0417372, -0.0323616, -0.0257674, -0.0163376, -0.0125948, -0.00738223, -0.00405241, -0.00222183, -0.000663596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.101271, 0.109259, 0.113618, 0.12189, 0.132023, 0.147416, 0.153912, 0.15997, 0.165849, 0.171974, 0.186259, 0.191132, 0.194789, 0.199702, 0.203703, 0.210257, 0.213881, 0.222366, 0.230874");
-            values ( \
-              "-0.00269347, -0.0167942, -0.0212925, -0.0331804, -0.0435125, -0.0573921, -0.062328, -0.0658794, -0.0667183, -0.0598622, -0.0207731, -0.0125005, -0.00829144, -0.0046956, -0.00289497, -0.00125787, -0.00250039, -0.000822714, -0.000308792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.104886, 0.110224, 0.126513, 0.143446, 0.15874, 0.166682, 0.174086, 0.181296, 0.188871, 0.200791, 0.210372, 0.212073, 0.219984, 0.226799, 0.235683");
-            values ( \
-              "-0.0172297, -0.0216184, -0.0464424, -0.066506, -0.0830331, -0.0903107, -0.0954032, -0.0959552, -0.0849206, -0.0451515, -0.0220452, -0.0215494, -0.011152, -0.00597103, -0.00253825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.105223, 0.116699, 0.125254, 0.135703, 0.151551, 0.164274, 0.175288, 0.185254, 0.19457, 0.203711, 0.213405, 0.217968, 0.22137, 0.22569, 0.226967, 0.229521, 0.238375, 0.239359, 0.241326, 0.252233, 0.254617, 0.255401, 0.25697, 0.260107, 0.266382, 0.278932, 0.320848");
-            values ( \
-              "-0.00682687, -0.0357072, -0.0503799, -0.0660302, -0.0876671, -0.104058, -0.117162, -0.127337, -0.133968, -0.133132, -0.118056, -0.0962717, -0.0857471, -0.0685701, -0.0660717, -0.057092, -0.0358361, -0.0352176, -0.030445, -0.0161529, -0.0153, -0.0133496, -0.0134505, -0.0100067, -0.00801753, -0.00273035, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.104572, 0.121771, 0.132815, 0.183416, 0.210676, 0.211992, 0.224938, 0.235559, 0.246589, 0.276925, 0.290895, 0.308146, 0.318847, 0.336026, 0.358931, 0.389474, 0.427046");
-            values ( \
-              "-0.00122202, -0.0489981, -0.0684974, -0.142962, -0.176798, -0.176817, -0.168015, -0.156597, -0.136181, -0.0628423, -0.0403592, -0.0224857, -0.0154554, -0.00833317, -0.00347416, -0.000970965, -0.000196769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.105123, 0.133066, 0.139591, 0.17928, 0.210239, 0.210881, 0.212164, 0.214731, 0.21693, 0.220579, 0.225514, 0.2305, 0.240422, 0.250701, 0.275298, 0.28995, 0.293875, 0.301724, 0.317422, 0.34746, 0.359982, 0.379688, 0.391115, 0.404378, 0.422063, 0.43497, 0.45465, 0.48089, 0.527588, 0.574286");
-            values ( \
-              "-0.00295954, -0.0708202, -0.0825563, -0.146395, -0.191983, -0.195946, -0.195434, -0.196434, -0.194694, -0.195113, -0.192694, -0.192728, -0.188809, -0.186974, -0.176363, -0.167376, -0.164278, -0.156727, -0.135173, -0.0871722, -0.0703319, -0.0489176, -0.0392267, -0.03014, -0.0209572, -0.0160265, -0.0105767, -0.00595454, -0.00197511, -0.000645496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.105094, 0.137624, 0.182624, 0.21021, 0.213977, 0.218905, 0.224035, 0.252017, 0.28586, 0.330791, 0.356706, 0.385313, 0.395014, 0.414417, 0.438779, 0.47347, 0.495827, 0.518288, 0.548237, 0.559436, 0.58048, 0.600137, 0.627588, 0.638485, 0.660278, 0.703866, 0.750563, 0.797261, 0.890656");
-            values ( \
-              "-0.0014656, -0.081691, -0.158054, -0.202169, -0.205925, -0.206388, -0.205294, -0.202107, -0.197337, -0.189289, -0.183038, -0.173371, -0.168957, -0.15713, -0.135899, -0.102003, -0.0824289, -0.0655134, -0.0472253, -0.0416538, -0.0327008, -0.0259554, -0.018637, -0.0163417, -0.0125027, -0.00721522, -0.00395736, -0.00217199, -0.000649786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.219799, 0.240035, 0.241919, 0.245687, 0.249097, 0.252506, 0.256819, 0.258976, 0.263289, 0.267007, 0.270726, 0.274211, 0.277696, 0.284667, 0.290624, 0.296581, 0.30193, 0.307278, 0.312227, 0.317177, 0.319551, 0.321925, 0.324299, 0.326673, 0.329042, 0.331412, 0.333782, 0.336151, 0.336236, 0.336404, 0.337753, 0.338764, 0.340113, 0.341462, 0.344135, 0.345471, 0.347527, 0.349684, 0.352583, 0.355395, 0.357348, 0.358363, 0.359378, 0.361019, 0.362659, 0.36383, 0.365001, 0.366171, 0.367342, 0.369274");
-            values ( \
-              "-0.0100571, -0.0111801, -0.0119987, -0.0137314, -0.0154088, -0.0171904, -0.0193078, -0.0203134, -0.0222404, -0.0238248, -0.0253614, -0.0267415, -0.0280909, -0.0306976, -0.0328283, -0.0348693, -0.0365971, -0.0382701, -0.0397697, -0.0412224, -0.0412193, -0.0411585, -0.0410401, -0.040864, -0.0406306, -0.0403398, -0.0399916, -0.0395859, -0.0395491, -0.0393918, -0.0383994, -0.0375162, -0.0361, -0.0345997, -0.0313367, -0.0296122, -0.0268382, -0.0237875, -0.0200418, -0.0167035, -0.014506, -0.0134307, -0.0124102, -0.0110057, -0.00971942, -0.00888052, -0.00809723, -0.00736955, -0.00669748, -0.00570162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.209625, 0.215284, 0.225196, 0.238442, 0.245243, 0.253204, 0.26145, 0.283105, 0.299856, 0.31421, 0.327162, 0.339127, 0.350614, 0.36208, 0.363237, 0.367205, 0.383042, 0.3901, 0.393783, 0.400302, 0.408217, 0.414643, 0.427496, 0.435845");
-            values ( \
-              "-0.00559854, -0.00647553, -0.00875836, -0.0133308, -0.0165995, -0.0209724, -0.0262152, -0.037283, -0.0449102, -0.0508722, -0.0556357, -0.0592049, -0.0609102, -0.0575027, -0.0564195, -0.052046, -0.0276882, -0.0188197, -0.0151184, -0.00999007, -0.00589771, -0.00375625, -0.00140382, -0.000888509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.21495, 0.237457, 0.24448, 0.252942, 0.268529, 0.285834, 0.321824, 0.339749, 0.35584, 0.370738, 0.385069, 0.39937, 0.400385, 0.404448, 0.420804, 0.432026, 0.444532, 0.453662, 0.459435, 0.47098, 0.49162, 0.505678, 0.514303, 0.534743");
-            values ( \
-              "-0.00526638, -0.0164072, -0.0194192, -0.0241444, -0.0344761, -0.0448469, -0.0638144, -0.0722707, -0.079154, -0.0841132, -0.0863223, -0.0801654, -0.0791806, -0.0739848, -0.046377, -0.0299586, -0.0171236, -0.0110074, -0.00823124, -0.00445557, -0.00128143, -0.000581464, -0.00138084, -0.000371031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.214625, 0.247837, 0.262965, 0.292608, 0.314303, 0.353051, 0.375883, 0.396353, 0.415325, 0.433661, 0.452682, 0.480538, 0.49778, 0.505672, 0.525037, 0.533893, 0.544014, 0.564257, 0.595075, 0.632494");
-            values ( \
-              "-0.00163474, -0.0243583, -0.0333675, -0.0534215, -0.0666045, -0.0886591, -0.100569, -0.11011, -0.116861, -0.118944, -0.106941, -0.0605298, -0.0370355, -0.0289413, -0.0159385, -0.0116218, -0.00806332, -0.00374946, -0.00104995, -0.000199611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.21308, 0.317469, 0.361425, 0.395788, 0.425284, 0.451766, 0.476396, 0.500419, 0.505701, 0.510779, 0.51792, 0.554239, 0.573127, 0.595522, 0.611281, 0.629292, 0.641671, 0.660571, 0.685772, 0.724956");
-            values ( \
-              "-0.000219366, -0.0729501, -0.100721, -0.121368, -0.137745, -0.150509, -0.159085, -0.159443, -0.157438, -0.153892, -0.144775, -0.0870099, -0.0626234, -0.0410018, -0.0300004, -0.0207116, -0.0160124, -0.0107449, -0.0061999, -0.00272247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.212737, 0.382653, 0.408342, 0.453317, 0.49191, 0.505703, 0.513601, 0.538199, 0.563219, 0.588723, 0.60151, 0.621186, 0.645281, 0.679994, 0.702331, 0.724774, 0.765925, 0.78702, 0.806662, 0.844981, 0.866745, 0.910274, 0.956972, 1.00367, 1.09706");
-            values ( \
-              "-0.000882595, -0.119584, -0.136247, -0.164306, -0.186051, -0.193108, -0.193671, -0.189092, -0.18303, -0.174636, -0.168949, -0.156942, -0.135888, -0.101985, -0.0824187, -0.0655188, -0.0416557, -0.0326856, -0.025947, -0.0163424, -0.0125076, -0.00722404, -0.00396192, -0.00217488, -0.000650881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.442335, 0.489728, 0.523583, 0.547138, 0.587825, 0.619639, 0.649983, 0.666427, 0.682112, 0.697657, 0.709304, 0.741305, 0.758354, 0.766718");
-            values ( \
-              "-0.000841205, -0.00244126, -0.0046208, -0.00727835, -0.014392, -0.0190471, -0.0228246, -0.0243593, -0.0253421, -0.0247467, -0.0211447, -0.00535826, -0.00198936, -0.00130829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.441852, 0.498232, 0.517597, 0.533852, 0.559439, 0.567118, 0.606193, 0.63449, 0.658324, 0.679511, 0.698994, 0.717585, 0.736046, 0.74988, 0.774359, 0.787998, 0.794884, 0.806391, 0.821734, 0.844707, 0.851178");
-            values ( \
-              "-0.000644296, -0.00424091, -0.00578258, -0.00767762, -0.0116718, -0.0135951, -0.0217367, -0.0268887, -0.0309175, -0.0340597, -0.0364324, -0.0377876, -0.0368595, -0.0312069, -0.0148215, -0.00828324, -0.00602542, -0.0034399, -0.00153785, -0.000409831, -0.000341914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.441404, 0.510479, 0.531756, 0.549149, 0.577003, 0.608193, 0.63299, 0.667495, 0.696655, 0.722667, 0.746633, 0.769539, 0.792404, 0.809537, 0.838138, 0.857439, 0.866656, 0.881529, 0.90136, 0.92913");
-            values ( \
-              "-0.000117643, -0.00693725, -0.0095865, -0.0122965, -0.0181154, -0.0259539, -0.0316181, -0.0389811, -0.0446395, -0.0490537, -0.0523942, -0.0542299, -0.052447, -0.0438072, -0.0224188, -0.0120043, -0.0086608, -0.00495344, -0.00220116, -0.000639121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.488005, 0.527785, 0.548193, 0.571017, 0.653344, 0.708262, 0.727967, 0.751498, 0.784455, 0.814799, 0.843848, 0.872842, 0.879514, 0.8864, 0.92303, 0.937241, 0.952896, 0.966673, 0.984205, 1.00758, 1.04545, 1.08958, 1.18298");
-            values ( \
-              "-0.0105398, -0.0111676, -0.0145366, -0.0191329, -0.0404932, -0.0534077, -0.0576907, -0.0625357, -0.0686365, -0.0732138, -0.0756101, -0.0721326, -0.0691982, -0.0651352, -0.036601, -0.0270278, -0.0186829, -0.0131521, -0.0082196, -0.00416827, -0.00118712, -0.000244533, -2.66193e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.487941, 0.55027, 0.579224, 0.700306, 0.772298, 0.828057, 0.870428, 0.909458, 0.946919, 0.984299, 0.986558, 1.05824, 1.09074, 1.11335, 1.13837, 1.15843, 1.19854, 1.21585, 1.23062, 1.30023");
-            values ( \
-              "-0.00733641, -0.0171692, -0.0232619, -0.0559404, -0.0735941, -0.0860795, -0.094506, -0.100719, -0.103582, -0.096683, -0.0955752, -0.0430659, -0.025441, -0.0169644, -0.0106261, -0.0071743, -0.00305856, -0.00213023, -0.00217578, -0.000485955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.534501, 0.60805, 0.685716, 0.799164, 0.871069, 0.961105, 0.987033, 1.03373, 1.06367, 1.08671, 1.13278, 1.20761, 1.23806, 1.26512, 1.28708, 1.32208, 1.34028, 1.36936, 1.40812, 1.45481, 1.50151, 1.59491");
-            values ( \
-              "-0.0272528, -0.0325132, -0.0547128, -0.0850594, -0.103095, -0.123716, -0.12881, -0.136496, -0.139281, -0.139427, -0.126989, -0.0733899, -0.054317, -0.0401546, -0.0311767, -0.0204538, -0.0164202, -0.0115015, -0.00706977, -0.00387573, -0.00212807, -0.00063669" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00174804, 0.00176156, 0.00177373, 0.00178312, 0.00178957, 0.00179368", \
-            "0.00194685, 0.00195512, 0.00196414, 0.00197226, 0.00197848, 0.00198272", \
-            "0.0020634, 0.00206571, 0.00206701, 0.00207102, 0.00207497, 0.00207815", \
-            "0.00213128, 0.00213032, 0.00213014, 0.00213051, 0.00213128, 0.00213249", \
-            "0.00216962, 0.0021669, 0.00216395, 0.00216119, 0.00215956, 0.0021582", \
-            "0.00219133, 0.00218853, 0.0021852, 0.00218104, 0.0021769, 0.00217335" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00221463, 0.00223135, 0.0022458, 0.0022561, 0.0022625, 0.00226617", \
-            "0.00233363, 0.00233318, 0.00233391, 0.00233494, 0.00233568, 0.00233594", \
-            "0.00240873, 0.002378, 0.0023558, 0.00233823, 0.00232946, 0.00232309", \
-            "0.00257441, 0.00248338, 0.00240982, 0.00235757, 0.00232197, 0.00229873", \
-            "0.00297959, 0.0027751, 0.00260233, 0.00247382, 0.00238687, 0.00233158", \
-            "0.00376024, 0.00349318, 0.00303438, 0.00275881, 0.00256519, 0.0024372" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0687223, 0.0901665, 0.127451, 0.192728, 0.307665, 0.510668", \
-            "0.0715212, 0.0931497, 0.130708, 0.196281, 0.311527, 0.514707", \
-            "0.0813388, 0.102886, 0.1405, 0.206352, 0.321856, 0.525376", \
-            "0.109714, 0.130215, 0.167235, 0.232506, 0.348003, 0.551733", \
-            "0.165065, 0.193712, 0.236344, 0.300693, 0.414845, 0.617529", \
-            "0.255434, 0.296925, 0.360388, 0.452845, 0.583681, 0.783549" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0799234, 0.10946, 0.161443, 0.253222, 0.415475, 0.702419", \
-            "0.0798217, 0.109418, 0.161443, 0.253218, 0.415439, 0.702419", \
-            "0.0791907, 0.109212, 0.161425, 0.253223, 0.415562, 0.702419", \
-            "0.0862317, 0.112158, 0.161276, 0.253191, 0.415561, 0.702445", \
-            "0.120223, 0.146402, 0.185874, 0.263683, 0.416032, 0.702433", \
-            "0.173603, 0.210858, 0.266008, 0.34623, 0.471164, 0.720304" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0265379, 0.0339918, 0.04692, 0.0695573, 0.10938, 0.179468", \
-            "0.0304127, 0.0379754, 0.0510322, 0.0737757, 0.113668, 0.183806", \
-            "0.0395748, 0.0476455, 0.0607756, 0.0836351, 0.123659, 0.193882", \
-            "0.0508523, 0.0634074, 0.0817848, 0.107332, 0.147309, 0.217499", \
-            "0.057082, 0.076953, 0.10584, 0.146098, 0.201275, 0.276446", \
-            "0.0458679, 0.0752787, 0.118602, 0.180345, 0.266121, 0.382416" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0239785, 0.0333929, 0.0500346, 0.079489, 0.131611, 0.224099", \
-            "0.0239803, 0.033393, 0.0500299, 0.0794875, 0.131605, 0.224099", \
-            "0.0280974, 0.0357215, 0.0507016, 0.0794683, 0.131629, 0.223961", \
-            "0.0448038, 0.0530036, 0.0651029, 0.0876565, 0.133823, 0.224095", \
-            "0.0737394, 0.0858012, 0.103872, 0.130119, 0.167735, 0.240841", \
-            "0.123798, 0.141559, 0.168712, 0.208411, 0.264485, 0.342088" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.02338, 0.0254411, 0.0330258, 0.0432843, 0.0485107, 0.0539697, 0.064735, 0.0654381, 0.072001, 0.0763422, 0.0850244, 0.0947477, 0.101924, 0.108881, 0.11489, 0.122182, 0.137653, 0.152998, 0.159511, 0.169932, 0.183826, 0.190296, 0.201144, 0.213178, 0.237339, 0.251979, 0.281259, 0.329289, 0.386361");
-            values ( \
-              "0.0324229, 0.0431802, 0.0390218, 0.0368593, 0.036512, 0.0357596, 0.034974, 0.0347752, 0.0340939, 0.0335008, 0.0316086, 0.0275292, 0.0237487, 0.0205744, 0.0182523, 0.0157627, 0.0116634, 0.00862642, 0.00757606, 0.00615062, 0.00464947, 0.00406366, 0.00326768, 0.00253692, 0.00153349, 0.00114052, 0.000600962, 0.000211186, 4.98021e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0235795, 0.0267144, 0.0306086, 0.037457, 0.0443884, 0.0518426, 0.0663824, 0.0937783, 0.105753, 0.114609, 0.142747, 0.150699, 0.163759, 0.178343, 0.197789, 0.208713, 0.226397, 0.243911, 0.259924, 0.281276, 0.305099, 0.344283, 0.396528, 0.463346, 0.546724");
-            values ( \
-              "0.0333849, 0.0546323, 0.0516583, 0.0489808, 0.047834, 0.0468821, 0.0458468, 0.0431737, 0.0411815, 0.0386525, 0.0272876, 0.0244295, 0.0203088, 0.0164438, 0.0122874, 0.0104072, 0.00790084, 0.00599149, 0.00463867, 0.003264, 0.00221078, 0.00115365, 0.000472047, 0.000131286, 3.5954e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0236053, 0.0285244, 0.0358392, 0.0465085, 0.0561888, 0.0665096, 0.111462, 0.134431, 0.144186, 0.154591, 0.171617, 0.199116, 0.226066, 0.254555, 0.288887, 0.309892, 0.332297, 0.357014, 0.398157, 0.427954, 0.473813, 0.534958, 0.618433, 0.701907");
-            values ( \
-              "0.0356891, 0.0634905, 0.059225, 0.0570706, 0.0562398, 0.0557417, 0.0526585, 0.0505492, 0.0491807, 0.0472085, 0.0427817, 0.0342551, 0.0267518, 0.0201906, 0.0140404, 0.0111519, 0.00869575, 0.00652332, 0.00402458, 0.00282717, 0.00162851, 0.000784969, 0.000242528, 0.000106019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0262081, 0.0262281, 0.0743954, 0.0917887, 0.162932, 0.199306, 0.214943, 0.231622, 0.257425, 0.342162, 0.38522, 0.443283, 0.467973, 0.523465, 0.560796, 0.603461, 0.645059, 0.708998, 0.792473, 0.875948, 0.878343");
-            values ( \
-              "1e-22, 0.0709666, 0.0629406, 0.0623817, 0.0588507, 0.0564645, 0.0549214, 0.0527651, 0.0483479, 0.0311309, 0.0236897, 0.0158373, 0.013236, 0.00872297, 0.00657155, 0.004679, 0.00336749, 0.00201669, 0.00102862, 0.000496046, 0.000490591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0262524, 0.0262724, 0.107485, 0.192928, 0.253602, 0.307957, 0.354496, 0.381169, 0.427066, 0.551557, 0.630266, 0.687064, 0.733222, 0.794765, 0.833817, 0.908348, 0.991822, 1.09169, 1.17258, 1.33953, 1.40915");
-            values ( \
-              "1e-22, 0.073008, 0.0676601, 0.0651527, 0.0630644, 0.0607887, 0.0577391, 0.0552814, 0.0500002, 0.0336949, 0.0247431, 0.0193628, 0.0157549, 0.0118668, 0.00983739, 0.00688918, 0.00452817, 0.00275182, 0.00184224, 0.000790855, 0.000657557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0301044, 0.0301244, 0.154071, 0.312428, 0.437706, 0.518529, 0.600997, 0.63017, 0.68783, 0.756504, 0.917195, 0.997695, 1.06296, 1.14643, 1.21087, 1.36556, 1.48612, 1.55999, 1.64346, 1.7951, 1.96205, 2.129, 2.4629, 2.54637, 2.62985, 2.71332");
-            values ( \
-              "1e-22, 0.0794058, 0.0706749, 0.067899, 0.0652332, 0.0630256, 0.0594032, 0.0578355, 0.0538967, 0.0487356, 0.035623, 0.0296271, 0.0253735, 0.0203536, 0.017121, 0.0110888, 0.00780226, 0.00639922, 0.00487079, 0.00306303, 0.001816, 0.00106155, 0.000334573, 0.000388501, 0.00017099, 0.0002626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0371507, 0.039706, 0.046823, 0.0567809, 0.065346, 0.089429, 0.0993507, 0.123143, 0.144373, 0.167117, 0.18986, 0.205374, 0.224623, 0.262159, 0.308283, 0.31926");
-            values ( \
-              "0.0149388, 0.0460445, 0.0411154, 0.0374721, 0.036173, 0.033565, 0.0312505, 0.0201873, 0.0132898, 0.00847843, 0.00538772, 0.00392593, 0.00263848, 0.00122403, 0.000465037, 0.000424374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0386556, 0.0411021, 0.0478248, 0.0513082, 0.0580109, 0.0655155, 0.0956148, 0.110987, 0.117487, 0.128268, 0.155975, 0.177486, 0.19269, 0.212754, 0.236481, 0.261512, 0.280859, 0.301496, 0.328535, 0.382535, 0.4321");
-            values ( \
-              "0.054066, 0.058631, 0.0523316, 0.0504126, 0.0482519, 0.0471265, 0.0444255, 0.0427083, 0.0415713, 0.0386274, 0.0274014, 0.020259, 0.0162517, 0.0120578, 0.00834264, 0.00560045, 0.00410411, 0.00295147, 0.00187847, 0.000754191, 0.000363941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0394015, 0.0394215, 0.0681782, 0.125307, 0.148253, 0.158328, 0.174112, 0.226282, 0.265861, 0.307988, 0.331422, 0.356631, 0.410171, 0.441326, 0.492595, 0.560954, 0.584177");
-            values ( \
-              "1e-22, 0.0655133, 0.0565069, 0.0526796, 0.0505316, 0.0491366, 0.0458555, 0.0304528, 0.0207284, 0.013271, 0.0102332, 0.00771404, 0.00410486, 0.00283758, 0.00152856, 0.000670651, 0.000590488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0409124, 0.0409324, 0.0854051, 0.14103, 0.177111, 0.208608, 0.226382, 0.250081, 0.263069, 0.354166, 0.39099, 0.433075, 0.460705, 0.501051, 0.534179, 0.594417, 0.640211, 0.670098, 0.726137, 0.800856, 0.884331, 1.05128");
-            values ( \
-              "1e-22, 0.0728969, 0.0631343, 0.0607153, 0.0588508, 0.0568431, 0.0552296, 0.0521278, 0.0499327, 0.0315578, 0.0250452, 0.0188289, 0.0154814, 0.0115109, 0.00897427, 0.00562763, 0.00392942, 0.00309333, 0.00197649, 0.00107855, 0.00053093, 0.000129416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0422484, 0.0422684, 0.130968, 0.189827, 0.237687, 0.268058, 0.328107, 0.354523, 0.3827, 0.421576, 0.470425, 0.561555, 0.629265, 0.69702, 0.737097, 0.764277, 0.818638, 0.851777, 0.90609, 0.953402, 1.02015, 1.04668, 1.09974, 1.18321, 1.26668, 1.35016, 1.51711, 1.68406");
-            values ( \
-              "1e-22, 0.0751299, 0.067391, 0.0656752, 0.0641412, 0.0630442, 0.0605209, 0.0588431, 0.0565448, 0.0524447, 0.0462748, 0.0342576, 0.0263399, 0.01976, 0.016549, 0.014606, 0.0113483, 0.00968207, 0.00747162, 0.00591212, 0.00425983, 0.00371765, 0.00286604, 0.00186082, 0.00123028, 0.000787993, 0.000330252, 0.000135873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.0473625, 0.0473825, 0.180016, 0.356575, 0.415852, 0.491814, 0.533037, 0.615483, 0.644678, 0.702337, 0.958535, 1.0122, 1.07746, 1.16094, 1.22537, 1.29658, 1.38006, 1.42024, 1.50062, 1.57448, 1.65795, 1.72839, 1.80958, 1.89306, 1.97653, 2.06001, 2.22695, 2.47738, 2.7278");
-            values ( \
-              "1e-22, 0.0818941, 0.0705359, 0.0672431, 0.0660011, 0.0641743, 0.0629636, 0.0594662, 0.0577746, 0.0539576, 0.0336139, 0.0296897, 0.0253114, 0.0204164, 0.0171847, 0.0141261, 0.0111527, 0.00994519, 0.00786666, 0.00633535, 0.0049354, 0.00399641, 0.00312925, 0.00243892, 0.00188235, 0.00146879, 0.000883713, 0.000401518, 0.000195362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.064082, 0.0687269, 0.0693717, 0.0731212, 0.0874089, 0.0892997, 0.0918449, 0.0971209, 0.102826, 0.106849, 0.125037, 0.130142, 0.137132, 0.158338, 0.16604, 0.176276, 0.182045, 0.191244, 0.203292, 0.212939, 0.222909, 0.236377, 0.249118, 0.266107, 0.279658, 0.295124, 0.315746, 0.356991, 0.40992, 0.475795");
-            values ( \
-              "0.0127143, 0.0169999, 0.0181929, 0.0222453, 0.0350759, 0.0398572, 0.0411069, 0.0384334, 0.0367528, 0.0359962, 0.033548, 0.0325483, 0.0303676, 0.0202791, 0.017359, 0.0142306, 0.0127039, 0.0106179, 0.00835722, 0.00690198, 0.00565503, 0.00428806, 0.00330501, 0.00232936, 0.00175218, 0.00127088, 0.000829087, 0.000329781, 0.000101853, 1.92485e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0587132, 0.086527, 0.0874697, 0.0876087, 0.0885425, 0.0893936, 0.0907754, 0.0927663, 0.0953691, 0.100955, 0.104832, 0.112586, 0.115603, 0.121638, 0.130844, 0.146187, 0.146647, 0.149407, 0.153087, 0.160448, 0.169592, 0.183324, 0.192569, 0.209642, 0.210261, 0.211501, 0.218937, 0.228853, 0.248683, 0.254655, 0.261054, 0.269585, 0.286648, 0.297924, 0.310944, 0.325783, 0.346667, 0.37283, 0.407714, 0.467375, 0.538698");
-            values ( \
-              "0.00238426, 0.0441689, 0.0452243, 0.0480626, 0.051733, 0.0530846, 0.0531887, 0.0523842, 0.0507093, 0.0483866, 0.0475641, 0.0461857, 0.0459953, 0.0452503, 0.0445113, 0.0426408, 0.0426292, 0.0421946, 0.0414958, 0.0396327, 0.0364083, 0.0305473, 0.0268715, 0.0211492, 0.0210491, 0.0206108, 0.0185348, 0.0160874, 0.0118789, 0.0108672, 0.00985337, 0.00866205, 0.00658161, 0.00550222, 0.00446316, 0.00353313, 0.00248592, 0.00161465, 0.000918059, 0.000298854, 9.76891e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0602037, 0.0910783, 0.0974627, 0.102779, 0.111574, 0.161633, 0.175919, 0.184199, 0.200757, 0.2224, 0.253908, 0.273552, 0.299382, 0.327993, 0.343599, 0.369234, 0.392586, 0.413535, 0.434584, 0.46491, 0.505005, 0.558465, 0.64194, 0.665418");
-            values ( \
-              "0.000476561, 0.0637761, 0.0599054, 0.057901, 0.0562231, 0.0525791, 0.0513391, 0.050452, 0.0478711, 0.0423213, 0.0326138, 0.0271663, 0.0211247, 0.0156493, 0.0132317, 0.00995251, 0.00764772, 0.00601262, 0.00468469, 0.00328871, 0.00204595, 0.0010451, 0.000365262, 0.000321261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0614034, 0.0915726, 0.10275, 0.107571, 0.117212, 0.194473, 0.249202, 0.282182, 0.30606, 0.392188, 0.433828, 0.493555, 0.520516, 0.574649, 0.635376, 0.678615, 0.732797, 0.805038, 0.888513, 0.913953");
-            values ( \
-              "3.92363e-05, 0.0718063, 0.065625, 0.0646028, 0.0634547, 0.0598621, 0.0564906, 0.0526734, 0.0485954, 0.0311151, 0.0238987, 0.0157978, 0.0129826, 0.00863778, 0.0053976, 0.00385704, 0.0025126, 0.00137176, 0.000705147, 0.000592019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.061799, 0.0934354, 0.102476, 0.108877, 0.119238, 0.244412, 0.29035, 0.331295, 0.365789, 0.42819, 0.477277, 0.594341, 0.618717, 0.651219, 0.716224, 0.752389, 0.792942, 0.847013, 0.885657, 0.914313, 0.952522, 1.02894, 1.08091, 1.16124, 1.24472, 1.32819, 1.41167, 1.57862, 1.74557");
-            values ( \
-              "0.00271926, 0.0761236, 0.0710977, 0.0696914, 0.0687833, 0.0650783, 0.0635519, 0.0620044, 0.0603916, 0.0556863, 0.0500693, 0.0346574, 0.0316652, 0.0278989, 0.0212492, 0.0181504, 0.0151189, 0.0117606, 0.00979165, 0.0085373, 0.00709578, 0.00485987, 0.00375677, 0.00251295, 0.00164338, 0.00107311, 0.000699989, 0.00029787, 0.000127123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.0618844, 0.0946557, 0.106132, 0.113898, 0.122034, 0.138112, 0.26497, 0.363264, 0.488568, 0.569364, 0.651806, 0.681005, 0.738664, 0.994861, 1.04853, 1.11379, 1.19726, 1.26169, 1.3329, 1.41638, 1.45656, 1.53694, 1.61079, 1.69427, 1.7647, 1.84588, 1.92936, 2.01283, 2.09631, 2.26326, 2.51368, 2.76411");
-            values ( \
-              "0.003368, 0.0791936, 0.0736342, 0.0726104, 0.072242, 0.0718436, 0.0696923, 0.0678452, 0.0651772, 0.0629701, 0.0594597, 0.0577823, 0.05395, 0.0336209, 0.0296831, 0.0253182, 0.02041, 0.0171789, 0.0141325, 0.0111469, 0.00995128, 0.00786113, 0.00634129, 0.00492998, 0.00399159, 0.00312477, 0.00244377, 0.00187793, 0.00147341, 0.000888139, 0.000397416, 0.000199291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.113247, 0.130361, 0.139203, 0.142472, 0.155868, 0.175121, 0.19022, 0.198064, 0.206772, 0.210313, 0.212758, 0.214892, 0.22362, 0.232773, 0.240014, 0.247309, 0.255647, 0.262846, 0.271581, 0.280748, 0.29276, 0.302334, 0.312322, 0.325806, 0.338584, 0.355622, 0.369119, 0.384529, 0.405075, 0.446167, 0.499033, 0.564777");
-            values ( \
-              "0.00166342, 0.00742726, 0.0106029, 0.0120646, 0.0170108, 0.0234553, 0.0281298, 0.0303158, 0.0324149, 0.033032, 0.0349436, 0.0351058, 0.031987, 0.0277165, 0.0238168, 0.0204659, 0.0172959, 0.0150237, 0.0126716, 0.0106119, 0.00834582, 0.00690198, 0.00566521, 0.00428296, 0.00329744, 0.00233217, 0.00174558, 0.00126621, 0.000837043, 0.000326139, 0.00010846, 1.37262e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.113661, 0.134547, 0.142133, 0.148387, 0.158659, 0.17484, 0.186683, 0.204489, 0.210231, 0.213627, 0.218622, 0.219703, 0.221864, 0.226186, 0.233839, 0.238199, 0.244011, 0.252132, 0.266477, 0.280306, 0.28824, 0.297633, 0.301262, 0.3061, 0.315777, 0.335131, 0.341764, 0.346274, 0.352288, 0.364315, 0.381516, 0.386739, 0.397184, 0.418074, 0.435796, 0.443991, 0.460382, 0.493162, 0.553209, 0.623595, 0.707069");
-            values ( \
-              "0.00151969, 0.0116691, 0.015155, 0.0183637, 0.0231253, 0.0302507, 0.035255, 0.042141, 0.0440891, 0.0465247, 0.0463319, 0.0458099, 0.0451761, 0.0442507, 0.0430203, 0.0422695, 0.041015, 0.0386853, 0.0329384, 0.0272798, 0.0244172, 0.0213818, 0.02032, 0.0189702, 0.0164705, 0.0123166, 0.0111507, 0.0104059, 0.00948891, 0.00785603, 0.00598028, 0.00549994, 0.00465497, 0.00330776, 0.00248073, 0.00216588, 0.0016587, 0.000953586, 0.000328817, 8.82886e-05, 2.17532e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.113199, 0.157531, 0.213858, 0.218766, 0.232557, 0.272311, 0.296151, 0.342372, 0.387241, 0.43184, 0.480914, 0.522506, 0.552922, 0.609006, 0.680747");
-            values ( \
-              "1.7479e-05, 0.0270307, 0.0569286, 0.0569095, 0.0540693, 0.0504426, 0.0463482, 0.032494, 0.0211929, 0.013229, 0.00762346, 0.00470662, 0.00328542, 0.00168638, 0.000940262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.105263, 0.222426, 0.229313, 0.300401, 0.324745, 0.337809, 0.363937, 0.40519, 0.482742, 0.514249, 0.584061, 0.62445, 0.666829, 0.717663, 0.763465, 0.849458, 0.924236, 0.997249");
-            values ( \
-              "0.00173509, 0.0644499, 0.0625622, 0.0588887, 0.0573211, 0.0563779, 0.053499, 0.0462992, 0.0305483, 0.0250383, 0.0154599, 0.0114869, 0.00833048, 0.0056144, 0.00394804, 0.00196228, 0.00109216, 0.000588692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.132099, 0.160973, 0.21, 0.212721, 0.217024, 0.224315, 0.232092, 0.247425, 0.331605, 0.377144, 0.419286, 0.452982, 0.5154, 0.564416, 0.705909, 0.738412, 0.803418, 0.839578, 0.880125, 0.934188, 0.982394, 1.03972, 1.11614, 1.16808, 1.24837, 1.33184, 1.41532, 1.49879, 1.66574, 1.83269");
-            values ( \
-              "0.0177559, 0.0351462, 0.0671538, 0.0707446, 0.0714394, 0.0691504, 0.0682702, 0.0675518, 0.0650782, 0.0635659, 0.0619715, 0.0603912, 0.0556852, 0.0500748, 0.0316673, 0.0278972, 0.021251, 0.0181529, 0.0151218, 0.0117594, 0.0093559, 0.00709332, 0.00486204, 0.0037597, 0.00251649, 0.00164135, 0.00107615, 0.000697589, 0.000295301, 0.000124469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.132064, 0.213255, 0.219605, 0.224732, 0.242448, 0.500262, 0.635284, 0.699747, 0.768278, 0.848185, 1.08325, 1.20305, 1.34538, 1.418, 1.55748, 1.63899, 1.79595, 1.93646, 2.01993, 2.18688, 2.35383, 2.47886");
-            values ( \
-              "0.014796, 0.0744596, 0.0746771, 0.0725862, 0.0715764, 0.0668666, 0.0636623, 0.0613716, 0.0577718, 0.0523242, 0.0335412, 0.0251935, 0.0173637, 0.0142114, 0.00955805, 0.00753963, 0.00473073, 0.0030982, 0.00241595, 0.00145495, 0.000875387, 0.000705121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.233282, 0.272183, 0.282883, 0.297569, 0.322744, 0.353912, 0.378238, 0.438941, 0.442996, 0.451532, 0.460006, 0.47378, 0.487136, 0.49776, 0.505669, 0.51021, 0.514825, 0.530235, 0.542281, 0.555524, 0.5644, 0.582152, 0.590923, 0.611493, 0.635002, 0.682019, 0.738787, 0.810882");
-            values ( \
-              "0.00104023, 0.00296145, 0.00392919, 0.00584798, 0.0102699, 0.0145567, 0.0174237, 0.0241468, 0.0240808, 0.0218989, 0.0178933, 0.0134246, 0.0103987, 0.00845958, 0.00728318, 0.00808484, 0.00790645, 0.00577439, 0.00452141, 0.00344591, 0.00287358, 0.00198001, 0.00165559, 0.00107665, 0.000657023, 0.00022565, 6.29502e-05, 9.94219e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.236041, 0.277623, 0.284767, 0.299056, 0.333913, 0.37087, 0.424359, 0.446489, 0.467048, 0.486732, 0.500526, 0.50558, 0.510714, 0.534015, 0.551799, 0.572322, 0.584475, 0.605129, 0.629937, 0.65217, 0.666859, 0.694547, 0.731464, 0.796614, 0.874455");
-            values ( \
-              "0.00176484, 0.00485996, 0.00579027, 0.00817818, 0.0154963, 0.0216995, 0.0296934, 0.032507, 0.0344697, 0.0296545, 0.0238687, 0.0223785, 0.0226356, 0.0165243, 0.0126528, 0.00925222, 0.00764309, 0.0055024, 0.00368574, 0.00256195, 0.00201383, 0.00127665, 0.000686684, 0.000208026, 5.09792e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.252836, 0.286227, 0.307673, 0.351396, 0.396768, 0.431894, 0.461996, 0.489124, 0.497349, 0.505573, 0.510299, 0.514952, 0.559653, 0.582743, 0.604664, 0.633333, 0.644821, 0.675224, 0.698213, 0.718776, 0.739911, 0.7703, 0.801937, 0.82619, 0.874696, 0.958171, 1.04165");
-            values ( \
-              "0.00677956, 0.00781591, 0.0121805, 0.0223449, 0.0313714, 0.0379562, 0.0430812, 0.0467266, 0.0472306, 0.0469428, 0.0474512, 0.0464362, 0.0324909, 0.0262672, 0.0211726, 0.0156745, 0.0138669, 0.00991443, 0.00762119, 0.00601532, 0.00471059, 0.00327749, 0.00225513, 0.00169592, 0.000930484, 0.000319301, 0.000103769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.26026, 0.29888, 0.350319, 0.434463, 0.478416, 0.510974, 0.516111, 0.522481, 0.560624, 0.575089, 0.593281, 0.62267, 0.698367, 0.749153, 0.783397, 0.810328, 0.846237, 0.873827, 0.896353, 0.926387, 0.976177, 1.03362, 1.0793, 1.16277, 1.24625, 1.4132");
-            values ( \
-              "0.0102103, 0.012139, 0.02501, 0.0444155, 0.0536691, 0.0598505, 0.0596317, 0.0586933, 0.0556865, 0.0540867, 0.0514154, 0.0459193, 0.0305634, 0.0220644, 0.0173796, 0.0143307, 0.0109939, 0.00891299, 0.00750461, 0.00595384, 0.00400804, 0.00254547, 0.00176709, 0.00088151, 0.000443923, 0.000113441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.276298, 0.336442, 0.415316, 0.48773, 0.505537, 0.515168, 0.541324, 0.546224, 0.605823, 0.665875, 0.692313, 0.720514, 0.759256, 0.808193, 0.899334, 0.966891, 1.03468, 1.07498, 1.10232, 1.15701, 1.18967, 1.24315, 1.29067, 1.35762, 1.38437, 1.43786, 1.52134, 1.60481, 1.68829, 1.85524, 2.02219");
-            values ( \
-              "0.0153692, 0.0233235, 0.0438498, 0.061565, 0.0656513, 0.0667554, 0.0650809, 0.0651122, 0.0630468, 0.0605181, 0.0588417, 0.0565414, 0.0524559, 0.046276, 0.0342575, 0.0263562, 0.0197691, 0.0165405, 0.0145882, 0.0113158, 0.00967696, 0.00749704, 0.00592696, 0.00426552, 0.0037193, 0.00286039, 0.0018577, 0.00122764, 0.000786853, 0.000329966, 0.000135949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.305569, 0.397981, 0.505315, 0.515054, 0.546363, 0.565843, 0.594878, 0.629035, 0.66412, 0.73429, 0.765306, 0.816456, 0.870232, 0.953707, 1.03825, 1.10821, 1.29575, 1.34944, 1.41469, 1.49817, 1.56252, 1.63375, 1.71722, 1.75743, 1.83786, 1.91169, 1.99517, 2.09258, 2.14666, 2.23013, 2.31361, 2.39708, 2.56403, 2.64751, 2.81446, 3.06488");
-            values ( \
-              "0.0285928, 0.041552, 0.0700868, 0.0713617, 0.0698357, 0.0698195, 0.0690408, 0.0686032, 0.0677607, 0.066474, 0.0656682, 0.0645558, 0.062921, 0.0594513, 0.0540743, 0.0486557, 0.0336083, 0.0296921, 0.0253064, 0.0204192, 0.0171903, 0.0141256, 0.0111566, 0.00994365, 0.00786827, 0.00633316, 0.00493757, 0.00369263, 0.00313315, 0.00243728, 0.00188585, 0.00146664, 0.000881209, 0.000677274, 0.000404725, 0.000192245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.541793, 0.608757, 0.639252, 0.669925, 0.711901, 0.737298, 0.765766, 0.802706, 0.863473, 0.889764, 0.90645, 0.913924, 0.925664, 0.960542, 0.980946, 1.00555, 1.02143, 1.05471, 1.08217, 1.09594, 1.14641, 1.21594, 1.22812, 1.24603, 1.26037, 1.28198");
-            values ( \
-              "0.00111624, 0.00120806, 0.0018165, 0.00281832, 0.00547417, 0.00758795, 0.00950906, 0.011546, 0.0140516, 0.0153795, 0.0165172, 0.0166164, 0.0158189, 0.00889976, 0.0063186, 0.00412426, 0.00309686, 0.00167444, 0.00098568, 0.000760719, 0.000287841, 7.17758e-05, 0.000653583, 0.000596861, 0.000353387, 0.000267038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.541697, 0.615414, 0.659251, 0.680013, 0.721538, 0.772732, 0.813243, 0.870266, 0.904822, 0.948193, 0.966057, 0.988547, 1.00717, 1.04021, 1.06606, 1.08075, 1.10064, 1.12055, 1.14153, 1.17449, 1.19875, 1.21578, 1.22015, 1.22335, 1.25902, 1.29805, 1.36904, 1.45252");
-            values ( \
-              "0.00171286, 0.00202388, 0.00355294, 0.00472781, 0.00829153, 0.0131305, 0.0159292, 0.0190864, 0.020726, 0.0233781, 0.0237426, 0.0199952, 0.0158948, 0.0104229, 0.00740193, 0.00608449, 0.00449547, 0.00334157, 0.00248355, 0.00142915, 0.000972736, 0.000770543, 0.0016753, 0.00171659, 0.000989366, 0.000507419, 0.000134407, 2.65336e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.541869, 0.627866, 0.666707, 0.702233, 0.753367, 0.786555, 0.824013, 0.878792, 0.926038, 1.00787, 1.02239, 1.04548, 1.07275, 1.10433, 1.1525, 1.18066, 1.19953, 1.21612, 1.22348, 1.23044, 1.26078, 1.28783, 1.30871, 1.33776, 1.3765, 1.45398, 1.4731");
-            values ( \
-              "0.00222045, 0.003447, 0.00539382, 0.00822016, 0.013936, 0.0172125, 0.0202984, 0.0242285, 0.0273205, 0.0322591, 0.0328589, 0.0317403, 0.0261926, 0.0204285, 0.0130456, 0.00987139, 0.00802388, 0.00669646, 0.00723619, 0.00707363, 0.00498337, 0.00360159, 0.00282297, 0.00199877, 0.00124909, 0.0004625, 0.000391816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.541269, 0.64589, 0.657795, 0.681606, 0.711101, 0.788187, 0.877679, 0.947332, 1.00707, 1.06065, 1.11054, 1.15878, 1.21564, 1.22424, 1.23951, 1.2542, 1.27232, 1.31559, 1.31801, 1.32286, 1.33256, 1.34614, 1.40041, 1.40721, 1.42079, 1.49537, 1.51749, 1.52487, 1.53963, 1.56915, 1.62567, 1.70915, 1.79262, 1.8761, 1.95957");
-            values ( \
-              "0.0025288, 0.00571528, 0.00644813, 0.00821733, 0.0110103, 0.0199122, 0.0280864, 0.0338535, 0.0384247, 0.0420703, 0.044375, 0.0403255, 0.0305261, 0.0310515, 0.0274373, 0.0255837, 0.0222255, 0.0164486, 0.0164886, 0.0156274, 0.014875, 0.0131715, 0.00871428, 0.0085798, 0.00743843, 0.00407882, 0.00370774, 0.00320425, 0.0031331, 0.00221138, 0.00164071, 0.000599719, 0.000520645, 4.05581e-05, 0.000241089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.608059, 0.71501, 0.780261, 0.818284, 0.888523, 1.04077, 1.12276, 1.19146, 1.21573, 1.23232, 1.27101, 1.28831, 1.42843, 1.49507, 1.56078, 1.59753, 1.62205, 1.66013, 1.72093, 1.74322, 1.78781, 1.86989, 1.92732, 1.96597, 2.04328, 2.12675, 2.21023, 2.37718, 2.54413");
-            values ( \
-              "0.00989118, 0.0131244, 0.0207945, 0.0249876, 0.0320042, 0.0463578, 0.0532473, 0.0577797, 0.058362, 0.0578471, 0.0539088, 0.0519265, 0.0337026, 0.0259878, 0.0196315, 0.0166836, 0.0149346, 0.0125139, 0.00938228, 0.00843812, 0.00678815, 0.00452051, 0.00339335, 0.0028038, 0.00188861, 0.00123976, 0.00080295, 0.000339668, 0.000142925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.607804, 0.710163, 0.889159, 1.06903, 1.14676, 1.21547, 1.23525, 1.25888, 1.35364, 1.39131, 1.46664, 1.5029, 1.56038, 1.62924, 1.81677, 1.87044, 1.9357, 2.01917, 2.08358, 2.15479, 2.23827, 2.27846, 2.35884, 2.43268, 2.51616, 2.61361, 2.66771, 2.75118, 2.83465, 2.91813, 3.08508, 3.16855, 3.3355, 3.58593");
-            values ( \
-              "0.012352, 0.014074, 0.0341173, 0.0529825, 0.0606096, 0.0668515, 0.0670241, 0.0663388, 0.0640761, 0.0629648, 0.0598319, 0.0577807, 0.0539662, 0.0486803, 0.0336157, 0.0296883, 0.0253128, 0.0204156, 0.0171856, 0.0141279, 0.0111531, 0.00994652, 0.00786667, 0.00633647, 0.00493542, 0.00369553, 0.00312998, 0.00244012, 0.00188267, 0.00146964, 0.000884349, 0.000673978, 0.000401351, 0.000195719" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00186781, 0.00190521, 0.00193682, 0.00196086, 0.00197721, 0.00198753", \
-            "0.00215542, 0.00220157, 0.00224583, 0.00228173, 0.00230748, 0.00232423", \
-            "0.00236155, 0.00238646, 0.00241936, 0.00245068, 0.00247633, 0.00249415", \
-            "0.00256125, 0.00256086, 0.00255964, 0.00256582, 0.00257464, 0.0025831", \
-            "0.00275821, 0.00272738, 0.00269477, 0.00266758, 0.00264904, 0.00263954", \
-            "0.00291155, 0.00285908, 0.00281277, 0.00277293, 0.00273568, 0.00270297" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.0024586, 0.00248841, 0.00251472, 0.0025305, 0.00253976, 0.00254466", \
-            "0.00266593, 0.00261224, 0.00256459, 0.00253419, 0.00251531, 0.00250373", \
-            "0.00283003, 0.00270521, 0.00259236, 0.00250351, 0.00243978, 0.0024009", \
-            "0.00310531, 0.0029174, 0.00271739, 0.00255654, 0.00244449, 0.00237214", \
-            "0.00332836, 0.00332521, 0.00309235, 0.00280338, 0.00259514, 0.00244977", \
-            "0.00309369, 0.00318115, 0.00325766, 0.00328069, 0.00298856, 0.00268902" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0181626, 0.0189047, 0.0213266, 0.0274552, 0.0307882, 0.0396318, 0.0408415, 0.0432089, 0.0455932, 0.0564108, 0.0638884, 0.0711441, 0.0800544, 0.0852208");
-            values ( \
-              "-0.0822212, -0.128106, -0.12885, -0.124663, -0.119616, -0.0782006, -0.0760841, -0.0783481, -0.0771208, -0.0362445, -0.0175621, -0.00821471, -0.00306503, -0.00192229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00382337");
-            index_3 ("0.0185817, 0.0194707, 0.0207869, 0.0296222, 0.0364336, 0.0380468, 0.047474, 0.0492949, 0.0516764, 0.0539459, 0.0564979, 0.0731423, 0.0791549, 0.085203, 0.0918631, 0.0959416, 0.104098, 0.110843");
-            values ( \
-              "-0.153907, -0.156644, -0.157236, -0.153064, -0.14601, -0.142049, -0.105278, -0.105075, -0.108142, -0.108193, -0.103649, -0.0429333, -0.0280798, -0.0179314, -0.0107056, -0.00770621, -0.00397433, -0.002533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00676755");
-            index_3 ("0.0176407, 0.0202113, 0.0205747, 0.0256485, 0.0325304, 0.0395254, 0.045151, 0.0498903, 0.0577954, 0.0598497, 0.0622879, 0.0634686, 0.0658298, 0.0690996, 0.0724736, 0.0761162, 0.0806988, 0.0936527, 0.101629, 0.107329, 0.111022, 0.119787, 0.128547, 0.133499, 0.143402, 0.162404, 0.162585");
-            values ( \
-              "-0.0105035, -0.179478, -0.179529, -0.178549, -0.175968, -0.172132, -0.167987, -0.159645, -0.136308, -0.132294, -0.132104, -0.134185, -0.136307, -0.13621, -0.131864, -0.124809, -0.112392, -0.0704025, -0.0495567, -0.0377879, -0.0315322, -0.020263, -0.012805, -0.00979141, -0.00572399, -0.00179813, -0.00178731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119789");
-            index_3 ("0.0190184, 0.0201008, 0.0273091, 0.0413185, 0.0527385, 0.0622783, 0.0689358, 0.0802491, 0.0828789, 0.0882753, 0.0909584, 0.0948313, 0.0989767, 0.104872, 0.112007, 0.133419, 0.149659, 0.164605, 0.179435, 0.193332, 0.201221, 0.216997, 0.246869, 0.28209");
-            values ( \
-              "-0.194889, -0.195406, -0.194461, -0.190664, -0.1866, -0.181942, -0.174301, -0.154686, -0.153711, -0.15871, -0.159239, -0.157638, -0.154411, -0.148133, -0.137764, -0.0896474, -0.0575903, -0.0366057, -0.0227751, -0.0143492, -0.0109905, -0.00634943, -0.00203841, -0.00049901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0212032");
-            index_3 ("0.0190767, 0.022794, 0.0566374, 0.0856378, 0.0981362, 0.117246, 0.126131, 0.131207, 0.138954, 0.157273, 0.171317, 0.188338, 0.222806, 0.235845, 0.259031, 0.282273, 0.300069, 0.318465, 0.332539, 0.360688, 0.395085");
-            values ( \
-              "-0.205122, -0.205702, -0.200186, -0.193271, -0.187481, -0.170183, -0.174705, -0.175115, -0.172447, -0.162484, -0.15048, -0.127839, -0.0771983, -0.0618048, -0.0404528, -0.0258132, -0.0180556, -0.0124335, -0.00929977, -0.00510754, -0.00264444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0375308");
-            index_3 ("0.0191119, 0.0235906, 0.0784052, 0.133249, 0.152702, 0.177382, 0.193306, 0.200816, 0.239936, 0.262885, 0.302582, 0.339681, 0.388477, 0.427948, 0.46758, 0.497769, 0.52887, 0.59957, 0.651984");
-            values ( \
-              "-0.211374, -0.212087, -0.206505, -0.199066, -0.19399, -0.18228, -0.185653, -0.185115, -0.174931, -0.165714, -0.138311, -0.103208, -0.0644824, -0.0421634, -0.026893, -0.0187889, -0.012964, -0.00539273, -0.00329515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0279311, 0.0375016, 0.038867, 0.0416857, 0.0451367, 0.0543537, 0.0557326, 0.0588105, 0.0607327, 0.0715554, 0.0785965, 0.0844693, 0.0912322, 0.0985987");
-            values ( \
-              "-0.000873019, -0.125819, -0.126654, -0.124829, -0.119989, -0.0777081, -0.0759938, -0.0784656, -0.0755393, -0.0341449, -0.0171661, -0.00927108, -0.00449879, -0.0019245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00382337");
-            index_3 ("0.0285927, 0.0375567, 0.0397928, 0.0465034, 0.051787, 0.0620955, 0.0685454, 0.0711166, 0.0862237, 0.0937842, 0.0991239, 0.105876, 0.114211, 0.124733");
-            values ( \
-              "-0.000239137, -0.15344, -0.15556, -0.151204, -0.144441, -0.105454, -0.108273, -0.103761, -0.0475158, -0.028069, -0.0188336, -0.0111544, -0.00575682, -0.00346402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00676755");
-            index_3 ("0.0295696, 0.0373133, 0.0403403, 0.050666, 0.0612585, 0.0738452, 0.0759663, 0.0802186, 0.0829776, 0.0871383, 0.092965, 0.115457, 0.124948, 0.13455, 0.143209, 0.157759, 0.168636");
-            values ( \
-              "-0.00190841, -0.174407, -0.178411, -0.174657, -0.166668, -0.133304, -0.131708, -0.136334, -0.136522, -0.131907, -0.119397, -0.0515215, -0.032723, -0.0201383, -0.0127843, -0.00580098, -0.0035342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119789");
-            index_3 ("0.0307313, 0.0359149, 0.0368105, 0.0378048, 0.0386047, 0.0393818, 0.0409152, 0.0561561, 0.0662607, 0.0675786, 0.0747017, 0.0793336, 0.0851627, 0.0919954, 0.0947049, 0.0972299, 0.102821, 0.105804, 0.109662, 0.113753, 0.119703, 0.126839, 0.135635, 0.148255, 0.156362, 0.164494, 0.175337, 0.180541, 0.187148, 0.194269, 0.204221, 0.208164, 0.216051, 0.231823, 0.261503, 0.296135");
-            values ( \
-              "-0.00213186, -0.164301, -0.181891, -0.191865, -0.19364, -0.194294, -0.194601, -0.190831, -0.186902, -0.186709, -0.18353, -0.179945, -0.17205, -0.159301, -0.15507, -0.15379, -0.158731, -0.159198, -0.157741, -0.15439, -0.148214, -0.137701, -0.119393, -0.0895829, -0.0724727, -0.0576489, -0.0415363, -0.0353372, -0.0286513, -0.0228196, -0.016325, -0.0143927, -0.0109521, -0.00639258, -0.00201699, -0.000560557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0212032");
-            index_3 ("0.0319673, 0.0376879, 0.0387021, 0.0401744, 0.0417152, 0.0715444, 0.090834, 0.100527, 0.110455, 0.113053, 0.126292, 0.132163, 0.133957, 0.141131, 0.146126, 0.153868, 0.171935, 0.176868, 0.186734, 0.203248, 0.220152, 0.237324, 0.251915, 0.261659, 0.274225, 0.282633, 0.293845, 0.313676, 0.320462, 0.336116, 0.354006, 0.389786, 0.436707, 0.483628");
-            values ( \
-              "-0.0666454, -0.200499, -0.204146, -0.20507, -0.205206, -0.200084, -0.195893, -0.193348, -0.189217, -0.187481, -0.174618, -0.170182, -0.1704, -0.174738, -0.175109, -0.172447, -0.162656, -0.158937, -0.149942, -0.127838, -0.101789, -0.0776937, -0.0605523, -0.0508336, -0.0402385, -0.0342912, -0.0275737, -0.018519, -0.0161494, -0.011743, -0.00809732, -0.00371362, -0.0012681, -0.000431501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0375308");
-            index_3 ("0.033378, 0.0389349, 0.0402095, 0.0467939, 0.0986228, 0.148516, 0.16761, 0.19217, 0.197037, 0.202017, 0.208071, 0.215357, 0.255317, 0.277826, 0.317539, 0.354603, 0.403438, 0.442899, 0.482505, 0.5127, 0.543822, 0.61454, 0.668602");
-            values ( \
-              "-0.158357, -0.212558, -0.211327, -0.211434, -0.205898, -0.198965, -0.194004, -0.182315, -0.182245, -0.184698, -0.185575, -0.18523, -0.174822, -0.16568, -0.138327, -0.103202, -0.0644562, -0.0421463, -0.0269096, -0.0187799, -0.0129528, -0.00538132, -0.00321857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0538708, 0.0608329, 0.0698896, 0.0747015, 0.0790408, 0.0812197, 0.0874405, 0.0879541, 0.090039, 0.0928712, 0.0953213, 0.0976567, 0.106621, 0.111328, 0.116863, 0.120558, 0.126475, 0.134364, 0.135138");
-            values ( \
-              "-0.00347695, -0.0523918, -0.0758827, -0.0858521, -0.0930226, -0.0925864, -0.0790056, -0.0811903, -0.0782034, -0.0796787, -0.0772213, -0.0698572, -0.0344095, -0.0219067, -0.0124176, -0.00839695, -0.0044114, -0.00177441, -0.00167699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00382337");
-            index_3 ("0.0538663, 0.0659708, 0.0749135, 0.0808884, 0.0862442, 0.087464, 0.0883661, 0.0898318, 0.0973403, 0.0990985, 0.100701, 0.103567, 0.106779, 0.120666, 0.125211, 0.132028, 0.140029, 0.145058, 0.151764, 0.161594");
-            values ( \
-              "-0.00697865, -0.0809568, -0.107686, -0.122846, -0.133605, -0.134319, -0.137398, -0.134624, -0.105448, -0.105387, -0.107535, -0.108474, -0.102412, -0.0501211, -0.0368499, -0.0224572, -0.0121498, -0.00818071, -0.00476772, -0.00225043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00676755");
-            index_3 ("0.0547395, 0.0668991, 0.088546, 0.0895782, 0.0932889, 0.0956821, 0.0989114, 0.108968, 0.111193, 0.116435, 0.119364, 0.122516, 0.126197, 0.130993, 0.142535, 0.149519, 0.153641, 0.15936, 0.164632, 0.171662, 0.17822, 0.188863, 0.203054, 0.214013");
-            values ( \
-              "-0.00419764, -0.0960653, -0.169402, -0.171418, -0.169598, -0.167404, -0.162075, -0.133704, -0.131482, -0.136696, -0.135797, -0.131902, -0.1247, -0.11161, -0.0738765, -0.0546841, -0.045185, -0.0343252, -0.0264061, -0.0183443, -0.0130019, -0.00733665, -0.0032901, -0.0020185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119789");
-            index_3 ("0.0559361, 0.0701344, 0.089832, 0.104958, 0.114732, 0.120234, 0.129867, 0.132292, 0.137777, 0.141169, 0.144941, 0.155089, 0.161066, 0.169036, 0.182557, 0.199623, 0.21378, 0.228133, 0.24352, 0.252861, 0.271542, 0.294811");
-            values ( \
-              "-0.0153588, -0.117837, -0.191005, -0.185778, -0.180065, -0.172463, -0.155364, -0.15357, -0.158333, -0.159251, -0.157797, -0.148187, -0.1397, -0.123918, -0.0920675, -0.0580272, -0.0378602, -0.0239592, -0.0143719, -0.0104619, -0.00542477, -0.00254789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0212032");
-            index_3 ("0.0570653, 0.0686582, 0.0873678, 0.0880921, 0.0895406, 0.0913217, 0.0929759, 0.0954886, 0.0996206, 0.105476, 0.107024, 0.110121, 0.116314, 0.125196, 0.126305, 0.128523, 0.132959, 0.139432, 0.146097, 0.153817, 0.159818, 0.165977, 0.167319, 0.170003, 0.173208, 0.179618, 0.184495, 0.189331, 0.195196, 0.20345, 0.230116, 0.238712, 0.270366, 0.276079, 0.277272, 0.279657, 0.284428, 0.292524, 0.308136, 0.312924, 0.322499, 0.34165, 0.353238, 0.357572, 0.36624, 0.383577, 0.413522, 0.460443, 0.507364");
-            values ( \
-              "-0.0146061, -0.117267, -0.1918, -0.202423, -0.20125, -0.204291, -0.20103, -0.204068, -0.199771, -0.202122, -0.198392, -0.201135, -0.196482, -0.19782, -0.194245, -0.196985, -0.19259, -0.193856, -0.187481, -0.184507, -0.175008, -0.172703, -0.168573, -0.172306, -0.171255, -0.177041, -0.172714, -0.173931, -0.168084, -0.166678, -0.141904, -0.126367, -0.0793497, -0.0751405, -0.0706159, -0.0707862, -0.0623017, -0.0567883, -0.0399345, -0.0393481, -0.0300025, -0.0230228, -0.015568, -0.0171082, -0.0116204, -0.0106284, -0.00334499, -0.00312663, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0375308");
-            index_3 ("0.058265, 0.0732914, 0.0904729, 0.150614, 0.182264, 0.202122, 0.22827, 0.235891, 0.237621, 0.248, 0.262474, 0.283705, 0.313446, 0.348216, 0.409496, 0.439405, 0.456391, 0.478493, 0.517832, 0.548089, 0.579437, 0.60343, 0.650351, 0.697272, 0.744193, 0.838035");
-            values ( \
-              "-0.039665, -0.140393, -0.210842, -0.204014, -0.199488, -0.194438, -0.182221, -0.183327, -0.18462, -0.185675, -0.182773, -0.177075, -0.165864, -0.142423, -0.086452, -0.0642038, -0.053611, -0.0421629, -0.0268943, -0.0188601, -0.0129746, -0.00965381, -0.00540141, -0.00295019, -0.00166218, -0.000518984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105194, 0.112219, 0.120635, 0.129663, 0.14165, 0.148873, 0.152168, 0.160762, 0.163248, 0.168994, 0.171368, 0.174468, 0.17794, 0.194749, 0.205101, 0.21031, 0.213089, 0.219164, 0.220323");
-            values ( \
-              "-0.00309945, -0.0190642, -0.0309985, -0.0408033, -0.0514149, -0.0561188, -0.0556144, -0.0463363, -0.0464856, -0.056438, -0.0575207, -0.0564937, -0.0529119, -0.0180598, -0.00690266, -0.00409367, -0.00474669, -0.00251328, -0.00229106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00382337");
-            index_3 ("0.105172, 0.114822, 0.125776, 0.141197, 0.151183, 0.160002, 0.163593, 0.17318, 0.175514, 0.179725, 0.182313, 0.185363, 0.18939, 0.194203, 0.210288, 0.219704, 0.224819, 0.234461, 0.242984, 0.248791");
-            values ( \
-              "-0.000772725, -0.0278215, -0.0449306, -0.0637393, -0.0742415, -0.0818, -0.0817868, -0.0728599, -0.0736542, -0.082508, -0.0859826, -0.0870488, -0.0849024, -0.0771098, -0.0339462, -0.0188466, -0.012683, -0.00592602, -0.00291602, -0.00204258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00676755");
-            index_3 ("0.105159, 0.124152, 0.133013, 0.15267, 0.165274, 0.176334, 0.180324, 0.190772, 0.193213, 0.197723, 0.202016, 0.204815, 0.20749, 0.212775, 0.231888, 0.241912, 0.25118, 0.257818, 0.272178, 0.283726, 0.296901");
-            values ( \
-              "-0.000820353, -0.0476985, -0.0620512, -0.08903, -0.104503, -0.115708, -0.11645, -0.109958, -0.110809, -0.120529, -0.125944, -0.126144, -0.125334, -0.120668, -0.0618607, -0.0389536, -0.0246143, -0.0174553, -0.0081443, -0.00428193, -0.00228248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119789");
-            index_3 ("0.105132, 0.134776, 0.168407, 0.184716, 0.193591, 0.198941, 0.203398, 0.210248, 0.211352, 0.217189, 0.222641, 0.226205, 0.230008, 0.240127, 0.245471, 0.252596, 0.274205, 0.285198, 0.298995, 0.312919, 0.323736, 0.33502, 0.343668, 0.360963, 0.392491, 0.429795");
-            values ( \
-              "-0.00223493, -0.0705799, -0.121173, -0.143282, -0.154059, -0.158929, -0.160644, -0.158595, -0.159727, -0.15407, -0.158472, -0.159321, -0.157812, -0.148178, -0.140724, -0.127187, -0.0775946, -0.0571047, -0.0376391, -0.0241484, -0.0168571, -0.0115518, -0.00861585, -0.00467734, -0.0013917, -0.000292787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0212032");
-            index_3 ("0.105153, 0.143742, 0.180012, 0.210268, 0.211428, 0.213748, 0.218297, 0.221522, 0.226311, 0.238151, 0.25241, 0.26668, 0.270573, 0.274465, 0.292891, 0.306626, 0.358415, 0.371032, 0.394376, 0.417997, 0.4357, 0.453759, 0.467538, 0.495096, 0.542017, 0.588938");
-            values ( \
-              "-0.00373989, -0.0893713, -0.147243, -0.191305, -0.196319, -0.194455, -0.194967, -0.192484, -0.192283, -0.184331, -0.169529, -0.175887, -0.173288, -0.173062, -0.163023, -0.151318, -0.077102, -0.0621518, -0.0405685, -0.0257242, -0.018, -0.0124763, -0.00941643, -0.00521838, -0.00180635, -0.000596375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0375308");
-            index_3 ("0.105132, 0.14986, 0.183137, 0.210248, 0.213928, 0.219325, 0.224201, 0.233579, 0.252488, 0.2692, 0.286234, 0.312801, 0.317695, 0.322705, 0.32864, 0.335843, 0.35079, 0.376659, 0.398514, 0.438251, 0.475259, 0.508015, 0.524158, 0.541377, 0.563603, 0.581734, 0.603165, 0.633369, 0.646655, 0.664523, 0.688348, 0.735269, 0.782191, 0.829112, 0.922954");
-            values ( \
-              "-0.00484568, -0.102609, -0.158724, -0.20201, -0.205781, -0.206208, -0.205076, -0.20423, -0.201656, -0.198921, -0.194828, -0.182326, -0.182233, -0.184695, -0.185567, -0.185259, -0.18195, -0.1746, -0.165685, -0.138299, -0.103235, -0.0758277, -0.0644357, -0.0538126, -0.0421401, -0.034381, -0.0269167, -0.0187851, -0.0160437, -0.0129514, -0.00973083, -0.00537932, -0.00300258, -0.00162718, -0.00048054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.213192, 0.215629, 0.224564, 0.227646, 0.233811, 0.240531, 0.250282, 0.259706, 0.271809, 0.285638, 0.2975, 0.298443, 0.299701, 0.302217, 0.305788, 0.311243, 0.313602, 0.316749, 0.323801, 0.327545, 0.331286, 0.334738, 0.340085, 0.34557, 0.353808, 0.368558, 0.377298, 0.381645, 0.387858, 0.396142, 0.410296, 0.414643");
-            values ( \
-              "-0.00457035, -0.00485101, -0.00638418, -0.00731347, -0.00878374, -0.0111659, -0.0157308, -0.0205895, -0.025634, -0.0304908, -0.0334926, -0.0335825, -0.0335313, -0.0329509, -0.0310438, -0.0274115, -0.0263277, -0.026282, -0.0335025, -0.0355556, -0.0362378, -0.0365099, -0.0362419, -0.0346098, -0.0283808, -0.013762, -0.00778984, -0.00573934, -0.00363184, -0.00188796, -0.000548223, -0.000440192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00382337");
-            index_3 ("0.215442, 0.233539, 0.243257, 0.252974, 0.263088, 0.284249, 0.300868, 0.313367, 0.315406, 0.319483, 0.324392, 0.332217, 0.334863, 0.337652, 0.344831, 0.348973, 0.35747, 0.365775, 0.370083, 0.376546, 0.393136, 0.400686, 0.411901, 0.420785, 0.428029, 0.442517, 0.461973, 0.505711, 0.515944, 0.528556");
-            values ( \
-              "-0.00332372, -0.0118941, -0.0154173, -0.0207361, -0.0272278, -0.0378072, -0.0448712, -0.0492623, -0.0496138, -0.049498, -0.0473782, -0.0428086, -0.0423729, -0.0443611, -0.0527676, -0.0546727, -0.0556757, -0.0543279, -0.0522347, -0.0462498, -0.0249604, -0.0171583, -0.00913801, -0.00535102, -0.00337516, -0.00122553, -0.000279816, -3.50817e-05, -0.000669308, -0.000283241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00676755");
-            index_3 ("0.223648, 0.252948, 0.276325, 0.292639, 0.32316, 0.34117, 0.344401, 0.347682, 0.352244, 0.358673, 0.361827, 0.365212, 0.372305, 0.375812, 0.380385, 0.38539, 0.390982, 0.402165, 0.406367, 0.414304, 0.428217, 0.437393, 0.448209, 0.455676, 0.461466, 0.467504, 0.475556, 0.491659, 0.505685, 0.514327, 0.534379");
-            values ( \
-              "-0.00879186, -0.0240085, -0.0392773, -0.0485266, -0.0639649, -0.0710435, -0.0714095, -0.0710152, -0.0693317, -0.0663101, -0.0657595, -0.0677461, -0.0766184, -0.0788807, -0.0802113, -0.0811839, -0.0812493, -0.0784237, -0.0751846, -0.0656923, -0.0444393, -0.032065, -0.0207827, -0.0150052, -0.0115498, -0.00872543, -0.00591141, -0.00255869, -0.00121581, -0.00178156, -0.000558839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119789");
-            index_3 ("0.257572, 0.302532, 0.314814, 0.334739, 0.354578, 0.367774, 0.372587, 0.3774, 0.382383, 0.387801, 0.393011, 0.397374, 0.399236, 0.400167, 0.401098, 0.402029, 0.40296, 0.406157, 0.408808, 0.411459, 0.41307, 0.416293, 0.417904, 0.42344, 0.425235, 0.428825, 0.43062, 0.432627, 0.434635, 0.436642, 0.43865, 0.442665, 0.44668, 0.450695, 0.45471, 0.456492, 0.458274, 0.465402, 0.483139, 0.490141, 0.504894, 0.505427, 0.507027, 0.508644, 0.514206, 0.518017, 0.521827, 0.526909, 0.529449, 0.533993");
-            values ( \
-              "-0.0564015, -0.0594475, -0.0668528, -0.0783197, -0.0892079, -0.0960299, -0.0980233, -0.0997525, -0.0995491, -0.0989934, -0.098122, -0.0971106, -0.0971345, -0.0973864, -0.0977983, -0.09837, -0.0991018, -0.10325, -0.106242, -0.10883, -0.109864, -0.111547, -0.112195, -0.113897, -0.114142, -0.114383, -0.11438, -0.114278, -0.114072, -0.113763, -0.113351, -0.112176, -0.11056, -0.108503, -0.106005, -0.104383, -0.102601, -0.0923678, -0.0642692, -0.0536572, -0.0354322, -0.0351049, -0.0344991, -0.0334317, -0.0278459, -0.0246531, -0.0218384, -0.0185234, -0.0170312, -0.014628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0212032");
-            index_3 ("0.264355, 0.312631, 0.346902, 0.371536, 0.38009, 0.397197, 0.413296, 0.416653, 0.423368, 0.426725, 0.428616, 0.430506, 0.434287, 0.438855, 0.443423, 0.448977, 0.45037, 0.451763, 0.454549, 0.463972, 0.466777, 0.469583, 0.480068, 0.489264, 0.496835, 0.504406, 0.506033, 0.506847, 0.509288, 0.511758, 0.514228, 0.518123, 0.523989, 0.534159, 0.544329, 0.553144, 0.561958, 0.571869, 0.577028, 0.584768, 0.590782, 0.597649, 0.604982, 0.610832, 0.615876, 0.625158, 0.629556, 0.638352, 0.644648, 0.65034");
-            values ( \
-              "-0.0681863, -0.0696693, -0.0915729, -0.106901, -0.112045, -0.122056, -0.130974, -0.132542, -0.135378, -0.136645, -0.137019, -0.137321, -0.137703, -0.137433, -0.137414, -0.137729, -0.138123, -0.138642, -0.14006, -0.149531, -0.151195, -0.152596, -0.156329, -0.156481, -0.156326, -0.155916, -0.155424, -0.155057, -0.153704, -0.151952, -0.149816, -0.145359, -0.137585, -0.122303, -0.106514, -0.0936725, -0.0814367, -0.0688682, -0.0626796, -0.0548356, -0.0493085, -0.0434431, -0.0375889, -0.0333977, -0.0302968, -0.0253263, -0.0233301, -0.0195673, -0.0170623, -0.0149325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0375308");
-            index_3 ("0.237601, 0.253159, 0.275291, 0.388807, 0.454324, 0.471299, 0.492986, 0.505896, 0.509155, 0.522302, 0.52958, 0.536807, 0.55076, 0.582017, 0.605406, 0.645088, 0.68222, 0.708787, 0.730979, 0.770456, 0.788702, 0.810107, 0.840289, 0.871363, 0.895116, 0.942037, 0.988958, 1.0095");
-            values ( \
-              "-0.0227824, -0.0311675, -0.0456627, -0.123451, -0.164498, -0.174075, -0.184201, -0.186959, -0.187015, -0.182214, -0.184822, -0.185752, -0.183472, -0.174977, -0.165808, -0.138242, -0.103255, -0.0806317, -0.0645177, -0.0421905, -0.0343802, -0.0268736, -0.0188071, -0.0129809, -0.0097253, -0.00540821, -0.00298698, -0.00240158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.444441, 0.492069, 0.51328, 0.523782, 0.544788, 0.553322, 0.586783, 0.608285, 0.610981, 0.624467, 0.631668, 0.638097, 0.650747, 0.653911, 0.657243, 0.66099, 0.664701, 0.671006, 0.67545, 0.687265, 0.693142, 0.704897, 0.71053, 0.71936, 0.730749, 0.745754, 0.759005, 0.766374, 0.778032, 0.793577, 0.815351, 0.841944, 0.876036, 0.969878, 1.15756");
-            values ( \
-              "-0.000569371, -0.00269705, -0.003995, -0.00483271, -0.0071857, -0.00878289, -0.0144641, -0.0172903, -0.0177504, -0.019266, -0.0195557, -0.0190365, -0.0153126, -0.0145821, -0.0145283, -0.0160805, -0.0186242, -0.0208951, -0.0214699, -0.0222119, -0.0223661, -0.022378, -0.0218453, -0.0201932, -0.0157751, -0.00917526, -0.00502779, -0.00348486, -0.00189221, -0.000801482, -0.000232545, -8.98954e-05, -3.72709e-05, -9.43851e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00382337");
-            index_3 ("0.444084, 0.521401, 0.534537, 0.558436, 0.566885, 0.613724, 0.633925, 0.652473, 0.65826, 0.66522, 0.679441, 0.684965, 0.699188, 0.71076, 0.727004, 0.743504, 0.754138, 0.788979, 0.804448, 0.822775, 0.838039, 0.862848, 0.89215, 1.16387");
-            values ( \
-              "-0.000177453, -0.00639546, -0.00801175, -0.01176, -0.0138612, -0.0232392, -0.0266748, -0.0292345, -0.0297015, -0.0291587, -0.0251421, -0.0243411, -0.0321879, -0.033578, -0.0345623, -0.0341422, -0.0319121, -0.0135828, -0.00745501, -0.00336583, -0.0016238, -0.000437543, -0.00010714, -6.79934e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00676755");
-            index_3 ("0.464153, 0.490894, 0.514374, 0.536493, 0.550745, 0.576886, 0.633192, 0.685045, 0.697306, 0.705652, 0.72268, 0.726736, 0.736774, 0.745397, 0.757582, 0.777714, 0.801725, 0.809044, 0.850923, 0.865964, 0.881212, 0.890228, 0.908262, 0.937951, 0.972451, 1.15602");
-            values ( \
-              "-0.00330856, -0.00511368, -0.00760216, -0.0104978, -0.0128152, -0.0182909, -0.0318048, -0.0419067, -0.0432444, -0.0430471, -0.0391999, -0.0389186, -0.0453212, -0.0483237, -0.0497593, -0.0511396, -0.049392, -0.047028, -0.0207616, -0.0133007, -0.00805362, -0.00588014, -0.00300195, -0.000846547, -0.000188765, -1.65407e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119789");
-            index_3 ("0.490804, 0.532377, 0.553683, 0.573309, 0.631017, 0.672423, 0.715589, 0.73603, 0.752623, 0.762086, 0.780931, 0.788471, 0.800163, 0.806585, 0.820603, 0.834313, 0.850608, 0.880393, 0.88785, 0.950026, 0.965099, 0.978773, 0.995344, 1.01744, 1.05655, 1.10169, 1.19553");
-            values ( \
-              "-0.00864262, -0.0121312, -0.0157619, -0.019863, -0.0349905, -0.0451372, -0.0547694, -0.0587902, -0.0612487, -0.0612897, -0.0586667, -0.0598932, -0.0670553, -0.0686003, -0.0705864, -0.0719382, -0.0729206, -0.0695615, -0.0665464, -0.0246608, -0.0174611, -0.012478, -0.00814561, -0.00442531, -0.00128243, -0.000273429, -2.64957e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0212032");
-            index_3 ("0.490575, 0.58153, 0.608102, 0.691619, 0.726654, 0.79843, 0.814593, 0.829269, 0.840529, 0.862531, 0.868265, 0.880415, 0.889963, 0.905975, 0.930698, 0.952201, 0.990059, 1.00644, 1.04627, 1.07662, 1.09177, 1.11196, 1.12657, 1.14235, 1.1634, 1.2055, 1.21577, 1.22717, 1.25653, 1.28333, 1.33025");
-            values ( \
-              "-0.00539452, -0.0240089, -0.0308271, -0.0537163, -0.0625762, -0.0792502, -0.0825744, -0.0847642, -0.0854073, -0.0844835, -0.0856832, -0.0925026, -0.0952423, -0.0976343, -0.100462, -0.101265, -0.0948055, -0.085315, -0.0554158, -0.0358338, -0.028147, -0.0199664, -0.0154019, -0.0115462, -0.00774485, -0.00323365, -0.00263829, -0.00269782, -0.00144885, -0.000849105, -0.000260454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0375308");
-            index_3 ("0.537754, 0.604012, 0.70837, 0.800745, 0.872293, 0.919214, 0.933839, 0.972644, 0.989628, 1.02952, 1.0669, 1.0913, 1.13822, 1.24209, 1.27215, 1.29616, 1.33064, 1.37696, 1.41455, 1.46147, 1.49951");
-            values ( \
-              "-0.0273232, -0.0315176, -0.060902, -0.0853673, -0.103227, -0.11365, -0.116145, -0.118697, -0.126468, -0.133754, -0.137625, -0.137691, -0.125376, -0.0548759, -0.0394886, -0.0300153, -0.0200118, -0.011495, -0.00722191, -0.00400927, -0.00254636" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00174412, 0.00175482, 0.00176508, 0.00177341, 0.00177938, 0.00178326", \
-            "0.00193367, 0.00194053, 0.00194826, 0.0019555, 0.00196124, 0.00196527", \
-            "0.00204585, 0.00204748, 0.00204917, 0.00205312, 0.002057, 0.00206017", \
-            "0.00211246, 0.002112, 0.00211273, 0.00211317, 0.00211419, 0.00211558", \
-            "0.0021498, 0.00214811, 0.00214608, 0.00214374, 0.00214189, 0.00214057", \
-            "0.00216896, 0.00216717, 0.00216441, 0.00216115, 0.00215781, 0.00215488" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00216244, 0.00217478, 0.00218623, 0.00219507, 0.00220094, 0.00220449", \
-            "0.00224988, 0.00225194, 0.00225448, 0.00225677, 0.00225832, 0.00225909", \
-            "0.00227657, 0.00226047, 0.00224797, 0.00223729, 0.00223028, 0.00222544", \
-            "0.00235582, 0.00230547, 0.00225876, 0.00222413, 0.00219863, 0.00218115", \
-            "0.00262166, 0.00249619, 0.00238455, 0.00229802, 0.00223791, 0.00219652", \
-            "0.00340156, 0.00297508, 0.00270741, 0.00250971, 0.00236765, 0.00227282" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A0";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A0";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00624761, 0.00625371, 0.00625852, 0.00626111, 0.0062628, 0.00626295", \
-            "0.00622255, 0.00623465, 0.00624613, 0.00625443, 0.00626022, 0.00626296", \
-            "0.00616775, 0.00618343, 0.00620139, 0.00621824, 0.00623098, 0.00623931", \
-            "0.00612829, 0.00613989, 0.00617622, 0.00618983, 0.00619925, 0.00623426", \
-            "0.00610995, 0.00611919, 0.00613514, 0.0061564, 0.00617133, 0.00620497", \
-            "0.00631537, 0.00628698, 0.00625177, 0.00624359, 0.0062172, 0.0062171" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00122998, 0.00123038, 0.00123015, 0.00122946, 0.0012276, 0.00122375", \
-            "0.00115961, 0.00116192, 0.00116912, 0.00117076, 0.00117015, 0.00116701", \
-            "0.00106392, 0.00108132, 0.00108053, 0.0011015, 0.00110446, 0.00110693", \
-            "0.00094642, 0.00096764, 0.000992393, 0.00102428, 0.00104877, 0.00106059", \
-            "0.000893918, 0.000905581, 0.000930541, 0.000956627, 0.000996684, 0.00101041", \
-            "0.00122136, 0.00118332, 0.00113354, 0.00109283, 0.0010649, 0.00104888" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A1";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A1";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00545889, 0.00548177, 0.00550059, 0.0055149, 0.00552391, 0.00552811", \
-            "0.00539911, 0.0054358, 0.00547043, 0.00549774, 0.00551657, 0.00552898", \
-            "0.00531237, 0.00535225, 0.00539899, 0.00544403, 0.00548028, 0.00550504", \
-            "0.00523996, 0.00527063, 0.00531172, 0.0053546, 0.0054128, 0.0054663", \
-            "0.00520634, 0.00521135, 0.00524131, 0.00527233, 0.00533625, 0.00539042", \
-            "0.00544908, 0.00539844, 0.00537168, 0.00536219, 0.00534678, 0.00535672" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00128707, 0.0012893, 0.00129131, 0.00129212, 0.00129124, 0.00128806", \
-            "0.00121501, 0.00122752, 0.00123359, 0.00123977, 0.00123714, 0.00123482", \
-            "0.00111035, 0.00112949, 0.00115151, 0.00116852, 0.00117881, 0.00118307", \
-            "0.000998827, 0.00102973, 0.00106387, 0.00109882, 0.00111782, 0.00112495", \
-            "0.00101669, 0.00101791, 0.00103111, 0.00104621, 0.00106978, 0.00109421", \
-            "0.00146641, 0.0014005, 0.0013296, 0.00125143, 0.00119523, 0.00116025" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * !A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * !A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00401758, 0.00406825, 0.00410755, 0.00413669, 0.00415541, 0.00416672", \
-            "0.00389682, 0.00396274, 0.00402526, 0.00407945, 0.00411811, 0.00414394", \
-            "0.00378792, 0.00384328, 0.00391763, 0.00398511, 0.00405281, 0.00410265", \
-            "0.00382225, 0.00382986, 0.00384843, 0.003914, 0.00397393, 0.00404154", \
-            "0.00415881, 0.00410814, 0.00405234, 0.00402552, 0.00400267, 0.00401939", \
-            "0.00512873, 0.00499943, 0.00482282, 0.00463723, 0.00445615, 0.00428438" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "-0.00049379, -0.000447752, -0.000419444, -0.000394918, -0.00037877, -0.000360807", \
-            "-0.000562036, -0.000489648, -0.000424657, -0.000379378, -0.00034718, -0.000329218", \
-            "-0.000671799, -0.000587447, -0.000491408, -0.000416045, -0.000350439, -0.000313831", \
-            "-0.000776686, -0.000692207, -0.00059846, -0.000498121, -0.000406667, -0.000346546", \
-            "-0.000686855, -0.000689751, -0.000661181, -0.00059196, -0.00050558, -0.00041932", \
-            "9.15512e-05, -7.14621e-05, -0.000229061, -0.000346313, -0.000389326, -0.000377245" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00490125, 0.00493934, 0.0049726, 0.00499714, 0.00501286, 0.00502178", \
-            "0.00478818, 0.00484028, 0.00489402, 0.00494102, 0.00497611, 0.00499881", \
-            "0.00467246, 0.0047183, 0.00479039, 0.00485752, 0.00491191, 0.00496207", \
-            "0.00467011, 0.00468278, 0.00471549, 0.00476882, 0.00482536, 0.00488903", \
-            "0.00496982, 0.0049379, 0.00489755, 0.00486605, 0.00485601, 0.00487145", \
-            "0.00580188, 0.00569148, 0.00556566, 0.00539863, 0.00524643, 0.00510186" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "-0.000453852, -0.000409694, -0.000381611, -0.000356651, -0.000340389, -0.000330428", \
-            "-0.000507794, -0.000439743, -0.000375837, -0.000328601, -0.000299482, -0.000280956", \
-            "-0.000601599, -0.00052477, -0.000435664, -0.000357075, -0.000294475, -0.000258261", \
-            "-0.00072368, -0.000632584, -0.00053853, -0.00043514, -0.000345235, -0.000287291", \
-            "-0.000665578, -0.000656679, -0.000615598, -0.000533624, -0.00044106, -0.00035094", \
-            "3.47341e-05, -0.000102376, -0.00023781, -0.00033093, -0.000351582, -0.00032174" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * !A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * !A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00401704, 0.00406782, 0.00410733, 0.0041365, 0.00415527, 0.00416669", \
-            "0.00389161, 0.00396128, 0.00402706, 0.004079, 0.0041181, 0.00414395", \
-            "0.00379032, 0.00384083, 0.003921, 0.00399463, 0.00405286, 0.004091", \
-            "0.003829, 0.00383581, 0.00386039, 0.00386851, 0.00397933, 0.00404302", \
-            "0.00414223, 0.00408104, 0.00403817, 0.00399501, 0.00398598, 0.00399297", \
-            "0.00520271, 0.00506787, 0.00489661, 0.00470518, 0.00449929, 0.00432685" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "-0.000511381, -0.000464133, -0.000434462, -0.000410138, -0.000386571, -0.000377167", \
-            "-0.000597773, -0.00052312, -0.000457009, -0.000411686, -0.00037574, -0.000360915", \
-            "-0.000723462, -0.000639602, -0.000544259, -0.000464671, -0.000405422, -0.000362952", \
-            "-0.00083468, -0.000748604, -0.000659663, -0.000563717, -0.000472084, -0.000410103", \
-            "-0.000707408, -0.000718327, -0.00070548, -0.000649174, -0.000570208, -0.000486065", \
-            "0.000129628, -4.78055e-05, -0.000223244, -0.000358711, -0.000422209, -0.000427617" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "0.00490125, 0.00493934, 0.0049726, 0.00499714, 0.00501286, 0.00502178", \
-            "0.00478818, 0.00484028, 0.00489402, 0.00494102, 0.00497611, 0.00499881", \
-            "0.00467246, 0.0047183, 0.00479039, 0.00485752, 0.00491191, 0.00496207", \
-            "0.00467011, 0.00468278, 0.00471549, 0.00476882, 0.00482536, 0.00488903", \
-            "0.00496982, 0.0049379, 0.00489755, 0.00486605, 0.00485601, 0.00487145", \
-            "0.00580188, 0.00569148, 0.00556566, 0.00539863, 0.00524643, 0.00510186" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00382337, 0.00676755, 0.0119789, 0.0212032, 0.0375308");
-          values ( \
-            "-0.000511381, -0.000464133, -0.000434462, -0.000410138, -0.000386571, -0.000377167", \
-            "-0.000597773, -0.00052312, -0.000457009, -0.000411686, -0.00037574, -0.000360915", \
-            "-0.000723462, -0.000639602, -0.000544259, -0.000464671, -0.000405422, -0.000362952", \
-            "-0.00083468, -0.000748604, -0.000659663, -0.000563717, -0.000472084, -0.000410103", \
-            "-0.000707408, -0.000718327, -0.00070548, -0.000649174, -0.000570208, -0.000486065", \
-            "0.000129628, -4.78055e-05, -0.000223244, -0.000358711, -0.000422209, -0.000427617" \
-          );
-        }
-      }
-    }
-    pin (A0) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00253117;
-      rise_capacitance : 0.00251884;
-      rise_capacitance_range (0.00221442, 0.00251884);
-      fall_capacitance : 0.00253117;
-      fall_capacitance_range (0.00202995, 0.00253117);
-      receiver_capacitance () {
-        when : "(A1 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00198754, 0.00225983, 0.00241925, 0.00251466, 0.0025631, 0.00258671" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00240245, 0.00235423, 0.00227848, 0.00222257, 0.00219361, 0.00218263" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00174202, 0.00190518, 0.00198158, 0.00201951, 0.00203865, 0.00204426" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00227306, 0.00239806, 0.00247356, 0.00255471, 0.00261031, 0.00263729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A1 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00198674, 0.00226755, 0.00243176, 0.00252056, 0.00255995, 0.00257596" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00243944, 0.00245288, 0.00235593, 0.00226571, 0.00222351, 0.00220865" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171102, 0.00187821, 0.00197344, 0.00202906, 0.00206118, 0.0020769" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00225373, 0.002421, 0.00252944, 0.0025839, 0.00259875, 0.00260607" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A1 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00200526, 0.00228879, 0.00243806, 0.00252132, 0.00256036, 0.00257633" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00247053, 0.00244298, 0.00234187, 0.0022617, 0.0022233, 0.00220867" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171494, 0.00188397, 0.00197857, 0.00203104, 0.00206162, 0.00207691" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00227416, 0.00244179, 0.00253232, 0.002581, 0.00259806, 0.00260616" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00211973, -0.00219475, -0.00226185, -0.00228666, -0.00230623, -0.00231696" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00230542, 0.00231954, 0.00230989, 0.0023344, 0.00231514, 0.00231866" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00235327, -0.00235194, -0.00235677, -0.00236314, -0.00236264, -0.00236132" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00235327, 0.00235662, 0.00237458, 0.00237972, 0.00236532, 0.00236703" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00235949, -0.00235391, -0.00235847, -0.00235928, -0.00236224, -0.00236085" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00236347, 0.00235462, 0.00237401, 0.00237766, 0.00236385, 0.00236553" \
-          );
-        }
-      }
-    }
-    pin (A1) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00265457;
-      rise_capacitance : 0.00263308;
-      rise_capacitance_range (0.00221892, 0.00263308);
-      fall_capacitance : 0.00265457;
-      fall_capacitance_range (0.00206884, 0.00265457);
-      receiver_capacitance () {
-        when : "(A0 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00198136, 0.00231518, 0.00250299, 0.00260735, 0.00265917, 0.00268368" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00253432, 0.00248575, 0.00240084, 0.0023369, 0.00230232, 0.00228889" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00176819, 0.0019701, 0.00207181, 0.0021198, 0.0021415, 0.00214919" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00232902, 0.00248738, 0.00257066, 0.00264564, 0.00270467, 0.00273152" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A0 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0019726, 0.00231123, 0.00250207, 0.00260061, 0.00264663, 0.00266568" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00253073, 0.00254458, 0.00245499, 0.00236897, 0.00232377, 0.00230695" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171559, 0.00192569, 0.00204444, 0.00211684, 0.00215405, 0.00217226" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00229816, 0.00250195, 0.00262522, 0.0026778, 0.0026957, 0.00270331" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A0 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00192278, 0.00223325, 0.00238823, 0.00247388, 0.00251353, 0.00253098" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00234373, 0.00224242, 0.00216538, 0.00206223, 0.00202395, 0.00200716" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00151692, 0.0016725, 0.00176359, 0.00181527, 0.0018468, 0.00186304" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00208702, 0.00229072, 0.00240158, 0.0024738, 0.0024985, 0.00250471" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00206968, -0.00214538, -0.00219476, -0.00223082, -0.00224334, -0.00224444" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00224589, 0.00224166, 0.00225554, 0.00224232, 0.00225345, 0.00225344" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00228425, -0.0022881, -0.00229292, -0.00229022, -0.00229331, -0.00228389" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00228425, 0.00229343, 0.00229292, 0.002297, 0.00229942, 0.00229761" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00247505, -0.00248209, -0.00248414, -0.00247699, -0.00248688, -0.00247899" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00247505, 0.00248209, 0.00250169, 0.00247699, 0.00248877, 0.00248802" \
-          );
-        }
-      }
-    }
-    pin (B0) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00280648;
-      rise_capacitance : 0.00280648;
-      rise_capacitance_range (0.00166621, 0.00280648);
-      fall_capacitance : 0.0027903;
-      fall_capacitance_range (0.00171514, 0.0027903);
-      receiver_capacitance () {
-        when : "(A0 * A1)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00144364, 0.00156693, 0.00163171, 0.00166368, 0.00167842, 0.0016851" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00182356, 0.00188233, 0.00188606, 0.00188313, 0.00188245, 0.00188228" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00166142, 0.00179927, 0.00185817, 0.00188751, 0.00190196, 0.00190889" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00178483, 0.00177155, 0.00176954, 0.00176323, 0.00176086, 0.00175989" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * A1 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * A1 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00104064, -0.00103665, -0.00105239, -0.00104029, -0.00104106, -0.00104079" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00106517, 0.0010642, 0.00106811, 0.00105995, 0.00106045, 0.00105172" \
-          );
-        }
-      }
-    }
-  }
-  cell (BUFX1) {
-    area : 6.3492;
-    cell_footprint : "BUF";
-    cell_leakage_power : 0.0281866;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0281866;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0281866;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0281866;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0847036;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.0611456, 0.0750169, 0.102931, 0.160837, 0.281689, 0.53369", \
-            "0.0646004, 0.0785198, 0.106535, 0.164507, 0.285325, 0.537326", \
-            "0.0735257, 0.0874889, 0.115615, 0.173718, 0.294639, 0.546663", \
-            "0.0880829, 0.102371, 0.13094, 0.189221, 0.310305, 0.56238", \
-            "0.101558, 0.116393, 0.145056, 0.203567, 0.32522, 0.577188", \
-            "0.102454, 0.119103, 0.148611, 0.206897, 0.327906, 0.580437" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.0307641, 0.0498767, 0.0904749, 0.175761, 0.353639, 0.723947", \
-            "0.0307803, 0.0498919, 0.0904642, 0.175761, 0.353639, 0.723926", \
-            "0.0308931, 0.0499235, 0.0904625, 0.175762, 0.353639, 0.723929", \
-            "0.0324966, 0.0510609, 0.0909918, 0.17583, 0.353618, 0.723929", \
-            "0.0362685, 0.0535553, 0.0922509, 0.176712, 0.354154, 0.723952", \
-            "0.0442906, 0.059763, 0.0956567, 0.177767, 0.354771, 0.724848" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.0630907, 0.0745109, 0.0961118, 0.139406, 0.22916, 0.416282", \
-            "0.0677203, 0.0792345, 0.100929, 0.144297, 0.23408, 0.421139", \
-            "0.0803619, 0.0920106, 0.113912, 0.157338, 0.24716, 0.43427", \
-            "0.108248, 0.12042, 0.142668, 0.186282, 0.276205, 0.463363", \
-            "0.153797, 0.167221, 0.19086, 0.235405, 0.325785, 0.513343", \
-            "0.22919, 0.245398, 0.271326, 0.316855, 0.407526, 0.595442" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.023945, 0.0365924, 0.0626979, 0.118313, 0.236554, 0.484119", \
-            "0.0239416, 0.0365597, 0.0625967, 0.118288, 0.236811, 0.484034", \
-            "0.0240138, 0.0365037, 0.0625607, 0.118417, 0.236554, 0.484034", \
-            "0.0258298, 0.0379219, 0.0633429, 0.118517, 0.236538, 0.484033", \
-            "0.0306767, 0.0422449, 0.067087, 0.12123, 0.237731, 0.484066", \
-            "0.0400785, 0.051356, 0.0738003, 0.124928, 0.239933, 0.48619" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0444941, 0.0461297, 0.0507837, 0.0555391, 0.0599242, 0.0642568, 0.0685861, 0.0717328, 0.0767739, 0.0830824, 0.0898809, 0.0989204, 0.109682, 0.121466, 0.137574, 0.149206");
-            values ( \
-              "0.0393326, 0.0558493, 0.0768415, 0.0867393, 0.0905534, 0.0891371, 0.0862494, 0.0815574, 0.0697565, 0.0504476, 0.0350918, 0.021497, 0.0118646, 0.00610032, 0.00245008, 0.0012926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00449934");
-            index_3 ("0.0401807, 0.0543483, 0.0584193, 0.067453, 0.0753138, 0.082565, 0.0904006, 0.110152, 0.119139, 0.132015, 0.14712, 0.16253, 0.180628, 0.208375");
-            values ( \
-              "0.00795947, 0.106474, 0.115042, 0.118882, 0.114897, 0.10864, 0.0983717, 0.058163, 0.0435519, 0.0282195, 0.0166113, 0.00944002, 0.00467377, 0.00196659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00937211");
-            index_3 ("0.0400755, 0.0536978, 0.0603079, 0.0678363, 0.0724457, 0.0811359, 0.084595, 0.0907281, 0.109967, 0.113806, 0.124923, 0.138381, 0.160358, 0.178872, 0.192905, 0.206204, 0.214978, 0.234112, 0.244447, 0.262523, 0.283216, 0.299726, 0.332746, 0.35251");
-            values ( \
-              "0.0044192, 0.119559, 0.135402, 0.140697, 0.140284, 0.138605, 0.136601, 0.13421, 0.123327, 0.120472, 0.111139, 0.0959225, 0.0686832, 0.0499214, 0.0383461, 0.0295564, 0.0247429, 0.0165637, 0.0132726, 0.00890081, 0.00562711, 0.00388126, 0.00177305, 0.00127393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0195221");
-            index_3 ("0.0400167, 0.0517484, 0.0568643, 0.0653271, 0.0713424, 0.0790062, 0.086518, 0.0941417, 0.105627, 0.117712, 0.141528, 0.142365, 0.144039, 0.154086, 0.168433, 0.194875, 0.221658, 0.266236, 0.277728, 0.293052, 0.322906, 0.346669, 0.363202, 0.387828, 0.395025, 0.409419, 0.438206, 0.462316, 0.49474, 0.537971, 0.617299, 0.696628, 0.775957");
-            values ( \
-              "0.00250276, 0.117341, 0.138823, 0.152475, 0.154303, 0.15559, 0.153503, 0.152618, 0.148871, 0.146385, 0.138861, 0.138947, 0.138511, 0.135419, 0.13059, 0.119495, 0.103736, 0.0744002, 0.067475, 0.058847, 0.0440064, 0.0345021, 0.0289446, 0.0220342, 0.02036, 0.0172748, 0.0123991, 0.00932042, 0.00634297, 0.0037615, 0.00131348, 0.000477306, 0.000150751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0406643");
-            index_3 ("0.0484075, 0.0671753, 0.0786419, 0.0861509, 0.093594, 0.108215, 0.13089, 0.13935, 0.156271, 0.186946, 0.234916, 0.289407, 0.342843, 0.386916, 0.493001, 0.556738, 0.632899, 0.681357, 0.727792, 0.766793, 0.808868, 0.868748, 0.929833, 0.976439, 1.05577, 1.1351, 1.21013");
-            values ( \
-              "0.160294, 0.160701, 0.164227, 0.163301, 0.163385, 0.160885, 0.158573, 0.156756, 0.155114, 0.150242, 0.143937, 0.134776, 0.123366, 0.110693, 0.0756892, 0.0568596, 0.0387784, 0.0298973, 0.0230742, 0.0184789, 0.0144691, 0.010136, 0.00703705, 0.00530669, 0.00324941, 0.0019847, 0.0015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0847036");
-            index_3 ("0.0540773, 0.0540973, 0.233538, 0.331207, 0.541385, 0.65791, 0.790337, 0.958128, 1.07397, 1.23581, 1.33263, 1.45318, 1.55514, 1.71067, 1.85406, 2.01272, 2.33004, 2.80601");
-            values ( \
-              "1e-22, 0.180691, 0.159128, 0.153162, 0.137162, 0.12475, 0.105186, 0.0771217, 0.0598079, 0.0401523, 0.031066, 0.0222494, 0.0166461, 0.0105273, 0.00682213, 0.0041669, 0.0014699, 0.000188304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0577829, 0.0601822, 0.0620901, 0.0674301, 0.0695335, 0.0739331, 0.0782662, 0.0857291, 0.103961, 0.112935, 0.123635, 0.13583, 0.15114, 0.163913, 0.164287");
-            values ( \
-              "0.00983268, 0.0550689, 0.0662392, 0.0833362, 0.0868581, 0.0901268, 0.089386, 0.0815475, 0.0349572, 0.0215035, 0.0118961, 0.00598292, 0.00251814, 0.00118789, 0.0011782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00449934");
-            index_3 ("0.0589597, 0.0619799, 0.0644191, 0.0675822, 0.0702517, 0.0730845, 0.075631, 0.080724, 0.0859143, 0.0894369, 0.0964372, 0.100242, 0.104507, 0.124785, 0.135882, 0.143116, 0.149044, 0.156948, 0.163705, 0.172397, 0.183202, 0.19579, 0.205918, 0.226175, 0.240668");
-            values ( \
-              "0.0489646, 0.0791272, 0.0923968, 0.104314, 0.110877, 0.115259, 0.117454, 0.11862, 0.116844, 0.114667, 0.108758, 0.104409, 0.0982558, 0.0572776, 0.0400627, 0.0313966, 0.0255996, 0.0193318, 0.015151, 0.0110037, 0.00731041, 0.0045418, 0.00307567, 0.0013547, 0.000876578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00937211");
-            index_3 ("0.0545542, 0.0679622, 0.0718619, 0.0745393, 0.0798941, 0.085931, 0.0866819, 0.0881837, 0.0911873, 0.0964616, 0.09882, 0.103537, 0.124162, 0.132859, 0.13915, 0.151734, 0.163749, 0.175197, 0.189422, 0.205158, 0.213422, 0.226731, 0.239739, 0.251178, 0.266429, 0.279389, 0.296849, 0.320128, 0.36547, 0.418273");
-            values ( \
-              "0.00573613, 0.120153, 0.13014, 0.135507, 0.139408, 0.141118, 0.140419, 0.140647, 0.139481, 0.138017, 0.136639, 0.13481, 0.123283, 0.116755, 0.111127, 0.0970036, 0.0817414, 0.0680227, 0.05334, 0.0397955, 0.0339572, 0.0260085, 0.0198554, 0.0155848, 0.0111789, 0.00841029, 0.00570603, 0.00334336, 0.00108467, 0.000267771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0195221");
-            index_3 ("0.0548062, 0.0661228, 0.0710773, 0.0795479, 0.0856405, 0.0931138, 0.100716, 0.108435, 0.119918, 0.132005, 0.155821, 0.156658, 0.158334, 0.168386, 0.182727, 0.209169, 0.235946, 0.28053, 0.292019, 0.307337, 0.337194, 0.36097, 0.377513, 0.402127, 0.409319, 0.423704, 0.452473, 0.476602, 0.509052, 0.552319, 0.631648, 0.710976, 0.790305");
-            values ( \
-              "0.00528232, 0.118975, 0.139127, 0.152609, 0.154386, 0.155649, 0.153508, 0.152653, 0.148838, 0.146422, 0.138822, 0.138955, 0.138507, 0.135415, 0.130591, 0.119493, 0.103739, 0.0743989, 0.0674758, 0.058852, 0.0440081, 0.0344983, 0.0289396, 0.022032, 0.0203606, 0.0172756, 0.0124038, 0.00932041, 0.00634065, 0.00375975, 0.00131171, 0.000477825, 0.000149791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0406643");
-            index_3 ("0.0599384, 0.0753681, 0.0795566, 0.0852761, 0.0932622, 0.100492, 0.12201, 0.201017, 0.261878, 0.303478, 0.356921, 0.400964, 0.507073, 0.570735, 0.621328, 0.646997, 0.695568, 0.7419, 0.780795, 0.822895, 0.882795, 0.943951, 0.99062, 1.06995, 1.14928, 1.22861, 1.38726");
-            values ( \
-              "0.11549, 0.153608, 0.158858, 0.162406, 0.163884, 0.163691, 0.161276, 0.150558, 0.141653, 0.134764, 0.123377, 0.1107, 0.0756886, 0.0568799, 0.044265, 0.0387725, 0.0298741, 0.0230696, 0.0184864, 0.0144727, 0.0101375, 0.00703513, 0.00530302, 0.00324731, 0.00198325, 0.0012081, 0.000447157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0847036");
-            index_3 ("0.0655241, 0.0655441, 0.211679, 0.345578, 0.447791, 0.555779, 0.611019, 0.672199, 0.731824, 1.01115, 1.08851, 1.16784, 1.25009, 1.35839, 1.47533, 1.55056, 1.6281, 1.70742, 1.8187, 1.95968, 2.11834, 2.277, 2.59431, 2.8323");
-            values ( \
-              "1e-22, 0.176093, 0.1618, 0.152905, 0.145593, 0.136913, 0.131489, 0.124526, 0.116191, 0.0714239, 0.0599973, 0.0497571, 0.0403588, 0.0303081, 0.0219513, 0.0178466, 0.0141852, 0.0113617, 0.00810228, 0.00531038, 0.00327892, 0.00201128, 0.000736038, 0.000435211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0913751, 0.0940997, 0.0962187, 0.101527, 0.103948, 0.1084, 0.112765, 0.117399, 0.119952, 0.125935, 0.134077, 0.139665, 0.148243, 0.158297, 0.169561, 0.186654, 0.200845, 0.201045");
-            values ( \
-              "0.00848385, 0.0486811, 0.0614919, 0.080555, 0.0855004, 0.0892929, 0.0890976, 0.0854029, 0.0819162, 0.0678799, 0.0441871, 0.0327927, 0.0205995, 0.0118037, 0.0062667, 0.00238093, 0.0010221, 0.00101769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00449934");
-            index_3 ("0.0914085, 0.0956513, 0.098709, 0.102888, 0.107066, 0.110121, 0.115881, 0.119267, 0.123956, 0.13497, 0.139032, 0.159304, 0.170419, 0.183557, 0.196771, 0.206953, 0.217735, 0.230282, 0.240369, 0.260543, 0.267813");
-            values ( \
-              "0.00598296, 0.070406, 0.0887695, 0.10512, 0.11382, 0.116981, 0.118323, 0.117283, 0.114645, 0.104143, 0.0982386, 0.0572873, 0.0400478, 0.0256077, 0.0159691, 0.0109916, 0.00730658, 0.00454647, 0.00308555, 0.00136269, 0.00112057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00937211");
-            index_3 ("0.09334, 0.100397, 0.106211, 0.109128, 0.114963, 0.121308, 0.127331, 0.144781, 0.146075, 0.148661, 0.159387, 0.173795, 0.19032, 0.207569, 0.230664, 0.245916, 0.252084, 0.26164, 0.278205, 0.301138, 0.319861, 0.354673, 0.399311, 0.449904");
-            values ( \
-              "0.0620157, 0.109226, 0.129388, 0.133819, 0.140094, 0.140156, 0.139601, 0.13169, 0.130302, 0.129469, 0.122964, 0.111316, 0.0921345, 0.0702292, 0.0472082, 0.0353402, 0.0314635, 0.025792, 0.0182487, 0.0110954, 0.00732373, 0.00328888, 0.00117358, 0.000234232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0195221");
-            index_3 ("0.0963289, 0.108166, 0.112086, 0.116358, 0.120373, 0.128402, 0.143183, 0.166754, 0.196439, 0.217476, 0.243919, 0.270684, 0.315282, 0.326762, 0.342069, 0.371931, 0.395735, 0.4123, 0.444069, 0.458435, 0.487167, 0.511334, 0.543838, 0.587176, 0.666504, 0.745833, 0.825162");
-            values ( \
-              "0.13997, 0.143442, 0.149392, 0.153094, 0.154562, 0.155078, 0.152232, 0.146032, 0.137467, 0.13061, 0.119474, 0.103732, 0.0744111, 0.067492, 0.0588483, 0.0440259, 0.0345031, 0.0289174, 0.0203504, 0.0172892, 0.0124019, 0.00933221, 0.00634752, 0.0037451, 0.00131946, 0.000467646, 0.000159086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0406643");
-            index_3 ("0.0996737, 0.0996937, 0.179967, 0.283955, 0.338447, 0.391883, 0.435955, 0.542041, 0.605776, 0.68194, 0.730401, 0.776834, 0.857908, 0.91779, 1.02549, 1.18415, 1.22333");
-            values ( \
-              "1e-22, 0.173552, 0.158279, 0.14368, 0.134766, 0.123377, 0.110693, 0.0756888, 0.0568602, 0.0387779, 0.0298963, 0.023074, 0.0144689, 0.010136, 0.00530627, 0.00198449, 0.00173144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0847036");
-            index_3 ("0.104532, 0.104552, 0.219852, 0.362057, 0.482629, 0.561958, 0.645865, 0.707046, 0.766671, 1.046, 1.12336, 1.20269, 1.26586, 1.3231, 1.39323, 1.47256, 1.58541, 1.66294, 1.74227, 1.85355, 1.99454, 2.1532, 2.39119, 2.54984, 2.86716");
-            values ( \
-              "1e-22, 0.189323, 0.163645, 0.154125, 0.145514, 0.139334, 0.131567, 0.124449, 0.116268, 0.0713483, 0.0600725, 0.0496817, 0.042421, 0.0365871, 0.0303795, 0.024418, 0.0177764, 0.014255, 0.011292, 0.00816983, 0.00537723, 0.00334534, 0.001611, 0.000991071, 0.000370378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.160957, 0.165601, 0.171594, 0.175809, 0.178974, 0.184026, 0.188765, 0.193479, 0.198784, 0.211519, 0.219264, 0.223382, 0.22435, 0.226286, 0.230128, 0.235224, 0.236884, 0.240205, 0.246847, 0.25134, 0.253051, 0.256474, 0.26332, 0.282187");
-            values ( \
-              "0.0143858, 0.0270252, 0.0513665, 0.0653557, 0.0728685, 0.081105, 0.0830218, 0.082056, 0.0754486, 0.0407954, 0.0273157, 0.0227767, 0.0208671, 0.0195825, 0.015195, 0.012178, 0.0103746, 0.00933534, 0.00577323, 0.00516748, 0.00397153, 0.00396013, 0.00205683, 0.00087592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00449934");
-            index_3 ("0.167851, 0.176273, 0.177483, 0.178693, 0.179903, 0.181113, 0.182323, 0.183164, 0.184848, 0.185689, 0.187372, 0.189056, 0.190739, 0.192422, 0.193048, 0.194924, 0.1968, 0.198527, 0.200254, 0.207678, 0.208649, 0.210397, 0.21046, 0.210838, 0.213884, 0.215642, 0.218083, 0.220209, 0.224148, 0.228579, 0.232378, 0.23858, 0.2418, 0.244565, 0.24733, 0.252659, 0.255863, 0.257291, 0.260147, 0.262052, 0.26586, 0.267764, 0.271988, 0.274927, 0.27799, 0.282584, 0.286738, 0.291985, 0.294609, 0.299156");
-            values ( \
-              "0.0836543, 0.0843351, 0.0888817, 0.0929487, 0.0965754, 0.0997617, 0.102508, 0.103903, 0.106396, 0.107493, 0.109374, 0.110866, 0.111971, 0.112686, 0.112853, 0.112761, 0.11248, 0.111867, 0.111128, 0.106541, 0.105685, 0.103896, 0.103239, 0.102632, 0.0988739, 0.0964636, 0.0925146, 0.0887502, 0.0810556, 0.0714546, 0.0638659, 0.0526884, 0.0472891, 0.0433476, 0.0396506, 0.033289, 0.0296878, 0.0281957, 0.0254703, 0.0238883, 0.0209483, 0.0195903, 0.0168782, 0.015088, 0.0133446, 0.0113176, 0.00973457, 0.00801575, 0.00726306, 0.00611241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00937211");
-            index_3 ("0.157212, 0.184631, 0.191206, 0.197542, 0.203829, 0.210331, 0.212458, 0.21883, 0.229245, 0.236134, 0.24968, 0.263053, 0.286611, 0.300686, 0.316458, 0.324852, 0.33841, 0.351206, 0.362425, 0.377383, 0.390638, 0.408509, 0.432337, 0.476724, 0.528613");
-            values ( \
-              "0.00109857, 0.122026, 0.132501, 0.136013, 0.136194, 0.134497, 0.132744, 0.131269, 0.126651, 0.122576, 0.112046, 0.0970291, 0.0680169, 0.0534671, 0.0398595, 0.0339404, 0.0258646, 0.0198174, 0.0156266, 0.0112965, 0.00843003, 0.00566454, 0.00328685, 0.00108765, 0.000286671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0195221");
-            index_3 ("0.157212, 0.190347, 0.196775, 0.204677, 0.210313, 0.213689, 0.220055, 0.224188, 0.230425, 0.243662, 0.2624, 0.294425, 0.320869, 0.326187, 0.347457, 0.392265, 0.403615, 0.418748, 0.448685, 0.472919, 0.489818, 0.521013, 0.535086, 0.56323, 0.587992, 0.621325, 0.665769, 0.745098, 0.824426, 0.903755");
-            values ( \
-              "0.0120625, 0.143277, 0.149579, 0.151984, 0.151876, 0.150811, 0.15077, 0.150356, 0.149222, 0.145962, 0.140728, 0.130607, 0.119467, 0.116634, 0.103836, 0.0743911, 0.0675484, 0.0589855, 0.0441116, 0.0344173, 0.0287331, 0.0203469, 0.0173501, 0.0125284, 0.009367, 0.00630908, 0.00366521, 0.00129471, 0.000455129, 0.000158478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0406643");
-            index_3 ("0.17136, 0.18745, 0.196778, 0.204139, 0.210255, 0.213654, 0.22289, 0.228571, 0.237444, 0.251263, 0.313056, 0.373012, 0.415491, 0.468879, 0.522328, 0.634026, 0.663277, 0.72178, 0.773781, 0.808642, 0.85389, 0.892679, 0.934803, 0.994723, 1.05595, 1.10269, 1.18202, 1.26134, 1.34067, 1.49933");
-            values ( \
-              "0.13505, 0.144499, 0.157157, 0.160213, 0.161403, 0.160609, 0.161614, 0.161488, 0.16068, 0.158989, 0.150551, 0.141793, 0.134769, 0.123396, 0.107742, 0.0710033, 0.0623213, 0.0469058, 0.035843, 0.0296969, 0.0230572, 0.0184878, 0.014482, 0.0101336, 0.00703057, 0.00530167, 0.00324268, 0.00198411, 0.00120503, 0.000444738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0847036");
-            index_3 ("0.178824, 0.178844, 0.310669, 0.439194, 0.559759, 0.639087, 0.722995, 0.784175, 0.8438, 1.12313, 1.20049, 1.27982, 1.34299, 1.40023, 1.47036, 1.54969, 1.66254, 1.74007, 1.8194, 1.93068, 2.07167, 2.23033, 2.38899, 2.62697, 2.94429");
-            values ( \
-              "1e-22, 0.179879, 0.162727, 0.154108, 0.14553, 0.139318, 0.131551, 0.124464, 0.116253, 0.0713631, 0.0600578, 0.0496964, 0.0424352, 0.036601, 0.0303658, 0.0244317, 0.01779, 0.0142416, 0.0113055, 0.00815698, 0.00536452, 0.00333273, 0.00206482, 0.00100342, 0.00038248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.310208, 0.318953, 0.334323, 0.342765, 0.349083, 0.353058, 0.354407, 0.357047, 0.359755, 0.365154, 0.372729, 0.37766, 0.383295, 0.389849, 0.398051, 0.404214, 0.411256, 0.422066, 0.430803, 0.444483");
-            values ( \
-              "0.00462568, 0.0183462, 0.0505211, 0.0654977, 0.0720706, 0.073632, 0.0737491, 0.0730638, 0.0710475, 0.0614773, 0.0423723, 0.0330876, 0.0246592, 0.0175035, 0.0112401, 0.00801899, 0.00544128, 0.00301545, 0.001841, 0.000916984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00449934");
-            index_3 ("0.320374, 0.331888, 0.336575, 0.339992, 0.341701, 0.343488, 0.345275, 0.347062, 0.348849, 0.350636, 0.352423, 0.35372, 0.355018, 0.356315, 0.357625, 0.358935, 0.360246, 0.361556, 0.363504, 0.365452, 0.3674, 0.369349, 0.371301, 0.373254, 0.375206, 0.377158, 0.377857, 0.379605, 0.382401, 0.388912, 0.395423, 0.398303, 0.402131, 0.404319, 0.406506, 0.408694, 0.410881, 0.413069, 0.415256, 0.421911, 0.424214, 0.426516, 0.430656, 0.436654, 0.439753, 0.442232, 0.444712, 0.44967, 0.453763, 0.460984");
-            values ( \
-              "0.0525314, 0.0568727, 0.0699809, 0.0788574, 0.0830929, 0.0867866, 0.0901522, 0.0930913, 0.0957681, 0.0981826, 0.100335, 0.101733, 0.102966, 0.104034, 0.104111, 0.104117, 0.104052, 0.103916, 0.103583, 0.103093, 0.102446, 0.101642, 0.100433, 0.0990349, 0.0974466, 0.0956685, 0.0949206, 0.092285, 0.0873767, 0.0742849, 0.0614348, 0.0565646, 0.0498021, 0.0465005, 0.0433728, 0.0404298, 0.0376534, 0.0350434, 0.0325998, 0.0258103, 0.023879, 0.0220602, 0.0191594, 0.0152952, 0.0136108, 0.0124107, 0.0113808, 0.0095206, 0.00821081, 0.00620266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00937211");
-            index_3 ("0.309272, 0.317471, 0.349799, 0.358532, 0.371579, 0.383588, 0.390403, 0.398093, 0.411779, 0.450772, 0.468566, 0.484912, 0.509928, 0.535931, 0.552069, 0.572907, 0.600692, 0.633418");
-            values ( \
-              "0.0111945, 0.0218454, 0.11481, 0.126748, 0.131335, 0.128454, 0.125363, 0.121305, 0.111151, 0.0658512, 0.0482845, 0.0355091, 0.0209151, 0.0122955, 0.00863059, 0.00542729, 0.00284803, 0.00145112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0195221");
-            index_3 ("0.317509, 0.331511, 0.343352, 0.352183, 0.357328, 0.367617, 0.377929, 0.388394, 0.40213, 0.430146, 0.456363, 0.482954, 0.5056, 0.558237, 0.607168, 0.63992, 0.679006, 0.705712, 0.736234, 0.760472, 0.79754, 0.846964, 0.907938");
-            values ( \
-              "0.0328639, 0.0676119, 0.109201, 0.130849, 0.138806, 0.147217, 0.149207, 0.148242, 0.145469, 0.138039, 0.129911, 0.119011, 0.106138, 0.0721522, 0.0458177, 0.0327193, 0.0213339, 0.0157649, 0.0110417, 0.00830475, 0.00533909, 0.00290256, 0.0014572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0406643");
-            index_3 ("0.31771, 0.349907, 0.357795, 0.368783, 0.379219, 0.385868, 0.394732, 0.427633, 0.536453, 0.578198, 0.631603, 0.675838, 0.781797, 0.845932, 0.896171, 0.937509, 0.969419, 1.02959, 1.0979, 1.15768, 1.2184, 1.26468, 1.34401, 1.42334, 1.66132");
-            values ( \
-              "0.0298549, 0.130086, 0.145537, 0.156395, 0.159552, 0.159923, 0.159701, 0.156106, 0.141648, 0.134768, 0.123387, 0.110654, 0.0756906, 0.0567528, 0.0442403, 0.0356709, 0.0300188, 0.0214405, 0.0144489, 0.0101279, 0.00704711, 0.00532477, 0.0032607, 0.0019915, 0.000449008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0847036");
-            index_3 ("0.337499, 0.337519, 0.551649, 0.722462, 0.830404, 0.909733, 1.00714, 1.28576, 1.44232, 1.56314, 1.75001, 1.82496, 1.98192, 2.23461, 2.55192, 2.57532");
-            values ( \
-              "1e-22, 0.17082, 0.15753, 0.145536, 0.136863, 0.128933, 0.116158, 0.071374, 0.0497212, 0.0365814, 0.0220067, 0.0178051, 0.0113126, 0.00535944, 0.00206213, 0.0019914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.635549, 0.649273, 0.654936, 0.658525, 0.660339, 0.662758, 0.667655, 0.674182, 0.680756, 0.687872, 0.696563, 0.703962, 0.710655, 0.717105, 0.723535, 0.724296, 0.731398, 0.733781, 0.73696, 0.743293, 0.74695, 0.748986, 0.75285, 0.75793, 0.759462, 0.762527, 0.767334, 0.77428, 0.777433, 0.781765, 0.787542, 0.799096, 0.801907");
-            values ( \
-              "0.00153718, 0.00359157, 0.00650478, 0.00881148, 0.0101961, 0.012304, 0.0171762, 0.0249004, 0.0323582, 0.0401705, 0.0493466, 0.0558729, 0.0600254, 0.06094, 0.0554096, 0.0536846, 0.0395914, 0.0355908, 0.0306513, 0.0225333, 0.0188829, 0.0170543, 0.0139999, 0.010767, 0.00994537, 0.00848003, 0.00658971, 0.00457443, 0.00388739, 0.00310964, 0.00230729, 0.00126611, 0.00114913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00449934");
-            index_3 ("0.649402, 0.66294, 0.666415, 0.673536, 0.698255, 0.708979, 0.712411, 0.718297, 0.727109, 0.735917, 0.740243, 0.759768, 0.768812, 0.776115, 0.781932, 0.789688, 0.80017, 0.806448, 0.817133, 0.829254, 0.838877, 0.858123, 0.872564");
-            values ( \
-              "0.00760104, 0.0163376, 0.0204198, 0.03021, 0.0682368, 0.0825787, 0.0861401, 0.0907046, 0.0932912, 0.0899976, 0.0850546, 0.0516092, 0.0389363, 0.0306567, 0.0252096, 0.0192524, 0.0132783, 0.0105834, 0.0071391, 0.00457443, 0.00319539, 0.00151571, 0.000989177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00937211");
-            index_3 ("0.649744, 0.670473, 0.682553, 0.703742, 0.714164, 0.726809, 0.733086, 0.741456, 0.750351, 0.756662, 0.769286, 0.775756, 0.80781, 0.822385, 0.83816, 0.846229, 0.859134, 0.876527, 0.884402, 0.900154, 0.917084, 0.944213, 0.980386, 1.00116");
-            values ( \
-              "0.00617216, 0.0294612, 0.0502912, 0.0910542, 0.107482, 0.119593, 0.122095, 0.12276, 0.121175, 0.118719, 0.111084, 0.105176, 0.067634, 0.052775, 0.0394757, 0.0338252, 0.0261869, 0.0182914, 0.0155194, 0.0110221, 0.00760916, 0.00414491, 0.00177438, 0.00122534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0195221");
-            index_3 ("0.649733, 0.677722, 0.712414, 0.724438, 0.738984, 0.7571, 0.775767, 0.788539, 0.813811, 0.829054, 0.843181, 0.871434, 0.916771, 0.945899, 0.973734, 0.998454, 1.01497, 1.0508, 1.07008, 1.10382, 1.14181, 1.17202, 1.21587, 1.26526, 1.27844");
-            values ( \
-              "0.00561281, 0.0446495, 0.117029, 0.133385, 0.142496, 0.144121, 0.140766, 0.13748, 0.130027, 0.124318, 0.117884, 0.101412, 0.0720492, 0.0555815, 0.0423528, 0.0328306, 0.0275021, 0.0184786, 0.0148284, 0.00998319, 0.00637758, 0.00443353, 0.00258789, 0.00142991, 0.00132722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0406643");
-            index_3 ("0.649691, 0.689458, 0.711956, 0.723929, 0.738081, 0.755892, 0.770609, 0.785002, 0.832932, 0.882982, 0.935822, 0.989404, 1.03372, 1.16719, 1.22779, 1.29229, 1.34207, 1.38677, 1.44365, 1.51089, 1.58763, 1.64891, 1.72824, 1.80756, 1.84903");
-            values ( \
-              "0.00221259, 0.0714425, 0.122672, 0.141279, 0.15274, 0.157257, 0.156953, 0.155723, 0.149739, 0.142716, 0.134314, 0.123097, 0.110476, 0.0672282, 0.0505229, 0.0363939, 0.027738, 0.0215903, 0.0155614, 0.0104428, 0.006599, 0.00453685, 0.00277893, 0.00169214, 0.00146423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0847036");
-            index_3 ("0.681204, 0.714799, 0.726217, 0.738682, 0.754917, 0.770167, 0.78544, 0.836956, 1.01883, 1.15953, 1.25404, 1.30502, 1.36541, 1.64382, 1.72101, 1.80034, 1.92128, 1.99132, 2.10808, 2.18296, 2.26062, 2.33994, 2.45154, 2.59276, 2.75141, 2.91007, 3.14806, 3.46537");
-            values ( \
-              "0.114469, 0.13102, 0.148106, 0.158371, 0.163731, 0.164696, 0.1644, 0.161528, 0.149591, 0.139075, 0.130462, 0.124439, 0.116143, 0.0713682, 0.0601002, 0.0497204, 0.0365707, 0.0303542, 0.0220107, 0.0178054, 0.0142537, 0.0113106, 0.00815668, 0.00536134, 0.00333134, 0.00206454, 0.00100091, 0.000380671" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.00186134, 0.00186329, 0.00186505, 0.00186631, 0.00186704, 0.00186743", \
-            "0.0021667, 0.00216709, 0.00216786, 0.00216867, 0.0021693, 0.00216966", \
-            "0.00238599, 0.00238595, 0.00238598, 0.0023862, 0.0023865, 0.00238673", \
-            "0.00257403, 0.00257402, 0.002574, 0.00257402, 0.00257403, 0.00257422", \
-            "0.0027327, 0.00273263, 0.00273256, 0.00273252, 0.00273252, 0.00273261", \
-            "0.00290042, 0.00290018, 0.00289986, 0.00289954, 0.00289934, 0.00289925" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.00239613, 0.0023969, 0.00239794, 0.00239922, 0.00240022, 0.0024008", \
-            "0.00258537, 0.00258481, 0.00258447, 0.00258461, 0.00258511, 0.00258546", \
-            "0.00279105, 0.00278966, 0.0027883, 0.00278729, 0.00278677, 0.00278659", \
-            "0.00332678, 0.00333285, 0.00332864, 0.00332872, 0.00332878, 0.00332891", \
-            "0.00329794, 0.00333388, 0.00342349, 0.00348319, 0.0035173, 0.00353553", \
-            "0.00304287, 0.00304311, 0.00304488, 0.00307532, 0.0031661, 0.00322633" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0446241, 0.0509062, 0.0538336, 0.0586697, 0.0629067, 0.0668583, 0.0706655, 0.0744314, 0.0783097, 0.0799052, 0.0917628, 0.0954273, 0.100259, 0.10583, 0.110703, 0.115784");
-            values ( \
-              "-0.00304253, -0.0589073, -0.0739409, -0.0873412, -0.0957365, -0.101019, -0.103478, -0.10319, -0.097205, -0.0927662, -0.0333473, -0.0213832, -0.0114586, -0.00548788, -0.00282388, -0.00162695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00449934");
-            index_3 ("0.0452979, 0.0496377, 0.0538618, 0.0570546, 0.0641015, 0.0703575, 0.0762702, 0.0820464, 0.0878183, 0.093866, 0.0999974, 0.109315, 0.115427, 0.120688, 0.128462, 0.134133, 0.138663, 0.147725, 0.161216, 0.177692");
-            values ( \
-              "-0.0083656, -0.0663605, -0.0913287, -0.104629, -0.12419, -0.134532, -0.139671, -0.141096, -0.138551, -0.129436, -0.10969, -0.0656091, -0.0430893, -0.0290579, -0.0156503, -0.0098584, -0.00676346, -0.00306973, -0.000873651, -0.000180209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00937211");
-            index_3 ("0.0453743, 0.051081, 0.0538663, 0.0599472, 0.0681429, 0.0738131, 0.0823016, 0.0873526, 0.0938312, 0.103742, 0.110481, 0.118844, 0.124369, 0.135196, 0.152137, 0.158344, 0.168717, 0.179112, 0.187174, 0.195551, 0.201966, 0.214795, 0.230775");
-            values ( \
-              "-0.032036, -0.0862957, -0.10392, -0.131271, -0.152586, -0.161304, -0.169406, -0.171336, -0.172244, -0.170395, -0.16684, -0.159561, -0.152314, -0.127847, -0.0754828, -0.0598746, -0.039458, -0.025334, -0.0177057, -0.012155, -0.00907039, -0.00494455, -0.00257239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0195221");
-            index_3 ("0.0427526, 0.0538654, 0.0619214, 0.0675311, 0.0727499, 0.0810198, 0.0918017, 0.103071, 0.123966, 0.136872, 0.146948, 0.165717, 0.173879, 0.186746, 0.195067, 0.21007, 0.240565, 0.252433, 0.272617, 0.292841, 0.308503, 0.324742, 0.337172, 0.362034, 0.394007");
-            values ( \
-              "-0.0150746, -0.111431, -0.147819, -0.164187, -0.174095, -0.185024, -0.191575, -0.193687, -0.19195, -0.188961, -0.185679, -0.178418, -0.173733, -0.164348, -0.155748, -0.133074, -0.0807402, -0.0642517, -0.0423094, -0.0271461, -0.0189833, -0.0130474, -0.00973965, -0.00532502, -0.00268912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0406643");
-            index_3 ("0.0517206, 0.0718672, 0.0816314, 0.0914725, 0.10201, 0.114735, 0.144867, 0.162852, 0.198726, 0.236733, 0.274437, 0.291388, 0.30947, 0.331269, 0.360335, 0.413001, 0.45245, 0.492267, 0.513475, 0.534624, 0.575874, 0.599567, 0.646951, 0.709144, 0.771336, 0.833529");
-            values ( \
-              "-0.170652, -0.180567, -0.194367, -0.201736, -0.205229, -0.20666, -0.205135, -0.203141, -0.198633, -0.192601, -0.184683, -0.179908, -0.173543, -0.162819, -0.140818, -0.0931792, -0.0641382, -0.0424612, -0.0337706, -0.0267189, -0.0167145, -0.0127075, -0.00721609, -0.00335005, -0.00154309, -0.000710043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0847036");
-            index_3 ("0.052591, 0.0767769, 0.10195, 0.127966, 0.235657, 0.371665, 0.486069, 0.538086, 0.587619, 0.649812, 0.851418, 0.932942, 1.04016, 1.11884, 1.22415, 1.34853, 1.36455");
-            values ( \
-              "-0.184335, -0.192878, -0.211801, -0.21437, -0.209411, -0.200521, -0.190009, -0.183081, -0.173191, -0.15503, -0.0695422, -0.0462021, -0.0260801, -0.0167957, -0.0091584, -0.00437646, -0.00419715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0579855, 0.0707836, 0.0739117, 0.0781496, 0.0821046, 0.0859066, 0.0896719, 0.0935498, 0.0951471, 0.0978673, 0.107007, 0.110663, 0.115497, 0.121075, 0.125955, 0.126295");
-            values ( \
-              "-0.00197783, -0.0788831, -0.0873275, -0.0957715, -0.101045, -0.103501, -0.103198, -0.0972106, -0.0927687, -0.0811178, -0.03333, -0.0213932, -0.0114611, -0.00548343, -0.00281932, -0.00273927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00449934");
-            index_3 ("0.0596317, 0.0678727, 0.0724367, 0.0794477, 0.0857134, 0.0916066, 0.097379, 0.103171, 0.109196, 0.115137, 0.12779, 0.134642, 0.142942, 0.15698, 0.162112");
-            values ( \
-              "-0.00623583, -0.0844779, -0.105116, -0.124381, -0.134796, -0.139718, -0.141231, -0.138516, -0.129463, -0.110506, -0.0531123, -0.0322473, -0.0167441, -0.00523522, -0.00432161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00937211");
-            index_3 ("0.0598883, 0.0667089, 0.0697675, 0.0753222, 0.0838613, 0.0892675, 0.0979369, 0.102404, 0.109266, 0.119079, 0.127827, 0.131806, 0.139797, 0.145172, 0.151866, 0.16748, 0.173482, 0.184676, 0.195888, 0.206124, 0.211872, 0.223369, 0.244177, 0.269435");
-            values ( \
-              "-0.0101766, -0.0888267, -0.107287, -0.131534, -0.153505, -0.161631, -0.169688, -0.171405, -0.172263, -0.170327, -0.165563, -0.16213, -0.152368, -0.14216, -0.123814, -0.0755636, -0.0604004, -0.0384624, -0.0237993, -0.0150865, -0.011615, -0.00680593, -0.00238008, -0.000630332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0195221");
-            index_3 ("0.0606321, 0.0696011, 0.0769225, 0.0811862, 0.0882989, 0.0948951, 0.103754, 0.107329, 0.114478, 0.125549, 0.140611, 0.150185, 0.162474, 0.181212, 0.185226, 0.189813, 0.202272, 0.208842, 0.221982, 0.247973, 0.264726, 0.274495, 0.287239, 0.298875, 0.31439, 0.330115, 0.338554, 0.35543, 0.389183, 0.435401, 0.491949");
-            values ( \
-              "-0.0421757, -0.114636, -0.146941, -0.159824, -0.174654, -0.183342, -0.189976, -0.191459, -0.193177, -0.193588, -0.191625, -0.189421, -0.185834, -0.178296, -0.17616, -0.173494, -0.164357, -0.157778, -0.139247, -0.0935481, -0.068452, -0.0562966, -0.0430967, -0.0334978, -0.023641, -0.0164914, -0.0135574, -0.00909735, -0.00390842, -0.00112223, -0.0002194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0406643");
-            index_3 ("0.0612574, 0.0749034, 0.0811504, 0.0881542, 0.0955938, 0.10703, 0.117981, 0.130189, 0.14271, 0.178409, 0.214821, 0.252297, 0.291121, 0.309131, 0.332269, 0.374171, 0.412541, 0.463613, 0.504797, 0.546629, 0.577931, 0.609748, 0.632763, 0.677876, 0.740069, 0.750896");
-            values ( \
-              "-0.0710719, -0.143592, -0.167679, -0.182602, -0.193081, -0.20175, -0.205385, -0.20662, -0.206444, -0.20319, -0.198526, -0.192576, -0.184313, -0.179259, -0.170391, -0.142641, -0.107218, -0.0671066, -0.043929, -0.0279136, -0.0195023, -0.0135408, -0.0104107, -0.00601979, -0.00283409, -0.00264176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0847036");
-            index_3 ("0.068468, 0.0979113, 0.107394, 0.117983, 0.130598, 0.143352, 0.162242, 0.288037, 0.439299, 0.518769, 0.580961, 0.603061, 0.646454, 0.699032, 0.811974, 0.868235, 0.905514, 0.955228, 1.04224, 1.10443, 1.14651, 1.22358, 1.28578, 1.34797, 1.47235, 1.65893");
-            values ( \
-              "-0.186314, -0.200333, -0.207797, -0.211449, -0.213634, -0.213869, -0.213739, -0.206946, -0.195982, -0.187649, -0.178006, -0.173581, -0.161382, -0.140324, -0.0899763, -0.069356, -0.0576863, -0.0448656, -0.02816, -0.0200502, -0.0157796, -0.0101829, -0.00718771, -0.00494538, -0.00237552, -0.00084701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.096514, 0.107166, 0.109589, 0.116309, 0.120269, 0.124088, 0.12786, 0.13163, 0.133318, 0.136063, 0.145153, 0.148906, 0.153716, 0.159213, 0.164017, 0.164997");
-            values ( \
-              "-0.00102074, -0.0720347, -0.0803355, -0.0955241, -0.10061, -0.103413, -0.102928, -0.0974188, -0.0927589, -0.0810645, -0.0335273, -0.0212776, -0.0114352, -0.00553141, -0.00287579, -0.00264049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00449934");
-            index_3 ("0.0965578, 0.110815, 0.117865, 0.124101, 0.128618, 0.13276, 0.135779, 0.141523, 0.143877, 0.147587, 0.150675, 0.166317, 0.173023, 0.17998, 0.186425, 0.190751, 0.199403, 0.205405");
-            values ( \
-              "-0.00777847, -0.105894, -0.124551, -0.134671, -0.138892, -0.140813, -0.140935, -0.138635, -0.135747, -0.129426, -0.121296, -0.0525395, -0.0321706, -0.0186593, -0.0110611, -0.00772642, -0.00365297, -0.00247116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00937211");
-            index_3 ("0.0971088, 0.110224, 0.116771, 0.123318, 0.127849, 0.137992, 0.147827, 0.158143, 0.166171, 0.170809, 0.178359, 0.181089, 0.18535, 0.200108, 0.206059, 0.21195, 0.219804, 0.223168, 0.229431, 0.236588, 0.242368, 0.248182, 0.255933, 0.271435, 0.29481, 0.323034");
-            values ( \
-              "-0.0118652, -0.116818, -0.140993, -0.15482, -0.162379, -0.170596, -0.172506, -0.170203, -0.16569, -0.16169, -0.152369, -0.147653, -0.138326, -0.0927445, -0.0754186, -0.0605387, -0.0442619, -0.0385186, -0.029562, -0.0216123, -0.0167135, -0.0128715, -0.00902203, -0.00429165, -0.00127083, -0.000268904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0195221");
-            index_3 ("0.102761, 0.126986, 0.135792, 0.144693, 0.153864, 0.16421, 0.182473, 0.193666, 0.216067, 0.2292, 0.240919, 0.261766, 0.280909, 0.306386, 0.326829, 0.347273, 0.362883, 0.378934, 0.415734, 0.440117");
-            values ( \
-              "-0.115248, -0.175197, -0.185654, -0.191286, -0.193276, -0.193689, -0.191028, -0.188181, -0.18013, -0.173124, -0.164278, -0.137688, -0.103439, -0.0646725, -0.0423614, -0.0271029, -0.0189201, -0.0130543, -0.00537844, -0.00336846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0406643");
-            index_3 ("0.102906, 0.122128, 0.135234, 0.145839, 0.157186, 0.181492, 0.217196, 0.291077, 0.336692, 0.37108, 0.385606, 0.414658, 0.467633, 0.506504, 0.546461, 0.589487, 0.630243, 0.69981, 0.762003, 0.773165");
-            values ( \
-              "-0.123627, -0.173303, -0.194604, -0.202168, -0.205621, -0.206547, -0.203283, -0.192607, -0.182561, -0.170425, -0.162823, -0.140836, -0.092939, -0.0643228, -0.0425308, -0.0265664, -0.0167121, -0.00735138, -0.00341349, -0.00317209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0847036");
-            index_3 ("0.107627, 0.136821, 0.157333, 0.181997, 0.226184, 0.307107, 0.463479, 0.506875, 0.557413, 0.619606, 0.641706, 0.685098, 0.737677, 0.850619, 0.906885, 0.994031, 1.03559, 1.08069, 1.14289, 1.17231, 1.26294, 1.32513, 1.44951, 1.5739, 1.69828");
-            values ( \
-              "-0.192151, -0.20116, -0.211935, -0.214079, -0.212596, -0.208125, -0.197256, -0.193292, -0.187498, -0.178155, -0.173436, -0.161526, -0.140182, -0.0898366, -0.069242, -0.0447198, -0.0359519, -0.0282926, -0.0199696, -0.0169496, -0.0102296, -0.00707088, -0.00341009, -0.00162452, -0.000759718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.183176, 0.190447, 0.194401, 0.200209, 0.205029, 0.209387, 0.215281, 0.217525, 0.221526, 0.223615, 0.235054, 0.239286, 0.244242, 0.251757, 0.256204");
-            values ( \
-              "-0.0178224, -0.0394388, -0.0577424, -0.0754582, -0.0859983, -0.0924916, -0.0970128, -0.0974487, -0.0937907, -0.0886553, -0.0339991, -0.0205209, -0.0109013, -0.00403067, -0.00249044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00449934");
-            index_3 ("0.181653, 0.196399, 0.202248, 0.21033, 0.213363, 0.21667, 0.22269, 0.231529, 0.238053, 0.240409, 0.256263, 0.26696, 0.274606, 0.284026, 0.292863");
-            values ( \
-              "-0.00076503, -0.0821781, -0.102562, -0.120999, -0.123924, -0.130994, -0.136583, -0.136668, -0.12724, -0.121405, -0.0531776, -0.0240792, -0.0130056, -0.00597361, -0.00294521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00937211");
-            index_3 ("0.184125, 0.195248, 0.202514, 0.210283, 0.217328, 0.221425, 0.226888, 0.22978, 0.233636, 0.237869, 0.246336, 0.25074, 0.256613, 0.264386, 0.268563, 0.276917, 0.29517, 0.301419, 0.305636, 0.312454, 0.31832, 0.326142, 0.334456, 0.338906, 0.347804, 0.3656, 0.389447, 0.418746");
-            values ( \
-              "-0.0181193, -0.0859373, -0.119232, -0.140418, -0.153203, -0.159513, -0.16527, -0.16728, -0.169107, -0.1701, -0.16969, -0.168207, -0.164889, -0.157662, -0.152096, -0.134711, -0.0785936, -0.0624042, -0.0529564, -0.0401392, -0.0313629, -0.0222877, -0.0153924, -0.0125997, -0.00836083, -0.00351386, -0.00100002, -0.00019989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0195221");
-            index_3 ("0.172569, 0.220167, 0.226917, 0.233952, 0.240439, 0.247544, 0.254479, 0.272778, 0.291465, 0.313995, 0.326844, 0.331267, 0.340113, 0.354595, 0.383707, 0.393667, 0.413239, 0.422568, 0.437561, 0.453348, 0.45996, 0.473185, 0.499635, 0.542376, 0.592926");
-            values ( \
-              "-0.00177897, -0.171921, -0.181484, -0.187556, -0.190645, -0.192281, -0.19275, -0.190698, -0.185761, -0.176268, -0.168002, -0.164352, -0.155104, -0.133066, -0.0828354, -0.0685479, -0.0459537, -0.0376391, -0.0270316, -0.0188436, -0.016185, -0.0118831, -0.00625139, -0.00203378, -0.000501603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0406643");
-            index_3 ("0.191461, 0.20576, 0.218096, 0.23, 0.235783, 0.246927, 0.258628, 0.271626, 0.288662, 0.307376, 0.338465, 0.392374, 0.414713, 0.430555, 0.451679, 0.461232, 0.480338, 0.508112, 0.562293, 0.590995, 0.609492, 0.633356, 0.653449, 0.675494, 0.706723, 0.720255, 0.74732, 0.801449, 0.863641, 0.925834, 0.988026");
-            values ( \
-              "-0.133048, -0.14403, -0.176018, -0.194161, -0.198931, -0.204081, -0.206007, -0.206186, -0.205091, -0.203157, -0.199257, -0.190529, -0.185698, -0.181509, -0.174437, -0.170435, -0.159964, -0.137867, -0.0892377, -0.0680249, -0.0565068, -0.0440349, -0.0354824, -0.0278199, -0.0195211, -0.0167263, -0.012221, -0.0063607, -0.00294864, -0.00135724, -0.000624041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0847036");
-            index_3 ("0.199796, 0.247279, 0.259018, 0.272658, 0.31294, 0.417359, 0.491964, 0.568622, 0.648091, 0.710284, 0.732383, 0.775776, 0.828354, 0.941297, 0.99756, 1.03563, 1.08471, 1.12626, 1.17137, 1.23357, 1.27593, 1.3536, 1.41579, 1.47799, 1.60237, 1.78895");
-            values ( \
-              "-0.205009, -0.210327, -0.21288, -0.213731, -0.212662, -0.206886, -0.201991, -0.195935, -0.187605, -0.17805, -0.17354, -0.161423, -0.140281, -0.0899328, -0.06933, -0.0574827, -0.0448062, -0.0360359, -0.0282086, -0.020053, -0.0157817, -0.010152, -0.00714966, -0.00493432, -0.00237395, -0.000836761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.360451, 0.374708, 0.381993, 0.397724, 0.403847, 0.411177, 0.415762, 0.420715, 0.430692, 0.434555, 0.439754, 0.445899, 0.45129, 0.459866, 0.470585");
-            values ( \
-              "-0.000900821, -0.02152, -0.0406194, -0.0702861, -0.0794936, -0.0856993, -0.0840918, -0.0728561, -0.0309634, -0.0200217, -0.0106547, -0.00497212, -0.00248222, -0.000849691, -0.000270483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00449934");
-            index_3 ("0.363088, 0.373804, 0.378364, 0.385036, 0.393436, 0.406191, 0.413321, 0.41999, 0.426498, 0.432999, 0.436315, 0.440356, 0.454387, 0.459687, 0.466768, 0.471099, 0.478117, 0.487473, 0.493019");
-            values ( \
-              "-0.000656706, -0.0295901, -0.0369432, -0.0583827, -0.0803523, -0.108462, -0.118688, -0.124024, -0.125253, -0.119973, -0.113814, -0.10113, -0.0456495, -0.0312993, -0.0182552, -0.0129883, -0.00738498, -0.00334218, -0.00253521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00937211");
-            index_3 ("0.366899, 0.383961, 0.386927, 0.396562, 0.41058, 0.422276, 0.433102, 0.443647, 0.45418, 0.459578, 0.465214, 0.476395, 0.493411, 0.500083, 0.510883, 0.517734, 0.525564, 0.532464, 0.542843, 0.556682, 0.579917, 0.607485");
-            values ( \
-              "-0.0148163, -0.060211, -0.0728519, -0.10267, -0.13652, -0.15202, -0.159474, -0.161011, -0.15818, -0.154063, -0.147912, -0.125193, -0.0748997, -0.0586475, -0.0379193, -0.0286284, -0.0204576, -0.0151203, -0.00949027, -0.00495661, -0.00149912, -0.000334081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0195221");
-            index_3 ("0.358953, 0.37768, 0.403252, 0.419827, 0.441713, 0.450752, 0.466545, 0.488801, 0.506213, 0.529199, 0.539765, 0.594587, 0.616331, 0.638079, 0.657994, 0.691572, 0.702157");
-            values ( \
-              "-0.0107189, -0.0446598, -0.129751, -0.164142, -0.182661, -0.18509, -0.186035, -0.181958, -0.176031, -0.16349, -0.15266, -0.0646989, -0.0411834, -0.0255132, -0.0161638, -0.0072752, -0.00605805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0406643");
-            index_3 ("0.377421, 0.397247, 0.409112, 0.417826, 0.431096, 0.441889, 0.453402, 0.467846, 0.486183, 0.508196, 0.523219, 0.53216, 0.559052, 0.57862, 0.616341, 0.624084, 0.65063, 0.658614, 0.674583, 0.705474, 0.738033, 0.767177, 0.789482, 0.806833, 0.830881, 0.850581, 0.872719, 0.904019, 0.935831, 0.960084, 1.00859, 1.07078, 1.13298, 1.19517");
-            values ( \
-              "-0.0895695, -0.117008, -0.152688, -0.170492, -0.186801, -0.194262, -0.198591, -0.200779, -0.20088, -0.199068, -0.199396, -0.198908, -0.195737, -0.192563, -0.184687, -0.182604, -0.173831, -0.170424, -0.161951, -0.137901, -0.107826, -0.0833044, -0.0672957, -0.056537, -0.043974, -0.0355844, -0.0278711, -0.0195428, -0.0135734, -0.0102295, -0.0057107, -0.00264364, -0.00121777, -0.000558913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0847036");
-            index_3 ("0.377592, 0.419204, 0.435171, 0.454572, 0.469204, 0.487313, 0.505594, 0.536666, 0.573893, 0.658321, 0.751804, 0.795196, 0.845737, 0.90793, 0.93003, 0.973422, 1.026, 1.13894, 1.19521, 1.23327, 1.28235, 1.32391, 1.36902, 1.43121, 1.47358, 1.55125, 1.61344, 1.67563, 1.80002, 1.98659");
-            values ( \
-              "-0.0840002, -0.175092, -0.194795, -0.205295, -0.208262, -0.209403, -0.209217, -0.210667, -0.209235, -0.20411, -0.197169, -0.193208, -0.187582, -0.178072, -0.173517, -0.161446, -0.140258, -0.0899093, -0.0693056, -0.0575073, -0.0447815, -0.036011, -0.0282335, -0.0200281, -0.0158071, -0.0101775, -0.00712428, -0.00495985, -0.00239948, -0.00081122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.77966, 0.788855, 0.793026, 0.800082, 0.803839, 0.811277, 0.823428, 0.83068, 0.837184, 0.843195, 0.848997, 0.855001, 0.868603, 0.873981, 0.878364, 0.88096, 0.886151, 0.895136, 0.898188");
-            values ( \
-              "-0.0101648, -0.0117552, -0.0149586, -0.0234567, -0.0288476, -0.0372809, -0.0501246, -0.0570842, -0.0626129, -0.0665479, -0.0678266, -0.0613869, -0.0222447, -0.0126107, -0.00769879, -0.00571782, -0.00311205, -0.00107527, -0.000883511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00449934");
-            index_3 ("0.783956, 0.795627, 0.80103, 0.811322, 0.836061, 0.845207, 0.853417, 0.861094, 0.868643, 0.87618, 0.89089, 0.89784, 0.9018, 0.90708, 0.912915, 0.917561, 0.926851, 0.93447");
-            values ( \
-              "-0.0212547, -0.0232505, -0.0305625, -0.0481417, -0.0830858, -0.0941968, -0.103094, -0.107777, -0.107191, -0.0945978, -0.0454459, -0.0284515, -0.0213938, -0.0143854, -0.00920627, -0.00638998, -0.00302348, -0.00187949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00937211");
-            index_3 ("0.78221, 0.796378, 0.805912, 0.816008, 0.841107, 0.853614, 0.858786, 0.865682, 0.871806, 0.879294, 0.89062, 0.902178, 0.909221, 0.914917, 0.931108, 0.936927, 0.949383, 0.955243, 0.963056, 0.969995, 0.98046, 0.994414, 1.01268");
-            values ( \
-              "-0.0188643, -0.0287793, -0.044126, -0.0633638, -0.107491, -0.126995, -0.13364, -0.140672, -0.145224, -0.148717, -0.14939, -0.142448, -0.132254, -0.1189, -0.073496, -0.0596185, -0.03655, -0.0286568, -0.0204757, -0.0151086, -0.00946197, -0.00492908, -0.00224924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0195221");
-            index_3 ("0.762899, 0.800943, 0.839089, 0.864922, 0.880552, 0.896287, 0.905908, 0.925474, 0.945043, 0.968697, 0.983667, 1.03062, 1.05207, 1.07777, 1.09564, 1.12846, 1.13636");
-            values ( \
-              "-0.00257663, -0.0379924, -0.11333, -0.156556, -0.170914, -0.17805, -0.179853, -0.179482, -0.174163, -0.159668, -0.141707, -0.066295, -0.0427585, -0.0243231, -0.0161858, -0.00745377, -0.00652466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0406643");
-            index_3 ("0.756301, 0.899739, 0.911203, 0.92608, 0.940612, 0.97762, 1.01555, 1.06121, 1.08707, 1.09626, 1.11463, 1.14343, 1.19995, 1.23019, 1.25343, 1.27563, 1.29671, 1.32481, 1.34742, 1.3702, 1.40056, 1.4613, 1.5229");
-            values ( \
-              "-3.59712e-05, -0.193829, -0.196777, -0.198485, -0.198784, -0.196002, -0.190642, -0.181074, -0.172906, -0.169147, -0.159471, -0.137192, -0.0872755, -0.0655943, -0.0520042, -0.0411823, -0.0327776, -0.0239483, -0.0185189, -0.0142613, -0.0100016, -0.0047534, -0.00222257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0847036");
-            index_3 ("0.800399, 0.830775, 0.854968, 0.878119, 0.90237, 0.911384, 0.921686, 0.94229, 0.969206, 1.02166, 1.10919, 1.24506, 1.28296, 1.32333, 1.36717, 1.42936, 1.5775, 1.63095, 1.71872, 1.76284, 1.80735, 1.89791, 1.95466, 2.01685, 2.07904, 2.20343, 2.4522");
-            values ( \
-              "-0.0955332, -0.105372, -0.157014, -0.187575, -0.202425, -0.204984, -0.206973, -0.208767, -0.208853, -0.206633, -0.20156, -0.191607, -0.187548, -0.181865, -0.173508, -0.154708, -0.0893715, -0.0697967, -0.0449715, -0.0356743, -0.0280784, -0.0169978, -0.0123197, -0.00863597, -0.00602116, -0.00291746, -0.000675544" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.00168237, 0.0016859, 0.00168868, 0.00169049, 0.00169151, 0.00169205", \
-            "0.0018888, 0.00189076, 0.00189304, 0.00189473, 0.00189578, 0.00189636", \
-            "0.0020095, 0.00201009, 0.00201107, 0.00201203, 0.00201277, 0.00201322", \
-            "0.00208129, 0.00208143, 0.00208168, 0.00208206, 0.00208246, 0.00208277", \
-            "0.00212423, 0.0021243, 0.00212442, 0.00212459, 0.0021248, 0.00212502", \
-            "0.00214896, 0.00214904, 0.00214917, 0.00214938, 0.00214962, 0.00214985" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.00220274, 0.00220855, 0.00221322, 0.00221731, 0.00221976, 0.00222108", \
-            "0.00236885, 0.00237196, 0.00237445, 0.00237727, 0.00237934, 0.00238057", \
-            "0.00255491, 0.0025521, 0.00254916, 0.00254882, 0.00254904, 0.00254937", \
-            "0.0028797, 0.00287378, 0.00286753, 0.00286271, 0.00285992, 0.00285856", \
-            "0.003357, 0.00341659, 0.003458, 0.00348054, 0.00349341, 0.00348392", \
-            "0.0038477, 0.00384459, 0.00382958, 0.00387882, 0.00396819, 0.00401517" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.00273376, 0.00275553, 0.00272257, 0.00267753, 0.00263948, 0.00261241", \
-            "0.00259205, 0.00261236, 0.00260081, 0.00256483, 0.00252926, 0.00250193", \
-            "0.00241136, 0.00243886, 0.00245018, 0.00243685, 0.00241188, 0.00238681", \
-            "0.00230246, 0.00233201, 0.00235592, 0.00236056, 0.00235305, 0.0023402", \
-            "0.00246117, 0.00247992, 0.00251696, 0.00253871, 0.00253829, 0.00252799", \
-            "0.00338472, 0.00335473, 0.00332881, 0.00332776, 0.00334204, 0.00332167" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00449934, 0.00937211, 0.0195221, 0.0406643, 0.0847036");
-          values ( \
-            "0.00717198, 0.00715587, 0.00710877, 0.00705722, 0.00701845, 0.00699497", \
-            "0.00704349, 0.00704877, 0.00702138, 0.00697597, 0.00693959, 0.00691675", \
-            "0.00694695, 0.00696626, 0.00696568, 0.00694044, 0.00691275, 0.00689322", \
-            "0.0069738, 0.00699947, 0.00703479, 0.00702494, 0.00700815, 0.0069767", \
-            "0.00732432, 0.00735686, 0.00738032, 0.00739073, 0.00738157, 0.00737535", \
-            "0.00845987, 0.00847004, 0.00847817, 0.00848529, 0.00844379, 0.0084088" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00283148;
-      rise_capacitance : 0.00283148;
-      rise_capacitance_range (0.00213881, 0.00283148);
-      fall_capacitance : 0.00280215;
-      fall_capacitance_range (0.00197394, 0.00280215);
-    }
-  }
-  cell (BUFX2) {
-    area : 8.3028;
-    cell_footprint : "BUF";
-    cell_leakage_power : 0.0422782;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0301684;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0543881;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0422782;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.155181;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.0640372, 0.0749274, 0.0970172, 0.145948, 0.259903, 0.527636", \
-            "0.0676818, 0.0785633, 0.100691, 0.149649, 0.263579, 0.531303", \
-            "0.0769775, 0.0877963, 0.109909, 0.158945, 0.272938, 0.540697", \
-            "0.0958739, 0.107072, 0.129412, 0.178646, 0.292801, 0.5607", \
-            "0.116187, 0.128925, 0.152339, 0.202024, 0.316429, 0.584304", \
-            "0.12548, 0.141119, 0.167682, 0.218283, 0.332421, 0.600151" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.022647, 0.0353602, 0.0657113, 0.139202, 0.314272, 0.726355", \
-            "0.0226563, 0.0353597, 0.0657159, 0.139225, 0.314246, 0.726367", \
-            "0.0226872, 0.0353955, 0.0657128, 0.139216, 0.314276, 0.726377", \
-            "0.0248563, 0.0369807, 0.0665351, 0.139347, 0.314217, 0.726376", \
-            "0.0305058, 0.0419588, 0.069828, 0.141074, 0.314723, 0.726351", \
-            "0.0396914, 0.0515115, 0.0771964, 0.144378, 0.315735, 0.727221" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.0703386, 0.0802077, 0.0986856, 0.13574, 0.217674, 0.408733", \
-            "0.075018, 0.0849109, 0.103471, 0.140525, 0.222466, 0.41351", \
-            "0.0874896, 0.0973089, 0.11595, 0.15309, 0.235089, 0.426154", \
-            "0.117758, 0.127636, 0.146382, 0.182741, 0.265814, 0.456872", \
-            "0.171519, 0.183288, 0.203629, 0.242625, 0.325252, 0.516133", \
-            "0.257119, 0.272011, 0.296972, 0.33881, 0.422981, 0.614867" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.0193864, 0.0279965, 0.0467435, 0.0902956, 0.196375, 0.451173", \
-            "0.0193983, 0.0279497, 0.0467133, 0.0903798, 0.196399, 0.451174", \
-            "0.0194157, 0.0279749, 0.0466922, 0.0902669, 0.19642, 0.451172", \
-            "0.0203968, 0.0288256, 0.0472185, 0.0906254, 0.196428, 0.451173", \
-            "0.0263281, 0.0346012, 0.0524116, 0.0941035, 0.197951, 0.451289", \
-            "0.0358704, 0.0457493, 0.0630208, 0.101768, 0.201807, 0.453332" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.049217, 0.0547219, 0.0570307, 0.0607938, 0.0626855, 0.0649889, 0.0683267, 0.0715972, 0.0748648, 0.0774011, 0.0834638, 0.0878659, 0.0919485, 0.0940352, 0.0975387, 0.101778, 0.107223, 0.111786, 0.116898, 0.125011, 0.135828, 0.144809");
-            values ( \
-              "0.00386128, 0.0670327, 0.0822214, 0.100868, 0.107821, 0.114094, 0.119042, 0.11903, 0.112475, 0.099159, 0.06126, 0.0433459, 0.0315427, 0.0269431, 0.0206068, 0.0148822, 0.00991573, 0.00708594, 0.00493312, 0.00283741, 0.00137567, 0.000879213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00507851");
-            index_3 ("0.0518271, 0.054941, 0.0612796, 0.0671808, 0.0724139, 0.077421, 0.0825914, 0.0853979, 0.0877702, 0.0989996, 0.108563, 0.117512, 0.127361, 0.132313, 0.140014, 0.146949, 0.155826, 0.167663, 0.182947");
-            values ( \
-              "0.0288663, 0.088613, 0.140065, 0.168777, 0.180757, 0.184576, 0.179043, 0.171661, 0.162341, 0.103284, 0.0663741, 0.0431796, 0.0265985, 0.0208254, 0.0141979, 0.0100365, 0.00646893, 0.00356652, 0.00181378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119402");
-            index_3 ("0.0521603, 0.0576918, 0.0653052, 0.0676981, 0.0724838, 0.0773348, 0.086309, 0.0901611, 0.0952187, 0.0991499, 0.104515, 0.113932, 0.133638, 0.141776, 0.151177, 0.161921, 0.169451, 0.178156, 0.189762, 0.201509, 0.210022, 0.223003, 0.239633, 0.261808, 0.298893, 0.343133");
-            values ( \
-              "0.0256874, 0.129936, 0.192153, 0.205627, 0.224843, 0.236406, 0.243139, 0.241883, 0.237805, 0.232948, 0.223828, 0.199845, 0.135583, 0.112821, 0.0905211, 0.0695188, 0.0575357, 0.045981, 0.0338009, 0.0246732, 0.0195685, 0.0136584, 0.00860002, 0.00454346, 0.00143317, 0.000337128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0280729");
-            index_3 ("0.0524023, 0.0614405, 0.0688059, 0.0745107, 0.0788316, 0.0874733, 0.0969387, 0.105869, 0.114894, 0.133577, 0.153453, 0.175432, 0.220497, 0.23985, 0.262194, 0.28527, 0.298176, 0.321214, 0.340148, 0.355499, 0.374851, 0.402147, 0.431415, 0.453968, 0.499076, 0.579004, 0.658932");
-            values ( \
-              "0.0473412, 0.176417, 0.231032, 0.256609, 0.268799, 0.28157, 0.28456, 0.282159, 0.277324, 0.263649, 0.244172, 0.214077, 0.143359, 0.117245, 0.0915238, 0.0698064, 0.0597497, 0.0449015, 0.0352917, 0.0289664, 0.0224891, 0.0156476, 0.0106008, 0.00781945, 0.00418398, 0.00124655, 0.000373452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0660029");
-            index_3 ("0.0606242, 0.100774, 0.112367, 0.125815, 0.179828, 0.222146, 0.267277, 0.317314, 0.408297, 0.496416, 0.573164, 0.640392, 0.697548, 0.765378, 0.825723, 0.888564, 1.01664, 1.09657, 1.1368");
-            values ( \
-              "0.281452, 0.308775, 0.308635, 0.305503, 0.288601, 0.273155, 0.252699, 0.221889, 0.157392, 0.10425, 0.069803, 0.0481731, 0.0347694, 0.0234226, 0.0163515, 0.0112495, 0.00515868, 0.00316115, 0.00275079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.155181");
-            index_3 ("0.0637084, 0.0637283, 0.33251, 0.430537, 0.535214, 0.650788, 0.860252, 1.01843, 1.09836, 1.24022, 1.39529, 1.52669, 1.68654, 1.83681, 1.95821, 2.11806, 2.43777, 3.0772");
-            values ( \
-              "1e-22, 0.330217, 0.293015, 0.277342, 0.256696, 0.225873, 0.160635, 0.117675, 0.0984116, 0.0710785, 0.0489191, 0.0352599, 0.0234418, 0.0158552, 0.0115111, 0.00750063, 0.00309793, 0.000371505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0647943, 0.0736545, 0.0793566, 0.0826896, 0.0859604, 0.0892275, 0.0978262, 0.102228, 0.108397, 0.11614, 0.121585, 0.126148, 0.139372, 0.148103");
-            values ( \
-              "0.037554, 0.0940297, 0.114087, 0.119115, 0.11899, 0.112541, 0.0612608, 0.0433479, 0.0269441, 0.0148821, 0.00991632, 0.00708574, 0.00283707, 0.00165792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00507851");
-            index_3 ("0.0615861, 0.0790417, 0.0814914, 0.0867395, 0.0917382, 0.0967686, 0.0998203, 0.102088, 0.113491, 0.117648, 0.122364, 0.128653, 0.132045, 0.137616, 0.143457, 0.148943, 0.156257, 0.163773, 0.176315, 0.193038, 0.202211");
-            values ( \
-              "0.00522894, 0.158397, 0.168324, 0.181168, 0.184202, 0.179364, 0.171309, 0.162331, 0.102474, 0.0847539, 0.068015, 0.050281, 0.0427382, 0.032544, 0.0243499, 0.0185551, 0.0128573, 0.00885746, 0.0047555, 0.00200961, 0.00143709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119402");
-            index_3 ("0.0616751, 0.0820876, 0.0841907, 0.0882466, 0.091714, 0.0986487, 0.100666, 0.104701, 0.109595, 0.118567, 0.12088, 0.129072, 0.139557, 0.150013, 0.159498, 0.171198, 0.181166, 0.186479, 0.196525, 0.206661, 0.215448, 0.224424, 0.237306, 0.254193, 0.27671, 0.313419, 0.357147");
-            values ( \
-              "0.004908, 0.20577, 0.215295, 0.22891, 0.236287, 0.242734, 0.242871, 0.241614, 0.237704, 0.224603, 0.219612, 0.197224, 0.162242, 0.129709, 0.104503, 0.0789434, 0.0615341, 0.0537697, 0.0414222, 0.0316354, 0.0249844, 0.0195578, 0.0136963, 0.00856291, 0.00447007, 0.00143544, 0.000340806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0280729");
-            index_3 ("0.0621023, 0.0831505, 0.0931968, 0.0974378, 0.104578, 0.111271, 0.123221, 0.138296, 0.14791, 0.167779, 0.189811, 0.228714, 0.243255, 0.265321, 0.291591, 0.30298, 0.323373, 0.346679, 0.364325, 0.392687, 0.418273, 0.450153, 0.492659, 0.568313, 0.648241");
-            values ( \
-              "0.00909346, 0.231133, 0.269215, 0.276769, 0.283159, 0.284351, 0.280789, 0.271151, 0.263607, 0.244271, 0.214002, 0.152362, 0.131547, 0.103813, 0.0767408, 0.0670324, 0.0522582, 0.0389411, 0.031068, 0.0214629, 0.015277, 0.0099769, 0.00555034, 0.00180058, 0.000534261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0660029");
-            index_3 ("0.0708831, 0.094161, 0.103394, 0.113738, 0.118078, 0.123864, 0.135437, 0.15397, 0.180442, 0.22184, 0.266017, 0.281726, 0.313143, 0.434909, 0.468541, 0.50026, 0.535311, 0.580673, 0.616758, 0.664872, 0.71057, 0.769282, 0.835723, 0.881659, 0.91203, 0.972772, 1.0527, 1.13263, 1.29248, 1.37241");
-            values ( \
-              "0.189476, 0.286794, 0.301978, 0.308271, 0.308852, 0.30872, 0.306861, 0.30171, 0.293152, 0.278621, 0.260471, 0.252608, 0.234313, 0.149202, 0.128017, 0.109879, 0.0920299, 0.0724846, 0.0595823, 0.0454697, 0.0350247, 0.0248928, 0.0167947, 0.0127481, 0.0106691, 0.00735265, 0.00453675, 0.0027414, 0.0010095, 0.000648654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.155181");
-            index_3 ("0.079571, 0.079591, 0.244775, 0.307716, 0.425676, 0.483962, 0.549675, 0.629603, 0.873559, 0.953487, 1.03543, 1.11536, 1.19528, 1.28692, 1.32907, 1.40806, 1.48799, 1.62111, 1.70104, 1.77139, 1.89281, 2.05267, 2.21252, 2.37238, 2.53224, 2.85195, 3.09173");
-            values ( \
-              "1e-22, 0.335733, 0.307582, 0.298641, 0.280391, 0.27013, 0.256389, 0.236006, 0.161359, 0.138195, 0.116726, 0.0982159, 0.0818888, 0.0661983, 0.0598012, 0.0494441, 0.0405326, 0.0290213, 0.0237487, 0.0197741, 0.0144547, 0.00953132, 0.00626604, 0.00410928, 0.00268854, 0.00114077, 0.000651753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0999339, 0.10378, 0.108388, 0.110554, 0.114144, 0.117484, 0.120759, 0.12403, 0.132759, 0.136281, 0.140306, 0.145483, 0.151691, 0.156255, 0.161471, 0.17379, 0.184034, 0.189919");
-            values ( \
-              "0.0384258, 0.0663953, 0.0932054, 0.10302, 0.113713, 0.119009, 0.118785, 0.112534, 0.0607374, 0.0460012, 0.0335498, 0.0226386, 0.014091, 0.0100246, 0.00681623, 0.00291047, 0.00147025, 0.00125138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00507851");
-            index_3 ("0.100634, 0.103828, 0.110265, 0.116199, 0.121446, 0.126461, 0.131618, 0.134062, 0.136816, 0.144746, 0.151052, 0.159106, 0.167257, 0.17276, 0.178356, 0.183399, 0.190124, 0.196138, 0.204611, 0.215907, 0.236135, 0.260073");
-            values ( \
-              "0.0283907, 0.0862518, 0.138931, 0.168193, 0.180384, 0.184396, 0.178953, 0.172809, 0.162334, 0.119847, 0.0900564, 0.0618467, 0.0417848, 0.0319152, 0.0241752, 0.0188306, 0.0134457, 0.00996678, 0.00655544, 0.003717, 0.00127668, 0.000353579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119402");
-            index_3 ("0.100796, 0.106688, 0.11435, 0.116744, 0.121533, 0.126394, 0.135374, 0.138667, 0.143058, 0.146716, 0.151594, 0.157557, 0.163747, 0.184745, 0.194153, 0.205829, 0.221186, 0.231336, 0.241378, 0.250063, 0.259062, 0.271962, 0.28892, 0.311529, 0.3478, 0.391121");
-            values ( \
-              "0.0175117, 0.128596, 0.19155, 0.205227, 0.224487, 0.236282, 0.243097, 0.242123, 0.23893, 0.234899, 0.227587, 0.214818, 0.197208, 0.12954, 0.10458, 0.0790534, 0.0537265, 0.0412734, 0.0316141, 0.0250366, 0.0195744, 0.0137124, 0.00855849, 0.0044451, 0.00145984, 0.000345109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0280729");
-            index_3 ("0.105319, 0.113413, 0.118082, 0.123929, 0.128092, 0.136418, 0.146191, 0.152322, 0.160497, 0.171428, 0.182815, 0.202684, 0.216253, 0.256504, 0.278946, 0.298674, 0.324977, 0.340734, 0.360407, 0.385969, 0.417096, 0.44314, 0.463188, 0.492784, 0.532246, 0.609632, 0.68956");
-            values ( \
-              "0.174642, 0.20083, 0.231406, 0.25766, 0.268875, 0.281543, 0.284614, 0.283213, 0.279329, 0.272318, 0.26367, 0.244266, 0.226656, 0.163198, 0.130479, 0.105623, 0.078123, 0.0647711, 0.0508929, 0.0368035, 0.0246224, 0.0174464, 0.0133717, 0.0089974, 0.00522695, 0.00164274, 0.000486148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0660029");
-            index_3 ("0.105095, 0.125159, 0.130321, 0.139338, 0.150023, 0.160532, 0.188805, 0.229077, 0.271401, 0.30137, 0.339745, 0.366495, 0.467638, 0.498846, 0.528259, 0.561875, 0.613022, 0.660028, 0.69276, 0.735309, 0.770114, 0.813263, 0.874214, 0.939394, 0.9896, 1.06953, 1.22938, 1.38924");
-            values ( \
-              "0.165608, 0.276184, 0.290026, 0.303787, 0.308802, 0.308751, 0.301842, 0.288564, 0.273112, 0.260088, 0.239306, 0.222039, 0.150766, 0.130642, 0.113719, 0.0959636, 0.0735147, 0.0567925, 0.0474147, 0.0370917, 0.0303427, 0.0236795, 0.016377, 0.0110985, 0.00829441, 0.00499804, 0.00183512, 0.000651165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.155181");
-            index_3 ("0.112242, 0.161421, 0.172798, 0.194064, 0.32216, 0.381922, 0.46185, 0.516317, 0.584575, 0.664503, 0.760951, 0.908465, 0.988393, 1.07033, 1.15026, 1.23019, 1.32182, 1.36397, 1.44297, 1.5229, 1.57609, 1.65602, 1.73595, 1.8063, 1.92772, 2.00765, 2.08757, 2.24743, 2.32736, 2.48721, 2.727, 3.12664");
-            values ( \
-              "0.320806, 0.321858, 0.321732, 0.319545, 0.301561, 0.292739, 0.280121, 0.270552, 0.256445, 0.235955, 0.207022, 0.161312, 0.138241, 0.116768, 0.0981746, 0.0819297, 0.0661638, 0.0598353, 0.0494107, 0.0405659, 0.0355381, 0.0290537, 0.0237166, 0.0198058, 0.0144856, 0.0117779, 0.00956184, 0.00629619, 0.00510963, 0.00335809, 0.00178335, 0.000623666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.170872, 0.189243, 0.19596, 0.200941, 0.202672, 0.204548, 0.208185, 0.21342, 0.218315, 0.224302, 0.231541, 0.240123, 0.251429, 0.265567, 0.268946");
-            values ( \
-              "0.000658381, 0.0836216, 0.103694, 0.109012, 0.108494, 0.10544, 0.0901304, 0.0594534, 0.0410099, 0.0263989, 0.0154418, 0.00827451, 0.00378296, 0.00149636, 0.00136961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00507851");
-            index_3 ("0.172361, 0.18858, 0.195651, 0.201491, 0.208552, 0.21431, 0.217664, 0.231726, 0.238285, 0.247828, 0.259202, 0.270952, 0.285385, 0.306638");
-            values ( \
-              "0.00227493, 0.110003, 0.147199, 0.166022, 0.174065, 0.168573, 0.158747, 0.0906878, 0.0672514, 0.0427328, 0.0244453, 0.0136713, 0.00670215, 0.00299236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119402");
-            index_3 ("0.176142, 0.176664, 0.182099, 0.185891, 0.189272, 0.19378, 0.196128, 0.200824, 0.206719, 0.210381, 0.216084, 0.219219, 0.225248, 0.231838, 0.234648, 0.239435, 0.244861, 0.255392, 0.260356, 0.265803, 0.272316, 0.27533, 0.279349, 0.287043, 0.297, 0.30229, 0.305621, 0.312284, 0.322468, 0.325413, 0.331303, 0.340267, 0.353139, 0.358755, 0.369988, 0.392453, 0.428438, 0.471372");
-            values ( \
-              "0.0387179, 0.0404758, 0.0767079, 0.105459, 0.133026, 0.164696, 0.179643, 0.202641, 0.222398, 0.229146, 0.233942, 0.235363, 0.233957, 0.226971, 0.222333, 0.211909, 0.196729, 0.161982, 0.146125, 0.129744, 0.111944, 0.104433, 0.0950231, 0.0788775, 0.0615298, 0.0537912, 0.0493699, 0.0414982, 0.0316471, 0.0292552, 0.0249602, 0.0195518, 0.0136902, 0.0117249, 0.00856744, 0.00448459, 0.00147584, 0.000367132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0280729");
-            index_3 ("0.17664, 0.189873, 0.194797, 0.197608, 0.203229, 0.208504, 0.210318, 0.217299, 0.221984, 0.227153, 0.236213, 0.245249, 0.260388, 0.263959, 0.271101, 0.283834, 0.304004, 0.332002, 0.359528, 0.373428, 0.382525, 0.397722, 0.417263, 0.432177, 0.447987, 0.469125, 0.475597, 0.48854, 0.514428, 0.535478, 0.543268, 0.558849, 0.59001, 0.652332, 0.73226, 0.812188");
-            values ( \
-              "0.0296887, 0.145894, 0.1856, 0.204708, 0.235165, 0.254564, 0.259566, 0.272169, 0.277602, 0.280388, 0.280302, 0.276503, 0.266399, 0.263499, 0.257291, 0.244188, 0.217052, 0.172007, 0.131011, 0.113223, 0.10248, 0.0862512, 0.0685021, 0.0571757, 0.0469795, 0.0359188, 0.0330571, 0.0279721, 0.0198866, 0.0150444, 0.013561, 0.0110191, 0.00721393, 0.0029561, 0.000873327, 0.000270269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0660029");
-            index_3 ("0.18912, 0.2104, 0.217898, 0.230005, 0.231479, 0.234429, 0.250874, 0.289913, 0.351364, 0.37509, 0.398132, 0.444173, 0.507202, 0.569137, 0.619527, 0.65381, 0.70911, 0.731709, 0.766881, 0.813979, 0.857108, 0.914614, 0.962063, 1.02646, 1.10639, 1.18632, 1.34618, 1.50603");
-            values ( \
-              "0.261577, 0.274081, 0.291888, 0.305369, 0.305177, 0.306973, 0.306923, 0.295846, 0.274052, 0.263784, 0.252646, 0.224723, 0.179669, 0.13761, 0.108306, 0.0910546, 0.067921, 0.0600397, 0.0493703, 0.0377575, 0.0294423, 0.0209821, 0.0158281, 0.0107743, 0.006615, 0.00404873, 0.00151093, 0.000562125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.155181");
-            index_3 ("0.189133, 0.209354, 0.218087, 0.229659, 0.236781, 0.250003, 0.264847, 0.280394, 0.463043, 0.597428, 0.665693, 0.745621, 0.781487, 0.989583, 1.06951, 1.15145, 1.23138, 1.31131, 1.40294, 1.52409, 1.60401, 1.65721, 1.73714, 1.81706, 1.88741, 2.00883, 2.16869, 2.32854, 2.56833, 2.80811, 3.20775");
-            values ( \
-              "0.27305, 0.279747, 0.301293, 0.315911, 0.319445, 0.321457, 0.320643, 0.318797, 0.292735, 0.270557, 0.256441, 0.235958, 0.225535, 0.161315, 0.138238, 0.116765, 0.0981773, 0.0819271, 0.0661659, 0.0494125, 0.0405642, 0.0355398, 0.0290522, 0.0237182, 0.0198044, 0.0144844, 0.00956066, 0.006295, 0.0033593, 0.00178229, 0.000624516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.324519, 0.334324, 0.338871, 0.342761, 0.345879, 0.353523, 0.359402, 0.361302, 0.36445, 0.369065, 0.373501, 0.377927, 0.380626, 0.384048, 0.387314, 0.391668, 0.394372, 0.397769, 0.402297, 0.404558, 0.406457, 0.40899, 0.414054, 0.418699, 0.425266, 0.434022, 0.450058, 0.469437");
-            values ( \
-              "0.00159968, 0.0142757, 0.0231003, 0.0331507, 0.0419685, 0.0599344, 0.072444, 0.0759003, 0.0814546, 0.0870527, 0.0886936, 0.0801539, 0.0674798, 0.0526538, 0.0417721, 0.030719, 0.0255866, 0.0202021, 0.014686, 0.012572, 0.0110381, 0.00928875, 0.00661245, 0.00490462, 0.00328845, 0.00197226, 0.000790453, 0.000275516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00507851");
-            index_3 ("0.325979, 0.332833, 0.337954, 0.369278, 0.375807, 0.381915, 0.388026, 0.403773, 0.413664, 0.420667, 0.431043, 0.443087, 0.45781, 0.475978");
-            values ( \
-              "0.00931491, 0.017791, 0.0291189, 0.133558, 0.146706, 0.152658, 0.146714, 0.0839523, 0.054228, 0.0392093, 0.0238844, 0.0134005, 0.00661197, 0.00356365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119402");
-            index_3 ("0.345095, 0.361459, 0.365885, 0.370312, 0.372539, 0.374767, 0.376994, 0.379221, 0.381449, 0.383676, 0.385495, 0.387313, 0.389132, 0.393857, 0.397901, 0.40037, 0.402839, 0.405308, 0.407418, 0.409573, 0.412146, 0.415882, 0.421486, 0.428224, 0.430659, 0.435528, 0.439361, 0.444659, 0.447968, 0.451277, 0.455048, 0.458819, 0.466161, 0.471259, 0.473909, 0.476559, 0.481859, 0.484509, 0.48716, 0.492732, 0.496612, 0.498636, 0.500659, 0.50326, 0.505861, 0.508298, 0.510734, 0.516329, 0.524262, 0.530967");
-            values ( \
-              "0.127992, 0.134807, 0.154521, 0.173153, 0.18094, 0.188035, 0.194176, 0.1998, 0.204908, 0.209499, 0.212864, 0.215757, 0.218176, 0.217573, 0.216633, 0.215866, 0.214954, 0.213896, 0.210776, 0.206876, 0.201413, 0.191933, 0.17571, 0.154298, 0.146871, 0.132721, 0.122347, 0.108601, 0.100301, 0.0925506, 0.084848, 0.0776098, 0.0649866, 0.0567722, 0.052989, 0.0494553, 0.0433322, 0.0404988, 0.0378174, 0.0327101, 0.0294277, 0.027922, 0.026594, 0.0239157, 0.0205667, 0.0199864, 0.019254, 0.0169965, 0.0136148, 0.0111317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0280729");
-            index_3 ("0.347743, 0.365566, 0.372153, 0.37674, 0.381327, 0.38362, 0.385914, 0.388763, 0.391612, 0.39446, 0.397309, 0.400788, 0.404268, 0.407747, 0.412453, 0.416133, 0.420809, 0.425485, 0.430326, 0.435168, 0.444773, 0.455245, 0.456978, 0.465645, 0.474887, 0.503683, 0.506065, 0.508448, 0.51083, 0.514509, 0.538479, 0.54341, 0.55327, 0.564364, 0.570528, 0.576691, 0.585106, 0.600067, 0.606222, 0.61006, 0.617735, 0.626585, 0.63661, 0.647433, 0.659055, 0.668488, 0.677921, 0.684652, 0.698114, 0.715378");
-            values ( \
-              "0.154722, 0.16921, 0.201726, 0.22274, 0.238447, 0.245006, 0.250701, 0.255642, 0.259872, 0.263391, 0.266199, 0.267627, 0.268686, 0.269377, 0.269726, 0.269528, 0.267856, 0.26565, 0.262693, 0.259388, 0.250635, 0.242191, 0.240527, 0.229588, 0.216355, 0.171551, 0.16214, 0.160529, 0.158473, 0.154384, 0.120596, 0.114535, 0.103052, 0.0911898, 0.0850579, 0.0792344, 0.0718089, 0.0596748, 0.0551258, 0.052667, 0.047993, 0.0430832, 0.0379574, 0.0328173, 0.0280007, 0.02488, 0.0220452, 0.0202318, 0.0169723, 0.0134968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0660029");
-            index_3 ("0.342183, 0.391229, 0.401027, 0.415284, 0.42822, 0.440784, 0.460313, 0.523955, 0.569137, 0.604098, 0.740313, 0.824461, 0.902873, 0.937962, 0.984986, 1.02794, 1.08522, 1.1329, 1.19763, 1.27755, 1.35748, 1.44913");
-            values ( \
-              "0.0908186, 0.278134, 0.292092, 0.300574, 0.300711, 0.298821, 0.293276, 0.272386, 0.252625, 0.232021, 0.137492, 0.0911984, 0.059965, 0.0493369, 0.0377418, 0.029459, 0.021029, 0.0158359, 0.0107574, 0.00660955, 0.00404045, 0.00258733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.155181");
-            index_3 ("0.361674, 0.407263, 0.415789, 0.428854, 0.446478, 0.451557, 0.505658, 0.541404, 0.600122, 0.7038, 0.782738, 0.837318, 0.917246, 1.01367, 1.16118, 1.24111, 1.32305, 1.40298, 1.48291, 1.57454, 1.61669, 1.69568, 1.77561, 1.82881, 1.90874, 1.98866, 2.05901, 2.18043, 2.26036, 2.34029, 2.50014, 2.58007, 2.73993, 2.97971, 3.37935");
-            values ( \
-              "0.303684, 0.309309, 0.314013, 0.316986, 0.316803, 0.316431, 0.30968, 0.306272, 0.297913, 0.281925, 0.267969, 0.256416, 0.235966, 0.207035, 0.161323, 0.138231, 0.116761, 0.0981813, 0.0819233, 0.0661669, 0.0598327, 0.0494133, 0.0405636, 0.0355404, 0.0290518, 0.0237185, 0.0198042, 0.0144844, 0.0117793, 0.00956072, 0.00629515, 0.00511075, 0.00335907, 0.00178267, 0.00062398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.667336, 0.68368, 0.688649, 0.695732, 0.708085, 0.720346, 0.727214, 0.73345, 0.739332, 0.745197, 0.756812, 0.761863, 0.769205, 0.774482, 0.778839, 0.78205, 0.78847, 0.795787, 0.808482, 0.825408, 0.842698");
-            values ( \
-              "0.0013424, 0.00817753, 0.0117565, 0.0197071, 0.038847, 0.0533944, 0.0598823, 0.0648233, 0.0673542, 0.065411, 0.034585, 0.0250469, 0.0158818, 0.0113263, 0.0086346, 0.00708747, 0.00483589, 0.00326963, 0.00181359, 0.000904512, 0.000625448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00507851");
-            index_3 ("0.670184, 0.687723, 0.690053, 0.698813, 0.722476, 0.732612, 0.741244, 0.749046, 0.756495, 0.763937, 0.77544, 0.782641, 0.788353, 0.799108, 0.808689, 0.814434, 0.822698, 0.832354, 0.839933, 0.855091, 0.870031");
-            values ( \
-              "0.00384224, 0.0170207, 0.0197754, 0.0337634, 0.0812213, 0.0992395, 0.112834, 0.121506, 0.124158, 0.111526, 0.0736996, 0.0550855, 0.0432383, 0.0268426, 0.0173633, 0.0133404, 0.00913668, 0.00595244, 0.00426884, 0.00219577, 0.00130539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119402");
-            index_3 ("0.67466, 0.695096, 0.699683, 0.708431, 0.739411, 0.752855, 0.757448, 0.764565, 0.775698, 0.786822, 0.79349, 0.812562, 0.825673, 0.835997, 0.843568, 0.851927, 0.863072, 0.868326, 0.877432, 0.886242, 0.898576, 0.913578, 0.93358, 0.969427, 1.01159");
-            values ( \
-              "0.00781407, 0.0342691, 0.0434058, 0.063897, 0.144578, 0.174663, 0.182406, 0.191252, 0.195314, 0.183879, 0.168017, 0.116305, 0.0870438, 0.0680567, 0.0565225, 0.0457876, 0.0342863, 0.0298946, 0.0234943, 0.0185619, 0.0132749, 0.00882208, 0.0050433, 0.00170979, 0.000453544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0280729");
-            index_3 ("0.656942, 0.691089, 0.714639, 0.751422, 0.765869, 0.783369, 0.792969, 0.806424, 0.830554, 0.903736, 0.950129, 0.98527, 1.01776, 1.04231, 1.08331, 1.15536, 1.1692");
-            values ( \
-              "0.00479852, 0.0290643, 0.0850742, 0.199341, 0.232562, 0.252629, 0.255482, 0.253406, 0.236107, 0.129898, 0.0777405, 0.0509541, 0.03379, 0.0246235, 0.0143266, 0.00540283, 0.00477991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0660029");
-            index_3 ("0.690563, 0.719364, 0.743579, 0.761369, 0.769648, 0.786206, 0.810275, 0.814572, 0.831763, 0.852566, 0.886771, 0.91203, 0.940546, 0.986591, 1.04994, 1.11211, 1.16197, 1.19642, 1.24965, 1.29333, 1.33993, 1.38352, 1.40752, 1.44781, 1.50429, 1.57321, 1.65314, 1.73307, 1.813, 1.83496");
-            values ( \
-              "0.0593005, 0.105784, 0.189755, 0.243492, 0.261942, 0.283793, 0.294063, 0.293888, 0.291441, 0.285865, 0.274744, 0.264916, 0.25155, 0.224317, 0.179437, 0.13728, 0.10854, 0.0910982, 0.0689834, 0.0543484, 0.0417773, 0.0325429, 0.0283165, 0.0223595, 0.01598, 0.0105851, 0.00649645, 0.00397754, 0.00243116, 0.00217113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.155181");
-            index_3 ("0.690303, 0.740188, 0.755208, 0.775256, 0.791619, 0.807292, 0.821307, 0.842355, 0.867562, 0.929166, 1.00498, 1.0849, 1.14016, 1.20811, 1.2539, 1.32391, 1.53198, 1.61191, 1.69392, 1.77385, 1.85377, 1.94535, 1.98746, 2.06647, 2.1464, 2.19963, 2.27956, 2.35949, 2.42983, 2.55125, 2.71111, 2.87096, 3.11075, 3.35053, 3.75017");
-            values ( \
-              "0.041892, 0.182186, 0.235364, 0.283231, 0.302758, 0.310997, 0.313259, 0.313322, 0.310703, 0.302679, 0.291861, 0.279382, 0.269818, 0.256044, 0.245189, 0.225566, 0.161302, 0.138263, 0.116768, 0.0981551, 0.0819307, 0.0661627, 0.0598472, 0.0494115, 0.0405731, 0.0355351, 0.0290572, 0.0237137, 0.0198091, 0.0144887, 0.00956469, 0.0062988, 0.00335588, 0.00178557, 0.000621574" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.00190692, 0.00190837, 0.00191002, 0.00191122, 0.00191188, 0.0019122", \
-            "0.00221597, 0.00221604, 0.00221656, 0.00221733, 0.00221789, 0.0022182", \
-            "0.0024086, 0.00240869, 0.00240882, 0.00240898, 0.00240924, 0.00240948", \
-            "0.00256248, 0.00256242, 0.00256234, 0.00256225, 0.00256223, 0.00256228", \
-            "0.00271057, 0.00271051, 0.00271043, 0.00271034, 0.00270898, 0.00271025", \
-            "0.00284754, 0.00284746, 0.00284731, 0.00284711, 0.00284691, 0.00284679" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.00245065, 0.00244992, 0.00245035, 0.00245133, 0.00245211, 0.00245254", \
-            "0.00253747, 0.0025367, 0.0025356, 0.00253518, 0.0025352, 0.00253533", \
-            "0.00265556, 0.00265453, 0.00265319, 0.00265191, 0.00265114, 0.00265083", \
-            "0.00303085, 0.00303028, 0.00302852, 0.00302671, 0.00302518, 0.00302426", \
-            "0.00330009, 0.0033561, 0.00347163, 0.00354291, 0.00357995, 0.0035957", \
-            "0.00317956, 0.0031797, 0.0031795, 0.00319795, 0.00329862, 0.00337032" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0542264, 0.0574396, 0.0601073, 0.0655385, 0.071504, 0.0748285, 0.0779239, 0.0809138, 0.0838998, 0.0872066, 0.0923714, 0.0959403, 0.0992606, 0.103453, 0.106782, 0.112631, 0.114075");
-            values ( \
-              "-0.00944103, -0.03902, -0.0496913, -0.0880913, -0.112417, -0.122025, -0.128907, -0.131652, -0.128062, -0.108218, -0.0574098, -0.0321567, -0.017773, -0.00823702, -0.00443172, -0.00157817, -0.00152962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00507851");
-            index_3 ("0.0561032, 0.0598269, 0.0673299, 0.0734887, 0.0786733, 0.0833793, 0.0878265, 0.0921744, 0.0965182, 0.0990332, 0.112936, 0.117084, 0.122257, 0.127455, 0.132555");
-            values ( \
-              "-0.0285788, -0.0696503, -0.129508, -0.16558, -0.187238, -0.201428, -0.209523, -0.211555, -0.20178, -0.188466, -0.0615967, -0.0391707, -0.0215773, -0.0117194, -0.00655585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0119402");
-            index_3 ("0.0576782, 0.0714297, 0.0779603, 0.0831061, 0.0912969, 0.0988902, 0.106251, 0.113603, 0.12093, 0.122909, 0.12517, 0.145433, 0.153522, 0.161611, 0.169146, 0.173438, 0.182024, 0.192067");
-            values ( \
-              "-0.06676, -0.185081, -0.225769, -0.249318, -0.275715, -0.289844, -0.295141, -0.29115, -0.272746, -0.264846, -0.252974, -0.106265, -0.0674345, -0.0416925, -0.0261901, -0.0200224, -0.0115223, -0.00664832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0280729");
-            index_3 ("0.0572395, 0.0667042, 0.0715216, 0.0804393, 0.0843946, 0.0923051, 0.100576, 0.112967, 0.11955, 0.128328, 0.134744, 0.142077, 0.150717, 0.157747, 0.173085, 0.177644, 0.18363, 0.205303, 0.214379, 0.223741, 0.236224, 0.240854, 0.249614, 0.257821, 0.26929, 0.273861, 0.283002, 0.301284, 0.334797, 0.373917");
-            values ( \
-              "-0.0557021, -0.157988, -0.20312, -0.264331, -0.283496, -0.314597, -0.335874, -0.354329, -0.358671, -0.360808, -0.35999, -0.357182, -0.350657, -0.342967, -0.314591, -0.30135, -0.279367, -0.183785, -0.148662, -0.117791, -0.0846918, -0.0747107, -0.058641, -0.0464985, -0.0333713, -0.0292289, -0.0223451, -0.0128572, -0.0042841, -0.00111826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0660029");
-            index_3 ("0.058221, 0.0734, 0.0789214, 0.0865856, 0.0969105, 0.104045, 0.11707, 0.129692, 0.13486, 0.145196, 0.164584, 0.18651, 0.209673, 0.225309, 0.259239, 0.27108, 0.286869, 0.300548, 0.314307, 0.363581, 0.384137, 0.405495, 0.433972, 0.444124, 0.463402, 0.482117, 0.508225, 0.518733, 0.53975, 0.581785, 0.641978, 0.702171, 0.762364");
-            values ( \
-              "-0.0870021, -0.226371, -0.266737, -0.308735, -0.348045, -0.36576, -0.386498, -0.396145, -0.398295, -0.400405, -0.39982, -0.394908, -0.387095, -0.380549, -0.361295, -0.352063, -0.336377, -0.318021, -0.29395, -0.191948, -0.154958, -0.122374, -0.0876336, -0.0775578, -0.061271, -0.0484766, -0.0347299, -0.030345, -0.0231088, -0.0131719, -0.00570891, -0.00243878, -0.00106594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.155181");
-            index_3 ("0.0727416, 0.143124, 0.161146, 0.182597, 0.242748, 0.276815, 0.337008, 0.389032, 0.41639, 0.471107, 0.527523, 0.568673, 0.628866, 0.711088, 0.768466, 0.83126, 0.86798, 0.91579, 0.955418, 1.00126, 1.06145, 1.10914, 1.19652, 1.25672, 1.3771, 1.49749, 1.61788");
-            values ( \
-              "-0.375494, -0.418165, -0.421421, -0.421714, -0.415748, -0.411143, -0.402498, -0.3935, -0.388322, -0.375358, -0.357062, -0.337779, -0.293365, -0.216835, -0.168507, -0.125059, -0.10424, -0.0813539, -0.0660119, -0.051684, -0.0369927, -0.0285278, -0.0174674, -0.0122757, -0.0061185, -0.00302097, -0.00147068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0698356, 0.0726692, 0.0753217, 0.079947, 0.0830623, 0.0900868, 0.0931838, 0.0961753, 0.0991629, 0.10247, 0.111087, 0.114268, 0.118752, 0.122144, 0.122572");
-            values ( \
-              "-0.015602, -0.0393084, -0.0486488, -0.0825383, -0.0980152, -0.122124, -0.128679, -0.131744, -0.127887, -0.108103, -0.0327566, -0.0187128, -0.00813799, -0.00440787, -0.00418958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00507851");
-            index_3 ("0.0683136, 0.0846708, 0.0902857, 0.095084, 0.102016, 0.105157, 0.107409, 0.111732, 0.113133, 0.116715, 0.128121, 0.132354, 0.137516, 0.142545, 0.148293, 0.149326");
-            values ( \
-              "-0.00236604, -0.143803, -0.173002, -0.191354, -0.208165, -0.211388, -0.211273, -0.202008, -0.195154, -0.168155, -0.0618398, -0.0389722, -0.021489, -0.0119067, -0.00594372, -0.00549185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0119402");
-            index_3 ("0.0690387, 0.0868806, 0.0934544, 0.0985415, 0.10672, 0.114306, 0.121663, 0.12901, 0.136335, 0.138326, 0.1406, 0.160836, 0.168925, 0.177016, 0.184549, 0.18884, 0.197422, 0.207386");
-            values ( \
-              "-0.00728634, -0.186183, -0.226828, -0.249705, -0.276144, -0.289939, -0.295386, -0.291138, -0.272902, -0.264851, -0.252861, -0.106277, -0.0674418, -0.0416902, -0.0261917, -0.020023, -0.0115276, -0.00668814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0280729");
-            index_3 ("0.0694071, 0.0874818, 0.0950177, 0.0998284, 0.107105, 0.115999, 0.128018, 0.135694, 0.144657, 0.158703, 0.170616, 0.178185, 0.18849, 0.204053, 0.219507, 0.235594, 0.245887, 0.255205, 0.271618, 0.283957, 0.296612, 0.306279, 0.325612, 0.352525");
-            values ( \
-              "-0.0109025, -0.208487, -0.259921, -0.283749, -0.312827, -0.335955, -0.354116, -0.359116, -0.360759, -0.35673, -0.34614, -0.335634, -0.314453, -0.258323, -0.189285, -0.129252, -0.0992058, -0.077227, -0.0488874, -0.0342228, -0.0236753, -0.0177913, -0.00986475, -0.0046955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0660029");
-            index_3 ("0.0794603, 0.107824, 0.11381, 0.119423, 0.130649, 0.140877, 0.150212, 0.165222, 0.179967, 0.204379, 0.220888, 0.240666, 0.271573, 0.278915, 0.287305, 0.304086, 0.311964, 0.322467, 0.343473, 0.366479, 0.392772, 0.411478, 0.436916, 0.457181, 0.473518, 0.493496, 0.521619, 0.534115, 0.551028, 0.57358, 0.618683, 0.678876, 0.73907, 0.799263");
-            values ( \
-              "-0.215512, -0.333742, -0.352621, -0.366056, -0.384428, -0.393654, -0.398248, -0.400736, -0.399758, -0.394168, -0.388631, -0.380587, -0.363426, -0.358135, -0.351308, -0.334196, -0.32388, -0.307236, -0.265632, -0.216937, -0.166555, -0.136056, -0.101771, -0.0799276, -0.0655048, -0.0510695, -0.0356609, -0.0303857, -0.0244287, -0.018192, -0.00990194, -0.00427604, -0.00182994, -0.000793752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.155181");
-            index_3 ("0.080111, 0.110619, 0.12418, 0.149398, 0.176877, 0.224927, 0.29225, 0.404466, 0.486541, 0.542957, 0.584108, 0.644301, 0.726515, 0.783883, 0.825329, 0.883427, 0.931229, 0.970844, 1.01669, 1.07688, 1.12458, 1.21197, 1.27216, 1.33236, 1.45274, 1.63332");
-            values ( \
-              "-0.241194, -0.352733, -0.38683, -0.414382, -0.421665, -0.419669, -0.411364, -0.393711, -0.375565, -0.357264, -0.337583, -0.293551, -0.216681, -0.168682, -0.138705, -0.104083, -0.0815023, -0.0661626, -0.0515384, -0.0371406, -0.0283855, -0.017325, -0.0124158, -0.00867563, -0.00430619, -0.00160977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.107948, 0.110614, 0.113292, 0.117977, 0.121066, 0.128101, 0.1312, 0.134194, 0.137183, 0.140491, 0.145349, 0.149136, 0.15196, 0.156519, 0.161131, 0.16661, 0.171783");
-            values ( \
-              "-0.0194286, -0.0389578, -0.048132, -0.0824634, -0.0977944, -0.121993, -0.128596, -0.13166, -0.127843, -0.108071, -0.0600578, -0.0325947, -0.0198694, -0.00853286, -0.00367603, -0.00152476, -0.00136851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00507851");
-            index_3 ("0.109887, 0.116554, 0.122726, 0.128336, 0.131988, 0.136687, 0.141133, 0.14548, 0.149825, 0.152329, 0.161747, 0.166246, 0.170393, 0.175566, 0.180769, 0.186715, 0.193521");
-            values ( \
-              "-0.0532492, -0.093103, -0.143518, -0.1727, -0.187254, -0.201261, -0.209444, -0.211471, -0.201752, -0.188513, -0.0968495, -0.0615903, -0.0391739, -0.0215798, -0.0117102, -0.00570069, -0.00285451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0119402");
-            index_3 ("0.11173, 0.124709, 0.130986, 0.136619, 0.144788, 0.15237, 0.159723, 0.167068, 0.174391, 0.176389, 0.178672, 0.198891, 0.20698, 0.215072, 0.222604, 0.226895, 0.235475, 0.244059");
-            values ( \
-              "-0.0741977, -0.185231, -0.224284, -0.250185, -0.276247, -0.290191, -0.295378, -0.291298, -0.272853, -0.264847, -0.252805, -0.10628, -0.0674414, -0.0416863, -0.02619, -0.0200251, -0.011528, -0.00735968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0280729");
-            index_3 ("0.103539, 0.137986, 0.145702, 0.154122, 0.166758, 0.172561, 0.18277, 0.196838, 0.210944, 0.221105, 0.226596, 0.237578, 0.254667, 0.27574, 0.292666, 0.301937, 0.314298, 0.326722, 0.346812, 0.373598, 0.387128");
-            values ( \
-              "-0.00864328, -0.28491, -0.31482, -0.336496, -0.354813, -0.358625, -0.360894, -0.356488, -0.343603, -0.327004, -0.314483, -0.277611, -0.201542, -0.122481, -0.0783584, -0.0606998, -0.042687, -0.0297999, -0.0164437, -0.00708685, -0.00522986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0660029");
-            index_3 ("0.112359, 0.127193, 0.133537, 0.140247, 0.1504, 0.157652, 0.170832, 0.183709, 0.197906, 0.218157, 0.231474, 0.248143, 0.278255, 0.297828, 0.310834, 0.336845, 0.345015, 0.361355, 0.418019, 0.439658, 0.455569, 0.472213, 0.494303, 0.513945, 0.540134, 0.572485, 0.592462, 0.632415, 0.692608, 0.752801, 0.812994");
-            values ( \
-              "-0.0904379, -0.230285, -0.27479, -0.310495, -0.348433, -0.366523, -0.386914, -0.396647, -0.400486, -0.399848, -0.397155, -0.392342, -0.380953, -0.370849, -0.362636, -0.340395, -0.330877, -0.306092, -0.190542, -0.151925, -0.12748, -0.105358, -0.0810012, -0.0637495, -0.0458875, -0.0303433, -0.0234077, -0.0137576, -0.00595029, -0.00255815, -0.00109992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.155181");
-            index_3 ("0.122774, 0.148219, 0.162318, 0.175865, 0.186713, 0.1967, 0.214634, 0.236343, 0.26306, 0.330383, 0.390576, 0.4426, 0.524675, 0.581091, 0.622241, 0.682435, 0.764659, 0.822041, 0.863455, 0.921544, 0.969356, 1.00899, 1.05483, 1.11503, 1.16271, 1.25009, 1.31028, 1.37048, 1.49086, 1.67144");
-            values ( \
-              "-0.336577, -0.350972, -0.387151, -0.405965, -0.414001, -0.418244, -0.421535, -0.42167, -0.419517, -0.411208, -0.402434, -0.393567, -0.375427, -0.357132, -0.337706, -0.293442, -0.216745, -0.168588, -0.138772, -0.104151, -0.0814455, -0.0661023, -0.0515909, -0.0370843, -0.0284338, -0.0173736, -0.0123697, -0.00872291, -0.00435247, -0.00156506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.195341, 0.19923, 0.202892, 0.205678, 0.209573, 0.214098, 0.218172, 0.223047, 0.226141, 0.229221, 0.232583, 0.238073, 0.242488, 0.246362, 0.249274, 0.253156, 0.254457");
-            values ( \
-              "-0.0191528, -0.026621, -0.0380066, -0.0502674, -0.0763124, -0.0957364, -0.110819, -0.123926, -0.127333, -0.125408, -0.106708, -0.054402, -0.0262486, -0.0129438, -0.00752676, -0.004, -0.00336924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00507851");
-            index_3 ("0.196252, 0.202806, 0.214917, 0.222732, 0.228334, 0.232007, 0.234696, 0.237329, 0.241741, 0.244202, 0.258252, 0.262462, 0.267652, 0.272792, 0.27734");
-            values ( \
-              "-0.0291142, -0.0534789, -0.135871, -0.17596, -0.195244, -0.203574, -0.207189, -0.20741, -0.199858, -0.187094, -0.0614768, -0.0389192, -0.0214494, -0.0117379, -0.00714005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0119402");
-            index_3 ("0.197056, 0.205278, 0.21826, 0.222734, 0.228261, 0.236656, 0.244354, 0.251785, 0.259166, 0.266544, 0.268485, 0.291077, 0.299172, 0.307268, 0.314803, 0.327679, 0.33389");
-            values ( \
-              "-0.0373853, -0.0811805, -0.184872, -0.214251, -0.240929, -0.271333, -0.286183, -0.293505, -0.289343, -0.272375, -0.264315, -0.106202, -0.0674068, -0.0416763, -0.0261885, -0.0115333, -0.00850904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0280729");
-            index_3 ("0.191183, 0.207955, 0.215071, 0.221725, 0.22818, 0.233531, 0.243216, 0.248139, 0.25407, 0.259587, 0.270622, 0.27379, 0.280127, 0.287881, 0.302033, 0.306771, 0.311824, 0.317675, 0.329376, 0.344809, 0.355433, 0.364348, 0.368938, 0.378116, 0.386684, 0.396684, 0.410016, 0.418284, 0.43096, 0.447862, 0.481666, 0.521651");
-            values ( \
-              "-0.00600565, -0.114617, -0.177991, -0.23138, -0.269907, -0.294677, -0.326402, -0.337248, -0.346939, -0.352953, -0.359023, -0.35932, -0.358821, -0.355669, -0.343228, -0.336318, -0.327487, -0.314325, -0.274617, -0.205682, -0.161913, -0.130417, -0.116131, -0.0913076, -0.0724146, -0.054846, -0.0373796, -0.0294197, -0.0202811, -0.0121758, -0.00401035, -0.00100238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0660029");
-            index_3 ("0.191376, 0.240545, 0.249525, 0.26236, 0.275357, 0.2908, 0.310267, 0.332983, 0.371004, 0.401967, 0.416882, 0.432792, 0.445793, 0.459758, 0.509248, 0.551207, 0.589831, 0.627778, 0.664428, 0.68548, 0.727583, 0.787776, 0.800895");
-            values ( \
-              "-0.0200609, -0.336002, -0.361805, -0.383821, -0.395091, -0.399904, -0.399525, -0.394695, -0.380482, -0.363474, -0.351963, -0.336124, -0.318722, -0.294419, -0.192002, -0.122358, -0.0775528, -0.0485011, -0.030348, -0.0230967, -0.0131554, -0.00569852, -0.00498782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.155181");
-            index_3 ("0.215294, 0.247035, 0.266747, 0.278262, 0.288757, 0.306345, 0.326869, 0.388447, 0.482676, 0.5347, 0.562058, 0.616774, 0.673191, 0.714341, 0.774534, 0.85676, 0.914144, 0.955552, 1.01364, 1.06145, 1.10109, 1.14693, 1.20712, 1.25481, 1.34218, 1.40237, 1.52276, 1.64315, 1.76353");
-            values ( \
-              "-0.344874, -0.366187, -0.402678, -0.412363, -0.417535, -0.421073, -0.421677, -0.415758, -0.402512, -0.393494, -0.388326, -0.375355, -0.357063, -0.337774, -0.293376, -0.216802, -0.168527, -0.138831, -0.104211, -0.0813887, -0.0660447, -0.0516485, -0.037027, -0.0284918, -0.017432, -0.0123132, -0.00615576, -0.00305821, -0.00150803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.38363, 0.390541, 0.396394, 0.402346, 0.405999, 0.409956, 0.415501, 0.420242, 0.424497, 0.428448, 0.432276, 0.436277, 0.442595, 0.446291, 0.448423, 0.451267, 0.453907, 0.455882, 0.45983, 0.466569, 0.471319");
-            values ( \
-              "-0.00991566, -0.0163193, -0.0233001, -0.0388261, -0.0526478, -0.0634343, -0.0768312, -0.087286, -0.0954581, -0.101119, -0.102525, -0.090988, -0.0483624, -0.0284814, -0.0203865, -0.0127219, -0.00822347, -0.00593632, -0.00312151, -0.00116914, -0.000832834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00507851");
-            index_3 ("0.386206, 0.394275, 0.398074, 0.401013, 0.406087, 0.408233, 0.412526, 0.417548, 0.424683, 0.430795, 0.436312, 0.441503, 0.44663, 0.451751, 0.452967, 0.460299, 0.464241, 0.466374, 0.468836, 0.472117, 0.475118, 0.47671, 0.479895, 0.486265, 0.488976");
-            values ( \
-              "-0.0187542, -0.0328677, -0.0419625, -0.0481148, -0.0690945, -0.0798913, -0.0973564, -0.115627, -0.140459, -0.159028, -0.172408, -0.179689, -0.177443, -0.15521, -0.146824, -0.0856498, -0.0592202, -0.047808, -0.0369892, -0.0259402, -0.0186297, -0.0155558, -0.0109145, -0.00520682, -0.00425078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0119402");
-            index_3 ("0.372695, 0.389312, 0.396892, 0.406911, 0.423399, 0.429803, 0.43988, 0.448719, 0.456958, 0.464989, 0.473161, 0.477686, 0.498127, 0.507065, 0.516888, 0.522314, 0.530414, 0.53478");
-            values ( \
-              "-0.0112065, -0.0245841, -0.0467689, -0.0828102, -0.165949, -0.194705, -0.231964, -0.254596, -0.266758, -0.269388, -0.256498, -0.239317, -0.104654, -0.0638202, -0.0358119, -0.0258807, -0.0157618, -0.0125871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0280729");
-            index_3 ("0.389054, 0.404528, 0.430649, 0.449724, 0.465765, 0.480759, 0.49546, 0.505659, 0.517847, 0.525903, 0.53385, 0.576245, 0.59257, 0.609348, 0.621606, 0.637501, 0.658695, 0.669334");
-            values ( \
-              "-0.0440107, -0.0866704, -0.225659, -0.298466, -0.331041, -0.34362, -0.34474, -0.338848, -0.326239, -0.310633, -0.287999, -0.119145, -0.0773669, -0.0487467, -0.0339548, -0.0212591, -0.011356, -0.00889401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0660029");
-            index_3 ("0.389393, 0.415307, 0.433132, 0.443378, 0.453393, 0.472359, 0.486375, 0.502218, 0.522513, 0.533492, 0.547282, 0.574075, 0.586146, 0.610112, 0.625856, 0.644254, 0.679167, 0.713823, 0.750301, 0.773907, 0.794641, 0.811757, 0.831551, 0.859534, 0.88842, 0.910514, 0.9547, 1.01489, 1.02532");
-            values ( \
-              "-0.0356126, -0.147834, -0.250882, -0.296923, -0.329769, -0.368373, -0.382221, -0.38921, -0.392809, -0.392744, -0.390512, -0.381938, -0.376476, -0.362551, -0.350325, -0.330855, -0.270019, -0.197555, -0.134433, -0.102671, -0.0801633, -0.0650735, -0.0508729, -0.0355606, -0.0245259, -0.0183931, -0.0101304, -0.00438943, -0.00408013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.155181");
-            index_3 ("0.389192, 0.435852, 0.458002, 0.472085, 0.494449, 0.521296, 0.545867, 0.591257, 0.629162, 0.697964, 0.768737, 0.82893, 0.869331, 0.921045, 0.981238, 1.06355, 1.16225, 1.2203, 1.26816, 1.35371, 1.4139, 1.46153, 1.54878, 1.60897, 1.78955, 1.97013");
-            values ( \
-              "-0.0283666, -0.270963, -0.352649, -0.381363, -0.403936, -0.414914, -0.418666, -0.415904, -0.411204, -0.401056, -0.388257, -0.373937, -0.361118, -0.337717, -0.293479, -0.216694, -0.138799, -0.104194, -0.0814585, -0.0515816, -0.0370755, -0.0284394, -0.0173904, -0.0123778, -0.00435868, -0.00156372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.804487, 0.821176, 0.82511, 0.832115, 0.839172, 0.852587, 0.864924, 0.870326, 0.87552, 0.880705, 0.895867, 0.900801, 0.905447, 0.910757, 0.919053, 0.927691");
-            values ( \
-              "-0.00397007, -0.0123143, -0.0154549, -0.0230159, -0.0366865, -0.0559456, -0.0696819, -0.0740488, -0.0760083, -0.0711935, -0.0164313, -0.00810767, -0.00415242, -0.00199197, -0.00087017, -0.000775912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00507851");
-            index_3 ("0.808108, 0.825728, 0.83069, 0.838554, 0.854317, 0.872393, 0.879806, 0.886657, 0.893259, 0.900525, 0.912878, 0.918137, 0.924732, 0.929352, 0.933011, 0.940329, 0.951558, 1.00896");
-            values ( \
-              "-0.00964887, -0.0256796, -0.0324776, -0.0477314, -0.0841471, -0.11755, -0.129074, -0.137336, -0.140358, -0.125392, -0.0578089, -0.0363317, -0.0191038, -0.0119966, -0.00824976, -0.00385393, -0.00129352, -0.000151561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0119402");
-            index_3 ("0.808065, 0.824069, 0.832731, 0.840036, 0.8707, 0.883879, 0.895052, 0.905063, 0.914448, 0.923721, 0.93298, 0.946998, 0.954115, 0.962476, 0.966855, 0.973035, 0.979515, 0.984494, 0.994453, 1.00477");
-            values ( \
-              "-0.0128837, -0.0334801, -0.0469307, -0.0623703, -0.14648, -0.179808, -0.205484, -0.223859, -0.233971, -0.230338, -0.200874, -0.118426, -0.0836487, -0.0534068, -0.0417247, -0.0290745, -0.0198265, -0.0147065, -0.00793657, -0.00476811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0280729");
-            index_3 ("0.785623, 0.825695, 0.836094, 0.849959, 0.895881, 0.91468, 0.921705, 0.931593, 0.936866, 0.947411, 0.963087, 0.978754, 0.982538, 0.995513, 1.01077, 1.02742, 1.04727, 1.06358, 1.07606, 1.08891, 1.09873, 1.11701");
-            values ( \
-              "-0.00677058, -0.038493, -0.0605398, -0.0968272, -0.242615, -0.288911, -0.301076, -0.313743, -0.318453, -0.323867, -0.321499, -0.302321, -0.294265, -0.2509, -0.187296, -0.127487, -0.0763616, -0.0487306, -0.0341083, -0.0235374, -0.01764, -0.0102849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0660029");
-            index_3 ("0.825362, 0.862502, 0.899012, 0.914728, 0.931019, 0.946372, 0.968373, 0.993363, 1.01192, 1.03091, 1.06242, 1.07588, 1.09024, 1.09781, 1.11296, 1.13531, 1.17165, 1.19313, 1.20865, 1.21582, 1.23629, 1.25497, 1.27022, 1.30072, 1.32543, 1.33892, 1.36591, 1.41989, 1.48009, 1.54028, 1.60047");
-            values ( \
-              "-0.0775382, -0.14621, -0.275195, -0.317709, -0.348381, -0.366239, -0.379381, -0.382778, -0.380068, -0.374413, -0.359191, -0.349547, -0.336526, -0.327974, -0.30613, -0.263065, -0.189012, -0.151172, -0.127525, -0.117632, -0.0932859, -0.0747302, -0.0620385, -0.0422105, -0.0307814, -0.0258666, -0.0181608, -0.00868057, -0.00374015, -0.00160274, -0.00069215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.155181");
-            index_3 ("0.825579, 0.869753, 0.901514, 0.922223, 0.944641, 0.967596, 0.991755, 1.01402, 1.04438, 1.08215, 1.15155, 1.25428, 1.29642, 1.32308, 1.37526, 1.43546, 1.51778, 1.57523, 1.61647, 1.67451, 1.72238, 1.76211, 1.80793, 1.86812, 1.91575, 2.00299, 2.06318, 2.12337, 2.24376, 2.42434");
-            values ( \
-              "-0.0754909, -0.177559, -0.293255, -0.347657, -0.382793, -0.401387, -0.4097, -0.411852, -0.411002, -0.40733, -0.397888, -0.380786, -0.370015, -0.361252, -0.337735, -0.293467, -0.216695, -0.16848, -0.138807, -0.104203, -0.0814547, -0.0660732, -0.0515854, -0.0370698, -0.028444, -0.017396, -0.0123747, -0.00873748, -0.00436305, -0.00155993" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.00170657, 0.00170964, 0.00171213, 0.00171363, 0.00171439, 0.00171474", \
-            "0.00190906, 0.00191065, 0.00191255, 0.001914, 0.00191484, 0.00191525", \
-            "0.00202242, 0.00202193, 0.00202244, 0.00202312, 0.00202369, 0.00202401", \
-            "0.00208909, 0.00208908, 0.00208912, 0.00208911, 0.00208936, 0.00208955", \
-            "0.00212958, 0.00212954, 0.0021295, 0.00212948, 0.00212954, 0.00212962", \
-            "0.00215459, 0.00215459, 0.0021546, 0.00215464, 0.0021547, 0.00215477" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.0022419, 0.002246, 0.00225015, 0.00225323, 0.00225491, 0.00225571", \
-            "0.00238246, 0.00238293, 0.00238394, 0.00238571, 0.00238703, 0.00238776", \
-            "0.00251259, 0.00251495, 0.00251263, 0.00251153, 0.00251135, 0.00251145", \
-            "0.00273806, 0.00273394, 0.0027286, 0.00272378, 0.00272084, 0.00271946", \
-            "0.00309269, 0.00311347, 0.00312278, 0.0031341, 0.00314033, 0.00314335", \
-            "0.00375067, 0.00374255, 0.00371459, 0.00381515, 0.00392955, 0.00398438" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.00533014, 0.00544186, 0.00553108, 0.0055476, 0.00552688, 0.00550402", \
-            "0.00523047, 0.0053338, 0.00542805, 0.00545717, 0.00543854, 0.00541604", \
-            "0.00506762, 0.00515525, 0.00525414, 0.00531286, 0.00531652, 0.00530084", \
-            "0.00495218, 0.00500301, 0.00507606, 0.00516095, 0.00521118, 0.00521161", \
-            "0.0051508, 0.00516281, 0.00520283, 0.00528467, 0.00532798, 0.0053451", \
-            "0.00606082, 0.00600155, 0.00597753, 0.00600744, 0.00605856, 0.00603993" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00507851, 0.0119402, 0.0280729, 0.0660029, 0.155181");
-          values ( \
-            "0.00902055, 0.00912276, 0.00917206, 0.00915585, 0.00912943, 0.00911288", \
-            "0.00892796, 0.00901087, 0.00906978, 0.00907358, 0.00905287, 0.0090365", \
-            "0.00877902, 0.00887162, 0.00895769, 0.00898926, 0.00898356, 0.00897351", \
-            "0.00870966, 0.00885648, 0.0089276, 0.0089726, 0.00901884, 0.00901904", \
-            "0.00904686, 0.00908485, 0.00924664, 0.00928904, 0.00931876, 0.00931006", \
-            "0.0102483, 0.01018, 0.0101984, 0.0103115, 0.0102973, 0.0102651" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00284648;
-      rise_capacitance : 0.00284648;
-      rise_capacitance_range (0.00217171, 0.00284648);
-      fall_capacitance : 0.00280813;
-      fall_capacitance_range (0.00200318, 0.00280813);
-    }
-  }
-  cell (BUFX4) {
-    area : 12.21;
-    cell_footprint : "BUF";
-    cell_leakage_power : 0.0704632;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.034133;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.106793;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0704632;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.285631;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.0834534, 0.0930683, 0.113192, 0.157711, 0.268424, 0.560326", \
-            "0.0872164, 0.0968478, 0.116998, 0.161549, 0.272254, 0.564117", \
-            "0.0966802, 0.106285, 0.126424, 0.170919, 0.281771, 0.573647", \
-            "0.119552, 0.129146, 0.14901, 0.193634, 0.304397, 0.596298", \
-            "0.152584, 0.16389, 0.185766, 0.231518, 0.342404, 0.634337", \
-            "0.179313, 0.193216, 0.219556, 0.268956, 0.380403, 0.672193" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.0243169, 0.033156, 0.0554646, 0.115701, 0.281345, 0.726715", \
-            "0.024335, 0.0331524, 0.0555264, 0.115743, 0.281364, 0.726715", \
-            "0.0242921, 0.0331449, 0.055513, 0.115734, 0.281442, 0.726716", \
-            "0.0252028, 0.0338072, 0.0560539, 0.115915, 0.281376, 0.726716", \
-            "0.0321986, 0.0407467, 0.0613993, 0.118772, 0.282132, 0.726745", \
-            "0.0423656, 0.0525943, 0.0732853, 0.126597, 0.28484, 0.727492" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.0954899, 0.104569, 0.122808, 0.158617, 0.236771, 0.432797", \
-            "0.100303, 0.109359, 0.127589, 0.163427, 0.241674, 0.438035", \
-            "0.112669, 0.121702, 0.13992, 0.175804, 0.254138, 0.450522", \
-            "0.144043, 0.152993, 0.171108, 0.206982, 0.285304, 0.48167", \
-            "0.210892, 0.220701, 0.24013, 0.277182, 0.354835, 0.55124", \
-            "0.31966, 0.331644, 0.355434, 0.398077, 0.480391, 0.677785" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.0225989, 0.0294291, 0.0441265, 0.079014, 0.171807, 0.42971", \
-            "0.0225985, 0.0294318, 0.0441618, 0.0790254, 0.171864, 0.429702", \
-            "0.0225928, 0.0294301, 0.0441173, 0.0789106, 0.17185, 0.429707", \
-            "0.0226934, 0.0295893, 0.0443673, 0.0791373, 0.171895, 0.429705", \
-            "0.0274198, 0.0343052, 0.0485613, 0.0817549, 0.173063, 0.429863", \
-            "0.038615, 0.0466372, 0.0624984, 0.0942438, 0.180358, 0.432129" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0626847, 0.0671256, 0.0725669, 0.0791081, 0.0834471, 0.0873393, 0.0910202, 0.0946542, 0.0982852, 0.104225, 0.108246, 0.111681, 0.118608, 0.122438, 0.126587, 0.130991, 0.137973, 0.150605, 0.175897");
-            values ( \
-              "0.00436998, 0.0307139, 0.057274, 0.0834092, 0.0959385, 0.103862, 0.107369, 0.106874, 0.0969153, 0.0568518, 0.0392735, 0.0293411, 0.0167748, 0.0123843, 0.00904724, 0.00657358, 0.00412096, 0.00211436, 0.000892612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00573759");
-            index_3 ("0.0637452, 0.0697228, 0.081378, 0.0848835, 0.0905271, 0.0956509, 0.100564, 0.105498, 0.108032, 0.118731, 0.122825, 0.128282, 0.136576, 0.141755, 0.148317, 0.153007, 0.163154, 0.174163, 0.186744, 0.209416, 0.220504");
-            values ( \
-              "0.00196213, 0.0672601, 0.151796, 0.171027, 0.195205, 0.207989, 0.212576, 0.206247, 0.194587, 0.111829, 0.0882291, 0.064068, 0.039453, 0.0290365, 0.0198351, 0.0151932, 0.00874107, 0.00508663, 0.00285281, 0.00110878, 0.000933828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0152405");
-            index_3 ("0.0642905, 0.0860605, 0.0961273, 0.0989301, 0.104691, 0.110445, 0.11277, 0.116764, 0.120771, 0.128799, 0.13402, 0.148295, 0.159356, 0.168197, 0.177814, 0.183649, 0.193665, 0.198256, 0.205779, 0.216643, 0.22875, 0.238164, 0.256994, 0.279493");
-            values ( \
-              "0.0112219, 0.240868, 0.304511, 0.316271, 0.333753, 0.342771, 0.344293, 0.343946, 0.339828, 0.315544, 0.285288, 0.193869, 0.139431, 0.105253, 0.0769821, 0.0633678, 0.0452026, 0.0387181, 0.0299592, 0.0206846, 0.0137895, 0.0100548, 0.00532958, 0.00282445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0404825");
-            index_3 ("0.0639834, 0.0938121, 0.0994685, 0.109519, 0.116876, 0.131591, 0.132922, 0.135584, 0.140909, 0.148781, 0.16637, 0.172878, 0.181554, 0.204498, 0.226334, 0.246964, 0.263308, 0.278183, 0.296205, 0.315613, 0.328707, 0.351878, 0.37151, 0.393776, 0.423464, 0.48284, 0.554204");
-            values ( \
-              "0.00758091, 0.343744, 0.381633, 0.427788, 0.447062, 0.462197, 0.462222, 0.462021, 0.459851, 0.45361, 0.426389, 0.410634, 0.385145, 0.305125, 0.233345, 0.177608, 0.141055, 0.113577, 0.0866306, 0.0641021, 0.0522181, 0.0360972, 0.0262674, 0.0182871, 0.0111461, 0.00384534, 0.00099104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.107532");
-            index_3 ("0.0760492, 0.0893672, 0.104306, 0.115952, 0.124333, 0.139681, 0.155139, 0.172199, 0.197331, 0.211652, 0.244578, 0.27587, 0.308244, 0.428569, 0.472894, 0.503596, 0.542948, 0.573316, 0.604558, 0.646394, 0.684563, 0.735455, 0.777824, 0.835293, 0.911919, 0.993456, 1.15653, 1.23807");
-            values ( \
-              "0.254597, 0.3268, 0.442649, 0.493259, 0.514544, 0.533629, 0.53775, 0.533592, 0.521072, 0.51212, 0.488457, 0.460325, 0.422279, 0.251231, 0.198366, 0.166861, 0.132105, 0.109791, 0.0904654, 0.0691097, 0.053948, 0.0386283, 0.028981, 0.0196724, 0.0117071, 0.00652676, 0.00203872, 0.00126152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.285631");
-            index_3 ("0.0761475, 0.105445, 0.121751, 0.135109, 0.150204, 0.165393, 0.180052, 0.205373, 0.237416, 0.412256, 0.463094, 0.544631, 0.614794, 0.683507, 0.892495, 0.974032, 1.04555, 1.12709, 1.20863, 1.28116, 1.34764, 1.42487, 1.50641, 1.63642, 1.78641, 1.90491, 2.06037, 2.22345, 2.38652, 2.71267, 3.03882");
-            values ( \
-              "0.238201, 0.464472, 0.532705, 0.558995, 0.572431, 0.576777, 0.577176, 0.573505, 0.566779, 0.522486, 0.507719, 0.480969, 0.451227, 0.415865, 0.297524, 0.253789, 0.218965, 0.183803, 0.15241, 0.128608, 0.109687, 0.0912373, 0.0741274, 0.0533276, 0.0362178, 0.0265788, 0.0176311, 0.0114085, 0.0073452, 0.00298033, 0.00114144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0773422, 0.0812639, 0.0934789, 0.0978228, 0.101722, 0.105406, 0.109042, 0.112675, 0.118617, 0.126074, 0.132996, 0.140983, 0.152368, 0.164993, 0.177126");
-            values ( \
-              "0.0186906, 0.0288272, 0.0831377, 0.0958899, 0.103701, 0.107368, 0.106772, 0.0969392, 0.0568363, 0.0293328, 0.0167791, 0.00904328, 0.00411954, 0.00211416, 0.00152544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00573759");
-            index_3 ("0.0803988, 0.0859399, 0.092515, 0.0993295, 0.104972, 0.110095, 0.115008, 0.119942, 0.122506, 0.133192, 0.137396, 0.142928, 0.150429, 0.158795, 0.169969, 0.177188, 0.189324, 0.205505, 0.220201");
-            values ( \
-              "0.043901, 0.0789735, 0.130607, 0.171093, 0.195228, 0.208019, 0.212591, 0.20629, 0.19441, 0.111727, 0.0875739, 0.0633046, 0.0408586, 0.0249347, 0.0131596, 0.0089383, 0.0048953, 0.00233197, 0.0017603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0152405");
-            index_3 ("0.0812286, 0.0887975, 0.0940324, 0.102287, 0.105901, 0.110483, 0.119093, 0.127181, 0.135201, 0.143216, 0.148489, 0.162609, 0.173979, 0.18306, 0.188668, 0.197731, 0.205635, 0.212928, 0.220358, 0.231152, 0.242965, 0.252121, 0.270431, 0.295387");
-            values ( \
-              "0.0136449, 0.131493, 0.184626, 0.254226, 0.278955, 0.303495, 0.334038, 0.344409, 0.340393, 0.315587, 0.285082, 0.194502, 0.138537, 0.103731, 0.0865068, 0.0640567, 0.0490857, 0.0383677, 0.02978, 0.0206149, 0.0138787, 0.0102059, 0.00551119, 0.00261686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0404825");
-            index_3 ("0.0817214, 0.0949048, 0.103299, 0.109762, 0.122117, 0.131274, 0.145618, 0.147299, 0.15687, 0.16318, 0.175801, 0.187436, 0.197639, 0.234161, 0.250542, 0.270643, 0.287804, 0.296854, 0.313923, 0.331342, 0.346399, 0.361698, 0.383653, 0.412363, 0.450644, 0.512303, 0.586385");
-            values ( \
-              "0.00540855, 0.215859, 0.302845, 0.355333, 0.421288, 0.447059, 0.462126, 0.462161, 0.458862, 0.453563, 0.436042, 0.410118, 0.37965, 0.254169, 0.205766, 0.156133, 0.121875, 0.106635, 0.0823841, 0.062864, 0.0496208, 0.0388668, 0.0272306, 0.0170501, 0.00891828, 0.00292115, 0.000702088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.107532");
-            index_3 ("0.0820808, 0.103783, 0.11868, 0.126932, 0.138745, 0.153467, 0.169496, 0.186699, 0.211737, 0.257803, 0.290285, 0.323886, 0.442826, 0.518232, 0.557449, 0.587583, 0.618884, 0.660774, 0.699068, 0.750125, 0.792326, 0.849561, 0.925874, 1.00741, 1.08975");
-            values ( \
-              "0.0297418, 0.327162, 0.4422, 0.480888, 0.514415, 0.533251, 0.537634, 0.53345, 0.521104, 0.489495, 0.460382, 0.420626, 0.251408, 0.166602, 0.132094, 0.109949, 0.090467, 0.0691811, 0.0539723, 0.0385097, 0.0290189, 0.0197473, 0.0116911, 0.00660335, 0.00478818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.285631");
-            index_3 ("0.0957335, 0.137331, 0.149821, 0.165514, 0.180399, 0.194768, 0.221101, 0.252645, 0.42696, 0.47781, 0.559347, 0.629511, 0.698223, 0.907211, 0.988748, 1.06027, 1.14181, 1.22334, 1.29588, 1.36236, 1.43959, 1.52112, 1.65113, 1.80113, 1.91963, 2.07509, 2.23817, 2.40124, 2.72739, 3.05354");
-            values ( \
-              "0.378954, 0.535389, 0.558956, 0.572848, 0.576799, 0.577195, 0.573312, 0.566665, 0.522489, 0.50772, 0.480963, 0.451213, 0.415882, 0.297497, 0.253818, 0.218998, 0.183767, 0.152445, 0.128645, 0.109724, 0.0912001, 0.074164, 0.0533637, 0.0362533, 0.0266134, 0.0176648, 0.0114414, 0.00737728, 0.00301094, 0.00117062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.113106, 0.116573, 0.128449, 0.132779, 0.136665, 0.140343, 0.143974, 0.147602, 0.153537, 0.160991, 0.167934, 0.175888, 0.187277, 0.199927, 0.217577");
-            values ( \
-              "0.0205107, 0.0310077, 0.0834339, 0.096311, 0.10379, 0.107645, 0.106758, 0.0971259, 0.056896, 0.029355, 0.0167607, 0.0090575, 0.00412394, 0.00211393, 0.00125665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00573759");
-            index_3 ("0.114513, 0.120349, 0.134354, 0.139991, 0.145115, 0.150027, 0.155, 0.157523, 0.168221, 0.178011, 0.185224, 0.195545, 0.205695, 0.212287, 0.223402, 0.238223, 0.24316");
-            values ( \
-              "0.0423809, 0.0749647, 0.170949, 0.195545, 0.207851, 0.212838, 0.206105, 0.194433, 0.111656, 0.0630697, 0.0413993, 0.0225565, 0.0126442, 0.00889745, 0.00512288, 0.00258371, 0.0022421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0152405");
-            index_3 ("0.118548, 0.130377, 0.140877, 0.145546, 0.15415, 0.162235, 0.170252, 0.178266, 0.19763, 0.209088, 0.223671, 0.240612, 0.258107, 0.279628, 0.29651, 0.310287");
-            values ( \
-              "0.0831767, 0.196993, 0.278256, 0.304255, 0.333744, 0.344958, 0.340018, 0.316043, 0.194648, 0.138295, 0.0866428, 0.0491938, 0.0271237, 0.0131247, 0.00739439, 0.00517709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0404825");
-            index_3 ("0.117353, 0.129847, 0.143964, 0.148756, 0.158338, 0.16614, 0.182154, 0.191832, 0.198034, 0.210437, 0.222719, 0.232492, 0.268981, 0.292225, 0.305525, 0.322668, 0.331691, 0.3487, 0.366173, 0.381288, 0.396574, 0.418518, 0.447189, 0.485417, 0.546727, 0.619801");
-            values ( \
-              "0.0154357, 0.217997, 0.350804, 0.382654, 0.426335, 0.447577, 0.462472, 0.459045, 0.453377, 0.436636, 0.409167, 0.379442, 0.254107, 0.187591, 0.156195, 0.121727, 0.106574, 0.0823966, 0.0629638, 0.0496686, 0.0387741, 0.0273013, 0.0171328, 0.00885545, 0.00302295, 0.00065257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.107532");
-            index_3 ("0.127574, 0.140911, 0.15197, 0.16495, 0.173825, 0.188927, 0.204796, 0.221375, 0.253482, 0.284924, 0.325416, 0.350935, 0.369997, 0.451378, 0.485781, 0.529507, 0.579479, 0.628109, 0.658146, 0.697485, 0.731073, 0.768801, 0.822482, 0.878505, 0.921447, 1.00298, 1.08452, 1.16606, 1.32913");
-            values ( \
-              "0.331806, 0.347865, 0.432508, 0.491466, 0.514305, 0.533444, 0.537665, 0.533637, 0.516942, 0.495532, 0.46041, 0.430945, 0.405378, 0.287165, 0.241659, 0.190828, 0.142787, 0.106311, 0.0881109, 0.0684798, 0.0550865, 0.0430024, 0.0299988, 0.0206087, 0.0154331, 0.00873712, 0.0049725, 0.00278637, 0.000877883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.285631");
-            index_3 ("0.127673, 0.154804, 0.170887, 0.184449, 0.199422, 0.214664, 0.229377, 0.28682, 0.461578, 0.593955, 0.66412, 0.732832, 0.941819, 1.02336, 1.09488, 1.17642, 1.25795, 1.33049, 1.39697, 1.4742, 1.55573, 1.68574, 1.83574, 1.95424, 2.1097, 2.27278, 2.43585, 2.762, 3.08815");
-            values ( \
-              "0.293454, 0.465231, 0.532685, 0.559061, 0.572575, 0.57671, 0.577282, 0.566835, 0.522541, 0.481012, 0.451254, 0.415844, 0.297526, 0.253792, 0.21898, 0.183783, 0.152429, 0.128639, 0.109721, 0.0912021, 0.074162, 0.0533631, 0.0362535, 0.0266148, 0.017667, 0.0114443, 0.00738089, 0.00301558, 0.00117605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.191828, 0.198882, 0.206159, 0.216759, 0.220854, 0.224674, 0.228399, 0.232122, 0.238218, 0.243756, 0.251439, 0.260281, 0.27031, 0.287789, 0.303561");
-            values ( \
-              "0.00337751, 0.024926, 0.05527, 0.0900852, 0.0994157, 0.104341, 0.104582, 0.0956623, 0.0557274, 0.0337915, 0.0182135, 0.00919563, 0.00456804, 0.00182505, 0.00123041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00573759");
-            index_3 ("0.193563, 0.204113, 0.210333, 0.218141, 0.223128, 0.225868, 0.229309, 0.234323, 0.239336, 0.244325, 0.247438, 0.251854, 0.258894, 0.264271, 0.267065, 0.275628, 0.27755, 0.279854, 0.289071, 0.296712, 0.309528, 0.326616, 0.349143, 0.378282");
-            values ( \
-              "0.00821776, 0.0705191, 0.11412, 0.161287, 0.184973, 0.194688, 0.202749, 0.20951, 0.203751, 0.17817, 0.149934, 0.1162, 0.077481, 0.0566552, 0.0481325, 0.0289195, 0.0258512, 0.0226008, 0.013277, 0.00874165, 0.00453851, 0.00239303, 0.000688667, 0.000521939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0152405");
-            index_3 ("0.194569, 0.199478, 0.203713, 0.21539, 0.224301, 0.229207, 0.238063, 0.246273, 0.254369, 0.262456, 0.2826, 0.291661, 0.297269, 0.304572, 0.310265, 0.316401, 0.327343, 0.332674, 0.343334, 0.351537, 0.361099, 0.373849, 0.399348, 0.406826");
-            values ( \
-              "0.0390616, 0.0565995, 0.0863887, 0.191198, 0.263459, 0.292029, 0.327858, 0.340215, 0.33842, 0.314006, 0.189962, 0.145349, 0.122025, 0.0964893, 0.0801385, 0.0653853, 0.0452224, 0.037733, 0.0262247, 0.019836, 0.0143889, 0.00938857, 0.00386654, 0.00327091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0404825");
-            index_3 ("0.199297, 0.221478, 0.232543, 0.24073, 0.250244, 0.264265, 0.270501, 0.282238, 0.289081, 0.29877, 0.316805, 0.343434, 0.364529, 0.389956, 0.411019, 0.421445, 0.450336, 0.465766, 0.486339, 0.504282, 0.528666, 0.561179, 0.621426, 0.692208");
-            values ( \
-              "0.0462314, 0.28251, 0.370965, 0.414128, 0.442866, 0.460521, 0.46061, 0.453235, 0.444371, 0.428615, 0.379866, 0.286214, 0.219773, 0.155382, 0.114434, 0.0979458, 0.0626665, 0.0491069, 0.0358432, 0.0262541, 0.0175489, 0.0106857, 0.00318653, 0.0012374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.107532");
-            index_3 ("0.210208, 0.226472, 0.237549, 0.248859, 0.257848, 0.272916, 0.287887, 0.307625, 0.331006, 0.369097, 0.393449, 0.441699, 0.562229, 0.606556, 0.637257, 0.686731, 0.738218, 0.780055, 0.818224, 0.869116, 0.911484, 0.968952, 1.04558, 1.12711, 1.21785");
-            values ( \
-              "0.308121, 0.34797, 0.432234, 0.486152, 0.510841, 0.531995, 0.536801, 0.532427, 0.521068, 0.495697, 0.475934, 0.422582, 0.251259, 0.198369, 0.166855, 0.12441, 0.0904549, 0.0691215, 0.0539629, 0.0386131, 0.028997, 0.0196905, 0.0116893, 0.00654542, 0.0045783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.285631");
-            index_3 ("0.221269, 0.268606, 0.284411, 0.299333, 0.313653, 0.338526, 0.370806, 0.498122, 0.596707, 0.678244, 0.748409, 0.817121, 1.02611, 1.13149, 1.26071, 1.34224, 1.43694, 1.55848, 1.64002, 1.77003, 1.85157, 2.00156, 2.19399, 2.4386, 2.60167, 2.77861");
-            values ( \
-              "0.530689, 0.55585, 0.571135, 0.576378, 0.576591, 0.57376, 0.566561, 0.535234, 0.507998, 0.480681, 0.450924, 0.416176, 0.297191, 0.241876, 0.183451, 0.152761, 0.122087, 0.0908894, 0.0744739, 0.0536713, 0.0433209, 0.0293545, 0.0179649, 0.00923763, 0.00595717, 0.00456215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.353188, 0.36064, 0.365925, 0.369452, 0.37416, 0.38637, 0.392308, 0.400543, 0.405583, 0.410409, 0.415226, 0.425136, 0.429603, 0.436547, 0.441545, 0.446493, 0.457863, 0.465363, 0.479541, 0.50027");
-            values ( \
-              "0.00346751, 0.00620288, 0.0101796, 0.0143484, 0.0223015, 0.0519408, 0.0626499, 0.07453, 0.0792856, 0.082271, 0.0792959, 0.0387152, 0.026994, 0.0162732, 0.0112822, 0.00802588, 0.00394102, 0.00273149, 0.00156441, 0.000817272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00573759");
-            index_3 ("0.351442, 0.366599, 0.369036, 0.373395, 0.378006, 0.38974, 0.396117, 0.404032, 0.410842, 0.417038, 0.423005, 0.428955, 0.435125, 0.438129, 0.443113, 0.448452, 0.454226, 0.457779, 0.462579, 0.467023, 0.472405, 0.480451, 0.48502, 0.492384, 0.502203, 0.510491, 0.512647");
-            values ( \
-              "0.00629971, 0.0192147, 0.0237958, 0.0352699, 0.0502778, 0.0962744, 0.118122, 0.142977, 0.16066, 0.171949, 0.175295, 0.160665, 0.119534, 0.101951, 0.0778555, 0.0581345, 0.0423676, 0.0347333, 0.0265315, 0.0207579, 0.0154744, 0.0101263, 0.00810965, 0.00578379, 0.00379659, 0.00245117, 0.0022989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0152405");
-            index_3 ("0.375127, 0.390023, 0.405183, 0.409674, 0.41192, 0.416411, 0.419971, 0.422163, 0.4254, 0.426884, 0.429851, 0.432095, 0.434339, 0.436582, 0.438826, 0.441069, 0.443311, 0.445554, 0.447871, 0.450096, 0.453656, 0.457217, 0.46218, 0.466434, 0.472943, 0.476251, 0.479191, 0.482131, 0.486235, 0.488287, 0.490339, 0.495466, 0.499, 0.500414, 0.503241, 0.504571, 0.5059, 0.50723, 0.508559, 0.509889, 0.511219, 0.514198, 0.516577, 0.518955, 0.522805, 0.526655, 0.529432, 0.534988, 0.537765, 0.542292");
-            values ( \
-              "0.126733, 0.130208, 0.211225, 0.233174, 0.243243, 0.262399, 0.276655, 0.284797, 0.295474, 0.299761, 0.307228, 0.307116, 0.30666, 0.305861, 0.304718, 0.303232, 0.301403, 0.29923, 0.296548, 0.287436, 0.269562, 0.249858, 0.220148, 0.196423, 0.163335, 0.147381, 0.135326, 0.123996, 0.109394, 0.102622, 0.0962032, 0.0814485, 0.0727163, 0.0695369, 0.0637155, 0.0577177, 0.0505427, 0.0501906, 0.0495758, 0.0486981, 0.0475575, 0.0440465, 0.0405309, 0.0373353, 0.0330524, 0.0291832, 0.0267108, 0.0222876, 0.0203368, 0.0175136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0404825");
-            index_3 ("0.369903, 0.385058, 0.393292, 0.412859, 0.42219, 0.433396, 0.450824, 0.467479, 0.484124, 0.492467, 0.505447, 0.528497, 0.550275, 0.564286, 0.57696, 0.593427, 0.606434, 0.623775, 0.637302, 0.648343, 0.663064, 0.687106, 0.698235, 0.714524, 0.736243, 0.77968, 0.847034, 0.928572");
-            values ( \
-              "0.0722842, 0.120121, 0.170891, 0.301798, 0.354465, 0.400369, 0.436087, 0.439728, 0.422002, 0.404602, 0.367232, 0.288543, 0.22049, 0.183058, 0.153509, 0.121023, 0.0998022, 0.076587, 0.0620751, 0.0522048, 0.0413069, 0.0279635, 0.023358, 0.0179225, 0.0125292, 0.0059491, 0.00170095, 0.000334818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.107532");
-            index_3 ("0.370259, 0.429219, 0.441721, 0.461434, 0.479903, 0.498496, 0.505996, 0.536848, 0.588562, 0.640174, 0.70771, 0.758365, 0.813007, 0.85963, 0.885782, 0.931736, 0.969064, 1.03758, 1.0919, 1.15043, 1.19557, 1.27711, 1.35865, 1.38008");
-            values ( \
-              "0.0487282, 0.423932, 0.474356, 0.515004, 0.526319, 0.524281, 0.521839, 0.50692, 0.467461, 0.405559, 0.306833, 0.238745, 0.177106, 0.134652, 0.114924, 0.0862896, 0.0679636, 0.0434248, 0.0302046, 0.0204123, 0.0150126, 0.00853597, 0.00481932, 0.00447405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.285631");
-            index_3 ("0.39857, 0.442811, 0.47055, 0.48517, 0.505218, 0.525182, 0.558172, 0.68343, 0.816227, 0.886885, 1.00261, 1.29166, 1.44869, 1.53023, 1.62134, 1.7419, 1.82344, 1.95533, 2.10533, 2.22385, 2.37936, 2.54243, 2.78704, 2.93978");
-            values ( \
-              "0.43651, 0.5017, 0.557211, 0.567421, 0.570868, 0.570455, 0.565643, 0.535078, 0.497273, 0.47166, 0.415914, 0.254612, 0.182553, 0.151658, 0.122514, 0.0914695, 0.0746831, 0.0535316, 0.0364187, 0.0267764, 0.0178254, 0.011602, 0.00609035, 0.00481617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.696114, 0.729866, 0.737444, 0.742102, 0.746928, 0.764996, 0.773392, 0.78072, 0.787488, 0.793981, 0.800385, 0.806784, 0.815587, 0.820795, 0.830217, 0.837309, 0.842986, 0.853784, 0.863275, 0.895462");
-            values ( \
-              "0.000785774, 0.00456336, 0.00879742, 0.0119423, 0.0165532, 0.0423427, 0.0503546, 0.0557003, 0.0589836, 0.0610274, 0.0605389, 0.0517337, 0.0267203, 0.0188597, 0.00989323, 0.0061991, 0.00433061, 0.00239957, 0.00173997, 0.000991651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00573759");
-            index_3 ("0.697592, 0.729789, 0.736204, 0.74022, 0.748089, 0.768059, 0.773443, 0.784028, 0.793168, 0.801478, 0.809316, 0.817097, 0.824867, 0.831093, 0.836463, 0.842449, 0.845835, 0.852608, 0.856434, 0.861755, 0.867674, 0.876705, 0.881997, 0.890702, 0.902309, 0.924993");
-            values ( \
-              "0.00200877, 0.00988184, 0.0159004, 0.0205361, 0.0319985, 0.0779969, 0.0887068, 0.106509, 0.119675, 0.12892, 0.134519, 0.131351, 0.103555, 0.0765843, 0.058831, 0.0439517, 0.0370529, 0.0260495, 0.0214063, 0.0162862, 0.012094, 0.00781764, 0.00623067, 0.00444487, 0.00301555, 0.00157568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152405");
-            index_3 ("0.701221, 0.729749, 0.739124, 0.741538, 0.746368, 0.75424, 0.784715, 0.801844, 0.810263, 0.816769, 0.82781, 0.83846, 0.849079, 0.857539, 0.867917, 0.873542, 0.883456, 0.893431, 0.901516, 0.911151, 0.916619, 0.927557, 0.938225, 0.943927, 0.955332, 0.978142, 0.984073");
-            values ( \
-              "0.00498407, 0.0177633, 0.0310536, 0.0354271, 0.044601, 0.0641257, 0.156831, 0.204742, 0.225751, 0.239849, 0.257035, 0.259536, 0.230984, 0.190812, 0.145901, 0.124731, 0.0932198, 0.0687294, 0.0532114, 0.0390705, 0.0327674, 0.0229444, 0.0163197, 0.0136527, 0.00953902, 0.00468256, 0.0041474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404825");
-            index_3 ("0.729592, 0.753988, 0.75586, 0.767092, 0.773593, 0.806642, 0.819716, 0.840312, 0.858873, 0.877031, 0.895154, 0.900796, 0.907245, 0.917781, 0.938854, 0.953383, 0.962756, 0.981503, 0.99021, 0.996021, 1.00377, 1.01594, 1.03196, 1.03639, 1.04525, 1.06107, 1.08346, 1.09346, 1.10701, 1.12509, 1.16124, 1.216, 1.23953, 1.29845");
-            values ( \
-              "0.040556, 0.0789703, 0.0847256, 0.122617, 0.146671, 0.277585, 0.325531, 0.381518, 0.403533, 0.400871, 0.369106, 0.353295, 0.333566, 0.299449, 0.233684, 0.194263, 0.171293, 0.13169, 0.116151, 0.106674, 0.0951208, 0.0791459, 0.0618741, 0.0577507, 0.0503168, 0.0391636, 0.0273416, 0.0232919, 0.0187225, 0.0139326, 0.00761795, 0.00289686, 0.00191472, 0.000796025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.107532");
-            index_3 ("0.729633, 0.771765, 0.828036, 0.849537, 0.87048, 0.899359, 0.908886, 0.927936, 0.947632, 0.986722, 0.998641, 1.03327, 1.10818, 1.14842, 1.21595, 1.24713, 1.28615, 1.31177, 1.35883, 1.40899, 1.44387, 1.49232, 1.54886, 1.62425, 1.70578, 1.76969");
-            values ( \
-              "0.0332905, 0.150547, 0.400223, 0.464544, 0.496757, 0.509013, 0.507498, 0.500877, 0.489995, 0.459567, 0.447144, 0.403934, 0.295907, 0.242385, 0.167521, 0.139995, 0.110657, 0.0943778, 0.0698782, 0.0504748, 0.0400986, 0.0289949, 0.0198286, 0.0117941, 0.00668552, 0.00441111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.285631");
-            index_3 ("0.770968, 0.815796, 0.840586, 0.85849, 0.887349, 0.906173, 0.927686, 0.954671, 0.981846, 1.07626, 1.17516, 1.25292, 1.33375, 1.39571, 1.60465, 1.68618, 1.7577, 1.83923, 1.92077, 2.01547, 2.13702, 2.21856, 2.34856, 2.49855, 2.61705, 2.77251, 2.93559, 3.1802, 3.42481, 3.75096");
-            values ( \
-              "0.315548, 0.367049, 0.46892, 0.515332, 0.553179, 0.560984, 0.563334, 0.560786, 0.555798, 0.533385, 0.506629, 0.481963, 0.447806, 0.416012, 0.297323, 0.253991, 0.219181, 0.183591, 0.152628, 0.122221, 0.0910212, 0.0743413, 0.0535406, 0.0364291, 0.0267875, 0.0178378, 0.0116128, 0.00608275, 0.00317835, 0.00133546" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.00194247, 0.00194337, 0.00194482, 0.00194606, 0.00194675, 0.00194706", \
-            "0.00225997, 0.00225992, 0.00226021, 0.00226089, 0.00226146, 0.00226177", \
-            "0.00242919, 0.00242914, 0.00242919, 0.00242927, 0.00242954, 0.00242973", \
-            "0.00255117, 0.0025511, 0.00255095, 0.00255078, 0.00255068, 0.00255068", \
-            "0.00266753, 0.00266751, 0.00266747, 0.00266738, 0.00266726, 0.00266718", \
-            "0.00277914, 0.00277911, 0.00277905, 0.00277894, 0.00277879, 0.00277867" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.00250199, 0.00250089, 0.00250045, 0.00250102, 0.00250168, 0.00250205", \
-            "0.00248974, 0.00248915, 0.00248795, 0.00248696, 0.00248662, 0.00248658", \
-            "0.00250847, 0.00250808, 0.00250773, 0.00250702, 0.00250623, 0.00250583", \
-            "0.00274219, 0.00274169, 0.00274073, 0.00273922, 0.00273767, 0.00273664", \
-            "0.00310588, 0.00317107, 0.00322897, 0.00326386, 0.00327724, 0.00327854", \
-            "0.0032731, 0.00327171, 0.00326281, 0.00328115, 0.00342467, 0.00349516" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0713449, 0.0751229, 0.0759752, 0.0776797, 0.0802635, 0.0836381, 0.0852003, 0.0883248, 0.0911156, 0.0947398, 0.0956502, 0.0974712, 0.0996234, 0.103277, 0.106747, 0.110144, 0.113538, 0.114737, 0.119373, 0.121167, 0.122445, 0.123559, 0.125043, 0.127733, 0.128943, 0.130723, 0.133097, 0.137845, 0.14082");
-            values ( \
-              "-0.0186866, -0.024384, -0.0235849, -0.0262178, -0.0313743, -0.0415555, -0.0499746, -0.0682642, -0.0781553, -0.0903768, -0.092563, -0.0977246, -0.102599, -0.1101, -0.114355, -0.114888, -0.10331, -0.0940614, -0.0533005, -0.0400978, -0.0322863, -0.0265105, -0.0201811, -0.0120283, -0.00957908, -0.00688623, -0.004487, -0.00207081, -0.00160659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00573759");
-            index_3 ("0.0707517, 0.0835598, 0.0920312, 0.0997102, 0.107419, 0.112151, 0.116675, 0.12113, 0.125582, 0.137276, 0.142149, 0.148515, 0.153781, 0.158133");
-            values ( \
-              "-0.00494091, -0.0752264, -0.13767, -0.179415, -0.210846, -0.224725, -0.232929, -0.231256, -0.205023, -0.0682278, -0.0361507, -0.0150419, -0.0071958, -0.00465081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0152405");
-            index_3 ("0.0776317, 0.0835941, 0.0859578, 0.102183, 0.107496, 0.115965, 0.123509, 0.130544, 0.137356, 0.14416, 0.149025, 0.166014, 0.173934, 0.178863, 0.182166, 0.186027, 0.191174, 0.201469, 0.201919");
-            values ( \
-              "-0.0827491, -0.112018, -0.12773, -0.26491, -0.300869, -0.347832, -0.379758, -0.399365, -0.407451, -0.394581, -0.3621, -0.150835, -0.0854978, -0.0584917, -0.0451277, -0.0332278, -0.021916, -0.00921461, -0.00901089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0404825");
-            index_3 ("0.0792482, 0.0897414, 0.109887, 0.127117, 0.141133, 0.154003, 0.166435, 0.178792, 0.191145, 0.194372, 0.206121, 0.225013, 0.232421, 0.24604, 0.259565, 0.272266, 0.279502, 0.293976, 0.309065");
-            values ( \
-              "-0.106911, -0.187028, -0.377852, -0.490592, -0.549827, -0.581028, -0.593613, -0.586653, -0.551114, -0.535076, -0.442281, -0.268589, -0.213685, -0.135781, -0.0843252, -0.0529771, -0.0404815, -0.0232668, -0.0144587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.107532");
-            index_3 ("0.0727561, 0.114758, 0.125861, 0.13343, 0.148566, 0.163699, 0.185539, 0.202049, 0.217683, 0.244207, 0.250087, 0.271866, 0.279004, 0.293279, 0.301236, 0.316419, 0.363659, 0.382524, 0.396592, 0.410808, 0.429707, 0.446368, 0.468582, 0.496781, 0.514189, 0.549006, 0.609015, 0.669024, 0.729033");
-            values ( \
-              "-0.0106417, -0.450649, -0.534277, -0.578515, -0.646213, -0.688126, -0.720948, -0.729416, -0.729363, -0.717614, -0.712544, -0.687505, -0.676405, -0.648061, -0.627602, -0.575406, -0.362366, -0.288216, -0.240722, -0.199254, -0.153413, -0.121144, -0.0876086, -0.0575878, -0.044246, -0.0257945, -0.00951157, -0.00351873, -0.00125959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.285631");
-            index_3 ("0.0814894, 0.120884, 0.141997, 0.163075, 0.178136, 0.2006, 0.222639, 0.243374, 0.275476, 0.307657, 0.359787, 0.399618, 0.440286, 0.500295, 0.530252, 0.570195, 0.585161, 0.615092, 0.666696, 0.713724, 0.767471, 0.819706, 0.847579, 0.903326, 0.930388, 0.961927, 1.00398, 1.06399, 1.14265, 1.20266, 1.26267, 1.38268, 1.5027, 1.62272");
-            values ( \
-              "-0.152363, -0.518013, -0.649902, -0.726717, -0.759754, -0.786786, -0.799305, -0.802517, -0.801603, -0.79505, -0.781538, -0.768359, -0.752187, -0.722875, -0.703159, -0.668036, -0.651852, -0.610042, -0.518433, -0.429263, -0.337311, -0.261239, -0.226981, -0.16861, -0.145593, -0.12237, -0.096898, -0.0684265, -0.0432769, -0.0306094, -0.0212001, -0.0102812, -0.00493477, -0.00232975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0866152, 0.0913241, 0.0925659, 0.0931869, 0.0938078, 0.0947157, 0.0956237, 0.0964458, 0.0972679, 0.09809, 0.0989121, 0.101386, 0.10386, 0.10582, 0.107382, 0.110107, 0.112813, 0.11444, 0.115896, 0.117722, 0.118636, 0.119503, 0.120371, 0.121238, 0.122106, 0.122955, 0.123804, 0.124653, 0.125503, 0.126351, 0.127199, 0.128048, 0.128896, 0.129067, 0.12941, 0.130096, 0.131466, 0.133835, 0.134731, 0.135628, 0.136525, 0.137896, 0.138917, 0.139289, 0.140402, 0.141075, 0.141747, 0.142419, 0.143092, 0.144895");
-            values ( \
-              "-0.0089233, -0.0241567, -0.0257873, -0.0267464, -0.0278013, -0.0297407, -0.0319725, -0.0342454, -0.036758, -0.0395102, -0.0425021, -0.0562049, -0.0691696, -0.0762129, -0.0815529, -0.0902941, -0.0978303, -0.102011, -0.105448, -0.109288, -0.11102, -0.112007, -0.112739, -0.113217, -0.11344, -0.113411, -0.113138, -0.112621, -0.11186, -0.110205, -0.108047, -0.105384, -0.102218, -0.101393, -0.0991399, -0.0936973, -0.0818865, -0.0604879, -0.0528369, -0.0461443, -0.0400118, -0.0316874, -0.0259202, -0.0242561, -0.0197592, -0.0175027, -0.015451, -0.0136043, -0.0119623, -0.00841113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00573759");
-            index_3 ("0.0892074, 0.0923425, 0.0944159, 0.0985628, 0.099185, 0.102918, 0.104747, 0.10761, 0.112089, 0.113757, 0.117094, 0.119572, 0.123067, 0.127819, 0.132322, 0.136778, 0.141231, 0.142082, 0.143218, 0.147352, 0.148943, 0.15066, 0.152928, 0.155012, 0.157792, 0.160214, 0.161533, 0.164172, 0.169449, 0.172504");
-            values ( \
-              "-0.042988, -0.0528365, -0.0554201, -0.0718407, -0.0751555, -0.0977465, -0.114595, -0.137216, -0.162859, -0.171453, -0.187207, -0.197697, -0.210661, -0.224807, -0.232758, -0.231317, -0.204889, -0.196175, -0.182813, -0.128109, -0.108607, -0.089584, -0.0682484, -0.0524303, -0.0361541, -0.0259989, -0.0216519, -0.0150612, -0.00714671, -0.00538804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0152405");
-            index_3 ("0.0924494, 0.0956292, 0.0989342, 0.0998918, 0.101169, 0.103637, 0.105401, 0.108931, 0.112586, 0.117725, 0.122967, 0.131455, 0.139007, 0.146047, 0.152863, 0.15967, 0.15979, 0.159949, 0.160267, 0.160904, 0.162177, 0.164505, 0.167154, 0.172451, 0.176372, 0.177565, 0.179951, 0.184724, 0.186479, 0.186951, 0.187896, 0.189787, 0.192933, 0.197362, 0.199234, 0.202977, 0.210464, 0.216181");
-            values ( \
-              "-0.0779666, -0.0955348, -0.110308, -0.116559, -0.12498, -0.142883, -0.158241, -0.189776, -0.22556, -0.264789, -0.300127, -0.347477, -0.379347, -0.399199, -0.407188, -0.394528, -0.393595, -0.392745, -0.391203, -0.387954, -0.380409, -0.362293, -0.333883, -0.26218, -0.209997, -0.195131, -0.16759, -0.120651, -0.106431, -0.102812, -0.095951, -0.083361, -0.0655946, -0.046334, -0.0399728, -0.0296312, -0.0160027, -0.0112262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0404825");
-            index_3 ("0.0947835, 0.104233, 0.125827, 0.14245, 0.156469, 0.16934, 0.181774, 0.194132, 0.206486, 0.209711, 0.221461, 0.240354, 0.247763, 0.261382, 0.274906, 0.287608, 0.294846, 0.309322, 0.328837");
-            values ( \
-              "-0.108439, -0.179247, -0.381292, -0.490513, -0.54956, -0.58107, -0.593424, -0.586747, -0.550962, -0.535065, -0.442276, -0.268584, -0.213676, -0.135774, -0.0843257, -0.0529742, -0.0404801, -0.0232608, -0.0118746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.107532");
-            index_3 ("0.10009, 0.114957, 0.130249, 0.141471, 0.14891, 0.163788, 0.179171, 0.200993, 0.21755, 0.233151, 0.259865, 0.271665, 0.283846, 0.305044, 0.316696, 0.340001, 0.368624, 0.40254, 0.417058, 0.436416, 0.450543, 0.467019, 0.488986, 0.505718, 0.522666, 0.545264, 0.590459, 0.650468, 0.710478");
-            values ( \
-              "-0.217285, -0.303804, -0.451367, -0.535198, -0.579008, -0.645216, -0.688462, -0.72075, -0.729231, -0.729601, -0.717266, -0.706728, -0.692414, -0.656324, -0.627579, -0.541195, -0.40846, -0.27241, -0.225638, -0.173513, -0.142457, -0.112607, -0.0815582, -0.0635964, -0.0493336, -0.0349488, -0.0170451, -0.00626015, -0.00231072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.285631");
-            index_3 ("0.10189, 0.143971, 0.158048, 0.179049, 0.194108, 0.216768, 0.238679, 0.259315, 0.290775, 0.323594, 0.388999, 0.45622, 0.51623, 0.526214, 0.546183, 0.586121, 0.601094, 0.631041, 0.682632, 0.729638, 0.783359, 0.835654, 0.86356, 0.919373, 0.946324, 0.97773, 1.0196, 1.07961, 1.10613, 1.15916, 1.21917, 1.27918, 1.33919, 1.3992, 1.51922, 1.63924");
-            values ( \
-              "-0.236369, -0.572374, -0.652175, -0.728153, -0.760313, -0.787617, -0.799302, -0.802883, -0.801466, -0.795323, -0.777108, -0.752439, -0.722622, -0.716485, -0.702916, -0.668276, -0.651629, -0.610225, -0.518242, -0.429459, -0.337223, -0.261359, -0.226788, -0.168642, -0.145711, -0.12257, -0.0969544, -0.0686588, -0.0589929, -0.0432344, -0.0303956, -0.0212317, -0.0148955, -0.0103495, -0.00502026, -0.00242286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.123701, 0.129209, 0.132157, 0.13613, 0.142424, 0.147938, 0.152823, 0.156476, 0.159945, 0.163341, 0.166734, 0.172569, 0.176755, 0.18093, 0.183917, 0.186288, 0.191031, 0.197862, 0.205857");
-            values ( \
-              "-0.00448224, -0.0237862, -0.0286154, -0.0390201, -0.0727132, -0.0904394, -0.102723, -0.110036, -0.114466, -0.114817, -0.103409, -0.0533172, -0.0265122, -0.0120301, -0.00688683, -0.00449244, -0.00207205, -0.00100728, -0.000847152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00573759");
-            index_3 ("0.124472, 0.138703, 0.147213, 0.154263, 0.15826, 0.165476, 0.169995, 0.174451, 0.178903, 0.186567, 0.190586, 0.195536, 0.197911, 0.201793, 0.206968, 0.214844, 0.229218");
-            values ( \
-              "-0.00753046, -0.0859347, -0.15085, -0.18547, -0.201813, -0.224841, -0.232771, -0.23136, -0.204901, -0.109129, -0.0683111, -0.0358339, -0.0258608, -0.0151271, -0.00734379, -0.00261518, -0.00135298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0152405");
-            index_3 ("0.125465, 0.155459, 0.160614, 0.168327, 0.176609, 0.183655, 0.189522, 0.192318, 0.196046, 0.199669, 0.204725, 0.221771, 0.228025, 0.235197, 0.2468, 0.251481");
-            values ( \
-              "-0.00242833, -0.266162, -0.300553, -0.34413, -0.379387, -0.3992, -0.406786, -0.406059, -0.398325, -0.380756, -0.333825, -0.125636, -0.0794737, -0.0455197, -0.0178479, -0.0133118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0404825");
-            index_3 ("0.125157, 0.173941, 0.180307, 0.193038, 0.196804, 0.207154, 0.217809, 0.223119, 0.230199, 0.235251, 0.244682, 0.250075, 0.259186, 0.278208, 0.28283, 0.29133, 0.298385, 0.311031, 0.320915, 0.331348, 0.339367, 0.355406, 0.37585");
-            values ( \
-              "-0.0193524, -0.454975, -0.491426, -0.545749, -0.557527, -0.580968, -0.592628, -0.593406, -0.588569, -0.579837, -0.548879, -0.518419, -0.442468, -0.267682, -0.232479, -0.176926, -0.139474, -0.0894763, -0.0623805, -0.0424723, -0.031445, -0.0169147, -0.00846369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.107532");
-            index_3 ("0.140167, 0.153245, 0.168388, 0.179338, 0.186984, 0.202275, 0.217205, 0.239071, 0.25546, 0.271167, 0.297686, 0.309801, 0.322107, 0.342806, 0.354709, 0.378515, 0.425167, 0.445876, 0.456607, 0.47807, 0.500997, 0.517427, 0.540607, 0.550306, 0.569703, 0.608499, 0.668508, 0.728517, 0.788526");
-            values ( \
-              "-0.28372, -0.308688, -0.453477, -0.53566, -0.580078, -0.647765, -0.688759, -0.721211, -0.729484, -0.729573, -0.717567, -0.706626, -0.692107, -0.656864, -0.627591, -0.538984, -0.329662, -0.254505, -0.221115, -0.164929, -0.119317, -0.0939745, -0.0665875, -0.057603, -0.0429662, -0.0234113, -0.00864922, -0.00317213, -0.00116117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.285631");
-            index_3 ("0.141992, 0.179166, 0.194657, 0.206646, 0.218955, 0.232353, 0.255025, 0.276936, 0.297562, 0.329621, 0.361843, 0.42725, 0.494471, 0.564466, 0.584437, 0.62438, 0.669278, 0.821645, 0.873895, 0.901778, 0.957543, 1.01608, 1.05808, 1.11809, 1.14439, 1.197, 1.25701, 1.31702, 1.43704, 1.55706, 1.67707");
-            values ( \
-              "-0.276367, -0.552689, -0.645316, -0.695032, -0.732151, -0.759853, -0.787544, -0.799143, -0.802891, -0.801328, -0.795358, -0.777144, -0.752465, -0.716499, -0.702902, -0.668284, -0.61027, -0.337136, -0.26141, -0.226791, -0.168755, -0.12255, -0.0967903, -0.0686148, -0.0589464, -0.0433858, -0.0304274, -0.0213295, -0.0104204, -0.00507821, -0.00247468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.214752, 0.221213, 0.225844, 0.22937, 0.234159, 0.240672, 0.243293, 0.249206, 0.252687, 0.256092, 0.259493, 0.265342, 0.269515, 0.27369, 0.276704, 0.279104, 0.283904, 0.290726, 0.309247");
-            values ( \
-              "-0.00278277, -0.0213061, -0.0299342, -0.0401415, -0.0671892, -0.0897799, -0.0969026, -0.109644, -0.114153, -0.114568, -0.103239, -0.0531799, -0.0265269, -0.0120435, -0.00686567, -0.00445698, -0.00204362, -0.00100237, -0.000634719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00573759");
-            index_3 ("0.214963, 0.228952, 0.232898, 0.238411, 0.248108, 0.258041, 0.262581, 0.267048, 0.271512, 0.283206, 0.28816, 0.294422, 0.299602, 0.307367, 0.316001");
-            values ( \
-              "-0.00194518, -0.0711601, -0.0946362, -0.13981, -0.189671, -0.224039, -0.232066, -0.23087, -0.204541, -0.0682734, -0.0357857, -0.0151279, -0.0073238, -0.00267272, -0.00189637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0152405");
-            index_3 ("0.221042, 0.233452, 0.24773, 0.253186, 0.261745, 0.26934, 0.276409, 0.283247, 0.290075, 0.294427, 0.307993, 0.314425, 0.321058, 0.328607, 0.335194, 0.342723, 0.355237");
-            values ( \
-              "-0.0599656, -0.134804, -0.25937, -0.296701, -0.34495, -0.377523, -0.397765, -0.406164, -0.393772, -0.366049, -0.194987, -0.127046, -0.0782553, -0.0434414, -0.0256989, -0.0138398, -0.00498465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0404825");
-            index_3 ("0.225653, 0.235247, 0.255954, 0.266272, 0.272694, 0.28676, 0.299662, 0.312113, 0.324481, 0.336845, 0.340047, 0.343706, 0.351813, 0.367932, 0.378293, 0.390955, 0.403718, 0.413574, 0.423902, 0.431828, 0.447682, 0.476264, 0.5101");
-            values ( \
-              "-0.121841, -0.182222, -0.377376, -0.45102, -0.488224, -0.548138, -0.580176, -0.592838, -0.586374, -0.550731, -0.534993, -0.511661, -0.442268, -0.291605, -0.212626, -0.139726, -0.0892772, -0.0622979, -0.0425814, -0.0316416, -0.0171479, -0.00515812, -0.00119802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.107532");
-            index_3 ("0.235121, 0.260689, 0.279437, 0.294016, 0.309744, 0.331161, 0.337096, 0.348966, 0.363739, 0.390265, 0.402378, 0.414684, 0.435392, 0.447291, 0.47109, 0.517769, 0.538452, 0.549169, 0.570603, 0.593633, 0.610138, 0.642891, 0.662207, 0.700838, 0.760848, 0.771333");
-            values ( \
-              "-0.308371, -0.449021, -0.577778, -0.642931, -0.688068, -0.719962, -0.724521, -0.729199, -0.729519, -0.71732, -0.706567, -0.692063, -0.656837, -0.627574, -0.539015, -0.329582, -0.254527, -0.221176, -0.165043, -0.119226, -0.093797, -0.0576021, -0.0430207, -0.0235044, -0.00868504, -0.00772392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.285631");
-            index_3 ("0.23546, 0.280609, 0.305698, 0.325052, 0.346871, 0.369214, 0.390248, 0.422151, 0.454528, 0.50577, 0.587156, 0.647165, 0.677121, 0.717062, 0.761969, 0.914329, 0.966579, 1.05022, 1.10877, 1.15077, 1.21078, 1.28966, 1.40968, 1.5297, 1.53294");
-            values ( \
-              "-0.31056, -0.607586, -0.715261, -0.760424, -0.786627, -0.799323, -0.802652, -0.801601, -0.795111, -0.78175, -0.752248, -0.72281, -0.703086, -0.668112, -0.610106, -0.337249, -0.261318, -0.168668, -0.122476, -0.0968531, -0.0685407, -0.0433257, -0.0212672, -0.0103575, -0.0102502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.413905, 0.432935, 0.437777, 0.445791, 0.454314, 0.463701, 0.467835, 0.47183, 0.475818, 0.486761, 0.491984, 0.496303, 0.499968, 0.51063");
-            values ( \
-              "-0.000165447, -0.0195742, -0.0267088, -0.0532891, -0.0739921, -0.0913975, -0.0964663, -0.0986156, -0.0912239, -0.0242782, -0.00976323, -0.00469714, -0.00267181, -0.000903645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00573759");
-            index_3 ("0.415673, 0.436751, 0.442076, 0.450736, 0.461857, 0.468005, 0.473545, 0.478709, 0.483723, 0.488728, 0.497289, 0.503088, 0.508041, 0.514269, 0.519547, 0.528322, 0.547844");
-            values ( \
-              "-0.00071838, -0.048193, -0.0656038, -0.112693, -0.157712, -0.178327, -0.194589, -0.204982, -0.20783, -0.188152, -0.0983143, -0.0509448, -0.0268861, -0.011969, -0.00611122, -0.0022657, -0.00102734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0152405");
-            index_3 ("0.423904, 0.435244, 0.444422, 0.451351, 0.459977, 0.467065, 0.476951, 0.485457, 0.493213, 0.500565, 0.505925, 0.511497, 0.515634, 0.528055, 0.534695, 0.538481, 0.543528, 0.546859, 0.550761, 0.555964, 0.566369, 0.568095");
-            values ( \
-              "-0.0388155, -0.0716394, -0.109125, -0.151968, -0.210426, -0.251824, -0.304072, -0.341082, -0.366429, -0.379706, -0.37801, -0.356918, -0.321672, -0.171711, -0.110011, -0.0837423, -0.0574268, -0.0440978, -0.0324763, -0.0217205, -0.008951, -0.0082294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0404825");
-            index_3 ("0.424469, 0.449027, 0.476869, 0.488503, 0.503653, 0.505646, 0.51717, 0.521494, 0.529996, 0.542629, 0.55526, 0.55785, 0.56081, 0.566729, 0.587333, 0.596256, 0.601963, 0.609344, 0.615502, 0.622423, 0.632223, 0.642301, 0.650006, 0.665416, 0.693858, 0.727413");
-            values ( \
-              "-0.0153691, -0.167678, -0.374492, -0.446132, -0.516067, -0.523064, -0.55696, -0.566122, -0.577608, -0.576746, -0.5447, -0.533019, -0.516191, -0.471589, -0.283026, -0.215775, -0.179502, -0.140114, -0.113281, -0.0886191, -0.0620089, -0.0427992, -0.0320836, -0.0177251, -0.00537828, -0.00127295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.107532");
-            index_3 ("0.402151, 0.440728, 0.452165, 0.491892, 0.506392, 0.518357, 0.537367, 0.551643, 0.560713, 0.571224, 0.58159, 0.602323, 0.620528, 0.636022, 0.663864, 0.685972, 0.727356, 0.751371, 0.763698, 0.788331, 0.803825, 0.82926, 0.860892, 0.879123, 0.915585, 0.952047");
-            values ( \
-              "-0.0246263, -0.121317, -0.197182, -0.507142, -0.586466, -0.635463, -0.688062, -0.709926, -0.717881, -0.722889, -0.72422, -0.718446, -0.704777, -0.686421, -0.631701, -0.553004, -0.364241, -0.271714, -0.231632, -0.165564, -0.133213, -0.0922332, -0.0575949, -0.0437216, -0.0247837, -0.0152856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.285631");
-            index_3 ("0.440067, 0.472386, 0.494652, 0.514225, 0.527206, 0.545347, 0.571461, 0.597366, 0.625873, 0.66058, 0.692466, 0.736656, 0.803803, 0.845323, 0.873853, 0.930914, 0.948818, 0.984628, 1.1109, 1.1585, 1.1933, 1.23044, 1.27971, 1.32382, 1.38264, 1.43195, 1.4981, 1.55811, 1.61812, 1.73813, 1.85815, 1.97817");
-            values ( \
-              "-0.257478, -0.379326, -0.550972, -0.655367, -0.705315, -0.750816, -0.784794, -0.798306, -0.80101, -0.797061, -0.789771, -0.777014, -0.752435, -0.7329, -0.716485, -0.671253, -0.651659, -0.600875, -0.370387, -0.295632, -0.248606, -0.205223, -0.157588, -0.123708, -0.0887564, -0.0668553, -0.0455531, -0.0320109, -0.0223948, -0.0109292, -0.0053117, -0.00257344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.858581, 0.87047, 0.878748, 0.88983, 0.894151, 0.902794, 0.912775, 0.917665, 0.927649, 0.933475, 0.939085, 0.944686, 0.948322, 0.954661, 0.959511, 0.962722, 0.965587, 0.971116, 0.9757, 0.98481, 1.028");
-            values ( \
-              "-0.00521354, -0.00787496, -0.0102661, -0.0170238, -0.0227361, -0.0370912, -0.0502727, -0.0556904, -0.0647687, -0.0685274, -0.0703729, -0.0671194, -0.0563257, -0.0314612, -0.0171977, -0.0110999, -0.00739379, -0.00351996, -0.00199451, -0.000815993, -0.000304034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00573759");
-            index_3 ("0.858693, 0.883345, 0.886987, 0.894273, 0.909684, 0.91957, 0.932127, 0.939705, 0.946718, 0.953456, 0.960182, 0.963302, 0.972641, 0.977496, 0.980126, 0.983965, 0.989722, 0.994512, 1.00409, 1.01568, 1.03088, 1.03128");
-            values ( \
-              "-0.00714999, -0.0263608, -0.0306077, -0.041115, -0.0826863, -0.105479, -0.130309, -0.142474, -0.151592, -0.155676, -0.147075, -0.131297, -0.0683464, -0.0427257, -0.0323186, -0.0209858, -0.0108731, -0.00628379, -0.00228922, -0.0011782, -0.000804459, -0.000800747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152405");
-            index_3 ("0.8585, 0.889514, 0.895802, 0.906442, 0.928418, 0.953118, 0.963217, 0.972558, 0.981578, 0.990578, 1.01129, 1.0197, 1.02738, 1.03521, 1.04416, 1.05932, 1.10078");
-            values ( \
-              "-0.00400911, -0.0579457, -0.071048, -0.103288, -0.183931, -0.258902, -0.284336, -0.302132, -0.307745, -0.279559, -0.106762, -0.0613489, -0.0355154, -0.0200115, -0.0101656, -0.00320675, -0.000607632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404825");
-            index_3 ("0.860925, 0.880278, 0.889206, 0.893168, 0.901091, 0.909212, 0.917455, 0.956297, 0.975357, 0.99165, 1.00647, 1.0207, 1.0349, 1.03867, 1.04249, 1.05055, 1.07041, 1.07766, 1.08733, 1.09293, 1.09996, 1.10932, 1.11837, 1.12294, 1.13208, 1.15037, 1.15371");
-            values ( \
-              "-0.0258942, -0.0554153, -0.0769538, -0.088022, -0.110529, -0.137544, -0.171847, -0.343849, -0.421152, -0.474303, -0.507041, -0.519848, -0.502764, -0.490197, -0.472676, -0.418671, -0.25851, -0.209006, -0.154041, -0.128097, -0.100968, -0.0726733, -0.0525904, -0.0445762, -0.0318653, -0.0158424, -0.0145689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.107532");
-            index_3 ("0.855853, 0.904736, 0.908306, 0.929296, 0.984988, 1.00161, 1.02559, 1.04644, 1.06114, 1.08915, 1.11954, 1.1372, 1.14733, 1.16268, 1.21011, 1.24218, 1.27992, 1.31271, 1.34411, 1.36208, 1.39804, 1.41724");
-            values ( \
-              "-0.0244012, -0.138907, -0.152514, -0.243064, -0.523912, -0.586417, -0.648528, -0.678907, -0.689892, -0.692996, -0.670294, -0.642229, -0.619014, -0.569582, -0.361201, -0.244874, -0.147, -0.0918285, -0.0575661, -0.0438871, -0.0250879, -0.0200269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.285631");
-            index_3 ("0.904099, 0.945722, 0.974241, 0.993151, 1.013, 1.02542, 1.04199, 1.06565, 1.08668, 1.12009, 1.15234, 1.19795, 1.24756, 1.28573, 1.31988, 1.35588, 1.41589, 1.43088, 1.46087, 1.55941, 1.6131, 1.66546, 1.6934, 1.74928, 1.80739, 1.84908, 1.90909, 1.93591, 1.98956, 2.04956, 2.10957, 2.16958, 2.22959, 2.34961, 2.46963");
-            values ( \
-              "-0.304856, -0.346435, -0.505535, -0.595467, -0.666147, -0.699374, -0.732449, -0.762611, -0.777017, -0.786024, -0.784968, -0.77632, -0.764335, -0.751495, -0.736453, -0.716351, -0.668308, -0.651622, -0.610174, -0.429518, -0.337279, -0.26136, -0.226709, -0.168554, -0.122686, -0.0971063, -0.0688094, -0.0589734, -0.0431044, -0.030262, -0.0211794, -0.0148169, -0.0103365, -0.00502689, -0.0024393" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.00172091, 0.0017232, 0.00172566, 0.0017273, 0.0017281, 0.00172843", \
-            "0.00192006, 0.00192109, 0.00192273, 0.00192428, 0.00192518, 0.00192559", \
-            "0.00202461, 0.00202466, 0.00202494, 0.00202562, 0.00202619, 0.00202652", \
-            "0.00208593, 0.00208586, 0.00208611, 0.0020864, 0.00208678, 0.00208698", \
-            "0.00212145, 0.00212141, 0.00212133, 0.00212126, 0.00212124, 0.00212128", \
-            "0.00214489, 0.00214488, 0.00214485, 0.0021448, 0.00214477, 0.00214476" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.00226709, 0.00226976, 0.00227321, 0.00227623, 0.0022779, 0.00227863", \
-            "0.00238629, 0.0023853, 0.00238532, 0.00238651, 0.00238765, 0.00238827", \
-            "0.00247125, 0.0024693, 0.0024667, 0.00246482, 0.00246424, 0.00246416", \
-            "0.00259937, 0.00259781, 0.00259193, 0.00258607, 0.00258256, 0.00258117", \
-            "0.0028689, 0.0028682, 0.00286709, 0.00286343, 0.00286008, 0.0028577", \
-            "0.00306849, 0.00308816, 0.00321959, 0.00333708, 0.00340053, 0.00342961" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.0113143, 0.0114238, 0.0116573, 0.0119143, 0.0120464, 0.0120873", \
-            "0.0112447, 0.0113553, 0.0115879, 0.0118553, 0.011996, 0.0120364", \
-            "0.0110919, 0.0111887, 0.0114231, 0.0117259, 0.0118988, 0.0119539", \
-            "0.0110959, 0.0111466, 0.0112167, 0.0115124, 0.0116233, 0.0117204", \
-            "0.011404, 0.0113847, 0.0114031, 0.0114883, 0.0116615, 0.0117948", \
-            "0.0127206, 0.0125018, 0.0122842, 0.0121604, 0.0122449, 0.0123028" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00573759, 0.0152405, 0.0404825, 0.107532, 0.285631");
-          values ( \
-            "0.0139268, 0.0139969, 0.0141983, 0.0144185, 0.0145264, 0.0145637", \
-            "0.0138589, 0.0139314, 0.0141682, 0.0143782, 0.0144731, 0.014502", \
-            "0.0137567, 0.0138131, 0.0140178, 0.0142685, 0.0143999, 0.0144424", \
-            "0.0137493, 0.0137561, 0.0139596, 0.0142233, 0.0144052, 0.0144716", \
-            "0.0140671, 0.0139479, 0.0139667, 0.0141991, 0.0145736, 0.0146227", \
-            "0.0159215, 0.0155109, 0.0151922, 0.0151703, 0.0152903, 0.0153678" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00284615;
-      rise_capacitance : 0.00284615;
-      rise_capacitance_range (0.00219616, 0.00284615);
-      fall_capacitance : 0.00280492;
-      fall_capacitance_range (0.00201961, 0.00280492);
-    }
-  }
-  cell (DFFSRX1) {
-    area : 46.398;
-    cell_footprint : "DFFSR";
-    cell_leakage_power : 0.104274;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.133678;
-      when : "(CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.116511;
-      when : "(CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.113689;
-      when : "(CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.066593;
-      when : "(CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.114473;
-      when : "(CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.131991;
-      when : "(CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.140875;
-      when : "(CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.138053;
-      when : "(CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0649064;
-      when : "(CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.112787;
-      when : "(CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.101717;
-      when : "(!CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0922905;
-      when : "(!CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0894682;
-      when : "(!CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.101255;
-      when : "(!CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.125195;
-      when : "(!CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.10777;
-      when : "(!CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.124394;
-      when : "(!CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0805749;
-      when : "(!CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0406854;
-      when : "(!CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0885656;
-      when : "(!CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.104274;
-      related_pg_pin : VDD;
-    }
-    pin (Q) {
-      direction : output;
-      function : "IQ";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0890983;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.306096, 0.342763, 0.413291, 0.551804, 0.834036, 1.42212", \
-            "0.309675, 0.346265, 0.41676, 0.55548, 0.837659, 1.42566", \
-            "0.317835, 0.354402, 0.424969, 0.563645, 0.845658, 1.43387", \
-            "0.335643, 0.372179, 0.442742, 0.581432, 0.863383, 1.4517", \
-            "0.358145, 0.394718, 0.465254, 0.604023, 0.886048, 1.47416", \
-            "0.376146, 0.412607, 0.483108, 0.621591, 0.903038, 1.49133" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0349766, 0.0547597, 0.0969744, 0.186624, 0.376447, 0.776328", \
-            "0.034942, 0.0547907, 0.0970103, 0.186663, 0.376486, 0.776266", \
-            "0.0348843, 0.0547401, 0.096987, 0.186533, 0.376176, 0.776202", \
-            "0.0348964, 0.0547937, 0.0969719, 0.186662, 0.376204, 0.776202", \
-            "0.0349078, 0.0547938, 0.0969749, 0.186643, 0.376471, 0.776261", \
-            "0.0348782, 0.0548002, 0.0969069, 0.186596, 0.376532, 0.776185" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.336997, 0.370205, 0.436217, 0.5711, 0.851715, 1.43976", \
-            "0.340626, 0.373808, 0.439831, 0.574654, 0.855304, 1.44327", \
-            "0.349504, 0.382693, 0.448695, 0.583541, 0.864251, 1.45228", \
-            "0.367738, 0.400917, 0.466864, 0.601747, 0.882502, 1.47054", \
-            "0.39188, 0.425093, 0.491161, 0.625948, 0.906503, 1.4946", \
-            "0.409823, 0.443035, 0.50914, 0.643996, 0.924968, 1.51326" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0287535, 0.045647, 0.0812463, 0.156684, 0.315458, 0.649546", \
-            "0.0287771, 0.0456219, 0.0812345, 0.156605, 0.315458, 0.649617", \
-            "0.0287827, 0.0456311, 0.081334, 0.156765, 0.315658, 0.649601", \
-            "0.0287814, 0.04563, 0.081282, 0.156606, 0.315645, 0.64958", \
-            "0.0287575, 0.0456413, 0.0812799, 0.156614, 0.3154, 0.64954", \
-            "0.0287906, 0.0456319, 0.0813316, 0.156713, 0.315727, 0.649454" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.279627, 0.288079, 0.291817, 0.294791, 0.297306, 0.298817, 0.300329, 0.30184, 0.303351, 0.304703, 0.306055, 0.307406, 0.308758, 0.310051, 0.311345, 0.312639, 0.313932, 0.315226, 0.316519, 0.317813, 0.319107, 0.319402, 0.320077, 0.32109, 0.322441, 0.324467, 0.325365, 0.327547, 0.329238, 0.331248, 0.334443, 0.335885, 0.337327, 0.338713, 0.3401, 0.341486, 0.342872, 0.346564, 0.349124, 0.351685, 0.352965, 0.354245, 0.356245, 0.358148, 0.359575, 0.36243, 0.365014, 0.368279, 0.369911, 0.372483");
-            values ( \
-              "0.0383161, 0.0384773, 0.0476965, 0.0546901, 0.0603604, 0.0632375, 0.0658466, 0.0681877, 0.0702608, 0.0718879, 0.0733007, 0.0744991, 0.0754831, 0.0754327, 0.0753141, 0.0751273, 0.0748723, 0.0745492, 0.0741579, 0.0736984, 0.0731707, 0.0728414, 0.0719104, 0.0702097, 0.0675867, 0.0630484, 0.0606779, 0.0545429, 0.0501093, 0.0451873, 0.0379602, 0.0352367, 0.0326737, 0.0303613, 0.0281972, 0.0261814, 0.0243139, 0.0197341, 0.0171068, 0.0148344, 0.013798, 0.0128281, 0.0114456, 0.0101777, 0.00928701, 0.00789772, 0.00681229, 0.00563461, 0.00511969, 0.00440253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.292238, 0.304121, 0.317866, 0.324759, 0.333881, 0.342189, 0.350298, 0.358394, 0.361184, 0.36416, 0.379506, 0.389166, 0.396429, 0.402632, 0.407424, 0.416509, 0.426894, 0.435986, 0.445426, 0.452659, 0.467125, 0.495099, 0.528322");
-            values ( \
-              "0.024076, 0.0371181, 0.0703103, 0.0835705, 0.0958365, 0.100873, 0.101318, 0.0963072, 0.0928509, 0.0881467, 0.0579681, 0.0424304, 0.0330856, 0.0265498, 0.0222736, 0.0158256, 0.0105957, 0.00739609, 0.00509515, 0.00381782, 0.0021134, 0.000605966, 0.000131353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.306423, 0.328055, 0.338396, 0.357029, 0.366616, 0.376255, 0.391979, 0.406527, 0.420892, 0.435736, 0.442609, 0.450465, 0.472037, 0.480904, 0.490597, 0.502972, 0.512812, 0.525932, 0.537071, 0.551234, 0.569101, 0.575225, 0.589822, 0.606505, 0.639871, 0.665509");
-            values ( \
-              "0.00972916, 0.0221204, 0.0393393, 0.0744237, 0.0904758, 0.102919, 0.116129, 0.120469, 0.119505, 0.112175, 0.106077, 0.0973016, 0.0705564, 0.0606371, 0.0507977, 0.0400065, 0.0327935, 0.0248612, 0.0195353, 0.014265, 0.00947627, 0.00823413, 0.00588148, 0.00397123, 0.00174632, 0.00108414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0973603, 0.166994, 0.220194, 0.243469, 0.260184, 0.305856, 0.339722, 0.374991, 0.378267, 0.397923, 0.437765, 0.473927, 0.498845, 0.513265, 0.531453, 0.559115, 0.587977, 0.603849, 0.694835, 0.735131, 0.758354, 0.791705, 0.81144, 0.843517, 0.879543, 0.907995, 0.964899, 1.00053");
-            values ( \
-              "0.000257963, 0.000144788, 0.0034573, 0.00386289, 0.00376428, 0.00145856, 0.00043856, 0.0144826, 0.0170405, 0.0361621, 0.0833295, 0.114935, 0.126758, 0.13021, 0.131814, 0.129792, 0.121279, 0.113262, 0.0555239, 0.0366806, 0.0283926, 0.0193939, 0.0153736, 0.0104375, 0.00673648, 0.00473702, 0.00227247, 0.00163544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.307785, 0.471972, 0.515695, 0.584535, 0.625211, 0.670344, 0.730033, 0.786062, 0.841965, 0.897861, 0.965357, 1.08399, 1.1624, 1.22954, 1.27664, 1.3194, 1.37641, 1.42213, 1.47251, 1.53967, 1.64285, 1.73282");
-            values ( \
-              "0.00506606, 0.0135112, 0.0350991, 0.0795324, 0.102597, 0.12107, 0.134351, 0.137794, 0.135053, 0.126489, 0.107423, 0.0677107, 0.046125, 0.03215, 0.0246474, 0.0192459, 0.0136937, 0.0103989, 0.00765354, 0.00503322, 0.00260587, 0.00149496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.107305, 0.174116, 0.236049, 0.292292, 0.539105, 0.611146, 0.639761, 0.703498, 1.00373, 1.07497, 1.17815, 1.23705, 1.31323, 1.42858, 1.54911, 1.64832, 2.03406, 2.19089, 2.37393, 2.50115, 2.60433, 2.83441, 3.14395, 3.16458");
-            values ( \
-              "0.000176376, 0.000666614, 0.00816985, 0.0108889, 0.00317784, 0.00584906, 0.00902995, 0.0206946, 0.109713, 0.123511, 0.135584, 0.138858, 0.140247, 0.1374, 0.128054, 0.115059, 0.0540882, 0.0362571, 0.021898, 0.015195, 0.0112646, 0.00563813, 0.00223258, 0.00216049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.283107, 0.289211, 0.293436, 0.308418, 0.311567, 0.317591, 0.322988, 0.328157, 0.333325, 0.336121, 0.344923, 0.350379, 0.354783, 0.358889, 0.363973, 0.36922, 0.372873, 0.379365, 0.384922, 0.391498, 0.400266, 0.405899");
-            values ( \
-              "0.00485254, 0.00932356, 0.0168, 0.0530551, 0.0595621, 0.0695833, 0.074543, 0.0759929, 0.0732548, 0.0689584, 0.046101, 0.034992, 0.0276099, 0.022114, 0.0166291, 0.012295, 0.00995813, 0.00680829, 0.00490368, 0.00333327, 0.00197653, 0.0015507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.294314, 0.308076, 0.311351, 0.331802, 0.339061, 0.348199, 0.356513, 0.364627, 0.372727, 0.375491, 0.395195, 0.410716, 0.422133, 0.430847, 0.441108, 0.450251, 0.467292, 0.482101, 0.486487");
-            values ( \
-              "0.000918256, 0.0155124, 0.0211626, 0.0693109, 0.083375, 0.0957418, 0.100802, 0.101285, 0.096282, 0.0928705, 0.0555754, 0.0331357, 0.0219655, 0.0158245, 0.0106465, 0.00741628, 0.00377278, 0.00205701, 0.00182651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.316922, 0.341558, 0.350037, 0.367418, 0.378338, 0.390367, 0.406104, 0.42066, 0.43503, 0.449876, 0.456723, 0.464548, 0.48622, 0.494141, 0.503194, 0.516705, 0.528372, 0.537132, 0.548437, 0.55775, 0.568876, 0.584596, 0.601106, 0.613778, 0.639122, 0.67381");
-            values ( \
-              "0.00699958, 0.0209646, 0.0346645, 0.0676426, 0.0866051, 0.102732, 0.116072, 0.120388, 0.119509, 0.11216, 0.106097, 0.0973653, 0.0705125, 0.0616131, 0.0522856, 0.0403174, 0.0318477, 0.0265013, 0.0207639, 0.0169233, 0.0131901, 0.00920019, 0.00629378, 0.00468417, 0.00254674, 0.00121744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.111706, 0.182702, 0.230016, 0.256361, 0.292187, 0.348772, 0.383303, 0.400787, 0.466212, 0.488434, 0.51821, 0.546043, 0.573725, 0.602521, 0.634649, 0.690743, 0.732021, 0.77415, 0.805821, 0.857573, 0.895135, 0.925088, 0.984995, 1.00531");
-            values ( \
-              "0.000254417, 0.000239574, 0.00318529, 0.00391131, 0.00305379, 0.000187268, 0.0103552, 0.0242364, 0.0977539, 0.115003, 0.128329, 0.131998, 0.129911, 0.121324, 0.103192, 0.0662218, 0.0441916, 0.0279892, 0.0194832, 0.0104912, 0.00664505, 0.00458611, 0.00210558, 0.00176919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.31668, 0.486811, 0.538964, 0.61226, 0.64293, 0.684504, 0.744221, 0.80026, 0.856168, 0.912069, 0.979564, 1.09809, 1.17658, 1.24377, 1.33358, 1.39054, 1.43632, 1.55396, 1.65714, 1.71566");
-            values ( \
-              "0.00413241, 0.0137954, 0.0406463, 0.0877474, 0.104275, 0.121007, 0.134322, 0.137777, 0.135045, 0.126484, 0.107422, 0.0677443, 0.0461305, 0.032145, 0.0192485, 0.0137, 0.0104003, 0.00503067, 0.00260431, 0.00188232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.122864, 0.161068, 0.190501, 0.256818, 0.292313, 0.316588, 0.349042, 0.514142, 0.575619, 0.652234, 0.725372, 0.808958, 0.941271, 1.04445, 1.09058, 1.18285, 1.2133, 1.2724, 1.32876, 1.44414, 1.51509, 1.56467, 1.66384, 1.89377, 2.04955, 2.12463, 2.20658, 2.30976, 2.38946, 2.51663, 2.61981, 2.70369, 2.85032, 3.05668, 3.15986, 3.46939, 3.67575");
-            values ( \
-              "0.000167828, 0.00018689, 0.000703431, 0.00867405, 0.0106985, 0.0108772, 0.0102491, 0.00391548, 0.0032853, 0.00858631, 0.022183, 0.0463891, 0.0891135, 0.115109, 0.123538, 0.134628, 0.1369, 0.139439, 0.140231, 0.137402, 0.132805, 0.128023, 0.115083, 0.077094, 0.0540882, 0.0448648, 0.0362393, 0.0274225, 0.0218985, 0.0151978, 0.0112696, 0.00875395, 0.00563416, 0.00300207, 0.00222825, 0.000833812, 0.000432809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.327823, 0.336795, 0.338791, 0.341271, 0.342511, 0.343805, 0.345099, 0.346393, 0.347687, 0.350274, 0.351101, 0.352341, 0.353581, 0.355235, 0.356276, 0.357348, 0.358635, 0.359707, 0.36035, 0.361637, 0.36292, 0.364204, 0.365487, 0.366851, 0.367977, 0.368942, 0.369907, 0.371837, 0.372548, 0.375861, 0.378001, 0.381011, 0.383012, 0.385636, 0.387654, 0.388797, 0.391083, 0.394633, 0.395769, 0.397283, 0.39804, 0.400509, 0.402978, 0.404454, 0.406666, 0.407404, 0.410354, 0.413304, 0.417008, 0.420575");
-            values ( \
-              "0.0383349, 0.0413116, 0.0461385, 0.0519121, 0.0547064, 0.0573674, 0.0598854, 0.0622175, 0.0644354, 0.0685291, 0.0697408, 0.0711277, 0.0723323, 0.0737186, 0.0744752, 0.0751688, 0.0754585, 0.0755376, 0.075524, 0.0753789, 0.0750775, 0.0746196, 0.0740054, 0.0731712, 0.0716249, 0.0699476, 0.0680905, 0.0638371, 0.062068, 0.0528993, 0.0474498, 0.0404658, 0.0362522, 0.0316802, 0.0284857, 0.0267999, 0.0236974, 0.0193543, 0.0181518, 0.0166967, 0.0160046, 0.0139704, 0.0120687, 0.0109958, 0.0096132, 0.00919283, 0.00778662, 0.0065594, 0.00529854, 0.00420016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.335893, 0.344739, 0.372321, 0.383896, 0.389759, 0.394747, 0.397826, 0.406106, 0.410327, 0.437468, 0.449499, 0.464056, 0.476955, 0.494246, 0.507568, 0.529178");
-            values ( \
-              "0.0154709, 0.0215333, 0.083473, 0.0977045, 0.100793, 0.10149, 0.101066, 0.0959505, 0.0904192, 0.0413689, 0.0272195, 0.015815, 0.00957746, 0.00485019, 0.0028036, 0.00122134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.363482, 0.380259, 0.404752, 0.423993, 0.4397, 0.454276, 0.468643, 0.483488, 0.4982, 0.528569, 0.550704, 0.573808, 0.598851, 0.622952, 0.654522, 0.688197, 0.692684");
-            values ( \
-              "0.0260842, 0.028969, 0.0743588, 0.102878, 0.116064, 0.120468, 0.119478, 0.112175, 0.0973226, 0.0607301, 0.0400222, 0.0247954, 0.0143091, 0.00823884, 0.00394626, 0.0017201, 0.00160566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.145605, 0.217191, 0.230139, 0.245966, 0.266262, 0.285169, 0.3001, 0.317842, 0.340117, 0.36832, 0.402044, 0.41911, 0.432033, 0.455201, 0.486279, 0.510931, 0.522366, 0.545238, 0.552035, 0.565631, 0.579822, 0.607747, 0.621508, 0.636279, 0.665546, 0.706293, 0.725596, 0.743383, 0.766159, 0.783296, 0.800751, 0.824003, 0.844716, 0.872335, 0.896158, 0.928188, 0.970894, 1.05411, 1.15135");
-            values ( \
-              "0.000236254, 0.00022422, 0.000840278, 0.0020709, 0.00332437, 0.00385534, 0.00388454, 0.00339133, 0.00230833, 0.000477747, 0.00336118, 0.0119499, 0.0219592, 0.0468, 0.0837669, 0.107112, 0.115184, 0.126103, 0.128163, 0.130805, 0.13189, 0.129703, 0.126492, 0.121222, 0.1052, 0.0777049, 0.0655831, 0.0554247, 0.0440422, 0.0367485, 0.0303651, 0.0233341, 0.0183626, 0.0132258, 0.00991613, 0.00670928, 0.00392622, 0.00125073, 0.000309976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.341225, 0.520492, 0.559585, 0.645911, 0.694255, 0.718163, 0.76598, 0.801148, 0.833654, 0.890224, 0.927603, 0.947854, 0.988357, 1.11996, 1.2064, 1.28405, 1.36189, 1.40404, 1.4635, 1.57335, 1.66871, 1.76118");
-            values ( \
-              "0.00318372, 0.0138373, 0.0327788, 0.0878449, 0.112285, 0.121036, 0.1325, 0.136451, 0.137567, 0.134874, 0.129822, 0.125821, 0.115201, 0.0713272, 0.0469521, 0.0309374, 0.0198276, 0.0154575, 0.0107941, 0.0055109, 0.00300523, 0.00169641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.156122, 0.222818, 0.323519, 0.378621, 0.54162, 0.601808, 0.678078, 0.746538, 0.800112, 0.974679, 1.07616, 1.12387, 1.21927, 1.30116, 1.362, 1.47737, 1.5979, 1.69709, 2.08281, 2.23974, 2.4227, 2.5499, 2.65308, 2.88337, 3.15852");
-            values ( \
-              "0.000158027, 0.000687422, 0.0106348, 0.0103428, 0.00406417, 0.00321541, 0.00767094, 0.0193631, 0.0334141, 0.0892051, 0.114907, 0.123553, 0.134982, 0.139382, 0.140213, 0.137376, 0.128063, 0.115046, 0.0541108, 0.0362704, 0.0219191, 0.015217, 0.0112464, 0.00565616, 0.00259335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.398781, 0.402162, 0.406604, 0.420791, 0.424439, 0.430444, 0.435828, 0.440991, 0.446153, 0.449085, 0.457479, 0.46378, 0.468847, 0.474814, 0.483803, 0.490698, 0.496967, 0.50943, 0.520339, 0.521163");
-            values ( \
-              "0.00669216, 0.010045, 0.0177288, 0.0522486, 0.0598219, 0.0697617, 0.0746432, 0.0760689, 0.0732894, 0.0687219, 0.0468244, 0.0339368, 0.0257958, 0.0186063, 0.0111212, 0.0074299, 0.0051257, 0.0024666, 0.00128651, 0.00124759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.411128, 0.42402, 0.429151, 0.445406, 0.451731, 0.46087, 0.469186, 0.4773, 0.4854, 0.488164, 0.491113, 0.507869, 0.515986, 0.52339, 0.529813, 0.534804, 0.54352, 0.553782, 0.562925, 0.572559, 0.579963, 0.594769, 0.622562, 0.655649");
-            values ( \
-              "0.00425961, 0.0211403, 0.0321984, 0.071248, 0.083414, 0.0956749, 0.100847, 0.101231, 0.0963273, 0.0928642, 0.0882272, 0.0555734, 0.0426964, 0.0331363, 0.0263825, 0.0219678, 0.0158245, 0.0106461, 0.00741631, 0.00506983, 0.00377312, 0.00205771, 0.000596596, 0.000130285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.437255, 0.455164, 0.465635, 0.484091, 0.494325, 0.503309, 0.519033, 0.533581, 0.547945, 0.562789, 0.569665, 0.577523, 0.599086, 0.607984, 0.617667, 0.630032, 0.639853, 0.652948, 0.664122, 0.678328, 0.696168, 0.702286, 0.716842, 0.733479, 0.766751, 0.792478");
-            values ( \
-              "0.0162476, 0.0222249, 0.039702, 0.0744691, 0.091462, 0.102932, 0.116133, 0.120473, 0.119505, 0.112178, 0.106074, 0.0972965, 0.0705617, 0.0606082, 0.0507824, 0.040001, 0.0328015, 0.0248818, 0.0195367, 0.0142523, 0.00947309, 0.00823324, 0.00588588, 0.00397918, 0.00175393, 0.0010843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.225505, 0.296507, 0.344729, 0.374918, 0.439026, 0.472342, 0.513789, 0.579137, 0.601661, 0.631436, 0.659269, 0.686952, 0.715747, 0.845245, 0.887393, 0.919041, 0.970793, 1.03835, 1.06335");
-            values ( \
-              "0.000214838, 0.00023158, 0.00326753, 0.00391249, 0.00103066, 0.00113602, 0.0239915, 0.0974964, 0.11498, 0.128354, 0.131975, 0.129935, 0.121322, 0.0441925, 0.0279842, 0.0194841, 0.0104917, 0.00458434, 0.0035495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.438853, 0.598725, 0.640717, 0.725037, 0.773877, 0.797305, 0.84416, 0.88222, 0.912805, 0.969428, 1.00751, 1.02701, 1.09204, 1.2016, 1.28591, 1.36274, 1.44142, 1.48344, 1.5428, 1.65196, 1.74666, 1.84101");
-            values ( \
-              "0.00563375, 0.0134447, 0.0338951, 0.0877842, 0.112422, 0.12101, 0.132297, 0.136548, 0.137561, 0.134861, 0.129684, 0.125816, 0.107397, 0.0705628, 0.0468826, 0.031023, 0.0197832, 0.0154381, 0.0107834, 0.0055315, 0.00302795, 0.00168421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.235895, 0.302535, 0.37531, 0.412959, 0.47336, 0.646301, 0.712782, 0.763009, 0.808375, 0.868864, 1.05448, 1.15641, 1.20377, 1.29849, 1.38243, 1.4419, 1.55727, 1.6282, 1.6778, 1.77699, 2.00691, 2.16271, 2.31965, 2.5026, 2.62979, 2.73297, 2.9633, 3.27283, 3.31378");
-            values ( \
-              "0.000145387, 0.000674796, 0.00914958, 0.0108422, 0.00987759, 0.00346557, 0.00402471, 0.00835641, 0.0156225, 0.0301795, 0.0891868, 0.114959, 0.123566, 0.134906, 0.139405, 0.140225, 0.137388, 0.13279, 0.12805, 0.115059, 0.0771128, 0.0541034, 0.0362634, 0.0219149, 0.0152133, 0.0112508, 0.00565282, 0.00221327, 0.00207322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.569003, 0.572122, 0.576759, 0.591428, 0.594608, 0.600616, 0.606005, 0.611169, 0.616333, 0.619241, 0.62771, 0.633858, 0.638805, 0.645244, 0.653882, 0.658888, 0.665562, 0.676941, 0.686252, 0.693019");
-            values ( \
-              "0.00672005, 0.0097214, 0.0177178, 0.0532335, 0.0597864, 0.069712, 0.0746218, 0.0760385, 0.0732802, 0.0687594, 0.0466889, 0.0341238, 0.0261154, 0.0183485, 0.0111868, 0.00835587, 0.00562452, 0.00288681, 0.00165752, 0.00123704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.582429, 0.594432, 0.614848, 0.622097, 0.631235, 0.63955, 0.647665, 0.655949, 0.658521, 0.678225, 0.693739, 0.705301, 0.713887, 0.724106, 0.733267, 0.750435, 0.765363, 0.774548");
-            values ( \
-              "0.00601091, 0.0212654, 0.0694993, 0.0832222, 0.0958775, 0.100657, 0.101409, 0.0960474, 0.0928879, 0.0555924, 0.0331496, 0.0218599, 0.015824, 0.0106639, 0.00742296, 0.00375756, 0.00203751, 0.00155994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.608454, 0.625345, 0.635069, 0.650276, 0.662381, 0.67355, 0.689271, 0.703819, 0.718184, 0.733028, 0.739901, 0.747756, 0.76933, 0.778194, 0.787888, 0.800264, 0.810106, 0.823229, 0.834363, 0.848522, 0.866392, 0.872517, 0.887119, 0.903807, 0.937184, 0.959321");
-            values ( \
-              "0.018637, 0.0221525, 0.0382517, 0.0672945, 0.0881752, 0.102905, 0.116154, 0.120444, 0.119527, 0.112172, 0.106078, 0.0973007, 0.0705549, 0.0606405, 0.0507989, 0.0400072, 0.0327927, 0.0248585, 0.0195349, 0.0142663, 0.00947673, 0.00823408, 0.00588102, 0.00397025, 0.00174553, 0.001172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.392415, 0.466884, 0.514268, 0.544948, 0.610345, 0.6438, 0.681473, 0.749432, 0.771968, 0.801733, 0.829561, 0.857241, 0.886036, 1.01556, 1.0575, 1.08939, 1.14115, 1.20827, 1.23348");
-            values ( \
-              "0.000178362, 0.00024583, 0.00325925, 0.00391555, 0.000947551, 0.00136069, 0.0216629, 0.0975571, 0.115039, 0.128378, 0.131993, 0.129939, 0.121326, 0.044179, 0.028041, 0.0194712, 0.0104839, 0.00460574, 0.00355547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.606812, 0.769228, 0.803327, 0.895369, 0.925695, 0.967596, 1.0273, 1.08334, 1.13925, 1.19756, 1.26264, 1.3812, 1.45967, 1.52684, 1.61667, 1.67364, 1.7194, 1.83701, 1.94019, 1.99729");
-            values ( \
-              "0.00528766, 0.0134925, 0.0294545, 0.0877706, 0.104171, 0.121008, 0.134355, 0.137762, 0.13507, 0.125944, 0.107422, 0.0677335, 0.0461283, 0.0321469, 0.0192474, 0.0136984, 0.0103995, 0.0050318, 0.00260444, 0.00190019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.404202, 0.463232, 0.475945, 0.544091, 0.581288, 0.60737, 0.641445, 0.759979, 0.812339, 0.877524, 0.943131, 1.01096, 1.2255, 1.32483, 1.37474, 1.47455, 1.54342, 1.61294, 1.7283, 1.79922, 1.84883, 1.94803, 2.17794, 2.33376, 2.49064, 2.59382, 2.67364, 2.80085, 2.90403, 2.9878, 3.13422, 3.2374, 3.44376, 3.5138");
-            values ( \
-              "0.00015799, 0.000291244, 0.000821836, 0.00895184, 0.010795, 0.0107971, 0.00998671, 0.00514615, 0.00357705, 0.00374916, 0.00952316, 0.0225334, 0.089162, 0.114413, 0.123537, 0.135326, 0.139099, 0.140233, 0.137389, 0.132789, 0.128059, 0.115053, 0.0771163, 0.0541045, 0.0362705, 0.027406, 0.0219176, 0.0152154, 0.0112464, 0.00877422, 0.00565749, 0.00414426, 0.00221097, 0.00185977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.950336, 0.959332, 0.963811, 0.965052, 0.966346, 0.96764, 0.968934, 0.971522, 0.973023, 0.973644, 0.974885, 0.976126, 0.977781, 0.979883, 0.981158, 0.982232, 0.982881, 0.984179, 0.985462, 0.986746, 0.988029, 0.989313, 0.989792, 0.99051, 0.991468, 0.992426, 0.994343, 0.995087, 0.998445, 1.00057, 1.00353, 1.00549, 1.00812, 1.01015, 1.0113, 1.0136, 1.01681, 1.01758, 1.01912, 1.02066, 1.02187, 1.0243, 1.02624, 1.02768, 1.02913, 1.02985, 1.03274, 1.03562, 1.03939, 1.04301");
-            values ( \
-              "0.038184, 0.0412714, 0.0518778, 0.0546752, 0.0573417, 0.0598652, 0.0621887, 0.0665123, 0.0688221, 0.0697348, 0.0711155, 0.0723443, 0.0737461, 0.0751736, 0.0754612, 0.0755423, 0.0755299, 0.0753862, 0.0750882, 0.0746352, 0.0740272, 0.0732642, 0.0726849, 0.071659, 0.0699981, 0.0681582, 0.0639418, 0.0620891, 0.0528081, 0.0474169, 0.040544, 0.0363981, 0.0317855, 0.0285658, 0.0268679, 0.0237436, 0.0197896, 0.0188941, 0.017372, 0.0159466, 0.0149323, 0.0129986, 0.0115479, 0.0105538, 0.00966534, 0.00925084, 0.00786348, 0.00664891, 0.00535043, 0.0042258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("0.947618, 0.965101, 0.969878, 0.991218, 0.995092, 1.00284, 1.00702, 1.01256, 1.02067, 1.02878, 1.03147, 1.05372, 1.06662, 1.07863, 1.09037, 1.09687, 1.10607, 1.12351, 1.1387, 1.14638");
-            values ( \
-              "2.37165e-05, 0.0166976, 0.0260069, 0.0759463, 0.0831223, 0.0940313, 0.0977857, 0.100692, 0.101133, 0.0963082, 0.0929474, 0.0513995, 0.0333155, 0.0216134, 0.0138638, 0.0107718, 0.00749001, 0.00374891, 0.00201107, 0.00161703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("0.978137, 0.998592, 1.02749, 1.03766, 1.04669, 1.0624, 1.07694, 1.09129, 1.10613, 1.12088, 1.14238, 1.16104, 1.18307, 1.19603, 1.20733, 1.22414, 1.24233, 1.25805, 1.279, 1.30709");
-            values ( \
-              "0.0114364, 0.0222464, 0.0745485, 0.0914742, 0.103025, 0.116223, 0.120553, 0.119577, 0.112241, 0.0973272, 0.0706344, 0.0507697, 0.0328934, 0.0250241, 0.019595, 0.0136343, 0.00902733, 0.00628847, 0.00382152, 0.00213948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.758734, 0.834718, 0.842054, 0.847882, 0.87178, 0.889583, 0.90027, 0.909805, 0.92577, 0.944144, 0.967333, 0.997157, 1.03151, 1.04252, 1.056, 1.07762, 1.10854, 1.13326, 1.14462, 1.16733, 1.17426, 1.18811, 1.20203, 1.21607, 1.22954, 1.24355, 1.25855, 1.28785, 1.3286, 1.34792, 1.36571, 1.38848, 1.40561, 1.42307, 1.44632, 1.46704, 1.49467, 1.51848, 1.52916, 1.5505, 1.5932, 1.67667, 1.77431");
-            values ( \
-              "0.000126921, 0.000173588, 0.00032135, 0.000572006, 0.00227414, 0.0033328, 0.00371247, 0.00386478, 0.00382743, 0.00324839, 0.00203391, 0.000220838, 0.00607522, 0.0125893, 0.0233064, 0.0468401, 0.0838545, 0.107191, 0.115333, 0.126096, 0.128307, 0.130881, 0.132024, 0.131535, 0.129543, 0.126363, 0.121103, 0.105149, 0.0776915, 0.0655691, 0.055416, 0.0440385, 0.0367496, 0.0303654, 0.0233339, 0.0183615, 0.0132243, 0.00991555, 0.00871806, 0.00670953, 0.00392702, 0.00124553, 0.000306377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.980794, 1.14157, 1.19574, 1.26715, 1.29907, 1.33947, 1.39922, 1.45526, 1.51118, 1.56949, 1.63458, 1.75296, 1.83157, 1.89883, 1.98857, 2.04547, 2.09131, 2.20909, 2.31227, 2.38164");
-            values ( \
-              "0.00548548, 0.0134863, 0.0416151, 0.0875425, 0.104767, 0.120907, 0.134316, 0.137733, 0.135063, 0.125937, 0.10742, 0.0677878, 0.0461375, 0.0321384, 0.0192518, 0.0137082, 0.0104019, 0.00502736, 0.00260218, 0.00174732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.770479, 0.846634, 0.944685, 0.99848, 1.15947, 1.21546, 1.27302, 1.30432, 1.3553, 1.42327, 1.59639, 1.69736, 1.74549, 1.84175, 1.92107, 1.98362, 2.09898, 2.21951, 2.31871, 2.70444, 2.86133, 3.04431, 3.17152, 3.2747, 3.50493, 3.78686");
-            values ( \
-              "0.000126664, 0.000788864, 0.0105987, 0.0104474, 0.00422583, 0.00320723, 0.00517953, 0.00835451, 0.0166931, 0.0338473, 0.0892858, 0.114813, 0.123595, 0.135039, 0.139314, 0.140244, 0.137402, 0.128044, 0.115067, 0.054092, 0.0362568, 0.0219057, 0.0152038, 0.0112585, 0.00564595, 0.00252716" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00591573, 0.00591684, 0.00591798, 0.00591889, 0.00591947, 0.00591979", \
-            "0.00650289, 0.00650314, 0.00650353, 0.00650394, 0.00650424, 0.00650443", \
-            "0.00691311, 0.00691304, 0.00691305, 0.00691312, 0.00691322, 0.00691329", \
-            "0.00728739, 0.00728734, 0.00728727, 0.00728723, 0.00728723, 0.00728725", \
-            "0.00763758, 0.00763754, 0.00763523, 0.00763744, 0.00763515, 0.00763514", \
-            "0.007955, 0.00795499, 0.00795497, 0.00795492, 0.00795488, 0.00795484" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00713461, 0.00713389, 0.00713348, 0.00713333, 0.0071333, 0.00713331", \
-            "0.00743892, 0.00743829, 0.00743772, 0.00743719, 0.00743691, 0.00743678", \
-            "0.00775749, 0.00775727, 0.00775695, 0.00775659, 0.00775633, 0.00775618", \
-            "0.00858398, 0.00858398, 0.00858391, 0.00858372, 0.00858351, 0.00858337", \
-            "0.00975147, 0.00975246, 0.00975602, 0.00975443, 0.00975729, 0.00975743", \
-            "0.0085295, 0.00850405, 0.00847186, 0.00845571, 0.00844686, 0.00844141" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.307775, 0.318398, 0.321511, 0.322918, 0.325731, 0.334601, 0.338171, 0.343722, 0.349037, 0.353448, 0.356723, 0.367731, 0.372939, 0.378337, 0.384239, 0.390984, 0.394446");
-            values ( \
-              "-0.00418779, -0.0324362, -0.0484922, -0.0490267, -0.0580102, -0.0754876, -0.080175, -0.0861999, -0.0884781, -0.0855913, -0.0783358, -0.0328018, -0.0184523, -0.00969887, -0.00473137, -0.00198862, -0.00149162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.31859, 0.339926, 0.354161, 0.362843, 0.370613, 0.377874, 0.384929, 0.391976, 0.39685, 0.413102, 0.420568, 0.428609, 0.43992, 0.449047, 0.453066");
-            values ( \
-              "-0.000894745, -0.0572218, -0.0871915, -0.101172, -0.109747, -0.115407, -0.116904, -0.112878, -0.104065, -0.0480321, -0.0293813, -0.0165704, -0.00712244, -0.00347316, -0.00280537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.334333, 0.354852, 0.368817, 0.378612, 0.382492, 0.390251, 0.415652, 0.417136, 0.420105, 0.430887, 0.443801, 0.449042, 0.456339, 0.469054, 0.47533, 0.482921, 0.501025, 0.511312, 0.520511, 0.533012, 0.543199, 0.549475, 0.562029, 0.587135, 0.616451");
-            values ( \
-              "-0.00832872, -0.0252491, -0.0480333, -0.070491, -0.0743001, -0.0885007, -0.119162, -0.119278, -0.122633, -0.130423, -0.136393, -0.137412, -0.138021, -0.13276, -0.125965, -0.111571, -0.0679663, -0.0479222, -0.0341527, -0.0209666, -0.0138869, -0.0107227, -0.00629814, -0.00195448, -0.000479717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0565037, 0.112514, 0.200122, 0.224263, 0.257006, 0.272952, 0.296331, 0.356637, 0.381241, 0.391758, 0.404969, 0.458483, 0.497652, 0.527497, 0.554023, 0.57886, 0.60307, 0.627252, 0.636867, 0.654383, 0.686011, 0.707966, 0.727369, 0.753267, 0.770529, 0.798316, 0.835365, 0.850667");
-            values ( \
-              "-0.00127389, -0.000170749, -0.000805615, -0.00135344, -0.00450708, -0.0051733, -0.00457526, -1e-22, -0.00371956, -0.0102847, -0.0215618, -0.0785301, -0.111915, -0.130883, -0.142434, -0.149063, -0.150652, -0.145253, -0.139608, -0.121111, -0.0777927, -0.0532525, -0.0369223, -0.0219671, -0.0153644, -0.0085051, -0.00367463, -0.00290409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.306041, 0.484383, 0.511641, 0.619311, 0.67192, 0.69601, 0.74419, 0.780046, 0.809424, 0.85947, 0.908195, 0.956881, 0.976172, 0.992592, 1.01156, 1.07544, 1.12023, 1.15929, 1.17667, 1.21142, 1.24669, 1.26559, 1.30338, 1.37896, 1.42285");
-            values ( \
-              "-0.00882536, -0.00985318, -0.0216681, -0.0813746, -0.106545, -0.116348, -0.133182, -0.142821, -0.148875, -0.155815, -0.157431, -0.151845, -0.145868, -0.138127, -0.126201, -0.0809141, -0.0551823, -0.0383391, -0.0324139, -0.0228956, -0.0159711, -0.0131392, -0.00881038, -0.00378658, -0.00260143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.35603, 0.556699, 0.604849, 0.659877, 0.754257, 0.922409, 1.0092, 1.15221, 1.23441, 1.29316, 1.3442, 1.44745, 1.50063, 1.54744, 1.64182, 1.68974, 1.74451, 1.84151, 1.95151, 2.01478, 2.0642, 2.12571, 2.20773, 2.26993, 2.31196, 2.39601, 2.49039, 2.58477, 2.67915, 2.86791");
-            values ( \
-              "-0.0279208, -0.00405566, -0.00376408, -0.00741484, -0.0268963, -0.0742403, -0.0963961, -0.126366, -0.139483, -0.146872, -0.152215, -0.159212, -0.160798, -0.160794, -0.155777, -0.148439, -0.134038, -0.0995668, -0.0648166, -0.0491201, -0.0392163, -0.0293465, -0.0196735, -0.0143932, -0.0117038, -0.00754592, -0.00465847, -0.00278751, -0.00174091, -0.000658755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.322847, 0.328729, 0.332673, 0.335769, 0.340016, 0.349384, 0.358885, 0.363322, 0.367754, 0.370159, 0.383674, 0.392921, 0.401088");
-            values ( \
-              "-0.00143252, -0.0250444, -0.0326485, -0.0483527, -0.0580309, -0.0763269, -0.0873691, -0.0881904, -0.0858706, -0.0806416, -0.0274888, -0.00937289, -0.0038111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.334409, 0.34629, 0.350358, 0.357694, 0.365019, 0.36833, 0.374953, 0.376999, 0.381092, 0.384748, 0.392021, 0.399072, 0.406636, 0.410746, 0.427163, 0.434706, 0.44345, 0.453192, 0.461075, 0.472444");
-            values ( \
-              "-0.00610507, -0.0357449, -0.0433797, -0.0683233, -0.0791011, -0.0890019, -0.0967292, -0.101885, -0.105319, -0.110451, -0.114713, -0.117486, -0.112043, -0.104675, -0.048272, -0.0294162, -0.0157288, -0.00760243, -0.00413456, -0.00184604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.350289, 0.369246, 0.383123, 0.393123, 0.396774, 0.404076, 0.429268, 0.431393, 0.435642, 0.445175, 0.451381, 0.458048, 0.47061, 0.483633, 0.48796, 0.492272, 0.521464, 0.533055, 0.544593, 0.553492, 0.562752, 0.569849, 0.584043, 0.59972");
-            values ( \
-              "-0.0108202, -0.0255199, -0.0481905, -0.071022, -0.0743814, -0.0879039, -0.118582, -0.119206, -0.123837, -0.130396, -0.133696, -0.136188, -0.13807, -0.132565, -0.128166, -0.121692, -0.0553602, -0.0364507, -0.0233576, -0.0163243, -0.0111881, -0.00832275, -0.00452873, -0.0026246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0698019, 0.0984116, 0.129084, 0.218726, 0.242104, 0.275552, 0.291099, 0.310761, 0.349155, 0.371366, 0.39593, 0.405735, 0.418001, 0.441162, 0.473951, 0.511537, 0.541322, 0.567789, 0.592659, 0.616868, 0.641365, 0.650598, 0.658772, 0.668189, 0.699819, 0.721779, 0.741179, 0.74981, 0.767072, 0.784339, 0.793603, 0.812132, 0.849189, 0.902654, 0.968078");
-            values ( \
-              "-0.00130803, -0.000421622, -0.000172875, -0.000885076, -0.00161046, -0.00483642, -0.00515579, -0.00452786, -0.00131114, -1e-22, -0.00414681, -0.010381, -0.020802, -0.0440044, -0.0800216, -0.112078, -0.130857, -0.142468, -0.14891, -0.150719, -0.14504, -0.139641, -0.132379, -0.121098, -0.0777882, -0.0532489, -0.0369225, -0.0311775, -0.0219701, -0.0153655, -0.0126504, -0.00850507, -0.00367258, -0.000975251, -0.000176536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.320879, 0.498454, 0.525478, 0.633531, 0.686112, 0.710229, 0.758463, 0.794158, 0.823643, 0.873689, 0.922414, 0.9711, 0.990392, 1.00681, 1.02578, 1.08966, 1.13445, 1.17351, 1.19088, 1.22564, 1.26091, 1.27981, 1.3176, 1.39318, 1.43677");
-            values ( \
-              "-0.00893558, -0.0098054, -0.0214763, -0.081366, -0.106542, -0.116339, -0.133208, -0.142805, -0.148865, -0.155824, -0.157421, -0.151855, -0.145868, -0.138127, -0.126202, -0.0809142, -0.0551823, -0.0383391, -0.0324142, -0.0228956, -0.015971, -0.0131395, -0.00881034, -0.00378683, -0.00260934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.370454, 0.570864, 0.619811, 0.675749, 0.77013, 0.936266, 1.02387, 1.16306, 1.24853, 1.35839, 1.41165, 1.46128, 1.55566, 1.59689, 1.64401, 1.69909, 1.77406, 1.95702, 2.04933, 2.15578, 2.23193, 2.27876, 2.36783, 2.46221, 2.55659, 2.59659");
-            values ( \
-              "-0.0279597, -0.00405778, -0.00377687, -0.00763946, -0.0273561, -0.0741755, -0.0965334, -0.125778, -0.139481, -0.152224, -0.156344, -0.159182, -0.16089, -0.15993, -0.15685, -0.149329, -0.128918, -0.0671848, -0.0448496, -0.0272337, -0.0187176, -0.0148345, -0.00945382, -0.00578894, -0.00352869, -0.00294243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.35844, 0.361765, 0.367204, 0.370055, 0.371717, 0.375041, 0.383924, 0.388837, 0.393422, 0.397861, 0.402294, 0.404609, 0.407193, 0.413994, 0.418228, 0.422491, 0.427451, 0.431958, 0.43711, 0.437317");
-            values ( \
-              "-0.0151619, -0.0208883, -0.0339352, -0.0465021, -0.0495791, -0.0587536, -0.0760234, -0.082273, -0.0871365, -0.0883706, -0.0856949, -0.0808674, -0.0725546, -0.0424291, -0.0274598, -0.0169969, -0.00939468, -0.00543293, -0.00284688, -0.00280194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.368124, 0.377161, 0.38503, 0.392365, 0.399708, 0.40302, 0.409645, 0.411693, 0.419444, 0.426718, 0.43377, 0.441335, 0.445448, 0.461862, 0.469406, 0.478151, 0.48789, 0.495771, 0.496719");
-            values ( \
-              "-0.0102287, -0.0258804, -0.043467, -0.0680462, -0.0791949, -0.0888462, -0.0967851, -0.101796, -0.110396, -0.114723, -0.117452, -0.112035, -0.104663, -0.0482714, -0.0294158, -0.0157272, -0.00760366, -0.00413595, -0.003944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.378349, 0.4031, 0.417316, 0.425298, 0.442554, 0.465748, 0.479549, 0.492463, 0.505035, 0.517593, 0.522448, 0.52673, 0.547963, 0.559114, 0.569183, 0.582634, 0.591396, 0.605568, 0.624465, 0.65148, 0.684515");
-            values ( \
-              "-0.00338741, -0.0244426, -0.0483547, -0.06605, -0.0932442, -0.120065, -0.129784, -0.136654, -0.137641, -0.133263, -0.128093, -0.12163, -0.0718411, -0.0494493, -0.0341569, -0.020174, -0.0141541, -0.00785546, -0.00341462, -0.000941509, -0.000193573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.104357, 0.159913, 0.252332, 0.325403, 0.399646, 0.422905, 0.451484, 0.522551, 0.57342, 0.602491, 0.627349, 0.647089, 0.66032, 0.675917, 0.700522, 0.753704, 0.796203, 0.820092, 0.862219, 0.866195");
-            values ( \
-              "-0.00124608, -0.000147081, -0.000862454, -0.00516164, -5.40082e-06, -0.00123006, -0.0196867, -0.0936079, -0.130127, -0.142741, -0.149172, -0.150896, -0.149769, -0.144848, -0.124522, -0.0561116, -0.024744, -0.0150968, -0.00606711, -0.0057243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.352484, 0.555432, 0.661726, 0.74431, 0.804611, 0.857972, 0.907951, 0.956681, 1.00598, 1.02473, 1.04111, 1.1687, 1.20775, 1.25988, 1.29516, 1.35184, 1.42074");
-            values ( \
-              "-0.000320291, -0.0193942, -0.078343, -0.11642, -0.13658, -0.149047, -0.155759, -0.15756, -0.151664, -0.145844, -0.138121, -0.0551882, -0.038343, -0.0228981, -0.0159739, -0.00881335, -0.00422863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.392122, 0.780765, 1.00028, 1.09466, 1.15951, 1.23136, 1.28338, 1.37776, 1.4247, 1.51464, 1.5511, 1.59646, 1.68718, 1.71836, 1.75994, 1.80917, 1.95152, 2.00371, 2.0733, 2.11361, 2.16416, 2.23156, 2.3289, 2.39404, 2.48842, 2.5828, 2.67718, 2.86594");
-            values ( \
-              "-0.00332317, -0.0211982, -0.0818171, -0.104781, -0.118454, -0.131483, -0.139408, -0.150729, -0.154832, -0.159857, -0.160776, -0.160822, -0.156114, -0.152108, -0.14367, -0.128771, -0.0791698, -0.0639328, -0.0470777, -0.0391626, -0.0308893, -0.0222773, -0.0137357, -0.00987611, -0.0060421, -0.00369256, -0.00223691, -0.000820243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.43802, 0.442806, 0.444289, 0.445668, 0.448984, 0.458014, 0.463521, 0.468441, 0.473026, 0.477466, 0.4819, 0.484114, 0.498314, 0.501298, 0.506425, 0.510475, 0.515874, 0.518251");
-            values ( \
-              "-0.0152493, -0.024218, -0.0291485, -0.0296309, -0.0434614, -0.0655549, -0.0756672, -0.0825498, -0.0868743, -0.0885812, -0.0854965, -0.0811217, -0.0260194, -0.0186189, -0.0101286, -0.00618626, -0.00313905, -0.00255519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.449548, 0.460397, 0.464458, 0.471688, 0.471971, 0.483681, 0.491066, 0.498839, 0.506102, 0.513158, 0.520206, 0.525016, 0.54128, 0.548795, 0.557273, 0.561344, 0.567613, 0.575971, 0.590194, 0.607156");
-            values ( \
-              "-0.0104908, -0.0358181, -0.0433818, -0.0681031, -0.0669979, -0.0896749, -0.100996, -0.109847, -0.115286, -0.11698, -0.112792, -0.104229, -0.0481785, -0.0294049, -0.0160392, -0.0118766, -0.00741238, -0.00387225, -0.00117402, -0.000313047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.458995, 0.482655, 0.496876, 0.507021, 0.510635, 0.517861, 0.542764, 0.545335, 0.550478, 0.570611, 0.574865, 0.58458, 0.594234, 0.603295, 0.611158, 0.635138, 0.648646, 0.663269, 0.67111, 0.682996, 0.698843, 0.708774");
-            values ( \
-              "-0.00474373, -0.0243739, -0.0475602, -0.0707145, -0.0740154, -0.0874541, -0.118, -0.119018, -0.124483, -0.136149, -0.137167, -0.137973, -0.13472, -0.12621, -0.111582, -0.0559491, -0.0342691, -0.0193343, -0.0140719, -0.00860271, -0.00434378, -0.00316826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.173957, 0.210165, 0.213667, 0.261039, 0.275171, 0.335935, 0.360978, 0.389799, 0.404358, 0.415215, 0.432064, 0.478955, 0.501945, 0.522089, 0.529399, 0.555811, 0.588061, 0.625666, 0.65545, 0.681919, 0.706789, 0.730999, 0.755496, 0.764711, 0.772893, 0.78232, 0.81395, 0.835911, 0.85531, 0.881202, 0.89847, 0.907734, 0.926264, 0.963323, 1.01528, 1.07878");
-            values ( \
-              "-0.00061601, -0.000219124, -0.000626215, -0.000122594, -0.000625794, -0.000933117, -0.00195428, -0.00484462, -0.00516014, -0.00497532, -0.00405891, -1.24282e-05, -0.00112563, -0.0120441, -0.018283, -0.0444579, -0.0801184, -0.112172, -0.130928, -0.142527, -0.148843, -0.150775, -0.14501, -0.139651, -0.132389, -0.121097, -0.0777874, -0.0532482, -0.0369228, -0.0219712, -0.0153658, -0.0126507, -0.00850503, -0.00367246, -0.0010239, -0.000199478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.191853, 0.261367, 0.275499, 0.336261, 0.354224, 0.39697, 0.42297, 0.432188, 0.457901, 0.526048, 0.558031, 0.591286, 0.607093, 0.628169, 0.689085, 0.726613, 0.789604, 0.824264, 0.884563, 0.937925, 0.987904, 1.03663, 1.0853, 1.10477, 1.13998, 1.20386, 1.24864, 1.2877, 1.33985, 1.37511, 1.43177, 1.50733, 1.54324");
-            values ( \
-              "-0.000767798, -0.000346226, -0.000822561, -0.00130073, -0.0019968, -0.00836946, -0.00978602, -0.00976206, -0.00854555, -0.003586, -0.00214321, -0.0041378, -0.00798866, -0.0160686, -0.047973, -0.0705902, -0.102142, -0.116015, -0.136995, -0.148656, -0.156151, -0.157184, -0.152144, -0.145836, -0.126227, -0.0809311, -0.0551812, -0.0383333, -0.0228861, -0.0159653, -0.00880666, -0.00379433, -0.00281954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.479855, 0.871831, 1.07982, 1.1742, 1.23913, 1.31099, 1.363, 1.47302, 1.56689, 1.63083, 1.67607, 1.76657, 1.77718, 1.84017, 1.88878, 2.03114, 2.08329, 2.18477, 2.24385, 2.31136, 2.40845, 2.47341, 2.56779, 2.66217, 2.75655, 2.94532");
-            values ( \
-              "-0.00167955, -0.0240617, -0.0818815, -0.104695, -0.118536, -0.131418, -0.139484, -0.152252, -0.158794, -0.16073, -0.160873, -0.156089, -0.154992, -0.143557, -0.128727, -0.0791243, -0.0639, -0.040693, -0.0309113, -0.0222226, -0.0137716, -0.0098568, -0.00608031, -0.00366634, -0.00227018, -0.000851418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.608577, 0.614627, 0.616258, 0.618562, 0.621681, 0.623078, 0.625871, 0.63452, 0.636769, 0.644763, 0.649198, 0.653632, 0.655825, 0.668733, 0.67238, 0.677802, 0.682084, 0.685562, 0.692518, 0.702071, 0.714009");
-            values ( \
-              "-0.000629528, -0.0251084, -0.0298622, -0.0324999, -0.048529, -0.0490759, -0.0579593, -0.0750718, -0.0781312, -0.0869064, -0.0885368, -0.0855335, -0.0811796, -0.0299947, -0.0200697, -0.0105663, -0.00629443, -0.00408961, -0.00170217, -0.000520594, -0.000189489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.620236, 0.631698, 0.635878, 0.641589, 0.647546, 0.654221, 0.662908, 0.670664, 0.677924, 0.684979, 0.692026, 0.696873, 0.699869, 0.71313, 0.720615, 0.724136, 0.728831, 0.733139, 0.739756, 0.748578, 0.758597");
-            values ( \
-              "-0.0057563, -0.0344741, -0.0426585, -0.0611199, -0.0745515, -0.0873985, -0.101061, -0.1099, -0.115294, -0.117025, -0.112778, -0.104142, -0.0952273, -0.0480875, -0.0293952, -0.0229915, -0.016353, -0.0119001, -0.0072323, -0.00363059, -0.00185241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.636557, 0.655179, 0.665739, 0.675758, 0.694256, 0.701894, 0.717358, 0.731124, 0.744029, 0.756596, 0.769149, 0.774013, 0.778291, 0.799516, 0.810667, 0.820734, 0.834184, 0.842946, 0.857118, 0.876015, 0.902428, 0.934697");
-            values ( \
-              "-0.0114839, -0.0255089, -0.0429818, -0.0637904, -0.0932728, -0.102935, -0.119955, -0.130118, -0.136493, -0.137901, -0.133094, -0.128107, -0.121643, -0.0718474, -0.0494522, -0.03416, -0.020177, -0.014156, -0.00785661, -0.00341396, -0.000977455, -0.000209965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.29417, 0.360851, 0.435299, 0.450291, 0.505659, 0.522907, 0.532361, 0.56194, 0.578005, 0.597976, 0.636315, 0.657755, 0.681705, 0.692248, 0.703784, 0.732336, 0.746769, 0.784851, 0.823262, 0.835837, 0.853964, 0.878788, 0.902996, 0.927183, 0.936772, 0.944923, 0.954315, 0.985946, 1.0079, 1.0273, 1.03594, 1.0532, 1.07046, 1.07973, 1.09825, 1.1353, 1.16528");
-            values ( \
-              "-0.000255278, -0.000424116, -1e-22, -0.000536362, -0.000833856, -0.00138425, -0.00201296, -0.00490643, -0.00518892, -0.0044773, -0.00123115, -1e-22, -0.00399877, -0.0106842, -0.0204953, -0.0492912, -0.066707, -0.102386, -0.128796, -0.135171, -0.142281, -0.149132, -0.150525, -0.145333, -0.139617, -0.132351, -0.121106, -0.0777928, -0.0532515, -0.036922, -0.0311784, -0.0219673, -0.0153648, -0.0126524, -0.00850567, -0.00367511, -0.00214058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.603129, 0.78931, 0.817693, 0.93181, 1.00427, 1.05091, 1.06565, 1.10911, 1.15922, 1.20788, 1.2572, 1.2758, 1.29225, 1.31126, 1.37514, 1.41994, 1.45899, 1.47636, 1.51111, 1.54639, 1.56529, 1.60308, 1.67867, 1.77305, 1.86743");
-            values ( \
-              "-0.00665476, -0.0118086, -0.0248344, -0.0881158, -0.119803, -0.135295, -0.139318, -0.148973, -0.155659, -0.157541, -0.151621, -0.145872, -0.138148, -0.126186, -0.0809036, -0.0551848, -0.038343, -0.0324105, -0.0229007, -0.0159748, -0.013136, -0.00881342, -0.00378304, -0.00124087, -0.00041362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.648265, 0.857174, 0.906185, 0.971531, 1.06591, 1.22139, 1.31234, 1.40672, 1.46955, 1.53483, 1.62921, 1.67596, 1.7383, 1.80477, 1.84783, 1.93395, 1.97855, 2.03802, 2.18335, 2.26007, 2.3647, 2.4234, 2.50165, 2.57327, 2.62155, 2.71593, 2.81031, 2.9047, 2.94998");
-            values ( \
-              "-0.0266491, -0.00407324, -0.00378346, -0.00893077, -0.0298855, -0.0737535, -0.096953, -0.11766, -0.129277, -0.139414, -0.150746, -0.154834, -0.158707, -0.160821, -0.160813, -0.156574, -0.150649, -0.136155, -0.0854259, -0.0625956, -0.0392306, -0.0297611, -0.0203159, -0.0142136, -0.0111673, -0.0068282, -0.00419152, -0.00252639, -0.00221535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.983011, 0.986528, 0.991735, 0.994725, 0.99625, 0.9993, 1.00847, 1.01338, 1.01797, 1.02241, 1.02684, 1.02915, 1.03174, 1.03791, 1.04226, 1.04561, 1.04758, 1.05103, 1.05527, 1.05872, 1.06315");
-            values ( \
-              "-0.0152672, -0.0214453, -0.0338495, -0.0470093, -0.0494859, -0.058097, -0.0760058, -0.0822133, -0.0871256, -0.0883255, -0.0856924, -0.0808804, -0.0725737, -0.0449638, -0.0290038, -0.0200158, -0.0159504, -0.0105356, -0.00629769, -0.0041325, -0.00257863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("0.994516, 1.00277, 1.00465, 1.00652, 1.00872, 1.01071, 1.01322, 1.01448, 1.01791, 1.02135, 1.02591, 1.03132, 1.03522, 1.03611, 1.03867, 1.04101, 1.04243, 1.04386, 1.04814, 1.05113, 1.05269, 1.05347, 1.05523, 1.05719, 1.05817, 1.05992, 1.06168, 1.06343, 1.06518, 1.06552, 1.06685, 1.06819, 1.06952, 1.07041, 1.07219, 1.07308, 1.07485, 1.07951, 1.08284, 1.08628, 1.0889, 1.09085, 1.0928, 1.09441, 1.09601, 1.09859, 1.10067, 1.10312, 1.10434, 1.10626");
-            values ( \
-              "-0.0100847, -0.0295741, -0.0337195, -0.0375998, -0.0433839, -0.0490253, -0.0567088, -0.0607865, -0.0684065, -0.0763399, -0.0845316, -0.0937548, -0.100047, -0.101047, -0.104373, -0.107118, -0.108604, -0.109978, -0.113326, -0.115438, -0.115915, -0.116079, -0.116262, -0.116172, -0.116013, -0.115538, -0.114818, -0.113854, -0.112646, -0.11232, -0.110353, -0.107768, -0.104821, -0.102655, -0.0978414, -0.095085, -0.0886345, -0.0711232, -0.0591914, -0.0478854, -0.040687, -0.0359141, -0.031422, -0.0279688, -0.024863, -0.0208212, -0.0179804, -0.0150706, -0.0137759, -0.0119551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.0016, 1.02806, 1.05583, 1.06739, 1.07506, 1.09054, 1.10432, 1.11724, 1.12981, 1.14252, 1.15147, 1.18066, 1.19225, 1.20379, 1.21269, 1.21977, 1.22999, 1.24308, 1.25553");
-            values ( \
-              "-0.00115147, -0.0248934, -0.0753021, -0.0928531, -0.102944, -0.119666, -0.130178, -0.136278, -0.137987, -0.132827, -0.121689, -0.0553546, -0.036449, -0.0233621, -0.0163233, -0.0122282, -0.00800294, -0.00458223, -0.0030238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.544817, 0.807385, 0.879358, 0.94943, 0.976019, 1.0204, 1.04287, 1.06896, 1.14716, 1.19803, 1.22712, 1.25192, 1.27616, 1.30058, 1.31998, 1.38018, 1.42759, 1.47009, 1.48987");
-            values ( \
-              "-0.000114541, -2.13627e-05, -0.000851807, -0.00514392, -0.00411592, -0.000254205, -0.000288346, -0.0133454, -0.093429, -0.129958, -0.142658, -0.149174, -0.150773, -0.145184, -0.130125, -0.0541549, -0.021429, -0.00876336, -0.00606835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.975322, 1.17, 1.21559, 1.29219, 1.33778, 1.36894, 1.42925, 1.48267, 1.53266, 1.58139, 1.63007, 1.64942, 1.66581, 1.68475, 1.74863, 1.79342, 1.83248, 1.84985, 1.88461, 1.91988, 1.93877, 1.97656, 2.05213, 2.14651, 2.24089");
-            values ( \
-              "-0.00381272, -0.0148363, -0.0378425, -0.0811014, -0.103357, -0.116158, -0.136693, -0.148841, -0.1559, -0.15738, -0.151917, -0.145856, -0.138111, -0.126209, -0.0809185, -0.0551832, -0.0383384, -0.0324155, -0.0228936, -0.0159702, -0.0131412, -0.00881012, -0.00378873, -0.00123688, -0.000418099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("1.01901, 1.36731, 1.45712, 1.62525, 1.71964, 1.78445, 1.85626, 1.90827, 2.01827, 2.11201, 2.17676, 2.2213, 2.31038, 2.32236, 2.34634, 2.38681, 2.43398, 2.57635, 2.62842, 2.72991, 2.78923, 2.85702, 2.95346, 3.01802, 3.1124, 3.20678, 3.30116, 3.48992");
-            values ( \
-              "-0.0105054, -0.0128225, -0.0347834, -0.0819823, -0.104774, -0.118593, -0.13145, -0.139523, -0.152282, -0.158812, -0.160746, -0.16089, -0.156231, -0.155006, -0.15156, -0.143199, -0.128718, -0.0791109, -0.0639125, -0.0406976, -0.0308838, -0.0221689, -0.0137836, -0.00988595, -0.00609915, -0.00367724, -0.0022774, -0.000854143" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00559731", \
-            "0.00597172", \
-            "0.00619101", \
-            "0.00634007", \
-            "0.00642966", \
-            "0.00648261" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00626284", \
-            "0.00640005", \
-            "0.00660126", \
-            "0.00701115", \
-            "0.00773357", \
-            "0.00854327" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "QN";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0335814, 0.0491151, 0.0809331, 0.147142, 0.286033, 0.578093", \
-            "0.0384894, 0.0541068, 0.0860998, 0.152467, 0.291462, 0.58358", \
-            "0.0522058, 0.0675758, 0.0995608, 0.166076, 0.305084, 0.597347", \
-            "0.0819593, 0.101385, 0.133199, 0.199127, 0.338295, 0.630281", \
-            "0.131596, 0.163411, 0.211266, 0.282253, 0.418998, 0.712305", \
-            "0.219067, 0.268524, 0.346006, 0.459763, 0.621487, 0.911791" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0332932, 0.0560112, 0.103725, 0.204194, 0.415802, 0.861093", \
-            "0.0332455, 0.0559556, 0.103687, 0.204179, 0.415801, 0.861093", \
-            "0.0350185, 0.0560001, 0.103648, 0.204165, 0.415799, 0.861093", \
-            "0.0514653, 0.0665937, 0.106703, 0.204179, 0.4158, 0.861093", \
-            "0.0837801, 0.105621, 0.14008, 0.218085, 0.416098, 0.861093", \
-            "0.137223, 0.173654, 0.227812, 0.307453, 0.462838, 0.864961" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0266194, 0.038003, 0.0613607, 0.110006, 0.211963, 0.426233", \
-            "0.0304323, 0.0419803, 0.0655179, 0.114283, 0.216314, 0.430622", \
-            "0.0402072, 0.0521794, 0.0758506, 0.124809, 0.226967, 0.441109", \
-            "0.0538832, 0.072429, 0.101425, 0.150557, 0.252848, 0.467333", \
-            "0.0687563, 0.097403, 0.141824, 0.210002, 0.315866, 0.530296", \
-            "0.0780693, 0.120645, 0.189303, 0.295175, 0.452143, 0.684791" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0210539, 0.0358338, 0.0668604, 0.132065, 0.269232, 0.558063", \
-            "0.021047, 0.0358128, 0.0668449, 0.132051, 0.269515, 0.558059", \
-            "0.0250726, 0.037347, 0.0668313, 0.132028, 0.269213, 0.558059", \
-            "0.0405766, 0.0533447, 0.0760877, 0.133552, 0.269481, 0.558056", \
-            "0.0655562, 0.0851974, 0.116694, 0.164973, 0.278502, 0.557966", \
-            "0.108743, 0.138128, 0.185555, 0.257362, 0.36583, 0.592636" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.019669, 0.0212408, 0.0216337, 0.0239672, 0.0288439, 0.0356854, 0.0411379, 0.0446913, 0.0471226, 0.0557998, 0.0591854, 0.0658868, 0.0737286, 0.0792406, 0.0840919, 0.0875971, 0.0961529, 0.100807, 0.106126, 0.116763, 0.134779, 0.156424, 0.184162, 4.84426, 5.30029");
-            values ( \
-              "0.0183485, 0.101702, 0.10173, 0.100623, 0.096033, 0.0884079, 0.0811998, 0.0749302, 0.0693218, 0.0446777, 0.0375696, 0.0261645, 0.0170367, 0.0124367, 0.00940358, 0.00763764, 0.00456665, 0.00346024, 0.00248809, 0.00128024, 0.000363867, 8.01956e-05, 1e-22, 2e-22, 0.000805886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.0197301, 0.0238822, 0.0472121, 0.0604479, 0.091835, 0.105835, 0.119014, 0.136317, 0.149317, 0.17689, 0.204271, 0.237547, 0.339118, 0.436004, 4.84415");
-            values ( \
-              "0.0632892, 0.124801, 0.108255, 0.095392, 0.0419625, 0.0261896, 0.0162546, 0.00853151, 0.00504099, 0.00158707, 0.00054856, 3.02042e-05, 1e-22, 6.40738e-05, 6.19676e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.0207789, 0.0263075, 0.0463648, 0.0668325, 0.0884914, 0.0957544, 0.107647, 0.134754, 0.155811, 0.178422, 0.199642, 0.220312, 0.237339, 0.246812, 0.260729, 0.279284, 0.316396, 0.367534, 0.430923, 4.84423");
-            values ( \
-              "0.117414, 0.139876, 0.132374, 0.123324, 0.111971, 0.10706, 0.096989, 0.0672022, 0.0474381, 0.0309577, 0.020093, 0.0130263, 0.00888937, 0.00720499, 0.00527179, 0.00351193, 0.00138463, 0.000410991, 2.28867e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0227505, 0.0227705, 0.0688333, 0.119937, 0.147565, 0.169171, 0.192729, 0.255889, 0.292321, 0.319767, 0.348901, 0.381608, 0.427789, 0.459304, 0.510307, 0.57831, 0.671598, 0.86537, 4.84426, 5.30038");
-            values ( \
-              "1e-22, 0.158477, 0.141247, 0.12877, 0.12105, 0.113796, 0.1034, 0.0674956, 0.0490425, 0.0376125, 0.0278631, 0.0195539, 0.0115886, 0.00803707, 0.00437638, 0.00195422, 0.000482245, 1e-22, 2e-22, 0.00751269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.0252904, 0.0253104, 0.118084, 0.205754, 0.249722, 0.293752, 0.339868, 0.371332, 0.408562, 0.48011, 0.539294, 0.617914, 0.647892, 0.686203, 0.736491, 0.782975, 0.844954, 0.890841, 0.941695, 1.0095, 1.10638, 1.20327, 1.30016, 1.39704, 1.49393, 1.59081, 1.6877, 1.78458, 1.88147, 1.97836, 2.07524, 2.17213, 2.26901, 2.3659, 2.46278, 2.55967, 2.65655, 2.75344, 2.85033, 2.94721, 3.0441, 3.14098, 3.23787, 3.33475, 3.43164, 4.69115, 4.76771, 4.84428, 5.28816");
-            values ( \
-              "1e-22, 0.167634, 0.145494, 0.134687, 0.128794, 0.122268, 0.11384, 0.106497, 0.0967221, 0.0758135, 0.0596221, 0.0413855, 0.035641, 0.0293906, 0.0223129, 0.017266, 0.0122786, 0.00929612, 0.00690415, 0.00474838, 0.00252253, 0.00151313, 0.000718967, 0.000512944, 0.000166472, 0.000207838, 1e-22, 0.000115037, 1e-22, 8.67707e-05, 1e-22, 7.80932e-05, 1e-22, 7.53612e-05, 1e-22, 7.44683e-05, 1e-22, 7.4109e-05, 1e-22, 7.39206e-05, 1e-22, 7.37845e-05, 1e-22, 7.36652e-05, 1e-22, 7.29094e-05, 1e-22, 7.27806e-05, 0.0157435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0285783, 0.0285983, 0.221028, 0.494288, 0.585661, 0.681339, 0.823695, 1.09318, 1.30313, 1.45806, 1.60966, 1.6938, 1.79069, 1.95501, 2.14879, 2.34256, 2.53633, 3.31141, 4.84396, 5.28986");
-            values ( \
-              "1e-22, 0.170142, 0.14752, 0.130561, 0.123975, 0.115488, 0.0983462, 0.0609652, 0.0377221, 0.0254542, 0.0169778, 0.0136092, 0.0102614, 0.00642054, 0.00364996, 0.00205104, 0.00113546, 5.23532e-05, 1e-22, 0.0333051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0303857, 0.042676, 0.0459555, 0.051227, 0.0581558, 0.0643408, 0.0714804, 0.0753305, 0.0801211, 0.0841678, 0.0907218, 0.0954699, 0.0999333, 0.105885, 0.111943, 0.115231, 0.121807, 0.13496, 0.153007, 0.175195, 0.203391, 4.84426, 5.28827");
-            values ( \
-              "0.0135909, 0.0976451, 0.0945571, 0.0885973, 0.078955, 0.0649414, 0.0446167, 0.0363799, 0.0281101, 0.0225747, 0.0156778, 0.011944, 0.00921634, 0.00645942, 0.00448995, 0.00368452, 0.00245434, 0.00105157, 0.000300166, 5.76744e-05, 2.91978e-07, 2.35054e-06, 0.000805329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.030842, 0.0427928, 0.0492353, 0.0629461, 0.0703619, 0.0761671, 0.0825212, 0.0971339, 0.107529, 0.12153, 0.1347, 0.142099, 0.151964, 0.15808, 0.164979, 0.174178, 0.192575, 0.220039, 0.253323, 0.295597, 0.35496, 4.84426");
-            values ( \
-              "0.0077062, 0.122786, 0.118915, 0.108362, 0.101758, 0.0954255, 0.0860186, 0.0578854, 0.0420512, 0.0262574, 0.0163195, 0.0123835, 0.00849123, 0.00667964, 0.00509989, 0.00355505, 0.00163983, 0.000492178, 8.28758e-05, 1.60386e-05, 1e-22, 9.40189e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.0372907, 0.0373107, 0.0622472, 0.0736005, 0.0867781, 0.100702, 0.111593, 0.115713, 0.123483, 0.132767, 0.150586, 0.166048, 0.171638, 0.182819, 0.190717, 0.201345, 0.215516, 0.22335, 0.236011, 0.252892, 0.260236, 0.267377, 0.276898, 0.295941, 0.334027, 0.385485, 0.44945, 0.531667, 4.84428, 5.28944");
-            values ( \
-              "1e-22, 0.14383, 0.132466, 0.127552, 0.121373, 0.114089, 0.107166, 0.103962, 0.0970846, 0.087246, 0.0672877, 0.052309, 0.0473825, 0.0386103, 0.0332037, 0.0269167, 0.0201299, 0.0170669, 0.0130162, 0.00899275, 0.00762911, 0.00650936, 0.00527668, 0.00340154, 0.00136504, 0.000345349, 6.24765e-05, 1e-22, 9.00368e-06, 0.00357216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0396395, 0.0396595, 0.084798, 0.127687, 0.149187, 0.170717, 0.193279, 0.208678, 0.226964, 0.262088, 0.291631, 0.330659, 0.345825, 0.364847, 0.389856, 0.412944, 0.443729, 0.466743, 0.492238, 0.526233, 0.594221, 0.687258, 0.784144, 0.977915, 4.84426, 5.30047");
-            values ( \
-              "1e-22, 0.164633, 0.141223, 0.130884, 0.125158, 0.118776, 0.110483, 0.103294, 0.0934502, 0.0728431, 0.0570699, 0.0395674, 0.033985, 0.0279626, 0.021299, 0.0165022, 0.0116871, 0.00889968, 0.00660819, 0.00447395, 0.00185892, 0.000581, 0.000104762, 1e-22, 2e-22, 0.0075391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.0412391, 0.0412591, 0.13409, 0.238525, 0.295028, 0.339208, 0.355871, 0.387335, 0.424565, 0.538229, 0.58943, 0.643908, 0.702203, 0.767985, 0.798973, 0.86095, 0.923785, 0.957685, 1.02548, 1.12237, 1.21926, 1.31614, 1.41303, 1.6068, 1.99434, 4.84423, 5.29814");
-            values ( \
-              "1e-22, 0.167329, 0.14561, 0.132445, 0.12452, 0.117123, 0.11373, 0.106606, 0.0966132, 0.0641833, 0.0512182, 0.0394532, 0.029287, 0.0205537, 0.0173704, 0.0121754, 0.00847249, 0.00700814, 0.00464553, 0.00262616, 0.00140999, 0.000822326, 0.000409756, 0.00010468, 1e-22, 2e-22, 0.015869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.0450822, 0.0451022, 0.237048, 0.510366, 0.601745, 0.697423, 0.839778, 1.10926, 1.31921, 1.47414, 1.57244, 1.70988, 1.8742, 2.06797, 2.26174, 2.5524, 3.13372, 4.84394, 5.27785");
-            values ( \
-              "1e-22, 0.170803, 0.147561, 0.130524, 0.123938, 0.11545, 0.0983083, 0.0610046, 0.0377628, 0.0254951, 0.0197159, 0.0135679, 0.00858102, 0.00495077, 0.00284342, 0.00117738, 0.000190132, 1e-22, 0.0334198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0617102, 0.0650676, 0.0714881, 0.0811349, 0.0842676, 0.0874845, 0.0886637, 0.0900238, 0.0904915, 0.0914267, 0.0931014, 0.0944916, 0.0970894, 0.0995004, 0.104286, 0.10869, 0.111571, 0.116175, 0.121478, 0.124351, 0.127674, 0.132105, 0.13608, 0.14081, 0.147118, 0.151316, 0.153471, 0.157781, 0.166402, 0.183382, 0.203263, 0.228617, 0.261635, 0.314997, 4.84428, 5.28386");
-            values ( \
-              "0.0173772, 0.0237194, 0.0408059, 0.0640752, 0.0709945, 0.0773717, 0.0830309, 0.0862777, 0.0864954, 0.0864414, 0.0851377, 0.0835075, 0.0796771, 0.0752267, 0.0631968, 0.0500686, 0.0428466, 0.0334479, 0.0250553, 0.0214875, 0.0178682, 0.0138853, 0.0110505, 0.00837524, 0.00573103, 0.0044531, 0.00391098, 0.00300437, 0.001754, 0.000548374, 0.000132376, 1.37949e-05, 1.98542e-06, 1e-22, 1.98437e-06, 0.000810981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.058558, 0.0872617, 0.0879425, 0.0894144, 0.0904808, 0.0921675, 0.0947728, 0.0981695, 0.101775, 0.108863, 0.117183, 0.124054, 0.136258, 0.148997, 0.160897, 0.166408, 0.173721, 0.180413, 0.189335, 0.196406, 0.20421, 0.214617, 0.235429, 0.263346, 0.297809, 0.341523, 0.405397, 0.502282, 0.599168, 0.696053, 0.792939, 0.889825, 0.98671, 1.0836, 1.18048, 1.27737, 1.37425, 1.47114, 1.56802, 1.66491, 1.76179, 1.85868, 1.95557, 4.76525, 4.84406, 5.27733");
-            values ( \
-              "0.000846116, 0.101209, 0.108691, 0.11447, 0.114621, 0.116042, 0.113482, 0.112019, 0.107944, 0.102734, 0.09258, 0.0812155, 0.0575753, 0.0385638, 0.0257434, 0.0211849, 0.0161879, 0.01261, 0.00898066, 0.00680234, 0.00500952, 0.00333001, 0.00136225, 0.000404731, 5.41073e-05, 1.63262e-05, 1e-22, 1.37279e-05, 1e-22, 1.34812e-05, 1e-22, 1.34402e-05, 1e-22, 1.34253e-05, 1e-22, 1.34153e-05, 1e-22, 1.34076e-05, 1e-22, 1.34014e-05, 1e-22, 1.33965e-05, 1e-22, 1.33749e-05, 1e-22, 0.00170957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.0581251, 0.0938136, 0.153887, 0.204211, 0.255441, 0.295537, 0.360245, 0.468325, 0.544395, 0.641281, 0.738166, 0.835052, 0.931938, 1.02882, 4.84345");
-            values ( \
-              "0.00829585, 0.134849, 0.104412, 0.0519708, 0.0190806, 0.00782932, 0.00152097, 1e-22, 0.000302646, 1e-22, 0.00029734, 1e-22, 0.000296923, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.0683308, 0.0873858, 0.0894025, 0.0906338, 0.0927673, 0.095429, 0.0992613, 0.123896, 0.148837, 0.17497, 0.202595, 0.2242, 0.247758, 0.266044, 0.310916, 0.33071, 0.347347, 0.374792, 0.403924, 0.428933, 0.436628, 0.45202, 0.482803, 0.505815, 0.514312, 0.531307, 0.565298, 0.633278, 0.726849, 0.823734, 0.92062, 1.11439, 4.84418, 5.2928");
-            values ( \
-              "0.0435791, 0.127011, 0.142847, 0.145847, 0.147562, 0.147454, 0.146789, 0.141363, 0.135395, 0.128741, 0.121007, 0.113749, 0.103352, 0.0933945, 0.0675449, 0.0571237, 0.0490909, 0.0376605, 0.0279109, 0.0213522, 0.0196014, 0.0165552, 0.0116361, 0.00895247, 0.00808408, 0.0066607, 0.00442319, 0.00191103, 0.000524784, 0.000154753, 2.26992e-05, 1e-22, 1.48244e-05, 0.00756969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.0685766, 0.0900749, 0.0940036, 0.10069, 0.133165, 0.261091, 0.334359, 0.378539, 0.426665, 0.463895, 0.562947, 0.62876, 0.683237, 0.741532, 0.807312, 0.8383, 0.900275, 0.963108, 0.997006, 1.0648, 1.16169, 1.25857, 1.35546, 1.45234, 1.54923, 1.743, 2.03366, 4.84439, 5.29123");
-            values ( \
-              "0.0414171, 0.149294, 0.153954, 0.153634, 0.150322, 0.134603, 0.124494, 0.117097, 0.106581, 0.0966391, 0.068192, 0.0512428, 0.0394778, 0.0293116, 0.0205784, 0.0173466, 0.0122, 0.0084971, 0.00698431, 0.00467011, 0.00260216, 0.00143431, 0.000798188, 0.000433994, 0.000245524, 7.74657e-05, 7.92161e-06, 1e-22, 0.0159593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.0681138, 0.100103, 0.125622, 0.17492, 0.458372, 0.610469, 0.702155, 0.801978, 0.879064, 1.09831, 1.24543, 1.32947, 1.41654, 1.51343, 1.61172, 1.66502, 1.74916, 1.84605, 1.91348, 2.01037, 2.10725, 2.20414, 2.39791, 2.59168, 2.78545, 3.17299, 4.84391, 5.29143");
-            values ( \
-              "0.0703468, 0.157195, 0.156265, 0.153617, 0.136454, 0.126202, 0.118743, 0.108176, 0.0982812, 0.0676349, 0.0493582, 0.0405451, 0.0327362, 0.0255208, 0.0196909, 0.0170447, 0.0135431, 0.0103282, 0.00855622, 0.00648745, 0.00492596, 0.00371676, 0.00211778, 0.00120218, 0.000680189, 0.000214763, 1e-22, 0.0335812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.11432, 0.134519, 0.153025, 0.174142, 0.177853, 0.185276, 0.190632, 0.192276, 0.195564, 0.199263, 0.210252, 0.212382, 0.213477, 0.223682, 0.231352, 0.235041, 0.239239, 0.245203, 0.25142, 0.256184, 0.265712, 0.283368, 0.304274, 0.331097, 0.366883, 4.84419, 5.28684");
-            values ( \
-              "7.27736e-05, 0.0132457, 0.0287976, 0.0452032, 0.0476988, 0.0519707, 0.0538892, 0.0540606, 0.053575, 0.0503245, 0.0317734, 0.0315521, 0.030623, 0.0182434, 0.0117824, 0.00951066, 0.00741989, 0.00518124, 0.00356181, 0.00266133, 0.00146158, 0.000435698, 9.25171e-05, 8.65823e-06, 1e-22, 1.21979e-06, 0.000820803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.119642, 0.124668, 0.131296, 0.142123, 0.176799, 0.190573, 0.195197, 0.204502, 0.206722, 0.210483, 0.212768, 0.216158, 0.233607, 0.243337, 0.253647, 0.265942, 0.279018, 0.288456, 0.295454, 0.306168, 0.320452, 0.346967, 0.378175, 0.417944, 0.470413, 4.84443");
-            values ( \
-              "0.00697609, 0.00910761, 0.0136531, 0.0238593, 0.0622945, 0.0763799, 0.0805664, 0.0871543, 0.0881283, 0.0888885, 0.0914584, 0.0879005, 0.0554881, 0.0408436, 0.0289295, 0.0186893, 0.0114953, 0.00797946, 0.00608141, 0.00398762, 0.00223477, 0.000686884, 0.000158522, 1.42019e-05, 1e-22, 9.56728e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.122532, 0.130931, 0.139297, 0.150272, 0.197055, 0.210675, 0.213165, 0.216233, 0.235111, 0.250123, 0.257893, 0.284995, 0.300456, 0.317228, 0.335745, 0.349908, 0.357755, 0.370434, 0.38734, 0.397008, 0.411201, 0.430126, 0.467977, 0.519393, 0.583198, 0.665214, 4.84462, 5.27245");
-            values ( \
-              "0.0107075, 0.0155868, 0.0237542, 0.0368217, 0.100928, 0.11784, 0.122044, 0.123686, 0.11409, 0.103974, 0.0970816, 0.0672842, 0.0523028, 0.0386026, 0.0269319, 0.0201286, 0.0170778, 0.0130212, 0.00897676, 0.00725061, 0.00528133, 0.00342752, 0.00137303, 0.000358259, 5.55135e-05, 1e-22, 2e-22, 0.00364555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.122466, 0.151249, 0.217061, 0.28421, 0.32014, 0.443286, 0.499862, 0.547955, 0.601747, 0.66122, 0.729191, 0.822798, 0.919683, 1.01657, 4.84565");
-            values ( \
-              "0.0127929, 0.0394169, 0.141588, 0.125121, 0.113728, 0.0491088, 0.0279287, 0.0165395, 0.00893687, 0.00444041, 0.00189547, 0.000540893, 0.000138465, 3.89231e-05, 9.80511e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.141728, 0.175433, 0.212916, 0.218078, 0.224797, 0.318933, 0.399584, 0.443614, 0.473068, 0.521194, 0.558424, 0.657475, 0.723288, 0.777765, 0.836059, 0.901838, 0.932825, 0.994798, 1.05763, 1.09153, 1.15932, 1.2562, 1.35309, 1.44997, 1.54686, 1.64374, 1.83752, 2.12817, 4.84428, 5.2848");
-            values ( \
-              "0.0413649, 0.0810258, 0.14834, 0.152103, 0.15055, 0.139297, 0.128717, 0.122191, 0.117091, 0.106574, 0.0966458, 0.0681871, 0.0512482, 0.0394832, 0.029317, 0.0205837, 0.0173421, 0.0122054, 0.00850243, 0.00697982, 0.00467543, 0.00259747, 0.00143934, 0.000793349, 0.000438939, 0.000240641, 7.25733e-05, 1.2815e-05, 3.42996e-07, 0.0162076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.141903, 0.218294, 0.225046, 0.285065, 0.439053, 0.64456, 0.735937, 0.797061, 0.831615, 0.896884, 0.97397, 1.15247, 1.24345, 1.34034, 1.40987, 1.51145, 1.60833, 1.70663, 1.75992, 1.84406, 1.94095, 2.00838, 2.10527, 2.20215, 2.29904, 2.39592, 2.58969, 2.88035, 3.26789, 3.84921, 4.84445, 5.28617");
-            values ( \
-              "0.0353084, 0.157009, 0.155943, 0.152711, 0.143509, 0.130489, 0.123903, 0.118751, 0.115416, 0.108183, 0.0982744, 0.0731989, 0.0610373, 0.0493524, 0.0419768, 0.0327311, 0.025526, 0.0196864, 0.0170496, 0.0135386, 0.0103331, 0.00855176, 0.0064922, 0.00492146, 0.00372143, 0.00281411, 0.00160341, 0.000684726, 0.000219259, 3.84942e-05, 4.16299e-06, 0.0341001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.252851, 0.281038, 0.289494, 0.297248, 0.337278, 0.354043, 0.368375, 0.384351, 0.393282, 0.401948, 0.40486, 0.410597, 0.41592, 0.434843, 0.44647, 0.454031, 0.467214, 0.477191, 0.484615, 0.499463, 0.505587, 0.50865, 0.514774, 0.520323, 0.531422, 0.54364, 0.56695, 0.60173, 0.652087, 0.732925, 0.82981, 0.926696, 1.02358, 1.12047, 1.21735, 1.31424, 1.41112, 1.50801, 1.60489, 1.70178, 1.79867, 1.89555, 1.99244, 2.08932, 4.84414");
-            values ( \
-              "0.00216929, 0.00427803, 0.00577286, 0.00759385, 0.019968, 0.0242182, 0.0274787, 0.0304911, 0.0317166, 0.0322853, 0.0321774, 0.0310571, 0.0281767, 0.0148961, 0.00941238, 0.00680612, 0.00363625, 0.00218174, 0.00145777, 0.000582883, 0.000394307, 0.00149552, 0.00175964, 0.00104882, 0.000579529, 0.000238747, 6.0591e-05, 1e-22, 1.2209e-05, 1e-22, 1.13186e-05, 1e-22, 1.04278e-05, 1e-22, 9.61678e-06, 1e-22, 8.90186e-06, 1e-22, 8.27768e-06, 1e-22, 7.73424e-06, 1e-22, 7.26138e-06, 1e-22, 4.41238e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.252989, 0.293755, 0.30454, 0.329598, 0.357543, 0.378668, 0.396542, 0.412538, 0.421988, 0.427329, 0.438011, 0.441693, 0.448913, 0.474189, 0.483533, 0.497786, 0.505726, 0.51028, 0.514331, 0.526294, 0.531962, 0.53952, 0.554635, 0.581331, 0.613119, 0.653426, 0.707243, 4.84428, 5.26977");
-            values ( \
-              "0.00173463, 0.00922009, 0.0125907, 0.0226255, 0.0330848, 0.0406104, 0.0465186, 0.0510762, 0.0531836, 0.0540587, 0.0544852, 0.053617, 0.0501791, 0.0289299, 0.0224853, 0.0144467, 0.0110545, 0.0112303, 0.0103816, 0.00652967, 0.00524412, 0.00389701, 0.00211255, 0.000648037, 0.000145643, 1.79319e-05, 1e-22, 2.30053e-06, 0.00177959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.25282, 0.306985, 0.324644, 0.428377, 0.438612, 0.459149, 0.471312, 0.478275, 0.492201, 0.497571, 0.505557, 0.51125, 0.53154, 0.545713, 0.554381, 0.5638, 0.57636, 0.590621, 0.598638, 0.614671, 0.627878, 0.634597, 0.648035, 0.674911, 0.721947, 0.77769, 0.848649, 0.943704, 4.84411, 5.27784");
-            values ( \
-              "0.00123068, 0.0160802, 0.0236725, 0.0720842, 0.0763077, 0.0836125, 0.0865102, 0.0871765, 0.0844839, 0.0811333, 0.0752855, 0.0721146, 0.0523153, 0.0404373, 0.0343952, 0.0286143, 0.0221791, 0.016465, 0.0138696, 0.00976729, 0.00729796, 0.00628029, 0.00464502, 0.00248158, 0.000759193, 0.000163043, 1.97765e-05, 1e-22, 3.59736e-06, 0.00374581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.258224, 0.30364, 0.316119, 0.325223, 0.336686, 0.350679, 0.375287, 0.386311, 0.43804, 0.469883, 0.486586, 0.500187, 0.505926, 0.511358, 0.518311, 0.523169, 0.535391, 0.549916, 0.55796, 0.573366, 0.591649, 0.626776, 0.640883, 0.656316, 0.672956, 0.695343, 0.700397, 0.710506, 0.729527, 0.754535, 0.76223, 0.77762, 0.808401, 0.831409, 0.839904, 0.856894, 0.890875, 0.958836, 1.05232, 1.14921, 1.2461, 1.34298, 1.53675, 4.84448, 5.27506");
-            values ( \
-              "0.00473448, 0.0164834, 0.0216909, 0.0258545, 0.0314489, 0.0386877, 0.0521407, 0.0583123, 0.088499, 0.106296, 0.114852, 0.12103, 0.123426, 0.124863, 0.124037, 0.122324, 0.118681, 0.113653, 0.110424, 0.103317, 0.0934093, 0.0728785, 0.0651731, 0.0571096, 0.0491055, 0.0396072, 0.0376767, 0.0340255, 0.0279274, 0.021339, 0.0196177, 0.016542, 0.0116522, 0.00893931, 0.00810029, 0.00664782, 0.00443946, 0.00189773, 0.000540458, 0.000140526, 3.73046e-05, 9.20159e-06, 2.82144e-07, 1e-22, 0.00789806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.260982, 0.325303, 0.349967, 0.511953, 0.600347, 0.65447, 0.691786, 0.71771, 0.769557, 0.900602, 0.950206, 1.00575, 1.06427, 1.12983, 1.16063, 1.22223, 1.28556, 1.3197, 1.38797, 1.48485, 1.58174, 1.67862, 1.77551, 1.8724, 2.35682, 4.84387, 5.27938");
-            values ( \
-              "0.00636676, 0.0273886, 0.0402973, 0.143499, 0.132408, 0.12485, 0.118786, 0.113775, 0.101337, 0.0640371, 0.0514758, 0.0394639, 0.0292669, 0.02057, 0.0173734, 0.0122326, 0.00849515, 0.00698284, 0.0046473, 0.00259673, 0.00142421, 0.000799367, 0.000428175, 0.000248488, 4.85934e-06, 1e-22, 0.0166311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.325791, 0.387544, 0.435768, 0.509104, 0.515808, 0.521703, 0.533492, 0.668484, 0.783144, 0.874134, 0.965508, 1.02663, 1.06119, 1.12646, 1.20354, 1.38205, 1.47302, 1.56991, 1.63944, 1.68297, 1.74101, 1.8379, 1.89622, 1.93619, 1.98949, 2.07363, 2.17052, 2.23795, 2.33483, 2.43172, 2.5286, 2.62549, 2.72237, 2.81926, 2.91615, 3.10992, 3.40057, 3.885, 4.8443, 5.27234");
-            values ( \
-              "0.0576289, 0.0640075, 0.0974845, 0.151614, 0.152937, 0.15205, 0.151611, 0.143526, 0.136434, 0.130493, 0.123906, 0.118748, 0.115418, 0.108181, 0.0982755, 0.0731992, 0.0610381, 0.0493517, 0.0419779, 0.0377956, 0.0327299, 0.0255276, 0.0218948, 0.019685, 0.0170512, 0.0135373, 0.0103346, 0.00855047, 0.00649376, 0.00492013, 0.00372291, 0.00281276, 0.00212382, 0.00160206, 0.00120813, 0.000686081, 0.000293446, 7.05372e-05, 3.4797e-06, 0.0354898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.585949, 0.638978, 0.646941, 0.656281, 0.666997, 0.674555, 0.685549, 0.699829, 0.709195, 0.731318, 0.749614, 0.763837, 0.780454, 0.79013, 0.800816, 0.811553, 0.822291, 0.833191, 0.840607, 0.84976, 0.857082, 0.858301, 0.860739, 0.861957, 0.864365, 0.866773, 0.871589, 0.873764, 0.880288, 0.883168, 0.889888, 0.904877, 0.913283, 0.917814, 0.92728, 0.930632, 0.937334, 0.943121, 0.948911, 0.961659, 0.97178, 0.992018, 1.05305, 1.11409, 1.17512, 1.23616, 1.25023, 1.2643, 1.50254, 4.55444");
-            values ( \
-              "0.00168615, 0.00184791, 0.00215355, 0.00255226, 0.00306328, 0.00347217, 0.00435231, 0.00577997, 0.00690819, 0.00978252, 0.0119835, 0.0135068, 0.0150603, 0.0158624, 0.0166339, 0.0173199, 0.0179285, 0.0184657, 0.0187668, 0.0190438, 0.0191913, 0.0191918, 0.0191819, 0.0191714, 0.01914, 0.0190941, 0.0189595, 0.0188564, 0.0184251, 0.017977, 0.0164398, 0.0116678, 0.00928067, 0.00819627, 0.00621101, 0.00555359, 0.00450215, 0.00372835, 0.00306273, 0.00185924, 0.00117441, 0.000365738, 0.000554554, 0.000588434, 0.000467379, 0.000191389, 0.000105777, 1.19289e-05, 2.15277e-08, 4.4019e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("0.63769, 0.697377, 0.715081, 0.724104, 0.74238, 0.764957, 0.789431, 0.81301, 0.829495, 0.839693, 0.856691, 0.870289, 0.884007, 0.89328, 0.90013, 0.904696, 0.909263, 0.913829, 0.915343, 0.918372, 0.922878, 0.925869, 0.931851, 0.934565, 0.939994, 0.944329, 0.954055, 0.971167, 0.983866, 0.990249, 1.00127, 1.01024, 1.01495, 1.02297, 1.02961, 1.03868, 1.04647, 1.05685, 1.06723, 1.07761, 1.11704, 1.15648, 1.19592, 1.23536, 1.26483, 1.37937, 1.49391, 1.60845, 1.72299, 4.53267");
-            values ( \
-              "0.00745351, 0.00767614, 0.010175, 0.011592, 0.0146543, 0.0180973, 0.0214538, 0.0243144, 0.0261448, 0.027179, 0.0287706, 0.0299249, 0.0309824, 0.0315996, 0.0319768, 0.0321895, 0.032372, 0.0325244, 0.0325139, 0.0324639, 0.0323185, 0.0321751, 0.031776, 0.0314906, 0.0307664, 0.0299579, 0.0267919, 0.0202367, 0.0157893, 0.0138476, 0.0108303, 0.00864384, 0.00771041, 0.00628663, 0.00526859, 0.00409663, 0.00318711, 0.00219227, 0.00149942, 0.000878877, 0.000892563, 0.000808593, 0.000626968, 0.000347687, 7.52448e-05, 4.6539e-05, 2.44383e-05, 8.94244e-06, 5.15893e-08, 8.51773e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("0.608248, 0.693665, 0.712983, 0.785681, 0.824582, 0.87112, 0.892873, 0.910508, 0.954034, 0.978205, 1.00158, 1.00944, 1.0249, 1.0422, 1.07673, 1.10824, 1.12661, 1.1481, 1.16771, 1.1748, 1.19079, 1.20906, 1.21592, 1.22518, 1.23693, 1.24787, 1.26977, 1.30411, 1.36417, 1.45375, 1.55064, 1.64753, 1.74441, 1.8413, 1.93818, 2.03507, 2.13195, 2.22884, 4.84425, 5.24361");
-            values ( \
-              "0.0048726, 0.00944038, 0.0121595, 0.0251579, 0.0316346, 0.0388093, 0.0419379, 0.0444335, 0.04976, 0.0519894, 0.0530561, 0.0528492, 0.0508596, 0.0455314, 0.0314745, 0.0201312, 0.014857, 0.0100735, 0.0068703, 0.00594619, 0.00426295, 0.00283516, 0.00243144, 0.00297588, 0.00259447, 0.00187703, 0.00121323, 0.000483096, 0.000125803, 1e-22, 2.25265e-05, 1e-22, 1.928e-05, 1e-22, 1.61454e-05, 1e-22, 1.34778e-05, 1e-22, 1.3932e-07, 0.00406374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.608122, 0.712311, 0.748751, 0.94061, 1.01076, 1.05676, 1.06769, 1.08956, 1.09942, 1.11913, 1.14132, 1.18357, 1.25641, 1.27775, 1.30727, 1.32241, 1.34788, 1.38184, 1.39741, 1.42026, 1.45073, 1.51166, 1.60671, 1.70359, 1.80048, 2.09113, 4.84412, 5.24704");
-            values ( \
-              "0.00550347, 0.0142137, 0.0205667, 0.0584052, 0.0714173, 0.0786047, 0.0800066, 0.0823082, 0.0829616, 0.0831333, 0.080301, 0.0666908, 0.0377078, 0.0303065, 0.022117, 0.0187416, 0.0141006, 0.00953029, 0.00796148, 0.00610001, 0.00425096, 0.00199931, 0.00055692, 0.000142854, 4.04714e-05, 1e-22, 1.30801e-06, 0.0085498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.608525, 0.771742, 0.893405, 1.0603, 1.1227, 1.15335, 1.21835, 1.22266, 1.27597, 1.32499, 1.45094, 1.5241, 1.60431, 1.65898, 1.72116, 1.76379, 1.82285, 1.89629, 1.99318, 2.09007, 2.18695, 2.28384, 2.38072, 2.76826, 4.84453, 5.23538");
-            values ( \
-              "0.00338262, 0.0265584, 0.053127, 0.092893, 0.106744, 0.112832, 0.123272, 0.123346, 0.113765, 0.102086, 0.0661885, 0.0478472, 0.0321833, 0.0241093, 0.0171525, 0.0134827, 0.00960996, 0.00626952, 0.00348775, 0.00194271, 0.00106548, 0.000596119, 0.00032061, 2.51209e-05, 1e-22, 0.017993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.70522, 0.832436, 0.974687, 1.1859, 1.21601, 1.22767, 1.37229, 1.48956, 1.61581, 1.75817, 2.02765, 2.12454, 2.2376, 2.29565, 2.45085, 2.54411, 2.62825, 2.72513, 2.88945, 3.08323, 3.277, 3.47077, 3.76142, 4.84434, 5.22704");
-            values ( \
-              "0.0319616, 0.0407403, 0.076591, 0.134506, 0.142211, 0.14298, 0.134263, 0.126249, 0.115393, 0.0982566, 0.0610455, 0.0493452, 0.0377982, 0.0327272, 0.0218927, 0.0170534, 0.0135362, 0.0103364, 0.00649541, 0.00372454, 0.00212546, 0.00120979, 0.000515332, 2.03848e-05, 0.0387263" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0178732, 0.0192244, 0.020634, 0.022948, 0.0256497, 0.03059, 0.0347108, 0.0382143, 0.0419492, 0.0517063, 0.0603672, 0.0651524, 0.0685429, 0.0753238, 0.0842337, 0.0953515, 0.10969, 0.312271, 3.59804");
-            values ( \
-              "-0.0249953, -0.124941, -0.125438, -0.125311, -0.124566, -0.121713, -0.117004, -0.109518, -0.0962758, -0.0405036, -0.0141349, -0.00760233, -0.00483994, -0.00186166, -0.00050752, -0.000111681, -3.53916e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.0180143, 0.0213463, 0.0289819, 0.0333259, 0.0446874, 0.0503915, 0.0551693, 0.0587966, 0.0752661, 0.0819003, 0.0898414, 0.0949749, 0.103317, 0.114441, 0.129229, 0.14758, 0.171108, 0.268269, 3.59803");
-            values ( \
-              "-0.0820391, -0.157368, -0.155217, -0.153385, -0.145857, -0.138803, -0.129707, -0.119574, -0.0505693, -0.0319879, -0.0178205, -0.0120624, -0.00630167, -0.00250701, -0.00069541, -0.000140812, -2.87325e-05, -2.79735e-06, -1.91544e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.0181411, 0.021692, 0.0227468, 0.0238026, 0.0259143, 0.0284999, 0.0379982, 0.0458852, 0.0574565, 0.0673184, 0.074393, 0.0779995, 0.0852127, 0.0891565, 0.0948977, 0.116637, 0.124921, 0.130094, 0.136776, 0.14248, 0.148629, 0.157368, 0.161175, 0.168789, 0.184017, 0.208981, 0.238628, 0.276059, 0.326371, 3.59805");
-            values ( \
-              "-0.080505, -0.179064, -0.178724, -0.178721, -0.17816, -0.177794, -0.175467, -0.173083, -0.168637, -0.163946, -0.159197, -0.156225, -0.148721, -0.1433, -0.132657, -0.0744277, -0.0560318, -0.0464457, -0.0360998, -0.0289469, -0.0226816, -0.0158903, -0.0135993, -0.00991338, -0.0051432, -0.00161449, -0.000359107, -6.59553e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0192882, 0.0214859, 0.0229698, 0.0257295, 0.0284948, 0.0331075, 0.0377551, 0.0470259, 0.0564596, 0.0574962, 0.0595695, 0.0637161, 0.0720092, 0.0754548, 0.0948884, 0.114826, 0.128366, 0.135524, 0.14984, 0.157555, 0.168885, 0.182886, 0.21177, 0.228071, 0.238276, 0.25145, 0.262677, 0.27478, 0.291966, 0.299413, 0.314308, 0.344098, 0.393267, 0.451645, 0.523606, 0.595567, 3.59806");
-            values ( \
-              "-0.191549, -0.191872, -0.191527, -0.19149, -0.190951, -0.190628, -0.189797, -0.188714, -0.18701, -0.187056, -0.1865, -0.185992, -0.184278, -0.18381, -0.179618, -0.174114, -0.169359, -0.166298, -0.158493, -0.15286, -0.141538, -0.122077, -0.0793975, -0.0598312, -0.0495972, -0.0385737, -0.0309554, -0.0242665, -0.0170314, -0.014588, -0.0106691, -0.00555659, -0.00172953, -0.000392218, -5.13502e-05, -5.31572e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.0194156, 0.0224077, 0.0246518, 0.0268962, 0.0569411, 0.0889063, 0.133684, 0.173285, 0.213956, 0.24141, 0.256187, 0.28574, 0.301106, 0.324209, 0.425265, 0.451838, 0.482208, 0.520448, 0.544188, 0.577237, 0.617248, 0.670595, 0.742556, 0.814517, 0.886478, 0.958439, 1.24628, 3.59811");
-            values ( \
-              "-0.198312, -0.198629, -0.198309, -0.19845, -0.196626, -0.194112, -0.189859, -0.185354, -0.179559, -0.174697, -0.171545, -0.163483, -0.157818, -0.146124, -0.0731103, -0.0579993, -0.0438519, -0.030407, -0.0240484, -0.0172175, -0.0114285, -0.00648792, -0.00294511, -0.00132438, -0.000597261, -0.00026574, -9.25204e-06, -1.28729e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0195554, 0.0219962, 0.0244081, 0.0285331, 0.0350245, 0.0466273, 0.0582473, 0.0776301, 0.136121, 0.255903, 0.337984, 0.421838, 0.465518, 0.50983, 0.581791, 0.645525, 0.709967, 0.804208, 0.869067, 0.938179, 0.997592, 1.05198, 1.12394, 1.17883, 1.2392, 1.31116, 1.38312, 1.45508, 1.599, 1.74292, 1.88684, 2.17469, 3.5982");
-            values ( \
-              "-0.201516, -0.202069, -0.201786, -0.202056, -0.201701, -0.201758, -0.201184, -0.200875, -0.198651, -0.192909, -0.188191, -0.182366, -0.178559, -0.174098, -0.16421, -0.149919, -0.127919, -0.0926081, -0.0716028, -0.0533045, -0.04079, -0.0316976, -0.0224426, -0.0171755, -0.0127616, -0.00889402, -0.00618202, -0.004285, -0.00205142, -0.000978956, -0.000466273, -0.000105161, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.028693, 0.035676, 0.0369149, 0.0376367, 0.0382503, 0.0389034, 0.0394093, 0.0400839, 0.0414331, 0.0429839, 0.0450353, 0.0481277, 0.0499206, 0.0514558, 0.0545262, 0.0573104, 0.0620297, 0.0664621, 0.0701406, 0.0739103, 0.0767504, 0.0798259, 0.0822065, 0.0869677, 0.0955132, 0.105725, 0.118924, 0.136985, 0.168164, 0.230521, 0.302482, 0.374443, 3.59805");
-            values ( \
-              "-0.00614924, -0.102344, -0.11621, -0.122331, -0.12358, -0.124271, -0.124429, -0.124366, -0.123981, -0.123147, -0.121684, -0.118607, -0.115767, -0.112632, -0.103796, -0.0917311, -0.0624474, -0.039082, -0.0253499, -0.0158703, -0.0109933, -0.00736998, -0.0053871, -0.00281422, -0.000807537, -0.000180346, -5.08154e-05, -2.14406e-05, -2.35131e-05, -1e-22, -7.86467e-06, -1e-22, -5.9297e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.0294785, 0.0368483, 0.0380227, 0.039413, 0.0409001, 0.050543, 0.0592946, 0.0649947, 0.0689989, 0.074059, 0.090207, 0.0965888, 0.103002, 0.10983, 0.114017, 0.12239, 0.135791, 0.151757, 0.171892, 0.315772, 3.59803");
-            values ( \
-              "-0.00442654, -0.144676, -0.154297, -0.156034, -0.156105, -0.152113, -0.145911, -0.138833, -0.131479, -0.117292, -0.0494433, -0.0317889, -0.0198799, -0.0118242, -0.00855222, -0.00436505, -0.00138747, -0.000339513, -6.74429e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.030565, 0.0368675, 0.0377492, 0.0388326, 0.0394, 0.040535, 0.0426982, 0.0510662, 0.0564762, 0.062367, 0.0721962, 0.0820531, 0.0891232, 0.0927352, 0.0999591, 0.10389, 0.109631, 0.116732, 0.131362, 0.139647, 0.144817, 0.151496, 0.157195, 0.16334, 0.172074, 0.175877, 0.183482, 0.198693, 0.223478, 0.252904, 0.28999, 0.339784, 3.59801");
-            values ( \
-              "-0.00673815, -0.16489, -0.175272, -0.177509, -0.178004, -0.178196, -0.177939, -0.175925, -0.174386, -0.172496, -0.168755, -0.163911, -0.159231, -0.156259, -0.14873, -0.14333, -0.132679, -0.114458, -0.0744581, -0.0560519, -0.0464615, -0.0361187, -0.0289672, -0.0226957, -0.0159056, -0.0136109, -0.00992764, -0.00515056, -0.00163409, -0.000366637, -6.93991e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0319407, 0.0389765, 0.0406114, 0.0434031, 0.0544451, 0.0723919, 0.0903455, 0.109776, 0.129266, 0.143248, 0.150408, 0.164726, 0.172437, 0.183767, 0.197767, 0.226648, 0.242949, 0.253153, 0.266326, 0.277551, 0.289653, 0.306836, 0.314282, 0.329173, 0.358956, 0.407796, 0.465773, 0.537734, 0.609695, 3.59805");
-            values ( \
-              "-0.0703424, -0.190427, -0.191398, -0.191161, -0.189753, -0.187016, -0.183764, -0.179563, -0.17427, -0.169376, -0.166308, -0.158503, -0.152867, -0.141548, -0.122082, -0.0794018, -0.0598339, -0.0496032, -0.0385757, -0.0309576, -0.0242717, -0.0170326, -0.0145927, -0.0106702, -0.00556047, -0.00174522, -0.000403552, -5.08542e-05, -7.16489e-06, -9.92336e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.0334894, 0.0389516, 0.040429, 0.0418085, 0.11201, 0.18818, 0.228845, 0.250684, 0.29008, 0.31597, 0.36172, 0.443326, 0.480953, 0.507931, 0.555028, 0.590217, 0.62609, 0.653457, 0.708193, 0.780154, 0.852115, 0.996037, 1.28388, 3.59802");
-            values ( \
-              "-0.14216, -0.199545, -0.198, -0.19861, -0.193332, -0.185278, -0.179565, -0.175784, -0.166695, -0.15782, -0.130761, -0.0712844, -0.0511107, -0.0396803, -0.025108, -0.0175779, -0.012195, -0.00919636, -0.00511585, -0.00232574, -0.00103611, -0.000203022, -1.3943e-06, -5.81337e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.0335949, 0.0389496, 0.0404482, 0.0426692, 0.044547, 0.0472086, 0.0730918, 0.111992, 0.245807, 0.352809, 0.42477, 0.480433, 0.524655, 0.596617, 0.660342, 0.724789, 0.819028, 0.883889, 0.953001, 1.01241, 1.0668, 1.13876, 1.19365, 1.25401, 1.32597, 1.39793, 1.46989, 1.61382, 1.75774, 1.90166, 2.18951, 3.59801");
-            values ( \
-              "-0.145448, -0.203001, -0.201552, -0.202275, -0.201871, -0.202041, -0.201409, -0.200109, -0.194097, -0.188032, -0.183173, -0.178547, -0.174101, -0.164207, -0.149918, -0.127923, -0.0926094, -0.0716031, -0.0533046, -0.0407904, -0.0316982, -0.022443, -0.0171759, -0.0127621, -0.00889429, -0.00618229, -0.00428511, -0.00205145, -0.000978947, -0.000466245, -0.000105118, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0541611, 0.0600536, 0.0631882, 0.0713437, 0.0763747, 0.0795445, 0.0834263, 0.0850065, 0.0871133, 0.087466, 0.0881715, 0.0890766, 0.0898484, 0.0923901, 0.0983862, 0.100826, 0.103451, 0.106437, 0.107853, 0.110685, 0.113557, 0.115137, 0.118297, 0.124618, 0.133175, 0.143738, 0.157234, 0.211819, 0.353123, 3.59804");
-            values ( \
-              "-0.00409357, -0.0437786, -0.053867, -0.074904, -0.086957, -0.0938807, -0.100996, -0.10304, -0.10416, -0.104104, -0.106674, -0.106561, -0.104849, -0.0950785, -0.0587505, -0.0455104, -0.033843, -0.0236658, -0.0198721, -0.0138544, -0.00955772, -0.0077783, -0.00510612, -0.00210772, -0.000601247, -0.000133821, -3.3836e-05, -1.05223e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.0553669, 0.0629461, 0.0649846, 0.0747022, 0.0803701, 0.0874404, 0.088611, 0.0897628, 0.0911834, 0.0931374, 0.0951381, 0.0973873, 0.100386, 0.102719, 0.106699, 0.109326, 0.11359, 0.119607, 0.123841, 0.128319, 0.13214, 0.135645, 0.140317, 0.14389, 0.147883, 0.153208, 0.163858, 0.178271, 0.19604, 0.218692, 0.251968, 0.453365, 3.59801");
-            values ( \
-              "-0.00214299, -0.065615, -0.0734163, -0.104882, -0.122121, -0.141903, -0.1473, -0.149547, -0.148928, -0.147601, -0.145776, -0.143417, -0.139449, -0.135509, -0.126896, -0.118973, -0.10128, -0.0735798, -0.0566755, -0.0420733, -0.03217, -0.024966, -0.0175964, -0.0134111, -0.00987277, -0.00650534, -0.0026978, -0.000771164, -0.000158409, -2.88399e-05, -1.43544e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.0564183, 0.0664677, 0.0887556, 0.0904027, 0.0984024, 0.108222, 0.120709, 0.128753, 0.139909, 0.14567, 0.173062, 0.180831, 0.193176, 0.208049, 0.219449, 0.234649, 0.259245, 0.288435, 0.325154, 0.374401, 3.59815");
-            values ( \
-              "-0.00275914, -0.0883194, -0.173013, -0.174753, -0.172567, -0.168798, -0.162347, -0.156314, -0.143383, -0.132658, -0.0614287, -0.0464687, -0.0290022, -0.0159249, -0.00994018, -0.00515912, -0.00165028, -0.000377073, -6.95465e-05, -1e-22, -8.60296e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.0576796, 0.0748037, 0.0888735, 0.0897324, 0.0914502, 0.11068, 0.133422, 0.14598, 0.165465, 0.186605, 0.200925, 0.208632, 0.219961, 0.260291, 0.275086, 0.297326, 0.316266, 0.327864, 0.344022, 0.363514, 0.389503, 0.437442, 0.493491, 0.564158, 0.63612, 3.59817");
-            values ( \
-              "-0.0257716, -0.12889, -0.187603, -0.189094, -0.18969, -0.186728, -0.182412, -0.179575, -0.174325, -0.166326, -0.158516, -0.15288, -0.141561, -0.0828138, -0.0643146, -0.0426108, -0.0294324, -0.0232943, -0.0166895, -0.0111038, -0.00632499, -0.00204115, -0.000505568, -6.75787e-05, -1.02144e-05, -1.99344e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.0585621, 0.0883272, 0.0891935, 0.090404, 0.0928251, 0.141686, 0.184694, 0.224295, 0.264018, 0.292381, 0.307189, 0.336804, 0.352108, 0.375212, 0.403738, 0.457366, 0.476265, 0.502834, 0.533199, 0.550911, 0.571454, 0.59519, 0.628235, 0.641567, 0.668231, 0.721561, 0.793522, 0.865483, 0.937444, 1.00941, 1.15333, 1.29725, 3.59793");
-            values ( \
-              "-0.0441619, -0.192979, -0.19649, -0.197524, -0.197748, -0.193923, -0.18979, -0.185268, -0.179732, -0.17471, -0.171548, -0.163467, -0.157818, -0.146126, -0.125988, -0.0854729, -0.0731112, -0.0580024, -0.0438565, -0.0370832, -0.0304058, -0.0240484, -0.0172182, -0.015036, -0.0114305, -0.00649052, -0.00294621, -0.00132497, -0.000597437, -0.000265906, -5.23168e-05, -9.31657e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.0590404, 0.0883361, 0.0923488, 0.181537, 0.292135, 0.388852, 0.460813, 0.516391, 0.560698, 0.632659, 0.653903, 0.696392, 0.760834, 0.855075, 0.919934, 0.989045, 1.04846, 1.10284, 1.17481, 1.2297, 1.29005, 1.36201, 1.43398, 1.50594, 1.5779, 1.64986, 1.79378, 1.9377, 2.22555, 3.59802");
-            values ( \
-              "-0.0517059, -0.196595, -0.201924, -0.198766, -0.193553, -0.188051, -0.183155, -0.178555, -0.174102, -0.164207, -0.160236, -0.149916, -0.127923, -0.0926085, -0.0716032, -0.053305, -0.0407906, -0.0316982, -0.022443, -0.0171761, -0.0127623, -0.00889442, -0.00618239, -0.00428517, -0.00296845, -0.00205147, -0.000978954, -0.000466244, -0.000105112, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.10509, 0.115637, 0.125847, 0.136738, 0.149185, 0.160636, 0.164065, 0.169835, 0.175862, 0.187666, 0.192102, 0.198377, 0.203793, 0.208276, 0.210206, 0.212278, 0.21488, 0.217274, 0.222061, 0.227304, 0.23779, 0.254257, 0.278851, 0.318142, 0.390103, 0.462064, 0.534025, 0.605986, 0.677948, 0.749909, 0.82187, 0.893831, 0.965792, 1.03775, 1.10971, 1.18168, 1.25364, 1.3256, 1.39756, 1.46952, 1.54148, 1.61344, 1.6854, 1.75736, 1.82932, 3.59792");
-            values ( \
-              "-0.0079141, -0.0168683, -0.0309465, -0.0422156, -0.0540243, -0.0631664, -0.0651307, -0.0661176, -0.0590519, -0.0256103, -0.0164149, -0.00812741, -0.00425697, -0.00240703, -0.0018817, -0.00310198, -0.00290125, -0.00195598, -0.00106878, -0.000502742, -0.000133843, -9.30291e-06, -3.1733e-05, -1e-22, -1.55179e-05, -1e-22, -1.32351e-05, -1e-22, -1.26964e-05, -1e-22, -1.22643e-05, -1e-22, -1.1857e-05, -1e-22, -1.14705e-05, -1e-22, -1.11039e-05, -1e-22, -1.07562e-05, -1e-22, -1.04263e-05, -1e-22, -1.01132e-05, -1e-22, -9.81573e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.105189, 0.12539, 0.130431, 0.142579, 0.158799, 0.172573, 0.179803, 0.184292, 0.191907, 0.199519, 0.210305, 0.212456, 0.220027, 0.226651, 0.232769, 0.236258, 0.243236, 0.256216, 0.271383, 0.290541, 0.315487, 3.59802");
-            values ( \
-              "-0.00259477, -0.0370195, -0.0447801, -0.060615, -0.0803601, -0.0953924, -0.101752, -0.104568, -0.104682, -0.0921426, -0.0557489, -0.0517758, -0.0307808, -0.0189271, -0.0118759, -0.00907864, -0.00520957, -0.00172457, -0.000447758, -9.25541e-05, -9.31139e-06, -9.37495e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.105185, 0.147708, 0.158317, 0.180911, 0.193598, 0.199711, 0.205068, 0.210301, 0.211652, 0.213393, 0.218225, 0.22242, 0.226958, 0.232682, 0.239794, 0.2531, 0.260643, 0.264422, 0.271981, 0.276354, 0.28143, 0.287339, 0.295568, 0.298906, 0.305581, 0.318932, 0.343093, 0.371358, 0.407009, 0.453465, 3.59802");
-            values ( \
-              "-0.0017957, -0.0770482, -0.0926793, -0.12401, -0.139867, -0.146721, -0.15197, -0.156078, -0.158151, -0.158365, -0.154069, -0.149516, -0.143384, -0.132766, -0.114515, -0.0777693, -0.0602966, -0.0527211, -0.0398252, -0.0337017, -0.0276545, -0.0218545, -0.0156261, -0.0136301, -0.0103352, -0.00584091, -0.00191453, -0.000469454, -8.60872e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.138134, 0.174859, 0.190452, 0.201629, 0.205993, 0.210358, 0.212013, 0.21367, 0.218397, 0.2231, 0.233034, 0.2408, 0.246806, 0.252997, 0.258557, 0.26581, 0.273634, 0.283424, 0.292691, 0.295709, 0.301744, 0.310473, 0.318054, 0.333638, 0.347026, 0.354526, 0.366038, 0.373872, 0.381438, 0.391113, 0.395331, 0.401657, 0.410093, 0.418528, 0.425095, 0.434068, 0.438879, 0.446097, 0.45572, 0.465342, 0.474834, 0.484324, 0.493814, 0.503305, 0.51627, 0.542198, 0.555162, 0.587179, 0.619196, 0.90704");
-            values ( \
-              "-0.120043, -0.126508, -0.150479, -0.16704, -0.174235, -0.182259, -0.182807, -0.183099, -0.182519, -0.181804, -0.17955, -0.17761, -0.175967, -0.174129, -0.172281, -0.169591, -0.166304, -0.160955, -0.155058, -0.152751, -0.146932, -0.13673, -0.126418, -0.102211, -0.0832012, -0.0733401, -0.0595288, -0.0517288, -0.0450993, -0.0371658, -0.0340252, -0.0298983, -0.0254082, -0.0214593, -0.0188536, -0.0155205, -0.0138586, -0.0116028, -0.00925185, -0.0072619, -0.00596367, -0.00480932, -0.00379886, -0.00293228, -0.00224409, -0.00114708, -0.00073825, -0.000364107, -8.48252e-05, -2.25021e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.105169, 0.184075, 0.193762, 0.210285, 0.214387, 0.221242, 0.227307, 0.23339, 0.235526, 0.260131, 0.291603, 0.311675, 0.351471, 0.373918, 0.39082, 0.401927, 0.416737, 0.439458, 0.484902, 0.5292, 0.55979, 0.570439, 0.585004, 0.604425, 0.631402, 0.653647, 0.678497, 0.713684, 0.72903, 0.749553, 0.776916, 0.831644, 0.903605, 0.975566, 1.04753, 1.11949, 1.26341, 1.40733, 3.598");
-            values ( \
-              "-0.00236805, -0.147517, -0.163843, -0.190309, -0.194395, -0.195084, -0.19381, -0.193723, -0.193323, -0.191043, -0.187685, -0.18526, -0.179732, -0.175838, -0.172396, -0.169796, -0.165778, -0.157821, -0.130978, -0.0967449, -0.0756907, -0.0691139, -0.0608687, -0.05111, -0.0396827, -0.0320473, -0.025108, -0.0175802, -0.0150455, -0.0121973, -0.00919682, -0.005118, -0.00232538, -0.00103729, -0.00047513, -0.000203998, -3.60758e-05, -2.34174e-06, -5.08231e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.105179, 0.182386, 0.195488, 0.210294, 0.214295, 0.221057, 0.227338, 0.235679, 0.246568, 0.262173, 0.287216, 0.314361, 0.318729, 0.327464, 0.369511, 0.434876, 0.476495, 0.548456, 0.604129, 0.648341, 0.720302, 0.741544, 0.784026, 0.848475, 0.900088, 0.942713, 1.00757, 1.03132, 1.07669, 1.1361, 1.15423, 1.19048, 1.26244, 1.31733, 1.33745, 1.37769, 1.44965, 1.52161, 1.59357, 1.66553, 1.7375, 1.80946, 1.88142, 2.02534, 2.16926, 2.31318, 2.74495, 3.59801");
-            values ( \
-              "-0.000103845, -0.148059, -0.170934, -0.19571, -0.20009, -0.201156, -0.200148, -0.200332, -0.199552, -0.199201, -0.197884, -0.196872, -0.196507, -0.196195, -0.194112, -0.190574, -0.188026, -0.183179, -0.178546, -0.174102, -0.164206, -0.160236, -0.149918, -0.127923, -0.108164, -0.0926098, -0.0716035, -0.064846, -0.053305, -0.0407908, -0.0375314, -0.0316987, -0.0224433, -0.0171764, -0.0155637, -0.0127626, -0.00889461, -0.00618256, -0.00428525, -0.00296855, -0.0020515, -0.00141949, -0.000978956, -0.000466234, -0.000221649, -0.000105095, -1.05725e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.242049, 0.263763, 0.266328, 0.273168, 0.286817, 0.299974, 0.309774, 0.323034, 0.329977, 0.332292, 0.334607, 0.336922, 0.339237, 0.341552, 0.343867, 0.346183, 0.348574, 0.350724, 0.352909, 0.35728, 0.364464, 0.371059, 0.373433, 0.376462, 0.379086, 0.38351, 0.387243, 0.390975, 0.394707, 0.397953, 0.401199, 0.404445, 0.40769, 0.411531, 0.415372, 0.419213, 0.423054, 0.43292, 0.442786, 0.459635, 0.476483, 0.493331, 0.510179, 0.514626, 0.519073, 0.533484, 0.547895, 0.609227, 0.737859, 3.14856");
-            values ( \
-              "-0.0152302, -0.0157766, -0.0171123, -0.0201965, -0.025868, -0.0309177, -0.0343987, -0.0385848, -0.0410388, -0.0410439, -0.0409911, -0.0408803, -0.0407116, -0.0404849, -0.0402003, -0.0398576, -0.0394221, -0.0376745, -0.0353742, -0.0299387, -0.0201629, -0.0126154, -0.010564, -0.00832738, -0.00671266, -0.00443205, -0.00297396, -0.00207043, -0.00135327, -0.00102268, -0.000738673, -0.000501254, -0.000310422, -0.000220453, -0.000145273, -8.48823e-05, -3.92805e-05, -3.23416e-05, -3.81617e-05, -7.75972e-05, -0.000154238, -0.000268086, -0.000419138, -0.000263454, -8.53851e-05, -4.17661e-05, -9.69716e-06, -2.39334e-06, -1.98862e-07, -2.31472e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.223572, 0.246899, 0.255887, 0.289047, 0.309295, 0.334827, 0.350224, 0.361028, 0.373073, 0.38512, 0.386702, 0.412028, 0.420434, 0.42875, 0.438236, 0.448354, 0.466387, 0.487643, 0.505651, 0.514655, 0.537223, 0.558961, 0.590383, 0.64063, 0.712591, 0.784552, 0.856513, 0.928474, 1.00044, 1.0724, 1.14436, 1.21632, 1.28828, 1.36024, 1.4322, 1.50416, 1.57612, 1.64808, 1.72005, 3.59798");
-            values ( \
-              "-0.00331323, -0.0114398, -0.0152302, -0.0341802, -0.0442495, -0.0558016, -0.0618264, -0.0651843, -0.0670585, -0.0627508, -0.0612082, -0.023975, -0.0152157, -0.0092965, -0.00511787, -0.00256599, -0.0005935, -5.28248e-05, -1e-22, -0.000762372, -9.21086e-05, -8.56352e-05, -1e-22, -5.02921e-05, -1e-22, -4.11688e-05, -1e-22, -3.72109e-05, -1e-22, -3.3869e-05, -1e-22, -3.086e-05, -1e-22, -2.81366e-05, -1e-22, -2.56695e-05, -1e-22, -2.34337e-05, -1e-22, -6.64547e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.240501, 0.266058, 0.274091, 0.289009, 0.297608, 0.306206, 0.337686, 0.355488, 0.368233, 0.378773, 0.394747, 0.399622, 0.402076, 0.40453, 0.406985, 0.409439, 0.413556, 0.417673, 0.421791, 0.425908, 0.430024, 0.43414, 0.438256, 0.442373, 0.443298, 0.448009, 0.469117, 0.474334, 0.480426, 0.485832, 0.491457, 0.498208, 0.509482, 0.512755, 0.516029, 0.5223, 0.529791, 0.532846, 0.538954, 0.542009, 0.549957, 0.553931, 0.557905, 0.563763, 0.569621, 0.57548, 0.581338, 0.624473, 0.667609, 2.64653");
-            values ( \
-              "-0.022479, -0.0241316, -0.0291078, -0.0391298, -0.0444454, -0.0495072, -0.0673948, -0.0770178, -0.0836108, -0.0887437, -0.095802, -0.0982085, -0.0988398, -0.0993745, -0.0998125, -0.100154, -0.100509, -0.100593, -0.100404, -0.099943, -0.0988281, -0.0972882, -0.0953236, -0.0929341, -0.092171, -0.0856958, -0.0506613, -0.0432647, -0.0355574, -0.0292043, -0.0235938, -0.0178558, -0.0132563, -0.0117061, -0.00995426, -0.00772065, -0.00544292, -0.00479706, -0.00365311, -0.00315504, -0.002152, -0.00172896, -0.00135824, -0.0010353, -0.000740633, -0.000474243, -0.000236128, -9.83846e-05, -3.77855e-06, -1.27966e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.252973, 0.285832, 0.297991, 0.318172, 0.348814, 0.373666, 0.401595, 0.412375, 0.433825, 0.447579, 0.459521, 0.469695, 0.483427, 0.50571, 0.506666, 0.508578, 0.512401, 0.518178, 0.524518, 0.547585, 0.564685, 0.574332, 0.580757, 0.593608, 0.604053, 0.615711, 0.631254, 0.643634, 0.6478, 0.656131, 0.672792, 0.706115, 0.757094, 0.818597, 0.890558, 1.03448, 3.59804");
-            values ( \
-              "-0.0355911, -0.0394034, -0.0479787, -0.0613935, -0.0808645, -0.0962618, -0.112986, -0.119198, -0.130922, -0.137827, -0.143248, -0.147267, -0.151325, -0.15175, -0.151955, -0.151187, -0.147577, -0.141256, -0.132973, -0.0980623, -0.0743458, -0.0628563, -0.0559818, -0.044024, -0.0359944, -0.028594, -0.0208488, -0.0161469, -0.0148135, -0.0124491, -0.00874623, -0.00417836, -0.00122419, -0.000250584, -2.98091e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.252785, 0.31287, 0.372888, 0.453702, 0.491491, 0.505522, 0.509816, 0.518405, 0.5629, 0.579916, 0.602602, 0.620106, 0.64011, 0.650037, 0.669891, 0.701624, 0.746792, 0.77837, 0.811819, 0.840401, 0.866466, 0.90122, 0.9277, 0.956858, 0.995735, 1.0677, 1.13966, 1.21162, 1.28358, 1.35554, 1.71535, 3.59785");
-            values ( \
-              "-0.025368, -0.0601046, -0.101323, -0.154195, -0.177146, -0.185085, -0.186379, -0.18588, -0.179577, -0.17668, -0.172094, -0.167811, -0.161618, -0.157812, -0.148026, -0.126029, -0.091467, -0.0705636, -0.0524785, -0.0401843, -0.0312766, -0.0221335, -0.0169363, -0.0125766, -0.00838098, -0.00381581, -0.00171965, -0.000775739, -0.000345229, -0.000157988, -8.24543e-07, -1.67045e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.253043, 0.505779, 0.517987, 0.687258, 0.7942, 0.859111, 0.903613, 0.952179, 0.999707, 1.20715, 1.28485, 1.34602, 1.40255, 1.47451, 1.58768, 1.65965, 1.80357, 2.01945, 2.45122, 3.59811");
-            values ( \
-              "-0.0220425, -0.195446, -0.197182, -0.188053, -0.180361, -0.174095, -0.168499, -0.160238, -0.148479, -0.0749337, -0.0538966, -0.0409274, -0.031487, -0.0222897, -0.0128046, -0.00892456, -0.00429997, -0.00142412, -0.000154856, -1.06884e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.507246, 0.543461, 0.553702, 0.561773, 0.568758, 0.575749, 0.585072, 0.589733, 0.60838, 0.62776, 0.642482, 0.658156, 0.670827, 0.699927, 0.703726, 0.707524, 0.711323, 0.715121, 0.718888, 0.722655, 0.726422, 0.730189, 0.733595, 0.739614, 0.74466, 0.756387, 0.763899, 0.771292, 0.777255, 0.781724, 0.786193, 0.790662, 0.79489, 0.799118, 0.803347, 0.807575, 0.812483, 0.817391, 0.822299, 0.827207, 0.839581, 0.851954, 0.86807, 0.884186, 0.938622, 1.08633, 1.23404, 1.29658, 1.9888, 3.44288");
-            values ( \
-              "-0.00324358, -0.00325343, -0.00400186, -0.00470623, -0.00554042, -0.00646486, -0.00783748, -0.00858364, -0.011845, -0.0150037, -0.0172522, -0.0195482, -0.0211959, -0.0247816, -0.0249026, -0.024983, -0.0250229, -0.0250222, -0.0249816, -0.024901, -0.0247806, -0.0246203, -0.0240101, -0.0218271, -0.0194622, -0.0126705, -0.00869861, -0.00588426, -0.0040761, -0.0029548, -0.00219849, -0.00157939, -0.00123332, -0.000934525, -0.000683004, -0.000478756, -0.000375192, -0.000285837, -0.000210691, -0.000149755, -9.78426e-05, -5.895e-05, -3.53653e-05, -1.87682e-05, -1.43703e-05, -1.00979e-05, -1.7022e-05, -6.76901e-06, -5.92498e-07, -4.61621e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("0.488673, 0.552842, 0.575586, 0.587165, 0.650952, 0.67609, 0.694136, 0.722254, 0.735113, 0.746109, 0.753127, 0.765412, 0.784647, 0.789126, 0.796894, 0.822902, 0.828572, 0.834389, 0.845761, 0.852287, 0.86534, 0.887888, 0.914069, 0.946575, 0.989056, 1.21584, 1.22827, 1.24742, 1.26214, 1.29157, 1.35043, 1.42239, 1.49435, 1.56632, 1.63828, 1.71024, 1.7822, 1.85416, 1.92612, 1.99808, 2.07004, 2.142, 2.21397, 2.28593, 2.35789, 2.42985, 3.59794");
-            values ( \
-              "-0.00142903, -0.00583092, -0.00877121, -0.0107744, -0.0244263, -0.0293328, -0.0326143, -0.037197, -0.039001, -0.0403195, -0.0410146, -0.0418108, -0.0406882, -0.039222, -0.0357545, -0.0177212, -0.0144122, -0.0115071, -0.00711732, -0.00529527, -0.00284519, -0.000818429, -0.000159012, -2.70056e-05, -1e-22, -2e-22, -0.000273399, -8.44679e-05, -1e-22, -2.7603e-05, -1e-22, -1.99933e-05, -1e-22, -1.79388e-05, -1e-22, -1.63641e-05, -1e-22, -1.49348e-05, -1e-22, -1.36365e-05, -1e-22, -1.246e-05, -1e-22, -1.13945e-05, -1e-22, -1.04292e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("0.492662, 0.567628, 0.587923, 0.610555, 0.668633, 0.693681, 0.730465, 0.751662, 0.779636, 0.805107, 0.820744, 0.846945, 0.873086, 0.87928, 0.891167, 0.927361, 0.936419, 0.948496, 0.957439, 0.966773, 0.979219, 1.00411, 1.03985, 1.08265, 1.13619, 1.21589, 1.22575, 1.24241, 1.25451, 1.32707, 1.39903, 1.47099, 1.54296, 1.61492, 1.68688, 3.59799");
-            values ( \
-              "-0.00175252, -0.0100171, -0.0135802, -0.018479, -0.0331159, -0.0389674, -0.0470481, -0.0513907, -0.05669, -0.0609023, -0.0630464, -0.0651922, -0.0623198, -0.0597399, -0.0524308, -0.0257027, -0.0204451, -0.0147076, -0.0113717, -0.00861078, -0.00583757, -0.00248066, -0.000572949, -4.6775e-05, -1e-22, -2e-22, -0.000405121, -0.000275029, -0.000117484, -1e-22, -2.57887e-05, -1e-22, -2.16671e-05, -1e-22, -1.96296e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.55026, 0.60831, 0.634833, 0.686603, 0.757023, 0.806116, 0.856832, 0.897283, 0.93226, 0.943047, 0.95743, 0.972105, 1.00145, 1.00894, 1.01775, 1.0761, 1.0961, 1.11817, 1.1373, 1.1615, 1.19376, 1.21598, 1.22709, 1.26286, 1.29724, 1.3641, 1.50802, 3.59809");
-            values ( \
-              "-0.0187549, -0.0203709, -0.0268997, -0.0412386, -0.0590569, -0.0706524, -0.081859, -0.0898288, -0.0954093, -0.0967248, -0.0979859, -0.0983867, -0.0939511, -0.0908287, -0.0858637, -0.0434119, -0.0317365, -0.0217729, -0.0153486, -0.00965644, -0.00494203, -0.00307078, -0.00302429, -0.00140917, -0.000706784, -9.31638e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.549909, 0.648577, 0.756946, 0.855049, 0.923818, 0.994934, 1.05158, 1.08291, 1.10591, 1.15192, 1.16872, 1.18896, 1.20551, 1.28847, 1.329, 1.35329, 1.39013, 1.43076, 1.44925, 1.47747, 1.5151, 1.58706, 1.65902, 1.73098, 1.80294, 2.09079, 3.59792");
-            values ( \
-              "-0.013537, -0.0324211, -0.0626436, -0.088599, -0.106021, -0.122815, -0.134523, -0.139846, -0.142891, -0.144729, -0.142693, -0.136611, -0.128207, -0.0705716, -0.04921, -0.0391809, -0.0274179, -0.0181946, -0.015083, -0.0112958, -0.0076213, -0.00346567, -0.00156132, -0.000704074, -0.000313489, -1.10453e-05, -1.49438e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.6078, 0.702212, 0.930867, 1.04286, 1.16261, 1.21547, 1.22365, 1.28044, 1.31636, 1.36815, 1.44011, 1.46139, 1.50394, 1.56832, 1.66259, 1.71555, 1.79651, 1.85593, 1.91034, 1.9823, 2.05729, 2.09752, 2.16948, 2.24144, 2.3134, 2.38536, 2.45733, 2.60125, 2.81713, 3.59758");
-            values ( \
-              "-0.0460375, -0.0487742, -0.11341, -0.143856, -0.173999, -0.185882, -0.186149, -0.182232, -0.179245, -0.174075, -0.164234, -0.160217, -0.149916, -0.127909, -0.0925971, -0.0751689, -0.0533088, -0.0407926, -0.0316975, -0.0224422, -0.0155639, -0.0127637, -0.00889513, -0.00618316, -0.00428543, -0.00296891, -0.00205152, -0.000978895, -0.000323571, -4.79306e-06" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.218964, 0.250993, 0.316026, 0.450307, 0.730458, 1.31805", \
-            "0.223804, 0.25583, 0.320851, 0.455194, 0.735299, 1.32267", \
-            "0.236123, 0.268153, 0.333168, 0.467422, 0.747662, 1.33533", \
-            "0.26661, 0.298606, 0.363665, 0.498021, 0.778162, 1.36562", \
-            "0.331936, 0.363961, 0.428986, 0.563358, 0.843502, 1.43114", \
-            "0.441077, 0.473205, 0.538321, 0.672587, 0.952554, 1.5407" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0280719, 0.0452097, 0.0811918, 0.156599, 0.315477, 0.64984", \
-            "0.0280712, 0.0452131, 0.0812029, 0.156667, 0.315473, 0.649218", \
-            "0.0280741, 0.0452135, 0.081206, 0.156571, 0.315475, 0.649824", \
-            "0.0280742, 0.0451955, 0.0812101, 0.156665, 0.315475, 0.649856", \
-            "0.0281099, 0.0452307, 0.0812059, 0.15665, 0.315457, 0.64922", \
-            "0.0282326, 0.0452759, 0.0811696, 0.156507, 0.315504, 0.64981" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.192515, 0.197895, 0.201444, 0.205301, 0.205634, 0.211966, 0.217258, 0.222025, 0.226498, 0.230846, 0.235189, 0.238317, 0.251374, 0.254758, 0.259655, 0.263276, 0.268104, 0.273524");
-            values ( \
-              "-0.0010684, -0.027875, -0.0369467, -0.0545128, -0.0537201, -0.0686112, -0.0783844, -0.0848442, -0.0889069, -0.0902144, -0.0867884, -0.0795667, -0.0264571, -0.018044, -0.010044, -0.00645015, -0.00352022, -0.00200308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.203715, 0.217158, 0.221051, 0.224779, 0.235157, 0.243694, 0.251358, 0.258551, 0.265555, 0.272552, 0.277687, 0.2955, 0.30114, 0.308716, 0.313513, 0.321381, 0.331873, 0.337895");
-            values ( \
-              "-0.0128664, -0.0445454, -0.0581516, -0.0677707, -0.0891738, -0.102551, -0.111094, -0.116258, -0.117714, -0.113294, -0.103723, -0.0427318, -0.0293155, -0.0170632, -0.0119776, -0.00660685, -0.00286198, -0.00203668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.218677, 0.234327, 0.248594, 0.254826, 0.27339, 0.295297, 0.310604, 0.320726, 0.323508, 0.329074, 0.33604, 0.348538, 0.355147, 0.362632, 0.380238, 0.386602, 0.395088, 0.400116, 0.406408, 0.414798, 0.422587, 0.434399, 0.450149, 0.476469, 0.50793");
-            values ( \
-              "-0.0201635, -0.0238572, -0.0482124, -0.0627972, -0.092899, -0.118682, -0.130377, -0.1353, -0.136366, -0.137622, -0.137988, -0.132998, -0.125816, -0.111555, -0.0690723, -0.0559406, -0.0413334, -0.0342778, -0.0269289, -0.0192899, -0.0140738, -0.00863458, -0.00436781, -0.00126149, -0.000274794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.167164, 0.273977, 0.310998, 0.323583, 0.33917, 0.364366, 0.376907, 0.401991, 0.416224, 0.4332, 0.458064, 0.48226, 0.506435, 0.515968, 0.533552, 0.56517, 0.587131, 0.606521, 0.632401, 0.649671, 0.658937, 0.677469, 0.714532, 0.732342");
-            values ( \
-              "-0.00159864, -0.011973, -0.0479574, -0.063342, -0.0791755, -0.102149, -0.111647, -0.12827, -0.135579, -0.14236, -0.149125, -0.150678, -0.145345, -0.139722, -0.121153, -0.0778167, -0.0532555, -0.03693, -0.0219775, -0.0153683, -0.0126531, -0.00850456, -0.00367213, -0.00276892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.185934, 0.382084, 0.432418, 0.478035, 0.537293, 0.574533, 0.634808, 0.688132, 0.738077, 0.786773, 0.835414, 0.854992, 0.871269, 0.890076, 0.953942, 0.998716, 1.03777, 1.05515, 1.0899, 1.12516, 1.14405, 1.18183, 1.25739, 1.30798");
-            values ( \
-              "-0.00306806, -0.0172305, -0.0435602, -0.07081, -0.100753, -0.116167, -0.136935, -0.148898, -0.156124, -0.157409, -0.152089, -0.145844, -0.138095, -0.126257, -0.0809393, -0.0551906, -0.0383412, -0.0324205, -0.0228925, -0.0159698, -0.013144, -0.0088098, -0.00379121, -0.00242401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.230883, 0.617178, 0.792451, 0.90435, 1.06212, 1.11261, 1.20757, 1.25253, 1.32554, 1.40394, 1.46834, 1.52654, 1.6215, 1.74364, 1.86591, 1.94391, 2.05281, 2.1632, 2.2403, 2.33525, 2.43021, 2.62012, 2.71508");
-            values ( \
-              "-0.00268088, -0.0228165, -0.0719526, -0.100399, -0.13187, -0.139501, -0.150923, -0.154854, -0.159272, -0.161065, -0.159644, -0.154925, -0.134634, -0.0913303, -0.055465, -0.038971, -0.0231108, -0.0134682, -0.00897693, -0.00558032, -0.00328662, -0.00116439, -0.000805277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.206209, 0.223132, 0.232697, 0.241936, 0.246284, 0.250627, 0.253768, 0.262212, 0.266843, 0.270158, 0.275078, 0.278738, 0.283618, 0.292025, 0.314657");
-            values ( \
-              "-0.000467611, -0.05925, -0.0785677, -0.0890434, -0.0901008, -0.0868917, -0.0795359, -0.042741, -0.0263706, -0.0181281, -0.010066, -0.00643518, -0.00347967, -0.00116235, -0.000133315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.216912, 0.231293, 0.233148, 0.236857, 0.245559, 0.259144, 0.273996, 0.281, 0.287995, 0.293165, 0.310942, 0.316585, 0.324167, 0.328959, 0.33682, 0.347301, 0.35405");
-            values ( \
-              "-0.00304537, -0.0421914, -0.0453963, -0.0601163, -0.0801674, -0.102996, -0.116609, -0.117394, -0.113577, -0.10363, -0.042738, -0.0293116, -0.0170523, -0.0119734, -0.00660671, -0.00286985, -0.0019404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.230271, 0.249801, 0.264138, 0.272027, 0.288989, 0.310892, 0.326182, 0.336341, 0.344583, 0.351621, 0.36412, 0.370699, 0.37821, 0.402188, 0.415699, 0.43036, 0.438168, 0.450009, 0.465797, 0.473908");
-            values ( \
-              "-0.0116624, -0.0236129, -0.0478343, -0.0663135, -0.0932709, -0.11897, -0.130431, -0.135237, -0.137564, -0.138012, -0.132962, -0.125855, -0.111563, -0.0559348, -0.034277, -0.0193067, -0.0140748, -0.00862479, -0.00435498, -0.00340056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.18316, 0.289277, 0.322549, 0.347391, 0.368928, 0.392317, 0.422184, 0.448699, 0.473527, 0.497723, 0.521891, 0.531493, 0.53963, 0.549007, 0.580623, 0.60258, 0.621972, 0.647855, 0.665121, 0.674385, 0.692913, 0.729968, 0.745845");
-            values ( \
-              "-0.00176179, -0.011899, -0.0438731, -0.072292, -0.0928978, -0.11163, -0.130941, -0.142377, -0.149229, -0.150659, -0.145419, -0.139691, -0.132417, -0.121163, -0.0778197, -0.0532601, -0.0369312, -0.0219762, -0.0153686, -0.0126536, -0.00850578, -0.00367322, -0.00286772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.199445, 0.389176, 0.5136, 0.590353, 0.650671, 0.703989, 0.753932, 0.802629, 0.85127, 0.870821, 0.905931, 1.01457, 1.05362, 1.10575, 1.14102, 1.19769, 1.25054");
-            values ( \
-              "-0.00618693, -0.0133711, -0.0810854, -0.11633, -0.136767, -0.149068, -0.15596, -0.157571, -0.15193, -0.145843, -0.126246, -0.0551942, -0.0383456, -0.0228978, -0.0159737, -0.00881291, -0.00529784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.246754, 0.631017, 0.866542, 0.995706, 1.05399, 1.14254, 1.17179, 1.25276, 1.28271, 1.34042, 1.43538, 1.47233, 1.50648, 1.54172, 1.6122, 1.65341, 1.79439, 1.84905, 1.89017, 1.94418, 1.98788, 2.03921, 2.11145, 2.15712, 2.24166, 2.33662, 2.43157, 2.52653, 2.71644");
-            values ( \
-              "-0.00299178, -0.0224685, -0.0874501, -0.116936, -0.127845, -0.141564, -0.145265, -0.153593, -0.15589, -0.159215, -0.160974, -0.160099, -0.158265, -0.154952, -0.14162, -0.128764, -0.0795729, -0.0636271, -0.0532545, -0.0417422, -0.0340706, -0.0266502, -0.0186811, -0.0148832, -0.00970677, -0.00593445, -0.00359953, -0.00218865, -0.000803273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.245202, 0.252406, 0.254705, 0.258622, 0.258899, 0.270529, 0.275294, 0.279767, 0.284116, 0.288459, 0.291586, 0.304641, 0.308031, 0.312926, 0.316544, 0.321368, 0.329774, 0.330683");
-            values ( \
-              "-1.79811e-09, -0.0320355, -0.0369099, -0.0546814, -0.0538497, -0.0783828, -0.0848337, -0.0889052, -0.0902066, -0.0867895, -0.0795675, -0.0264644, -0.0180373, -0.0100425, -0.00645184, -0.00352283, -0.00116899, -0.00112716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.256033, 0.270995, 0.274654, 0.283494, 0.296992, 0.304651, 0.311845, 0.318849, 0.325844, 0.33101, 0.348791, 0.354434, 0.362015, 0.366807, 0.37467, 0.385152, 0.393406");
-            values ( \
-              "-0.00812189, -0.045562, -0.0598015, -0.0802208, -0.102887, -0.110788, -0.116512, -0.117485, -0.113489, -0.103645, -0.0427383, -0.0293132, -0.0170548, -0.0119749, -0.00660762, -0.00286769, -0.00173299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.270038, 0.287511, 0.301885, 0.307904, 0.326706, 0.334782, 0.348672, 0.363945, 0.374139, 0.382277, 0.389384, 0.401884, 0.408461, 0.415973, 0.433583, 0.439952, 0.453463, 0.459746, 0.468122, 0.475932, 0.487775, 0.503566, 0.529889, 0.561373");
-            values ( \
-              "-0.0159724, -0.023456, -0.0481646, -0.0621168, -0.0927189, -0.103019, -0.118603, -0.130339, -0.135322, -0.137588, -0.137977, -0.132988, -0.125857, -0.111562, -0.0690739, -0.0559341, -0.0342768, -0.0269387, -0.0193078, -0.0140748, -0.008624, -0.00435404, -0.00125731, -0.000273567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.221853, 0.327272, 0.364295, 0.379882, 0.417352, 0.45596, 0.468249, 0.486534, 0.497925, 0.511351, 0.535537, 0.560025, 0.569199, 0.577395, 0.586838, 0.618456, 0.640422, 0.65981, 0.685686, 0.70296, 0.712228, 0.730763, 0.767833, 0.782534");
-            values ( \
-              "-0.00176308, -0.0119889, -0.0477263, -0.066917, -0.102188, -0.128906, -0.135145, -0.142599, -0.1461, -0.148895, -0.150831, -0.145079, -0.139739, -0.132464, -0.121139, -0.0778095, -0.0532533, -0.0369305, -0.0219801, -0.0153693, -0.0126513, -0.00850478, -0.00367001, -0.00292537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.23824, 0.442699, 0.528666, 0.597463, 0.628322, 0.688576, 0.741936, 0.791881, 0.840577, 0.889217, 0.908821, 0.94388, 1.01882, 1.05252, 1.09157, 1.14371, 1.17897, 1.23563, 1.31118, 1.33085");
-            values ( \
-              "-0.000263246, -0.0204861, -0.0691916, -0.103753, -0.116051, -0.137032, -0.148794, -0.156225, -0.15731, -0.152184, -0.145839, -0.126262, -0.073906, -0.0551892, -0.0383389, -0.0228896, -0.0159678, -0.00880828, -0.00379342, -0.00326115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.27103, 0.65969, 0.883851, 0.978806, 1.043, 1.11474, 1.16676, 1.27671, 1.36666, 1.40575, 1.45787, 1.47956, 1.52292, 1.58069, 1.67565, 1.84137, 1.92006, 1.99806, 2.10695, 2.15953, 2.21735, 2.29445, 2.3894, 2.48436, 2.53434");
-            values ( \
-              "-0.00404606, -0.0200089, -0.0819521, -0.104967, -0.118634, -0.131539, -0.139582, -0.15234, -0.158678, -0.160234, -0.161006, -0.160923, -0.159568, -0.15498, -0.13458, -0.0772724, -0.0555089, -0.039014, -0.0231535, -0.0179115, -0.0134284, -0.0090164, -0.00554095, -0.0033257, -0.00265672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.337044, 0.349056, 0.354743, 0.362639, 0.3674, 0.371873, 0.376221, 0.380565, 0.383695, 0.396753, 0.40013, 0.40503, 0.413489, 0.413494");
-            values ( \
-              "-0.002403, -0.0477925, -0.0630661, -0.0784305, -0.0847983, -0.0889345, -0.0901794, -0.0868125, -0.0795682, -0.0264545, -0.0180591, -0.0100525, -0.00350924, -0.0035078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.348006, 0.360651, 0.363015, 0.367742, 0.370089, 0.374784, 0.388024, 0.390976, 0.396658, 0.402095, 0.407373, 0.410842, 0.417808, 0.421626, 0.43889, 0.446395, 0.454865, 0.4652, 0.473555, 0.483897");
-            values ( \
-              "-0.00794343, -0.0412228, -0.0448072, -0.0636696, -0.0665366, -0.0791128, -0.10185, -0.105129, -0.111117, -0.11516, -0.11743, -0.117579, -0.113346, -0.106928, -0.048242, -0.0294254, -0.0160639, -0.0074267, -0.00386027, -0.00192151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.362891, 0.379639, 0.393969, 0.403796, 0.415498, 0.439348, 0.448149, 0.46896, 0.481503, 0.494055, 0.498978, 0.508104, 0.535571, 0.545642, 0.559098, 0.567857, 0.582027, 0.597696");
-            values ( \
-              "-0.0175555, -0.0235817, -0.0473918, -0.0704468, -0.0887314, -0.117899, -0.125296, -0.136782, -0.137646, -0.13334, -0.128037, -0.111498, -0.0494524, -0.0341551, -0.0201684, -0.0141512, -0.00785425, -0.00417371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.311978, 0.419159, 0.456113, 0.474115, 0.484319, 0.504726, 0.551918, 0.578423, 0.603252, 0.627446, 0.651613, 0.661295, 0.669396, 0.678731, 0.710348, 0.732298, 0.751695, 0.760326, 0.777588, 0.794845, 0.804105, 0.822625, 0.859665, 0.88271");
-            values ( \
-              "-0.00148141, -0.0120442, -0.0475154, -0.0698252, -0.0785662, -0.0987258, -0.131424, -0.14192, -0.149669, -0.150238, -0.145827, -0.139645, -0.132362, -0.121173, -0.0778266, -0.0532637, -0.0369293, -0.0311866, -0.0219702, -0.0153668, -0.0126558, -0.00850646, -0.00367688, -0.00250545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.330396, 0.532247, 0.584289, 0.624139, 0.681355, 0.719955, 0.780227, 0.833549, 0.883493, 0.932189, 0.980829, 1.00045, 1.01671, 1.03549, 1.11043, 1.14413, 1.18318, 1.20056, 1.23532, 1.27058, 1.28947, 1.32724, 1.40279, 1.44168");
-            values ( \
-              "-0.00110586, -0.0194897, -0.0472601, -0.0714333, -0.100337, -0.115976, -0.137128, -0.148714, -0.156305, -0.157233, -0.152261, -0.145838, -0.138072, -0.126267, -0.0739017, -0.0551877, -0.0383369, -0.0324241, -0.0228871, -0.0159661, -0.0131478, -0.00880692, -0.00379533, -0.00274141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.362722, 0.752002, 1.01679, 1.13368, 1.20418, 1.25747, 1.36744, 1.45749, 1.49631, 1.54807, 1.61476, 1.67143, 1.76639, 1.88854, 2.0108, 2.08881, 2.19771, 2.30809, 2.38518, 2.48013, 2.67004, 2.85995");
-            values ( \
-              "-0.00383035, -0.02033, -0.0925997, -0.118593, -0.131321, -0.139554, -0.15232, -0.158666, -0.160214, -0.161007, -0.159518, -0.154969, -0.134585, -0.0913732, -0.0555065, -0.0390096, -0.0231478, -0.0134325, -0.00901335, -0.00554517, -0.00205863, -0.000770529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.549296, 0.559642, 0.562798, 0.565937, 0.575512, 0.584765, 0.589118, 0.593465, 0.596593, 0.605056, 0.609651, 0.61305, 0.617944, 0.62156, 0.626381, 0.634755, 0.657324");
-            values ( \
-              "-0.00013548, -0.0374905, -0.0512154, -0.0591119, -0.078452, -0.0889736, -0.0899773, -0.0868632, -0.0795311, -0.0427229, -0.0264711, -0.018027, -0.0100408, -0.00645477, -0.00351992, -0.00117997, -0.000134172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.560116, 0.574047, 0.575925, 0.579679, 0.588302, 0.601948, 0.616808, 0.623814, 0.630811, 0.635974, 0.65376, 0.659403, 0.666985, 0.671777, 0.67964, 0.690123, 0.696284");
-            values ( \
-              "-0.00467957, -0.0420495, -0.0452959, -0.0601511, -0.0799877, -0.10294, -0.116569, -0.117366, -0.113553, -0.103638, -0.0427358, -0.029311, -0.0170529, -0.0119736, -0.0066068, -0.00286836, -0.00202079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.574452, 0.592649, 0.606953, 0.616967, 0.62809, 0.652285, 0.661201, 0.681922, 0.694476, 0.707028, 0.711936, 0.721077, 0.748545, 0.758614, 0.772068, 0.780829, 0.795, 0.812119");
-            values ( \
-              "-0.014156, -0.0237131, -0.0478253, -0.0705229, -0.0879974, -0.117731, -0.125295, -0.136731, -0.137698, -0.1333, -0.128058, -0.1115, -0.0494522, -0.0341565, -0.020171, -0.0141525, -0.00785469, -0.00383242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.523746, 0.632487, 0.669193, 0.687378, 0.697365, 0.71734, 0.764941, 0.791444, 0.81627, 0.840464, 0.864629, 0.874318, 0.882415, 0.891747, 0.923363, 0.945312, 0.96471, 0.973341, 0.990602, 1.00786, 1.01712, 1.03564, 1.07268, 1.09653");
-            values ( \
-              "-0.0010332, -0.0122978, -0.0476446, -0.0700895, -0.0786293, -0.0983915, -0.131437, -0.141941, -0.149674, -0.150249, -0.14583, -0.139644, -0.132362, -0.121175, -0.0778275, -0.0532637, -0.0369291, -0.0311873, -0.0219699, -0.0153664, -0.0126564, -0.00850606, -0.00367738, -0.00246465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.549652, 0.733561, 0.856451, 0.933246, 0.993512, 1.04682, 1.09676, 1.14546, 1.1941, 1.21366, 1.22994, 1.3574, 1.39645, 1.44858, 1.48384, 1.54052, 1.59458");
-            values ( \
-              "-0.00630928, -0.0140622, -0.0811303, -0.116385, -0.136767, -0.149091, -0.155954, -0.157587, -0.151923, -0.14584, -0.138116, -0.055195, -0.0383463, -0.0228984, -0.0159741, -0.00881327, -0.00521709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.5912, 0.984615, 1.15972, 1.24673, 1.3844, 1.47156, 1.58137, 1.63456, 1.68419, 1.78442, 1.81604, 1.85022, 1.88548, 1.95601, 2.13812, 2.19288, 2.28797, 2.33155, 2.3829, 2.45517, 2.5291, 2.58554, 2.6805, 2.77545, 2.87041, 3.06032");
-            values ( \
-              "-0.00105328, -0.0250438, -0.0743804, -0.0966284, -0.125633, -0.139652, -0.152386, -0.156364, -0.159328, -0.161, -0.160188, -0.158197, -0.155034, -0.141536, -0.0796527, -0.0635562, -0.041685, -0.0340364, -0.0267044, -0.0186368, -0.0128859, -0.00974285, -0.00588826, -0.00363889, -0.0021453, -0.000761154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.01568, 1.02356, 1.02645, 1.03063, 1.03962, 1.04892, 1.05329, 1.05765, 1.06075, 1.07377, 1.08237, 1.08814, 1.09473, 1.0967");
-            values ( \
-              "-0.0100885, -0.0355621, -0.0499507, -0.0603243, -0.0781358, -0.088738, -0.0896115, -0.0867188, -0.0794596, -0.0266834, -0.00976972, -0.00482035, -0.00206923, -0.00176485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.0275, 1.03372, 1.04019, 1.04733, 1.05447, 1.05777, 1.06435, 1.06632, 1.07399, 1.0812, 1.08822, 1.09582, 1.10028, 1.11639, 1.12285, 1.12933, 1.13947, 1.15152, 1.15932");
-            values ( \
-              "-0.0227991, -0.0298615, -0.0453429, -0.0692888, -0.0810627, -0.0900872, -0.0984015, -0.102883, -0.111288, -0.11558, -0.117942, -0.112331, -0.103856, -0.0478822, -0.0313725, -0.0198924, -0.00940311, -0.00371528, -0.00231371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.04216, 1.05721, 1.07135, 1.0769, 1.09597, 1.10417, 1.11785, 1.13332, 1.14313, 1.1524, 1.15879, 1.17126, 1.17742, 1.18378, 1.20296, 1.21404, 1.22829, 1.23872, 1.24547, 1.25668, 1.27163, 1.29781, 1.32888");
-            values ( \
-              "-0.0214013, -0.0243732, -0.0485402, -0.0614245, -0.0925507, -0.103161, -0.118395, -0.130358, -0.135212, -0.137733, -0.137977, -0.133004, -0.126442, -0.115037, -0.0689714, -0.0473702, -0.0279814, -0.0184519, -0.014035, -0.0088321, -0.0046385, -0.00135177, -0.000299823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.988015, 1.098, 1.18148, 1.21631, 1.24396, 1.27575, 1.29143, 1.30511, 1.32918, 1.34208, 1.40302, 1.42725, 1.45721, 1.49648, 1.52796, 1.53659");
-            values ( \
-              "-0.000219774, -0.0129626, -0.0979407, -0.123713, -0.138271, -0.148545, -0.150804, -0.150295, -0.145688, -0.13713, -0.0603949, -0.0384956, -0.0211427, -0.00926334, -0.00460541, -0.0040511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("1.00757, 1.18657, 1.21539, 1.23229, 1.31947, 1.37359, 1.39652, 1.45671, 1.51002, 1.5601, 1.60878, 1.65807, 1.67665, 1.69311, 1.71212, 1.77599, 1.80281, 1.82079, 1.85983, 1.8772, 1.91194, 1.94723, 1.96613, 2.00393, 2.07954, 2.17449, 2.26945");
-            values ( \
-              "-0.00947444, -0.00990248, -0.0225921, -0.0313292, -0.0809812, -0.106456, -0.116364, -0.136685, -0.149073, -0.155632, -0.157669, -0.151653, -0.145918, -0.138191, -0.12621, -0.0809133, -0.0646809, -0.0551873, -0.0383476, -0.0324132, -0.0229065, -0.0159772, -0.0131352, -0.00881355, -0.00378064, -0.00123105, -0.000405722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("1.04359, 1.41589, 1.60571, 1.74887, 1.88466, 1.93669, 2.03164, 2.07661, 2.14961, 2.2279, 2.29263, 2.35061, 2.44557, 2.56771, 2.68999, 2.76798, 2.87687, 2.94872, 2.98728, 3.06439, 3.15934, 3.2543, 3.30232");
-            values ( \
-              "-0.0068608, -0.0168293, -0.0691452, -0.105231, -0.131757, -0.139379, -0.151054, -0.154968, -0.159373, -0.161158, -0.159719, -0.154844, -0.134716, -0.0912591, -0.0554017, -0.0389136, -0.0230564, -0.0161376, -0.0135183, -0.00892583, -0.00562919, -0.00323716, -0.00270085" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00164105, 0.00164107, 0.00164108, 0.00164109, 0.0016411, 0.00164111", \
-            "0.00182822, 0.00182823, 0.00182823, 0.00182823, 0.00182824, 0.00182824", \
-            "0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702", \
-            "0.00198475, 0.00198475, 0.00198475, 0.00198475, 0.00198475, 0.00198475", \
-            "0.00202034, 0.00202034, 0.00202034, 0.00202034, 0.00202034, 0.00202034", \
-            "0.00203926, 0.00203926, 0.00203926, 0.00203926, 0.00203926, 0.00203926" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00215143, 0.00215142, 0.00215142, 0.00215142, 0.00215142, 0.00215142", \
-            "0.00226044, 0.00226042, 0.0022604, 0.0022604, 0.00226039, 0.00226039", \
-            "0.00233521, 0.0023352, 0.00233518, 0.00233517, 0.00233516, 0.00233515", \
-            "0.00244989, 0.00244988, 0.00244988, 0.00244987, 0.00244986, 0.00244985", \
-            "0.00269005, 0.00269006, 0.00269007, 0.00269008, 0.00269008, 0.00269008", \
-            "0.00308719, 0.0030862, 0.00308541, 0.00308491, 0.00308465, 0.00308453" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.21437, 0.246189, 0.311068, 0.445346, 0.725443, 1.31304", \
-            "0.219218, 0.25102, 0.315837, 0.450188, 0.730259, 1.31807", \
-            "0.23155, 0.263361, 0.328157, 0.462484, 0.742571, 1.3302", \
-            "0.26203, 0.293819, 0.358635, 0.492882, 0.77307, 1.36076", \
-            "0.327239, 0.359045, 0.42389, 0.558236, 0.838384, 1.42609", \
-            "0.435797, 0.467668, 0.532593, 0.666754, 0.946815, 1.53485" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0279787, 0.0450912, 0.0811197, 0.156659, 0.315561, 0.649283", \
-            "0.027983, 0.0450936, 0.0811634, 0.156661, 0.315563, 0.649287", \
-            "0.0279795, 0.0450786, 0.081156, 0.156653, 0.31557, 0.649888", \
-            "0.0279833, 0.0450985, 0.081162, 0.156717, 0.315563, 0.649249", \
-            "0.0280139, 0.0451126, 0.081134, 0.156645, 0.315554, 0.649303", \
-            "0.0281315, 0.045181, 0.0811245, 0.156479, 0.315753, 0.649291" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.189694, 0.192711, 0.195765, 0.197215, 0.199935, 0.20137, 0.204241, 0.21242, 0.217675, 0.221229, 0.223953, 0.226464, 0.230778, 0.233052, 0.24662, 0.250773, 0.255615, 0.260671, 0.264237");
-            values ( \
-              "-0.0181127, -0.0247176, -0.0354262, -0.0360526, -0.0517402, -0.0528443, -0.0622254, -0.0784275, -0.0851274, -0.0884748, -0.0900336, -0.0903104, -0.087017, -0.0821291, -0.0275444, -0.0172057, -0.00961212, -0.00518687, -0.00339826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.195062, 0.227121, 0.230405, 0.238907, 0.246076, 0.253729, 0.257265, 0.260706, 0.265491, 0.271348, 0.275507, 0.288725, 0.29622, 0.304634, 0.310857, 0.323519, 0.330937");
-            values ( \
-              "-0.00111596, -0.0832665, -0.08945, -0.102694, -0.110825, -0.116319, -0.117586, -0.11776, -0.115552, -0.107287, -0.0955569, -0.0482565, -0.029423, -0.0161559, -0.0101392, -0.00378767, -0.00238979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.2175, 0.233778, 0.241734, 0.250625, 0.25751, 0.272701, 0.284257, 0.291902, 0.305669, 0.318558, 0.331115, 0.343658, 0.348615, 0.352848, 0.357706, 0.374024, 0.385171, 0.395241, 0.408696, 0.417456, 0.431626, 0.45052, 0.477189, 0.509776");
-            values ( \
-              "-0.0241717, -0.031389, -0.0444849, -0.0638701, -0.0760186, -0.0986078, -0.112456, -0.12004, -0.130487, -0.136484, -0.13813, -0.133015, -0.128032, -0.121584, -0.111515, -0.071847, -0.0494556, -0.034158, -0.020171, -0.0141534, -0.00785611, -0.00341428, -0.000962999, -0.000202861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0903063, 0.0982373, 0.122672, 0.14166, 0.145796, 0.154098, 0.158784, 0.168466, 0.20861, 0.227783, 0.241436, 0.244918, 0.248304, 0.254843, 0.263671, 0.268534, 0.280851, 0.306135, 0.323976, 0.354651, 0.371852, 0.401723, 0.428231, 0.453061, 0.477259, 0.501428, 0.511106, 0.519211, 0.52855, 0.56017, 0.582119, 0.601519, 0.610151, 0.627414, 0.644671, 0.653931, 0.672451, 0.70949, 0.727769");
-            values ( \
-              "-0.00163658, -0.0018752, -0.0038881, -0.00706564, -0.00760313, -0.00799485, -0.00795714, -0.00717493, -0.00189546, -3.0322e-05, -1e-22, -0.000327765, -0.000977462, -0.0032085, -0.00822539, -0.0118528, -0.0226991, -0.0481004, -0.0699056, -0.0988277, -0.111297, -0.131364, -0.142002, -0.149577, -0.150291, -0.145738, -0.13963, -0.132352, -0.12116, -0.0778198, -0.0532612, -0.036927, -0.0311853, -0.0219683, -0.0153656, -0.0126558, -0.0085058, -0.00367746, -0.00274339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.178984, 0.384042, 0.470806, 0.537797, 0.5699, 0.630187, 0.683555, 0.733514, 0.782221, 0.830873, 0.850404, 0.88554, 0.949411, 0.994186, 1.03324, 1.08538, 1.12064, 1.17731, 1.25286, 1.27468");
-            values ( \
-              "-0.000266821, -0.020399, -0.0695096, -0.103169, -0.115982, -0.137, -0.14873, -0.156201, -0.157261, -0.152177, -0.14585, -0.126251, -0.0809408, -0.0551857, -0.038337, -0.0228889, -0.0159669, -0.00880725, -0.00379364, -0.00320271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.227342, 0.612777, 0.79602, 0.8823, 1.02444, 1.10771, 1.16645, 1.21745, 1.32065, 1.37078, 1.42063, 1.51547, 1.56002, 1.61094, 1.75581, 1.83312, 1.93744, 1.99522, 2.07226, 2.1217, 2.19705, 2.29189, 2.38673, 2.48156, 2.5764, 2.76608");
-            values ( \
-              "-0.00265195, -0.0229817, -0.0742349, -0.0963083, -0.126188, -0.13951, -0.146991, -0.152238, -0.159229, -0.16076, -0.160884, -0.155719, -0.149074, -0.136136, -0.0854979, -0.0624931, -0.0392387, -0.0298994, -0.0205169, -0.0160654, -0.0109991, -0.00672535, -0.00409952, -0.00248207, -0.00150851, -0.000553968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.205246, 0.212662, 0.215773, 0.223101, 0.228368, 0.233116, 0.237574, 0.241911, 0.246242, 0.249413, 0.260396, 0.265514, 0.270754, 0.278716, 0.280053");
-            values ( \
-              "-0.0182576, -0.0382593, -0.0519028, -0.0687995, -0.0789175, -0.0849826, -0.0893107, -0.0903064, -0.0870674, -0.0795637, -0.032934, -0.0186505, -0.00996289, -0.0037081, -0.00324135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.209813, 0.246592, 0.254408, 0.259939, 0.265757, 0.273916, 0.279678, 0.283259, 0.288624, 0.306104, 0.311772, 0.319392, 0.324144, 0.331945, 0.342347, 0.347469");
-            values ( \
-              "-0.00188066, -0.0906534, -0.102683, -0.109198, -0.114258, -0.117767, -0.116466, -0.113121, -0.10295, -0.0428399, -0.029332, -0.0170147, -0.011983, -0.00664422, -0.002896, -0.00218362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.221936, 0.244906, 0.259148, 0.265286, 0.276643, 0.286046, 0.299632, 0.307281, 0.321058, 0.333952, 0.346514, 0.35922, 0.36398, 0.368232, 0.37311, 0.389429, 0.400578, 0.410648, 0.415132, 0.424102, 0.432863, 0.437588, 0.447037, 0.465935, 0.492628, 0.525255");
-            values ( \
-              "-0.00618315, -0.0238108, -0.0482277, -0.0622192, -0.0818961, -0.0957448, -0.112347, -0.119925, -0.130424, -0.13642, -0.138101, -0.132867, -0.128057, -0.121604, -0.111502, -0.0718425, -0.0494515, -0.034157, -0.0287757, -0.0201724, -0.0141535, -0.0116615, -0.00785561, -0.0034125, -0.000962066, -0.000201361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.105892, 0.1314, 0.142786, 0.156459, 0.164541, 0.173517, 0.183238, 0.221937, 0.243937, 0.256866, 0.261515, 0.268281, 0.281277, 0.29788, 0.321478, 0.340055, 0.34955, 0.368541, 0.417075, 0.443587, 0.468418, 0.492615, 0.516784, 0.526489, 0.534582, 0.543906, 0.575527, 0.597474, 0.616876, 0.625508, 0.642774, 0.660028, 0.669287, 0.687804, 0.724838, 0.749379");
-            values ( \
-              "-0.00161255, -0.00312718, -0.00448909, -0.00712268, -0.00789653, -0.00805251, -0.00729985, -0.00210346, -1e-22, -2e-22, -0.00055195, -0.00246604, -0.0098104, -0.0243532, -0.0478579, -0.0708896, -0.0786912, -0.0977302, -0.131501, -0.141866, -0.149704, -0.150169, -0.145854, -0.139613, -0.132334, -0.121162, -0.0778208, -0.0532635, -0.0369274, -0.0311842, -0.0219673, -0.015366, -0.0126555, -0.00850706, -0.00367768, -0.00242426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.200546, 0.384694, 0.508431, 0.585301, 0.645573, 0.698908, 0.748866, 0.797574, 0.846226, 0.8657, 0.900891, 1.00954, 1.04859, 1.10072, 1.13599, 1.19267, 1.24637");
-            values ( \
-              "-0.00659158, -0.0136845, -0.0810345, -0.116305, -0.136719, -0.149018, -0.155923, -0.157535, -0.151911, -0.145854, -0.126235, -0.0551907, -0.0383439, -0.0228974, -0.0159729, -0.00881189, -0.00524124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.243654, 0.629302, 0.840439, 0.935278, 1.07137, 1.12339, 1.21823, 1.26359, 1.32408, 1.36085, 1.43625, 1.51125, 1.53742, 1.58876, 1.64883, 1.84393, 1.95342, 2.04883, 2.13893, 2.18858, 2.28342, 2.37826, 2.43643");
-            values ( \
-              "-0.00249413, -0.0232415, -0.0819583, -0.10492, -0.131515, -0.139573, -0.150806, -0.15478, -0.158709, -0.160181, -0.160922, -0.157531, -0.154987, -0.146265, -0.12887, -0.0638488, -0.0391281, -0.0249213, -0.0159777, -0.0123977, -0.00764722, -0.00461375, -0.00353044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.243237, 0.250306, 0.253066, 0.257198, 0.266004, 0.275206, 0.279542, 0.283872, 0.287059, 0.298024, 0.303145, 0.308381, 0.316356, 0.320876, 0.329715");
-            values ( \
-              "-0.015039, -0.0374229, -0.0512459, -0.0616968, -0.0791002, -0.0894299, -0.0902243, -0.0871466, -0.0795145, -0.0329361, -0.0186535, -0.00996938, -0.00369953, -0.0021314, -0.000928594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.250644, 0.256006, 0.266679, 0.273721, 0.280765, 0.284063, 0.288809, 0.292549, 0.299737, 0.307366, 0.314342, 0.319142, 0.324983, 0.342359, 0.349253, 0.358267, 0.368714, 0.377158, 0.380619");
-            values ( \
-              "-0.0103159, -0.0199782, -0.0462547, -0.0699805, -0.0817472, -0.0907051, -0.0963806, -0.103522, -0.111386, -0.116287, -0.117725, -0.115602, -0.107342, -0.0482133, -0.0306453, -0.0161169, -0.00738236, -0.00382567, -0.0031511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.25659, 0.282852, 0.292519, 0.296432, 0.300868, 0.310708, 0.32528, 0.338368, 0.345166, 0.358943, 0.371831, 0.384392, 0.397098, 0.401865, 0.406113, 0.410987, 0.427306, 0.438455, 0.448524, 0.453009, 0.461979, 0.47074, 0.475464, 0.484913, 0.50381, 0.530503, 0.563136");
-            values ( \
-              "-0.00229963, -0.0239255, -0.0414676, -0.0464821, -0.0573979, -0.0757625, -0.0975915, -0.113271, -0.11995, -0.130435, -0.136433, -0.138104, -0.132875, -0.128048, -0.121603, -0.111501, -0.0718402, -0.0494549, -0.0341597, -0.0287733, -0.0201747, -0.014156, -0.0116593, -0.0078582, -0.00341033, -0.000964503, -0.000198915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.144364, 0.168539, 0.195705, 0.20881, 0.218676, 0.278047, 0.294173, 0.304992, 0.317382, 0.332642, 0.380373, 0.425273, 0.455127, 0.481641, 0.506469, 0.530667, 0.554837, 0.56446, 0.581957, 0.63553, 0.654926, 0.680814, 0.698077, 0.725865, 0.752503");
-            values ( \
-              "-0.00169445, -0.00315745, -0.00719093, -0.00800508, -0.0075207, -0.000256248, -1e-22, -0.00194809, -0.00845088, -0.0211775, -0.0725039, -0.111723, -0.130977, -0.142385, -0.149219, -0.150644, -0.145401, -0.139661, -0.121153, -0.0532597, -0.0369294, -0.0219735, -0.0153677, -0.00850616, -0.005032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.236331, 0.436664, 0.487077, 0.526266, 0.585643, 0.622846, 0.683151, 0.736494, 0.786454, 0.835162, 0.883815, 0.90335, 0.91965, 0.938482, 1.00235, 1.04713, 1.08618, 1.10356, 1.13832, 1.17358, 1.19247, 1.23025, 1.3058, 1.34996");
-            values ( \
-              "-0.000879229, -0.0202339, -0.0472041, -0.0709578, -0.100905, -0.115925, -0.137054, -0.148675, -0.156248, -0.157211, -0.152223, -0.14585, -0.138082, -0.126254, -0.0809433, -0.0551846, -0.0383357, -0.0324233, -0.0228875, -0.0159658, -0.0131472, -0.00880639, -0.00379472, -0.00259775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.278227, 0.627884, 0.938818, 1.03366, 1.09364, 1.1617, 1.27141, 1.30114, 1.37442, 1.45722, 1.50839, 1.57546, 1.6703, 1.79331, 1.9139, 1.99284, 2.10312, 2.17372, 2.21167, 2.28758, 2.38242, 2.47726, 2.5721, 2.76178");
-            values ( \
-              "-0.0100116, -0.0140785, -0.0969315, -0.117802, -0.128928, -0.139522, -0.152252, -0.154809, -0.15925, -0.161044, -0.160014, -0.154923, -0.134666, -0.091081, -0.0556975, -0.0389748, -0.0229555, -0.0161804, -0.0134953, -0.0090573, -0.00562861, -0.00332309, -0.00211247, -0.000811359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.335089, 0.342433, 0.345543, 0.352871, 0.358137, 0.362886, 0.367344, 0.371681, 0.376012, 0.379183, 0.390166, 0.395284, 0.400331, 0.404291, 0.41056");
-            values ( \
-              "-0.0183542, -0.0382666, -0.0519158, -0.0687857, -0.0789309, -0.0849669, -0.089324, -0.0902903, -0.0870814, -0.0795621, -0.032933, -0.0186511, -0.0101985, -0.0062805, -0.0033769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.344168, 0.358289, 0.361783, 0.370692, 0.380506, 0.388261, 0.392832, 0.401633, 0.405971, 0.409452, 0.41303, 0.418013, 0.434108, 0.441556, 0.447029, 0.455163, 0.461187, 0.469219, 0.483728, 0.500658");
-            values ( \
-              "-0.0119435, -0.0458946, -0.0595067, -0.0802913, -0.0977204, -0.107827, -0.112208, -0.117411, -0.117723, -0.116494, -0.113087, -0.104001, -0.0479809, -0.0292427, -0.01984, -0.0108477, -0.00685771, -0.00379352, -0.00101595, -0.000369967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.351475, 0.374707, 0.386739, 0.395516, 0.404728, 0.417051, 0.430099, 0.437068, 0.450835, 0.463737, 0.476298, 0.489004, 0.493765, 0.498016, 0.502894, 0.519213, 0.530362, 0.540431, 0.544916, 0.553886, 0.562647, 0.567371, 0.57682, 0.595718, 0.622245, 0.654671");
-            values ( \
-              "-0.00582687, -0.0238306, -0.0442262, -0.0631251, -0.0791776, -0.0973993, -0.113075, -0.119933, -0.130418, -0.136425, -0.138099, -0.132869, -0.128056, -0.121604, -0.111502, -0.071842, -0.0494524, -0.0341578, -0.0287752, -0.0201731, -0.0141543, -0.0116611, -0.00785634, -0.00341202, -0.000972408, -0.000205427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.30787, 0.415351, 0.451206, 0.479232, 0.503946, 0.516906, 0.542825, 0.573197, 0.588891, 0.598012, 0.616256, 0.634069, 0.646572, 0.671215, 0.706241, 0.72488, 0.73978, 0.753985, 0.782664, 0.802036, 0.817265, 0.847722, 0.898879, 0.960738");
-            values ( \
-              "-0.000224644, -0.0132609, -0.0483884, -0.0793769, -0.101936, -0.111719, -0.128804, -0.14251, -0.147134, -0.148939, -0.150811, -0.149155, -0.144994, -0.124405, -0.0766406, -0.0555809, -0.0421223, -0.0319804, -0.0178067, -0.0118697, -0.00859626, -0.00434896, -0.00126708, -0.000239773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.329788, 0.521062, 0.572061, 0.620914, 0.671968, 0.714751, 0.775036, 0.828375, 0.878334, 0.927041, 0.975693, 0.995197, 1.01151, 1.03036, 1.09423, 1.13901, 1.17806, 1.19544, 1.23019, 1.26546, 1.28435, 1.32213, 1.39769, 1.44563");
-            values ( \
-              "-0.00393324, -0.0167001, -0.0433094, -0.0722597, -0.0981488, -0.116131, -0.136877, -0.14886, -0.156075, -0.157384, -0.152057, -0.145853, -0.138103, -0.126245, -0.0809347, -0.055188, -0.0383402, -0.0324197, -0.0228928, -0.0159697, -0.0131435, -0.0088095, -0.00379064, -0.00249484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.372608, 0.771576, 0.941561, 1.02747, 1.17103, 1.25307, 1.31179, 1.36281, 1.466, 1.5161, 1.56598, 1.66082, 1.70535, 1.75624, 1.9012, 1.9784, 2.03248, 2.10209, 2.14072, 2.21799, 2.26706, 2.34187, 2.43671, 2.53155, 2.62639, 2.72123, 2.9109");
-            values ( \
-              "-1.0569e-05, -0.0264381, -0.0743583, -0.0963331, -0.126462, -0.13956, -0.14696, -0.152284, -0.159269, -0.160796, -0.160857, -0.155753, -0.149051, -0.136176, -0.0854571, -0.0624883, -0.049361, -0.0358533, -0.0298964, -0.0204572, -0.0160441, -0.0110093, -0.00676176, -0.00409205, -0.00250713, -0.00149429, -0.000537329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.546421, 0.551552, 0.561703, 0.570823, 0.575575, 0.580038, 0.584379, 0.588714, 0.591865, 0.603527, 0.607649, 0.612916, 0.619139, 0.628563, 0.631078");
-            values ( \
-              "-0.00224472, -0.0281052, -0.0603658, -0.078666, -0.084995, -0.0891187, -0.0903262, -0.0869309, -0.0795873, -0.0307341, -0.0194265, -0.0103591, -0.00483386, -0.00151811, -0.00139086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.558152, 0.564791, 0.571157, 0.578087, 0.578444, 0.585135, 0.593289, 0.601006, 0.605943, 0.613248, 0.618851, 0.622324, 0.625901, 0.631386, 0.648736, 0.654418, 0.662059, 0.666792, 0.674566, 0.684521, 0.692435");
-            values ( \
-              "-0.0189098, -0.0304231, -0.0461189, -0.0695814, -0.0689851, -0.0830154, -0.0972809, -0.107496, -0.112333, -0.1169, -0.117734, -0.116434, -0.11312, -0.102601, -0.0428574, -0.02931, -0.0169657, -0.0119573, -0.00663328, -0.00304211, -0.00186549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.572743, 0.587951, 0.602017, 0.608624, 0.616343, 0.630503, 0.643029, 0.650038, 0.663796, 0.676696, 0.689255, 0.701799, 0.706741, 0.710982, 0.715847, 0.732165, 0.743313, 0.753383, 0.766837, 0.775597, 0.789769, 0.808664, 0.835105, 0.867411");
-            values ( \
-              "-0.0208424, -0.0244582, -0.0489478, -0.0633977, -0.0770956, -0.0981495, -0.113121, -0.119987, -0.130476, -0.136448, -0.138137, -0.13299, -0.128044, -0.121594, -0.111511, -0.0718461, -0.0494547, -0.0341583, -0.0201722, -0.0141539, -0.00785632, -0.00341351, -0.000976803, -0.000208876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.437825, 0.452768, 0.469602, 0.482683, 0.498157, 0.505726, 0.512736, 0.521938, 0.528299, 0.55819, 0.583251, 0.589322, 0.601765, 0.604891, 0.611583, 0.61989, 0.634198, 0.664265, 0.681516, 0.692301, 0.713871, 0.759803, 0.786309, 0.811136, 0.835333, 0.859501, 0.869195, 0.877292, 0.886622, 0.918242, 0.926989, 0.94019, 0.95959, 0.968222, 0.985486, 1.00274, 1.012, 1.03052, 1.06756, 1.09607");
-            values ( \
-              "-0.00105969, -0.00149391, -0.00246952, -0.00387353, -0.00662857, -0.00751991, -0.00780936, -0.00753242, -0.00690524, -0.00297238, -0.000243339, -1e-22, -0.000178671, -0.000669367, -0.00268308, -0.00702851, -0.0183287, -0.0481259, -0.0693836, -0.0789235, -0.099832, -0.131391, -0.142007, -0.149594, -0.150292, -0.145749, -0.139622, -0.132346, -0.121162, -0.0778203, -0.0672521, -0.0532627, -0.0369277, -0.031185, -0.0219683, -0.015366, -0.0126557, -0.00850649, -0.00367746, -0.00221604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.539036, 0.740717, 0.792091, 0.831403, 0.890284, 0.9278, 0.988097, 1.04144, 1.0914, 1.1401, 1.18875, 1.2083, 1.22459, 1.24342, 1.30729, 1.35206, 1.39112, 1.4085, 1.44326, 1.47852, 1.49741, 1.53518, 1.61073, 1.65465");
-            values ( \
-              "-0.00090539, -0.0198397, -0.0472813, -0.0710681, -0.100768, -0.115957, -0.137051, -0.148698, -0.156239, -0.157229, -0.152211, -0.145847, -0.13808, -0.126255, -0.0809435, -0.0551851, -0.0383359, -0.0324233, -0.0228875, -0.0159659, -0.0131472, -0.00880657, -0.00379476, -0.00260448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.583446, 0.966894, 1.14918, 1.25306, 1.34293, 1.41663, 1.46672, 1.56156, 1.60691, 1.66737, 1.74212, 1.77958, 1.85449, 1.88075, 1.93209, 1.99216, 2.18726, 2.28859, 2.34578, 2.41114, 2.51322, 2.58141, 2.67625, 2.7664");
-            values ( \
-              "-0.00340855, -0.021766, -0.0726982, -0.0991466, -0.118518, -0.131933, -0.139471, -0.150904, -0.154863, -0.158622, -0.16096, -0.160852, -0.157606, -0.154921, -0.14633, -0.128806, -0.0637898, -0.0406432, -0.0311318, -0.022647, -0.0136734, -0.00964092, -0.00591344, -0.00368888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.00839, 1.01855, 1.02173, 1.02489, 1.03447, 1.04374, 1.04809, 1.05244, 1.05556, 1.06403, 1.0686, 1.07206, 1.07694, 1.08053, 1.08476, 1.08953, 1.10262, 1.11456");
-            values ( \
-              "-0.00101496, -0.0372257, -0.0509931, -0.0589522, -0.0783506, -0.088915, -0.089943, -0.0868325, -0.0795433, -0.0427087, -0.0265397, -0.0179557, -0.0100184, -0.0064629, -0.00381368, -0.00211747, -0.000477464, -0.000157072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.01883, 1.03471, 1.03847, 1.04709, 1.05275, 1.0607, 1.06835, 1.07554, 1.07908, 1.08252, 1.08601, 1.08959, 1.09555, 1.11044, 1.11709, 1.12368, 1.12858, 1.13369, 1.14545, 1.15854, 1.17549");
-            values ( \
-              "-0.0043258, -0.0453731, -0.0601512, -0.0800021, -0.0902199, -0.102484, -0.111093, -0.116105, -0.11749, -0.117585, -0.116397, -0.112991, -0.101269, -0.0485654, -0.0314274, -0.0198871, -0.0137499, -0.00937374, -0.00373812, -0.00138427, -0.000250494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.03942, 1.05373, 1.06162, 1.06413, 1.07298, 1.08516, 1.09848, 1.11383, 1.1276, 1.14049, 1.15304, 1.16559, 1.17054, 1.17478, 1.17964, 1.19595, 1.2071, 1.21713, 1.22872, 1.23991, 1.2462, 1.25877, 1.28285, 1.31077");
-            values ( \
-              "-0.0266019, -0.0280836, -0.0423626, -0.0451254, -0.0648603, -0.0850576, -0.103596, -0.120053, -0.130452, -0.136496, -0.138103, -0.133032, -0.128037, -0.121585, -0.111516, -0.0718485, -0.0494544, -0.0342402, -0.0218443, -0.0138882, -0.0107227, -0.00628864, -0.00207233, -0.000552126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.874574, 0.912141, 0.935299, 0.947982, 0.965525, 0.97589, 0.987604, 1.03281, 1.05129, 1.06328, 1.07109, 1.07479, 1.08785, 1.09881, 1.1282, 1.14164, 1.15615, 1.18057, 1.20488, 1.23255, 1.24999, 1.26285, 1.27481, 1.299, 1.32318, 1.33274, 1.34091, 1.35031, 1.38193, 1.40389, 1.42329, 1.43191, 1.44917, 1.46644, 1.4757, 1.49423, 1.53128, 1.55548");
-            values ( \
-              "-0.000630666, -0.00123166, -0.00240889, -0.00377974, -0.00654508, -0.00740359, -0.00711509, -0.00162571, -2.69554e-05, -1e-22, -0.00128656, -0.00253895, -0.00994966, -0.0190921, -0.0485659, -0.0650239, -0.0794037, -0.101886, -0.119874, -0.135407, -0.142534, -0.14629, -0.149057, -0.150639, -0.145289, -0.13967, -0.132402, -0.12113, -0.077805, -0.0532561, -0.036928, -0.0311827, -0.0219739, -0.0153677, -0.0126524, -0.00850611, -0.00367311, -0.00243402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("1.00159, 1.18875, 1.32492, 1.39125, 1.45168, 1.50506, 1.55505, 1.60377, 1.65307, 1.67173, 1.68815, 1.81578, 1.85483, 1.90694, 1.94222, 1.99891, 2.05745");
-            values ( \
-              "-0.00676258, -0.012735, -0.0862631, -0.116182, -0.136453, -0.149042, -0.155735, -0.157603, -0.151679, -0.145895, -0.138165, -0.0551878, -0.0383458, -0.0229029, -0.0159755, -0.00881294, -0.0049177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("1.04962, 1.43588, 1.61423, 1.71347, 1.80642, 1.88038, 1.93023, 2.04018, 2.13085, 2.20565, 2.24308, 2.31795, 2.34425, 2.39559, 2.45566, 2.59792, 2.65076, 2.75209, 2.80928, 2.87464, 2.97671, 3.04491, 3.13975, 3.23459, 3.32943, 3.5191");
-            values ( \
-              "-0.00247415, -0.0231457, -0.0731047, -0.0984036, -0.118539, -0.131958, -0.139494, -0.152258, -0.158637, -0.160951, -0.160864, -0.1576, -0.154932, -0.146321, -0.128816, -0.0792203, -0.063798, -0.0406509, -0.0311261, -0.0226521, -0.013669, -0.00964553, -0.00590932, -0.00357734, -0.00218536, -0.000806355" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0016414, 0.00164142, 0.00164143, 0.00164144, 0.00164145, 0.00164145", \
-            "0.00182849, 0.00182849, 0.0018285, 0.0018285, 0.0018285, 0.00182851", \
-            "0.00192713, 0.00192713, 0.00192713, 0.00192713, 0.00192713, 0.00192713", \
-            "0.00198473, 0.00198473, 0.00198473, 0.00198472, 0.00198472, 0.00198472", \
-            "0.00202019, 0.00202019, 0.00202019, 0.00202019, 0.00202019, 0.00202019", \
-            "0.00203913, 0.00203913, 0.00203913, 0.00203913, 0.00203913, 0.00203913" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0021519, 0.00215189, 0.00215188, 0.00215188, 0.00215188, 0.00215188", \
-            "0.00226047, 0.00226045, 0.00226044, 0.00226043, 0.00226042, 0.00226042", \
-            "0.00233419, 0.00233417, 0.00233416, 0.00233414, 0.00233414, 0.00233413", \
-            "0.00244703, 0.00244703, 0.00244702, 0.00244701, 0.002447, 0.002447", \
-            "0.002687, 0.00268701, 0.00268702, 0.00268703, 0.00268703, 0.00268703", \
-            "0.00307469, 0.00307341, 0.00307237, 0.00307172, 0.00307138, 0.00307118" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.218824, 0.25085, 0.315982, 0.450457, 0.730589, 1.31818", \
-            "0.223663, 0.255691, 0.320782, 0.455296, 0.735427, 1.32301", \
-            "0.235982, 0.268021, 0.333185, 0.467564, 0.747756, 1.33535", \
-            "0.266475, 0.298493, 0.363667, 0.49804, 0.778254, 1.36565", \
-            "0.33182, 0.363882, 0.429012, 0.563453, 0.843661, 1.43139", \
-            "0.440986, 0.473136, 0.53832, 0.672683, 0.952913, 1.54075" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0280475, 0.0452367, 0.0811242, 0.156551, 0.315395, 0.649818", \
-            "0.028048, 0.0452328, 0.0811867, 0.156551, 0.315395, 0.649817", \
-            "0.0280488, 0.0451964, 0.0811698, 0.156482, 0.315392, 0.649806", \
-            "0.0280473, 0.0451972, 0.0811642, 0.15646, 0.315393, 0.649225", \
-            "0.02808, 0.0452163, 0.0811169, 0.156541, 0.315376, 0.649754", \
-            "0.0282004, 0.0452628, 0.0810895, 0.156396, 0.315506, 0.649803" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.193911, 0.196644, 0.200251, 0.201527, 0.204077, 0.205705, 0.208825, 0.217325, 0.222084, 0.226554, 0.230897, 0.235235, 0.238406, 0.249399, 0.252123, 0.254522, 0.257721, 0.25988, 0.262414, 0.265793, 0.271365");
-            values ( \
-              "-0.0178021, -0.0235478, -0.0348594, -0.0366586, -0.0501394, -0.0528508, -0.0622247, -0.0788562, -0.084613, -0.0892593, -0.0900683, -0.0870295, -0.0794778, -0.0329161, -0.0244861, -0.0186434, -0.0127306, -0.00982007, -0.00721361, -0.00473343, -0.00247883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.200873, 0.214376, 0.217614, 0.222481, 0.224684, 0.22909, 0.242455, 0.245924, 0.255564, 0.264239, 0.267882, 0.272512, 0.279629, 0.294727, 0.301046, 0.30705, 0.317644, 0.325529, 0.332127");
-            values ( \
-              "-0.00150102, -0.0391125, -0.0449233, -0.064082, -0.0664742, -0.078469, -0.101603, -0.105617, -0.114511, -0.117805, -0.116964, -0.113085, -0.0977834, -0.0447822, -0.0293337, -0.0192924, -0.00868144, -0.00481156, -0.00319228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.215385, 0.234378, 0.248653, 0.258492, 0.262359, 0.270094, 0.294623, 0.29692, 0.301515, 0.321395, 0.327857, 0.336071, 0.348566, 0.354964, 0.361569, 0.386459, 0.398357, 0.410144, 0.41898, 0.428017, 0.448721, 0.459855");
-            values ( \
-              "-0.0124479, -0.0238403, -0.047407, -0.0706946, -0.0742931, -0.0887962, -0.118713, -0.11933, -0.124405, -0.136155, -0.137802, -0.13788, -0.133321, -0.126201, -0.114031, -0.0561921, -0.0366119, -0.0232408, -0.0162731, -0.0112535, -0.00467312, -0.00326965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0895909, 0.12517, 0.148255, 0.156868, 0.169456, 0.232894, 0.251423, 0.266731, 0.280954, 0.331409, 0.377142, 0.406971, 0.433458, 0.458268, 0.482451, 0.506607, 0.516303, 0.533719, 0.587282, 0.606675, 0.63256, 0.649821, 0.677606, 0.70417");
-            values ( \
-              "-0.00163822, -0.00333276, -0.00727291, -0.00782934, -0.00708507, -1e-22, -0.000458829, -0.0067002, -0.0178828, -0.071614, -0.111869, -0.131049, -0.142534, -0.149278, -0.150769, -0.145427, -0.139659, -0.121185, -0.0532682, -0.036935, -0.0219766, -0.0153702, -0.00850802, -0.00504157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.188724, 0.383631, 0.433066, 0.477444, 0.539286, 0.574702, 0.634946, 0.688253, 0.738187, 0.786875, 0.835509, 0.855152, 0.871398, 0.890169, 0.965107, 0.998804, 1.03786, 1.05524, 1.09, 1.12525, 1.14414, 1.18191, 1.25746, 1.30456");
-            values ( \
-              "-0.00254293, -0.017934, -0.0438824, -0.0705342, -0.101717, -0.116219, -0.137028, -0.148904, -0.156187, -0.157394, -0.152137, -0.14583, -0.138082, -0.126264, -0.0739107, -0.0551915, -0.0383405, -0.0324217, -0.0228907, -0.0159688, -0.0131454, -0.00880916, -0.00379283, -0.00251863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.231931, 0.584313, 0.675294, 0.82968, 0.924605, 1.06058, 1.1126, 1.20752, 1.25259, 1.32553, 1.40258, 1.47103, 1.52653, 1.62145, 1.74379, 1.86568, 1.94389, 2.05311, 2.12467, 2.16308, 2.23991, 2.33483, 2.42976, 2.61961, 2.71453");
-            values ( \
-              "-0.00908941, -0.0151801, -0.0384468, -0.0818034, -0.1051, -0.131675, -0.139448, -0.150973, -0.154907, -0.159315, -0.161104, -0.159551, -0.154886, -0.13468, -0.0912385, -0.0554874, -0.0389432, -0.0230475, -0.016161, -0.0135, -0.00896929, -0.00561657, -0.00326977, -0.00114353, -0.000831261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.209359, 0.212249, 0.215706, 0.216997, 0.219578, 0.221176, 0.224297, 0.232797, 0.237556, 0.242026, 0.246369, 0.250707, 0.253879, 0.255565, 0.262092, 0.264871, 0.267595, 0.269994, 0.273193, 0.275352, 0.277886, 0.281265, 0.287065");
-            values ( \
-              "-0.0177773, -0.0239059, -0.0348415, -0.0366072, -0.0502769, -0.0528209, -0.0622401, -0.078868, -0.0845983, -0.0892698, -0.0900569, -0.0870385, -0.0794757, -0.0733591, -0.0435391, -0.032916, -0.0244862, -0.0186435, -0.0127301, -0.00982024, -0.00721386, -0.00473323, -0.00238679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.216462, 0.229838, 0.233084, 0.237951, 0.240153, 0.244557, 0.257935, 0.261367, 0.271187, 0.279396, 0.283971, 0.287976, 0.295103, 0.310405, 0.318641, 0.326807, 0.332528, 0.346127, 0.346868");
-            values ( \
-              "-0.00185714, -0.0391021, -0.0449393, -0.0640918, -0.0664792, -0.0784724, -0.101626, -0.10559, -0.114627, -0.117796, -0.116667, -0.113049, -0.0977759, -0.0441688, -0.0252873, -0.0139358, -0.00907225, -0.0031046, -0.00299589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.231007, 0.249794, 0.264076, 0.274137, 0.277782, 0.285072, 0.30383, 0.312313, 0.324413, 0.338931, 0.348316, 0.357705, 0.364121, 0.376906, 0.400751, 0.412573, 0.423417, 0.432299, 0.441082, 0.458375, 0.480731, 0.48131");
-            values ( \
-              "-0.01288, -0.0238001, -0.0478347, -0.0707775, -0.0745304, -0.0879179, -0.111554, -0.119993, -0.129422, -0.136424, -0.138148, -0.136617, -0.132827, -0.114382, -0.0585368, -0.0383904, -0.0253212, -0.017796, -0.012394, -0.00597667, -0.0022167, -0.00218242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.105265, 0.142997, 0.163874, 0.172449, 0.184878, 0.231014, 0.248392, 0.266975, 0.282235, 0.296327, 0.323528, 0.346811, 0.370916, 0.392482, 0.422309, 0.448797, 0.473607, 0.49779, 0.521945, 0.531641, 0.539733, 0.549058, 0.580669, 0.602621, 0.622013, 0.630642, 0.647899, 0.66516, 0.674421, 0.692945, 0.729991, 0.750702");
-            values ( \
-              "-0.00171034, -0.00357282, -0.00733349, -0.00785129, -0.00709684, -0.00139872, -1e-22, -0.000481599, -0.00682332, -0.0178972, -0.0450946, -0.0716964, -0.0946916, -0.111853, -0.131065, -0.142518, -0.149294, -0.150752, -0.145444, -0.139659, -0.132397, -0.121185, -0.0778265, -0.0532677, -0.0369345, -0.0311875, -0.0219762, -0.0153698, -0.0126542, -0.0085076, -0.00367404, -0.00262272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.204822, 0.3997, 0.493147, 0.555831, 0.590685, 0.650929, 0.704237, 0.754171, 0.802859, 0.851492, 0.871136, 0.906153, 0.981091, 1.01479, 1.05384, 1.10598, 1.14124, 1.1979, 1.27345, 1.28981");
-            values ( \
-              "-0.00250582, -0.0179898, -0.0703661, -0.101959, -0.116232, -0.137014, -0.148917, -0.156173, -0.157408, -0.152123, -0.145829, -0.126263, -0.0739109, -0.0551918, -0.0383408, -0.0228909, -0.015969, -0.0088094, -0.00379257, -0.00335011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.247518, 0.598804, 0.689539, 0.84514, 0.940065, 1.07604, 1.12805, 1.22298, 1.26805, 1.34098, 1.41804, 1.48647, 1.54198, 1.6369, 1.75924, 1.88113, 1.95934, 2.06856, 2.14012, 2.17854, 2.25537, 2.35029, 2.44522, 2.63507, 2.72999");
-            values ( \
-              "-0.00926551, -0.0149914, -0.0380969, -0.0818039, -0.105103, -0.131676, -0.139448, -0.150973, -0.154905, -0.159312, -0.1611, -0.159546, -0.154892, -0.134675, -0.0912452, -0.0554948, -0.0389511, -0.0230558, -0.0161694, -0.0134914, -0.00897783, -0.0056078, -0.00327847, -0.00115235, -0.000822371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.247129, 0.254315, 0.257775, 0.265351, 0.270639, 0.275399, 0.279867, 0.284212, 0.288551, 0.291692, 0.304764, 0.308074, 0.312996, 0.320232");
-            values ( \
-              "-0.0177235, -0.0356328, -0.0502232, -0.068637, -0.0785067, -0.0848939, -0.0890134, -0.090264, -0.0868591, -0.0795787, -0.026372, -0.0181372, -0.0100703, -0.0044842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.255131, 0.268133, 0.27098, 0.275811, 0.278056, 0.282546, 0.29559, 0.299777, 0.311857, 0.318842, 0.325837, 0.330967, 0.348774, 0.35441, 0.361981, 0.366776, 0.374638, 0.385121, 0.386807");
-            values ( \
-              "-0.00469425, -0.0402029, -0.0444719, -0.0642415, -0.0662671, -0.0787624, -0.101352, -0.106256, -0.116466, -0.117559, -0.113506, -0.103774, -0.0427456, -0.0293245, -0.0170684, -0.0119806, -0.00660781, -0.00287311, -0.00264028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.269776, 0.287605, 0.301924, 0.308342, 0.326941, 0.35021, 0.363952, 0.376839, 0.389391, 0.401928, 0.406858, 0.4111, 0.415967, 0.432276, 0.443422, 0.453484, 0.466929, 0.475689, 0.489857, 0.508749, 0.535735, 0.56873");
-            values ( \
-              "-0.0150218, -0.0236105, -0.0484021, -0.0630792, -0.0931945, -0.12022, -0.130265, -0.136724, -0.138014, -0.133271, -0.128138, -0.121676, -0.111575, -0.0718827, -0.0494708, -0.0341724, -0.0201839, -0.0141604, -0.00785862, -0.00341519, -0.000943437, -0.00019377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.143464, 0.181542, 0.202507, 0.211126, 0.223358, 0.270026, 0.287605, 0.306526, 0.321436, 0.328997, 0.364694, 0.392685, 0.418019, 0.430329, 0.454949, 0.470437, 0.486553, 0.511398, 0.535582, 0.559745, 0.569359, 0.577489, 0.586858, 0.618471, 0.640428, 0.659818, 0.668445, 0.685699, 0.702965, 0.712229, 0.730758, 0.767815, 0.790508");
-            values ( \
-              "-0.00171546, -0.00365042, -0.00743321, -0.00784842, -0.00703439, -0.00127363, -1e-22, -0.000851063, -0.00771094, -0.0134132, -0.0485635, -0.0795835, -0.102437, -0.11193, -0.128093, -0.136045, -0.142525, -0.14916, -0.150794, -0.145344, -0.139699, -0.132428, -0.121174, -0.0778238, -0.0532616, -0.0369327, -0.0311883, -0.0219776, -0.015369, -0.0126541, -0.00850559, -0.00367311, -0.0025213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.243302, 0.436898, 0.486376, 0.531141, 0.591955, 0.628055, 0.688296, 0.741602, 0.791534, 0.840223, 0.888856, 0.908501, 0.924746, 0.943516, 1.01845, 1.05215, 1.09121, 1.10858, 1.14334, 1.1786, 1.19749, 1.23526, 1.31081, 1.3576");
-            values ( \
-              "-0.00268073, -0.0179097, -0.0438812, -0.0707204, -0.101401, -0.116234, -0.13702, -0.148916, -0.156177, -0.157405, -0.152127, -0.145829, -0.138082, -0.126264, -0.0739108, -0.0551917, -0.0383406, -0.0324216, -0.0228908, -0.0159689, -0.0131453, -0.00880927, -0.00379272, -0.00252696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.287614, 0.662936, 0.883705, 0.97863, 1.04279, 1.11452, 1.16654, 1.27648, 1.36661, 1.40518, 1.45662, 1.47933, 1.52477, 1.58046, 1.67539, 1.79772, 1.91962, 1.99783, 2.10703, 2.1786, 2.21701, 2.29384, 2.38877, 2.48369, 2.55163");
-            values ( \
-              "-0.00435879, -0.0208462, -0.0819373, -0.105023, -0.118601, -0.131581, -0.139549, -0.15231, -0.158658, -0.160199, -0.161031, -0.1609, -0.159491, -0.154957, -0.134612, -0.0913035, -0.0555431, -0.0389971, -0.0231007, -0.0162113, -0.0134507, -0.00901834, -0.00556791, -0.00331816, -0.00242838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.339169, 0.346356, 0.350917, 0.357247, 0.36253, 0.367295, 0.371763, 0.376108, 0.380447, 0.383588, 0.39666, 0.39997, 0.404891, 0.412644");
-            values ( \
-              "-0.0178543, -0.0366296, -0.0535558, -0.0686308, -0.078516, -0.0848859, -0.0890285, -0.0902523, -0.0868738, -0.0795772, -0.0263702, -0.0181378, -0.0100702, -0.00408631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.345752, 0.360364, 0.362841, 0.367629, 0.369926, 0.37452, 0.387937, 0.390725, 0.39652, 0.402063, 0.407024, 0.410702, 0.417667, 0.421416, 0.425527, 0.438738, 0.446237, 0.454683, 0.458766, 0.46505, 0.47343, 0.478318");
-            values ( \
-              "-0.00110434, -0.0405552, -0.0448875, -0.0636205, -0.0664637, -0.0787419, -0.101844, -0.104947, -0.111116, -0.115206, -0.117385, -0.117667, -0.113372, -0.107133, -0.0955276, -0.0482622, -0.0294413, -0.0160975, -0.0119085, -0.00742278, -0.00384892, -0.00293546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.360094, 0.379602, 0.392922, 0.399619, 0.407563, 0.426716, 0.442132, 0.455891, 0.468776, 0.481328, 0.494339, 0.498757, 0.503016, 0.507903, 0.524211, 0.535361, 0.54542, 0.549901, 0.558861, 0.567624, 0.572348, 0.581797, 0.600695, 0.627678, 0.660676");
-            values ( \
-              "-0.0114546, -0.0238611, -0.0465017, -0.0613836, -0.075722, -0.103414, -0.119917, -0.130555, -0.136468, -0.138265, -0.132722, -0.128187, -0.121714, -0.111576, -0.0718849, -0.0494677, -0.0341735, -0.0287928, -0.0201878, -0.0141616, -0.011668, -0.00785808, -0.0034129, -0.000943886, -0.000192949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.234714, 0.270302, 0.293316, 0.30191, 0.314521, 0.36022, 0.377432, 0.39576, 0.411139, 0.421068, 0.456672, 0.478045, 0.498007, 0.54921, 0.552128, 0.557966, 0.578563, 0.603385, 0.627506, 0.65281, 0.664365, 0.678772, 0.725402, 0.751622, 0.779501, 0.795225, 0.818944, 0.850569, 0.858659");
-            values ( \
-              "-0.00159871, -0.00334013, -0.00726257, -0.00783446, -0.00710656, -0.00148029, -1e-22, -0.000306365, -0.00624652, -0.0134903, -0.048069, -0.0740287, -0.0928729, -0.13003, -0.130428, -0.13431, -0.142911, -0.149376, -0.150983, -0.144806, -0.137213, -0.121251, -0.0604105, -0.03705, -0.0211905, -0.0152966, -0.00925183, -0.00457235, -0.00405868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.334287, 0.529656, 0.623081, 0.685384, 0.720491, 0.780734, 0.834041, 0.883974, 0.932662, 0.981296, 1.00094, 1.03596, 1.11089, 1.14459, 1.18365, 1.23578, 1.27104, 1.3277, 1.40325, 1.41961");
-            values ( \
-              "-0.00240985, -0.018059, -0.0704427, -0.10185, -0.116231, -0.137018, -0.148915, -0.156177, -0.157405, -0.152127, -0.145829, -0.126264, -0.0739109, -0.0551918, -0.0383407, -0.0228909, -0.015969, -0.00880937, -0.00379266, -0.00335014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.376933, 0.738354, 0.833279, 0.946306, 1.03332, 1.12825, 1.17122, 1.25817, 1.33837, 1.36797, 1.42117, 1.4708, 1.56573, 1.60284, 1.63692, 1.67209, 1.74242, 1.92481, 1.97918, 2.07442, 2.11846, 2.16971, 2.24189, 2.28743, 2.37167, 2.4666, 2.56152, 2.65645, 2.8463");
-            values ( \
-              "-0.00741164, -0.0170231, -0.0418866, -0.0742015, -0.0964681, -0.117333, -0.125559, -0.139545, -0.149365, -0.152284, -0.156461, -0.15923, -0.160982, -0.160103, -0.158265, -0.15496, -0.141659, -0.0795568, -0.0636843, -0.041762, -0.0340308, -0.0266345, -0.0186704, -0.0148902, -0.0097277, -0.0059429, -0.00361039, -0.00219052, -0.000802179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.550738, 0.556046, 0.566568, 0.575447, 0.584689, 0.589036, 0.593379, 0.596539, 0.607549, 0.612673, 0.618033, 0.623949, 0.63071, 0.634342");
-            values ( \
-              "-0.00152876, -0.0278072, -0.0612504, -0.0787953, -0.0892336, -0.0899262, -0.0870361, -0.0794673, -0.0329046, -0.018638, -0.00981719, -0.00472999, -0.0019985, -0.00145344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.559728, 0.568868, 0.576072, 0.579728, 0.593536, 0.602086, 0.609749, 0.616944, 0.623946, 0.63094, 0.636072, 0.653877, 0.659513, 0.667084, 0.679741, 0.685634");
-            values ( \
-              "-0.0101788, -0.028161, -0.0458376, -0.0596784, -0.0887186, -0.102787, -0.110779, -0.116497, -0.117547, -0.113534, -0.10378, -0.0427507, -0.0293294, -0.017073, -0.00661198, -0.00450772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.578838, 0.593038, 0.626959, 0.639901, 0.655284, 0.669038, 0.681924, 0.694475, 0.707012, 0.711948, 0.72105, 0.748504, 0.758567, 0.772012, 0.78077, 0.794938, 0.812529");
-            values ( \
-              "-0.0240628, -0.0244502, -0.0860923, -0.103763, -0.120258, -0.130241, -0.136769, -0.137988, -0.13331, -0.128134, -0.111579, -0.0494711, -0.0341721, -0.0201831, -0.0141599, -0.00785819, -0.00372203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.436707, 0.484955, 0.513485, 0.52924, 0.578049, 0.595372, 0.615087, 0.629333, 0.638554, 0.690368, 0.735395, 0.765215, 0.791701, 0.816509, 0.840691, 0.864845, 0.874561, 0.891958, 0.945519, 0.964913, 0.9908, 1.00806, 1.03584, 1.06387");
-            values ( \
-              "-0.00106085, -0.0032302, -0.00757012, -0.00685719, -0.00100557, -1e-22, -0.00188376, -0.0097191, -0.0172985, -0.0725375, -0.111768, -0.131184, -0.142426, -0.149401, -0.150661, -0.145543, -0.139651, -0.12119, -0.0532678, -0.0369335, -0.0219743, -0.0153688, -0.008507, -0.00485088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.541637, 0.742543, 0.835482, 0.899962, 0.933594, 0.993823, 1.04714, 1.09707, 1.14575, 1.19439, 1.21405, 1.24905, 1.32398, 1.35768, 1.39674, 1.44887, 1.48413, 1.54079, 1.61633, 1.63303");
-            values ( \
-              "-0.00183175, -0.0179847, -0.0700793, -0.102539, -0.116246, -0.137029, -0.148922, -0.156184, -0.157408, -0.15213, -0.145825, -0.126265, -0.0739108, -0.0551923, -0.0383408, -0.0228905, -0.015969, -0.00880953, -0.00379286, -0.00334129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.593422, 0.986043, 1.18884, 1.28376, 1.34798, 1.41969, 1.4717, 1.58164, 1.67168, 1.71047, 1.76218, 1.78447, 1.82906, 1.8856, 1.98052, 2.14631, 2.22476, 2.30296, 2.41215, 2.52215, 2.59899, 2.69392, 2.78884, 2.84185");
-            values ( \
-              "-0.000884632, -0.0253856, -0.082038, -0.10497, -0.118705, -0.131533, -0.139638, -0.152386, -0.158723, -0.160263, -0.16099, -0.160953, -0.159493, -0.155006, -0.134569, -0.0772431, -0.0555752, -0.0390296, -0.0231341, -0.0134218, -0.00904609, -0.00553939, -0.00334576, -0.00262053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.01421, 1.02153, 1.02371, 1.02659, 1.03073, 1.03972, 1.04901, 1.05337, 1.05773, 1.06085, 1.0739, 1.08225, 1.09003");
-            values ( \
-              "-0.00129217, -0.0318282, -0.0355942, -0.0503493, -0.0605005, -0.0783158, -0.088889, -0.0896502, -0.0868196, -0.0794468, -0.0265467, -0.0100037, -0.00400023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.02679, 1.03365, 1.04012, 1.04726, 1.0544, 1.0577, 1.06429, 1.06625, 1.07392, 1.08113, 1.08814, 1.09573, 1.10018, 1.11387, 1.12137, 1.12663, 1.1345, 1.1401, 1.14757, 1.16124, 1.16611");
-            values ( \
-              "-0.0178934, -0.0298664, -0.0451357, -0.0695179, -0.0808055, -0.090213, -0.0982379, -0.102959, -0.111371, -0.115563, -0.118081, -0.11242, -0.103988, -0.0555215, -0.0344288, -0.0239534, -0.0135163, -0.00889408, -0.00497506, -0.00160506, -0.00140492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.04177, 1.05749, 1.07153, 1.08116, 1.08517, 1.09319, 1.11801, 1.1197, 1.12308, 1.13342, 1.14631, 1.15886, 1.17153, 1.17746, 1.18378, 1.21394, 1.22841, 1.23899, 1.25636, 1.27084, 1.27355");
-            values ( \
-              "-0.0191859, -0.0246653, -0.0479969, -0.0704473, -0.07461, -0.089269, -0.119268, -0.119547, -0.123314, -0.130705, -0.136637, -0.138209, -0.132902, -0.126571, -0.115221, -0.0476327, -0.0279049, -0.0182757, -0.00896071, -0.00480952, -0.00445495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.872949, 0.899766, 0.936112, 0.944757, 0.971781, 0.98337, 0.998451, 1.04201, 1.05927, 1.07828, 1.09235, 1.10205, 1.13013, 1.15497, 1.17616, 1.21584, 1.24362, 1.25578, 1.27547, 1.29089, 1.30473, 1.32879, 1.34165, 1.356, 1.39011, 1.40262, 1.42884, 1.44081, 1.45677, 1.47245, 1.4961, 1.52765, 1.56514");
-            values ( \
-              "-0.000629703, -0.00095418, -0.00201832, -0.00253571, -0.00660452, -0.00709969, -0.00611729, -0.00106351, -1e-22, -0.00161832, -0.00893864, -0.0167461, -0.0444284, -0.0728796, -0.0931299, -0.123251, -0.137951, -0.142444, -0.148262, -0.150567, -0.150645, -0.14546, -0.137176, -0.121223, -0.0747904, -0.0604058, -0.0370586, -0.029289, -0.0211631, -0.0152902, -0.00925919, -0.0045922, -0.00219529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("1.01426, 1.20895, 1.32055, 1.36273, 1.39732, 1.45761, 1.51095, 1.56089, 1.60959, 1.65824, 1.67778, 1.69407, 1.7129, 1.77677, 1.82154, 1.8606, 1.87797, 1.91272, 1.94799, 1.96688, 2.00466, 2.08022, 2.17515, 2.27007");
-            values ( \
-              "-0.00170654, -0.0191567, -0.0809538, -0.101842, -0.116204, -0.13684, -0.148954, -0.156044, -0.157469, -0.152018, -0.145851, -0.138109, -0.12625, -0.080935, -0.0551909, -0.0383426, -0.0324194, -0.0228948, -0.0159711, -0.0131427, -0.00881056, -0.00378961, -0.00122683, -0.000412095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("1.04322, 1.42417, 1.65359, 1.74851, 1.81272, 1.88446, 1.93647, 2.04641, 2.07645, 2.13653, 2.17512, 2.22657, 2.24926, 2.29465, 2.35039, 2.44531, 2.56765, 2.62231, 2.68954, 2.76775, 2.80416, 2.87696, 2.94853, 2.98694, 3.06377, 3.15869, 3.25362, 3.34854, 3.53839");
-            values ( \
-              "-0.00512404, -0.018767, -0.0819201, -0.105021, -0.118597, -0.131589, -0.139544, -0.152306, -0.154829, -0.158654, -0.160196, -0.161035, -0.160897, -0.159497, -0.154954, -0.134614, -0.0913013, -0.0737797, -0.0555415, -0.0389953, -0.0329679, -0.0230989, -0.0162096, -0.0134525, -0.0090167, -0.00556964, -0.00331648, -0.0020766, -0.000785521" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00164103, 0.00164104, 0.00164106, 0.00164107, 0.00164108, 0.00164108", \
-            "0.00182821, 0.00182821, 0.00182822, 0.00182822, 0.00182822, 0.00182823", \
-            "0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702", \
-            "0.00198476, 0.00198476, 0.00198475, 0.00198475, 0.00198475, 0.00198475", \
-            "0.00202034, 0.00202034, 0.00202035, 0.00202034, 0.00202034, 0.00202034", \
-            "0.00203926, 0.00203926, 0.00203926, 0.00203926, 0.00203926, 0.00203926" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00215142, 0.00215141, 0.00215141, 0.0021514, 0.0021514, 0.0021514", \
-            "0.00226046, 0.00226044, 0.00226043, 0.00226042, 0.00226042, 0.00226042", \
-            "0.00233526, 0.00233524, 0.00233523, 0.00233521, 0.0023352, 0.00233519", \
-            "0.00244994, 0.00244994, 0.00244994, 0.00244992, 0.00244991, 0.0024499", \
-            "0.00269007, 0.00269008, 0.00269009, 0.0026901, 0.0026901, 0.0026901", \
-            "0.0030857, 0.00308473, 0.00308394, 0.00308344, 0.0030832, 0.00308309" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.214256, 0.24605, 0.311128, 0.445491, 0.725725, 1.31346", \
-            "0.219086, 0.250888, 0.315969, 0.450347, 0.730541, 1.3181", \
-            "0.231414, 0.263217, 0.32829, 0.462604, 0.742906, 1.33069", \
-            "0.261896, 0.293684, 0.358763, 0.493212, 0.773477, 1.36117", \
-            "0.327133, 0.358934, 0.424015, 0.558465, 0.838776, 1.4264", \
-            "0.435706, 0.467594, 0.532719, 0.667039, 0.947312, 1.5353" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.027944, 0.0450768, 0.08109, 0.156559, 0.315322, 0.649325", \
-            "0.0279438, 0.0450757, 0.081092, 0.15656, 0.315267, 0.649356", \
-            "0.0279457, 0.0450787, 0.0810952, 0.156529, 0.315295, 0.64932", \
-            "0.0279432, 0.0450739, 0.0810904, 0.156609, 0.315479, 0.649317", \
-            "0.0279736, 0.0450807, 0.0810786, 0.156607, 0.315473, 0.649451", \
-            "0.0280907, 0.0451417, 0.0810848, 0.156436, 0.315503, 0.649274" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.190064, 0.196984, 0.199215, 0.204229, 0.212647, 0.221837, 0.226167, 0.230492, 0.233681, 0.244636, 0.249749, 0.2551, 0.261005, 0.267755, 0.270673");
-            values ( \
-              "-0.0190067, -0.0376419, -0.0493601, -0.0626298, -0.0791396, -0.0894798, -0.0903922, -0.0871809, -0.0795719, -0.0329522, -0.0186682, -0.00983558, -0.00474611, -0.00200198, -0.00156344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.194229, 0.230369, 0.235659, 0.242937, 0.246497, 0.253675, 0.26066, 0.267645, 0.272778, 0.290569, 0.296199, 0.303764, 0.308559, 0.316422, 0.326907, 0.329616");
-            values ( \
-              "-0.00109079, -0.0898237, -0.0983285, -0.107788, -0.111246, -0.116379, -0.118, -0.11341, -0.103868, -0.0427704, -0.0293506, -0.0170945, -0.0120001, -0.00662259, -0.00285961, -0.00248852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.218239, 0.233221, 0.254526, 0.264174, 0.276793, 0.292189, 0.305918, 0.318794, 0.331341, 0.343874, 0.348868, 0.357915, 0.374226, 0.385369, 0.395436, 0.408887, 0.417642, 0.431806, 0.450692, 0.459782");
-            values ( \
-              "-0.026237, -0.0302065, -0.0707379, -0.0863707, -0.103678, -0.120224, -0.130614, -0.136602, -0.13823, -0.133103, -0.128057, -0.111566, -0.0718726, -0.0494734, -0.0341706, -0.0201793, -0.0141599, -0.00786088, -0.00341573, -0.0025798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0904866, 0.0982798, 0.12244, 0.144723, 0.152844, 0.164745, 0.207802, 0.224125, 0.244852, 0.254299, 0.259898, 0.271174, 0.284184, 0.334329, 0.371982, 0.401787, 0.410992, 0.428242, 0.453101, 0.477296, 0.501469, 0.511025, 0.519185, 0.528588, 0.560206, 0.582166, 0.601557, 0.610184, 0.627439, 0.644708, 0.653973, 0.672503, 0.709564, 0.735381");
-            values ( \
-              "-0.00171262, -0.0019654, -0.00393497, -0.0077918, -0.0082269, -0.00735292, -0.00170088, -0.00010402, -0.000223929, -0.00297379, -0.00582827, -0.0140151, -0.025965, -0.0796663, -0.11193, -0.13082, -0.135406, -0.142487, -0.149055, -0.150768, -0.145265, -0.139703, -0.13243, -0.121149, -0.0778133, -0.053256, -0.0369296, -0.031186, -0.0219765, -0.015368, -0.0126532, -0.00850473, -0.00367263, -0.00235671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.182929, 0.325811, 0.336464, 0.357771, 0.386513, 0.464828, 0.54504, 0.619796, 0.650653, 0.683328, 0.733347, 0.782047, 0.830706, 0.850142, 0.866488, 0.885373, 0.949244, 0.994027, 1.03308, 1.05045, 1.08521, 1.12047, 1.13937, 1.17715, 1.25272, 1.3043");
-            values ( \
-              "-0.0187508, -0.00259623, -0.00388153, -0.00933554, -0.0217429, -0.0660996, -0.1063, -0.133873, -0.142208, -0.148877, -0.155996, -0.157423, -0.151992, -0.145867, -0.138119, -0.126237, -0.0809308, -0.055187, -0.0383409, -0.0324187, -0.0228949, -0.0159706, -0.0131422, -0.00880982, -0.00378908, -0.00239611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.228139, 0.578291, 0.672901, 0.824804, 0.919604, 1.0558, 1.10781, 1.20261, 1.24811, 1.30878, 1.38291, 1.42069, 1.49625, 1.52186, 1.57308, 1.63327, 1.77553, 1.82838, 1.9297, 1.98688, 2.05222, 2.15434, 2.22257, 2.31737, 2.41217, 2.50697, 2.69657");
-            values ( \
-              "-0.0093537, -0.0149596, -0.0391146, -0.0818204, -0.104984, -0.131601, -0.139465, -0.150888, -0.154863, -0.158634, -0.160953, -0.160849, -0.15755, -0.15492, -0.146356, -0.128806, -0.0792118, -0.0637887, -0.0406435, -0.0311333, -0.0226516, -0.013672, -0.00963932, -0.00591285, -0.0035743, -0.00218981, -0.00081046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.205503, 0.21245, 0.214706, 0.219686, 0.228113, 0.237303, 0.241633, 0.245958, 0.249148, 0.260102, 0.265215, 0.270566, 0.276471, 0.28322, 0.28558");
-            values ( \
-              "-0.0189403, -0.0376028, -0.0494874, -0.0626337, -0.0791582, -0.0894952, -0.090379, -0.0871941, -0.0795692, -0.0329525, -0.0186682, -0.0098356, -0.00474617, -0.00200212, -0.00164752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.209732, 0.245836, 0.251141, 0.258402, 0.261962, 0.26914, 0.276125, 0.28311, 0.288243, 0.306034, 0.311665, 0.319229, 0.324024, 0.331887, 0.342372, 0.345008");
-            values ( \
-              "-0.00117636, -0.0898377, -0.0983651, -0.107798, -0.111241, -0.116374, -0.118008, -0.113404, -0.103868, -0.0427704, -0.0293506, -0.0170945, -0.0120001, -0.00662256, -0.00285969, -0.00249868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.233542, 0.248863, 0.273171, 0.292222, 0.307581, 0.321335, 0.334211, 0.346759, 0.359773, 0.364254, 0.373333, 0.40079, 0.410854, 0.424302, 0.43306, 0.447228, 0.464766");
-            values ( \
-              "-0.0254044, -0.0306176, -0.0764869, -0.10394, -0.119956, -0.130838, -0.136385, -0.138432, -0.132719, -0.128094, -0.111564, -0.049472, -0.0341726, -0.0201837, -0.0141621, -0.0078617, -0.00373093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.106102, 0.13112, 0.14105, 0.156397, 0.1643, 0.174141, 0.209633, 0.239436, 0.260274, 0.26487, 0.269737, 0.275357, 0.286598, 0.299648, 0.349719, 0.38737, 0.417175, 0.443639, 0.468489, 0.492684, 0.516858, 0.526413, 0.534573, 0.543976, 0.575594, 0.597555, 0.616946, 0.625573, 0.642827, 0.660096, 0.669361, 0.687892, 0.724953, 0.777057, 0.840757");
-            values ( \
-              "-0.00169116, -0.00317961, -0.0042848, -0.00722842, -0.00817887, -0.00797746, -0.00333515, -7.12571e-05, -0.000253969, -0.00120788, -0.00301709, -0.00585186, -0.0140652, -0.026022, -0.0796774, -0.111936, -0.130821, -0.142489, -0.149058, -0.150766, -0.145268, -0.139704, -0.13243, -0.12115, -0.0778142, -0.0532553, -0.036929, -0.0311867, -0.0219759, -0.0153673, -0.0126537, -0.0085041, -0.00367319, -0.0010173, -0.000198218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.198428, 0.400043, 0.449858, 0.483864, 0.557839, 0.640009, 0.656184, 0.698633, 0.748615, 0.765045, 0.797198, 0.846495, 0.870543, 0.896194, 0.963032, 0.993037, 1.04068, 1.06363, 1.08804, 1.13753, 1.16727, 1.22674, 1.32154, 1.41634");
-            values ( \
-              "-6.00203e-05, -0.0208585, -0.0476569, -0.0683276, -0.105331, -0.135448, -0.139821, -0.149206, -0.15599, -0.156845, -0.157642, -0.151662, -0.143639, -0.129217, -0.0818469, -0.0637004, -0.0412371, -0.033077, -0.0259759, -0.0156744, -0.0115046, -0.00602442, -0.00198827, -0.000645149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.243561, 0.58303, 0.673962, 0.858553, 0.956437, 1.06955, 1.12306, 1.21786, 1.26341, 1.33604, 1.39809, 1.43597, 1.51171, 1.53714, 1.588, 1.64855, 1.84368, 1.945, 2.04852, 2.13867, 2.18835, 2.28315, 2.37795, 2.45985");
-            values ( \
-              "-0.0113783, -0.0127694, -0.0351365, -0.0866617, -0.109759, -0.13137, -0.139417, -0.150911, -0.15489, -0.159279, -0.160977, -0.160815, -0.157562, -0.154888, -0.146463, -0.128778, -0.0637578, -0.040615, -0.024855, -0.0159087, -0.0124634, -0.00757979, -0.00468088, -0.00304169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.243147, 0.250316, 0.253046, 0.265982, 0.275176, 0.279506, 0.28383, 0.287034, 0.297974, 0.303089, 0.30844, 0.314342, 0.321088, 0.32351");
-            values ( \
-              "-0.0186606, -0.0368496, -0.0518701, -0.0793627, -0.0896599, -0.0902278, -0.0873256, -0.0795216, -0.0329523, -0.018671, -0.00983908, -0.00474324, -0.00200814, -0.0016414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.247957, 0.28356, 0.289128, 0.297908, 0.303272, 0.306871, 0.313857, 0.320841, 0.323619, 0.325972, 0.337701, 0.343765, 0.349395, 0.356959, 0.361755, 0.369618, 0.380103, 0.394841, 0.412899");
-            values ( \
-              "-0.00141792, -0.0898302, -0.0987572, -0.109713, -0.114294, -0.116386, -0.118004, -0.113413, -0.109156, -0.103871, -0.061795, -0.0427675, -0.0293484, -0.0170928, -0.0119979, -0.0066201, -0.00286183, -0.000839335, -0.000205889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.271889, 0.285822, 0.29174, 0.295144, 0.306452, 0.31953, 0.330018, 0.345389, 0.359133, 0.37201, 0.384558, 0.397091, 0.402101, 0.406307, 0.411132, 0.427444, 0.438586, 0.448653, 0.462106, 0.47086, 0.485022, 0.503904, 0.517507");
-            values ( \
-              "-0.0290044, -0.0290883, -0.0401092, -0.0442659, -0.0686409, -0.0896813, -0.103544, -0.120325, -0.130491, -0.136704, -0.138127, -0.133193, -0.128036, -0.121594, -0.111567, -0.0718731, -0.0494732, -0.0341686, -0.0201759, -0.0141579, -0.00785969, -0.00341827, -0.00216593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.144347, 0.155207, 0.17464, 0.198989, 0.207063, 0.21862, 0.261766, 0.277709, 0.297999, 0.307428, 0.321564, 0.330739, 0.355485, 0.385174, 0.421003, 0.433748, 0.455023, 0.481518, 0.506345, 0.530543, 0.555026, 0.564252, 0.572422, 0.581837, 0.613456, 0.635418, 0.654808, 0.680688, 0.697959, 0.707225, 0.725757, 0.762821, 0.787222");
-            values ( \
-              "-0.00176748, -0.002161, -0.00378523, -0.00797055, -0.00826148, -0.00731499, -0.00162133, -8.99311e-05, -0.000190848, -0.00288903, -0.0116499, -0.0195277, -0.0442045, -0.0774572, -0.108825, -0.117982, -0.130836, -0.142522, -0.148996, -0.150799, -0.145118, -0.139712, -0.132439, -0.121145, -0.0778114, -0.0532549, -0.0369297, -0.0219775, -0.0153683, -0.0126525, -0.00850475, -0.00367179, -0.00242909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.236679, 0.41456, 0.443813, 0.546344, 0.623082, 0.683169, 0.736432, 0.786479, 0.835144, 0.88443, 0.903199, 0.919564, 1.04713, 1.08618, 1.1383, 1.17357, 1.23026, 1.30584, 1.30594");
-            values ( \
-              "-0.0089037, -0.0106537, -0.0238775, -0.0813943, -0.11651, -0.136733, -0.149068, -0.155798, -0.157607, -0.151702, -0.145868, -0.138141, -0.0551903, -0.0383454, -0.0229004, -0.0159745, -0.0088129, -0.0037849, -0.00378207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.283215, 0.639373, 0.879633, 0.974433, 1.03883, 1.1106, 1.16261, 1.25741, 1.30291, 1.36358, 1.39954, 1.43771, 1.47549, 1.55103, 1.57666, 1.62791, 1.68807, 1.77572, 1.88317, 1.99267, 2.04168, 2.10704, 2.20913, 2.27734, 2.37214, 2.46694, 2.49417");
-            values ( \
-              "-0.00815972, -0.0163266, -0.0819196, -0.104906, -0.118599, -0.131519, -0.139548, -0.150809, -0.154794, -0.158704, -0.160146, -0.160895, -0.160906, -0.157496, -0.154974, -0.146296, -0.128858, -0.0974165, -0.0638364, -0.0391381, -0.0310936, -0.0226873, -0.0136374, -0.00967575, -0.00587918, -0.00360926, -0.00319166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.335411, 0.342182, 0.344957, 0.348998, 0.357841, 0.367033, 0.371363, 0.375688, 0.37888, 0.389832, 0.394946, 0.400296, 0.406201, 0.412949, 0.418922");
-            values ( \
-              "-0.0184561, -0.0377332, -0.0515178, -0.0616762, -0.0792005, -0.0895332, -0.0903454, -0.0872269, -0.0795644, -0.0329534, -0.0186682, -0.00983574, -0.00474614, -0.00200283, -0.0011023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.342301, 0.349696, 0.357586, 0.365216, 0.377162, 0.384045, 0.391678, 0.398856, 0.405841, 0.412825, 0.417967, 0.435749, 0.44138, 0.448945, 0.45374, 0.461601, 0.472083, 0.477677");
-            values ( \
-              "-0.0102154, -0.0248109, -0.0440357, -0.0679881, -0.0922578, -0.102717, -0.111333, -0.116425, -0.117968, -0.11344, -0.103839, -0.0427646, -0.0293424, -0.0170847, -0.0119919, -0.0066154, -0.00286839, -0.0020946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.362934, 0.379343, 0.398344, 0.411568, 0.422018, 0.43739, 0.45113, 0.464006, 0.476553, 0.489086, 0.494074, 0.503127, 0.530582, 0.540648, 0.554098, 0.562854, 0.577019, 0.593799");
-            values ( \
-              "-0.0230413, -0.0318331, -0.0681681, -0.089545, -0.103752, -0.120158, -0.130668, -0.136551, -0.13828, -0.133055, -0.128063, -0.111566, -0.0494735, -0.0341713, -0.0201804, -0.0141606, -0.00786132, -0.00391086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.235775, 0.261633, 0.290165, 0.298209, 0.310073, 0.369216, 0.387614, 0.396678, 0.409073, 0.423277, 0.472784, 0.517492, 0.547325, 0.573829, 0.59865, 0.622842, 0.647007, 0.656653, 0.674125, 0.705741, 0.727695, 0.747089, 0.772976, 0.790239, 0.818026, 0.855076, 0.866525");
-            values ( \
-              "-0.00164399, -0.00320726, -0.00773831, -0.0081994, -0.00735321, -0.000133341, -1e-22, -0.0017847, -0.00821573, -0.0198754, -0.072713, -0.11187, -0.130959, -0.142497, -0.14919, -0.150737, -0.145362, -0.13966, -0.121162, -0.0778167, -0.0532627, -0.0369317, -0.0219752, -0.015369, -0.00850708, -0.00367348, -0.00308883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.327871, 0.522954, 0.572934, 0.621129, 0.673224, 0.715208, 0.775459, 0.82878, 0.878727, 0.927427, 0.976071, 0.995646, 1.01193, 1.03074, 1.09461, 1.13938, 1.17843, 1.19581, 1.23057, 1.26583, 1.28472, 1.32249, 1.39805, 1.44679");
-            values ( \
-              "-0.00280416, -0.0174585, -0.043637, -0.0722616, -0.0986433, -0.116204, -0.136954, -0.148883, -0.156122, -0.157387, -0.152088, -0.145836, -0.138089, -0.126251, -0.0809368, -0.0551898, -0.0383402, -0.0324201, -0.0228915, -0.0159693, -0.0131442, -0.00880961, -0.00379165, -0.00247349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.373049, 0.726974, 0.821774, 0.970208, 1.06501, 1.12943, 1.25321, 1.34801, 1.39351, 1.45417, 1.49014, 1.52832, 1.56609, 1.64162, 1.66726, 1.71852, 1.77867, 1.92093, 1.97377, 2.08327, 2.13228, 2.19742, 2.24852, 2.29978, 2.36814, 2.46294, 2.55774, 2.65254, 2.84214");
-            values ( \
-              "-0.00867472, -0.0156704, -0.0400896, -0.0818743, -0.104941, -0.118562, -0.139512, -0.150847, -0.154827, -0.158673, -0.16012, -0.160922, -0.160881, -0.157523, -0.154949, -0.146318, -0.128834, -0.0792392, -0.0638149, -0.0391573, -0.0311117, -0.0226939, -0.0176276, -0.0136502, -0.00964939, -0.00588878, -0.00358996, -0.00216923, -0.00079136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.547982, 0.555024, 0.557792, 0.561902, 0.570726, 0.579929, 0.584263, 0.588591, 0.591776, 0.602739, 0.607856, 0.613208, 0.619111, 0.625859, 0.634958, 0.635721");
-            values ( \
-              "-0.0154757, -0.0371894, -0.0514557, -0.0616898, -0.0791464, -0.0895025, -0.090228, -0.0872193, -0.0795461, -0.0329473, -0.0186633, -0.00983359, -0.00474398, -0.00200515, -0.000628019, -0.000611566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.559349, 0.56489, 0.565641, 0.567756, 0.569593, 0.572078, 0.574563, 0.577349, 0.578277, 0.580607, 0.582937, 0.585432, 0.588618, 0.590001, 0.592529, 0.595493, 0.597111, 0.600145, 0.602054, 0.604746, 0.608485, 0.611925, 0.613671, 0.615418, 0.617164, 0.61891, 0.620657, 0.622403, 0.624149, 0.625895, 0.626121, 0.627246, 0.628327, 0.629861, 0.631034, 0.633045, 0.633715, 0.642757, 0.643897, 0.646397, 0.648215, 0.649913, 0.652098, 0.654452, 0.655713, 0.656974, 0.659495, 0.662017, 0.66463, 0.666812");
-            values ( \
-              "-0.0285374, -0.0305551, -0.0328588, -0.0380066, -0.0422975, -0.050369, -0.0588861, -0.0660515, -0.0683046, -0.0736183, -0.0785904, -0.0831512, -0.0895231, -0.0919451, -0.0960893, -0.100585, -0.102883, -0.106679, -0.108797, -0.111494, -0.114772, -0.117927, -0.117706, -0.117384, -0.116961, -0.116437, -0.115812, -0.115087, -0.11426, -0.113332, -0.113115, -0.111492, -0.109584, -0.106297, -0.103325, -0.0972327, -0.0950508, -0.0617891, -0.0578632, -0.0494662, -0.0442405, -0.0398037, -0.0344583, -0.0290211, -0.0265208, -0.0242193, -0.0203651, -0.0170021, -0.014086, -0.0118233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.574929, 0.588754, 0.612561, 0.622855, 0.635031, 0.650408, 0.664145, 0.67702, 0.689566, 0.702098, 0.707104, 0.716139, 0.732449, 0.743592, 0.753658, 0.767109, 0.775864, 0.790027, 0.80891, 0.815867");
-            values ( \
-              "-0.0242423, -0.0255868, -0.0704967, -0.0871226, -0.103695, -0.120267, -0.130609, -0.136639, -0.13822, -0.13313, -0.128047, -0.11157, -0.071874, -0.0494752, -0.0341712, -0.020179, -0.0141602, -0.0078614, -0.00341633, -0.00277448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.438126, 0.469802, 0.481279, 0.502886, 0.512153, 0.527777, 0.581361, 0.599839, 0.608847, 0.620963, 0.629923, 0.660961, 0.685639, 0.707063, 0.73042, 0.760256, 0.786759, 0.811579, 0.835771, 0.859936, 0.869583, 0.887053, 0.91867, 0.940624, 0.960018, 0.985905, 1.00317, 1.03095, 1.068, 1.08033");
-            values ( \
-              "-0.00110611, -0.00252001, -0.00368055, -0.00748842, -0.00797018, -0.00672858, -0.000222787, -1e-22, -0.00155251, -0.00752788, -0.0143099, -0.0447589, -0.0726473, -0.0930855, -0.111864, -0.130971, -0.142491, -0.1492, -0.15073, -0.145372, -0.139661, -0.121163, -0.0778175, -0.0532622, -0.0369311, -0.0219746, -0.0153684, -0.00850649, -0.00367414, -0.00304359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.539991, 0.736681, 0.78611, 0.833158, 0.888155, 0.928184, 0.988438, 1.04176, 1.09171, 1.1404, 1.18905, 1.20863, 1.22491, 1.24371, 1.30758, 1.35236, 1.39141, 1.40879, 1.44355, 1.47881, 1.49769, 1.53547, 1.61102, 1.6607");
-            values ( \
-              "-0.00239286, -0.0178207, -0.0437405, -0.0717447, -0.0995517, -0.116208, -0.136957, -0.148887, -0.156123, -0.157389, -0.152088, -0.145835, -0.138087, -0.126252, -0.0809373, -0.0551899, -0.0383401, -0.0324202, -0.0228913, -0.0159692, -0.0131443, -0.00880956, -0.00379182, -0.00244832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.585666, 0.964226, 1.17575, 1.23141, 1.34265, 1.43745, 1.52505, 1.57637, 1.67117, 1.72987, 1.77953, 1.87433, 1.91913, 1.97032, 2.11407, 2.19289, 2.24825, 2.29642, 2.35192, 2.4259, 2.50782, 2.5629, 2.6577, 2.7525, 2.8473, 2.9421, 3.1317");
-            values ( \
-              "-0.00399557, -0.0211443, -0.0798192, -0.0939128, -0.118516, -0.13524, -0.146998, -0.152233, -0.158881, -0.160757, -0.160908, -0.155713, -0.149047, -0.135978, -0.0857138, -0.0622669, -0.0488439, -0.039217, -0.0302119, -0.0210655, -0.0140208, -0.0106201, -0.00648963, -0.00395772, -0.0023942, -0.00145727, -0.000535955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.01089, 1.01844, 1.02127, 1.02542, 1.03432, 1.04356, 1.04791, 1.05226, 1.05541, 1.06707, 1.07647, 1.08268, 1.08812, 1.09151");
-            values ( \
-              "-0.0116177, -0.036147, -0.0508516, -0.0610679, -0.0786946, -0.0891767, -0.0899596, -0.087, -0.0795028, -0.0307512, -0.0103521, -0.00485255, -0.00243817, -0.00181441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.02515, 1.0334, 1.03438, 1.03491, 1.03595, 1.037, 1.03805, 1.04001, 1.04197, 1.04533, 1.04785, 1.05206, 1.05406, 1.05634, 1.0586, 1.06086, 1.06395, 1.06587, 1.06851, 1.07225, 1.0757, 1.07744, 1.07919, 1.08094, 1.08269, 1.08444, 1.08618, 1.08793, 1.08977, 1.09101, 1.09207, 1.09361, 1.0948, 1.09683, 1.0975, 1.09853, 1.10655, 1.10837, 1.11019, 1.11201, 1.11371, 1.11589, 1.11825, 1.11951, 1.12077, 1.12329, 1.12455, 1.12581, 1.12843, 1.13061");
-            values ( \
-              "-0.0406347, -0.0424635, -0.044863, -0.0466706, -0.0509462, -0.0546016, -0.0579765, -0.0632447, -0.0681072, -0.0753768, -0.0805763, -0.0887602, -0.0923218, -0.0961621, -0.0995571, -0.10269, -0.106559, -0.108684, -0.111337, -0.114629, -0.117793, -0.117583, -0.11727, -0.116853, -0.116332, -0.115708, -0.114979, -0.114147, -0.113185, -0.111461, -0.109592, -0.106313, -0.103327, -0.0971968, -0.0949991, -0.0912648, -0.0617538, -0.0555445, -0.0494516, -0.0442271, -0.0397923, -0.0344494, -0.0290139, -0.0265146, -0.0242141, -0.0203615, -0.0186193, -0.0169997, -0.0140832, -0.0118203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.04123, 1.05533, 1.06617, 1.07539, 1.08814, 1.09867, 1.11405, 1.12778, 1.14066, 1.1532, 1.16622, 1.17073, 1.17494, 1.17978, 1.19609, 1.20723, 1.21726, 1.22898, 1.24004, 1.2462, 1.25851, 1.28254, 1.31035");
-            values ( \
-              "-0.0293817, -0.0308436, -0.0498278, -0.0689711, -0.089494, -0.103774, -0.120195, -0.130659, -0.136569, -0.138266, -0.132721, -0.128064, -0.121617, -0.111568, -0.0718743, -0.0494729, -0.0342618, -0.0217336, -0.0138929, -0.010784, -0.00639807, -0.00211325, -0.000565881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.87439, 0.912048, 0.934178, 0.946697, 0.965004, 0.975011, 0.988922, 1.03192, 1.04615, 1.06549, 1.07456, 1.08051, 1.09112, 1.09952, 1.12403, 1.15639, 1.194, 1.21041, 1.22374, 1.24189, 1.26304, 1.275, 1.29918, 1.32367, 1.33293, 1.34109, 1.35049, 1.38211, 1.40406, 1.42346, 1.44934, 1.46661, 1.47587, 1.4944, 1.53146, 1.55775");
-            values ( \
-              "-0.000654658, -0.0012778, -0.00233155, -0.00360709, -0.00672961, -0.00747592, -0.0067777, -0.00148092, -0.000188866, -9.93531e-05, -0.00236916, -0.00516705, -0.0124545, -0.019734, -0.0442123, -0.0800036, -0.112182, -0.123265, -0.13091, -0.139401, -0.146458, -0.148974, -0.150781, -0.145104, -0.139677, -0.132416, -0.121138, -0.0778066, -0.0532581, -0.0369302, -0.0219761, -0.0153689, -0.0126521, -0.00850655, -0.00367224, -0.00232661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("1.00264, 1.19406, 1.31513, 1.39207, 1.45214, 1.50568, 1.55561, 1.60433, 1.653, 1.67237, 1.70767, 1.81633, 1.85538, 1.90751, 1.94278, 1.99946, 2.05554");
-            values ( \
-              "-0.00465346, -0.0148198, -0.0813215, -0.116572, -0.136812, -0.148698, -0.156101, -0.157259, -0.152112, -0.145888, -0.126238, -0.0551815, -0.0383369, -0.0228918, -0.0159676, -0.00880679, -0.00508522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("1.0502, 1.39094, 1.47915, 1.64703, 1.74183, 1.87791, 1.92992, 2.03988, 2.13082, 2.16695, 2.24278, 2.31821, 2.34395, 2.3953, 2.45536, 2.65046, 2.75995, 2.80898, 2.87435, 2.9764, 3.04458, 3.13938, 3.26414");
-            values ( \
-              "-0.0110593, -0.0130533, -0.0347536, -0.0819969, -0.104918, -0.131508, -0.139593, -0.152349, -0.158737, -0.160183, -0.160936, -0.157485, -0.155, -0.146254, -0.128882, -0.0638583, -0.0391211, -0.0310763, -0.022699, -0.0136251, -0.00969085, -0.00586773, -0.00341741" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00164138, 0.00164139, 0.00164141, 0.00164142, 0.00164143, 0.00164143", \
-            "0.00182848, 0.00182848, 0.00182848, 0.00182849, 0.00182849, 0.00182849", \
-            "0.00192713, 0.00192713, 0.00192713, 0.00192713, 0.00192713, 0.00192713", \
-            "0.00198473, 0.00198473, 0.00198473, 0.00198473, 0.00198473, 0.00198473", \
-            "0.0020202, 0.0020202, 0.0020202, 0.0020202, 0.0020202, 0.0020202", \
-            "0.00203914, 0.00203914, 0.00203914, 0.00203914, 0.00203914, 0.00203914" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00215188, 0.00215187, 0.00215187, 0.00215187, 0.00215187, 0.00215187", \
-            "0.00226049, 0.00226047, 0.00226046, 0.00226045, 0.00226045, 0.00226045", \
-            "0.00233423, 0.00233422, 0.0023342, 0.00233419, 0.00233418, 0.00233417", \
-            "0.00244709, 0.00244708, 0.00244708, 0.00244707, 0.00244706, 0.00244705", \
-            "0.00268703, 0.00268704, 0.00268705, 0.00268706, 0.00268706, 0.00268705", \
-            "0.00307446, 0.00307318, 0.00307215, 0.0030715, 0.00307116, 0.003071" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.209504, 0.2415, 0.306614, 0.440942, 0.721224, 1.30867", \
-            "0.214225, 0.246198, 0.311335, 0.445731, 0.725931, 1.31344", \
-            "0.226538, 0.258539, 0.323605, 0.458063, 0.738246, 1.32575", \
-            "0.256677, 0.288673, 0.353786, 0.488214, 0.768231, 1.35587", \
-            "0.318088, 0.350091, 0.415223, 0.549668, 0.829764, 1.4175", \
-            "0.419001, 0.451104, 0.51627, 0.650488, 0.930854, 1.51877" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0279666, 0.0451418, 0.0810857, 0.156354, 0.31525, 0.649185", \
-            "0.0279681, 0.0451188, 0.081085, 0.156464, 0.31526, 0.649191", \
-            "0.0279857, 0.0451159, 0.0809965, 0.156462, 0.31527, 0.649173", \
-            "0.0279629, 0.0451448, 0.0810838, 0.156464, 0.315091, 0.649123", \
-            "0.0280214, 0.0451301, 0.0810759, 0.156452, 0.315112, 0.649225", \
-            "0.0281137, 0.0451917, 0.0810647, 0.156291, 0.315397, 0.649137" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.185162, 0.190349, 0.19202, 0.195302, 0.202677, 0.207939, 0.212681, 0.217136, 0.221471, 0.225801, 0.228969, 0.239954, 0.245069, 0.250423, 0.256336, 0.263094, 0.263541");
-            values ( \
-              "-0.0189909, -0.0342038, -0.0362073, -0.0511186, -0.069086, -0.0788254, -0.0851851, -0.0892285, -0.0904501, -0.0869913, -0.0795943, -0.0329466, -0.0186443, -0.0098148, -0.00475004, -0.00198492, -0.00191918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.190585, 0.220985, 0.234228, 0.241876, 0.249059, 0.256052, 0.263038, 0.268196, 0.285964, 0.291598, 0.299169, 0.30396, 0.311818, 0.322295, 0.327975");
-            values ( \
-              "-0.000473141, -0.0808611, -0.103004, -0.111012, -0.116637, -0.117698, -0.113609, -0.103771, -0.0427658, -0.0293376, -0.0170758, -0.0119881, -0.00661491, -0.00287178, -0.00208896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.204117, 0.225577, 0.236807, 0.244873, 0.253059, 0.272138, 0.287527, 0.301265, 0.31414, 0.326683, 0.339213, 0.344178, 0.348401, 0.353248, 0.369553, 0.380699, 0.390759, 0.404203, 0.412961, 0.427128, 0.446018, 0.460903");
-            values ( \
-              "-0.00707356, -0.0250159, -0.0443082, -0.0614884, -0.0760488, -0.103586, -0.120189, -0.130609, -0.136648, -0.138266, -0.133166, -0.128138, -0.121679, -0.111602, -0.0718934, -0.0494774, -0.0341768, -0.0201865, -0.0141625, -0.00786025, -0.00341504, -0.00205198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0924456, 0.121013, 0.136197, 0.148251, 0.165956, 0.221106, 0.239309, 0.254641, 0.279473, 0.302427, 0.323323, 0.344158, 0.394457, 0.397567, 0.403787, 0.423984, 0.448793, 0.472974, 0.498147, 0.508909, 0.521208, 0.571034, 0.593741, 0.616367, 0.63363, 0.651346, 0.664881, 0.691952, 0.703184");
-            values ( \
-              "-0.00152482, -0.00339394, -0.00652117, -0.0077523, -0.00673376, -0.000206551, -0.000221468, -0.00527358, -0.0255086, -0.0484442, -0.0741711, -0.0937813, -0.130138, -0.130453, -0.134682, -0.143058, -0.149493, -0.151071, -0.144861, -0.137942, -0.125052, -0.0600986, -0.0394619, -0.0252339, -0.0176663, -0.0121988, -0.00914655, -0.00503929, -0.00425476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.179181, 0.366447, 0.489268, 0.565805, 0.626009, 0.679275, 0.729187, 0.777863, 0.826483, 0.846207, 0.881141, 0.956074, 0.989769, 1.02882, 1.08096, 1.11622, 1.17287, 1.24842, 1.27264");
-            values ( \
-              "-0.00498377, -0.0144708, -0.0815591, -0.116624, -0.13689, -0.149216, -0.156003, -0.157659, -0.151935, -0.1458, -0.126259, -0.073927, -0.0552006, -0.0383479, -0.022897, -0.0159745, -0.00881462, -0.00378797, -0.00313402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.220223, 0.428742, 0.457784, 0.486304, 0.537615, 0.622094, 0.838935, 0.933876, 1.05, 1.1033, 1.19774, 1.24419, 1.31615, 1.37636, 1.4161, 1.49559, 1.56063, 1.62863, 1.8241, 1.92533, 2.02805, 2.11879, 2.2635, 2.35794, 2.42489");
-            values ( \
-              "-0.0266784, -0.00409733, -0.00365592, -0.00411867, -0.00852179, -0.0265741, -0.0868175, -0.109269, -0.131513, -0.139496, -0.15096, -0.155008, -0.159337, -0.161008, -0.160844, -0.157282, -0.148097, -0.128817, -0.0636815, -0.0405721, -0.0249197, -0.0158997, -0.0075617, -0.00469586, -0.00333806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.200439, 0.206645, 0.209552, 0.218014, 0.223276, 0.228018, 0.232474, 0.236809, 0.241139, 0.244316, 0.255291, 0.260408, 0.265762, 0.271673, 0.278428, 0.279285");
-            values ( \
-              "-0.0189269, -0.0350451, -0.0479156, -0.0689703, -0.078903, -0.0851168, -0.0892732, -0.090414, -0.0870125, -0.0795559, -0.032943, -0.0186497, -0.00982064, -0.00474474, -0.00199244, -0.00186517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.206234, 0.223722, 0.228465, 0.230736, 0.23528, 0.248339, 0.249598, 0.252116, 0.263603, 0.271412, 0.276709, 0.281981, 0.286204, 0.29942, 0.306311, 0.313581, 0.31961, 0.329541, 0.340886, 0.341384");
-            values ( \
-              "-0.00164165, -0.045185, -0.0644916, -0.0667257, -0.0792421, -0.101747, -0.102249, -0.106106, -0.116135, -0.117778, -0.115148, -0.107479, -0.0955519, -0.0482078, -0.0306309, -0.0182929, -0.0116931, -0.00544495, -0.00228466, -0.00222847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.219687, 0.240996, 0.254909, 0.260381, 0.268471, 0.279629, 0.28755, 0.302939, 0.316676, 0.32955, 0.342094, 0.354623, 0.359592, 0.363814, 0.368658, 0.384964, 0.396109, 0.40617, 0.419614, 0.428372, 0.442538, 0.461427, 0.472406");
-            values ( \
-              "-0.00726662, -0.0250514, -0.0493423, -0.0617072, -0.0760259, -0.0931334, -0.103569, -0.120209, -0.130594, -0.136663, -0.138254, -0.133178, -0.128133, -0.121675, -0.111602, -0.0718934, -0.0494776, -0.0341766, -0.0201859, -0.0141623, -0.00786012, -0.00341547, -0.00240978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.107304, 0.134109, 0.154906, 0.16338, 0.181141, 0.235994, 0.254158, 0.269556, 0.293382, 0.314556, 0.336341, 0.363726, 0.382995, 0.412798, 0.439262, 0.464061, 0.488235, 0.512382, 0.522165, 0.539493, 0.571103, 0.593049, 0.612444, 0.638335, 0.65559, 0.683366, 0.720402, 0.738131");
-            values ( \
-              "-0.00149607, -0.00315036, -0.00709565, -0.00778094, -0.00671413, -0.000254274, -0.000155781, -0.00512663, -0.02424, -0.0453136, -0.0710746, -0.0970525, -0.111865, -0.13134, -0.14245, -0.149501, -0.150652, -0.145613, -0.139622, -0.121202, -0.0778337, -0.0532714, -0.0369338, -0.0219721, -0.0153682, -0.00850727, -0.00367742, -0.00277566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.19383, 0.375598, 0.40647, 0.503969, 0.580522, 0.64072, 0.693988, 0.743902, 0.792578, 0.8412, 0.860923, 0.87713, 0.895858, 0.970791, 1.00449, 1.04354, 1.06092, 1.09568, 1.13093, 1.14982, 1.18759, 1.26313, 1.35757, 1.45201");
-            values ( \
-              "-0.00708537, -0.0121322, -0.0266961, -0.081544, -0.116621, -0.13688, -0.149216, -0.155995, -0.157663, -0.151927, -0.145801, -0.138086, -0.126259, -0.0739257, -0.0552003, -0.0383475, -0.0324163, -0.0228966, -0.0159743, -0.0131406, -0.00881451, -0.00378818, -0.00123859, -0.000415218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.234826, 0.573844, 0.666904, 0.835757, 0.930196, 1.06636, 1.11835, 1.22827, 1.32113, 1.39145, 1.43115, 1.51054, 1.53231, 1.57587, 1.64367, 1.73083, 1.83913, 1.94837, 2.04311, 2.13383, 2.18409, 2.27853, 2.37296, 2.4674, 2.56184, 2.75072");
-            values ( \
-              "-0.0115513, -0.0120331, -0.0346121, -0.0821421, -0.104956, -0.131562, -0.139661, -0.152405, -0.158878, -0.160877, -0.160972, -0.157163, -0.155031, -0.147933, -0.128938, -0.0975948, -0.0637982, -0.0391045, -0.0250158, -0.0159953, -0.0123435, -0.00765662, -0.00460271, -0.00287381, -0.0016723, -0.000586624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.23853, 0.243811, 0.245586, 0.248277, 0.249708, 0.252571, 0.260786, 0.265986, 0.269887, 0.274772, 0.276721, 0.279143, 0.283559, 0.293542, 0.298237, 0.303591, 0.307143, 0.311879, 0.312835");
-            values ( \
-              "-0.0190806, -0.034787, -0.0367423, -0.0519252, -0.0531034, -0.0623341, -0.0785653, -0.085182, -0.0888007, -0.0903979, -0.0894794, -0.0867135, -0.0749373, -0.0321881, -0.0188825, -0.00989023, -0.00635183, -0.00372279, -0.00341569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.243655, 0.279168, 0.285793, 0.28767, 0.295308, 0.302496, 0.30949, 0.317089, 0.321568, 0.339411, 0.345039, 0.352599, 0.365272, 0.370689");
-            values ( \
-              "-0.00253601, -0.0908178, -0.0988947, -0.103408, -0.111719, -0.115945, -0.118275, -0.11257, -0.103946, -0.0427524, -0.0293443, -0.0170966, -0.0066155, -0.00467556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.257046, 0.278846, 0.292736, 0.302582, 0.306312, 0.313773, 0.33879, 0.340766, 0.344719, 0.354479, 0.365613, 0.370903, 0.377957, 0.383681, 0.391314, 0.399607, 0.406397, 0.430311, 0.442778, 0.450223, 0.45799, 0.470365, 0.478555, 0.493686, 0.50648");
-            values ( \
-              "-0.00652457, -0.0250806, -0.0485133, -0.0711263, -0.0749636, -0.0886234, -0.119059, -0.119682, -0.123926, -0.130759, -0.136108, -0.137547, -0.138198, -0.137435, -0.133847, -0.124884, -0.111588, -0.0560033, -0.0356768, -0.0268693, -0.019703, -0.0119502, -0.00845921, -0.00443672, -0.00289085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.14572, 0.171689, 0.19281, 0.201266, 0.219004, 0.273812, 0.291972, 0.307389, 0.331002, 0.352232, 0.375536, 0.399008, 0.420901, 0.450692, 0.477158, 0.501956, 0.52613, 0.550277, 0.560059, 0.577388, 0.608998, 0.630944, 0.650339, 0.676229, 0.693484, 0.721262, 0.758298, 0.775281");
-            values ( \
-              "-0.00153514, -0.00312165, -0.00711562, -0.00779847, -0.00670404, -0.000274897, -0.000133022, -0.0051093, -0.023989, -0.0452041, -0.0724096, -0.0947493, -0.111907, -0.131313, -0.142481, -0.149475, -0.15068, -0.145588, -0.139623, -0.121202, -0.0778335, -0.0532715, -0.036934, -0.0219724, -0.0153683, -0.00850734, -0.00367724, -0.00281351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.231963, 0.414157, 0.445099, 0.542112, 0.572783, 0.618677, 0.678835, 0.732107, 0.782022, 0.830699, 0.879321, 0.899044, 0.915251, 0.933979, 1.00891, 1.04261, 1.08166, 1.09904, 1.1338, 1.16905, 1.18794, 1.22571, 1.30126, 1.34876");
-            values ( \
-              "-0.00691365, -0.0123145, -0.0269451, -0.0815157, -0.0968247, -0.116593, -0.136909, -0.149178, -0.156026, -0.157628, -0.151959, -0.145801, -0.138084, -0.12626, -0.0739244, -0.0551997, -0.0383469, -0.0324168, -0.0228959, -0.0159738, -0.0131411, -0.00881411, -0.00378866, -0.00250566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.273419, 0.482262, 0.513519, 0.545887, 0.606188, 0.697318, 0.839417, 0.943651, 1.03308, 1.10668, 1.15679, 1.25123, 1.29762, 1.38992, 1.42995, 1.46957, 1.5488, 1.61461, 1.6821, 1.76927, 1.87754, 1.97878, 2.08155, 2.17225, 2.22248, 2.31692, 2.41136, 2.5058, 2.54694");
-            values ( \
-              "-0.0266617, -0.00406594, -0.00368172, -0.00433889, -0.0109507, -0.0323957, -0.0727348, -0.0992714, -0.118701, -0.131927, -0.139625, -0.150849, -0.1549, -0.16007, -0.160916, -0.16094, -0.157213, -0.147902, -0.128904, -0.0976197, -0.0637702, -0.0406563, -0.0249861, -0.0159691, -0.0123709, -0.00763166, -0.00462895, -0.00284855, -0.00252377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.330057, 0.33527, 0.337149, 0.339877, 0.341272, 0.344062, 0.352322, 0.357553, 0.361144, 0.363749, 0.366337, 0.37065, 0.37292, 0.386493, 0.390639, 0.395569, 0.400196, 0.405008");
-            values ( \
-              "-0.0190147, -0.0343627, -0.0369225, -0.05192, -0.0531497, -0.0620835, -0.078469, -0.0851864, -0.0885474, -0.0900335, -0.0903569, -0.0870211, -0.0821546, -0.027546, -0.0172157, -0.00951423, -0.00541027, -0.00300063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.335531, 0.353143, 0.356762, 0.365719, 0.379028, 0.386675, 0.393859, 0.400853, 0.407838, 0.412999, 0.430765, 0.436399, 0.44397, 0.448761, 0.456619, 0.467095, 0.47137");
-            values ( \
-              "-0.000117307, -0.0463659, -0.0601738, -0.0807894, -0.103054, -0.110948, -0.116683, -0.117644, -0.113653, -0.103764, -0.0427661, -0.0293373, -0.0170751, -0.0119879, -0.006615, -0.00287212, -0.00228286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.348112, 0.370429, 0.384313, 0.391494, 0.409007, 0.432338, 0.446071, 0.458946, 0.471488, 0.484016, 0.489047, 0.493241, 0.498053, 0.514359, 0.525499, 0.535564, 0.549013, 0.557766, 0.571925, 0.590804, 0.603401");
-            values ( \
-              "-0.00573512, -0.025139, -0.048938, -0.0656049, -0.093617, -0.120617, -0.130227, -0.13701, -0.137935, -0.133481, -0.128056, -0.121618, -0.111601, -0.07189, -0.0494842, -0.0341766, -0.0201812, -0.0141618, -0.00786217, -0.0034185, -0.00226336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.236118, 0.26519, 0.284041, 0.292474, 0.298034, 0.310271, 0.364395, 0.382271, 0.397821, 0.408499, 0.420781, 0.446907, 0.466407, 0.47474, 0.491405, 0.542036, 0.568537, 0.593336, 0.617509, 0.641655, 0.651512, 0.659529, 0.668768, 0.700379, 0.722317, 0.741718, 0.750351, 0.767617, 0.784865, 0.79412, 0.812631, 0.849652, 0.879602");
-            values ( \
-              "-0.00137535, -0.00335315, -0.00705442, -0.00776552, -0.00770036, -0.0067202, -0.000337149, -3.02506e-05, -0.00461175, -0.0116954, -0.0224649, -0.0486238, -0.0725177, -0.0791028, -0.0961075, -0.131715, -0.142075, -0.149861, -0.150308, -0.145944, -0.139578, -0.132312, -0.121209, -0.0778382, -0.0532764, -0.0369336, -0.0311878, -0.0219682, -0.015368, -0.0126575, -0.00850936, -0.00367939, -0.0021549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.243102, 0.265089, 0.287895, 0.297925, 0.304472, 0.323063, 0.382017, 0.431705, 0.446025, 0.471729, 0.483769, 0.499822, 0.524763, 0.575225, 0.608917, 0.682845, 0.764589, 0.781387, 0.823377, 0.87332, 0.893104, 0.921875, 0.971156, 0.996058, 1.02514, 1.09409, 1.1196, 1.1536, 1.17262, 1.19648, 1.2283, 1.25271, 1.27724, 1.30995, 1.37537, 1.46981, 1.56425");
-            values ( \
-              "-0.00176584, -0.00400815, -0.0106942, -0.0122852, -0.0126044, -0.0118384, -0.0062742, -0.00273439, -0.00222232, -0.00328001, -0.00538423, -0.0101205, -0.0209495, -0.0481273, -0.0685953, -0.105562, -0.135506, -0.140044, -0.149295, -0.156055, -0.157093, -0.157681, -0.151701, -0.143283, -0.126338, -0.0777826, -0.0626958, -0.0460231, -0.0384613, -0.0305161, -0.022193, -0.0172886, -0.0134244, -0.00952677, -0.00463246, -0.0015317, -0.000499245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.364761, 0.732875, 0.935954, 1.02748, 1.1254, 1.17414, 1.22984, 1.28788, 1.35885, 1.45328, 1.51461, 1.56198, 1.65642, 1.70365, 1.75764, 1.92286, 2.00283, 2.07984, 2.11559, 2.18709, 2.2408, 2.29967, 2.37816, 2.4726, 2.56704, 2.61875");
-            values ( \
-              "-0.0062691, -0.0179095, -0.0739332, -0.0973127, -0.118671, -0.127689, -0.136793, -0.144706, -0.152264, -0.158902, -0.160814, -0.160939, -0.15576, -0.148656, -0.134443, -0.0773111, -0.055309, -0.0390539, -0.0330094, -0.0233843, -0.0179179, -0.013354, -0.00896472, -0.0054617, -0.00334924, -0.00261739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.538521, 0.541624, 0.544709, 0.54616, 0.548886, 0.550319, 0.553186, 0.561915, 0.571136, 0.575476, 0.579811, 0.583, 0.59397, 0.599093, 0.60445, 0.610358, 0.613484");
-            values ( \
-              "-0.0179907, -0.0245687, -0.0348409, -0.0365011, -0.0513887, -0.0528093, -0.0619225, -0.0790739, -0.0894238, -0.0900459, -0.0871444, -0.0794497, -0.0329264, -0.0186486, -0.00982401, -0.00473441, -0.00347028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.545454, 0.559167, 0.562272, 0.567062, 0.569287, 0.573738, 0.586862, 0.590731, 0.602282, 0.609971, 0.615466, 0.620148, 0.624763, 0.637995, 0.644883, 0.65328, 0.657952, 0.664934, 0.673435, 0.680116");
-            values ( \
-              "-5.69805e-05, -0.040051, -0.0453829, -0.064508, -0.0668174, -0.0789686, -0.10163, -0.106146, -0.116178, -0.117762, -0.114965, -0.108281, -0.0955482, -0.0481749, -0.0306184, -0.0168332, -0.0119045, -0.00700785, -0.00368473, -0.00246282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.558684, 0.579604, 0.593453, 0.599458, 0.618057, 0.626067, 0.641447, 0.655187, 0.668061, 0.680603, 0.69313, 0.69813, 0.702338, 0.707166, 0.723472, 0.734614, 0.744677, 0.758123, 0.766878, 0.781041, 0.799925, 0.813744");
-            values ( \
-              "-0.00763974, -0.0252842, -0.0492791, -0.0630527, -0.0932263, -0.103443, -0.120372, -0.130475, -0.136801, -0.138147, -0.133294, -0.1281, -0.121651, -0.111605, -0.0718936, -0.0494813, -0.0341771, -0.0201842, -0.0141623, -0.00786125, -0.00341704, -0.00215062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.435088, 0.469694, 0.492104, 0.500776, 0.517613, 0.55854, 0.575292, 0.593095, 0.607896, 0.620143, 0.632977, 0.656196, 0.675055, 0.70189, 0.751305, 0.777771, 0.802569, 0.826741, 0.850885, 0.860745, 0.86876, 0.877998, 0.909609, 0.931547, 0.950948, 0.95958, 0.976846, 0.994094, 1.00335, 1.02186, 1.05888, 1.08342");
-            values ( \
-              "-0.000899042, -0.00265161, -0.00666186, -0.00753736, -0.00680365, -0.00186873, -0.000231751, -0.000229005, -0.00508604, -0.0136463, -0.0253636, -0.0487328, -0.0718742, -0.0971873, -0.131722, -0.142113, -0.149845, -0.150337, -0.145927, -0.13958, -0.132313, -0.121212, -0.0778397, -0.0532756, -0.036933, -0.031189, -0.0219676, -0.0153673, -0.0126585, -0.0085085, -0.0036802, -0.00243045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.53323, 0.726432, 0.782318, 0.842775, 0.894447, 0.91926, 0.979304, 1.00014, 1.0325, 1.08249, 1.13117, 1.18044, 1.19938, 1.21566, 1.23447, 1.29833, 1.34311, 1.38216, 1.39953, 1.43428, 1.46955, 1.48844, 1.52622, 1.60178, 1.69622, 1.79066");
-            values ( \
-              "-0.00257345, -0.0173667, -0.0468372, -0.0816921, -0.106288, -0.11668, -0.136873, -0.142207, -0.149176, -0.155893, -0.157668, -0.15175, -0.145832, -0.138116, -0.126245, -0.080926, -0.0551972, -0.0383481, -0.0324151, -0.0228998, -0.0159755, -0.0131388, -0.00881463, -0.00378573, -0.00123942, -0.00041389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.57553, 0.937188, 1.17578, 1.27021, 1.33483, 1.40656, 1.45854, 1.55298, 1.59943, 1.66136, 1.69146, 1.7316, 1.77135, 1.85086, 1.87252, 1.91584, 1.98388, 2.07102, 2.17935, 2.28859, 2.33661, 2.40064, 2.45315, 2.50577, 2.57594, 2.67038, 2.76482, 2.80273");
-            values ( \
-              "-0.00734771, -0.0167748, -0.0820368, -0.104945, -0.118678, -0.131594, -0.139607, -0.150832, -0.154892, -0.158832, -0.16005, -0.160912, -0.160929, -0.157189, -0.15499, -0.148019, -0.1289, -0.097639, -0.063759, -0.0391382, -0.0312421, -0.0229395, -0.0176738, -0.0135913, -0.00953494, -0.00581269, -0.00356634, -0.0029951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.994255, 0.998343, 1.00189, 1.0047, 1.00886, 1.01727, 1.0264, 1.03046, 1.03305, 1.03571, 1.04013, 1.04981, 1.0575, 1.06139, 1.06811, 1.07257");
-            values ( \
-              "-0.0101128, -0.0279784, -0.0362711, -0.0511032, -0.0612351, -0.0779808, -0.0883871, -0.0900873, -0.0893958, -0.0864747, -0.0748201, -0.033213, -0.0137623, -0.00854641, -0.00363627, -0.00275805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.00246, 1.00788, 1.01807, 1.02355, 1.03586, 1.0444, 1.05206, 1.05925, 1.06625, 1.07324, 1.07836, 1.09618, 1.10181, 1.10937, 1.12204, 1.12878");
-            values ( \
-              "-0.0113046, -0.0205972, -0.0450578, -0.0632056, -0.0892131, -0.102537, -0.111136, -0.116282, -0.117826, -0.113348, -0.10385, -0.0427551, -0.0293385, -0.0170852, -0.00661612, -0.00420299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.02407, 1.04097, 1.06324, 1.0823, 1.09768, 1.11141, 1.12428, 1.13682, 1.14951, 1.15431, 1.15853, 1.16338, 1.17969, 1.19083, 1.20089, 1.20537, 1.21596, 1.22862, 1.23905, 1.25477, 1.26722");
-            values ( \
-              "-0.0240742, -0.0344424, -0.0764395, -0.103857, -0.120059, -0.130817, -0.136509, -0.13844, -0.132981, -0.128156, -0.121694, -0.111608, -0.0718962, -0.0494784, -0.0341788, -0.0287952, -0.0189149, -0.0113888, -0.00734975, -0.0037154, -0.00248374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.871371, 0.896865, 0.913849, 0.923266, 0.929533, 0.949956, 0.953872, 0.959117, 0.971138, 0.979466, 0.995641, 1.00947, 1.02098, 1.03332, 1.04182, 1.05031, 1.05881, 1.06238, 1.07309, 1.07825, 1.08181, 1.09579, 1.136, 1.14122, 1.15973, 1.17868, 1.19547, 1.20837, 1.21696, 1.22162, 1.22628, 1.24954, 1.25953, 1.26558, 1.27767, 1.28977, 1.30186, 1.30836, 1.31492, 1.32352, 1.3303, 1.33502, 1.36664, 1.3798, 1.38861, 1.40691, 1.41662, 1.42524, 1.43387, 1.45114");
-            values ( \
-              "-0.000560733, -0.00101804, -0.00165141, -0.00219825, -0.0029015, -0.00636812, -0.0068356, -0.00717332, -0.00675879, -0.00611427, -0.00423753, -0.00208387, -0.00147592, -0.00164174, -0.00224781, -0.00325513, -0.00466368, -0.00593214, -0.0107978, -0.0144931, -0.0175898, -0.0312018, -0.0750397, -0.0802168, -0.0973116, -0.112588, -0.123722, -0.130804, -0.138286, -0.138989, -0.140014, -0.147723, -0.150789, -0.150497, -0.14956, -0.148154, -0.146279, -0.145023, -0.141216, -0.133873, -0.126363, -0.120587, -0.0777896, -0.061881, -0.0530356, -0.037407, -0.0306988, -0.0259986, -0.0218715, -0.0151548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.994279, 1.17974, 1.22907, 1.27762, 1.2985, 1.34028, 1.37515, 1.43539, 1.4887, 1.53864, 1.58733, 1.63596, 1.65558, 1.67184, 1.69062, 1.76556, 1.79926, 1.83831, 1.85569, 1.89044, 1.9257, 1.94459, 1.98237, 2.05792, 2.15236, 2.2468");
-            values ( \
-              "-0.00407407, -0.0160816, -0.0415674, -0.0702101, -0.0812197, -0.101808, -0.116368, -0.136876, -0.149051, -0.15604, -0.157537, -0.151995, -0.145827, -0.138097, -0.126255, -0.0739186, -0.0551949, -0.0383445, -0.0324183, -0.0228952, -0.0159723, -0.0131421, -0.00881214, -0.00378931, -0.00123665, -0.000416898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("1.04046, 1.41592, 1.6316, 1.72604, 1.79056, 1.86226, 1.91424, 2.02414, 2.11693, 2.18738, 2.22701, 2.30627, 2.32818, 2.37198, 2.43954, 2.58174, 2.63498, 2.74423, 2.79231, 2.85641, 2.90883, 2.96138, 3.03144, 3.12588, 3.22032, 3.31476, 3.50363");
-            values ( \
-              "-0.00341713, -0.0222431, -0.0820911, -0.105042, -0.118698, -0.131651, -0.139612, -0.152353, -0.158822, -0.16094, -0.160924, -0.157232, -0.154983, -0.147935, -0.128888, -0.079293, -0.063753, -0.0391477, -0.0312425, -0.0229172, -0.0176783, -0.0136015, -0.00953511, -0.00582436, -0.00356208, -0.00215203, -0.000786562" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00163925, 0.00163926, 0.00163928, 0.00163929, 0.0016393, 0.0016393", \
-            "0.00182645, 0.00182646, 0.00182646, 0.00182646, 0.00182647, 0.00182647", \
-            "0.00192627, 0.00192627, 0.00192627, 0.00192627, 0.00192627, 0.00192627", \
-            "0.00198476, 0.00198476, 0.00198475, 0.00198475, 0.00198475, 0.00198475", \
-            "0.00202137, 0.00202138, 0.00202138, 0.00202138, 0.00202138, 0.00202138", \
-            "0.00204039, 0.00204039, 0.00204039, 0.00204039, 0.00204039, 0.00204039" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00214789, 0.00214788, 0.00214788, 0.00214788, 0.00214788, 0.00214788", \
-            "0.00225989, 0.00225987, 0.00225986, 0.00225985, 0.00225985, 0.00225985", \
-            "0.00234179, 0.00234178, 0.00234176, 0.00234174, 0.00234173, 0.00234173", \
-            "0.0024714, 0.00247139, 0.00247138, 0.00247137, 0.00247136, 0.00247135", \
-            "0.00273435, 0.00273437, 0.00273438, 0.0027344, 0.00273441, 0.00273441", \
-            "0.00329301, 0.00329051, 0.00328827, 0.00328676, 0.00328592, 0.0032855" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.214175, 0.245976, 0.311043, 0.445363, 0.725642, 1.31338", \
-            "0.218964, 0.250771, 0.31583, 0.450254, 0.730447, 1.31818", \
-            "0.231332, 0.263132, 0.328174, 0.46263, 0.742823, 1.33056", \
-            "0.261813, 0.293603, 0.358681, 0.493131, 0.773397, 1.36106", \
-            "0.327036, 0.358842, 0.423923, 0.558326, 0.838682, 1.42637", \
-            "0.435638, 0.467523, 0.53265, 0.666979, 0.947402, 1.53512" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0279477, 0.0450796, 0.0810964, 0.156552, 0.315316, 0.649325", \
-            "0.0279476, 0.0450795, 0.0810994, 0.156622, 0.315325, 0.649326", \
-            "0.0279456, 0.0450769, 0.0810756, 0.156622, 0.315317, 0.649325", \
-            "0.0279447, 0.0450746, 0.0810915, 0.15661, 0.31548, 0.649321", \
-            "0.0279741, 0.0450816, 0.0810799, 0.156537, 0.315474, 0.649308", \
-            "0.0280916, 0.0451449, 0.0810882, 0.156433, 0.315353, 0.6492" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.189718, 0.196891, 0.199622, 0.212561, 0.221755, 0.226085, 0.23041, 0.233613, 0.244554, 0.24967, 0.25502, 0.260923, 0.267668, 0.270476");
-            values ( \
-              "-0.0186256, -0.036841, -0.0518363, -0.0793289, -0.0896284, -0.09025, -0.0872961, -0.0795225, -0.0329519, -0.0186706, -0.00983885, -0.00474332, -0.002008, -0.0015831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.19441, 0.230161, 0.23572, 0.244528, 0.249835, 0.253473, 0.260459, 0.267443, 0.270219, 0.272573, 0.284303, 0.290367, 0.295997, 0.303561, 0.308357, 0.316221, 0.326706, 0.341445, 0.359502");
-            values ( \
-              "-0.00110128, -0.0898606, -0.0987621, -0.109748, -0.114279, -0.116372, -0.118015, -0.113399, -0.109157, -0.103873, -0.0617936, -0.0427679, -0.029349, -0.0170935, -0.0119985, -0.00662069, -0.00286094, -0.000839991, -0.000205147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.21837, 0.232474, 0.238414, 0.241749, 0.253025, 0.266087, 0.276597, 0.291972, 0.305713, 0.31859, 0.331138, 0.343671, 0.348682, 0.352887, 0.357713, 0.374024, 0.385166, 0.395233, 0.408686, 0.41744, 0.431602, 0.450484, 0.463978");
-            values ( \
-              "-0.0284934, -0.0292248, -0.0403055, -0.0442333, -0.0686548, -0.0896698, -0.103517, -0.120348, -0.130466, -0.136724, -0.138105, -0.133212, -0.128034, -0.121592, -0.111566, -0.0718731, -0.049473, -0.0341682, -0.0201755, -0.0141576, -0.00785947, -0.00341862, -0.00217601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.090523, 0.0983116, 0.12271, 0.145102, 0.153298, 0.164842, 0.208021, 0.224166, 0.244601, 0.254038, 0.268122, 0.277099, 0.301893, 0.332308, 0.371765, 0.395331, 0.414014, 0.42802, 0.452878, 0.477072, 0.501247, 0.510802, 0.518962, 0.528366, 0.559984, 0.581945, 0.601336, 0.627219, 0.644487, 0.653752, 0.672282, 0.709342, 0.737058");
-            values ( \
-              "-0.00173407, -0.00198444, -0.00400233, -0.00786992, -0.00822507, -0.00731854, -0.00164577, -8.91535e-05, -0.000208523, -0.00293483, -0.0116903, -0.0193921, -0.0441231, -0.0780437, -0.111845, -0.12741, -0.136928, -0.142428, -0.149088, -0.150719, -0.145299, -0.1397, -0.132427, -0.121147, -0.0778126, -0.0532566, -0.03693, -0.0219767, -0.0153685, -0.0126525, -0.00850549, -0.0036721, -0.00226058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.183154, 0.325568, 0.336553, 0.358522, 0.387211, 0.493059, 0.545305, 0.618762, 0.652195, 0.683155, 0.733173, 0.781873, 0.830532, 0.849954, 0.866307, 0.885199, 0.94907, 0.993855, 1.03291, 1.05028, 1.08503, 1.1203, 1.13919, 1.17698, 1.25255, 1.34737, 1.44219");
-            values ( \
-              "-0.0188254, -0.00259176, -0.00392166, -0.00965675, -0.0221754, -0.0811657, -0.106431, -0.133559, -0.142578, -0.148914, -0.155954, -0.157459, -0.151954, -0.145869, -0.138125, -0.126234, -0.0809285, -0.0551875, -0.0383419, -0.0324179, -0.0228961, -0.0159715, -0.0131414, -0.00881042, -0.00378813, -0.00122919, -0.000412612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.228331, 0.574802, 0.668285, 0.824776, 0.919594, 1.05575, 1.10777, 1.20258, 1.24804, 1.32072, 1.38297, 1.42065, 1.496, 1.52182, 1.57317, 1.63323, 1.82833, 1.92966, 1.98685, 2.04902, 2.15508, 2.22538, 2.32019, 2.41501, 2.45055");
-            values ( \
-              "-0.0100309, -0.0142386, -0.037841, -0.0817615, -0.105051, -0.131657, -0.139408, -0.150944, -0.154908, -0.159297, -0.160993, -0.16081, -0.157605, -0.154882, -0.146363, -0.12877, -0.0637572, -0.0406126, -0.0311581, -0.0229835, -0.0136451, -0.00947486, -0.00584988, -0.00349656, -0.00300337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.205073, 0.212039, 0.216216, 0.222723, 0.227982, 0.232721, 0.237174, 0.241505, 0.245831, 0.248994, 0.259978, 0.265087, 0.270438, 0.27635, 0.283107, 0.285993");
-            values ( \
-              "-0.0185302, -0.036404, -0.0536742, -0.0691476, -0.0788246, -0.0852814, -0.0892581, -0.090559, -0.0870259, -0.0796616, -0.0329522, -0.0186605, -0.00982701, -0.00475245, -0.0019892, -0.00156256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.209973, 0.245567, 0.251144, 0.259901, 0.26531, 0.26888, 0.275865, 0.282849, 0.285627, 0.28798, 0.29971, 0.305774, 0.311404, 0.318967, 0.323763, 0.331627, 0.342112, 0.356865, 0.374939");
-            values ( \
-              "-0.00144611, -0.0898338, -0.098775, -0.109705, -0.114325, -0.116373, -0.118014, -0.1134, -0.109156, -0.103871, -0.0617945, -0.0427672, -0.0293482, -0.0170926, -0.0119978, -0.00662, -0.00286182, -0.000838019, -0.00020535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.233729, 0.247928, 0.253989, 0.258319, 0.265783, 0.277497, 0.291967, 0.307358, 0.32109, 0.333968, 0.346516, 0.35905, 0.364034, 0.368252, 0.373091, 0.389402, 0.400546, 0.410612, 0.424063, 0.432819, 0.446984, 0.46587, 0.481816");
-            values ( \
-              "-0.0282437, -0.0293402, -0.0406699, -0.0462604, -0.0636549, -0.0834567, -0.103653, -0.120203, -0.130596, -0.136593, -0.138219, -0.1331, -0.128062, -0.121619, -0.111562, -0.0718702, -0.0494737, -0.0341717, -0.020181, -0.0141613, -0.00786209, -0.00341393, -0.00195046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.106537, 0.116017, 0.138536, 0.160962, 0.169168, 0.180653, 0.223717, 0.239846, 0.260359, 0.26981, 0.283883, 0.292763, 0.348324, 0.387499, 0.417355, 0.443865, 0.468687, 0.492882, 0.517048, 0.526673, 0.544166, 0.575783, 0.597738, 0.617132, 0.643017, 0.660281, 0.688071, 0.725124, 0.73802");
-            values ( \
-              "-0.0017898, -0.00206187, -0.00401516, -0.0078637, -0.00820658, -0.00729666, -0.00165639, -9.04903e-05, -0.000211609, -0.00295151, -0.011714, -0.0193317, -0.0783293, -0.11186, -0.130903, -0.142514, -0.149149, -0.150758, -0.14533, -0.139671, -0.121159, -0.0778159, -0.0532612, -0.0369313, -0.0219756, -0.0153688, -0.0085065, -0.00367319, -0.00301638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.198837, 0.341129, 0.351718, 0.372894, 0.401631, 0.480652, 0.560322, 0.635345, 0.665676, 0.698701, 0.748721, 0.797421, 0.84608, 0.865517, 0.881862, 0.900746, 0.964618, 1.0094, 1.04845, 1.06583, 1.10058, 1.13585, 1.15474, 1.19253, 1.2681, 1.31802");
-            values ( \
-              "-0.0188444, -0.00259112, -0.00386219, -0.00924892, -0.0216182, -0.0663642, -0.106271, -0.133936, -0.142131, -0.148869, -0.156003, -0.157415, -0.152, -0.145867, -0.138118, -0.126238, -0.0809314, -0.0551866, -0.0383405, -0.0324191, -0.0228945, -0.0159703, -0.0131426, -0.00880946, -0.00378945, -0.00244086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.243652, 0.592579, 0.686797, 0.840154, 0.934972, 1.07114, 1.12316, 1.21797, 1.26343, 1.32403, 1.39836, 1.43604, 1.51139, 1.53721, 1.58856, 1.64862, 1.79088, 1.84372, 1.94505, 2.00224, 2.0676, 2.16968, 2.23788, 2.33269, 2.42751, 2.52233, 2.71196");
-            values ( \
-              "-0.00957067, -0.0147374, -0.0387053, -0.081803, -0.105004, -0.131615, -0.139449, -0.150904, -0.154873, -0.158616, -0.160964, -0.160839, -0.157577, -0.154909, -0.146337, -0.128796, -0.0792015, -0.0637811, -0.0406356, -0.0311381, -0.0226405, -0.0136792, -0.00963516, -0.00591934, -0.00356886, -0.0021949, -0.000815316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.243093, 0.25009, 0.2573, 0.265758, 0.27495, 0.27928, 0.283605, 0.286802, 0.297749, 0.302863, 0.308214, 0.314117, 0.320864, 0.330073, 0.356116");
-            values ( \
-              "-0.0188944, -0.0372351, -0.0627093, -0.0792641, -0.0895787, -0.0902989, -0.0872601, -0.0795429, -0.0329521, -0.0186698, -0.00983758, -0.00474458, -0.00200551, -0.000616917, -7.09313e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.247572, 0.283604, 0.28901, 0.296167, 0.299731, 0.306909, 0.313894, 0.320879, 0.326012, 0.343804, 0.349434, 0.356998, 0.361794, 0.369657, 0.380141, 0.383918");
-            values ( \
-              "-0.0012599, -0.0897929, -0.0984856, -0.107767, -0.111261, -0.116392, -0.117987, -0.113422, -0.103865, -0.0427692, -0.0293493, -0.0170933, -0.0119989, -0.00662152, -0.00286068, -0.00234328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.271553, 0.28583, 0.291909, 0.29626, 0.303471, 0.317899, 0.329846, 0.345219, 0.358944, 0.371824, 0.384374, 0.39691, 0.401878, 0.406104, 0.410952, 0.427264, 0.438409, 0.448474, 0.461924, 0.470682, 0.484848, 0.503736, 0.530473, 0.563141");
-            values ( \
-              "-0.027976, -0.0293721, -0.0407441, -0.0463508, -0.0632521, -0.0873084, -0.103644, -0.120132, -0.130591, -0.136539, -0.138231, -0.133058, -0.128077, -0.121623, -0.11156, -0.0718725, -0.0494678, -0.0341672, -0.0201776, -0.0141574, -0.00785793, -0.00341708, -0.000957527, -0.000201961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.1448, 0.174707, 0.199002, 0.206983, 0.277851, 0.298313, 0.307766, 0.321851, 0.33073, 0.386288, 0.42546, 0.455316, 0.481826, 0.506648, 0.530843, 0.555009, 0.564634, 0.582127, 0.613743, 0.635699, 0.655092, 0.680978, 0.698242, 0.726032, 0.763085, 0.774183");
-            values ( \
-              "-0.00179585, -0.0037786, -0.00796028, -0.00827286, -9.55967e-05, -0.000205864, -0.00294514, -0.0117155, -0.0193323, -0.078338, -0.111856, -0.130909, -0.142509, -0.149154, -0.150753, -0.145335, -0.139671, -0.121159, -0.0778157, -0.0532614, -0.0369315, -0.0219758, -0.0153689, -0.00850667, -0.00367302, -0.00310799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.236472, 0.37905, 0.389835, 0.411407, 0.440338, 0.54642, 0.598557, 0.672337, 0.705129, 0.736515, 0.786533, 0.835233, 0.883893, 0.903318, 0.919669, 0.938559, 1.00243, 1.04721, 1.08627, 1.10364, 1.13839, 1.17366, 1.19255, 1.23034, 1.30591, 1.40073, 1.49555");
-            values ( \
-              "-0.0187977, -0.00259488, -0.00391781, -0.0094843, -0.0220688, -0.0811608, -0.106391, -0.133629, -0.142479, -0.148912, -0.155957, -0.157457, -0.151956, -0.145869, -0.138123, -0.126235, -0.0809293, -0.0551871, -0.0383414, -0.0324183, -0.0228956, -0.015971, -0.0131418, -0.00881002, -0.00378859, -0.00122877, -0.00041304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.281543, 0.631503, 0.726218, 0.877936, 0.972754, 1.10893, 1.16094, 1.27091, 1.30121, 1.36182, 1.43615, 1.47382, 1.54917, 1.57499, 1.62634, 1.68641, 1.82867, 1.88151, 1.98284, 2.04003, 2.10539, 2.20746, 2.27566, 2.37048, 2.46529, 2.56011, 2.74975");
-            values ( \
-              "-0.0093522, -0.0149834, -0.039156, -0.0818293, -0.104976, -0.13159, -0.139474, -0.152245, -0.154851, -0.158638, -0.160945, -0.160857, -0.157559, -0.154927, -0.146319, -0.128813, -0.0792188, -0.0637975, -0.0406517, -0.0311236, -0.0226544, -0.0136658, -0.00964874, -0.00590621, -0.00358216, -0.0021818, -0.000802314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.335283, 0.34209, 0.344863, 0.348913, 0.357751, 0.366944, 0.371274, 0.375599, 0.378791, 0.389743, 0.394857, 0.400207, 0.406112, 0.41286, 0.419277");
-            values ( \
-              "-0.018, -0.0376806, -0.051526, -0.0616944, -0.0792052, -0.0895381, -0.0903343, -0.0872322, -0.0795625, -0.0329527, -0.0186684, -0.00983607, -0.00474584, -0.00200326, -0.00103593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.342205, 0.349614, 0.357609, 0.365145, 0.377076, 0.383976, 0.391609, 0.398787, 0.405771, 0.412756, 0.417897, 0.43568, 0.441311, 0.448876, 0.453671, 0.461532, 0.472015, 0.476978");
-            values ( \
-              "-0.0101774, -0.0247811, -0.0442361, -0.0681316, -0.0922438, -0.10271, -0.111328, -0.116421, -0.11797, -0.113436, -0.103839, -0.0427643, -0.0293423, -0.0170847, -0.0119918, -0.0066153, -0.0028683, -0.00218214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.362899, 0.379083, 0.398274, 0.411525, 0.421927, 0.437298, 0.45104, 0.463916, 0.476463, 0.489157, 0.493978, 0.503037, 0.530492, 0.540558, 0.554008, 0.562765, 0.57693, 0.594013");
-            values ( \
-              "-0.0234693, -0.0315243, -0.0681372, -0.0895687, -0.103787, -0.120118, -0.1307, -0.136517, -0.138308, -0.132941, -0.128071, -0.111565, -0.0494728, -0.0341714, -0.020181, -0.0141608, -0.00786122, -0.00383923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.235865, 0.261777, 0.286486, 0.29825, 0.310019, 0.369222, 0.387688, 0.396772, 0.409363, 0.423333, 0.4727, 0.517403, 0.547236, 0.57374, 0.598561, 0.622754, 0.646919, 0.656565, 0.674036, 0.705653, 0.727607, 0.747001, 0.772888, 0.790151, 0.817938, 0.854988, 0.866826");
-            values ( \
-              "-0.00166901, -0.00322076, -0.00725244, -0.00819834, -0.00734088, -0.000122973, -1e-22, -0.00184689, -0.0084777, -0.0200108, -0.0727206, -0.111867, -0.13096, -0.142495, -0.149191, -0.150735, -0.145364, -0.13966, -0.121162, -0.0778166, -0.0532628, -0.0369318, -0.0219752, -0.015369, -0.00850715, -0.00367342, -0.00306927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.327874, 0.523112, 0.57321, 0.618751, 0.677679, 0.715082, 0.775346, 0.82867, 0.878618, 0.927318, 0.975962, 0.995537, 1.01182, 1.03063, 1.0945, 1.13927, 1.17833, 1.1957, 1.23046, 1.26572, 1.28461, 1.32239, 1.39794, 1.4485");
-            values ( \
-              "-0.00269815, -0.0175885, -0.0438098, -0.0710223, -0.100757, -0.116186, -0.136961, -0.148873, -0.15613, -0.157377, -0.152097, -0.145837, -0.138088, -0.126252, -0.0809374, -0.0551895, -0.03834, -0.0324203, -0.0228913, -0.0159691, -0.0131444, -0.00880942, -0.00379186, -0.00242449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.373074, 0.718484, 0.811704, 0.970098, 1.06492, 1.20106, 1.25307, 1.34789, 1.39334, 1.46603, 1.52828, 1.56595, 1.64129, 1.66712, 1.71847, 1.77854, 1.97364, 2.07496, 2.13215, 2.19751, 2.2996, 2.36781, 2.46262, 2.55744, 2.59688");
-            values ( \
-              "-0.0102804, -0.0139149, -0.0373164, -0.081747, -0.105076, -0.131678, -0.139392, -0.150963, -0.154925, -0.159312, -0.161006, -0.160799, -0.157619, -0.154871, -0.146375, -0.128759, -0.0637475, -0.0406025, -0.0311663, -0.0226138, -0.0137041, -0.00960955, -0.00594368, -0.00354406, -0.00299306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.548096, 0.554612, 0.559055, 0.56535, 0.57061, 0.575363, 0.57982, 0.584154, 0.588484, 0.591635, 0.603297, 0.607399, 0.612666, 0.618877, 0.624326, 0.633435, 0.634118");
-            values ( \
-              "-0.0190211, -0.0365863, -0.0541081, -0.0690561, -0.0786751, -0.0852185, -0.0891575, -0.0905177, -0.0869591, -0.0796547, -0.0307244, -0.0194495, -0.0103744, -0.00484807, -0.0024408, -0.000754772, -0.000736764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.559496, 0.564961, 0.567268, 0.567884, 0.569114, 0.572188, 0.575262, 0.576727, 0.579412, 0.583075, 0.585502, 0.587929, 0.588537, 0.590971, 0.593471, 0.595893, 0.598043, 0.600068, 0.601976, 0.604666, 0.608405, 0.611845, 0.613591, 0.615338, 0.617084, 0.61883, 0.620577, 0.622323, 0.624069, 0.625816, 0.625996, 0.627167, 0.628248, 0.629781, 0.630955, 0.632965, 0.633635, 0.642678, 0.643817, 0.646317, 0.648135, 0.649833, 0.652019, 0.654372, 0.655633, 0.656894, 0.659416, 0.661938, 0.664551, 0.666732");
-            values ( \
-              "-0.030649, -0.030997, -0.0373488, -0.0388094, -0.0414077, -0.0508269, -0.0611048, -0.0647013, -0.0710566, -0.0792258, -0.083927, -0.0881126, -0.0895216, -0.0937068, -0.0976888, -0.101278, -0.104201, -0.106678, -0.108798, -0.111495, -0.114768, -0.117926, -0.117703, -0.11738, -0.116957, -0.116436, -0.115814, -0.115093, -0.114273, -0.113354, -0.113184, -0.111492, -0.109582, -0.106295, -0.103323, -0.0972305, -0.0950488, -0.0617778, -0.0578812, -0.0494666, -0.0442404, -0.0398042, -0.0344592, -0.0290209, -0.0265203, -0.0242187, -0.0203647, -0.0170019, -0.0140865, -0.0118231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.575091, 0.58865, 0.612581, 0.622513, 0.634931, 0.650314, 0.664048, 0.676923, 0.689469, 0.702001, 0.707004, 0.716042, 0.732352, 0.743495, 0.753561, 0.767013, 0.775768, 0.789931, 0.808815, 0.816001");
-            values ( \
-              "-0.0252656, -0.0255713, -0.0706693, -0.086734, -0.103706, -0.120252, -0.13062, -0.136625, -0.13823, -0.133118, -0.128051, -0.11157, -0.0718739, -0.0494748, -0.0341712, -0.0201792, -0.0141602, -0.0078613, -0.00341618, -0.00275359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.437872, 0.469728, 0.481124, 0.502545, 0.511883, 0.527593, 0.564054, 0.581136, 0.599572, 0.60417, 0.608608, 0.620861, 0.635304, 0.664517, 0.67692, 0.717479, 0.755204, 0.769139, 0.7863, 0.811156, 0.835348, 0.859519, 0.869111, 0.877254, 0.886638, 0.918256, 0.940213, 0.959606, 0.98549, 1.00276, 1.01202, 1.03055, 1.0676, 1.09391");
-            values ( \
-              "-0.00111483, -0.00254983, -0.00371792, -0.00749946, -0.00797169, -0.00669777, -0.00194091, -0.000213033, -1e-22, -0.000467139, -0.0015952, -0.00771236, -0.0194067, -0.0487945, -0.0639053, -0.102342, -0.128445, -0.13558, -0.142408, -0.149167, -0.150686, -0.145362, -0.139685, -0.132412, -0.121155, -0.0778158, -0.0532582, -0.0369297, -0.021975, -0.0153678, -0.0126538, -0.00850532, -0.00367367, -0.00232887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.540222, 0.736596, 0.786039, 0.8329, 0.888383, 0.928087, 0.988343, 1.04166, 1.09161, 1.14031, 1.18895, 1.20853, 1.22481, 1.24362, 1.30749, 1.35226, 1.39132, 1.4087, 1.44345, 1.47871, 1.4976, 1.53538, 1.61093, 1.66072");
-            values ( \
-              "-0.00242603, -0.0178233, -0.0437485, -0.0716566, -0.0997023, -0.116204, -0.136958, -0.148884, -0.156125, -0.157387, -0.15209, -0.145835, -0.138087, -0.126252, -0.0809373, -0.0551898, -0.0383401, -0.0324202, -0.0228913, -0.0159692, -0.0131444, -0.00880952, -0.00379185, -0.00244522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.585838, 0.960528, 1.18373, 1.27855, 1.34291, 1.41467, 1.46669, 1.57665, 1.66753, 1.70385, 1.74191, 1.77956, 1.85486, 1.88073, 1.93208, 1.99214, 2.0798, 2.18724, 2.29674, 2.34576, 2.41113, 2.51319, 2.58138, 2.67619, 2.77101, 2.8392");
-            values ( \
-              "-0.00473431, -0.0202437, -0.081894, -0.104956, -0.118569, -0.131558, -0.139518, -0.152283, -0.158672, -0.160131, -0.160922, -0.160884, -0.15754, -0.154952, -0.146297, -0.128836, -0.0974358, -0.0638167, -0.0391575, -0.0311107, -0.0226659, -0.0136559, -0.00965939, -0.00589727, -0.0035914, -0.00257168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.0112, 1.01843, 1.02126, 1.02539, 1.0343, 1.04355, 1.0479, 1.05224, 1.05539, 1.06706, 1.07119, 1.07646, 1.08266, 1.0881, 1.09612");
-            values ( \
-              "-0.0151338, -0.0362003, -0.0508268, -0.0610279, -0.0786782, -0.0891638, -0.0899668, -0.0869898, -0.0795057, -0.0307623, -0.0194016, -0.0103516, -0.00485422, -0.00244037, -0.000961742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.02218, 1.02842, 1.02958, 1.03074, 1.0319, 1.03336, 1.03483, 1.03724, 1.03966, 1.04301, 1.04637, 1.04886, 1.05011, 1.05135, 1.05228, 1.05669, 1.05977, 1.06285, 1.06491, 1.06756, 1.07564, 1.07738, 1.07913, 1.08088, 1.08263, 1.08438, 1.08612, 1.08787, 1.08962, 1.08989, 1.09094, 1.092, 1.09354, 1.09473, 1.09676, 1.09744, 1.0995, 1.10649, 1.10831, 1.11013, 1.11195, 1.11365, 1.11583, 1.11819, 1.11945, 1.12071, 1.12323, 1.12575, 1.12837, 1.13055");
-            values ( \
-              "-0.0221366, -0.0302731, -0.0342029, -0.0365552, -0.0391763, -0.0429221, -0.0470075, -0.0544867, -0.0628898, -0.0702744, -0.0781558, -0.0830191, -0.0851993, -0.0872119, -0.0893541, -0.0967807, -0.101254, -0.105287, -0.107728, -0.110453, -0.117779, -0.117571, -0.117259, -0.116844, -0.116326, -0.115705, -0.11498, -0.114152, -0.113221, -0.11298, -0.111462, -0.109606, -0.106329, -0.10334, -0.0971995, -0.0949971, -0.0875203, -0.0617454, -0.0555438, -0.0494522, -0.0442261, -0.0397893, -0.034446, -0.0290138, -0.0265149, -0.0242147, -0.0203624, -0.0170008, -0.0140835, -0.0118199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.03721, 1.05256, 1.07548, 1.08817, 1.09875, 1.11413, 1.12786, 1.14074, 1.15329, 1.1663, 1.17502, 1.20731, 1.21734, 1.22895, 1.24012, 1.25889, 1.27101");
-            values ( \
-              "-0.0189865, -0.0258777, -0.0689764, -0.0894084, -0.103774, -0.120176, -0.130665, -0.136553, -0.138275, -0.132722, -0.121619, -0.0494738, -0.0342538, -0.0218276, -0.0138937, -0.00631408, -0.00418282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.874461, 0.912406, 0.934472, 0.947171, 0.965279, 0.975386, 0.989344, 1.03237, 1.04829, 1.06677, 1.07613, 1.08331, 1.09946, 1.12395, 1.15637, 1.19397, 1.21043, 1.22371, 1.24186, 1.26301, 1.27497, 1.29915, 1.32364, 1.3329, 1.34106, 1.35045, 1.38208, 1.40403, 1.42343, 1.44931, 1.46658, 1.47584, 1.49437, 1.53143, 1.5558");
-            values ( \
-              "-0.000660667, -0.00129097, -0.00236607, -0.00368686, -0.00676162, -0.00747557, -0.00672752, -0.00142618, -2.81211e-05, -0.00028855, -0.00301525, -0.00685528, -0.019714, -0.0441754, -0.0800069, -0.112177, -0.12329, -0.130909, -0.139401, -0.146458, -0.148976, -0.150782, -0.145107, -0.139676, -0.132414, -0.121138, -0.077807, -0.0532582, -0.0369301, -0.021976, -0.0153688, -0.0126522, -0.00850656, -0.00367236, -0.00242545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("1.00243, 1.18191, 1.21109, 1.24621, 1.31484, 1.39158, 1.45159, 1.50509, 1.555, 1.60371, 1.65236, 1.67185, 1.68817, 1.70703, 1.7709, 1.81568, 1.85473, 1.87211, 1.90686, 1.94213, 1.96102, 1.9988, 2.07436, 2.16918, 2.264");
-            values ( \
-              "-0.00885556, -0.0102561, -0.0232623, -0.0419045, -0.0815672, -0.116669, -0.136855, -0.148837, -0.156091, -0.157358, -0.152076, -0.145858, -0.138104, -0.126245, -0.0809358, -0.0551868, -0.0383393, -0.0324204, -0.0228922, -0.015969, -0.0131441, -0.00880878, -0.0037912, -0.00122714, -0.000414959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("1.05146, 1.24722, 1.27863, 1.31453, 1.38259, 1.46918, 1.61772, 1.70611, 1.83815, 1.93001, 2.02483, 2.07014, 2.13056, 2.20545, 2.24284, 2.31761, 2.34401, 2.39535, 2.45542, 2.59768, 2.65052, 2.75185, 2.80904, 2.87441, 2.97647, 3.04467, 3.13949, 3.2343, 3.32912, 3.51876");
-            values ( \
-              "-0.0285781, -0.00420197, -0.00365047, -0.00410262, -0.0114452, -0.0319728, -0.074043, -0.0966429, -0.124618, -0.139494, -0.150878, -0.15484, -0.158633, -0.160946, -0.160862, -0.157604, -0.15493, -0.146319, -0.128815, -0.0792207, -0.0637984, -0.0406514, -0.0311255, -0.0226523, -0.0136686, -0.0096461, -0.00590964, -0.00357866, -0.0021857, -0.000806383" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00164129, 0.00164131, 0.00164132, 0.00164133, 0.00164134, 0.00164134", \
-            "0.00182837, 0.00182837, 0.00182838, 0.00182838, 0.00182839, 0.00182839", \
-            "0.00192708, 0.00192707, 0.00192707, 0.00192707, 0.00192708, 0.00192708", \
-            "0.00198473, 0.00198473, 0.00198473, 0.00198473, 0.00198473, 0.00198473", \
-            "0.00202026, 0.00202026, 0.00202026, 0.00202026, 0.00202026, 0.00202026", \
-            "0.00203919, 0.00203919, 0.00203919, 0.00203919, 0.00203919, 0.00203919" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0021517, 0.00215169, 0.00215168, 0.00215168, 0.00215168, 0.00215168", \
-            "0.00226042, 0.0022604, 0.00226039, 0.00226038, 0.00226038, 0.00226037", \
-            "0.00233457, 0.00233456, 0.00233454, 0.00233453, 0.00233452, 0.00233451", \
-            "0.0024482, 0.0024482, 0.00244819, 0.00244818, 0.00244817, 0.00244816", \
-            "0.00268824, 0.00268825, 0.00268826, 0.00268826, 0.00268826, 0.00268826", \
-            "0.00307407, 0.00307279, 0.00307175, 0.0030711, 0.00307075, 0.00307059" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.218824, 0.25085, 0.315982, 0.450457, 0.730589, 1.31818", \
-            "0.223663, 0.255691, 0.320782, 0.455296, 0.735427, 1.32301", \
-            "0.235982, 0.268021, 0.333185, 0.467564, 0.747756, 1.33535", \
-            "0.266475, 0.298493, 0.363667, 0.49804, 0.778254, 1.36565", \
-            "0.33182, 0.363882, 0.429012, 0.563453, 0.843661, 1.43139", \
-            "0.440986, 0.473136, 0.53832, 0.672683, 0.952913, 1.54075" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0280475, 0.0452367, 0.0811242, 0.156551, 0.315395, 0.649818", \
-            "0.028048, 0.0452328, 0.0811867, 0.156551, 0.315395, 0.649817", \
-            "0.0280488, 0.0451964, 0.0811698, 0.156482, 0.315392, 0.649806", \
-            "0.0280473, 0.0451972, 0.0811642, 0.15646, 0.315393, 0.649225", \
-            "0.02808, 0.0452163, 0.0811169, 0.156541, 0.315376, 0.649754", \
-            "0.0282004, 0.0452628, 0.0810895, 0.156396, 0.315506, 0.649803" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.193911, 0.196644, 0.200251, 0.201527, 0.204077, 0.205705, 0.208825, 0.217325, 0.222084, 0.226554, 0.230897, 0.235235, 0.238406, 0.249399, 0.252123, 0.254522, 0.257721, 0.25988, 0.262414, 0.265793, 0.271365");
-            values ( \
-              "-0.0178021, -0.0235478, -0.0348594, -0.0366586, -0.0501394, -0.0528508, -0.0622247, -0.0788562, -0.084613, -0.0892593, -0.0900683, -0.0870295, -0.0794778, -0.0329161, -0.0244861, -0.0186434, -0.0127306, -0.00982007, -0.00721361, -0.00473343, -0.00247883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.200873, 0.214376, 0.217614, 0.222481, 0.224684, 0.22909, 0.242455, 0.245924, 0.255564, 0.264239, 0.267882, 0.272512, 0.279629, 0.294727, 0.301046, 0.30705, 0.317644, 0.325529, 0.332127");
-            values ( \
-              "-0.00150102, -0.0391125, -0.0449233, -0.064082, -0.0664742, -0.078469, -0.101603, -0.105617, -0.114511, -0.117805, -0.116964, -0.113085, -0.0977834, -0.0447822, -0.0293337, -0.0192924, -0.00868144, -0.00481156, -0.00319228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.215385, 0.234378, 0.248653, 0.258492, 0.262359, 0.270094, 0.294623, 0.29692, 0.301515, 0.321395, 0.327857, 0.336071, 0.348566, 0.354964, 0.361569, 0.386459, 0.398357, 0.410144, 0.41898, 0.428017, 0.448721, 0.459855");
-            values ( \
-              "-0.0124479, -0.0238403, -0.047407, -0.0706946, -0.0742931, -0.0887962, -0.118713, -0.11933, -0.124405, -0.136155, -0.137802, -0.13788, -0.133321, -0.126201, -0.114031, -0.0561921, -0.0366119, -0.0232408, -0.0162731, -0.0112535, -0.00467312, -0.00326965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0895909, 0.12517, 0.148255, 0.156868, 0.169456, 0.232894, 0.251423, 0.266731, 0.280954, 0.331409, 0.377142, 0.406971, 0.433458, 0.458268, 0.482451, 0.506607, 0.516303, 0.533719, 0.587282, 0.606675, 0.63256, 0.649821, 0.677606, 0.70417");
-            values ( \
-              "-0.00163822, -0.00333276, -0.00727291, -0.00782934, -0.00708507, -1e-22, -0.000458829, -0.0067002, -0.0178828, -0.071614, -0.111869, -0.131049, -0.142534, -0.149278, -0.150769, -0.145427, -0.139659, -0.121185, -0.0532682, -0.036935, -0.0219766, -0.0153702, -0.00850802, -0.00504157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.188724, 0.383631, 0.433066, 0.477444, 0.539286, 0.574702, 0.634946, 0.688253, 0.738187, 0.786875, 0.835509, 0.855152, 0.871398, 0.890169, 0.965107, 0.998804, 1.03786, 1.05524, 1.09, 1.12525, 1.14414, 1.18191, 1.25746, 1.30456");
-            values ( \
-              "-0.00254293, -0.017934, -0.0438824, -0.0705342, -0.101717, -0.116219, -0.137028, -0.148904, -0.156187, -0.157394, -0.152137, -0.14583, -0.138082, -0.126264, -0.0739107, -0.0551915, -0.0383405, -0.0324217, -0.0228907, -0.0159688, -0.0131454, -0.00880916, -0.00379283, -0.00251863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.231931, 0.584313, 0.675294, 0.82968, 0.924605, 1.06058, 1.1126, 1.20752, 1.25259, 1.32553, 1.40258, 1.47103, 1.52653, 1.62145, 1.74379, 1.86568, 1.94389, 2.05311, 2.12467, 2.16308, 2.23991, 2.33483, 2.42976, 2.61961, 2.71453");
-            values ( \
-              "-0.00908941, -0.0151801, -0.0384468, -0.0818034, -0.1051, -0.131675, -0.139448, -0.150973, -0.154907, -0.159315, -0.161104, -0.159551, -0.154886, -0.13468, -0.0912385, -0.0554874, -0.0389432, -0.0230475, -0.016161, -0.0135, -0.00896929, -0.00561657, -0.00326977, -0.00114353, -0.000831261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.209359, 0.212249, 0.215706, 0.216997, 0.219578, 0.221176, 0.224297, 0.232797, 0.237556, 0.242026, 0.246369, 0.250707, 0.253879, 0.255565, 0.262092, 0.264871, 0.267595, 0.269994, 0.273193, 0.275352, 0.277886, 0.281265, 0.287065");
-            values ( \
-              "-0.0177773, -0.0239059, -0.0348415, -0.0366072, -0.0502769, -0.0528209, -0.0622401, -0.078868, -0.0845983, -0.0892698, -0.0900569, -0.0870385, -0.0794757, -0.0733591, -0.0435391, -0.032916, -0.0244862, -0.0186435, -0.0127301, -0.00982024, -0.00721386, -0.00473323, -0.00238679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.216462, 0.229838, 0.233084, 0.237951, 0.240153, 0.244557, 0.257935, 0.261367, 0.271187, 0.279396, 0.283971, 0.287976, 0.295103, 0.310405, 0.318641, 0.326807, 0.332528, 0.346127, 0.346868");
-            values ( \
-              "-0.00185714, -0.0391021, -0.0449393, -0.0640918, -0.0664792, -0.0784724, -0.101626, -0.10559, -0.114627, -0.117796, -0.116667, -0.113049, -0.0977759, -0.0441688, -0.0252873, -0.0139358, -0.00907225, -0.0031046, -0.00299589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.231007, 0.249794, 0.264076, 0.274137, 0.277782, 0.285072, 0.30383, 0.312313, 0.324413, 0.338931, 0.348316, 0.357705, 0.364121, 0.376906, 0.400751, 0.412573, 0.423417, 0.432299, 0.441082, 0.458375, 0.480731, 0.48131");
-            values ( \
-              "-0.01288, -0.0238001, -0.0478347, -0.0707775, -0.0745304, -0.0879179, -0.111554, -0.119993, -0.129422, -0.136424, -0.138148, -0.136617, -0.132827, -0.114382, -0.0585368, -0.0383904, -0.0253212, -0.017796, -0.012394, -0.00597667, -0.0022167, -0.00218242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.105265, 0.142997, 0.163874, 0.172449, 0.184878, 0.231014, 0.248392, 0.266975, 0.282235, 0.296327, 0.323528, 0.346811, 0.370916, 0.392482, 0.422309, 0.448797, 0.473607, 0.49779, 0.521945, 0.531641, 0.539733, 0.549058, 0.580669, 0.602621, 0.622013, 0.630642, 0.647899, 0.66516, 0.674421, 0.692945, 0.729991, 0.750702");
-            values ( \
-              "-0.00171034, -0.00357282, -0.00733349, -0.00785129, -0.00709684, -0.00139872, -1e-22, -0.000481599, -0.00682332, -0.0178972, -0.0450946, -0.0716964, -0.0946916, -0.111853, -0.131065, -0.142518, -0.149294, -0.150752, -0.145444, -0.139659, -0.132397, -0.121185, -0.0778265, -0.0532677, -0.0369345, -0.0311875, -0.0219762, -0.0153698, -0.0126542, -0.0085076, -0.00367404, -0.00262272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.204822, 0.3997, 0.493147, 0.555831, 0.590685, 0.650929, 0.704237, 0.754171, 0.802859, 0.851492, 0.871136, 0.906153, 0.981091, 1.01479, 1.05384, 1.10598, 1.14124, 1.1979, 1.27345, 1.28981");
-            values ( \
-              "-0.00250582, -0.0179898, -0.0703661, -0.101959, -0.116232, -0.137014, -0.148917, -0.156173, -0.157408, -0.152123, -0.145829, -0.126263, -0.0739109, -0.0551918, -0.0383408, -0.0228909, -0.015969, -0.0088094, -0.00379257, -0.00335011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.247518, 0.598804, 0.689539, 0.84514, 0.940065, 1.07604, 1.12805, 1.22298, 1.26805, 1.34098, 1.41804, 1.48647, 1.54198, 1.6369, 1.75924, 1.88113, 1.95934, 2.06856, 2.14012, 2.17854, 2.25537, 2.35029, 2.44522, 2.63507, 2.72999");
-            values ( \
-              "-0.00926551, -0.0149914, -0.0380969, -0.0818039, -0.105103, -0.131676, -0.139448, -0.150973, -0.154905, -0.159312, -0.1611, -0.159546, -0.154892, -0.134675, -0.0912452, -0.0554948, -0.0389511, -0.0230558, -0.0161694, -0.0134914, -0.00897783, -0.0056078, -0.00327847, -0.00115235, -0.000822371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.247129, 0.254315, 0.257775, 0.265351, 0.270639, 0.275399, 0.279867, 0.284212, 0.288551, 0.291692, 0.304764, 0.308074, 0.312996, 0.320232");
-            values ( \
-              "-0.0177235, -0.0356328, -0.0502232, -0.068637, -0.0785067, -0.0848939, -0.0890134, -0.090264, -0.0868591, -0.0795787, -0.026372, -0.0181372, -0.0100703, -0.0044842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.255131, 0.268133, 0.27098, 0.275811, 0.278056, 0.282546, 0.29559, 0.299777, 0.311857, 0.318842, 0.325837, 0.330967, 0.348774, 0.35441, 0.361981, 0.366776, 0.374638, 0.385121, 0.386807");
-            values ( \
-              "-0.00469425, -0.0402029, -0.0444719, -0.0642415, -0.0662671, -0.0787624, -0.101352, -0.106256, -0.116466, -0.117559, -0.113506, -0.103774, -0.0427456, -0.0293245, -0.0170684, -0.0119806, -0.00660781, -0.00287311, -0.00264028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.269776, 0.287605, 0.301924, 0.308342, 0.326941, 0.35021, 0.363952, 0.376839, 0.389391, 0.401928, 0.406858, 0.4111, 0.415967, 0.432276, 0.443422, 0.453484, 0.466929, 0.475689, 0.489857, 0.508749, 0.535735, 0.56873");
-            values ( \
-              "-0.0150218, -0.0236105, -0.0484021, -0.0630792, -0.0931945, -0.12022, -0.130265, -0.136724, -0.138014, -0.133271, -0.128138, -0.121676, -0.111575, -0.0718827, -0.0494708, -0.0341724, -0.0201839, -0.0141604, -0.00785862, -0.00341519, -0.000943437, -0.00019377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.143464, 0.181542, 0.202507, 0.211126, 0.223358, 0.270026, 0.287605, 0.306526, 0.321436, 0.328997, 0.364694, 0.392685, 0.418019, 0.430329, 0.454949, 0.470437, 0.486553, 0.511398, 0.535582, 0.559745, 0.569359, 0.577489, 0.586858, 0.618471, 0.640428, 0.659818, 0.668445, 0.685699, 0.702965, 0.712229, 0.730758, 0.767815, 0.790508");
-            values ( \
-              "-0.00171546, -0.00365042, -0.00743321, -0.00784842, -0.00703439, -0.00127363, -1e-22, -0.000851063, -0.00771094, -0.0134132, -0.0485635, -0.0795835, -0.102437, -0.11193, -0.128093, -0.136045, -0.142525, -0.14916, -0.150794, -0.145344, -0.139699, -0.132428, -0.121174, -0.0778238, -0.0532616, -0.0369327, -0.0311883, -0.0219776, -0.015369, -0.0126541, -0.00850559, -0.00367311, -0.0025213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.243302, 0.436898, 0.486376, 0.531141, 0.591955, 0.628055, 0.688296, 0.741602, 0.791534, 0.840223, 0.888856, 0.908501, 0.924746, 0.943516, 1.01845, 1.05215, 1.09121, 1.10858, 1.14334, 1.1786, 1.19749, 1.23526, 1.31081, 1.3576");
-            values ( \
-              "-0.00268073, -0.0179097, -0.0438812, -0.0707204, -0.101401, -0.116234, -0.13702, -0.148916, -0.156177, -0.157405, -0.152127, -0.145829, -0.138082, -0.126264, -0.0739108, -0.0551917, -0.0383406, -0.0324216, -0.0228908, -0.0159689, -0.0131453, -0.00880927, -0.00379272, -0.00252696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.287614, 0.662936, 0.883705, 0.97863, 1.04279, 1.11452, 1.16654, 1.27648, 1.36661, 1.40518, 1.45662, 1.47933, 1.52477, 1.58046, 1.67539, 1.79772, 1.91962, 1.99783, 2.10703, 2.1786, 2.21701, 2.29384, 2.38877, 2.48369, 2.55163");
-            values ( \
-              "-0.00435879, -0.0208462, -0.0819373, -0.105023, -0.118601, -0.131581, -0.139549, -0.15231, -0.158658, -0.160199, -0.161031, -0.1609, -0.159491, -0.154957, -0.134612, -0.0913035, -0.0555431, -0.0389971, -0.0231007, -0.0162113, -0.0134507, -0.00901834, -0.00556791, -0.00331816, -0.00242838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.339169, 0.346356, 0.350917, 0.357247, 0.36253, 0.367295, 0.371763, 0.376108, 0.380447, 0.383588, 0.39666, 0.39997, 0.404891, 0.412644");
-            values ( \
-              "-0.0178543, -0.0366296, -0.0535558, -0.0686308, -0.078516, -0.0848859, -0.0890285, -0.0902523, -0.0868738, -0.0795772, -0.0263702, -0.0181378, -0.0100702, -0.00408631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.345752, 0.360364, 0.362841, 0.367629, 0.369926, 0.37452, 0.387937, 0.390725, 0.39652, 0.402063, 0.407024, 0.410702, 0.417667, 0.421416, 0.425527, 0.438738, 0.446237, 0.454683, 0.458766, 0.46505, 0.47343, 0.478318");
-            values ( \
-              "-0.00110434, -0.0405552, -0.0448875, -0.0636205, -0.0664637, -0.0787419, -0.101844, -0.104947, -0.111116, -0.115206, -0.117385, -0.117667, -0.113372, -0.107133, -0.0955276, -0.0482622, -0.0294413, -0.0160975, -0.0119085, -0.00742278, -0.00384892, -0.00293546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.360094, 0.379602, 0.392922, 0.399619, 0.407563, 0.426716, 0.442132, 0.455891, 0.468776, 0.481328, 0.494339, 0.498757, 0.503016, 0.507903, 0.524211, 0.535361, 0.54542, 0.549901, 0.558861, 0.567624, 0.572348, 0.581797, 0.600695, 0.627678, 0.660676");
-            values ( \
-              "-0.0114546, -0.0238611, -0.0465017, -0.0613836, -0.075722, -0.103414, -0.119917, -0.130555, -0.136468, -0.138265, -0.132722, -0.128187, -0.121714, -0.111576, -0.0718849, -0.0494677, -0.0341735, -0.0287928, -0.0201878, -0.0141616, -0.011668, -0.00785808, -0.0034129, -0.000943886, -0.000192949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.234714, 0.270302, 0.293316, 0.30191, 0.314521, 0.36022, 0.377432, 0.39576, 0.411139, 0.421068, 0.456672, 0.478045, 0.498007, 0.54921, 0.552128, 0.557966, 0.578563, 0.603385, 0.627506, 0.65281, 0.664365, 0.678772, 0.725402, 0.751622, 0.779501, 0.795225, 0.818944, 0.850569, 0.858659");
-            values ( \
-              "-0.00159871, -0.00334013, -0.00726257, -0.00783446, -0.00710656, -0.00148029, -1e-22, -0.000306365, -0.00624652, -0.0134903, -0.048069, -0.0740287, -0.0928729, -0.13003, -0.130428, -0.13431, -0.142911, -0.149376, -0.150983, -0.144806, -0.137213, -0.121251, -0.0604105, -0.03705, -0.0211905, -0.0152966, -0.00925183, -0.00457235, -0.00405868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.334287, 0.529656, 0.623081, 0.685384, 0.720491, 0.780734, 0.834041, 0.883974, 0.932662, 0.981296, 1.00094, 1.03596, 1.11089, 1.14459, 1.18365, 1.23578, 1.27104, 1.3277, 1.40325, 1.41961");
-            values ( \
-              "-0.00240985, -0.018059, -0.0704427, -0.10185, -0.116231, -0.137018, -0.148915, -0.156177, -0.157405, -0.152127, -0.145829, -0.126264, -0.0739109, -0.0551918, -0.0383407, -0.0228909, -0.015969, -0.00880937, -0.00379266, -0.00335014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.376933, 0.738354, 0.833279, 0.946306, 1.03332, 1.12825, 1.17122, 1.25817, 1.33837, 1.36797, 1.42117, 1.4708, 1.56573, 1.60284, 1.63692, 1.67209, 1.74242, 1.92481, 1.97918, 2.07442, 2.11846, 2.16971, 2.24189, 2.28743, 2.37167, 2.4666, 2.56152, 2.65645, 2.8463");
-            values ( \
-              "-0.00741164, -0.0170231, -0.0418866, -0.0742015, -0.0964681, -0.117333, -0.125559, -0.139545, -0.149365, -0.152284, -0.156461, -0.15923, -0.160982, -0.160103, -0.158265, -0.15496, -0.141659, -0.0795568, -0.0636843, -0.041762, -0.0340308, -0.0266345, -0.0186704, -0.0148902, -0.0097277, -0.0059429, -0.00361039, -0.00219052, -0.000802179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.550738, 0.556046, 0.566568, 0.575447, 0.584689, 0.589036, 0.593379, 0.596539, 0.607549, 0.612673, 0.618033, 0.623949, 0.63071, 0.634342");
-            values ( \
-              "-0.00152876, -0.0278072, -0.0612504, -0.0787953, -0.0892336, -0.0899262, -0.0870361, -0.0794673, -0.0329046, -0.018638, -0.00981719, -0.00472999, -0.0019985, -0.00145344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.559728, 0.568868, 0.576072, 0.579728, 0.593536, 0.602086, 0.609749, 0.616944, 0.623946, 0.63094, 0.636072, 0.653877, 0.659513, 0.667084, 0.679741, 0.685634");
-            values ( \
-              "-0.0101788, -0.028161, -0.0458376, -0.0596784, -0.0887186, -0.102787, -0.110779, -0.116497, -0.117547, -0.113534, -0.10378, -0.0427507, -0.0293294, -0.017073, -0.00661198, -0.00450772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.578838, 0.593038, 0.626959, 0.639901, 0.655284, 0.669038, 0.681924, 0.694475, 0.707012, 0.711948, 0.72105, 0.748504, 0.758567, 0.772012, 0.78077, 0.794938, 0.812529");
-            values ( \
-              "-0.0240628, -0.0244502, -0.0860923, -0.103763, -0.120258, -0.130241, -0.136769, -0.137988, -0.13331, -0.128134, -0.111579, -0.0494711, -0.0341721, -0.0201831, -0.0141599, -0.00785819, -0.00372203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.436707, 0.484955, 0.513485, 0.52924, 0.578049, 0.595372, 0.615087, 0.629333, 0.638554, 0.690368, 0.735395, 0.765215, 0.791701, 0.816509, 0.840691, 0.864845, 0.874561, 0.891958, 0.945519, 0.964913, 0.9908, 1.00806, 1.03584, 1.06387");
-            values ( \
-              "-0.00106085, -0.0032302, -0.00757012, -0.00685719, -0.00100557, -1e-22, -0.00188376, -0.0097191, -0.0172985, -0.0725375, -0.111768, -0.131184, -0.142426, -0.149401, -0.150661, -0.145543, -0.139651, -0.12119, -0.0532678, -0.0369335, -0.0219743, -0.0153688, -0.008507, -0.00485088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.541637, 0.742543, 0.835482, 0.899962, 0.933594, 0.993823, 1.04714, 1.09707, 1.14575, 1.19439, 1.21405, 1.24905, 1.32398, 1.35768, 1.39674, 1.44887, 1.48413, 1.54079, 1.61633, 1.63303");
-            values ( \
-              "-0.00183175, -0.0179847, -0.0700793, -0.102539, -0.116246, -0.137029, -0.148922, -0.156184, -0.157408, -0.15213, -0.145825, -0.126265, -0.0739108, -0.0551923, -0.0383408, -0.0228905, -0.015969, -0.00880953, -0.00379286, -0.00334129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.593422, 0.986043, 1.18884, 1.28376, 1.34798, 1.41969, 1.4717, 1.58164, 1.67168, 1.71047, 1.76218, 1.78447, 1.82906, 1.8856, 1.98052, 2.14631, 2.22476, 2.30296, 2.41215, 2.52215, 2.59899, 2.69392, 2.78884, 2.84185");
-            values ( \
-              "-0.000884632, -0.0253856, -0.082038, -0.10497, -0.118705, -0.131533, -0.139638, -0.152386, -0.158723, -0.160263, -0.16099, -0.160953, -0.159493, -0.155006, -0.134569, -0.0772431, -0.0555752, -0.0390296, -0.0231341, -0.0134218, -0.00904609, -0.00553939, -0.00334576, -0.00262053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.01421, 1.02153, 1.02371, 1.02659, 1.03073, 1.03972, 1.04901, 1.05337, 1.05773, 1.06085, 1.0739, 1.08225, 1.09003");
-            values ( \
-              "-0.00129217, -0.0318282, -0.0355942, -0.0503493, -0.0605005, -0.0783158, -0.088889, -0.0896502, -0.0868196, -0.0794468, -0.0265467, -0.0100037, -0.00400023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.02679, 1.03365, 1.04012, 1.04726, 1.0544, 1.0577, 1.06429, 1.06625, 1.07392, 1.08113, 1.08814, 1.09573, 1.10018, 1.11387, 1.12137, 1.12663, 1.1345, 1.1401, 1.14757, 1.16124, 1.16611");
-            values ( \
-              "-0.0178934, -0.0298664, -0.0451357, -0.0695179, -0.0808055, -0.090213, -0.0982379, -0.102959, -0.111371, -0.115563, -0.118081, -0.11242, -0.103988, -0.0555215, -0.0344288, -0.0239534, -0.0135163, -0.00889408, -0.00497506, -0.00160506, -0.00140492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.04177, 1.05749, 1.07153, 1.08116, 1.08517, 1.09319, 1.11801, 1.1197, 1.12308, 1.13342, 1.14631, 1.15886, 1.17153, 1.17746, 1.18378, 1.21394, 1.22841, 1.23899, 1.25636, 1.27084, 1.27355");
-            values ( \
-              "-0.0191859, -0.0246653, -0.0479969, -0.0704473, -0.07461, -0.089269, -0.119268, -0.119547, -0.123314, -0.130705, -0.136637, -0.138209, -0.132902, -0.126571, -0.115221, -0.0476327, -0.0279049, -0.0182757, -0.00896071, -0.00480952, -0.00445495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.872949, 0.899766, 0.936112, 0.944757, 0.971781, 0.98337, 0.998451, 1.04201, 1.05927, 1.07828, 1.09235, 1.10205, 1.13013, 1.15497, 1.17616, 1.21584, 1.24362, 1.25578, 1.27547, 1.29089, 1.30473, 1.32879, 1.34165, 1.356, 1.39011, 1.40262, 1.42884, 1.44081, 1.45677, 1.47245, 1.4961, 1.52765, 1.56514");
-            values ( \
-              "-0.000629703, -0.00095418, -0.00201832, -0.00253571, -0.00660452, -0.00709969, -0.00611729, -0.00106351, -1e-22, -0.00161832, -0.00893864, -0.0167461, -0.0444284, -0.0728796, -0.0931299, -0.123251, -0.137951, -0.142444, -0.148262, -0.150567, -0.150645, -0.14546, -0.137176, -0.121223, -0.0747904, -0.0604058, -0.0370586, -0.029289, -0.0211631, -0.0152902, -0.00925919, -0.0045922, -0.00219529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("1.01426, 1.20895, 1.32055, 1.36273, 1.39732, 1.45761, 1.51095, 1.56089, 1.60959, 1.65824, 1.67778, 1.69407, 1.7129, 1.77677, 1.82154, 1.8606, 1.87797, 1.91272, 1.94799, 1.96688, 2.00466, 2.08022, 2.17515, 2.27007");
-            values ( \
-              "-0.00170654, -0.0191567, -0.0809538, -0.101842, -0.116204, -0.13684, -0.148954, -0.156044, -0.157469, -0.152018, -0.145851, -0.138109, -0.12625, -0.080935, -0.0551909, -0.0383426, -0.0324194, -0.0228948, -0.0159711, -0.0131427, -0.00881056, -0.00378961, -0.00122683, -0.000412095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("1.04322, 1.42417, 1.65359, 1.74851, 1.81272, 1.88446, 1.93647, 2.04641, 2.07645, 2.13653, 2.17512, 2.22657, 2.24926, 2.29465, 2.35039, 2.44531, 2.56765, 2.62231, 2.68954, 2.76775, 2.80416, 2.87696, 2.94853, 2.98694, 3.06377, 3.15869, 3.25362, 3.34854, 3.53839");
-            values ( \
-              "-0.00512404, -0.018767, -0.0819201, -0.105021, -0.118597, -0.131589, -0.139544, -0.152306, -0.154829, -0.158654, -0.160196, -0.161035, -0.160897, -0.159497, -0.154954, -0.134614, -0.0913013, -0.0737797, -0.0555415, -0.0389953, -0.0329679, -0.0230989, -0.0162096, -0.0134525, -0.0090167, -0.00556964, -0.00331648, -0.0020766, -0.000785521" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00164103, 0.00164104, 0.00164106, 0.00164107, 0.00164108, 0.00164108", \
-            "0.00182821, 0.00182821, 0.00182822, 0.00182822, 0.00182822, 0.00182823", \
-            "0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702", \
-            "0.00198476, 0.00198476, 0.00198475, 0.00198475, 0.00198475, 0.00198475", \
-            "0.00202034, 0.00202034, 0.00202035, 0.00202034, 0.00202034, 0.00202034", \
-            "0.00203926, 0.00203926, 0.00203926, 0.00203926, 0.00203926, 0.00203926" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00215142, 0.00215141, 0.00215141, 0.0021514, 0.0021514, 0.0021514", \
-            "0.00226046, 0.00226044, 0.00226043, 0.00226042, 0.00226042, 0.00226042", \
-            "0.00233526, 0.00233524, 0.00233523, 0.00233521, 0.0023352, 0.00233519", \
-            "0.00244994, 0.00244994, 0.00244994, 0.00244992, 0.00244991, 0.0024499", \
-            "0.00269007, 0.00269008, 0.00269009, 0.0026901, 0.0026901, 0.0026901", \
-            "0.0030857, 0.00308473, 0.00308394, 0.00308344, 0.0030832, 0.00308309" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(CK * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.255018, 0.291638, 0.36241, 0.500858, 0.781976, 1.36901", \
-            "0.258709, 0.295373, 0.366146, 0.504509, 0.785703, 1.37281", \
-            "0.267984, 0.304614, 0.375415, 0.513844, 0.794909, 1.38233", \
-            "0.288586, 0.325114, 0.395907, 0.534312, 0.815471, 1.4031", \
-            "0.317669, 0.354291, 0.425048, 0.563391, 0.844719, 1.43196", \
-            "0.3431, 0.379751, 0.450579, 0.588946, 0.87023, 1.45791" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0349758, 0.0549611, 0.0969786, 0.186373, 0.376262, 0.776245", \
-            "0.0349931, 0.0549493, 0.0969686, 0.186361, 0.376232, 0.776119", \
-            "0.0349667, 0.0549738, 0.0969763, 0.186372, 0.375917, 0.776131", \
-            "0.0350222, 0.0550027, 0.0969285, 0.186372, 0.375906, 0.776056", \
-            "0.0349966, 0.0549637, 0.0969835, 0.18624, 0.376277, 0.776031", \
-            "0.035003, 0.0549968, 0.0969798, 0.186241, 0.376324, 0.776087" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.220928, 0.242334, 0.246243, 0.25221, 0.257585, 0.262753, 0.26792, 0.269728, 0.281244, 0.289233, 0.295717, 0.299444, 0.306061, 0.31592, 0.322265, 0.333047, 0.344446");
-            values ( \
-              "0.000943245, 0.0522955, 0.060346, 0.0700473, 0.07463, 0.0759183, 0.0729407, 0.0703907, 0.0423524, 0.0277595, 0.0195487, 0.0158616, 0.0108827, 0.00613542, 0.00425354, 0.00228345, 0.00124472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.238995, 0.250694, 0.267123, 0.273524, 0.282673, 0.291014, 0.299155, 0.307283, 0.310073, 0.313049, 0.328475, 0.338091, 0.34544, 0.351761, 0.356656, 0.365621, 0.375998, 0.385152, 0.394728, 0.402075, 0.41677, 0.444671, 0.477848");
-            values ( \
-              "0.0168657, 0.0320242, 0.0713418, 0.0833899, 0.0954817, 0.100488, 0.100901, 0.0959589, 0.0925125, 0.0878511, 0.0577544, 0.042386, 0.0329828, 0.0263761, 0.0220552, 0.0157587, 0.0105695, 0.00737002, 0.00505816, 0.00377792, 0.00207715, 0.000603988, 0.000133269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.265818, 0.281143, 0.313503, 0.325329, 0.341052, 0.355601, 0.369967, 0.38481, 0.399519, 0.429189, 0.451702, 0.471879, 0.492804, 0.519561, 0.548469, 0.573557, 0.592173");
-            values ( \
-              "0.0286666, 0.0287775, 0.0871675, 0.102871, 0.116133, 0.120432, 0.119537, 0.112178, 0.0973262, 0.0614904, 0.0402696, 0.026606, 0.0168776, 0.00919322, 0.00470997, 0.00257762, 0.00185515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0709425, 0.130967, 0.169203, 0.196786, 0.278657, 0.290516, 0.302902, 0.337568, 0.387776, 0.423664, 0.453319, 0.481085, 0.508722, 0.53749, 0.667243, 0.70189, 0.745795, 0.797235, 0.872141, 0.883426");
-            values ( \
-              "0.00032478, 0.000554194, 0.00361318, 0.00412573, 0.000104766, 0.000728175, 0.00354637, 0.0259252, 0.0842411, 0.115577, 0.128744, 0.13223, 0.130093, 0.121409, 0.0440667, 0.0303543, 0.01837, 0.00992022, 0.00391879, 0.00355635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.256115, 0.422836, 0.459963, 0.546344, 0.58257, 0.618274, 0.677895, 0.733881, 0.789878, 0.845689, 0.913121, 1.02926, 1.10961, 1.17796, 1.2666, 1.32248, 1.36935, 1.4323, 1.51622, 1.61859, 1.67146");
-            values ( \
-              "0.00374878, 0.01455, 0.0328302, 0.0882978, 0.107366, 0.1213, 0.134472, 0.137896, 0.135071, 0.126521, 0.107436, 0.068486, 0.0462574, 0.0320311, 0.0193096, 0.0138347, 0.010434, 0.00710742, 0.00418583, 0.00217761, 0.00162966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0781078, 0.117589, 0.130415, 0.185919, 0.225413, 0.255679, 0.279988, 0.4709, 0.53097, 0.579278, 0.637355, 0.71479, 0.867795, 0.955372, 1.02294, 1.12531, 1.18656, 1.26116, 1.36354, 1.3765, 1.44822, 1.49702, 1.59463, 1.87842, 2.0373, 2.17392, 2.27097, 2.35951, 2.44211, 2.54448, 2.63923, 2.78909, 2.89147, 3.09621, 3.30096, 3.60808");
-            values ( \
-              "0.000233902, 0.000291044, 0.000793532, 0.00850011, 0.0108386, 0.0107572, 0.0101336, 0.00341053, 0.00399385, 0.00785781, 0.0173943, 0.0378786, 0.0873379, 0.110577, 0.123525, 0.135558, 0.13895, 0.14024, 0.138015, 0.137386, 0.132717, 0.128076, 0.11528, 0.068911, 0.0472039, 0.0330212, 0.0252865, 0.0197019, 0.015524, 0.0115021, 0.0086892, 0.00554491, 0.00407183, 0.00218325, 0.00116766, 0.000450747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.235772, 0.240384, 0.243018, 0.251439, 0.260547, 0.266522, 0.2719, 0.277071, 0.282241, 0.284023, 0.295565, 0.30234, 0.310525, 0.315425, 0.322345, 0.326573, 0.333494, 0.342063, 0.34896, 0.35998");
-            values ( \
-              "0.00728636, 0.0135108, 0.0183299, 0.039776, 0.0602461, 0.0699792, 0.0745915, 0.0758905, 0.0729265, 0.0704184, 0.0423515, 0.0296666, 0.0190202, 0.0144284, 0.0097228, 0.00761214, 0.0050776, 0.00310441, 0.00208766, 0.00116148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.249328, 0.260705, 0.281539, 0.287965, 0.297109, 0.305447, 0.313587, 0.321713, 0.324513, 0.3275, 0.342904, 0.352595, 0.359888, 0.366121, 0.370938, 0.380048, 0.390475, 0.399608, 0.409106, 0.416386, 0.430945, 0.450018");
-            values ( \
-              "0.00643876, 0.0223442, 0.0713774, 0.0834358, 0.0955392, 0.100493, 0.100938, 0.0959456, 0.0925054, 0.0878211, 0.0577578, 0.0422808, 0.0329638, 0.0264422, 0.0221761, 0.0157594, 0.0105499, 0.00736228, 0.00506836, 0.00379566, 0.00209933, 0.00108073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.281302, 0.297437, 0.326928, 0.339641, 0.355381, 0.369931, 0.384295, 0.399137, 0.413866, 0.444303, 0.46637, 0.489328, 0.514623, 0.538608, 0.56987, 0.603216, 0.606525");
-            values ( \
-              "0.0297626, 0.0319884, 0.0857453, 0.10291, 0.116131, 0.120469, 0.119522, 0.112185, 0.0973054, 0.0606414, 0.0400101, 0.024865, 0.0142692, 0.00823735, 0.00397409, 0.00174789, 0.00166206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0855663, 0.138373, 0.184453, 0.212835, 0.294495, 0.306431, 0.319147, 0.348104, 0.4021, 0.437957, 0.467609, 0.495372, 0.523007, 0.551774, 0.681534, 0.716166, 0.760086, 0.811525, 0.886384, 0.897396");
-            values ( \
-              "0.000355335, 0.000280533, 0.00367981, 0.00409787, 0.000101641, 0.000950914, 0.00418904, 0.0223905, 0.0843437, 0.115612, 0.128756, 0.132242, 0.130094, 0.121411, 0.0440638, 0.0303569, 0.0183687, 0.00991956, 0.00392067, 0.00356697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.2698, 0.437178, 0.477589, 0.560671, 0.587774, 0.632671, 0.692259, 0.748235, 0.804223, 0.860034, 0.92747, 1.04381, 1.124, 1.19225, 1.28099, 1.33697, 1.38375, 1.44656, 1.53031, 1.63268, 1.68545");
-            values ( \
-              "0.00366874, 0.0145595, 0.0347862, 0.0882958, 0.102998, 0.121368, 0.134488, 0.137922, 0.13506, 0.12654, 0.107437, 0.0684234, 0.046247, 0.0320404, 0.0193048, 0.0138227, 0.0104315, 0.00711156, 0.00419255, 0.00218166, 0.00163342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.317944, 0.616958, 0.662057, 0.708669, 0.905482, 0.995681, 1.03733, 1.10436, 1.15996, 1.26233, 1.30278, 1.34645, 1.39092, 1.47985, 1.51149, 1.57339, 1.64584, 1.86526, 2.0282, 2.16514, 2.26229, 2.37094, 2.43748, 2.53985, 2.60569, 2.73852, 2.8409, 2.94327, 3.14801, 3.35276, 3.65988");
-            values ( \
-              "0.0106354, 0.0111237, 0.0196933, 0.0318345, 0.0942118, 0.116097, 0.123498, 0.132304, 0.136808, 0.140272, 0.14009, 0.139083, 0.137361, 0.131212, 0.128071, 0.120389, 0.109526, 0.0731658, 0.0500257, 0.0351668, 0.026948, 0.0198648, 0.0164323, 0.0121598, 0.0100355, 0.00674082, 0.00496711, 0.00362357, 0.00193591, 0.00102945, 0.000413806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.271046, 0.281106, 0.295057, 0.302358, 0.306381, 0.310262, 0.314116, 0.316759, 0.322026, 0.331798, 0.340788, 0.346907, 0.351883, 0.358271, 0.362612, 0.371443, 0.376871, 0.387728, 0.405781, 0.417771");
-            values ( \
-              "0.00483803, 0.0267074, 0.0604482, 0.0713901, 0.0745941, 0.0758177, 0.0749506, 0.0727696, 0.063271, 0.0386492, 0.0239196, 0.0171162, 0.0128874, 0.00894314, 0.00696542, 0.00417453, 0.00303902, 0.00161996, 0.000536342, 0.000411798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.289258, 0.298124, 0.315631, 0.322726, 0.331879, 0.340223, 0.348366, 0.35668, 0.359269, 0.362227, 0.373151, 0.379045, 0.387183, 0.394622, 0.401084, 0.406108, 0.414835, 0.425133, 0.434319, 0.44402, 0.451477, 0.466391, 0.494351, 0.527664");
-            values ( \
-              "0.0249229, 0.0281049, 0.06995, 0.0831519, 0.0955983, 0.10031, 0.101035, 0.0957019, 0.0925399, 0.0878963, 0.0660357, 0.0553802, 0.0425602, 0.0330121, 0.0262699, 0.0218604, 0.0157584, 0.010602, 0.00738303, 0.0050418, 0.00374975, 0.00204153, 0.000592326, 0.000129156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.315507, 0.332491, 0.355205, 0.374439, 0.390186, 0.404718, 0.419082, 0.433435, 0.448654, 0.479091, 0.501159, 0.524118, 0.54941, 0.573397, 0.604662, 0.638012, 0.643317");
-            values ( \
-              "0.0272228, 0.0324203, 0.0746728, 0.10301, 0.116011, 0.120567, 0.119412, 0.112607, 0.0973122, 0.0606387, 0.0400069, 0.0248671, 0.0142717, 0.00823941, 0.00397537, 0.00174605, 0.00160881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.120086, 0.176934, 0.218757, 0.246545, 0.327835, 0.339691, 0.35208, 0.386685, 0.436923, 0.47281, 0.502465, 0.530231, 0.557868, 0.586635, 0.716389, 0.751036, 0.794941, 0.84638, 0.921286, 0.93315");
-            values ( \
-              "0.000324483, 0.000394836, 0.00364697, 0.0041151, 0.000100681, 0.000733986, 0.00355187, 0.0258953, 0.0842453, 0.115581, 0.128742, 0.132233, 0.13009, 0.121408, 0.0440668, 0.0303542, 0.01837, 0.00992027, 0.00391875, 0.00353774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.124524, 0.164712, 0.176754, 0.22822, 0.240917, 0.256406, 0.285342, 0.381031, 0.398841, 0.417735, 0.440836, 0.46859, 0.48954, 0.508028, 0.595469, 0.6452, 0.667558, 0.712274, 0.72697, 0.755591, 0.782876, 0.839577, 0.862502, 0.897046, 0.917229, 0.957594, 1.07798, 1.13811, 1.20516, 1.25335, 1.30003, 1.32625, 1.37868, 1.42194, 1.46573, 1.52412, 1.6265, 1.72887, 1.75424");
-            values ( \
-              "0.000283797, 0.000268289, 0.000524668, 0.00617044, 0.00696953, 0.00739504, 0.00696979, 0.00247259, 0.00204704, 0.00255205, 0.00555985, 0.0132914, 0.0222774, 0.0322706, 0.0882305, 0.113185, 0.12131, 0.132138, 0.134324, 0.136876, 0.137656, 0.134901, 0.132122, 0.125835, 0.120927, 0.108888, 0.0685086, 0.0513038, 0.0360892, 0.0275947, 0.0211233, 0.0181238, 0.0132552, 0.0102059, 0.00781915, 0.00544746, 0.00283084, 0.00146305, 0.00132987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.128754, 0.178052, 0.242083, 0.271421, 0.301707, 0.337779, 0.507931, 0.557987, 0.627446, 0.661216, 0.707026, 0.925217, 1.0155, 1.0741, 1.17647, 1.23754, 1.31223, 1.42755, 1.49925, 1.54807, 1.6457, 1.87705, 2.03184, 2.19098, 2.29336, 2.37265, 2.4996, 2.60197, 2.6875, 2.83594, 2.93831, 3.14305, 3.1987");
-            values ( \
-              "0.000237732, 0.000578339, 0.00905905, 0.0107337, 0.0108334, 0.00989657, 0.00373225, 0.00330243, 0.00754832, 0.0123388, 0.0216606, 0.089348, 0.112671, 0.123613, 0.135606, 0.138975, 0.140271, 0.137405, 0.132733, 0.128073, 0.115286, 0.0771373, 0.0542577, 0.0361628, 0.0273838, 0.0219296, 0.0152343, 0.0112918, 0.00875899, 0.00561183, 0.00412706, 0.00221453, 0.00193495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.351335, 0.356315, 0.359719, 0.369892, 0.383384, 0.388767, 0.393942, 0.399281, 0.400819, 0.412406, 0.418847, 0.427875, 0.437266, 0.450829, 0.463565, 0.469835");
-            values ( \
-              "0.00654028, 0.0117313, 0.017797, 0.0440237, 0.0701769, 0.0742336, 0.0761166, 0.0726964, 0.0705359, 0.0424327, 0.0302432, 0.0185373, 0.0108788, 0.00495417, 0.00237974, 0.00197197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.360145, 0.375168, 0.38269, 0.399779, 0.404751, 0.413924, 0.422274, 0.430422, 0.438555, 0.441312, 0.461089, 0.469122, 0.476651, 0.488388, 0.500637, 0.507119, 0.516335, 0.526154, 0.533714, 0.548836, 0.561227");
-            values ( \
-              "0.00173855, 0.0176932, 0.0336054, 0.0740092, 0.0831222, 0.095343, 0.100391, 0.100863, 0.09592, 0.0925373, 0.0554028, 0.0427288, 0.0330479, 0.0216825, 0.0136608, 0.0106326, 0.00739574, 0.00502712, 0.00372288, 0.00200903, 0.00137751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.388336, 0.4088, 0.420582, 0.437309, 0.450163, 0.456538, 0.469288, 0.47222, 0.478082, 0.486751, 0.50112, 0.515488, 0.522777, 0.530553, 0.543789, 0.560115, 0.568997, 0.58273, 0.594746, 0.603408, 0.614603, 0.623707, 0.634879, 0.650632, 0.667272, 0.680058, 0.705629, 0.753343, 0.810058");
-            values ( \
-              "0.0103567, 0.0233142, 0.0432365, 0.0747126, 0.0950752, 0.103024, 0.114199, 0.115907, 0.118471, 0.120405, 0.119339, 0.112555, 0.106117, 0.0974786, 0.0808249, 0.0617462, 0.0525819, 0.0403717, 0.0316702, 0.0264032, 0.020737, 0.0169795, 0.0132188, 0.00921474, 0.00628459, 0.00466341, 0.00252108, 0.00071127, 0.000143197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.200045, 0.259576, 0.317516, 0.346827, 0.403014, 0.414533, 0.427171, 0.441371, 0.461724, 0.518706, 0.554586, 0.584242, 0.612007, 0.639644, 0.668411, 0.798167, 0.832809, 0.876719, 0.928159, 1.00305, 1.01947");
-            values ( \
-              "0.000187153, 0.000432579, 0.00413154, 0.00359181, 0.00024405, 0.000178809, 0.001697, 0.00644792, 0.0197224, 0.0843245, 0.11564, 0.128685, 0.132289, 0.130035, 0.121413, 0.0440672, 0.0303539, 0.0183708, 0.00992123, 0.00391832, 0.00339154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.389849, 0.553937, 0.589599, 0.677626, 0.727243, 0.74968, 0.794554, 0.80909, 0.837706, 0.864994, 0.921694, 0.94465, 0.979163, 1.03932, 1.14929, 1.2379, 1.31341, 1.39423, 1.43599, 1.49514, 1.60288, 1.69626, 1.78842");
-            values ( \
-              "0.00406723, 0.0145181, 0.0319648, 0.0882694, 0.113165, 0.121321, 0.132172, 0.134331, 0.13688, 0.13766, 0.134902, 0.132118, 0.125835, 0.109014, 0.0719189, 0.0468834, 0.0312639, 0.0196988, 0.0153923, 0.0107674, 0.00557063, 0.00307816, 0.00174051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.437114, 0.755282, 1.10742, 1.15428, 1.248, 1.33472, 1.39231, 1.50765, 1.62818, 1.72578, 2.10605, 2.28748, 2.47579, 2.71383, 2.99403, 3.16951");
-            values ( \
-              "0.00738104, 0.0148736, 0.115129, 0.123658, 0.134865, 0.139478, 0.140266, 0.137415, 0.128041, 0.115312, 0.0550259, 0.0345984, 0.0205752, 0.0102568, 0.00441555, 0.00289654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.529555, 0.550149, 0.554155, 0.560128, 0.565508, 0.57068, 0.575909, 0.577633, 0.589177, 0.595949, 0.604139, 0.609043, 0.615952, 0.620174, 0.627099, 0.635674, 0.642577, 0.655181");
-            values ( \
-              "0.002626, 0.0520799, 0.0602593, 0.0700092, 0.0745368, 0.0758993, 0.0728323, 0.070405, 0.0423504, 0.029673, 0.019019, 0.0144246, 0.00972567, 0.007618, 0.0050794, 0.00310397, 0.00208696, 0.00102763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.545081, 0.554328, 0.575224, 0.581548, 0.590698, 0.599039, 0.607182, 0.61531, 0.618095, 0.621066, 0.636503, 0.646081, 0.653457, 0.659821, 0.664756, 0.673647, 0.684, 0.693163, 0.702776, 0.710156, 0.724917, 0.743285");
-            values ( \
-              "0.0127367, 0.0226663, 0.0715497, 0.0833949, 0.0954756, 0.100474, 0.100893, 0.0959533, 0.0925151, 0.0878683, 0.0577556, 0.0424397, 0.0329944, 0.0263444, 0.0219965, 0.0157596, 0.0105803, 0.00737438, 0.00505353, 0.00376974, 0.0020664, 0.00110195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.572289, 0.588875, 0.613984, 0.63321, 0.648948, 0.663495, 0.677861, 0.692705, 0.70741, 0.737069, 0.759589, 0.779807, 0.800678, 0.827448, 0.856395, 0.881519, 0.902899");
-            values ( \
-              "0.0239588, 0.0284942, 0.0745411, 0.102891, 0.116062, 0.120481, 0.119479, 0.112186, 0.0973346, 0.061504, 0.0402742, 0.0265868, 0.0168857, 0.00919517, 0.00470642, 0.00257342, 0.00174504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.363339, 0.435562, 0.474936, 0.496631, 0.529243, 0.58329, 0.595085, 0.607227, 0.623047, 0.647013, 0.695679, 0.719384, 0.73156, 0.755912, 0.771622, 0.788875, 0.816456, 0.845262, 0.877353, 0.932368, 0.974168, 1.01046, 1.05265, 1.10279, 1.15567, 1.20143, 1.23416");
-            values ( \
-              "9.10805e-05, 0.000380425, 0.00347671, 0.00413719, 0.00331327, 0.000141521, 0.000414843, 0.0024634, 0.00880987, 0.0273866, 0.0843568, 0.10707, 0.115613, 0.127043, 0.13069, 0.132103, 0.129936, 0.121282, 0.103196, 0.0668892, 0.0444457, 0.0300885, 0.0185762, 0.0101943, 0.00534217, 0.00299269, 0.00220992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.558444, 0.730728, 0.755752, 0.854562, 0.88882, 0.926526, 0.986153, 1.04214, 1.09814, 1.15632, 1.22138, 1.33744, 1.41785, 1.48625, 1.53296, 1.57484, 1.63069, 1.6776, 1.7406, 1.8246, 1.92697, 1.98087");
-            values ( \
-              "0.00324007, 0.014466, 0.0259205, 0.088163, 0.106469, 0.121213, 0.134526, 0.137826, 0.135132, 0.125967, 0.107433, 0.068512, 0.0462606, 0.0320282, 0.0246047, 0.0193106, 0.0138411, 0.0104339, 0.0071045, 0.004184, 0.00217473, 0.0016178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.377285, 0.435559, 0.49756, 0.520678, 0.543893, 0.570587, 0.622524, 0.764412, 0.814959, 0.886672, 0.956732, 1.01092, 1.1828, 1.23431, 1.33182, 1.43419, 1.49508, 1.56987, 1.68517, 1.75686, 1.80568, 1.90334, 2.13466, 2.28945, 2.44859, 2.55097, 2.63026, 2.75721, 2.85958, 2.94511, 3.09459, 3.19696, 3.40171, 3.54692");
-            values ( \
-              "9.36339e-05, 0.000556093, 0.00881975, 0.010385, 0.0108968, 0.0105676, 0.00904331, 0.00377277, 0.00328538, 0.00772822, 0.0198053, 0.034167, 0.0893251, 0.103563, 0.123696, 0.135656, 0.139004, 0.140292, 0.137416, 0.132741, 0.128079, 0.115283, 0.0771398, 0.0542601, 0.0361661, 0.0273806, 0.0219336, 0.0152385, 0.0112876, 0.00876374, 0.00559877, 0.00410901, 0.00220249, 0.00147523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.910472, 0.914753, 0.917188, 0.927239, 0.93469, 0.939911, 0.942171, 0.946041, 0.947757, 0.951211, 0.956367, 0.958856, 0.969225, 0.976256, 0.984999, 0.993072, 0.99749, 1.00338, 1.0086, 1.01611, 1.02612, 1.0341");
-            values ( \
-              "0.00806883, 0.0140244, 0.0186175, 0.0437603, 0.0602084, 0.0687818, 0.0714202, 0.074468, 0.0752496, 0.0756322, 0.072937, 0.0691572, 0.0433758, 0.0300324, 0.0186985, 0.0118335, 0.00918711, 0.00652146, 0.00481685, 0.00312341, 0.00174254, 0.00122861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("0.92482, 0.934688, 0.955747, 0.962102, 0.971267, 0.979616, 0.987764, 0.995897, 0.998656, 1.0016, 1.01261, 1.01843, 1.02647, 1.03399, 1.04058, 1.04572, 1.05423, 1.06445, 1.07366, 1.08345, 1.09098, 1.10605, 1.13405, 1.16742");
-            values ( \
-              "0.0109846, 0.0221881, 0.0713036, 0.0832085, 0.0953731, 0.100383, 0.100862, 0.0959093, 0.0925328, 0.0879477, 0.0659244, 0.0554062, 0.0427203, 0.0330513, 0.0261816, 0.0216955, 0.0157658, 0.0106389, 0.00740322, 0.00503808, 0.0037345, 0.00202034, 0.00058488, 0.000127832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("0.951944, 0.971032, 1.00087, 1.01388, 1.02962, 1.04417, 1.05853, 1.07338, 1.08807, 1.11767, 1.14021, 1.16055, 1.18125, 1.20804, 1.24412, 1.26811, 1.27883");
-            values ( \
-              "0.0194459, 0.030955, 0.085217, 0.102825, 0.116112, 0.120426, 0.119536, 0.112185, 0.0973579, 0.0615877, 0.0403158, 0.0265482, 0.0169245, 0.00921189, 0.00399493, 0.00224208, 0.00188189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.715523, 0.813058, 0.85348, 0.871717, 0.908495, 0.963993, 0.975864, 0.988018, 1.00359, 1.0278, 1.07639, 1.09988, 1.11227, 1.13706, 1.15148, 1.16959, 1.19718, 1.22601, 1.25808, 1.31871, 1.35654, 1.38943, 1.43511, 1.48652, 1.5439, 1.60112, 1.60824");
-            values ( \
-              "2.96782e-05, 0.000340722, 0.00332642, 0.0040384, 0.00338966, 0.000158305, 0.000408319, 0.00251455, 0.00871111, 0.0274897, 0.0843561, 0.106896, 0.115613, 0.127188, 0.130559, 0.132123, 0.129943, 0.121293, 0.103199, 0.0635133, 0.0437018, 0.0306799, 0.0181941, 0.00982363, 0.00485304, 0.0023156, 0.00218498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.939406, 1.11148, 1.27569, 1.30713, 1.36679, 1.42279, 1.47881, 1.53462, 1.60205, 1.71783, 1.79845, 1.86698, 1.95545, 2.05821, 2.12138, 2.20561, 2.31069");
-            values ( \
-              "0.00328162, 0.0144459, 0.109172, 0.121212, 0.134418, 0.137876, 0.135052, 0.126518, 0.107434, 0.0685982, 0.0462766, 0.0320137, 0.0193189, 0.0104392, 0.00710078, 0.00417317, 0.00260263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.734549, 0.812982, 0.872427, 0.885534, 0.911064, 0.941674, 0.990113, 1.15144, 1.20835, 1.22659, 1.25594, 1.30822, 1.34626, 1.42233, 1.56373, 1.663, 1.71278, 1.81234, 1.83547, 1.88173, 1.95087, 2.05325, 2.0662, 2.1379, 2.18672, 2.28434, 2.5157, 2.67049, 2.74661, 2.82964, 2.93201, 3.0113, 3.13825, 3.24062, 3.32615, 3.47564, 3.57801, 3.78276, 3.9875, 4.29462");
-            values ( \
-              "5.42378e-05, 0.00047164, 0.00817969, 0.00943517, 0.0107124, 0.0107698, 0.00948981, 0.00358793, 0.00357394, 0.00431363, 0.00655885, 0.0138663, 0.0218797, 0.0436251, 0.0893353, 0.114541, 0.123646, 0.135368, 0.136988, 0.139151, 0.140276, 0.137998, 0.137415, 0.132743, 0.128058, 0.115299, 0.0771272, 0.0542485, 0.0448856, 0.0361551, 0.0273908, 0.0219242, 0.0152293, 0.011297, 0.00875508, 0.00559011, 0.00411735, 0.00221081, 0.00118537, 0.000448835" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00185581, 0.00185584, 0.00185586, 0.00185589, 0.0018559, 0.00185591", \
-            "0.00216519, 0.00216519, 0.0021652, 0.0021652, 0.00216521, 0.00216521", \
-            "0.00233246, 0.00233246, 0.00233246, 0.00233245, 0.00233245, 0.00233244", \
-            "0.00245668, 0.00245668, 0.00245667, 0.00245666, 0.00245666, 0.00245666", \
-            "0.0025644, 0.0025644, 0.0025644, 0.00256439, 0.00256439, 0.00256439", \
-            "0.0026551, 0.0026551, 0.0026551, 0.0026551, 0.00265509, 0.00265509" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00240024, 0.0024002, 0.00240018, 0.00240017, 0.00240016, 0.00240016", \
-            "0.00238542, 0.00238538, 0.00238533, 0.0023853, 0.00238528, 0.00238527", \
-            "0.00238462, 0.00238459, 0.00238455, 0.00238452, 0.0023845, 0.00238448", \
-            "0.00256711, 0.00256709, 0.00256707, 0.00256703, 0.002567, 0.00256697", \
-            "0.00300976, 0.00300994, 0.00301019, 0.00301043, 0.00301061, 0.00301071", \
-            "0.00297818, 0.00298539, 0.00298165, 0.00297743, 0.00297648, 0.0029759" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00163697", \
-            "0.00182186", \
-            "0.00192641", \
-            "0.00198497", \
-            "0.00201904", \
-            "0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00214331", \
-            "0.00226562", \
-            "0.00234712", \
-            "0.00249994", \
-            "0.00280111", \
-            "0.00342604" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(!CK * D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.256392, 0.293174, 0.364263, 0.502593, 0.783731, 1.3711", \
-            "0.260026, 0.296952, 0.367981, 0.506405, 0.787445, 1.37487", \
-            "0.269325, 0.306251, 0.377247, 0.515656, 0.796821, 1.38382", \
-            "0.289944, 0.326728, 0.39778, 0.53633, 0.817257, 1.40497", \
-            "0.319069, 0.355929, 0.427014, 0.565497, 0.846673, 1.43415", \
-            "0.345087, 0.381844, 0.453147, 0.591647, 0.87251, 1.46045" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0350036, 0.0550421, 0.0969525, 0.18625, 0.375969, 0.77612", \
-            "0.0350561, 0.055024, 0.0969626, 0.186262, 0.375965, 0.776161", \
-            "0.03509, 0.0550147, 0.0969908, 0.186299, 0.37623, 0.776138", \
-            "0.0350058, 0.0550723, 0.0969532, 0.186354, 0.375979, 0.776065", \
-            "0.0350299, 0.0550408, 0.0969987, 0.186355, 0.376266, 0.776065", \
-            "0.0351693, 0.0550968, 0.0969715, 0.186444, 0.376245, 0.77615" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.223334, 0.23001, 0.23835, 0.247546, 0.253533, 0.258921, 0.264096, 0.269325, 0.270953, 0.282542, 0.288921, 0.298016, 0.307322, 0.314425, 0.320794, 0.333518, 0.344665, 0.345925");
-            values ( \
-              "0.00784062, 0.0184404, 0.0395399, 0.0601005, 0.0698492, 0.074489, 0.075845, 0.0728824, 0.070609, 0.042531, 0.0303872, 0.0185462, 0.0109301, 0.00724367, 0.00498817, 0.00239561, 0.00124882, 0.00119295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.227582, 0.242969, 0.250562, 0.268028, 0.278152, 0.284363, 0.292733, 0.300892, 0.309038, 0.311712, 0.333981, 0.346961, 0.359233, 0.370784, 0.386647, 0.404563, 0.420189, 0.422042");
-            values ( \
-              "0.000498519, 0.0133601, 0.0279303, 0.0690297, 0.0875412, 0.094913, 0.100278, 0.100641, 0.0959524, 0.0926126, 0.0513563, 0.0332378, 0.0213939, 0.0138358, 0.00744061, 0.00366351, 0.00193276, 0.00184212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.260216, 0.279148, 0.291102, 0.30798, 0.320894, 0.327267, 0.340013, 0.342967, 0.348875, 0.357505, 0.371877, 0.386247, 0.393501, 0.401238, 0.414622, 0.430774, 0.439528, 0.453424, 0.465701, 0.474289, 0.4854, 0.494348, 0.505555, 0.521333, 0.538073, 0.550946, 0.576693, 0.612868");
-            values ( \
-              "0.0137336, 0.0226453, 0.0427468, 0.0743526, 0.0948709, 0.102837, 0.114093, 0.115821, 0.118426, 0.120371, 0.11933, 0.112561, 0.106161, 0.0975718, 0.0807384, 0.0618558, 0.0528032, 0.0404187, 0.031537, 0.0263316, 0.0207159, 0.0170203, 0.0132409, 0.00922411, 0.00627626, 0.00464787, 0.0025007, 0.00114256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0708566, 0.127683, 0.163546, 0.186662, 0.20387, 0.223781, 0.270041, 0.282097, 0.295418, 0.313833, 0.336959, 0.398476, 0.425335, 0.4473, 0.454906, 0.469141, 0.482639, 0.511096, 0.526937, 0.539036, 0.563232, 0.618588, 0.659704, 0.706633, 0.74503, 0.766219, 0.796054, 0.827485, 0.851624, 0.8999, 0.937229");
-            values ( \
-              "0.000326893, 0.000338128, 0.00323316, 0.00403562, 0.00399156, 0.00324287, 0.000399218, 0.00011625, 0.00123173, 0.0071642, 0.0235271, 0.0938357, 0.115621, 0.12615, 0.12847, 0.131145, 0.132103, 0.129728, 0.125789, 0.121283, 0.108395, 0.0715309, 0.0483213, 0.0293072, 0.0188788, 0.0147123, 0.0102519, 0.00700104, 0.00520219, 0.00281251, 0.00197848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.264607, 0.424696, 0.453776, 0.548278, 0.596865, 0.620313, 0.667208, 0.704824, 0.735647, 0.79124, 0.812679, 0.849802, 0.914817, 1.02438, 1.10869, 1.1855, 1.2642, 1.30622, 1.36558, 1.47471, 1.5694, 1.65932");
-            values ( \
-              "0.00449693, 0.014558, 0.0282425, 0.0882441, 0.112765, 0.121287, 0.132539, 0.136693, 0.137647, 0.135024, 0.13249, 0.125842, 0.107406, 0.0705592, 0.04688, 0.0310241, 0.0197829, 0.0154365, 0.0107841, 0.00553138, 0.0030296, 0.00174492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0779118, 0.1272, 0.185626, 0.232276, 0.288611, 0.487057, 0.55349, 0.585477, 0.688448, 0.876111, 1.02507, 1.12751, 1.18825, 1.26316, 1.37848, 1.49899, 1.59676, 1.98283, 2.14186, 2.32358, 2.55297, 2.78774, 3.02617");
-            values ( \
-              "0.000238146, 0.000512465, 0.00826044, 0.0108014, 0.00988872, 0.00327585, 0.00516673, 0.00845427, 0.0295622, 0.0892883, 0.123624, 0.135633, 0.138981, 0.140267, 0.137402, 0.128079, 0.115261, 0.0542492, 0.0361656, 0.0219258, 0.011293, 0.00559217, 0.00296952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.234643, 0.241096, 0.243969, 0.258494, 0.267848, 0.273248, 0.278431, 0.283613, 0.286889, 0.294378, 0.302723, 0.310904, 0.321675, 0.335018, 0.348414, 0.351589");
-            values ( \
-              "0.00570777, 0.0121514, 0.0172944, 0.0528819, 0.0695575, 0.0744566, 0.0756756, 0.0729566, 0.0676455, 0.0481179, 0.0312825, 0.0201048, 0.0109268, 0.00502442, 0.00232014, 0.00212228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.24742, 0.261962, 0.278143, 0.289554, 0.298705, 0.307099, 0.315243, 0.323567, 0.326058, 0.348318, 0.361303, 0.373599, 0.385118, 0.400989, 0.418931, 0.43458, 0.439226");
-            values ( \
-              "0.000728554, 0.021786, 0.0601964, 0.0833233, 0.0952366, 0.100021, 0.100926, 0.0956366, 0.0926423, 0.0513719, 0.0332417, 0.0213806, 0.0138428, 0.00744172, 0.00366158, 0.00192824, 0.0017017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.26994, 0.293426, 0.304664, 0.322304, 0.334959, 0.341593, 0.35486, 0.362183, 0.371842, 0.386213, 0.401066, 0.407838, 0.415577, 0.428954, 0.445114, 0.453872, 0.467762, 0.480028, 0.488619, 0.499734, 0.508688, 0.519893, 0.53567, 0.552406, 0.565276, 0.591015, 0.638996, 0.696074");
-            values ( \
-              "0.00681476, 0.0225198, 0.0413534, 0.0742268, 0.0945733, 0.102733, 0.114498, 0.118115, 0.120318, 0.119395, 0.11216, 0.106163, 0.097567, 0.0807443, 0.0618526, 0.0527939, 0.0404178, 0.0315425, 0.0263347, 0.0207169, 0.0170188, 0.0132401, 0.00922385, 0.00627678, 0.00464844, 0.00250169, 0.000699326, 0.000138373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0853692, 0.138799, 0.149771, 0.177348, 0.188299, 0.201475, 0.219027, 0.238944, 0.285051, 0.297207, 0.310443, 0.329961, 0.346771, 0.355553, 0.373116, 0.403802, 0.428191, 0.439694, 0.462701, 0.469265, 0.482392, 0.49699, 0.52489, 0.539654, 0.553382, 0.580839, 0.61419, 0.644353, 0.661641, 0.683622, 0.699565, 0.717322, 0.740848, 0.762178, 0.79062, 0.813604, 0.838921, 0.872676, 0.940187, 1.03291, 1.13535");
-            values ( \
-              "0.000351237, 0.000256853, 0.000814063, 0.00319477, 0.00375933, 0.00405547, 0.00395951, 0.00320933, 0.000347316, 0.000145563, 0.00135852, 0.00811676, 0.0196529, 0.0277408, 0.0475094, 0.0843915, 0.10755, 0.115681, 0.126548, 0.128518, 0.13103, 0.13213, 0.12985, 0.126298, 0.121298, 0.10637, 0.0837186, 0.0645842, 0.0547768, 0.0438694, 0.0370702, 0.0305261, 0.0233952, 0.0182784, 0.0130268, 0.00987135, 0.00725508, 0.00476996, 0.0019632, 0.000522119, 0.00011853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.266601, 0.439004, 0.468588, 0.562592, 0.611558, 0.634637, 0.680795, 0.7206, 0.749966, 0.805542, 0.828103, 0.864122, 0.929137, 1.0387, 1.12301, 1.19983, 1.27852, 1.32054, 1.3799, 1.48904, 1.58373, 1.67499");
-            values ( \
-              "0.00314253, 0.0145514, 0.0285157, 0.0882414, 0.112912, 0.121292, 0.13241, 0.136779, 0.137643, 0.135022, 0.132333, 0.125841, 0.107405, 0.0705604, 0.0468807, 0.0310238, 0.0197829, 0.015437, 0.0107839, 0.00553151, 0.00302914, 0.00172577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.330546, 0.644012, 0.701808, 0.891032, 0.967654, 1.03993, 1.14237, 1.20324, 1.27807, 1.38051, 1.39339, 1.46503, 1.51391, 1.61167, 1.84289, 1.99775, 2.07381, 2.15678, 2.25922, 2.33849, 2.46545, 2.56789, 2.70311, 2.80268, 2.90512, 3.10999, 3.31487, 3.62218");
-            values ( \
-              "0.00746739, 0.0153971, 0.0291636, 0.0893123, 0.109581, 0.123608, 0.135593, 0.138957, 0.14027, 0.13801, 0.137405, 0.132738, 0.128071, 0.115267, 0.0771405, 0.0542534, 0.0448986, 0.0361733, 0.0273757, 0.0219377, 0.0152429, 0.0112809, 0.00755569, 0.00560655, 0.00410477, 0.00219684, 0.00117106, 0.000461978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.269672, 0.278696, 0.28915, 0.30276, 0.308164, 0.313352, 0.320056, 0.332551, 0.342486, 0.348947, 0.357454, 0.369806, 0.384376, 0.386768");
-            values ( \
-              "0.00562907, 0.0168854, 0.0434471, 0.0698624, 0.0739843, 0.0760033, 0.0708088, 0.0409228, 0.0240682, 0.0168937, 0.0103962, 0.00505704, 0.00218246, 0.00196774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.288253, 0.296949, 0.317808, 0.324354, 0.333531, 0.341886, 0.350037, 0.358175, 0.360894, 0.383259, 0.396181, 0.408147, 0.420082, 0.435841, 0.453426, 0.468741, 0.47724");
-            values ( \
-              "0.0152638, 0.0223891, 0.0708485, 0.0830584, 0.0952991, 0.100293, 0.100853, 0.0958862, 0.0925988, 0.0511218, 0.0331453, 0.0215686, 0.0137476, 0.00742243, 0.00370377, 0.00198, 0.00155363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.315905, 0.334756, 0.360108, 0.376265, 0.392007, 0.406561, 0.420927, 0.43528, 0.450442, 0.480031, 0.502587, 0.522996, 0.543623, 0.570454, 0.599593, 0.624896, 0.646512");
-            values ( \
-              "0.0228615, 0.0330911, 0.0797604, 0.102861, 0.11595, 0.120539, 0.119422, 0.112608, 0.0973892, 0.0616155, 0.0403208, 0.0265134, 0.0169278, 0.00920513, 0.00469019, 0.00255249, 0.00172336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.120064, 0.175264, 0.185591, 0.212875, 0.224721, 0.239202, 0.256285, 0.276598, 0.322312, 0.334955, 0.348226, 0.367016, 0.377847, 0.389688, 0.438756, 0.462221, 0.474625, 0.499433, 0.513776, 0.531938, 0.559531, 0.588382, 0.604639, 0.620505, 0.652237, 0.678571, 0.696132, 0.718416, 0.734853, 0.752484, 0.775895, 0.79697, 0.825069, 0.848401, 0.879746, 0.921538, 1.00496, 1.10234");
-            values ( \
-              "0.000326219, 0.000295079, 0.000888374, 0.00323101, 0.00381404, 0.00407581, 0.00390483, 0.00306665, 0.000246404, 0.000237058, 0.00194055, 0.00925963, 0.016457, 0.0269227, 0.0843519, 0.106934, 0.115615, 0.127236, 0.130582, 0.132107, 0.129962, 0.12137, 0.113101, 0.103211, 0.0815938, 0.0650367, 0.0550318, 0.0439478, 0.0369405, 0.0304587, 0.0233722, 0.0183146, 0.0131085, 0.00989084, 0.006749, 0.00399483, 0.00127081, 0.000311876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.124971, 0.175592, 0.224808, 0.255673, 0.291255, 0.401371, 0.422012, 0.448292, 0.488203, 0.59772, 0.669727, 0.729314, 0.78529, 0.841277, 0.899456, 0.964525, 1.08088, 1.16106, 1.2293, 1.31805, 1.42081, 1.48361, 1.56734, 1.66667");
-            values ( \
-              "0.000287915, 0.000409329, 0.00568624, 0.00728824, 0.00670232, 0.00208376, 0.002699, 0.00665025, 0.0205449, 0.0882364, 0.121317, 0.134547, 0.137867, 0.135116, 0.125972, 0.107435, 0.0684164, 0.0462445, 0.0320423, 0.0193031, 0.0104301, 0.0071108, 0.00419432, 0.00270301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.126482, 0.163841, 0.179452, 0.23714, 0.274353, 0.306155, 0.345426, 0.479997, 0.526998, 0.591995, 0.633639, 0.679518, 0.740689, 0.943299, 1.05233, 1.11568, 1.196, 1.29844, 1.31188, 1.33876, 1.38247, 1.42698, 1.51599, 1.54754, 1.60944, 1.68189, 1.90127, 2.07248, 2.18688, 2.27642, 2.37722, 2.51018, 2.61262, 2.76263, 2.86507, 2.96751, 3.17238, 3.37726, 3.68458");
-            values ( \
-              "0.000241441, 0.000270461, 0.000764727, 0.00857871, 0.0107155, 0.0105531, 0.00965605, 0.00455787, 0.00334418, 0.00451235, 0.00836425, 0.0157432, 0.0306036, 0.0946689, 0.119975, 0.129475, 0.136856, 0.140265, 0.140276, 0.140083, 0.139111, 0.13735, 0.131225, 0.128057, 0.120407, 0.109511, 0.0731823, 0.0490188, 0.0365246, 0.0286389, 0.0216386, 0.0147729, 0.0109131, 0.00697759, 0.00513969, 0.00375124, 0.0020041, 0.0010657, 0.000427226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.354602, 0.361154, 0.373863, 0.378697, 0.384686, 0.390074, 0.395249, 0.400479, 0.4021, 0.413696, 0.420038, 0.429206, 0.438477, 0.445553, 0.451932, 0.464743, 0.475971, 0.47709");
-            values ( \
-              "0.00786541, 0.0184704, 0.0500195, 0.0600554, 0.0698768, 0.0744521, 0.0758725, 0.0728591, 0.0706177, 0.0425289, 0.0304466, 0.018508, 0.0109292, 0.00725436, 0.00499312, 0.00238545, 0.00123792, 0.00118876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.359168, 0.374865, 0.406328, 0.415532, 0.423904, 0.432064, 0.442889, 0.465174, 0.478145, 0.490367, 0.501981, 0.517827, 0.53569, 0.552986");
-            values ( \
-              "0.000628305, 0.014587, 0.082824, 0.0949912, 0.100276, 0.100687, 0.0926104, 0.0513192, 0.0332235, 0.0214225, 0.0138212, 0.0074374, 0.00367014, 0.00244019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.390798, 0.410258, 0.422353, 0.4391, 0.452065, 0.458389, 0.471039, 0.474088, 0.480188, 0.488627, 0.502999, 0.51737, 0.524621, 0.532357, 0.545749, 0.561891, 0.570637, 0.584544, 0.596837, 0.60542, 0.616526, 0.625465, 0.636674, 0.652454, 0.6692, 0.682079, 0.707837, 0.743641");
-            values ( \
-              "0.0127607, 0.0226265, 0.0429975, 0.0743492, 0.0949261, 0.102837, 0.11402, 0.115819, 0.118484, 0.120371, 0.119324, 0.112564, 0.106162, 0.0975765, 0.0807319, 0.0618619, 0.0528166, 0.0404212, 0.0315284, 0.0263269, 0.0207145, 0.0170227, 0.0132421, 0.00922464, 0.00627568, 0.00464679, 0.0024994, 0.00115587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.20006, 0.258272, 0.302915, 0.33069, 0.410256, 0.42294, 0.438036, 0.467206, 0.534929, 0.556659, 0.586308, 0.614069, 0.641703, 0.670469, 0.80023, 0.834857, 0.878782, 0.930221, 1.00506, 1.01976");
-            values ( \
-              "0.000208732, 0.000341833, 0.00366611, 0.00404795, 0.000130754, 0.00068703, 0.00426675, 0.0227345, 0.0989668, 0.115565, 0.128818, 0.132199, 0.130148, 0.121408, 0.0440617, 0.0303586, 0.0183675, 0.00991878, 0.00392239, 0.00345024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.392774, 0.555837, 0.583513, 0.679451, 0.727563, 0.751477, 0.799307, 0.834157, 0.866824, 0.922439, 0.961107, 0.980976, 1.04599, 1.15556, 1.23987, 1.31667, 1.39538, 1.4374, 1.49675, 1.60588, 1.70056, 1.78682");
-            values ( \
-              "0.00415694, 0.0145504, 0.0274575, 0.0882431, 0.112568, 0.121282, 0.132695, 0.136575, 0.137652, 0.135026, 0.129791, 0.125845, 0.107406, 0.0705579, 0.0468793, 0.0310246, 0.0197828, 0.015436, 0.0107843, 0.00553129, 0.00303011, 0.00179707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.443107, 0.75787, 1.1094, 1.15611, 1.24953, 1.33715, 1.39415, 1.50949, 1.63001, 1.72774, 2.11386, 2.27289, 2.45461, 2.58157, 2.68401, 2.91878, 3.21804");
-            values ( \
-              "0.00754073, 0.0150444, 0.115183, 0.123624, 0.134864, 0.139522, 0.140234, 0.137386, 0.128066, 0.115268, 0.0542428, 0.0361579, 0.0219175, 0.015222, 0.0113014, 0.00558337, 0.0023087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.530082, 0.55151, 0.555536, 0.56153, 0.566923, 0.572103, 0.577336, 0.578934, 0.590561, 0.596705, 0.601619, 0.606271, 0.615346, 0.622352, 0.628761, 0.641808, 0.653257, 0.654698");
-            values ( \
-              "0.000937448, 0.0518039, 0.0599979, 0.0697968, 0.0743917, 0.0758122, 0.0728181, 0.0706225, 0.0425153, 0.0307622, 0.0236129, 0.018298, 0.0109262, 0.00728251, 0.00500354, 0.00235879, 0.00120748, 0.0011459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.543921, 0.555684, 0.576867, 0.583121, 0.592308, 0.600669, 0.608825, 0.616967, 0.619669, 0.641999, 0.654944, 0.667024, 0.678817, 0.694615, 0.712325, 0.727756, 0.736956");
-            values ( \
-              "0.00544874, 0.0223755, 0.0713185, 0.0829964, 0.0951751, 0.100274, 0.100771, 0.0958986, 0.0925953, 0.0512074, 0.0331788, 0.0215027, 0.0137797, 0.00742873, 0.00368853, 0.00196213, 0.00150494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.573095, 0.592076, 0.622068, 0.6352, 0.650954, 0.665511, 0.679878, 0.694721, 0.709366, 0.738946, 0.761518, 0.782002, 0.802535, 0.829392, 0.858609, 0.883986, 0.901338");
-            values ( \
-              "0.0198368, 0.0305238, 0.0848557, 0.102687, 0.116063, 0.120399, 0.119538, 0.112185, 0.0974119, 0.0616593, 0.0403404, 0.0264842, 0.0169426, 0.00920779, 0.00468341, 0.00254367, 0.00188052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.362139, 0.437331, 0.474992, 0.505747, 0.58947, 0.602453, 0.621058, 0.645775, 0.709205, 0.733388, 0.763055, 0.790818, 0.818452, 0.847218, 0.976981, 1.0116, 1.05553, 1.10697, 1.1818, 1.20087");
-            values ( \
-              "6.7397e-05, 0.000437237, 0.00342112, 0.00406294, 0.000107226, 0.0011229, 0.0068341, 0.0243901, 0.096564, 0.115577, 0.128788, 0.132225, 0.130123, 0.121411, 0.0440612, 0.0303591, 0.0183674, 0.00991881, 0.00392273, 0.00331039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.563422, 0.732761, 0.782899, 0.856306, 0.884359, 0.928343, 0.987952, 1.04394, 1.09993, 1.15811, 1.22318, 1.33931, 1.41966, 1.48802, 1.57666, 1.63253, 1.67941, 1.74236, 1.82629, 1.92873, 1.97681");
-            values ( \
-              "0.00344959, 0.0145618, 0.0407776, 0.0881508, 0.103418, 0.121274, 0.1345, 0.137866, 0.135101, 0.12597, 0.107434, 0.0684881, 0.046257, 0.032031, 0.0193093, 0.0138358, 0.0104337, 0.00710683, 0.00418605, 0.00217601, 0.00167837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.620766, 0.934397, 1.28626, 1.33298, 1.4264, 1.51401, 1.57101, 1.68635, 1.80688, 1.90461, 2.29072, 2.44977, 2.63147, 2.86086, 3.09566, 3.35671");
-            values ( \
-              "0.00767887, 0.0149557, 0.115162, 0.123644, 0.134845, 0.139503, 0.140252, 0.137401, 0.128053, 0.11528, 0.0542415, 0.0361607, 0.0219253, 0.0112934, 0.00559413, 0.00271879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.908848, 0.9184, 0.932354, 0.942743, 0.948167, 0.953366, 0.958565, 0.961514, 0.96999, 0.981471, 0.996645, 1.01007, 1.01964");
-            values ( \
-              "0.0050594, 0.0164291, 0.0502855, 0.0692852, 0.0740727, 0.0755713, 0.0727305, 0.0682112, 0.046368, 0.0254941, 0.0109265, 0.00500306, 0.00305741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("0.919988, 0.936289, 0.964109, 0.97332, 0.981694, 0.989859, 0.998008, 1.00067, 1.0229, 1.0359, 1.04827, 1.05969, 1.07558, 1.09356, 1.10925, 1.11774");
-            values ( \
-              "0.00202205, 0.0214177, 0.0826925, 0.0949988, 0.10014, 0.100706, 0.0958417, 0.0926234, 0.051434, 0.0332704, 0.0213426, 0.0138721, 0.00745346, 0.0036611, 0.00192578, 0.00151236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("0.945526, 0.96834, 0.980453, 0.997233, 1.01022, 1.01655, 1.0292, 1.03225, 1.03837, 1.0468, 1.06117, 1.07555, 1.08278, 1.09049, 1.11243, 1.12866, 1.14268, 1.15021, 1.16367, 1.17766, 1.19477, 1.21055, 1.22101, 1.23824, 1.25361, 1.28434, 1.33612");
-            values ( \
-              "0.00764088, 0.022558, 0.0429234, 0.0742147, 0.0948469, 0.102733, 0.113968, 0.115749, 0.118456, 0.120325, 0.119319, 0.112545, 0.106184, 0.0976374, 0.0704698, 0.0529751, 0.0404595, 0.0348018, 0.0262874, 0.0194252, 0.0132675, 0.00924214, 0.00725897, 0.00486541, 0.00339724, 0.00159448, 0.000392249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.714227, 0.812859, 0.851982, 0.877624, 0.916936, 0.961995, 0.97464, 0.987878, 1.00653, 1.02154, 1.10207, 1.11433, 1.13885, 1.15404, 1.17164, 1.19922, 1.2277, 1.26034, 1.31784, 1.35814, 1.3925, 1.4367, 1.48813, 1.51986, 1.56216, 1.64577, 1.64822");
-            values ( \
-              "2.972e-05, 0.000325001, 0.00317401, 0.00401625, 0.00299436, 0.000280743, 0.000232666, 0.00198658, 0.00916157, 0.0197544, 0.107022, 0.115643, 0.127122, 0.130659, 0.132124, 0.129955, 0.121545, 0.103159, 0.0653809, 0.0440055, 0.030403, 0.0183438, 0.00990733, 0.00672888, 0.00395493, 0.00125477, 0.00123099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.949567, 1.11341, 1.28386, 1.30846, 1.35767, 1.38879, 1.424, 1.47969, 1.53819, 1.58837, 1.78319, 1.87354, 1.95278, 2.05404, 2.16286, 2.25726, 2.2917");
-            values ( \
-              "0.00403658, 0.0145814, 0.111869, 0.120928, 0.132719, 0.136272, 0.137568, 0.134985, 0.125833, 0.112271, 0.050292, 0.0311017, 0.0197522, 0.01077, 0.00554578, 0.00303488, 0.00254471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.735188, 0.809179, 0.821222, 0.882139, 0.918527, 0.988422, 1.12668, 1.1772, 1.21748, 1.2695, 1.33844, 1.5674, 1.66891, 1.71666, 1.81215, 1.89375, 1.95477, 2.07012, 2.14179, 2.19065, 2.28837, 2.51964, 2.67449, 2.83353, 3.01524, 3.14219, 3.24463, 3.33008, 3.47942, 3.6843, 3.80013");
-            values ( \
-              "5.27137e-05, 0.000293625, 0.000808651, 0.00875579, 0.0106757, 0.00963132, 0.00442887, 0.00326293, 0.00373855, 0.00752475, 0.0191009, 0.0891785, 0.114868, 0.123595, 0.134973, 0.139358, 0.140257, 0.137413, 0.132752, 0.128035, 0.115298, 0.0771096, 0.054223, 0.0361418, 0.0219064, 0.0152117, 0.0113122, 0.00873849, 0.00557584, 0.00298198, 0.0021907" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00185584, 0.00185586, 0.00185589, 0.00185592, 0.00185593, 0.00185594", \
-            "0.00216521, 0.00216522, 0.00216522, 0.00216523, 0.00216523, 0.00216524", \
-            "0.00233247, 0.00233246, 0.00233245, 0.00233245, 0.00233245, 0.00233245", \
-            "0.00245666, 0.00245666, 0.00245665, 0.00245664, 0.00245664, 0.00245664", \
-            "0.00256439, 0.00256438, 0.00256438, 0.00256438, 0.00256437, 0.00256437", \
-            "0.00265508, 0.00265508, 0.00265508, 0.00265507, 0.00265507, 0.00265507" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00240023, 0.0024002, 0.00240017, 0.00240016, 0.00240016, 0.00240016", \
-            "0.00238538, 0.00238533, 0.00238529, 0.00238525, 0.00238523, 0.00238522", \
-            "0.00238455, 0.00238453, 0.00238449, 0.00238445, 0.00238443, 0.00238441", \
-            "0.00256657, 0.00256655, 0.00256652, 0.00256649, 0.00256645, 0.00256643", \
-            "0.0029977, 0.00299787, 0.0029981, 0.00299833, 0.00299849, 0.00299858", \
-            "0.00297899, 0.00298549, 0.00298096, 0.00297678, 0.00297576, 0.0029752" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00163697", \
-            "0.00182186", \
-            "0.00192641", \
-            "0.00198497", \
-            "0.00201904", \
-            "0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00214331", \
-            "0.00226562", \
-            "0.00234712", \
-            "0.00249994", \
-            "0.00280111", \
-            "0.00342604" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(!CK * !D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.255645, 0.292481, 0.363607, 0.50192, 0.783109, 1.36996", \
-            "0.259331, 0.296162, 0.367189, 0.505584, 0.786768, 1.37406", \
-            "0.268585, 0.305446, 0.376531, 0.514842, 0.79601, 1.38336", \
-            "0.289208, 0.325938, 0.397109, 0.535432, 0.816633, 1.40379", \
-            "0.318244, 0.355123, 0.426182, 0.56462, 0.845784, 1.43328", \
-            "0.343695, 0.380507, 0.451722, 0.590121, 0.871198, 1.4588" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0350295, 0.0550457, 0.0969751, 0.18623, 0.376226, 0.775983", \
-            "0.035027, 0.0550366, 0.0969526, 0.186197, 0.376227, 0.776114", \
-            "0.034997, 0.0550367, 0.0969751, 0.186229, 0.376258, 0.776035", \
-            "0.0350241, 0.0550567, 0.0969745, 0.18623, 0.376222, 0.776259", \
-            "0.0350184, 0.0550337, 0.0969981, 0.186346, 0.376227, 0.77608", \
-            "0.0351051, 0.0550824, 0.0969875, 0.18636, 0.376439, 0.7761" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.221024, 0.223833, 0.229079, 0.239319, 0.24681, 0.252807, 0.258204, 0.263383, 0.268561, 0.270207, 0.281837, 0.287943, 0.292884, 0.297586, 0.306622, 0.313614, 0.320029, 0.333124, 0.34462, 0.345928");
-            values ( \
-              "0.00638005, 0.00836335, 0.017831, 0.0433836, 0.0599929, 0.0696832, 0.074497, 0.0757484, 0.0729634, 0.0706468, 0.0425203, 0.0308253, 0.0236266, 0.0182571, 0.0109263, 0.00728802, 0.00500438, 0.00235336, 0.00120046, 0.00114487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.228918, 0.243645, 0.246673, 0.250411, 0.263072, 0.271313, 0.274514, 0.279633, 0.283674, 0.292074, 0.30022, 0.308368, 0.311028, 0.313866, 0.325475, 0.333271, 0.338211, 0.346268, 0.353745, 0.358629, 0.364989, 0.370071, 0.376668, 0.385966, 0.396125, 0.403986, 0.419708, 0.430897");
-            values ( \
-              "0.000859252, 0.0157051, 0.0211051, 0.029019, 0.0597629, 0.076855, 0.0830387, 0.0902511, 0.0950281, 0.100114, 0.100772, 0.0958105, 0.0926358, 0.0882676, 0.0651267, 0.0514167, 0.0437826, 0.0332581, 0.0255288, 0.021341, 0.0168109, 0.0138591, 0.010738, 0.00744415, 0.00499097, 0.00365054, 0.00191766, 0.00137462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.258938, 0.278444, 0.28614, 0.307278, 0.321334, 0.326595, 0.335248, 0.342271, 0.356869, 0.371234, 0.386083, 0.392859, 0.400603, 0.422463, 0.430143, 0.438919, 0.452786, 0.465015, 0.473616, 0.484743, 0.49372, 0.50492, 0.520693, 0.537414, 0.550271, 0.575985, 0.611457");
-            values ( \
-              "0.012587, 0.0227322, 0.0351315, 0.0744326, 0.0961041, 0.102941, 0.110899, 0.115865, 0.120285, 0.119484, 0.112156, 0.106172, 0.0975506, 0.0704737, 0.0618413, 0.0527594, 0.0404147, 0.0315599, 0.0263462, 0.0207185, 0.0170118, 0.0132382, 0.00922146, 0.00627728, 0.00465174, 0.00250394, 0.00117033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0709027, 0.12499, 0.164642, 0.189751, 0.209482, 0.228175, 0.272021, 0.284472, 0.297446, 0.316467, 0.331804, 0.356405, 0.388786, 0.412589, 0.424666, 0.448821, 0.465106, 0.481973, 0.509547, 0.520452, 0.538359, 0.570449, 0.625463, 0.667263, 0.703562, 0.72649, 0.745741, 0.766431, 0.79588, 0.825877, 0.848757, 0.894517, 0.94911");
-            values ( \
-              "0.000331988, 0.00030447, 0.00333403, 0.00411499, 0.00382382, 0.00296811, 0.00028338, 0.00018282, 0.00178805, 0.00890827, 0.0196762, 0.045469, 0.0843713, 0.107146, 0.115639, 0.126979, 0.130752, 0.13211, 0.129938, 0.127485, 0.121282, 0.103195, 0.066889, 0.0444451, 0.0300863, 0.0232093, 0.018578, 0.0145477, 0.0101958, 0.00708422, 0.0053419, 0.00299398, 0.00168875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.259239, 0.423993, 0.464065, 0.53456, 0.573395, 0.619513, 0.679104, 0.735079, 0.791066, 0.849245, 0.914313, 1.03068, 1.11085, 1.17909, 1.22585, 1.26784, 1.32383, 1.37059, 1.43339, 1.51711, 1.6195, 1.68827");
-            values ( \
-              "0.00395285, 0.0145656, 0.0345843, 0.080378, 0.102445, 0.121323, 0.134544, 0.137873, 0.135112, 0.125972, 0.107435, 0.0684134, 0.0462441, 0.0320432, 0.0246104, 0.0193031, 0.0138227, 0.0104302, 0.00711117, 0.00419503, 0.00218083, 0.00146785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0779815, 0.11756, 0.13018, 0.189771, 0.209808, 0.22861, 0.244067, 0.296605, 0.331978, 0.432178, 0.479513, 0.544397, 0.584297, 0.630248, 0.691515, 0.896695, 0.939241, 1.01614, 1.0724, 1.13085, 1.17815, 1.24122, 1.2622, 1.30417, 1.37746, 1.47985, 1.49818, 1.53482, 1.6081, 1.84138, 1.92263, 2.02502, 2.09044, 2.19467, 2.27955, 2.33669, 2.41287, 2.51526, 2.58833, 2.65411, 2.74183, 2.84422, 2.94661, 3.049, 3.15139, 3.25379, 3.45857, 3.66335");
-            values ( \
-              "0.000241357, 0.000288174, 0.000739843, 0.00878357, 0.0102454, 0.0107742, 0.0107719, 0.0096306, 0.00845134, 0.00449222, 0.00332934, 0.00460835, 0.00837798, 0.0157727, 0.0306405, 0.0954601, 0.106542, 0.122279, 0.130192, 0.135763, 0.138473, 0.140063, 0.140174, 0.13973, 0.13732, 0.129948, 0.128058, 0.123725, 0.113367, 0.0748107, 0.0624928, 0.0487512, 0.0412789, 0.0312837, 0.0247806, 0.0211064, 0.0169359, 0.0125918, 0.0101192, 0.00832499, 0.00643402, 0.00469101, 0.00346896, 0.00250775, 0.00186512, 0.00133198, 0.000702249, 0.000365972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.235249, 0.238318, 0.243407, 0.257138, 0.261136, 0.267134, 0.272526, 0.277705, 0.282882, 0.284528, 0.296158, 0.302263, 0.307205, 0.311908, 0.320943, 0.327935, 0.33435, 0.347446, 0.358942, 0.359573");
-            values ( \
-              "0.00639759, 0.00869557, 0.0178202, 0.0516811, 0.0599637, 0.0697476, 0.0744593, 0.0757956, 0.0729257, 0.0706523, 0.0425188, 0.0308291, 0.0236253, 0.0182575, 0.0109252, 0.00728711, 0.00500562, 0.00235229, 0.00120134, 0.00117446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.240723, 0.255615, 0.265012, 0.285473, 0.293798, 0.297839, 0.306236, 0.314381, 0.322706, 0.325194, 0.34745, 0.360439, 0.37276, 0.384253, 0.400134, 0.41811, 0.43379, 0.443169");
-            values ( \
-              "0.000404615, 0.0120588, 0.0301177, 0.076864, 0.090255, 0.0950939, 0.100099, 0.100816, 0.0956539, 0.0926332, 0.0513861, 0.0332464, 0.0213651, 0.0138466, 0.00744101, 0.00365647, 0.00192323, 0.00146696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.27445, 0.292755, 0.30485, 0.321542, 0.334511, 0.340825, 0.353453, 0.356522, 0.362661, 0.37106, 0.385433, 0.399803, 0.407058, 0.414796, 0.428178, 0.444333, 0.453088, 0.466984, 0.479258, 0.487846, 0.498959, 0.50791, 0.519116, 0.534894, 0.551633, 0.564505, 0.59025, 0.626078");
-            values ( \
-              "0.0148758, 0.0227442, 0.0431622, 0.0743789, 0.0949742, 0.10284, 0.114027, 0.11581, 0.118514, 0.120356, 0.119336, 0.112546, 0.106156, 0.0975682, 0.0807362, 0.0618519, 0.0527995, 0.0404163, 0.0315382, 0.0263313, 0.020716, 0.0170198, 0.0132399, 0.00922424, 0.00627671, 0.00464771, 0.00250143, 0.00115487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0854814, 0.126542, 0.14423, 0.179519, 0.205079, 0.225311, 0.243584, 0.286312, 0.298719, 0.311656, 0.330452, 0.346059, 0.371025, 0.40303, 0.426977, 0.438913, 0.462784, 0.47991, 0.496217, 0.523782, 0.535786, 0.552609, 0.580951, 0.63743, 0.680754, 0.720567, 0.758309, 0.779587, 0.809487, 0.841168, 0.865524, 0.914236, 0.958791");
-            values ( \
-              "0.000362206, 0.000192557, 0.000470049, 0.00336924, 0.00411426, 0.0037667, 0.00290458, 0.000278302, 0.000187107, 0.00177888, 0.00877434, 0.0196919, 0.0459214, 0.0843816, 0.107249, 0.115651, 0.126874, 0.130825, 0.132114, 0.129928, 0.12719, 0.121272, 0.10567, 0.0682541, 0.0447681, 0.0291646, 0.0189396, 0.0147352, 0.0102684, 0.0069889, 0.00517694, 0.00278385, 0.00179802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.273557, 0.438291, 0.47976, 0.548754, 0.587863, 0.633799, 0.693389, 0.749364, 0.805351, 0.86353, 0.928599, 1.04496, 1.12513, 1.19338, 1.24014, 1.28213, 1.33811, 1.38488, 1.44767, 1.5314, 1.63379, 1.70249");
-            values ( \
-              "0.00395335, 0.0145678, 0.0354281, 0.0803235, 0.102539, 0.121319, 0.134547, 0.137869, 0.135115, 0.125971, 0.107435, 0.068416, 0.0462444, 0.0320429, 0.0246102, 0.0193032, 0.0138233, 0.0104302, 0.0071109, 0.00419482, 0.00218056, 0.0014686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.329439, 0.644183, 0.70415, 0.890017, 0.953305, 1.03898, 1.14137, 1.20224, 1.27706, 1.39237, 1.46405, 1.51289, 1.61057, 1.89429, 2.05316, 2.18979, 2.37537, 2.56036, 2.65508, 2.80859, 3.11576, 3.15777");
-            values ( \
-              "0.0072439, 0.0156406, 0.0300878, 0.0892984, 0.106458, 0.123633, 0.135626, 0.138983, 0.14027, 0.137402, 0.13273, 0.128081, 0.115273, 0.0689079, 0.0472, 0.033027, 0.0196951, 0.0114942, 0.00869875, 0.00549327, 0.00214862, 0.00200971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.27199, 0.278058, 0.281675, 0.288276, 0.29576, 0.301041, 0.303161, 0.307128, 0.309408, 0.312298, 0.317449, 0.320045, 0.322724, 0.330148, 0.334609, 0.339707, 0.347296, 0.352758, 0.358834, 0.363181, 0.372011, 0.377452, 0.388336, 0.40641, 0.428136, 0.455872");
-            values ( \
-              "0.00763121, 0.018007, 0.0262238, 0.043508, 0.060079, 0.0687109, 0.0712309, 0.0744233, 0.0754127, 0.0756208, 0.0729965, 0.0690326, 0.0631159, 0.0437066, 0.0347364, 0.0264193, 0.017464, 0.0127892, 0.00903236, 0.00701716, 0.00419678, 0.00306003, 0.0016143, 0.000537906, 0.00015419, 3.45071e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.275935, 0.290734, 0.299739, 0.320293, 0.328616, 0.332656, 0.341054, 0.349199, 0.357524, 0.360011, 0.382265, 0.395255, 0.407581, 0.419067, 0.43495, 0.452932, 0.468618, 0.479016");
-            values ( \
-              "0.000422835, 0.012523, 0.0299291, 0.0768451, 0.0902371, 0.0951091, 0.100083, 0.100831, 0.0956508, 0.0926343, 0.0513902, 0.033248, 0.0213621, 0.0138481, 0.00744131, 0.00365582, 0.0019224, 0.00141676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.307638, 0.327545, 0.335397, 0.356364, 0.370429, 0.375694, 0.384347, 0.39137, 0.405968, 0.420333, 0.435182, 0.441958, 0.449701, 0.471562, 0.479241, 0.488018, 0.501885, 0.514114, 0.522715, 0.533842, 0.542818, 0.554018, 0.569792, 0.586513, 0.59937, 0.625084, 0.660508");
-            values ( \
-              "0.0118599, 0.0227479, 0.0354148, 0.0744147, 0.0960977, 0.102944, 0.110896, 0.115868, 0.120282, 0.119487, 0.112156, 0.106172, 0.0975507, 0.0704737, 0.0618414, 0.0527595, 0.0404147, 0.0315598, 0.0263462, 0.0207184, 0.0170118, 0.0132382, 0.00922144, 0.00627725, 0.00465177, 0.0025039, 0.00117213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.120044, 0.176614, 0.213796, 0.23879, 0.25839, 0.276898, 0.320953, 0.333402, 0.346358, 0.365483, 0.380896, 0.405607, 0.437882, 0.461727, 0.473763, 0.497836, 0.514364, 0.53107, 0.558641, 0.569863, 0.587456, 0.619546, 0.674558, 0.716359, 0.752661, 0.775588, 0.794836, 0.815526, 0.844977, 0.874975, 0.897856, 0.943618, 0.998159");
-            values ( \
-              "0.000331019, 0.000384213, 0.00333915, 0.00411607, 0.00383262, 0.00298345, 0.000294881, 0.000172265, 0.00175296, 0.00885939, 0.0196704, 0.0455925, 0.0843736, 0.107173, 0.115643, 0.126947, 0.130772, 0.132111, 0.129934, 0.127402, 0.121282, 0.103195, 0.0668894, 0.0444452, 0.0300852, 0.0232093, 0.0185784, 0.0145477, 0.010196, 0.00708428, 0.00534162, 0.00299393, 0.00168988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.12498, 0.176984, 0.224405, 0.249028, 0.277662, 0.401276, 0.422089, 0.448939, 0.486308, 0.596913, 0.668859, 0.728474, 0.784458, 0.840454, 0.898629, 0.963698, 1.07985, 1.16019, 1.22853, 1.31718, 1.41993, 1.48286, 1.56677, 1.66684");
-            values ( \
-              "0.000290766, 0.000513303, 0.00572108, 0.00716279, 0.00713773, 0.0020662, 0.00277662, 0.00697781, 0.0200512, 0.0882448, 0.121266, 0.134517, 0.137856, 0.135113, 0.125969, 0.107434, 0.0684795, 0.0462553, 0.0320331, 0.0193084, 0.0104331, 0.00710713, 0.00418767, 0.00268721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.127549, 0.176706, 0.238957, 0.277595, 0.346008, 0.530731, 0.595936, 0.633649, 0.680338, 0.74259, 0.924993, 0.974158, 1.07416, 1.17655, 1.23711, 1.31211, 1.42742, 1.49908, 1.54793, 1.64562, 1.92932, 2.08819, 2.22482, 2.41041, 2.59539, 2.69011, 2.8449, 3.15207, 3.17923");
-            values ( \
-              "0.000245073, 0.000566407, 0.00874435, 0.01076, 0.00963946, 0.00330577, 0.0047353, 0.00834499, 0.0158679, 0.0310667, 0.0893023, 0.10295, 0.123713, 0.135667, 0.138999, 0.140291, 0.137414, 0.132739, 0.128083, 0.115274, 0.0689096, 0.0472017, 0.0330255, 0.0196966, 0.0114957, 0.00869731, 0.00547036, 0.00214152, 0.00205193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.352139, 0.355483, 0.360296, 0.373169, 0.378006, 0.384003, 0.389394, 0.394572, 0.399749, 0.40139, 0.413025, 0.419093, 0.426934, 0.432167, 0.437809, 0.444788, 0.451208, 0.464346, 0.475518");
-            values ( \
-              "0.00648492, 0.00921567, 0.0177416, 0.0499032, 0.0598902, 0.0698489, 0.0743837, 0.0758799, 0.0728544, 0.0706646, 0.0425173, 0.0308919, 0.0202209, 0.015078, 0.0109242, 0.00729159, 0.0050089, 0.00234677, 0.00123319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.358602, 0.374886, 0.380375, 0.401656, 0.4055, 0.413189, 0.417685, 0.423055, 0.43121, 0.439363, 0.442011, 0.464227, 0.47724, 0.489691, 0.500314, 0.506051, 0.516354, 0.528473, 0.538214, 0.557695, 0.559013");
-            values ( \
-              "0.000656099, 0.0159297, 0.0266319, 0.0757433, 0.0827966, 0.0933828, 0.0973564, 0.100176, 0.100603, 0.0959089, 0.092624, 0.0514845, 0.033286, 0.0212907, 0.0142652, 0.0114368, 0.00761579, 0.00472584, 0.00320161, 0.00142035, 0.00137374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.389602, 0.409548, 0.416978, 0.438379, 0.452443, 0.457705, 0.466357, 0.47338, 0.487978, 0.502343, 0.517192, 0.523967, 0.53171, 0.553573, 0.56125, 0.570024, 0.583894, 0.596128, 0.604728, 0.615853, 0.624826, 0.636027, 0.651801, 0.668524, 0.681383, 0.7071, 0.74201");
-            values ( \
-              "0.0118135, 0.0227273, 0.0346669, 0.0744324, 0.0960904, 0.102954, 0.11089, 0.115876, 0.120277, 0.119493, 0.112154, 0.106173, 0.0975524, 0.0704737, 0.0618429, 0.052764, 0.0404151, 0.0315577, 0.0263444, 0.0207185, 0.017013, 0.0132382, 0.00922204, 0.0062775, 0.00465106, 0.00250393, 0.00119109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.199914, 0.257296, 0.295649, 0.320612, 0.340448, 0.359046, 0.403079, 0.415529, 0.428498, 0.44756, 0.462897, 0.487502, 0.51988, 0.543684, 0.55576, 0.579912, 0.596205, 0.613067, 0.640641, 0.651558, 0.669453, 0.701543, 0.756557, 0.798357, 0.834656, 0.857584, 0.876834, 0.897524, 0.926974, 0.956971, 0.979851, 1.02561, 1.08177");
-            values ( \
-              "0.000214356, 0.000336132, 0.00333673, 0.00411851, 0.00383165, 0.00297798, 0.000287907, 0.000178676, 0.00178143, 0.00890424, 0.0196739, 0.0454718, 0.0843725, 0.107146, 0.11564, 0.126977, 0.130751, 0.132112, 0.129936, 0.127483, 0.121282, 0.103195, 0.0668892, 0.0444453, 0.0300864, 0.0232092, 0.0185779, 0.0145478, 0.0101957, 0.00708412, 0.00534202, 0.00299387, 0.00165142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.390227, 0.55511, 0.594457, 0.66597, 0.703951, 0.750638, 0.810234, 0.866208, 0.922194, 0.980374, 1.04544, 1.16183, 1.24198, 1.31021, 1.35698, 1.39898, 1.45497, 1.50173, 1.5645, 1.6482, 1.7506, 1.81914");
-            values ( \
-              "0.00394247, 0.014561, 0.0341452, 0.080555, 0.102158, 0.121329, 0.134545, 0.137876, 0.135111, 0.125972, 0.107435, 0.0684062, 0.046243, 0.0320442, 0.0246109, 0.0193026, 0.0138213, 0.0104299, 0.00711171, 0.00419576, 0.00218136, 0.00147047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.445536, 0.761202, 1.10098, 1.15445, 1.25684, 1.31809, 1.39269, 1.50803, 1.62855, 1.7262, 2.10914, 2.2742, 2.45203, 2.67414, 2.93223, 3.19099");
-            values ( \
-              "0.00705977, 0.0158837, 0.113651, 0.123507, 0.135553, 0.138947, 0.140236, 0.137383, 0.128077, 0.115272, 0.0546947, 0.0359162, 0.0220135, 0.0115593, 0.0053657, 0.00261045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.538433, 0.54837, 0.55202, 0.553979, 0.555938, 0.557244, 0.558549, 0.56062, 0.56215, 0.564445, 0.566005, 0.566799, 0.567594, 0.569183, 0.570772, 0.571178, 0.571787, 0.572801, 0.573613, 0.574309, 0.575005, 0.576396, 0.577714, 0.579031, 0.580349, 0.581667, 0.585094, 0.587041, 0.588989, 0.591055, 0.591744, 0.593809, 0.596564, 0.597941, 0.599318, 0.603206, 0.605385, 0.607056, 0.608727, 0.610947, 0.613166, 0.615073, 0.616344, 0.616979, 0.619212, 0.621445, 0.623048, 0.626254, 0.627857, 0.63053");
-            values ( \
-              "0.0427188, 0.0466657, 0.0550341, 0.0589374, 0.062481, 0.0646702, 0.0667328, 0.069744, 0.0714301, 0.0733667, 0.0745662, 0.0749138, 0.0751907, 0.0754844, 0.0755908, 0.0755879, 0.075469, 0.0751094, 0.0746764, 0.0740786, 0.0734021, 0.0718131, 0.0700186, 0.0679421, 0.0655834, 0.0629427, 0.0534998, 0.0484808, 0.0438274, 0.0391765, 0.0377932, 0.0339, 0.0293732, 0.0273173, 0.0253998, 0.0205217, 0.0180999, 0.0165333, 0.0150739, 0.0133401, 0.0117177, 0.0104227, 0.0096368, 0.00926705, 0.00818364, 0.00720694, 0.0065866, 0.00548078, 0.00499529, 0.00427994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.542144, 0.554888, 0.575854, 0.58233, 0.591513, 0.599872, 0.608027, 0.616167, 0.618875, 0.641219, 0.654157, 0.666199, 0.678042, 0.69383, 0.711506, 0.726906, 0.735681");
-            values ( \
-              "0.00338457, 0.0223006, 0.0709256, 0.0829911, 0.095241, 0.100256, 0.100819, 0.0958697, 0.092594, 0.0511746, 0.0331643, 0.0215222, 0.0137665, 0.00742477, 0.00369176, 0.00196664, 0.00152938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.571086, 0.586243, 0.595575, 0.623123, 0.634313, 0.650053, 0.664608, 0.678975, 0.693819, 0.700657, 0.708473, 0.738056, 0.747082, 0.760624, 0.772342, 0.781085, 0.792371, 0.801648, 0.812778, 0.828498, 0.845014, 0.85769, 0.883044, 0.930839, 0.987593");
-            values ( \
-              "0.0225717, 0.0228786, 0.038118, 0.0879537, 0.102745, 0.116045, 0.120425, 0.119514, 0.112188, 0.106123, 0.0974014, 0.0616437, 0.0523407, 0.040333, 0.0318277, 0.0264926, 0.0207655, 0.0169375, 0.0131993, 0.00920678, 0.00629708, 0.00468552, 0.00254653, 0.000716944, 0.000143482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.363167, 0.433673, 0.497252, 0.581826, 0.59436, 0.60791, 0.646295, 0.696709, 0.732569, 0.762213, 0.789972, 0.817605, 0.84637, 0.976141, 1.01075, 1.05469, 1.10613, 1.1809, 1.19885");
-            values ( \
-              "9.23832e-05, 0.000373026, 0.00410682, 0.000201857, 0.000318569, 0.00238378, 0.0255742, 0.08436, 0.115648, 0.12877, 0.132266, 0.130092, 0.121417, 0.0440587, 0.0303619, 0.0183667, 0.00991884, 0.00392469, 0.00334818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.569917, 0.732009, 0.77107, 0.842345, 0.881759, 0.927489, 0.987076, 1.04305, 1.09904, 1.15722, 1.22229, 1.33865, 1.41882, 1.48706, 1.53383, 1.57581, 1.6318, 1.67857, 1.74136, 1.82509, 1.92748, 1.99595");
-            values ( \
-              "0.00423424, 0.0145878, 0.0340115, 0.080267, 0.102647, 0.121316, 0.13455, 0.137865, 0.135118, 0.125972, 0.107435, 0.0684154, 0.0462446, 0.0320427, 0.0246105, 0.0193034, 0.0138229, 0.0104304, 0.00711121, 0.00419469, 0.00218087, 0.0014708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.377011, 0.428345, 0.439097, 0.497757, 0.519039, 0.540997, 0.56944, 0.623846, 0.741476, 0.788643, 0.854569, 0.891632, 0.940832, 1.00643, 1.18382, 1.2851, 1.33296, 1.42868, 1.45561, 1.50949, 1.57102, 1.67341, 1.68635, 1.71224, 1.75806, 1.80688, 1.90452, 2.13587, 2.29068, 2.36678, 2.4498, 2.55219, 2.63147, 2.67524, 2.75842, 2.86081, 2.94631, 3.09576, 3.19815, 3.30054, 3.40293, 3.60771, 3.91489");
-            values ( \
-              "9.55973e-05, 0.000303401, 0.000788615, 0.00873561, 0.0102378, 0.0107763, 0.0104664, 0.0090608, 0.00445933, 0.00332516, 0.00471767, 0.00825338, 0.0162146, 0.0325218, 0.0892914, 0.11492, 0.123638, 0.135037, 0.136965, 0.139375, 0.140259, 0.137986, 0.137406, 0.135971, 0.132738, 0.128053, 0.115295, 0.0771271, 0.0542464, 0.0448857, 0.0361565, 0.02739, 0.0219248, 0.0193878, 0.01523, 0.011296, 0.00875597, 0.00559162, 0.00411717, 0.00299942, 0.00221016, 0.00118458, 0.000449344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.907009, 0.913066, 0.916716, 0.940706, 0.946115, 0.951305, 0.956643, 0.959614, 0.97498, 0.984128, 0.993938, 1.00229, 1.01639, 1.04296");
-            values ( \
-              "0.00555114, 0.0105272, 0.0170911, 0.0695751, 0.0741749, 0.0757308, 0.0726416, 0.0679179, 0.0323497, 0.0197308, 0.0113252, 0.00698754, 0.00307857, 0.000740011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("0.918014, 0.932783, 0.934631, 0.941456, 0.951391, 0.96285, 0.970476, 0.975185, 0.980419, 0.98858, 0.996737, 0.999364, 1.00217, 1.01398, 1.02154, 1.02633, 1.03457, 1.04237, 1.04717, 1.05763, 1.06324, 1.07365, 1.08596, 1.09588, 1.11572, 1.1237");
-            values ( \
-              "0.00115337, 0.017218, 0.0204737, 0.0352759, 0.0593944, 0.0827279, 0.093207, 0.0973667, 0.100114, 0.100544, 0.0958841, 0.092627, 0.0883599, 0.0648494, 0.0515944, 0.0441521, 0.033332, 0.0252939, 0.0212144, 0.0143016, 0.0115226, 0.00764047, 0.00470761, 0.00316494, 0.0013817, 0.00110754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("0.948041, 0.967009, 0.995855, 1.0152, 1.02386, 1.03088, 1.04548, 1.05985, 1.0747, 1.08918, 1.11109, 1.12742, 1.14885, 1.16229, 1.17633, 1.19347, 1.20924, 1.22381, 1.23871, 1.25544, 1.2874, 1.28748");
-            values ( \
-              "0.0135901, 0.0227321, 0.0743355, 0.102876, 0.11085, 0.115822, 0.120262, 0.11947, 0.112154, 0.0975996, 0.0704717, 0.0528839, 0.0348283, 0.0263187, 0.0194262, 0.0132605, 0.00923747, 0.00661016, 0.00467475, 0.00315561, 0.0014336, 0.00143194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.715134, 0.804465, 0.811554, 0.816635, 0.823526, 0.845076, 0.852068, 0.862541, 0.878023, 0.898418, 0.917165, 0.947683, 0.960296, 0.97271, 0.985703, 1.00453, 1.0161, 1.0203, 1.0287, 1.04551, 1.07725, 1.1013, 1.11314, 1.13681, 1.14272, 1.15453, 1.17044, 1.198, 1.20429, 1.21068, 1.21588, 1.22629, 1.23677, 1.2472, 1.29949, 1.31291, 1.33079, 1.35577, 1.37643, 1.39241, 1.41516, 1.43424, 1.45497, 1.48445, 1.49733, 1.51457, 1.53755, 1.58351, 1.67335, 1.77574");
-            values ( \
-              "3.03756e-05, 0.000212648, 0.000301726, 0.000497231, 0.000928432, 0.00278844, 0.0032545, 0.00375985, 0.00407942, 0.00378319, 0.00291816, 0.000977754, 0.000301113, 0.000191396, 0.0017737, 0.00871936, 0.0163271, 0.0197293, 0.0274848, 0.0462346, 0.0843841, 0.107312, 0.115658, 0.126798, 0.128512, 0.130876, 0.132125, 0.129927, 0.128631, 0.127012, 0.125434, 0.121612, 0.116553, 0.110688, 0.0760224, 0.0675762, 0.0571672, 0.0444851, 0.0357614, 0.0300102, 0.0231898, 0.0185999, 0.0145634, 0.0101979, 0.00872704, 0.00707495, 0.00533293, 0.00297646, 0.000845136, 0.000185199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.947412, 1.11223, 1.15471, 1.23519, 1.27991, 1.30725, 1.36697, 1.42301, 1.47891, 1.53721, 1.57102, 1.60229, 1.72095, 1.79934, 1.86646, 1.91358, 1.95634, 2.01336, 2.05907, 2.10943, 2.17658, 2.27897, 2.38136, 2.58614");
-            values ( \
-              "0.00391043, 0.0146024, 0.036101, 0.0877913, 0.110779, 0.120992, 0.134366, 0.137791, 0.135077, 0.125954, 0.117204, 0.107426, 0.0677028, 0.0461234, 0.0321515, 0.0246477, 0.0192453, 0.0136928, 0.0103986, 0.00765391, 0.00503446, 0.00262032, 0.00134714, 0.000354315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.732821, 0.815416, 0.876968, 0.916333, 0.984044, 1.16531, 1.21457, 1.26906, 1.32455, 1.39854, 1.56314, 1.71216, 1.81455, 1.87542, 1.95023, 2.06555, 2.18606, 2.28374, 2.66985, 2.82896, 3.01064, 3.23998, 3.47492, 3.76308");
-            values ( \
-              "5.49216e-05, 0.000689048, 0.0087015, 0.0107152, 0.00963043, 0.00335181, 0.00377888, 0.00797877, 0.0170661, 0.0363197, 0.0892676, 0.123637, 0.135637, 0.138992, 0.140268, 0.137399, 0.128086, 0.115268, 0.0542688, 0.0361783, 0.0219445, 0.0112763, 0.00561005, 0.00240367" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0018558, 0.00185583, 0.00185586, 0.00185588, 0.0018559, 0.00185591", \
-            "0.00216519, 0.0021652, 0.0021652, 0.00216521, 0.00216521, 0.00216522", \
-            "0.00233246, 0.00233246, 0.00233246, 0.00233245, 0.00233245, 0.00233244", \
-            "0.00245667, 0.00245667, 0.00245666, 0.00245666, 0.00245665, 0.00245665", \
-            "0.0025644, 0.0025644, 0.00256439, 0.00256439, 0.00256439, 0.00256438", \
-            "0.00265511, 0.0026551, 0.0026551, 0.0026551, 0.0026551, 0.00265509" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00240022, 0.00240018, 0.00240016, 0.00240015, 0.00240015, 0.00240014", \
-            "0.00238542, 0.00238538, 0.00238533, 0.0023853, 0.00238528, 0.00238527", \
-            "0.00238466, 0.00238463, 0.00238459, 0.00238456, 0.00238454, 0.00238452", \
-            "0.00256718, 0.00256717, 0.00256714, 0.0025671, 0.00256706, 0.00256704", \
-            "0.00300952, 0.0030097, 0.00300995, 0.0030102, 0.00301038, 0.00301048", \
-            "0.0029743, 0.00298103, 0.00297679, 0.00297248, 0.00297143, 0.00297088" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00163697", \
-            "0.00182186", \
-            "0.00192641", \
-            "0.00198497", \
-            "0.00201904", \
-            "0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00214331", \
-            "0.00226562", \
-            "0.00234712", \
-            "0.00249994", \
-            "0.00280111", \
-            "0.00342604" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.256392, 0.293174, 0.364263, 0.502593, 0.783731, 1.3711", \
-            "0.260026, 0.296952, 0.367981, 0.506405, 0.787445, 1.37487", \
-            "0.269325, 0.306251, 0.377247, 0.515656, 0.796821, 1.38382", \
-            "0.289944, 0.326728, 0.39778, 0.53633, 0.817257, 1.40497", \
-            "0.319069, 0.355929, 0.427014, 0.565497, 0.846673, 1.43415", \
-            "0.345087, 0.381844, 0.453147, 0.591647, 0.87251, 1.46045" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0350036, 0.0550421, 0.0969525, 0.18625, 0.375969, 0.77612", \
-            "0.0350561, 0.055024, 0.0969626, 0.186262, 0.375965, 0.776161", \
-            "0.03509, 0.0550147, 0.0969908, 0.186299, 0.37623, 0.776138", \
-            "0.0350058, 0.0550723, 0.0969532, 0.186354, 0.375979, 0.776065", \
-            "0.0350299, 0.0550408, 0.0969987, 0.186355, 0.376266, 0.776065", \
-            "0.0351693, 0.0550968, 0.0969715, 0.186444, 0.376245, 0.77615" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.223334, 0.23001, 0.23835, 0.247546, 0.253533, 0.258921, 0.264096, 0.269325, 0.270953, 0.282542, 0.288921, 0.298016, 0.307322, 0.314425, 0.320794, 0.333518, 0.344665, 0.345925");
-            values ( \
-              "0.00784062, 0.0184404, 0.0395399, 0.0601005, 0.0698492, 0.074489, 0.075845, 0.0728824, 0.070609, 0.042531, 0.0303872, 0.0185462, 0.0109301, 0.00724367, 0.00498817, 0.00239561, 0.00124882, 0.00119295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.227582, 0.242969, 0.250562, 0.268028, 0.278152, 0.284363, 0.292733, 0.300892, 0.309038, 0.311712, 0.333981, 0.346961, 0.359233, 0.370784, 0.386647, 0.404563, 0.420189, 0.422042");
-            values ( \
-              "0.000498519, 0.0133601, 0.0279303, 0.0690297, 0.0875412, 0.094913, 0.100278, 0.100641, 0.0959524, 0.0926126, 0.0513563, 0.0332378, 0.0213939, 0.0138358, 0.00744061, 0.00366351, 0.00193276, 0.00184212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.260216, 0.279148, 0.291102, 0.30798, 0.320894, 0.327267, 0.340013, 0.342967, 0.348875, 0.357505, 0.371877, 0.386247, 0.393501, 0.401238, 0.414622, 0.430774, 0.439528, 0.453424, 0.465701, 0.474289, 0.4854, 0.494348, 0.505555, 0.521333, 0.538073, 0.550946, 0.576693, 0.612868");
-            values ( \
-              "0.0137336, 0.0226453, 0.0427468, 0.0743526, 0.0948709, 0.102837, 0.114093, 0.115821, 0.118426, 0.120371, 0.11933, 0.112561, 0.106161, 0.0975718, 0.0807384, 0.0618558, 0.0528032, 0.0404187, 0.031537, 0.0263316, 0.0207159, 0.0170203, 0.0132409, 0.00922411, 0.00627626, 0.00464787, 0.0025007, 0.00114256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0708566, 0.127683, 0.163546, 0.186662, 0.20387, 0.223781, 0.270041, 0.282097, 0.295418, 0.313833, 0.336959, 0.398476, 0.425335, 0.4473, 0.454906, 0.469141, 0.482639, 0.511096, 0.526937, 0.539036, 0.563232, 0.618588, 0.659704, 0.706633, 0.74503, 0.766219, 0.796054, 0.827485, 0.851624, 0.8999, 0.937229");
-            values ( \
-              "0.000326893, 0.000338128, 0.00323316, 0.00403562, 0.00399156, 0.00324287, 0.000399218, 0.00011625, 0.00123173, 0.0071642, 0.0235271, 0.0938357, 0.115621, 0.12615, 0.12847, 0.131145, 0.132103, 0.129728, 0.125789, 0.121283, 0.108395, 0.0715309, 0.0483213, 0.0293072, 0.0188788, 0.0147123, 0.0102519, 0.00700104, 0.00520219, 0.00281251, 0.00197848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.264607, 0.424696, 0.453776, 0.548278, 0.596865, 0.620313, 0.667208, 0.704824, 0.735647, 0.79124, 0.812679, 0.849802, 0.914817, 1.02438, 1.10869, 1.1855, 1.2642, 1.30622, 1.36558, 1.47471, 1.5694, 1.65932");
-            values ( \
-              "0.00449693, 0.014558, 0.0282425, 0.0882441, 0.112765, 0.121287, 0.132539, 0.136693, 0.137647, 0.135024, 0.13249, 0.125842, 0.107406, 0.0705592, 0.04688, 0.0310241, 0.0197829, 0.0154365, 0.0107841, 0.00553138, 0.0030296, 0.00174492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0779118, 0.1272, 0.185626, 0.232276, 0.288611, 0.487057, 0.55349, 0.585477, 0.688448, 0.876111, 1.02507, 1.12751, 1.18825, 1.26316, 1.37848, 1.49899, 1.59676, 1.98283, 2.14186, 2.32358, 2.55297, 2.78774, 3.02617");
-            values ( \
-              "0.000238146, 0.000512465, 0.00826044, 0.0108014, 0.00988872, 0.00327585, 0.00516673, 0.00845427, 0.0295622, 0.0892883, 0.123624, 0.135633, 0.138981, 0.140267, 0.137402, 0.128079, 0.115261, 0.0542492, 0.0361656, 0.0219258, 0.011293, 0.00559217, 0.00296952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.234643, 0.241096, 0.243969, 0.258494, 0.267848, 0.273248, 0.278431, 0.283613, 0.286889, 0.294378, 0.302723, 0.310904, 0.321675, 0.335018, 0.348414, 0.351589");
-            values ( \
-              "0.00570777, 0.0121514, 0.0172944, 0.0528819, 0.0695575, 0.0744566, 0.0756756, 0.0729566, 0.0676455, 0.0481179, 0.0312825, 0.0201048, 0.0109268, 0.00502442, 0.00232014, 0.00212228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.24742, 0.261962, 0.278143, 0.289554, 0.298705, 0.307099, 0.315243, 0.323567, 0.326058, 0.348318, 0.361303, 0.373599, 0.385118, 0.400989, 0.418931, 0.43458, 0.439226");
-            values ( \
-              "0.000728554, 0.021786, 0.0601964, 0.0833233, 0.0952366, 0.100021, 0.100926, 0.0956366, 0.0926423, 0.0513719, 0.0332417, 0.0213806, 0.0138428, 0.00744172, 0.00366158, 0.00192824, 0.0017017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.26994, 0.293426, 0.304664, 0.322304, 0.334959, 0.341593, 0.35486, 0.362183, 0.371842, 0.386213, 0.401066, 0.407838, 0.415577, 0.428954, 0.445114, 0.453872, 0.467762, 0.480028, 0.488619, 0.499734, 0.508688, 0.519893, 0.53567, 0.552406, 0.565276, 0.591015, 0.638996, 0.696074");
-            values ( \
-              "0.00681476, 0.0225198, 0.0413534, 0.0742268, 0.0945733, 0.102733, 0.114498, 0.118115, 0.120318, 0.119395, 0.11216, 0.106163, 0.097567, 0.0807443, 0.0618526, 0.0527939, 0.0404178, 0.0315425, 0.0263347, 0.0207169, 0.0170188, 0.0132401, 0.00922385, 0.00627678, 0.00464844, 0.00250169, 0.000699326, 0.000138373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0853692, 0.138799, 0.149771, 0.177348, 0.188299, 0.201475, 0.219027, 0.238944, 0.285051, 0.297207, 0.310443, 0.329961, 0.346771, 0.355553, 0.373116, 0.403802, 0.428191, 0.439694, 0.462701, 0.469265, 0.482392, 0.49699, 0.52489, 0.539654, 0.553382, 0.580839, 0.61419, 0.644353, 0.661641, 0.683622, 0.699565, 0.717322, 0.740848, 0.762178, 0.79062, 0.813604, 0.838921, 0.872676, 0.940187, 1.03291, 1.13535");
-            values ( \
-              "0.000351237, 0.000256853, 0.000814063, 0.00319477, 0.00375933, 0.00405547, 0.00395951, 0.00320933, 0.000347316, 0.000145563, 0.00135852, 0.00811676, 0.0196529, 0.0277408, 0.0475094, 0.0843915, 0.10755, 0.115681, 0.126548, 0.128518, 0.13103, 0.13213, 0.12985, 0.126298, 0.121298, 0.10637, 0.0837186, 0.0645842, 0.0547768, 0.0438694, 0.0370702, 0.0305261, 0.0233952, 0.0182784, 0.0130268, 0.00987135, 0.00725508, 0.00476996, 0.0019632, 0.000522119, 0.00011853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.266601, 0.439004, 0.468588, 0.562592, 0.611558, 0.634637, 0.680795, 0.7206, 0.749966, 0.805542, 0.828103, 0.864122, 0.929137, 1.0387, 1.12301, 1.19983, 1.27852, 1.32054, 1.3799, 1.48904, 1.58373, 1.67499");
-            values ( \
-              "0.00314253, 0.0145514, 0.0285157, 0.0882414, 0.112912, 0.121292, 0.13241, 0.136779, 0.137643, 0.135022, 0.132333, 0.125841, 0.107405, 0.0705604, 0.0468807, 0.0310238, 0.0197829, 0.015437, 0.0107839, 0.00553151, 0.00302914, 0.00172577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.330546, 0.644012, 0.701808, 0.891032, 0.967654, 1.03993, 1.14237, 1.20324, 1.27807, 1.38051, 1.39339, 1.46503, 1.51391, 1.61167, 1.84289, 1.99775, 2.07381, 2.15678, 2.25922, 2.33849, 2.46545, 2.56789, 2.70311, 2.80268, 2.90512, 3.10999, 3.31487, 3.62218");
-            values ( \
-              "0.00746739, 0.0153971, 0.0291636, 0.0893123, 0.109581, 0.123608, 0.135593, 0.138957, 0.14027, 0.13801, 0.137405, 0.132738, 0.128071, 0.115267, 0.0771405, 0.0542534, 0.0448986, 0.0361733, 0.0273757, 0.0219377, 0.0152429, 0.0112809, 0.00755569, 0.00560655, 0.00410477, 0.00219684, 0.00117106, 0.000461978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.269672, 0.278696, 0.28915, 0.30276, 0.308164, 0.313352, 0.320056, 0.332551, 0.342486, 0.348947, 0.357454, 0.369806, 0.384376, 0.386768");
-            values ( \
-              "0.00562907, 0.0168854, 0.0434471, 0.0698624, 0.0739843, 0.0760033, 0.0708088, 0.0409228, 0.0240682, 0.0168937, 0.0103962, 0.00505704, 0.00218246, 0.00196774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.288253, 0.296949, 0.317808, 0.324354, 0.333531, 0.341886, 0.350037, 0.358175, 0.360894, 0.383259, 0.396181, 0.408147, 0.420082, 0.435841, 0.453426, 0.468741, 0.47724");
-            values ( \
-              "0.0152638, 0.0223891, 0.0708485, 0.0830584, 0.0952991, 0.100293, 0.100853, 0.0958862, 0.0925988, 0.0511218, 0.0331453, 0.0215686, 0.0137476, 0.00742243, 0.00370377, 0.00198, 0.00155363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.315905, 0.334756, 0.360108, 0.376265, 0.392007, 0.406561, 0.420927, 0.43528, 0.450442, 0.480031, 0.502587, 0.522996, 0.543623, 0.570454, 0.599593, 0.624896, 0.646512");
-            values ( \
-              "0.0228615, 0.0330911, 0.0797604, 0.102861, 0.11595, 0.120539, 0.119422, 0.112608, 0.0973892, 0.0616155, 0.0403208, 0.0265134, 0.0169278, 0.00920513, 0.00469019, 0.00255249, 0.00172336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.120064, 0.175264, 0.185591, 0.212875, 0.224721, 0.239202, 0.256285, 0.276598, 0.322312, 0.334955, 0.348226, 0.367016, 0.377847, 0.389688, 0.438756, 0.462221, 0.474625, 0.499433, 0.513776, 0.531938, 0.559531, 0.588382, 0.604639, 0.620505, 0.652237, 0.678571, 0.696132, 0.718416, 0.734853, 0.752484, 0.775895, 0.79697, 0.825069, 0.848401, 0.879746, 0.921538, 1.00496, 1.10234");
-            values ( \
-              "0.000326219, 0.000295079, 0.000888374, 0.00323101, 0.00381404, 0.00407581, 0.00390483, 0.00306665, 0.000246404, 0.000237058, 0.00194055, 0.00925963, 0.016457, 0.0269227, 0.0843519, 0.106934, 0.115615, 0.127236, 0.130582, 0.132107, 0.129962, 0.12137, 0.113101, 0.103211, 0.0815938, 0.0650367, 0.0550318, 0.0439478, 0.0369405, 0.0304587, 0.0233722, 0.0183146, 0.0131085, 0.00989084, 0.006749, 0.00399483, 0.00127081, 0.000311876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.124971, 0.175592, 0.224808, 0.255673, 0.291255, 0.401371, 0.422012, 0.448292, 0.488203, 0.59772, 0.669727, 0.729314, 0.78529, 0.841277, 0.899456, 0.964525, 1.08088, 1.16106, 1.2293, 1.31805, 1.42081, 1.48361, 1.56734, 1.66667");
-            values ( \
-              "0.000287915, 0.000409329, 0.00568624, 0.00728824, 0.00670232, 0.00208376, 0.002699, 0.00665025, 0.0205449, 0.0882364, 0.121317, 0.134547, 0.137867, 0.135116, 0.125972, 0.107435, 0.0684164, 0.0462445, 0.0320423, 0.0193031, 0.0104301, 0.0071108, 0.00419432, 0.00270301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.126482, 0.163841, 0.179452, 0.23714, 0.274353, 0.306155, 0.345426, 0.479997, 0.526998, 0.591995, 0.633639, 0.679518, 0.740689, 0.943299, 1.05233, 1.11568, 1.196, 1.29844, 1.31188, 1.33876, 1.38247, 1.42698, 1.51599, 1.54754, 1.60944, 1.68189, 1.90127, 2.07248, 2.18688, 2.27642, 2.37722, 2.51018, 2.61262, 2.76263, 2.86507, 2.96751, 3.17238, 3.37726, 3.68458");
-            values ( \
-              "0.000241441, 0.000270461, 0.000764727, 0.00857871, 0.0107155, 0.0105531, 0.00965605, 0.00455787, 0.00334418, 0.00451235, 0.00836425, 0.0157432, 0.0306036, 0.0946689, 0.119975, 0.129475, 0.136856, 0.140265, 0.140276, 0.140083, 0.139111, 0.13735, 0.131225, 0.128057, 0.120407, 0.109511, 0.0731823, 0.0490188, 0.0365246, 0.0286389, 0.0216386, 0.0147729, 0.0109131, 0.00697759, 0.00513969, 0.00375124, 0.0020041, 0.0010657, 0.000427226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.354602, 0.361154, 0.373863, 0.378697, 0.384686, 0.390074, 0.395249, 0.400479, 0.4021, 0.413696, 0.420038, 0.429206, 0.438477, 0.445553, 0.451932, 0.464743, 0.475971, 0.47709");
-            values ( \
-              "0.00786541, 0.0184704, 0.0500195, 0.0600554, 0.0698768, 0.0744521, 0.0758725, 0.0728591, 0.0706177, 0.0425289, 0.0304466, 0.018508, 0.0109292, 0.00725436, 0.00499312, 0.00238545, 0.00123792, 0.00118876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.359168, 0.374865, 0.406328, 0.415532, 0.423904, 0.432064, 0.442889, 0.465174, 0.478145, 0.490367, 0.501981, 0.517827, 0.53569, 0.552986");
-            values ( \
-              "0.000628305, 0.014587, 0.082824, 0.0949912, 0.100276, 0.100687, 0.0926104, 0.0513192, 0.0332235, 0.0214225, 0.0138212, 0.0074374, 0.00367014, 0.00244019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.390798, 0.410258, 0.422353, 0.4391, 0.452065, 0.458389, 0.471039, 0.474088, 0.480188, 0.488627, 0.502999, 0.51737, 0.524621, 0.532357, 0.545749, 0.561891, 0.570637, 0.584544, 0.596837, 0.60542, 0.616526, 0.625465, 0.636674, 0.652454, 0.6692, 0.682079, 0.707837, 0.743641");
-            values ( \
-              "0.0127607, 0.0226265, 0.0429975, 0.0743492, 0.0949261, 0.102837, 0.11402, 0.115819, 0.118484, 0.120371, 0.119324, 0.112564, 0.106162, 0.0975765, 0.0807319, 0.0618619, 0.0528166, 0.0404212, 0.0315284, 0.0263269, 0.0207145, 0.0170227, 0.0132421, 0.00922464, 0.00627568, 0.00464679, 0.0024994, 0.00115587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.20006, 0.258272, 0.302915, 0.33069, 0.410256, 0.42294, 0.438036, 0.467206, 0.534929, 0.556659, 0.586308, 0.614069, 0.641703, 0.670469, 0.80023, 0.834857, 0.878782, 0.930221, 1.00506, 1.01976");
-            values ( \
-              "0.000208732, 0.000341833, 0.00366611, 0.00404795, 0.000130754, 0.00068703, 0.00426675, 0.0227345, 0.0989668, 0.115565, 0.128818, 0.132199, 0.130148, 0.121408, 0.0440617, 0.0303586, 0.0183675, 0.00991878, 0.00392239, 0.00345024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.392774, 0.555837, 0.583513, 0.679451, 0.727563, 0.751477, 0.799307, 0.834157, 0.866824, 0.922439, 0.961107, 0.980976, 1.04599, 1.15556, 1.23987, 1.31667, 1.39538, 1.4374, 1.49675, 1.60588, 1.70056, 1.78682");
-            values ( \
-              "0.00415694, 0.0145504, 0.0274575, 0.0882431, 0.112568, 0.121282, 0.132695, 0.136575, 0.137652, 0.135026, 0.129791, 0.125845, 0.107406, 0.0705579, 0.0468793, 0.0310246, 0.0197828, 0.015436, 0.0107843, 0.00553129, 0.00303011, 0.00179707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.443107, 0.75787, 1.1094, 1.15611, 1.24953, 1.33715, 1.39415, 1.50949, 1.63001, 1.72774, 2.11386, 2.27289, 2.45461, 2.58157, 2.68401, 2.91878, 3.21804");
-            values ( \
-              "0.00754073, 0.0150444, 0.115183, 0.123624, 0.134864, 0.139522, 0.140234, 0.137386, 0.128066, 0.115268, 0.0542428, 0.0361579, 0.0219175, 0.015222, 0.0113014, 0.00558337, 0.0023087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.530082, 0.55151, 0.555536, 0.56153, 0.566923, 0.572103, 0.577336, 0.578934, 0.590561, 0.596705, 0.601619, 0.606271, 0.615346, 0.622352, 0.628761, 0.641808, 0.653257, 0.654698");
-            values ( \
-              "0.000937448, 0.0518039, 0.0599979, 0.0697968, 0.0743917, 0.0758122, 0.0728181, 0.0706225, 0.0425153, 0.0307622, 0.0236129, 0.018298, 0.0109262, 0.00728251, 0.00500354, 0.00235879, 0.00120748, 0.0011459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.543921, 0.555684, 0.576867, 0.583121, 0.592308, 0.600669, 0.608825, 0.616967, 0.619669, 0.641999, 0.654944, 0.667024, 0.678817, 0.694615, 0.712325, 0.727756, 0.736956");
-            values ( \
-              "0.00544874, 0.0223755, 0.0713185, 0.0829964, 0.0951751, 0.100274, 0.100771, 0.0958986, 0.0925953, 0.0512074, 0.0331788, 0.0215027, 0.0137797, 0.00742873, 0.00368853, 0.00196213, 0.00150494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.573095, 0.592076, 0.622068, 0.6352, 0.650954, 0.665511, 0.679878, 0.694721, 0.709366, 0.738946, 0.761518, 0.782002, 0.802535, 0.829392, 0.858609, 0.883986, 0.901338");
-            values ( \
-              "0.0198368, 0.0305238, 0.0848557, 0.102687, 0.116063, 0.120399, 0.119538, 0.112185, 0.0974119, 0.0616593, 0.0403404, 0.0264842, 0.0169426, 0.00920779, 0.00468341, 0.00254367, 0.00188052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.362139, 0.437331, 0.474992, 0.505747, 0.58947, 0.602453, 0.621058, 0.645775, 0.709205, 0.733388, 0.763055, 0.790818, 0.818452, 0.847218, 0.976981, 1.0116, 1.05553, 1.10697, 1.1818, 1.20087");
-            values ( \
-              "6.7397e-05, 0.000437237, 0.00342112, 0.00406294, 0.000107226, 0.0011229, 0.0068341, 0.0243901, 0.096564, 0.115577, 0.128788, 0.132225, 0.130123, 0.121411, 0.0440612, 0.0303591, 0.0183674, 0.00991881, 0.00392273, 0.00331039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.563422, 0.732761, 0.782899, 0.856306, 0.884359, 0.928343, 0.987952, 1.04394, 1.09993, 1.15811, 1.22318, 1.33931, 1.41966, 1.48802, 1.57666, 1.63253, 1.67941, 1.74236, 1.82629, 1.92873, 1.97681");
-            values ( \
-              "0.00344959, 0.0145618, 0.0407776, 0.0881508, 0.103418, 0.121274, 0.1345, 0.137866, 0.135101, 0.12597, 0.107434, 0.0684881, 0.046257, 0.032031, 0.0193093, 0.0138358, 0.0104337, 0.00710683, 0.00418605, 0.00217601, 0.00167837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.620766, 0.934397, 1.28626, 1.33298, 1.4264, 1.51401, 1.57101, 1.68635, 1.80688, 1.90461, 2.29072, 2.44977, 2.63147, 2.86086, 3.09566, 3.35671");
-            values ( \
-              "0.00767887, 0.0149557, 0.115162, 0.123644, 0.134845, 0.139503, 0.140252, 0.137401, 0.128053, 0.11528, 0.0542415, 0.0361607, 0.0219253, 0.0112934, 0.00559413, 0.00271879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.908848, 0.9184, 0.932354, 0.942743, 0.948167, 0.953366, 0.958565, 0.961514, 0.96999, 0.981471, 0.996645, 1.01007, 1.01964");
-            values ( \
-              "0.0050594, 0.0164291, 0.0502855, 0.0692852, 0.0740727, 0.0755713, 0.0727305, 0.0682112, 0.046368, 0.0254941, 0.0109265, 0.00500306, 0.00305741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("0.919988, 0.936289, 0.964109, 0.97332, 0.981694, 0.989859, 0.998008, 1.00067, 1.0229, 1.0359, 1.04827, 1.05969, 1.07558, 1.09356, 1.10925, 1.11774");
-            values ( \
-              "0.00202205, 0.0214177, 0.0826925, 0.0949988, 0.10014, 0.100706, 0.0958417, 0.0926234, 0.051434, 0.0332704, 0.0213426, 0.0138721, 0.00745346, 0.0036611, 0.00192578, 0.00151236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("0.945526, 0.96834, 0.980453, 0.997233, 1.01022, 1.01655, 1.0292, 1.03225, 1.03837, 1.0468, 1.06117, 1.07555, 1.08278, 1.09049, 1.11243, 1.12866, 1.14268, 1.15021, 1.16367, 1.17766, 1.19477, 1.21055, 1.22101, 1.23824, 1.25361, 1.28434, 1.33612");
-            values ( \
-              "0.00764088, 0.022558, 0.0429234, 0.0742147, 0.0948469, 0.102733, 0.113968, 0.115749, 0.118456, 0.120325, 0.119319, 0.112545, 0.106184, 0.0976374, 0.0704698, 0.0529751, 0.0404595, 0.0348018, 0.0262874, 0.0194252, 0.0132675, 0.00924214, 0.00725897, 0.00486541, 0.00339724, 0.00159448, 0.000392249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.714227, 0.812859, 0.851982, 0.877624, 0.916936, 0.961995, 0.97464, 0.987878, 1.00653, 1.02154, 1.10207, 1.11433, 1.13885, 1.15404, 1.17164, 1.19922, 1.2277, 1.26034, 1.31784, 1.35814, 1.3925, 1.4367, 1.48813, 1.51986, 1.56216, 1.64577, 1.64822");
-            values ( \
-              "2.972e-05, 0.000325001, 0.00317401, 0.00401625, 0.00299436, 0.000280743, 0.000232666, 0.00198658, 0.00916157, 0.0197544, 0.107022, 0.115643, 0.127122, 0.130659, 0.132124, 0.129955, 0.121545, 0.103159, 0.0653809, 0.0440055, 0.030403, 0.0183438, 0.00990733, 0.00672888, 0.00395493, 0.00125477, 0.00123099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.949567, 1.11341, 1.28386, 1.30846, 1.35767, 1.38879, 1.424, 1.47969, 1.53819, 1.58837, 1.78319, 1.87354, 1.95278, 2.05404, 2.16286, 2.25726, 2.2917");
-            values ( \
-              "0.00403658, 0.0145814, 0.111869, 0.120928, 0.132719, 0.136272, 0.137568, 0.134985, 0.125833, 0.112271, 0.050292, 0.0311017, 0.0197522, 0.01077, 0.00554578, 0.00303488, 0.00254471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.735188, 0.809179, 0.821222, 0.882139, 0.918527, 0.988422, 1.12668, 1.1772, 1.21748, 1.2695, 1.33844, 1.5674, 1.66891, 1.71666, 1.81215, 1.89375, 1.95477, 2.07012, 2.14179, 2.19065, 2.28837, 2.51964, 2.67449, 2.83353, 3.01524, 3.14219, 3.24463, 3.33008, 3.47942, 3.6843, 3.80013");
-            values ( \
-              "5.27137e-05, 0.000293625, 0.000808651, 0.00875579, 0.0106757, 0.00963132, 0.00442887, 0.00326293, 0.00373855, 0.00752475, 0.0191009, 0.0891785, 0.114868, 0.123595, 0.134973, 0.139358, 0.140257, 0.137413, 0.132752, 0.128035, 0.115298, 0.0771096, 0.054223, 0.0361418, 0.0219064, 0.0152117, 0.0113122, 0.00873849, 0.00557584, 0.00298198, 0.0021907" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00185584, 0.00185586, 0.00185589, 0.00185592, 0.00185593, 0.00185594", \
-            "0.00216521, 0.00216522, 0.00216522, 0.00216523, 0.00216523, 0.00216524", \
-            "0.00233247, 0.00233246, 0.00233245, 0.00233245, 0.00233245, 0.00233245", \
-            "0.00245666, 0.00245666, 0.00245665, 0.00245664, 0.00245664, 0.00245664", \
-            "0.00256439, 0.00256438, 0.00256438, 0.00256438, 0.00256437, 0.00256437", \
-            "0.00265508, 0.00265508, 0.00265508, 0.00265507, 0.00265507, 0.00265507" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00240023, 0.0024002, 0.00240017, 0.00240016, 0.00240016, 0.00240016", \
-            "0.00238538, 0.00238533, 0.00238529, 0.00238525, 0.00238523, 0.00238522", \
-            "0.00238455, 0.00238453, 0.00238449, 0.00238445, 0.00238443, 0.00238441", \
-            "0.00256657, 0.00256655, 0.00256652, 0.00256649, 0.00256645, 0.00256643", \
-            "0.0029977, 0.00299787, 0.0029981, 0.00299833, 0.00299849, 0.00299858", \
-            "0.00297899, 0.00298549, 0.00298096, 0.00297678, 0.00297576, 0.0029752" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00163697", \
-            "0.00182186", \
-            "0.00192641", \
-            "0.00198497", \
-            "0.00201904", \
-            "0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00214331", \
-            "0.00226562", \
-            "0.00234712", \
-            "0.00249994", \
-            "0.00280111", \
-            "0.00342604" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(CK & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.229132, 0.265765, 0.336484, 0.474771, 0.755905, 1.34352", \
-            "0.233912, 0.270605, 0.341308, 0.479662, 0.760739, 1.34819", \
-            "0.245625, 0.282288, 0.353044, 0.491282, 0.772124, 1.36005", \
-            "0.271375, 0.307998, 0.378741, 0.517103, 0.798299, 1.38568", \
-            "0.32966, 0.366462, 0.437321, 0.575785, 0.857097, 1.44451", \
-            "0.437665, 0.476463, 0.54977, 0.689589, 0.971688, 1.55912" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0349676, 0.0549536, 0.0968968, 0.186359, 0.37623, 0.776094", \
-            "0.034955, 0.0549279, 0.0968973, 0.18636, 0.376234, 0.77619", \
-            "0.0349663, 0.0549515, 0.0969427, 0.1863, 0.376051, 0.776224", \
-            "0.0349765, 0.0549791, 0.0968958, 0.186376, 0.376299, 0.776119", \
-            "0.0351561, 0.0550192, 0.0969636, 0.18636, 0.376273, 0.776187", \
-            "0.0365576, 0.0562084, 0.0975652, 0.186357, 0.376256, 0.776099" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.196795, 0.202897, 0.211019, 0.220267, 0.226234, 0.231609, 0.236777, 0.241945, 0.243738, 0.255261, 0.262056, 0.270181, 0.27503, 0.280052, 0.287018, 0.293466, 0.306755, 0.318431, 0.325196");
-            values ( \
-              "0.00855286, 0.0188592, 0.0395917, 0.0603518, 0.0700554, 0.074618, 0.0759315, 0.0729417, 0.0704301, 0.0423906, 0.0296585, 0.0190731, 0.0145083, 0.0108992, 0.00728457, 0.00499791, 0.00232593, 0.00117668, 0.00089549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.204241, 0.216648, 0.22044, 0.236514, 0.24784, 0.256993, 0.265338, 0.273478, 0.281604, 0.284385, 0.304153, 0.31973, 0.331075, 0.339917, 0.350249, 0.359413, 0.376435, 0.391223, 0.397124");
-            values ( \
-              "0.00191671, 0.015204, 0.0219474, 0.0601859, 0.0833723, 0.0954181, 0.100535, 0.100891, 0.0960183, 0.0925497, 0.0553837, 0.033015, 0.0219686, 0.0157668, 0.010592, 0.00738229, 0.00376728, 0.00206291, 0.00175327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.228847, 0.251812, 0.257251, 0.280328, 0.293351, 0.299544, 0.311932, 0.315212, 0.321773, 0.329737, 0.344102, 0.358465, 0.365771, 0.373564, 0.386726, 0.403135, 0.412078, 0.42573, 0.437618, 0.446315, 0.457549, 0.466727, 0.477881, 0.493621, 0.51021, 0.52295, 0.548431, 0.584773");
-            values ( \
-              "0.00667562, 0.0233227, 0.0318165, 0.07475, 0.095398, 0.10308, 0.114044, 0.115942, 0.118776, 0.120424, 0.119388, 0.112555, 0.106117, 0.0974482, 0.0808797, 0.0617016, 0.0524795, 0.0403543, 0.0317375, 0.0264411, 0.020749, 0.0169612, 0.0132101, 0.00921093, 0.00628928, 0.00467227, 0.00253128, 0.00114725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0397031, 0.0975534, 0.163974, 0.251523, 0.276948, 0.310978, 0.361539, 0.397359, 0.427017, 0.454779, 0.482415, 0.511181, 0.640944, 0.675569, 0.719496, 0.770935, 0.845774, 0.865435");
-            values ( \
-              "0.000297934, 0.000224906, 0.00415277, 9.81613e-05, 0.00357029, 0.0255012, 0.0844219, 0.115629, 0.128735, 0.132267, 0.130072, 0.121416, 0.0440637, 0.030357, 0.018369, 0.00992019, 0.00392058, 0.00328971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.227193, 0.396682, 0.435929, 0.520256, 0.546956, 0.592261, 0.651846, 0.707823, 0.76381, 0.819622, 0.887058, 1.00341, 1.08359, 1.15184, 1.24058, 1.29656, 1.34334, 1.40614, 1.48988, 1.59449, 1.64464");
-            values ( \
-              "0.00345199, 0.0145488, 0.0340674, 0.0882578, 0.102832, 0.121337, 0.134525, 0.137887, 0.135095, 0.126505, 0.107437, 0.0684196, 0.0462462, 0.0320411, 0.0193043, 0.0138222, 0.0104312, 0.00711159, 0.0041931, 0.00214827, 0.001638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.049479, 0.103434, 0.164529, 0.199268, 0.227771, 0.26387, 0.447104, 0.504105, 0.553656, 0.594952, 0.651175, 0.84953, 0.950915, 0.998595, 1.09396, 1.17577, 1.23662, 1.34123, 1.41653, 1.47249, 1.5771, 1.80427, 1.9522, 2.11543, 2.20166, 2.30181, 2.43416, 2.53877, 2.68569, 2.7903, 3.10414, 3.12593");
-            values ( \
-              "0.000218041, 0.000678075, 0.00888993, 0.0107756, 0.0107634, 0.00987466, 0.00341912, 0.00388495, 0.0078318, 0.0140202, 0.0267212, 0.0893284, 0.115034, 0.123633, 0.135064, 0.139443, 0.14023, 0.137934, 0.133286, 0.128095, 0.114219, 0.0767109, 0.0548303, 0.0361938, 0.0286293, 0.0216787, 0.0148301, 0.010872, 0.00701297, 0.00514578, 0.0019538, 0.0018897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.21062, 0.235525, 0.242712, 0.246845, 0.250832, 0.254386, 0.257221, 0.262484, 0.269383, 0.272747, 0.281468, 0.289666, 0.295304, 0.302293, 0.308732, 0.321804, 0.333115, 0.352797, 0.376699");
-            values ( \
-              "0.00161455, 0.0604436, 0.0713163, 0.0746258, 0.0758171, 0.0750459, 0.0728092, 0.0632925, 0.0448007, 0.0376749, 0.0236607, 0.0150268, 0.010892, 0.0072677, 0.00500247, 0.00234547, 0.00122611, 0.000360239, 0.000104027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.230045, 0.23976, 0.251872, 0.263205, 0.268289, 0.272316, 0.280686, 0.288814, 0.297128, 0.2997, 0.302639, 0.313662, 0.319469, 0.327496, 0.335024, 0.34163, 0.346759, 0.353392, 0.358998, 0.365477, 0.374689, 0.384499, 0.392053, 0.407161, 0.435168, 0.468583");
-            values ( \
-              "0.0240157, 0.030789, 0.0605648, 0.0836441, 0.0906805, 0.0954626, 0.100356, 0.100983, 0.0957432, 0.0925828, 0.0879841, 0.0659228, 0.0554281, 0.0427526, 0.0330605, 0.0261723, 0.0216914, 0.0169162, 0.013669, 0.0106395, 0.0074006, 0.00503094, 0.00372667, 0.00201097, 0.000581447, 0.000125487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.256175, 0.273092, 0.295767, 0.308831, 0.314993, 0.327316, 0.33066, 0.337348, 0.345184, 0.359583, 0.37393, 0.388508, 0.413388, 0.430954, 0.444932, 0.45974, 0.472216, 0.483688, 0.498983, 0.51085, 0.524005, 0.541545, 0.576625, 0.5987");
-            values ( \
-              "0.0274566, 0.0324955, 0.0747529, 0.095425, 0.103099, 0.113985, 0.115959, 0.118801, 0.12044, 0.119364, 0.112558, 0.0980525, 0.067493, 0.0492304, 0.0374814, 0.027605, 0.0210999, 0.016396, 0.0116022, 0.00884185, 0.00653, 0.00432528, 0.0018117, 0.00121289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0549469, 0.118537, 0.179193, 0.266224, 0.278309, 0.291307, 0.327065, 0.376968, 0.412831, 0.442483, 0.470245, 0.497881, 0.526647, 0.656409, 0.691035, 0.734961, 0.7864, 0.861241, 0.882907");
-            values ( \
-              "0.000287934, 0.00044356, 0.00412933, 0.000129514, 0.000552469, 0.00325675, 0.0261823, 0.0843004, 0.115605, 0.128761, 0.132241, 0.130099, 0.121414, 0.0440627, 0.030358, 0.0183681, 0.0099193, 0.00392142, 0.00322527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.242153, 0.412135, 0.454161, 0.535705, 0.56328, 0.607704, 0.667296, 0.723273, 0.779263, 0.835072, 0.902507, 1.01881, 1.09903, 1.1673, 1.25602, 1.31198, 1.35878, 1.42161, 1.50539, 1.61, 1.66056");
-            values ( \
-              "0.00343227, 0.0145175, 0.0357028, 0.0882775, 0.103229, 0.121352, 0.134491, 0.13791, 0.135077, 0.126525, 0.107437, 0.0684345, 0.0462488, 0.0320388, 0.0193056, 0.0138249, 0.0104319, 0.00711074, 0.00419144, 0.00214744, 0.00163318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.0645317, 0.119068, 0.179604, 0.214164, 0.242539, 0.291291, 0.460525, 0.517311, 0.568076, 0.612858, 0.678239, 0.864739, 0.946884, 1.01355, 1.11816, 1.17255, 1.2517, 1.36704, 1.43141, 1.48753, 1.59214, 1.8193, 1.97034, 2.12794, 2.31787, 2.45145, 2.55606, 2.69638, 2.801, 3.11483, 3.11989");
-            values ( \
-              "0.000228153, 0.000649362, 0.00884723, 0.0107987, 0.0107997, 0.00952542, 0.0034243, 0.00382894, 0.00770981, 0.0145395, 0.0300169, 0.0893756, 0.110925, 0.123617, 0.135808, 0.138833, 0.140278, 0.13741, 0.133324, 0.128091, 0.114235, 0.0766939, 0.0543997, 0.036415, 0.0215926, 0.0147096, 0.0108224, 0.00712968, 0.00519061, 0.00200438, 0.00198882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.248154, 0.258734, 0.272681, 0.28, 0.28401, 0.287878, 0.291747, 0.294389, 0.299656, 0.309052, 0.317313, 0.323418, 0.33247, 0.339487, 0.345916, 0.353282, 0.359044, 0.370569, 0.39027, 0.414261");
-            values ( \
-              "0.00316724, 0.0267243, 0.0604191, 0.0713693, 0.0745653, 0.0758084, 0.074952, 0.0727696, 0.0632999, 0.0394501, 0.0253847, 0.0182205, 0.0109022, 0.00726641, 0.00498777, 0.00326438, 0.00234579, 0.00119461, 0.000364159, 9.16847e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.265615, 0.273039, 0.293923, 0.300436, 0.309589, 0.317928, 0.326068, 0.334194, 0.33697, 0.33993, 0.35083, 0.356738, 0.364892, 0.37231, 0.378741, 0.383737, 0.392506, 0.402814, 0.411988, 0.421654, 0.429081, 0.443935, 0.466038");
-            values ( \
-              "0.0220166, 0.0220817, 0.0710782, 0.0833163, 0.0955032, 0.100476, 0.100953, 0.0959677, 0.0925645, 0.0879305, 0.066098, 0.0553948, 0.0425429, 0.0330238, 0.0263037, 0.0219103, 0.0157675, 0.0106025, 0.00738582, 0.00504989, 0.00375939, 0.00205161, 0.00089904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.291507, 0.30745, 0.34104, 0.352146, 0.367859, 0.382401, 0.39676, 0.411599, 0.426358, 0.456926, 0.478865, 0.501547, 0.527332, 0.551107, 0.581823, 0.614587, 0.617766");
-            values ( \
-              "0.0252912, 0.0278747, 0.088326, 0.102995, 0.116177, 0.120525, 0.119546, 0.112207, 0.0972782, 0.0604672, 0.0399812, 0.0249925, 0.0141881, 0.00822998, 0.00402246, 0.00179743, 0.00171255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.0923577, 0.152172, 0.191421, 0.211371, 0.222817, 0.247671, 0.302726, 0.314677, 0.327337, 0.342331, 0.353548, 0.365619, 0.414453, 0.437776, 0.450291, 0.475321, 0.489002, 0.507607, 0.535205, 0.564049, 0.596171, 0.654073, 0.694045, 0.728194, 0.75158, 0.772598, 0.800623, 0.824031, 0.855483, 0.89742, 0.964897");
-            values ( \
-              "0.000306528, 0.000310314, 0.00336143, 0.00409051, 0.00413149, 0.00336107, 0.0001489, 0.000438221, 0.00282674, 0.00902906, 0.0165286, 0.0272479, 0.0844273, 0.106843, 0.115639, 0.127296, 0.130503, 0.132124, 0.129953, 0.121374, 0.103213, 0.0651349, 0.0439647, 0.0304441, 0.023367, 0.0183223, 0.0131264, 0.00989476, 0.00674261, 0.00398381, 0.00178287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.0973544, 0.152449, 0.202693, 0.23577, 0.265279, 0.342363, 0.376568, 0.39667, 0.42072, 0.441952, 0.465491, 0.57334, 0.622944, 0.645149, 0.689559, 0.704597, 0.733238, 0.760522, 0.817222, 0.839844, 0.874706, 0.939671, 1.05067, 1.13433, 1.20528, 1.25219, 1.29171, 1.33281, 1.39145, 1.4676, 1.56914, 1.67375, 1.68153");
-            values ( \
-              "0.000234578, 0.000424005, 0.0058732, 0.00738981, 0.00688792, 0.00320348, 0.00203105, 0.00263765, 0.00591771, 0.0117232, 0.0213382, 0.0882439, 0.113119, 0.121193, 0.132017, 0.134259, 0.136838, 0.13762, 0.134886, 0.132155, 0.125827, 0.107443, 0.070131, 0.0467153, 0.0319284, 0.0244848, 0.0194822, 0.0152843, 0.0107216, 0.00672947, 0.00352705, 0.00179593, 0.00174631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.101851, 0.147605, 0.160479, 0.212085, 0.248157, 0.279027, 0.327742, 0.492074, 0.550074, 0.603547, 0.642061, 0.690308, 0.902715, 0.974846, 1.0516, 1.15622, 1.21061, 1.28977, 1.39438, 1.4695, 1.5256, 1.63021, 1.85737, 2.01992, 2.15629, 2.24308, 2.35967, 2.52906, 2.63654, 2.79246, 2.89708, 3.1063, 3.16838");
-            values ( \
-              "0.000188866, 0.000314873, 0.000961372, 0.0082913, 0.0107043, 0.0108293, 0.00957774, 0.00354369, 0.00364843, 0.00741714, 0.0129384, 0.0231025, 0.0892753, 0.108594, 0.123576, 0.135817, 0.138846, 0.140247, 0.137967, 0.133296, 0.128113, 0.114211, 0.0767145, 0.0529027, 0.0373779, 0.0295601, 0.0213765, 0.0131031, 0.00954807, 0.00599111, 0.00435001, 0.00229566, 0.00197216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.342618, 0.350461, 0.352681, 0.355105, 0.357529, 0.358177, 0.360122, 0.361419, 0.362716, 0.365309, 0.366088, 0.367646, 0.369204, 0.371459, 0.372157, 0.372855, 0.374252, 0.375648, 0.376624, 0.3776, 0.378577, 0.379392, 0.380208, 0.381023, 0.381839, 0.383153, 0.384468, 0.385782, 0.387097, 0.390607, 0.392528, 0.394448, 0.396482, 0.39716, 0.399194, 0.401906, 0.403262, 0.404618, 0.408729, 0.411036, 0.412189, 0.414496, 0.415649, 0.417306, 0.421518, 0.423222, 0.424926, 0.428333, 0.431741, 0.436293");
-            values ( \
-              "0.0375546, 0.038482, 0.0440165, 0.0498032, 0.0553201, 0.0566549, 0.0604349, 0.0627274, 0.0648972, 0.0688686, 0.0699654, 0.0716429, 0.0729896, 0.0746838, 0.075028, 0.0752995, 0.0755628, 0.0756592, 0.0756274, 0.0753723, 0.0748972, 0.0742639, 0.0735223, 0.0726725, 0.0717146, 0.0699425, 0.0678893, 0.0655548, 0.0629392, 0.0532712, 0.0483388, 0.043763, 0.0391896, 0.0378226, 0.0339763, 0.0295197, 0.0274884, 0.0255885, 0.0203889, 0.017879, 0.0168021, 0.0148009, 0.0138767, 0.0126684, 0.00980165, 0.00888643, 0.00804813, 0.00664932, 0.00546423, 0.0041808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.346072, 0.360209, 0.380301, 0.387582, 0.396748, 0.405093, 0.413236, 0.42155, 0.424122, 0.427061, 0.438084, 0.443891, 0.451918, 0.459446, 0.466052, 0.471181, 0.477814, 0.48342, 0.489899, 0.49911, 0.50892, 0.516474, 0.531581, 0.551976");
-            values ( \
-              "0.00207511, 0.0220132, 0.0692967, 0.0831046, 0.0954655, 0.100365, 0.100974, 0.0957532, 0.09258, 0.0879871, 0.065925, 0.0554271, 0.0427523, 0.0330617, 0.0261722, 0.0216915, 0.0169163, 0.0136692, 0.0106397, 0.00740081, 0.00503123, 0.00372693, 0.00201123, 0.000970053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.373607, 0.391666, 0.398561, 0.420188, 0.433141, 0.439401, 0.451923, 0.45507, 0.461364, 0.469594, 0.483958, 0.498321, 0.505629, 0.513424, 0.526576, 0.542997, 0.551949, 0.565589, 0.577459, 0.586161, 0.597401, 0.606589, 0.617741, 0.633478, 0.65006, 0.662793, 0.688261, 0.724848");
-            values ( \
-              "0.0149588, 0.0231918, 0.034391, 0.0747911, 0.0952885, 0.103116, 0.11411, 0.11598, 0.118663, 0.120461, 0.11936, 0.112589, 0.106115, 0.0974443, 0.080886, 0.0616942, 0.0524655, 0.040351, 0.0317474, 0.0264461, 0.0207511, 0.0169591, 0.0132085, 0.00921087, 0.00629041, 0.00467332, 0.0025332, 0.00113835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.172942, 0.24177, 0.281263, 0.305236, 0.384388, 0.408243, 0.424605, 0.444475, 0.501494, 0.537336, 0.567005, 0.594773, 0.622411, 0.651178, 0.780927, 0.815585, 0.859479, 0.910918, 0.985856, 1.00323");
-            values ( \
-              "0.000138091, 0.00040429, 0.00354104, 0.00413732, 0.000308287, 0.00134613, 0.00660375, 0.0196495, 0.0843345, 0.115579, 0.128706, 0.132259, 0.130058, 0.121408, 0.0440702, 0.0303513, 0.0183721, 0.00992182, 0.00391649, 0.00335927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.372815, 0.536707, 0.701565, 0.732484, 0.792054, 0.848125, 0.904132, 0.959946, 1.02737, 1.14324, 1.2238, 1.29229, 1.38079, 1.48355, 1.54667, 1.63083, 1.73263");
-            values ( \
-              "0.00417208, 0.0144371, 0.10948, 0.121224, 0.134451, 0.137865, 0.135079, 0.126501, 0.107433, 0.0685713, 0.0462719, 0.0320179, 0.0193167, 0.0104379, 0.00710236, 0.00417621, 0.00266931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.183094, 0.240943, 0.303992, 0.34398, 0.423413, 0.563502, 0.612628, 0.67892, 0.723714, 0.789297, 0.987761, 1.13689, 1.2415, 1.2956, 1.37493, 1.49026, 1.61074, 1.71535, 2.10113, 2.24481, 2.44375, 2.61069, 2.72134, 2.87992, 3.18036");
-            values ( \
-              "0.000127765, 0.000578484, 0.00896444, 0.0108879, 0.00921434, 0.00391188, 0.00322412, 0.00637269, 0.0123203, 0.0266303, 0.0893096, 0.123717, 0.135827, 0.138832, 0.140313, 0.137432, 0.128082, 0.114248, 0.0533867, 0.0370206, 0.0214191, 0.013217, 0.00953619, 0.00592814, 0.00245552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.53992, 0.543626, 0.548186, 0.561957, 0.566073, 0.572107, 0.577523, 0.58272, 0.587916, 0.589458, 0.601983, 0.606479, 0.612051, 0.617988, 0.622838, 0.629728, 0.633979, 0.642612, 0.647828, 0.658261, 0.666477");
-            values ( \
-              "0.00654466, 0.00952464, 0.017549, 0.0511355, 0.0594967, 0.0694471, 0.07411, 0.0756034, 0.0726832, 0.0706392, 0.0407762, 0.0322063, 0.023839, 0.0172359, 0.0130845, 0.00882404, 0.00689697, 0.00417737, 0.00308941, 0.00167809, 0.00116902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.559288, 0.569802, 0.582277, 0.593679, 0.598787, 0.602824, 0.611216, 0.619358, 0.627681, 0.630192, 0.652503, 0.665468, 0.677638, 0.689335, 0.705175, 0.723012, 0.738562, 0.747647");
-            values ( \
-              "0.0193064, 0.0299012, 0.0601556, 0.083288, 0.0903192, 0.0952023, 0.100122, 0.10087, 0.0956503, 0.0926025, 0.051248, 0.0331854, 0.0214424, 0.0137919, 0.0074249, 0.00366968, 0.00194238, 0.00149528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.581569, 0.597792, 0.606008, 0.622556, 0.634059, 0.645629, 0.661349, 0.675895, 0.690257, 0.705098, 0.71197, 0.719823, 0.741397, 0.75025, 0.759946, 0.772324, 0.78217, 0.795299, 0.80642, 0.820561, 0.838434, 0.844558, 0.859163, 0.875854, 0.909235, 0.930546");
-            values ( \
-              "0.0193532, 0.0231066, 0.0366183, 0.0678204, 0.0875983, 0.102874, 0.116183, 0.12044, 0.119577, 0.112189, 0.106096, 0.0973118, 0.0705594, 0.0606578, 0.0508094, 0.0400155, 0.0327964, 0.0248565, 0.0195386, 0.0142742, 0.00948185, 0.00823739, 0.00588362, 0.00397063, 0.00174552, 0.00119266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.333642, 0.437072, 0.507203, 0.53662, 0.59218, 0.604012, 0.616209, 0.631746, 0.651018, 0.721462, 0.743818, 0.773468, 0.80123, 0.828866, 0.857632, 0.987393, 1.02202, 1.06594, 1.11738, 1.19223, 1.20654");
-            values ( \
-              "4.77416e-05, 0.000240002, 0.00397022, 0.00347773, 0.000306771, 0.000225647, 0.00172517, 0.00698507, 0.0197814, 0.098321, 0.115599, 0.128763, 0.132243, 0.130098, 0.121412, 0.0440629, 0.030358, 0.0183682, 0.00991929, 0.00392142, 0.00346119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.339753, 0.437364, 0.49532, 0.526042, 0.570678, 0.668424, 0.688303, 0.712143, 0.760435, 0.867046, 0.939054, 0.998672, 1.05466, 1.11066, 1.16647, 1.2339, 1.34999, 1.43037, 1.49875, 1.58737, 1.69012, 1.7531, 1.83707, 1.93725");
-            values ( \
-              "4.77007e-05, 0.00031331, 0.00555444, 0.00711233, 0.00637503, 0.00207149, 0.00252492, 0.00549006, 0.0219754, 0.0881731, 0.121269, 0.134484, 0.137873, 0.135089, 0.1265, 0.107435, 0.0685031, 0.04626, 0.0320287, 0.0193108, 0.0104345, 0.00710612, 0.0041842, 0.0026987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.343949, 0.445094, 0.506403, 0.556281, 0.618884, 0.786076, 0.846075, 0.897064, 0.973035, 1.27411, 1.34305, 1.44766, 1.50203, 1.5812, 1.69653, 1.81702, 1.92163, 2.31134, 2.44771, 2.64921, 2.81434, 2.92725, 3.08726, 3.39156");
-            values ( \
-              "4.7393e-05, 0.000812927, 0.00836697, 0.0107731, 0.00957896, 0.00350883, 0.00379589, 0.00764806, 0.0209735, 0.110398, 0.123637, 0.135793, 0.138819, 0.140293, 0.137422, 0.128082, 0.114244, 0.0528793, 0.0373604, 0.0214734, 0.0133266, 0.00955449, 0.00591813, 0.00239211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("1.00009, 1.00958, 1.02348, 1.0345, 1.04022, 1.04564, 1.05106, 1.05648, 1.06359, 1.06664, 1.07566, 1.08428, 1.09216, 1.09679, 1.10342, 1.10823, 1.11764, 1.1302, 1.13714");
-            values ( \
-              "0.00370628, 0.0178669, 0.046602, 0.0652294, 0.0706708, 0.0728026, 0.0707059, 0.06207, 0.043828, 0.0376098, 0.023415, 0.0146018, 0.00936338, 0.00715677, 0.00489495, 0.00370569, 0.00216593, 0.00106564, 0.000806554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.01013, 1.0265, 1.02763, 1.0344, 1.04576, 1.05383, 1.05794, 1.06616, 1.07029, 1.07617, 1.0845, 1.09283, 1.09681, 1.10091, 1.11029, 1.11509, 1.12069, 1.12816, 1.13318, 1.13864, 1.14592, 1.1514, 1.15794, 1.16666, 1.17503, 1.18799, 1.20528, 1.21599, 1.22634");
-            values ( \
-              "0.00116836, 0.016922, 0.0184017, 0.0307974, 0.0552279, 0.0712489, 0.0786352, 0.0900605, 0.0940197, 0.0976648, 0.0987678, 0.0946747, 0.0897703, 0.0829362, 0.064312, 0.0557403, 0.0466936, 0.0363947, 0.0306364, 0.0252192, 0.0192817, 0.015706, 0.0122405, 0.00871508, 0.0062802, 0.00376018, 0.0018498, 0.00120871, 0.000971785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.04281, 1.06306, 1.07036, 1.09291, 1.11268, 1.12149, 1.12857, 1.14331, 1.15776, 1.17267, 1.18643, 1.21592, 1.23444, 1.24579, 1.2595, 1.27133, 1.28158, 1.29249, 1.30805, 1.32393, 1.33604, 1.36027, 1.38597");
-            values ( \
-              "0.0120573, 0.0219499, 0.0326926, 0.0722709, 0.101155, 0.109455, 0.114594, 0.11943, 0.118888, 0.111795, 0.0982701, 0.0626997, 0.0448988, 0.0358284, 0.0269591, 0.0209004, 0.016684, 0.0130664, 0.00915002, 0.00635243, 0.00479254, 0.00268104, 0.00164121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.679923, 0.868194, 0.888164, 0.941505, 0.950931, 0.974207, 0.98865, 1.01007, 1.05645, 1.06876, 1.08158, 1.09858, 1.11537, 1.11951, 1.12778, 1.14431, 1.17644, 1.20052, 1.21247, 1.22254, 1.23151, 1.24005, 1.24595, 1.25382, 1.26979, 1.29744, 1.30669, 1.31603, 1.32627, 1.34674, 1.41254, 1.43084, 1.45527, 1.47513, 1.49038, 1.51414, 1.53424, 1.55473, 1.58403, 1.59667, 1.62196, 1.67254, 1.75676, 1.85684");
-            values ( \
-              "1.16617e-05, 0.000113934, 0.000459722, 0.00261008, 0.00286263, 0.00307846, 0.0029403, 0.00244411, 0.000409989, 0.000361786, 0.00161358, 0.00687217, 0.016902, 0.0202755, 0.0277148, 0.0458952, 0.0839399, 0.10687, 0.115253, 0.120648, 0.124574, 0.127433, 0.128938, 0.130431, 0.131793, 0.129739, 0.127765, 0.125083, 0.121198, 0.110602, 0.0673959, 0.0567617, 0.044413, 0.0360058, 0.0304638, 0.0232818, 0.0184531, 0.014487, 0.0101678, 0.00872642, 0.00639623, 0.00336413, 0.00105196, 0.000245748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.688622, 0.877413, 0.897556, 0.951667, 0.989511, 1.04375, 1.13459, 1.15419, 1.17799, 1.20699, 1.23366, 1.33689, 1.37893, 1.40879, 1.46841, 1.5244, 1.5804, 1.63621, 1.70364, 1.8198, 1.90013, 1.96848, 2.05713, 2.11301, 2.15988, 2.22282, 2.30673, 2.41134, 2.43189");
-            values ( \
-              "1.34493e-05, 0.000265403, 0.00101577, 0.00458568, 0.00591623, 0.00533696, 0.00222479, 0.00242625, 0.00499745, 0.0124226, 0.0237704, 0.088317, 0.110043, 0.121278, 0.134503, 0.137871, 0.135098, 0.126496, 0.107435, 0.0684818, 0.0462562, 0.0320321, 0.0193089, 0.0138344, 0.0104334, 0.00710725, 0.00418656, 0.00214408, 0.0019355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.693846, 0.87582, 0.97378, 1.02779, 1.09772, 1.28117, 1.32516, 1.37293, 1.42666, 1.49831, 1.71912, 1.81277, 1.91738, 1.97154, 2.05082, 2.16615, 2.28663, 2.39125, 2.78095, 2.91731, 3.11883, 3.28397, 3.39686, 3.55686, 3.86501");
-            values ( \
-              "1.35805e-05, 0.000306657, 0.00768931, 0.00951587, 0.00889086, 0.00329179, 0.00430818, 0.00847709, 0.0174705, 0.0361495, 0.10458, 0.123698, 0.135853, 0.138858, 0.140298, 0.137418, 0.128099, 0.114233, 0.0528889, 0.0373699, 0.0214804, 0.0133329, 0.00956138, 0.00592513, 0.00234121" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00451799", \
-            "0.00519207", \
-            "0.0055483", \
-            "0.00573223", \
-            "0.00582252", \
-            "0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00544368", \
-            "0.00531763", \
-            "0.00510281", \
-            "0.00493081", \
-            "0.004856", \
-            "0.00482198" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0042163, 0.00421702, 0.00421777, 0.00421835, 0.00421881, 0.00421892", \
-            "0.0046573, 0.00465756, 0.00465791, 0.00465824, 0.00465847, 0.0046586", \
-            "0.00490222, 0.00490226, 0.00490232, 0.00490244, 0.00490255, 0.00490262", \
-            "0.0050482, 0.00504817, 0.0050482, 0.0050482, 0.00504824, 0.00504827", \
-            "0.0051378, 0.0051378, 0.0051378, 0.00513781, 0.00513781, 0.00513783", \
-            "0.00521668, 0.00521668, 0.00521669, 0.00521669, 0.0052167, 0.0052167" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00537132, 0.00537143, 0.00537167, 0.00537194, 0.0053722, 0.0053723", \
-            "0.00564881, 0.00564875, 0.00564878, 0.00564889, 0.00564901, 0.0056491", \
-            "0.00582456, 0.00582459, 0.00582465, 0.00582473, 0.00582482, 0.00582491", \
-            "0.00602997, 0.00602981, 0.00602958, 0.00602953, 0.00602951, 0.00602954", \
-            "0.00635296, 0.00635232, 0.00635132, 0.00635018, 0.00634924, 0.00634863", \
-            "0.00652602, 0.00653464, 0.00654277, 0.00654871, 0.00655235, 0.00655443" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & D & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.227062, 0.263892, 0.334819, 0.473201, 0.754268, 1.34178", \
-            "0.231873, 0.268709, 0.339684, 0.477981, 0.759114, 1.34663", \
-            "0.243712, 0.280383, 0.3514, 0.489733, 0.770863, 1.35825", \
-            "0.269908, 0.30671, 0.377667, 0.516062, 0.79725, 1.38465", \
-            "0.32895, 0.365747, 0.437024, 0.575204, 0.856645, 1.4444", \
-            "0.437867, 0.476921, 0.550381, 0.689985, 0.971733, 1.55955" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0349579, 0.0549625, 0.0968991, 0.186343, 0.376273, 0.776202", \
-            "0.0349627, 0.0549619, 0.0969262, 0.186343, 0.37628, 0.776221", \
-            "0.0349601, 0.054993, 0.0969119, 0.186247, 0.376281, 0.776226", \
-            "0.0349473, 0.0549852, 0.0969582, 0.186364, 0.37627, 0.776174", \
-            "0.0351197, 0.0551292, 0.0969502, 0.186233, 0.376288, 0.776153", \
-            "0.0366023, 0.0562773, 0.0975361, 0.186805, 0.37604, 0.776135" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.193677, 0.196285, 0.200743, 0.209056, 0.218243, 0.224218, 0.229597, 0.234765, 0.239933, 0.241648, 0.253227, 0.259811, 0.268354, 0.276426, 0.280972, 0.287034, 0.292013, 0.299145, 0.308653, 0.318892");
-            values ( \
-              "0.00759918, 0.0103526, 0.0184427, 0.0396188, 0.0602216, 0.0699904, 0.0745953, 0.0759481, 0.0729914, 0.0706216, 0.042484, 0.0300341, 0.0188798, 0.0119323, 0.00918837, 0.00644633, 0.00482491, 0.00319249, 0.00182899, 0.00112443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.208616, 0.218444, 0.234508, 0.245881, 0.255012, 0.263393, 0.271527, 0.279663, 0.282371, 0.304706, 0.317637, 0.329668, 0.337946, 0.34804, 0.357284, 0.374928, 0.390297, 0.400079");
-            values ( \
-              "0.0110818, 0.0222294, 0.0603074, 0.0834152, 0.0952959, 0.100267, 0.100918, 0.0958764, 0.0926447, 0.0511918, 0.0331774, 0.0215379, 0.0157835, 0.0106995, 0.00743078, 0.00369894, 0.00197137, 0.00147653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.228565, 0.250054, 0.255463, 0.278642, 0.291684, 0.297876, 0.310259, 0.313551, 0.320134, 0.328077, 0.342442, 0.356805, 0.364095, 0.37187, 0.385095, 0.401428, 0.410314, 0.424036, 0.436035, 0.444699, 0.455896, 0.465007, 0.476174, 0.491923, 0.50855, 0.521324, 0.546873, 0.582828");
-            values ( \
-              "0.00875933, 0.0233, 0.0316688, 0.0746215, 0.0953124, 0.103006, 0.114001, 0.115915, 0.118768, 0.120421, 0.119395, 0.112568, 0.106144, 0.0974988, 0.080848, 0.0617528, 0.05258, 0.0403768, 0.0316837, 0.0264122, 0.0207425, 0.0169812, 0.0132206, 0.00921696, 0.00628774, 0.00466659, 0.00252424, 0.00114301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0387369, 0.101946, 0.130997, 0.157196, 0.191415, 0.248169, 0.260367, 0.274623, 0.290551, 0.309953, 0.360218, 0.373879, 0.396076, 0.425717, 0.453476, 0.48111, 0.509875, 0.525826, 0.589566, 0.61692, 0.656806, 0.674252, 0.704394, 0.745792, 0.769631, 0.801681, 0.844414, 0.908708");
-            values ( \
-              "0.000316676, 0.00044851, 0.0030102, 0.00409174, 0.00344794, 0.000168729, 0.000442026, 0.00329874, 0.010422, 0.0258326, 0.08435, 0.0984855, 0.115661, 0.128767, 0.132278, 0.13008, 0.121418, 0.113305, 0.0714723, 0.0554061, 0.0367483, 0.0303615, 0.0215658, 0.0132303, 0.00991885, 0.00670909, 0.00392449, 0.00183491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.0432808, 0.101752, 0.155512, 0.183224, 0.318775, 0.338053, 0.363234, 0.411713, 0.518581, 0.590615, 0.650229, 0.706216, 0.762215, 0.82039, 0.885459, 1.00153, 1.08193, 1.15031, 1.23892, 1.34167, 1.40466, 1.48863, 1.59325, 1.62158");
-            values ( \
-              "0.000282239, 0.00060171, 0.00647379, 0.00740046, 0.00210934, 0.00238924, 0.00540115, 0.0218118, 0.0881341, 0.121258, 0.134496, 0.137861, 0.135101, 0.125967, 0.107433, 0.068506, 0.0462601, 0.0320289, 0.0193109, 0.0104346, 0.00710591, 0.00418459, 0.00214242, 0.00185525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0475236, 0.102724, 0.15613, 0.197294, 0.228113, 0.284953, 0.440166, 0.499156, 0.550532, 0.584895, 0.635953, 0.848055, 0.944924, 0.997086, 1.10141, 1.15671, 1.23526, 1.3506, 1.41495, 1.47109, 1.57571, 1.80287, 1.96543, 2.10176, 2.19558, 2.3033, 2.46846, 2.58133, 2.74131, 2.84593, 3.05518, 3.11809");
-            values ( \
-              "0.000195433, 0.000672708, 0.00813843, 0.0107485, 0.0106344, 0.00918896, 0.00351936, 0.00374233, 0.00761912, 0.0124958, 0.0231527, 0.0892899, 0.114063, 0.1236, 0.135779, 0.138855, 0.140267, 0.137399, 0.133314, 0.128101, 0.114222, 0.0767102, 0.0528982, 0.0373835, 0.0289866, 0.0214941, 0.013346, 0.00957586, 0.00593986, 0.00429406, 0.00226037, 0.00193583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.208794, 0.212096, 0.21617, 0.224538, 0.233704, 0.239681, 0.245061, 0.25023, 0.255398, 0.257103, 0.268656, 0.275085, 0.284052, 0.293413, 0.300556, 0.306895, 0.319419, 0.330289");
-            values ( \
-              "0.00729914, 0.0109153, 0.0183027, 0.0396674, 0.0601694, 0.0699913, 0.0745646, 0.0759579, 0.0729705, 0.0706348, 0.042565, 0.0303317, 0.0186361, 0.0109441, 0.00723437, 0.00499091, 0.00242139, 0.00128645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.22413, 0.233897, 0.249956, 0.261327, 0.270457, 0.278838, 0.286972, 0.295289, 0.297816, 0.320153, 0.333084, 0.34511, 0.353392, 0.363487, 0.372731, 0.39037, 0.405735, 0.415374");
-            values ( \
-              "0.0112878, 0.0222343, 0.0603285, 0.0834313, 0.0953098, 0.100257, 0.10093, 0.0957322, 0.0926437, 0.0511879, 0.0331757, 0.0215403, 0.0157833, 0.0106987, 0.00743054, 0.00369938, 0.00197216, 0.00148428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.244797, 0.265359, 0.272369, 0.294055, 0.308075, 0.313346, 0.321986, 0.329003, 0.34359, 0.357947, 0.372791, 0.379598, 0.387376, 0.409148, 0.416935, 0.425835, 0.439539, 0.45151, 0.460182, 0.471388, 0.480514, 0.491678, 0.507423, 0.524039, 0.536804, 0.562333, 0.597152");
-            values ( \
-              "0.0105627, 0.022944, 0.0342396, 0.0746425, 0.0962098, 0.103128, 0.11099, 0.116007, 0.120327, 0.119566, 0.112184, 0.106163, 0.0974855, 0.0705029, 0.0617488, 0.0525539, 0.0403779, 0.031697, 0.0264217, 0.020744, 0.0169763, 0.0132198, 0.00921525, 0.00628826, 0.00466905, 0.00252595, 0.00118802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0538901, 0.112028, 0.149596, 0.172971, 0.207297, 0.263922, 0.276189, 0.290636, 0.306534, 0.32512, 0.375671, 0.393251, 0.411479, 0.441131, 0.468888, 0.496522, 0.525287, 0.54124, 0.604981, 0.632338, 0.672214, 0.689662, 0.719806, 0.76121, 0.785044, 0.817089, 0.859815, 0.924169");
-            values ( \
-              "0.000296664, 0.000285274, 0.00321334, 0.00408731, 0.00341844, 0.000152034, 0.000483366, 0.00345526, 0.0107355, 0.0255194, 0.0844897, 0.102068, 0.115692, 0.128723, 0.132322, 0.130037, 0.121422, 0.1133, 0.0714684, 0.0554018, 0.0367513, 0.0303608, 0.0215645, 0.0132282, 0.00991997, 0.00671066, 0.00392383, 0.00183562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.240755, 0.410404, 0.435242, 0.534288, 0.572085, 0.606235, 0.665868, 0.721858, 0.777859, 0.836033, 0.901102, 1.01713, 1.09756, 1.16597, 1.25455, 1.31038, 1.35731, 1.42032, 1.50433, 1.60895, 1.64214");
-            values ( \
-              "0.00355702, 0.0144215, 0.0257663, 0.0881671, 0.108126, 0.121193, 0.134537, 0.137811, 0.135144, 0.125966, 0.107433, 0.0685195, 0.0462619, 0.0320273, 0.0193115, 0.0138429, 0.0104347, 0.0071045, 0.0041836, 0.00214104, 0.0018052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.0628856, 0.107682, 0.118246, 0.172997, 0.188744, 0.215221, 0.24655, 0.301063, 0.411839, 0.456378, 0.515789, 0.566536, 0.599837, 0.648088, 0.863762, 0.93193, 1.01269, 1.11731, 1.17166, 1.25085, 1.35547, 1.43052, 1.48668, 1.5913, 1.81845, 1.98102, 2.11735, 2.21116, 2.31889, 2.37943, 2.48405, 2.59691, 2.7569, 2.86152, 3.07076, 3.14684");
-            values ( \
-              "0.000211881, 0.000298452, 0.000691394, 0.0082784, 0.00970994, 0.0107961, 0.0105921, 0.00918038, 0.00477005, 0.00351509, 0.00377207, 0.00767432, 0.012392, 0.0223102, 0.0892696, 0.10763, 0.123593, 0.135804, 0.138831, 0.140265, 0.137951, 0.133314, 0.128102, 0.114221, 0.07671, 0.0528978, 0.0373825, 0.028988, 0.0214923, 0.0180566, 0.013344, 0.00957386, 0.00593782, 0.00429621, 0.00226257, 0.00187016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.246901, 0.250991, 0.253606, 0.263632, 0.271098, 0.277084, 0.282461, 0.28763, 0.292962, 0.294493, 0.306056, 0.312463, 0.317183, 0.321476, 0.32482, 0.330812, 0.337935, 0.344281, 0.356864, 0.367878, 0.370897");
-            values ( \
-              "0.007959, 0.0137059, 0.0186275, 0.0441634, 0.0599995, 0.0701593, 0.0744217, 0.0761079, 0.0728129, 0.0706559, 0.0425646, 0.0303654, 0.0235507, 0.01861, 0.0154138, 0.0109443, 0.00724257, 0.00499452, 0.00241486, 0.00126934, 0.00113096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.251908, 0.267179, 0.270827, 0.27695, 0.287198, 0.298602, 0.30646, 0.310387, 0.31613, 0.324269, 0.332411, 0.335099, 0.337966, 0.349396, 0.357394, 0.362454, 0.370351, 0.377557, 0.382514, 0.388954, 0.39419, 0.400747, 0.410011, 0.420046, 0.427798, 0.443303, 0.456479");
-            values ( \
-              "0.000822142, 0.0145764, 0.0210489, 0.034524, 0.0597407, 0.0830655, 0.0937591, 0.0972499, 0.100334, 0.100705, 0.0959904, 0.0926314, 0.0882221, 0.0653993, 0.051294, 0.0434883, 0.0332187, 0.0257397, 0.0214617, 0.016854, 0.0138122, 0.0107178, 0.00743868, 0.00501107, 0.00368074, 0.00195127, 0.00129157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.280943, 0.302657, 0.314591, 0.331357, 0.344263, 0.350611, 0.363308, 0.366295, 0.372268, 0.380823, 0.395189, 0.409553, 0.416837, 0.424607, 0.437856, 0.454161, 0.463027, 0.476775, 0.488816, 0.497469, 0.508653, 0.517739, 0.528912, 0.544665, 0.561308, 0.574097, 0.599675, 0.645915, 0.700818");
-            values ( \
-              "0.00875269, 0.0229801, 0.0430097, 0.0745221, 0.0950371, 0.102967, 0.11417, 0.115905, 0.118537, 0.120423, 0.119381, 0.112575, 0.106147, 0.0975116, 0.0808315, 0.0617688, 0.0526147, 0.0403833, 0.0316617, 0.0264002, 0.0207387, 0.0169874, 0.013224, 0.00921821, 0.00628615, 0.00466401, 0.00252077, 0.000747267, 0.000161817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.0913423, 0.0929087, 0.147757, 0.159105, 0.188313, 0.200986, 0.215357, 0.237017, 0.252189, 0.295236, 0.307135, 0.31947, 0.338356, 0.357468, 0.382003, 0.412822, 0.437224, 0.448687, 0.471612, 0.478251, 0.491529, 0.505973, 0.533876, 0.548983, 0.562362, 0.589119, 0.627302, 0.650567, 0.68106, 0.694257, 0.717341, 0.743723, 0.761742, 0.771842, 0.792044, 0.8207, 0.832742, 0.856826, 0.904995, 0.986724, 1.08317");
-            values ( \
-              "0.000425474, 0.000287913, 0.000247506, 0.00079326, 0.00332221, 0.00391029, 0.00411199, 0.00375183, 0.00299907, 0.00032616, 0.000139053, 0.00135291, 0.00774585, 0.0210696, 0.047328, 0.0844973, 0.107574, 0.115752, 0.126501, 0.128576, 0.131026, 0.132179, 0.129855, 0.126197, 0.121299, 0.10681, 0.0809364, 0.0661544, 0.0493656, 0.0430592, 0.0336864, 0.0250392, 0.020383, 0.0181146, 0.0142707, 0.0100898, 0.0087263, 0.00649037, 0.00353008, 0.00115063, 0.000290244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.279749, 0.447823, 0.612342, 0.643737, 0.70337, 0.759364, 0.815365, 0.87354, 0.938609, 1.05462, 1.13506, 1.20348, 1.29206, 1.39481, 1.45783, 1.54186, 1.63879");
-            values ( \
-              "0.00378623, 0.0143654, 0.109328, 0.121228, 0.134498, 0.137848, 0.135106, 0.125966, 0.107433, 0.0685249, 0.0462636, 0.0320258, 0.0193128, 0.0104357, 0.00710507, 0.00418228, 0.00274578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.0984713, 0.141945, 0.152582, 0.213174, 0.228626, 0.247835, 0.262573, 0.278759, 0.315997, 0.349346, 0.476402, 0.530801, 0.601897, 0.634877, 0.680588, 0.741537, 0.899752, 0.986824, 1.04864, 1.15326, 1.20769, 1.28682, 1.39144, 1.40217, 1.46651, 1.52266, 1.62728, 1.85443, 1.91237, 2.01699, 2.08297, 2.15333, 2.24714, 2.30946, 2.35487, 2.41542, 2.52004, 2.63289, 2.68825, 2.79287, 2.89749, 3.00211, 3.10673, 3.31597, 3.62984");
-            values ( \
-              "0.000194283, 0.000301316, 0.000589919, 0.00869889, 0.00996437, 0.0107318, 0.0108542, 0.0106413, 0.00981412, 0.00874748, 0.00387604, 0.00328319, 0.00751048, 0.0121704, 0.0214062, 0.0383339, 0.0893221, 0.11196, 0.123597, 0.135783, 0.138815, 0.140278, 0.137935, 0.137413, 0.133329, 0.128084, 0.114239, 0.0766896, 0.0676613, 0.0528771, 0.0448477, 0.0373601, 0.0290109, 0.0243894, 0.0214688, 0.0180798, 0.0133202, 0.00955001, 0.00811515, 0.0059139, 0.00432029, 0.00313183, 0.00228673, 0.00120758, 0.000452302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.340572, 0.347933, 0.349906, 0.352433, 0.356035, 0.358675, 0.359995, 0.362635, 0.364127, 0.36533, 0.366534, 0.368084, 0.369528, 0.370972, 0.371935, 0.372897, 0.37386, 0.375183, 0.376464, 0.377745, 0.378405, 0.379065, 0.380385, 0.381701, 0.383017, 0.384333, 0.385648, 0.389065, 0.391013, 0.392961, 0.395027, 0.395716, 0.397783, 0.400538, 0.403294, 0.407156, 0.40932, 0.410992, 0.412663, 0.414883, 0.417102, 0.418375, 0.420283, 0.420919, 0.423146, 0.425373, 0.426972, 0.43017, 0.431769, 0.434429");
-            values ( \
-              "0.0345783, 0.0356243, 0.0407124, 0.0469157, 0.0552181, 0.0604147, 0.0627851, 0.0670702, 0.0692212, 0.0707434, 0.0720672, 0.0735295, 0.0744987, 0.0752193, 0.0755617, 0.0757936, 0.075915, 0.075631, 0.0752095, 0.0746438, 0.0740896, 0.0734596, 0.0719726, 0.0701886, 0.0681036, 0.0657175, 0.0630305, 0.0535751, 0.0485427, 0.0438788, 0.0392166, 0.0378305, 0.0339294, 0.0293943, 0.0254127, 0.0205565, 0.0181438, 0.0165716, 0.015107, 0.0133674, 0.0117408, 0.0108593, 0.00965334, 0.00928236, 0.00819872, 0.00722169, 0.00660144, 0.00549493, 0.00500867, 0.00429331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.351151, 0.358659, 0.363384, 0.379783, 0.386159, 0.39533, 0.40368, 0.411826, 0.419959, 0.422692, 0.425607, 0.442453, 0.450336, 0.45798, 0.464771, 0.469844, 0.47824, 0.488394, 0.497614, 0.507477, 0.515078, 0.530279, 0.55743, 0.589796");
-            values ( \
-              "0.0215526, 0.0220469, 0.0321066, 0.0711319, 0.0831541, 0.095313, 0.10041, 0.100868, 0.0959756, 0.0926175, 0.088087, 0.0554916, 0.0430073, 0.0331276, 0.0260509, 0.0216318, 0.0157828, 0.0106739, 0.00742152, 0.00503355, 0.0037196, 0.00199847, 0.000603814, 0.000138661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.36625, 0.390228, 0.400844, 0.418995, 0.430384, 0.438232, 0.453962, 0.468511, 0.482872, 0.49771, 0.504573, 0.512417, 0.534017, 0.542776, 0.5525, 0.564907, 0.574808, 0.588008, 0.599022, 0.613031, 0.630982, 0.637123, 0.651844, 0.668669, 0.702317, 0.727313");
-            values ( \
-              "0.00598187, 0.0227357, 0.0404771, 0.0744187, 0.0930022, 0.102875, 0.116099, 0.120518, 0.119526, 0.112215, 0.106118, 0.097351, 0.0705594, 0.0607502, 0.0508657, 0.0400348, 0.0327748, 0.0248014, 0.0195401, 0.0143175, 0.00949181, 0.00824424, 0.00587125, 0.00395026, 0.00172283, 0.00107636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.171453, 0.236377, 0.277988, 0.310098, 0.329411, 0.391201, 0.403092, 0.423363, 0.439836, 0.450648, 0.500579, 0.516554, 0.536434, 0.566093, 0.593855, 0.621491, 0.650258, 0.666193, 0.729924, 0.757266, 0.797217, 0.814652, 0.844778, 0.886134, 0.910001, 0.94209, 0.984876, 1.05529");
-            values ( \
-              "0.000140072, 0.00029342, 0.0034315, 0.00409006, 0.00355338, 9.72212e-05, 0.000735406, 0.00637935, 0.0165407, 0.0260432, 0.0842783, 0.100551, 0.115593, 0.128736, 0.132266, 0.130069, 0.121414, 0.113311, 0.0714877, 0.0554261, 0.0367381, 0.0303555, 0.0215654, 0.0132367, 0.00992095, 0.00670741, 0.00392016, 0.00163505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.366159, 0.535307, 0.585262, 0.646122, 0.685433, 0.731302, 0.79091, 0.846898, 0.902895, 0.961073, 1.02614, 1.14225, 1.22262, 1.29099, 1.33771, 1.37961, 1.43548, 1.48237, 1.54532, 1.62926, 1.73388, 1.79255");
-            values ( \
-              "0.00362494, 0.0144065, 0.0404145, 0.0801392, 0.102488, 0.121247, 0.134521, 0.137846, 0.135111, 0.125966, 0.107433, 0.0684939, 0.046258, 0.0320308, 0.0246065, 0.01931, 0.0138376, 0.0104341, 0.00710665, 0.00418599, 0.00214312, 0.00154833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.424764, 0.719923, 0.776718, 0.988359, 1.08999, 1.13757, 1.23273, 1.26024, 1.31525, 1.37565, 1.49103, 1.55558, 1.61154, 1.71616, 1.94332, 2.10587, 2.24225, 2.33609, 2.44374, 2.60886, 2.72178, 2.8818, 2.98642, 3.19567, 3.26691");
-            values ( \
-              "0.0108707, 0.0115698, 0.0232056, 0.08923, 0.114935, 0.123615, 0.134965, 0.136954, 0.139391, 0.140256, 0.137411, 0.133317, 0.128053, 0.114253, 0.0766785, 0.0528691, 0.0373524, 0.0290106, 0.0214682, 0.0133217, 0.00954978, 0.00591334, 0.0043195, 0.00228577, 0.00191824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.541169, 0.547398, 0.561254, 0.565193, 0.571223, 0.576637, 0.581831, 0.587024, 0.58854, 0.592798, 0.598183, 0.601038, 0.605349, 0.610961, 0.617482, 0.622836, 0.627607, 0.631016, 0.637835, 0.646998, 0.654389, 0.66917, 0.689243, 0.714404");
-            values ( \
-              "0.00698765, 0.0179956, 0.0515427, 0.0596001, 0.069435, 0.0741917, 0.0756146, 0.0727865, 0.0707528, 0.0617054, 0.0470762, 0.040923, 0.0326318, 0.0240926, 0.0168549, 0.0124138, 0.00945139, 0.00775552, 0.00519948, 0.00306618, 0.00199632, 0.000830942, 0.000247656, 6.47426e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.548448, 0.564753, 0.57033, 0.587015, 0.592927, 0.602159, 0.610541, 0.618709, 0.626863, 0.629491, 0.632295, 0.644095, 0.651662, 0.656465, 0.664695, 0.672471, 0.677269, 0.683531, 0.687749, 0.693373, 0.703773, 0.716061, 0.72597, 0.745788, 0.755382");
-            values ( \
-              "0.00164075, 0.0203793, 0.0322326, 0.0713506, 0.0825063, 0.0948099, 0.100131, 0.100628, 0.0958837, 0.0926547, 0.0883788, 0.0648842, 0.0515933, 0.0441337, 0.0333338, 0.0253141, 0.0212272, 0.0167797, 0.0142982, 0.0115137, 0.00763632, 0.00470619, 0.00316599, 0.00138186, 0.00104743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.578478, 0.597281, 0.604579, 0.626018, 0.640061, 0.64533, 0.653978, 0.660998, 0.67559, 0.689951, 0.704797, 0.711586, 0.719344, 0.741166, 0.748891, 0.757719, 0.771516, 0.783635, 0.792266, 0.803426, 0.812466, 0.82365, 0.839411, 0.856085, 0.868902, 0.894534, 0.929977");
-            values ( \
-              "0.0136961, 0.0229442, 0.0346658, 0.0745009, 0.0961466, 0.103012, 0.110946, 0.115923, 0.120316, 0.119519, 0.112173, 0.106173, 0.0975278, 0.0704895, 0.0618043, 0.0526744, 0.0404004, 0.0316199, 0.0263794, 0.0207304, 0.0169977, 0.0132309, 0.00921951, 0.00628257, 0.00465945, 0.00251374, 0.00115784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.330309, 0.435, 0.448204, 0.483565, 0.512417, 0.530881, 0.546491, 0.588504, 0.600345, 0.612785, 0.631551, 0.650278, 0.664027, 0.716179, 0.732041, 0.743021, 0.76498, 0.772585, 0.786817, 0.800314, 0.828775, 0.844728, 0.856707, 0.880665, 0.936136, 0.976526, 1.00897, 1.03163, 1.05836, 1.0734, 1.10348, 1.1264, 1.16146, 1.20822, 1.29297, 1.39293");
-            values ( \
-              "4.31409e-05, 0.000223728, 0.000741524, 0.00320432, 0.00391288, 0.00360701, 0.00296961, 0.000409617, 0.00018869, 0.00120242, 0.00719584, 0.0196851, 0.0330659, 0.093894, 0.107948, 0.115654, 0.12618, 0.128488, 0.131167, 0.132111, 0.129734, 0.125756, 0.121287, 0.108542, 0.0716105, 0.0487438, 0.0346816, 0.0269806, 0.0198589, 0.0166607, 0.0116075, 0.00879997, 0.00572583, 0.00316929, 0.000980619, 0.000230241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.337647, 0.442072, 0.491647, 0.531331, 0.563026, 0.665763, 0.685355, 0.71025, 0.760524, 0.866539, 0.9385, 0.998137, 1.05413, 1.11013, 1.16831, 1.23337, 1.34935, 1.42982, 1.49826, 1.58682, 1.68957, 1.75261, 1.83667, 1.94129, 1.95263");
-            values ( \
-              "4.85756e-05, 0.000521113, 0.00527975, 0.00714884, 0.006537, 0.00216838, 0.00236508, 0.00525765, 0.0222232, 0.0881821, 0.121226, 0.134486, 0.137853, 0.135098, 0.125966, 0.107433, 0.0685357, 0.0462655, 0.0320241, 0.0193137, 0.0104363, 0.00710449, 0.00418103, 0.00214105, 0.00202608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.343049, 0.442754, 0.513462, 0.547283, 0.577194, 0.631666, 0.785947, 0.845421, 0.897641, 0.952634, 0.990187, 1.19575, 1.29633, 1.34493, 1.44213, 1.51856, 1.58301, 1.69838, 1.76288, 1.81888, 1.9235, 2.15066, 2.31321, 2.44958, 2.54341, 2.65109, 2.81622, 2.92912, 3.08913, 3.29837, 3.40299, 3.4838");
-            values ( \
-              "4.60383e-05, 0.000585366, 0.00879439, 0.0105891, 0.0105208, 0.0092422, 0.00357855, 0.00368491, 0.00756755, 0.0162107, 0.024824, 0.0892845, 0.114752, 0.123643, 0.135149, 0.139279, 0.140272, 0.137421, 0.133329, 0.128053, 0.114258, 0.0766719, 0.0528614, 0.0373447, 0.0290208, 0.0214584, 0.0133113, 0.00954001, 0.00590372, 0.00312214, 0.00229586, 0.00187905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.998631, 1.00798, 1.02817, 1.03372, 1.04039, 1.04583, 1.05127, 1.05669, 1.06363, 1.06711, 1.07591, 1.08425, 1.09239, 1.09696, 1.10351, 1.11122, 1.11729, 1.12942, 1.13951");
-            values ( \
-              "0.00208505, 0.0145732, 0.0548034, 0.0635356, 0.0705397, 0.072553, 0.0707356, 0.0619971, 0.044217, 0.0371053, 0.0233629, 0.0148033, 0.00932988, 0.00717195, 0.00490395, 0.00316083, 0.00223369, 0.00111002, 0.000715046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.00273, 1.02245, 1.03211, 1.05835, 1.06799, 1.07664, 1.08498, 1.09331, 1.0972, 1.11665, 1.13181, 1.15174, 1.17073, 1.18315, 1.20129");
-            values ( \
-              "1e-22, 0.0105224, 0.0257632, 0.0782666, 0.0914775, 0.0975498, 0.0988484, 0.0946211, 0.0899298, 0.0539345, 0.0326611, 0.0157787, 0.00754016, 0.00462939, 0.00264757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.04394, 1.06374, 1.07075, 1.10246, 1.11327, 1.12922, 1.14389, 1.15834, 1.17277, 1.17975, 1.18719, 1.21205, 1.22803, 1.23769, 1.24904, 1.26959, 1.27705, 1.28913, 1.30524, 1.32937, 1.34852, 1.38683, 1.3927");
-            values ( \
-              "0.0127498, 0.0220463, 0.032294, 0.0867974, 0.101178, 0.114797, 0.119717, 0.118912, 0.112241, 0.106207, 0.0980878, 0.0676624, 0.0510366, 0.0423792, 0.0337891, 0.0218619, 0.0185841, 0.0142092, 0.00983797, 0.0056447, 0.0035891, 0.001371, 0.00125107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("1.06951, 1.12083, 1.13021, 1.1432, 1.17068, 1.17758, 1.18448, 1.19138, 1.1945, 1.19763, 1.20075, 1.20624, 1.20972, 1.21307, 1.21636, 1.22295, 1.23831, 1.24576, 1.24874, 1.2547, 1.2587, 1.26668, 1.27761, 1.28454, 1.29146, 1.29839, 1.30534, 1.31229, 1.31923, 1.32618, 1.3295, 1.33393, 1.3428, 1.35527, 1.37606, 1.3927, 1.4147, 1.4231, 1.43772, 1.45016, 1.46856, 1.47621, 1.48004, 1.49152, 1.50929, 1.52189, 1.53524, 1.55575, 1.57773, 1.59771");
-            values ( \
-              "0.00768378, 0.0205595, 0.0296066, 0.0435309, 0.0765452, 0.0838325, 0.0937971, 0.106439, 0.106609, 0.107091, 0.107884, 0.110034, 0.111912, 0.114139, 0.118839, 0.12047, 0.126287, 0.128739, 0.129539, 0.130844, 0.130895, 0.130816, 0.130312, 0.129757, 0.129019, 0.128098, 0.126744, 0.125175, 0.12339, 0.121391, 0.1202, 0.118042, 0.113178, 0.105452, 0.0919577, 0.0806087, 0.0663559, 0.0614921, 0.0535504, 0.0473167, 0.0389679, 0.0357081, 0.0343008, 0.0303168, 0.0248999, 0.0214074, 0.0181845, 0.0143446, 0.011078, 0.00845535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.684305, 0.878759, 0.953078, 1.00012, 1.05679, 1.13924, 1.16101, 1.18842, 1.23587, 1.33717, 1.38713, 1.40901, 1.45276, 1.46845, 1.4971, 1.52437, 1.58107, 1.63855, 1.70352, 1.81452, 1.89818, 1.96913, 2.05556, 2.09665, 2.15529, 2.23144, 2.33297, 2.43759, 2.44125");
-            values ( \
-              "1.28998e-05, 0.0002991, 0.00459677, 0.0059581, 0.00496477, 0.00219377, 0.00283978, 0.00698191, 0.0246425, 0.0881808, 0.113235, 0.121239, 0.131875, 0.134291, 0.136809, 0.13765, 0.13489, 0.12583, 0.107445, 0.0701325, 0.0467169, 0.0319301, 0.0194813, 0.0152856, 0.0107209, 0.00672891, 0.00352849, 0.00179504, 0.0017717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.690124, 0.877051, 0.973811, 1.0142, 1.09791, 1.27914, 1.32479, 1.37299, 1.42734, 1.49981, 1.72531, 1.81301, 1.91764, 1.9718, 2.05109, 2.15571, 2.28691, 2.39153, 2.78124, 2.91756, 3.11912, 3.2843, 3.39714, 3.55711, 3.87097, 3.88094");
-            values ( \
-              "1.40701e-05, 0.00034608, 0.00761866, 0.00924175, 0.00897184, 0.00334196, 0.00423862, 0.00848509, 0.0175798, 0.0364851, 0.106038, 0.123661, 0.135848, 0.138855, 0.140282, 0.137961, 0.128104, 0.114225, 0.0528906, 0.0373747, 0.0214816, 0.0133325, 0.00956299, 0.00592708, 0.00227383, 0.00223937" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00451799", \
-            "0.00519207", \
-            "0.0055483", \
-            "0.00573223", \
-            "0.00582252", \
-            "0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00544368", \
-            "0.00531763", \
-            "0.00510281", \
-            "0.00493081", \
-            "0.004856", \
-            "0.00482198" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0039822, 0.0039829, 0.0039836, 0.00398414, 0.00398447, 0.00398466", \
-            "0.00437107, 0.00437133, 0.00437167, 0.00437199, 0.00437222, 0.00437235", \
-            "0.00459594, 0.00459598, 0.00459604, 0.00459616, 0.00459627, 0.00459634", \
-            "0.00473285, 0.00473284, 0.00473285, 0.00473286, 0.00473289, 0.00473292", \
-            "0.0048145, 0.0048145, 0.00481451, 0.00481452, 0.00481452, 0.00481454", \
-            "0.00487253, 0.00487253, 0.00487254, 0.00487254, 0.00487255, 0.00487255" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00513102, 0.00513123, 0.00513154, 0.00513187, 0.00513211, 0.00513226", \
-            "0.00550539, 0.00550536, 0.00550542, 0.00550557, 0.00550571, 0.00550582", \
-            "0.00572412, 0.00572415, 0.00572426, 0.00572435, 0.00572446, 0.00572456", \
-            "0.00588823, 0.00588802, 0.00588781, 0.00588772, 0.0058877, 0.00588772", \
-            "0.00605871, 0.00605806, 0.00605705, 0.00605588, 0.0060549, 0.00605427", \
-            "0.00612547, 0.00612957, 0.00613344, 0.00613633, 0.00613834, 0.00613948" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & ~D & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.229623, 0.266544, 0.337586, 0.476068, 0.757168, 1.3448", \
-            "0.234398, 0.271314, 0.342304, 0.480874, 0.761981, 1.34963", \
-            "0.246122, 0.282947, 0.354062, 0.492493, 0.773523, 1.36109", \
-            "0.271863, 0.308676, 0.379767, 0.518317, 0.799455, 1.38696", \
-            "0.330124, 0.367112, 0.438385, 0.576866, 0.858194, 1.4458", \
-            "0.438425, 0.477425, 0.551026, 0.690935, 0.972968, 1.56063" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0349801, 0.0550069, 0.097003, 0.186367, 0.376259, 0.7761", \
-            "0.0350421, 0.0550252, 0.0969804, 0.186369, 0.376262, 0.776099", \
-            "0.0350327, 0.0550266, 0.0969933, 0.186351, 0.375984, 0.776194", \
-            "0.0349806, 0.0550464, 0.0969926, 0.186358, 0.376243, 0.776127", \
-            "0.0352168, 0.0551005, 0.0969991, 0.186192, 0.376228, 0.776138", \
-            "0.0366788, 0.0563378, 0.0976119, 0.186374, 0.37625, 0.776067" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.20244, 0.209847, 0.211862, 0.214472, 0.218104, 0.220726, 0.223348, 0.224659, 0.226705, 0.22744, 0.228175, 0.228911, 0.231358, 0.232082, 0.233048, 0.234014, 0.234823, 0.235632, 0.23725, 0.238538, 0.239826, 0.241114, 0.242483, 0.243618, 0.244591, 0.245563, 0.247508, 0.248212, 0.251532, 0.253697, 0.256755, 0.258797, 0.261436, 0.263468, 0.264619, 0.266923, 0.27013, 0.270896, 0.27243, 0.273963, 0.275216, 0.277722, 0.279731, 0.281242, 0.282753, 0.283508, 0.28653, 0.289551, 0.293318, 0.296976");
-            values ( \
-              "0.0336053, 0.0351989, 0.0403859, 0.0467686, 0.0551107, 0.0602822, 0.0647699, 0.0668401, 0.0698401, 0.0706844, 0.0714659, 0.0721344, 0.0741495, 0.0746841, 0.0749523, 0.0751286, 0.0752006, 0.0752114, 0.0750497, 0.0747461, 0.0742876, 0.0736741, 0.0728419, 0.07127, 0.0695677, 0.067688, 0.0633959, 0.0616485, 0.0525218, 0.0470769, 0.0400756, 0.0358447, 0.0313206, 0.028157, 0.0264865, 0.023413, 0.0195426, 0.0186619, 0.0171711, 0.0157749, 0.0147491, 0.012797, 0.0113283, 0.0103187, 0.00942146, 0.00900349, 0.00760895, 0.00639501, 0.00516105, 0.0040795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.208059, 0.221113, 0.241993, 0.248463, 0.257635, 0.265988, 0.274139, 0.282275, 0.285007, 0.28792, 0.299093, 0.304776, 0.312643, 0.320307, 0.327129, 0.332196, 0.33876, 0.34423, 0.350737, 0.359966, 0.369854, 0.377476, 0.39272, 0.42085, 0.454445");
-            values ( \
-              "0.00268118, 0.0224007, 0.0710518, 0.0831263, 0.0953216, 0.10032, 0.100855, 0.0958927, 0.0925795, 0.0880599, 0.0657292, 0.0554738, 0.0430211, 0.0331194, 0.0260167, 0.0216092, 0.016895, 0.0137229, 0.0106693, 0.00741619, 0.00502588, 0.00371164, 0.00199104, 0.000570553, 0.00012175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.239738, 0.25663, 0.289261, 0.300457, 0.3162, 0.330756, 0.345124, 0.359968, 0.374611, 0.404191, 0.426765, 0.447256, 0.467782, 0.494641, 0.523866, 0.54925, 0.568483");
-            values ( \
-              "0.0248212, 0.0293201, 0.0879331, 0.102697, 0.116055, 0.120397, 0.119531, 0.112181, 0.0974117, 0.0616609, 0.0403406, 0.0264808, 0.0169434, 0.00920777, 0.00468268, 0.00254285, 0.00180779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0397605, 0.0975805, 0.161555, 0.193972, 0.251941, 0.264674, 0.279644, 0.309306, 0.362903, 0.398743, 0.428405, 0.456168, 0.483804, 0.512571, 0.642326, 0.676968, 0.720877, 0.772315, 0.8472, 0.863174");
-            values ( \
-              "0.000309065, 0.00022247, 0.00408234, 0.00340487, 0.00011218, 0.000651768, 0.00407538, 0.0227265, 0.0842716, 0.115533, 0.128789, 0.132202, 0.13013, 0.121409, 0.0440656, 0.0303555, 0.0183698, 0.00992031, 0.00392018, 0.00340743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.226972, 0.398138, 0.563282, 0.593767, 0.653344, 0.709379, 0.765377, 0.821188, 0.888619, 1.00472, 1.0851, 1.15347, 1.24209, 1.34484, 1.40781, 1.49175, 1.59457");
-            values ( \
-              "0.00332762, 0.0144858, 0.109736, 0.121296, 0.134472, 0.137892, 0.135075, 0.126517, 0.107435, 0.0684964, 0.0462587, 0.0320299, 0.0193104, 0.0104344, 0.00710672, 0.00418524, 0.00266058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0493017, 0.103345, 0.162259, 0.195032, 0.227427, 0.280395, 0.419452, 0.469416, 0.539304, 0.566549, 0.663404, 0.851001, 0.954371, 1.00006, 1.09143, 1.12266, 1.18174, 1.23807, 1.35345, 1.41787, 1.47395, 1.5786, 1.80575, 1.96834, 2.1046, 2.19836, 2.30621, 2.47147, 2.58422, 2.74416, 2.8488, 3.05809, 3.13569");
-            values ( \
-              "0.000218751, 0.000648308, 0.00852837, 0.0106373, 0.010664, 0.0093874, 0.00412951, 0.00320333, 0.00613496, 0.00925909, 0.0296825, 0.0893136, 0.11541, 0.123629, 0.134701, 0.136925, 0.139547, 0.140223, 0.137377, 0.133295, 0.128086, 0.114218, 0.0767016, 0.0528855, 0.0373775, 0.0290042, 0.0214809, 0.0133295, 0.00956372, 0.00592856, 0.00430601, 0.00227207, 0.0018719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.209838, 0.216647, 0.218476, 0.232681, 0.236301, 0.242307, 0.247702, 0.252883, 0.258062, 0.259689, 0.268766, 0.277295, 0.2853, 0.290562, 0.296128, 0.303068, 0.309505, 0.322776, 0.328959");
-            values ( \
-              "0.0060724, 0.0143063, 0.0176224, 0.0524144, 0.0598881, 0.0696815, 0.0744357, 0.0757631, 0.0729205, 0.0706705, 0.0482776, 0.0310741, 0.0201622, 0.0150107, 0.0109228, 0.00730759, 0.00501385, 0.00233244, 0.00172122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.223886, 0.236327, 0.257272, 0.263849, 0.27303, 0.281387, 0.28954, 0.297679, 0.300396, 0.322758, 0.335685, 0.347667, 0.359585, 0.375352, 0.392962, 0.408301, 0.417492");
-            values ( \
-              "0.00439685, 0.0219219, 0.0706583, 0.083054, 0.095224, 0.10032, 0.100797, 0.0959175, 0.092589, 0.0511294, 0.033147, 0.0215565, 0.0137495, 0.00742152, 0.00369965, 0.00197634, 0.00151585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.255742, 0.280286, 0.299163, 0.31427, 0.324778, 0.331445, 0.346053, 0.360414, 0.375265, 0.389762, 0.419295, 0.441951, 0.462845, 0.482869, 0.494081, 0.509864, 0.539509, 0.565284, 0.592355");
-            values ( \
-              "0.0187461, 0.0435092, 0.0789479, 0.101102, 0.111227, 0.11572, 0.12035, 0.119374, 0.112159, 0.09758, 0.0618716, 0.0404256, 0.0263194, 0.0170257, 0.0132438, 0.00922505, 0.0046451, 0.00249749, 0.00148194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0549231, 0.118466, 0.176799, 0.209941, 0.267831, 0.280618, 0.295874, 0.324721, 0.378321, 0.414154, 0.443819, 0.471583, 0.499219, 0.527986, 0.65774, 0.692385, 0.736291, 0.787729, 0.862622, 0.879297");
-            values ( \
-              "0.000292319, 0.000430587, 0.00406175, 0.00336221, 9.0039e-05, 0.000729603, 0.00434769, 0.0227076, 0.0843003, 0.115544, 0.128766, 0.132219, 0.13011, 0.12141, 0.0440665, 0.0303548, 0.0183703, 0.00992069, 0.00391966, 0.00338456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.242807, 0.413737, 0.576978, 0.609365, 0.668989, 0.724976, 0.780973, 0.836785, 0.904217, 1.02035, 1.1007, 1.16906, 1.25769, 1.36045, 1.42339, 1.50732, 1.60252");
-            values ( \
-              "0.00333317, 0.0145063, 0.108907, 0.121272, 0.134498, 0.13787, 0.135096, 0.126496, 0.107434, 0.0684889, 0.0462574, 0.0320311, 0.0193097, 0.010434, 0.00710709, 0.00418618, 0.00277407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.0644948, 0.119362, 0.177834, 0.210499, 0.242854, 0.28075, 0.435018, 0.484709, 0.554508, 0.581861, 0.679788, 0.866377, 0.969874, 1.01546, 1.10664, 1.13806, 1.19715, 1.25348, 1.36886, 1.43327, 1.48936, 1.594, 1.82115, 1.98375, 2.12001, 2.21377, 2.32161, 2.48687, 2.59963, 2.75957, 2.86421, 3.0735, 3.15531");
-            values ( \
-              "0.000226892, 0.000643498, 0.00852448, 0.0106155, 0.0106392, 0.00980643, 0.00410186, 0.00322571, 0.00609475, 0.00926988, 0.0299831, 0.0893274, 0.115407, 0.123651, 0.134661, 0.136947, 0.139524, 0.140246, 0.137399, 0.133317, 0.128064, 0.11424, 0.0766813, 0.0528656, 0.0373585, 0.0290226, 0.0214628, 0.0133117, 0.00954597, 0.00591086, 0.00432358, 0.00228958, 0.0020018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.248507, 0.25574, 0.258082, 0.265948, 0.273467, 0.279478, 0.28487, 0.290049, 0.295385, 0.298534, 0.30593, 0.311058, 0.314461, 0.319511, 0.322463, 0.327724, 0.333291, 0.340231, 0.346667, 0.354106, 0.359935, 0.371592, 0.373884");
-            values ( \
-              "0.00723983, 0.0178858, 0.023196, 0.0436685, 0.0597786, 0.069852, 0.0743304, 0.0759018, 0.0727263, 0.0675965, 0.0482818, 0.0372057, 0.0310737, 0.0236679, 0.0201639, 0.0150123, 0.0109234, 0.0073078, 0.00501424, 0.00326644, 0.00233285, 0.00118021, 0.00108449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.263369, 0.27353, 0.2945, 0.301045, 0.310226, 0.318584, 0.326737, 0.334876, 0.33759, 0.359947, 0.372877, 0.384876, 0.396773, 0.412546, 0.430175, 0.445531, 0.453804");
-            values ( \
-              "0.0101976, 0.022024, 0.0707964, 0.082986, 0.0952858, 0.100253, 0.100855, 0.0958556, 0.0925939, 0.0511416, 0.0331512, 0.0215468, 0.013754, 0.00742218, 0.00369769, 0.00197329, 0.00155962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.294346, 0.3107, 0.340303, 0.353093, 0.368852, 0.383408, 0.397775, 0.412618, 0.427277, 0.456863, 0.479427, 0.499873, 0.520455, 0.547299, 0.576476, 0.601814, 0.62122");
-            values ( \
-              "0.0293627, 0.0316792, 0.0853995, 0.102742, 0.116046, 0.120438, 0.119509, 0.112188, 0.0973947, 0.0616358, 0.04033, 0.0264989, 0.0169343, 0.00920608, 0.00468703, 0.00254824, 0.00180489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.092124, 0.151938, 0.189989, 0.219139, 0.306562, 0.319655, 0.336249, 0.362119, 0.415445, 0.451297, 0.480946, 0.508705, 0.536339, 0.565105, 0.694873, 0.729484, 0.773423, 0.824861, 0.899652, 0.918269");
-            values ( \
-              "0.000316001, 0.000303638, 0.00327039, 0.00410876, 9.03328e-05, 0.000972292, 0.00561552, 0.0228972, 0.0843783, 0.115652, 0.128743, 0.132281, 0.130071, 0.121417, 0.0440609, 0.0303598, 0.0183681, 0.00991994, 0.0039231, 0.00332585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.0969198, 0.152217, 0.200695, 0.235169, 0.267048, 0.372361, 0.391445, 0.415939, 0.445368, 0.480801, 0.574338, 0.622625, 0.646382, 0.693897, 0.729693, 0.761731, 0.817341, 0.856272, 0.875886, 0.940902, 1.05047, 1.13477, 1.21158, 1.29029, 1.3323, 1.39166, 1.50078, 1.59546, 1.67636");
-            values ( \
-              "0.000244216, 0.000420418, 0.0056327, 0.00734401, 0.00674999, 0.00213475, 0.00228571, 0.00484504, 0.0125281, 0.0287361, 0.0881958, 0.112634, 0.121255, 0.132649, 0.136623, 0.137635, 0.135035, 0.129745, 0.125843, 0.107406, 0.0705587, 0.0468798, 0.0310245, 0.0197833, 0.0154364, 0.0107847, 0.00553149, 0.00303032, 0.0018799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.100205, 0.146354, 0.159427, 0.208315, 0.219842, 0.235516, 0.247986, 0.267393, 0.281397, 0.321027, 0.35602, 0.449901, 0.494603, 0.553162, 0.604926, 0.639744, 0.684553, 0.744298, 0.90257, 0.988785, 1.05139, 1.15603, 1.21035, 1.28955, 1.3942, 1.40489, 1.42629, 1.46909, 1.52538, 1.63002, 1.85717, 1.91513, 2.01977, 2.08569, 2.15599, 2.24973, 2.31215, 2.35764, 2.41828, 2.52293, 2.63564, 2.69091, 2.79555, 2.9002, 3.00484, 3.10948, 3.31876, 3.63269");
-            values ( \
-              "0.000192561, 0.000314625, 0.000969422, 0.00788595, 0.00909537, 0.0101767, 0.0106593, 0.0107912, 0.0106199, 0.00968097, 0.00854646, 0.00480156, 0.00352562, 0.00372601, 0.00761917, 0.0125732, 0.0217378, 0.0383782, 0.0893797, 0.11182, 0.12362, 0.135803, 0.138826, 0.140281, 0.137941, 0.137412, 0.136283, 0.133338, 0.128092, 0.114229, 0.0766969, 0.0676473, 0.0528794, 0.0448578, 0.0373765, 0.0290105, 0.0244026, 0.0214779, 0.0180603, 0.0133258, 0.00956179, 0.00810421, 0.00592721, 0.00430792, 0.00314454, 0.00227381, 0.00119447, 0.000465151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.334888, 0.344295, 0.360324, 0.367569, 0.371672, 0.375627, 0.379295, 0.382057, 0.387322, 0.396707, 0.404957, 0.41105, 0.414386, 0.420087, 0.427088, 0.433502, 0.437969, 0.446575, 0.458049, 0.477766, 0.501752");
-            values ( \
-              "0.0010564, 0.0218839, 0.0602053, 0.0711915, 0.0744958, 0.075764, 0.0749971, 0.0727659, 0.0633577, 0.0395307, 0.0254526, 0.0182861, 0.0150954, 0.0108922, 0.00725103, 0.0050344, 0.00383592, 0.00232344, 0.00123538, 0.000331319, 0.000124208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.353767, 0.364853, 0.374349, 0.38159, 0.388169, 0.397359, 0.405721, 0.413877, 0.422018, 0.424717, 0.427596, 0.438968, 0.447042, 0.452149, 0.459991, 0.467106, 0.472092, 0.478565, 0.483862, 0.490409, 0.49967, 0.509679, 0.517409, 0.532867, 0.561063, 0.59479");
-            values ( \
-              "0.0192666, 0.0308965, 0.0541963, 0.0705559, 0.0829087, 0.0951834, 0.100249, 0.100795, 0.0958779, 0.0926029, 0.0881531, 0.0654547, 0.0512187, 0.0433627, 0.0331811, 0.0257978, 0.0214888, 0.0168567, 0.0137834, 0.0106998, 0.00742837, 0.00500993, 0.00368411, 0.0019577, 0.000559255, 0.000118259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.380128, 0.398523, 0.423574, 0.440211, 0.455959, 0.470515, 0.484882, 0.499236, 0.514399, 0.543989, 0.566546, 0.586953, 0.607583, 0.634414, 0.663551, 0.688852, 0.710005");
-            values ( \
-              "0.0238779, 0.0328058, 0.0789349, 0.102856, 0.115956, 0.120527, 0.119425, 0.112596, 0.0973852, 0.061612, 0.0403187, 0.0265131, 0.0169273, 0.00920521, 0.00469013, 0.00255313, 0.00174095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.172617, 0.241828, 0.276221, 0.30331, 0.39132, 0.404233, 0.418997, 0.449092, 0.516873, 0.53854, 0.568192, 0.595953, 0.623588, 0.652354, 0.782115, 0.816743, 0.860665, 0.912103, 0.986946, 1.0056");
-            values ( \
-              "0.00013818, 0.000402149, 0.0032096, 0.00409434, 0.000102382, 0.000645095, 0.00392, 0.0227287, 0.0989969, 0.11557, 0.128793, 0.132217, 0.130126, 0.12141, 0.0440625, 0.0303585, 0.0183681, 0.00991926, 0.00392238, 0.00332293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.366008, 0.537816, 0.583044, 0.661514, 0.692656, 0.733461, 0.793065, 0.849045, 0.905037, 0.963213, 1.02828, 1.14453, 1.22479, 1.29309, 1.38178, 1.43771, 1.48453, 1.5474, 1.63123, 1.73587, 1.78002");
-            values ( \
-              "0.00323446, 0.0145107, 0.0376977, 0.0882591, 0.105046, 0.121283, 0.134538, 0.137854, 0.135126, 0.125971, 0.107434, 0.0684514, 0.0462505, 0.0320374, 0.0193062, 0.0138298, 0.0104318, 0.00710886, 0.00419079, 0.002145, 0.00169718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.183205, 0.223813, 0.241743, 0.302764, 0.333332, 0.350652, 0.366318, 0.404888, 0.561222, 0.611112, 0.683326, 0.722345, 0.771726, 0.830951, 0.989682, 1.09052, 1.13881, 1.2354, 1.26147, 1.3136, 1.37687, 1.48151, 1.49224, 1.55661, 1.61274, 1.71738, 1.94453, 2.10713, 2.24338, 2.33713, 2.44499, 2.50561, 2.61025, 2.723, 2.88294, 2.98758, 3.19687, 3.40615, 3.72007");
-            values ( \
-              "0.000129299, 0.000238722, 0.000580859, 0.00867838, 0.0106062, 0.0108102, 0.0106519, 0.00978191, 0.00403139, 0.00321775, 0.006603, 0.0117729, 0.0216951, 0.0381677, 0.0893064, 0.114858, 0.123641, 0.13513, 0.136966, 0.139339, 0.140256, 0.137905, 0.137406, 0.133326, 0.128067, 0.114242, 0.076681, 0.0528651, 0.0373593, 0.0290231, 0.0214633, 0.0180776, 0.013312, 0.00954673, 0.00591176, 0.00432282, 0.00228878, 0.00120945, 0.00045015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.539834, 0.548369, 0.562308, 0.566575, 0.572639, 0.578073, 0.58328, 0.588486, 0.591353, 0.600085, 0.60593, 0.610646, 0.618305, 0.623723, 0.629995, 0.634313, 0.643085, 0.64846, 0.659209, 0.661125");
-            values ( \
-              "0.00614133, 0.0167717, 0.0503566, 0.0591822, 0.0691154, 0.0739776, 0.0754414, 0.0726765, 0.0683123, 0.045902, 0.0341917, 0.0265586, 0.0174867, 0.0128428, 0.00897083, 0.00698234, 0.00419197, 0.00306876, 0.00163385, 0.00151828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.551977, 0.566549, 0.582838, 0.594278, 0.602126, 0.606181, 0.611859, 0.620018, 0.628179, 0.630802, 0.652969, 0.666016, 0.678642, 0.689078, 0.705111, 0.717481, 0.727469, 0.747444, 0.749985");
-            values ( \
-              "0.000651561, 0.0218173, 0.0595134, 0.0826848, 0.0934555, 0.0970476, 0.100058, 0.100541, 0.0958344, 0.0926114, 0.0516048, 0.0333316, 0.0211897, 0.014298, 0.00763529, 0.00469145, 0.00314666, 0.00136424, 0.00127806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.582104, 0.598473, 0.606072, 0.627335, 0.641403, 0.646667, 0.655327, 0.662352, 0.676957, 0.691325, 0.706176, 0.712932, 0.720652, 0.74257, 0.750179, 0.758874, 0.772847, 0.785245, 0.793798, 0.804872, 0.81375, 0.824974, 0.840765, 0.857553, 0.870469, 0.8963, 0.9298");
-            values ( \
-              "0.0192604, 0.0228252, 0.0350245, 0.0743979, 0.095959, 0.102904, 0.110784, 0.115851, 0.120206, 0.119502, 0.112136, 0.106188, 0.0975953, 0.070456, 0.0619057, 0.0528951, 0.0404416, 0.0314718, 0.0262979, 0.0207032, 0.0170363, 0.0132507, 0.00922647, 0.00627109, 0.00464052, 0.00249039, 0.00123733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.332682, 0.436587, 0.452288, 0.486138, 0.511148, 0.536216, 0.593117, 0.605484, 0.618924, 0.636535, 0.652138, 0.67705, 0.708966, 0.73292, 0.744839, 0.768675, 0.785894, 0.802138, 0.83114, 0.841885, 0.858529, 0.884714, 0.940707, 0.986323, 1.02694, 1.06161, 1.08022, 1.11341, 1.1514, 1.18176, 1.24249, 1.26921");
-            values ( \
-              "4.55683e-05, 0.000228653, 0.000924912, 0.00324939, 0.00390153, 0.00347238, 0.000287777, 0.000276153, 0.00214211, 0.00892586, 0.0198685, 0.0460736, 0.084435, 0.107271, 0.115681, 0.12686, 0.130826, 0.132133, 0.129643, 0.127144, 0.121273, 0.107048, 0.0698451, 0.044901, 0.029014, 0.0195265, 0.0156904, 0.0105097, 0.0066228, 0.00454424, 0.00206389, 0.00163046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.339171, 0.437002, 0.52376, 0.566967, 0.671241, 0.692293, 0.718543, 0.758712, 0.867997, 0.939994, 0.999579, 1.05555, 1.11154, 1.16972, 1.23479, 1.35114, 1.43132, 1.49957, 1.58832, 1.69107, 1.75387, 1.8376, 1.94224, 1.96273");
-            values ( \
-              "4.85292e-05, 0.000305264, 0.00699649, 0.00642468, 0.00208275, 0.00273776, 0.00664239, 0.0206928, 0.0882354, 0.121306, 0.13456, 0.137855, 0.135128, 0.125971, 0.107434, 0.0684176, 0.0462448, 0.0320426, 0.0193034, 0.0104303, 0.00711091, 0.00419461, 0.00214696, 0.00193891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.344226, 0.422872, 0.44611, 0.51104, 0.550535, 0.617251, 0.799235, 0.863983, 0.904956, 0.951885, 1.01446, 1.19638, 1.29735, 1.34546, 1.44169, 1.52098, 1.58352, 1.69889, 1.76328, 1.8194, 1.92404, 2.15119, 2.31378, 2.45003, 2.54379, 2.65165, 2.81692, 2.92966, 3.08959, 3.40352, 3.51119");
-            values ( \
-              "4.73999e-05, 0.000174444, 0.000797553, 0.00854268, 0.0105985, 0.00963606, 0.00333723, 0.00454465, 0.00834132, 0.0159124, 0.031247, 0.0892977, 0.11489, 0.123624, 0.1351, 0.139364, 0.140246, 0.137398, 0.133318, 0.128072, 0.114236, 0.076684, 0.0528676, 0.0373599, 0.0290231, 0.0214623, 0.0133107, 0.00954523, 0.00591015, 0.00229071, 0.00191148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.994764, 1.00361, 1.00811, 1.03512, 1.04087, 1.04631, 1.05175, 1.05718, 1.06763, 1.07642, 1.09043, 1.10409, 1.11795, 1.12653");
-            values ( \
-              "0.00326746, 0.00786938, 0.0138071, 0.0648368, 0.070314, 0.072627, 0.0705547, 0.0620656, 0.0370149, 0.023308, 0.0106854, 0.00492637, 0.00217517, 0.00167402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.005, 1.02581, 1.02753, 1.03545, 1.05491, 1.05884, 1.06671, 1.07207, 1.07715, 1.08551, 1.09387, 1.09759, 1.11773, 1.13133, 1.14154, 1.14986, 1.15546, 1.16729, 1.17601, 1.18902, 1.20636, 1.21604, 1.21735");
-            values ( \
-              "7.14218e-06, 0.0141803, 0.0165727, 0.0309372, 0.0709732, 0.0779975, 0.0891514, 0.0942859, 0.0973326, 0.0985963, 0.0945455, 0.0900583, 0.0530015, 0.0337833, 0.0235631, 0.0172834, 0.0139943, 0.00885136, 0.00628909, 0.00375828, 0.00184305, 0.00125535, 0.00121762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.05011, 1.06777, 1.09417, 1.11392, 1.1299, 1.14459, 1.15905, 1.1735, 1.18781, 1.21596, 1.23413, 1.2534, 1.27964, 1.30398, 1.33339, 1.35713, 1.37664");
-            values ( \
-              "0.0221408, 0.0269057, 0.0719913, 0.100961, 0.114607, 0.119635, 0.118812, 0.11222, 0.0981822, 0.0640766, 0.0460586, 0.031338, 0.0178405, 0.0102936, 0.00522475, 0.00296238, 0.00208233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.6797, 0.84133, 0.876357, 0.896013, 0.932212, 0.968716, 0.98384, 1.00217, 1.06136, 1.0747, 1.09329, 1.1109, 1.12477, 1.14649, 1.17786, 1.2022, 1.21588, 1.23326, 1.24342, 1.25775, 1.27121, 1.29879, 1.31379, 1.32766, 1.35541, 1.41172, 1.427, 1.4553, 1.48162, 1.50273, 1.52908, 1.54349, 1.57232, 1.59724, 1.60992, 1.63526, 1.68596, 1.77429, 1.87893");
-            values ( \
-              "1.17301e-05, 4.53299e-05, 0.000195579, 0.000717478, 0.00230847, 0.00301177, 0.00299852, 0.00265368, 0.000333189, 0.000618335, 0.00422983, 0.012771, 0.023561, 0.0467988, 0.0840576, 0.107081, 0.116497, 0.124869, 0.128111, 0.130908, 0.131882, 0.129789, 0.12625, 0.121235, 0.106167, 0.0687691, 0.0597172, 0.0450268, 0.0340695, 0.0269383, 0.0199307, 0.0168372, 0.0119227, 0.00882216, 0.00756078, 0.0055332, 0.00289909, 0.000843775, 0.000177798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.687449, 0.876521, 0.957415, 1.00222, 1.06104, 1.12905, 1.14891, 1.17315, 1.20417, 1.23203, 1.33775, 1.40965, 1.46927, 1.52525, 1.58124, 1.63942, 1.70449, 1.82068, 1.90098, 1.96931, 2.05798, 2.16073, 2.22364, 2.30751, 2.41215, 2.44594");
-            values ( \
-              "1.31979e-05, 0.000254231, 0.00480748, 0.00595097, 0.00482288, 0.00238262, 0.00224139, 0.00410274, 0.0112049, 0.022574, 0.0883011, 0.121261, 0.134537, 0.137845, 0.135128, 0.125969, 0.107434, 0.0684684, 0.0462534, 0.0320348, 0.0193075, 0.0104326, 0.00710781, 0.00418891, 0.00214399, 0.00180138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.695092, 0.877311, 0.984222, 1.01767, 1.0745, 1.11044, 1.26392, 1.29582, 1.34778, 1.4231, 1.48073, 1.6672, 1.76851, 1.8162, 1.91158, 1.99328, 2.0542, 2.16957, 2.23391, 2.29007, 2.39471, 2.62186, 2.78446, 2.9207, 3.01445, 3.12232, 3.28759, 3.40033, 3.56026, 3.87418, 3.93194");
-            values ( \
-              "1.35611e-05, 0.000295656, 0.00809686, 0.00925114, 0.00934788, 0.00867853, 0.00352466, 0.00333252, 0.0055855, 0.0161016, 0.0300783, 0.0894058, 0.115038, 0.123676, 0.135059, 0.139427, 0.140258, 0.137405, 0.133326, 0.128072, 0.114239, 0.0766825, 0.0528659, 0.0373595, 0.0290244, 0.0214618, 0.0133102, 0.00954511, 0.00591018, 0.00229066, 0.00208726" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00451799", \
-            "0.00519207", \
-            "0.0055483", \
-            "0.00573223", \
-            "0.00582252", \
-            "0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00544368", \
-            "0.00531763", \
-            "0.00510281", \
-            "0.00493081", \
-            "0.004856", \
-            "0.00482198" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00422218, 0.00422291, 0.00422365, 0.00422423, 0.00422469, 0.00422479", \
-            "0.00466198, 0.00466226, 0.00466263, 0.00466297, 0.00466321, 0.00466335", \
-            "0.00490492, 0.00490496, 0.00490503, 0.00490516, 0.00490528, 0.00490536", \
-            "0.00504969, 0.00504966, 0.0050497, 0.0050497, 0.00504974, 0.00504977", \
-            "0.0051385, 0.0051385, 0.0051385, 0.00513852, 0.00513852, 0.00513854", \
-            "0.00521683, 0.00521683, 0.00521684, 0.00521684, 0.00521685, 0.00521685" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00537599, 0.00537614, 0.00537642, 0.00537673, 0.00537701, 0.00537712", \
-            "0.00565027, 0.00565021, 0.00565025, 0.00565038, 0.00565051, 0.00565061", \
-            "0.00582477, 0.00582479, 0.00582486, 0.00582492, 0.00582502, 0.0058251", \
-            "0.00602889, 0.00602873, 0.00602851, 0.00602846, 0.00602843, 0.00602846", \
-            "0.00633696, 0.00633629, 0.00633527, 0.0063341, 0.00633311, 0.00633247", \
-            "0.00674964, 0.00675404, 0.00675776, 0.00676074, 0.00676264, 0.00676378" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.229623, 0.266544, 0.337586, 0.476068, 0.757168, 1.3448", \
-            "0.234398, 0.271314, 0.342304, 0.480874, 0.761981, 1.34963", \
-            "0.246122, 0.282947, 0.354062, 0.492493, 0.773523, 1.36109", \
-            "0.271863, 0.308676, 0.379767, 0.518317, 0.799455, 1.38696", \
-            "0.330124, 0.367112, 0.438385, 0.576866, 0.858194, 1.4458", \
-            "0.438425, 0.477425, 0.551026, 0.690935, 0.972968, 1.56063" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0349801, 0.0550069, 0.097003, 0.186367, 0.376259, 0.7761", \
-            "0.0350421, 0.0550252, 0.0969804, 0.186369, 0.376262, 0.776099", \
-            "0.0350327, 0.0550266, 0.0969933, 0.186351, 0.375984, 0.776194", \
-            "0.0349806, 0.0550464, 0.0969926, 0.186358, 0.376243, 0.776127", \
-            "0.0352168, 0.0551005, 0.0969991, 0.186192, 0.376228, 0.776138", \
-            "0.0366788, 0.0563378, 0.0976119, 0.186374, 0.37625, 0.776067" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.20244, 0.209847, 0.211862, 0.214472, 0.218104, 0.220726, 0.223348, 0.224659, 0.226705, 0.22744, 0.228175, 0.228911, 0.231358, 0.232082, 0.233048, 0.234014, 0.234823, 0.235632, 0.23725, 0.238538, 0.239826, 0.241114, 0.242483, 0.243618, 0.244591, 0.245563, 0.247508, 0.248212, 0.251532, 0.253697, 0.256755, 0.258797, 0.261436, 0.263468, 0.264619, 0.266923, 0.27013, 0.270896, 0.27243, 0.273963, 0.275216, 0.277722, 0.279731, 0.281242, 0.282753, 0.283508, 0.28653, 0.289551, 0.293318, 0.296976");
-            values ( \
-              "0.0336053, 0.0351989, 0.0403859, 0.0467686, 0.0551107, 0.0602822, 0.0647699, 0.0668401, 0.0698401, 0.0706844, 0.0714659, 0.0721344, 0.0741495, 0.0746841, 0.0749523, 0.0751286, 0.0752006, 0.0752114, 0.0750497, 0.0747461, 0.0742876, 0.0736741, 0.0728419, 0.07127, 0.0695677, 0.067688, 0.0633959, 0.0616485, 0.0525218, 0.0470769, 0.0400756, 0.0358447, 0.0313206, 0.028157, 0.0264865, 0.023413, 0.0195426, 0.0186619, 0.0171711, 0.0157749, 0.0147491, 0.012797, 0.0113283, 0.0103187, 0.00942146, 0.00900349, 0.00760895, 0.00639501, 0.00516105, 0.0040795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00454509");
-            index_3 ("0.208059, 0.221113, 0.241993, 0.248463, 0.257635, 0.265988, 0.274139, 0.282275, 0.285007, 0.28792, 0.299093, 0.304776, 0.312643, 0.320307, 0.327129, 0.332196, 0.33876, 0.34423, 0.350737, 0.359966, 0.369854, 0.377476, 0.39272, 0.42085, 0.454445");
-            values ( \
-              "0.00268118, 0.0224007, 0.0710518, 0.0831263, 0.0953216, 0.10032, 0.100855, 0.0958927, 0.0925795, 0.0880599, 0.0657292, 0.0554738, 0.0430211, 0.0331194, 0.0260167, 0.0216092, 0.016895, 0.0137229, 0.0106693, 0.00741619, 0.00502588, 0.00371164, 0.00199104, 0.000570553, 0.00012175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00956366");
-            index_3 ("0.239738, 0.25663, 0.289261, 0.300457, 0.3162, 0.330756, 0.345124, 0.359968, 0.374611, 0.404191, 0.426765, 0.447256, 0.467782, 0.494641, 0.523866, 0.54925, 0.568483");
-            values ( \
-              "0.0248212, 0.0293201, 0.0879331, 0.102697, 0.116055, 0.120397, 0.119531, 0.112181, 0.0974117, 0.0616609, 0.0403406, 0.0264808, 0.0169434, 0.00920777, 0.00468268, 0.00254285, 0.00180779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0201236");
-            index_3 ("0.0397605, 0.0975805, 0.161555, 0.193972, 0.251941, 0.264674, 0.279644, 0.309306, 0.362903, 0.398743, 0.428405, 0.456168, 0.483804, 0.512571, 0.642326, 0.676968, 0.720877, 0.772315, 0.8472, 0.863174");
-            values ( \
-              "0.000309065, 0.00022247, 0.00408234, 0.00340487, 0.00011218, 0.000651768, 0.00407538, 0.0227265, 0.0842716, 0.115533, 0.128789, 0.132202, 0.13013, 0.121409, 0.0440656, 0.0303555, 0.0183698, 0.00992031, 0.00392018, 0.00340743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0423436");
-            index_3 ("0.226972, 0.398138, 0.563282, 0.593767, 0.653344, 0.709379, 0.765377, 0.821188, 0.888619, 1.00472, 1.0851, 1.15347, 1.24209, 1.34484, 1.40781, 1.49175, 1.59457");
-            values ( \
-              "0.00332762, 0.0144858, 0.109736, 0.121296, 0.134472, 0.137892, 0.135075, 0.126517, 0.107435, 0.0684964, 0.0462587, 0.0320299, 0.0193104, 0.0104344, 0.00710672, 0.00418524, 0.00266058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0890983");
-            index_3 ("0.0493017, 0.103345, 0.162259, 0.195032, 0.227427, 0.280395, 0.419452, 0.469416, 0.539304, 0.566549, 0.663404, 0.851001, 0.954371, 1.00006, 1.09143, 1.12266, 1.18174, 1.23807, 1.35345, 1.41787, 1.47395, 1.5786, 1.80575, 1.96834, 2.1046, 2.19836, 2.30621, 2.47147, 2.58422, 2.74416, 2.8488, 3.05809, 3.13569");
-            values ( \
-              "0.000218751, 0.000648308, 0.00852837, 0.0106373, 0.010664, 0.0093874, 0.00412951, 0.00320333, 0.00613496, 0.00925909, 0.0296825, 0.0893136, 0.11541, 0.123629, 0.134701, 0.136925, 0.139547, 0.140223, 0.137377, 0.133295, 0.128086, 0.114218, 0.0767016, 0.0528855, 0.0373775, 0.0290042, 0.0214809, 0.0133295, 0.00956372, 0.00592856, 0.00430601, 0.00227207, 0.0018719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.209838, 0.216647, 0.218476, 0.232681, 0.236301, 0.242307, 0.247702, 0.252883, 0.258062, 0.259689, 0.268766, 0.277295, 0.2853, 0.290562, 0.296128, 0.303068, 0.309505, 0.322776, 0.328959");
-            values ( \
-              "0.0060724, 0.0143063, 0.0176224, 0.0524144, 0.0598881, 0.0696815, 0.0744357, 0.0757631, 0.0729205, 0.0706705, 0.0482776, 0.0310741, 0.0201622, 0.0150107, 0.0109228, 0.00730759, 0.00501385, 0.00233244, 0.00172122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00454509");
-            index_3 ("0.223886, 0.236327, 0.257272, 0.263849, 0.27303, 0.281387, 0.28954, 0.297679, 0.300396, 0.322758, 0.335685, 0.347667, 0.359585, 0.375352, 0.392962, 0.408301, 0.417492");
-            values ( \
-              "0.00439685, 0.0219219, 0.0706583, 0.083054, 0.095224, 0.10032, 0.100797, 0.0959175, 0.092589, 0.0511294, 0.033147, 0.0215565, 0.0137495, 0.00742152, 0.00369965, 0.00197634, 0.00151585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00956366");
-            index_3 ("0.255742, 0.280286, 0.299163, 0.31427, 0.324778, 0.331445, 0.346053, 0.360414, 0.375265, 0.389762, 0.419295, 0.441951, 0.462845, 0.482869, 0.494081, 0.509864, 0.539509, 0.565284, 0.592355");
-            values ( \
-              "0.0187461, 0.0435092, 0.0789479, 0.101102, 0.111227, 0.11572, 0.12035, 0.119374, 0.112159, 0.09758, 0.0618716, 0.0404256, 0.0263194, 0.0170257, 0.0132438, 0.00922505, 0.0046451, 0.00249749, 0.00148194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0201236");
-            index_3 ("0.0549231, 0.118466, 0.176799, 0.209941, 0.267831, 0.280618, 0.295874, 0.324721, 0.378321, 0.414154, 0.443819, 0.471583, 0.499219, 0.527986, 0.65774, 0.692385, 0.736291, 0.787729, 0.862622, 0.879297");
-            values ( \
-              "0.000292319, 0.000430587, 0.00406175, 0.00336221, 9.0039e-05, 0.000729603, 0.00434769, 0.0227076, 0.0843003, 0.115544, 0.128766, 0.132219, 0.13011, 0.12141, 0.0440665, 0.0303548, 0.0183703, 0.00992069, 0.00391966, 0.00338456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0423436");
-            index_3 ("0.242807, 0.413737, 0.576978, 0.609365, 0.668989, 0.724976, 0.780973, 0.836785, 0.904217, 1.02035, 1.1007, 1.16906, 1.25769, 1.36045, 1.42339, 1.50732, 1.60252");
-            values ( \
-              "0.00333317, 0.0145063, 0.108907, 0.121272, 0.134498, 0.13787, 0.135096, 0.126496, 0.107434, 0.0684889, 0.0462574, 0.0320311, 0.0193097, 0.010434, 0.00710709, 0.00418618, 0.00277407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0890983");
-            index_3 ("0.0644948, 0.119362, 0.177834, 0.210499, 0.242854, 0.28075, 0.435018, 0.484709, 0.554508, 0.581861, 0.679788, 0.866377, 0.969874, 1.01546, 1.10664, 1.13806, 1.19715, 1.25348, 1.36886, 1.43327, 1.48936, 1.594, 1.82115, 1.98375, 2.12001, 2.21377, 2.32161, 2.48687, 2.59963, 2.75957, 2.86421, 3.0735, 3.15531");
-            values ( \
-              "0.000226892, 0.000643498, 0.00852448, 0.0106155, 0.0106392, 0.00980643, 0.00410186, 0.00322571, 0.00609475, 0.00926988, 0.0299831, 0.0893274, 0.115407, 0.123651, 0.134661, 0.136947, 0.139524, 0.140246, 0.137399, 0.133317, 0.128064, 0.11424, 0.0766813, 0.0528656, 0.0373585, 0.0290226, 0.0214628, 0.0133117, 0.00954597, 0.00591086, 0.00432358, 0.00228958, 0.0020018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.248507, 0.25574, 0.258082, 0.265948, 0.273467, 0.279478, 0.28487, 0.290049, 0.295385, 0.298534, 0.30593, 0.311058, 0.314461, 0.319511, 0.322463, 0.327724, 0.333291, 0.340231, 0.346667, 0.354106, 0.359935, 0.371592, 0.373884");
-            values ( \
-              "0.00723983, 0.0178858, 0.023196, 0.0436685, 0.0597786, 0.069852, 0.0743304, 0.0759018, 0.0727263, 0.0675965, 0.0482818, 0.0372057, 0.0310737, 0.0236679, 0.0201639, 0.0150123, 0.0109234, 0.0073078, 0.00501424, 0.00326644, 0.00233285, 0.00118021, 0.00108449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00454509");
-            index_3 ("0.263369, 0.27353, 0.2945, 0.301045, 0.310226, 0.318584, 0.326737, 0.334876, 0.33759, 0.359947, 0.372877, 0.384876, 0.396773, 0.412546, 0.430175, 0.445531, 0.453804");
-            values ( \
-              "0.0101976, 0.022024, 0.0707964, 0.082986, 0.0952858, 0.100253, 0.100855, 0.0958556, 0.0925939, 0.0511416, 0.0331512, 0.0215468, 0.013754, 0.00742218, 0.00369769, 0.00197329, 0.00155962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00956366");
-            index_3 ("0.294346, 0.3107, 0.340303, 0.353093, 0.368852, 0.383408, 0.397775, 0.412618, 0.427277, 0.456863, 0.479427, 0.499873, 0.520455, 0.547299, 0.576476, 0.601814, 0.62122");
-            values ( \
-              "0.0293627, 0.0316792, 0.0853995, 0.102742, 0.116046, 0.120438, 0.119509, 0.112188, 0.0973947, 0.0616358, 0.04033, 0.0264989, 0.0169343, 0.00920608, 0.00468703, 0.00254824, 0.00180489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0201236");
-            index_3 ("0.092124, 0.151938, 0.189989, 0.219139, 0.306562, 0.319655, 0.336249, 0.362119, 0.415445, 0.451297, 0.480946, 0.508705, 0.536339, 0.565105, 0.694873, 0.729484, 0.773423, 0.824861, 0.899652, 0.918269");
-            values ( \
-              "0.000316001, 0.000303638, 0.00327039, 0.00410876, 9.03328e-05, 0.000972292, 0.00561552, 0.0228972, 0.0843783, 0.115652, 0.128743, 0.132281, 0.130071, 0.121417, 0.0440609, 0.0303598, 0.0183681, 0.00991994, 0.0039231, 0.00332585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0423436");
-            index_3 ("0.0969198, 0.152217, 0.200695, 0.235169, 0.267048, 0.372361, 0.391445, 0.415939, 0.445368, 0.480801, 0.574338, 0.622625, 0.646382, 0.693897, 0.729693, 0.761731, 0.817341, 0.856272, 0.875886, 0.940902, 1.05047, 1.13477, 1.21158, 1.29029, 1.3323, 1.39166, 1.50078, 1.59546, 1.67636");
-            values ( \
-              "0.000244216, 0.000420418, 0.0056327, 0.00734401, 0.00674999, 0.00213475, 0.00228571, 0.00484504, 0.0125281, 0.0287361, 0.0881958, 0.112634, 0.121255, 0.132649, 0.136623, 0.137635, 0.135035, 0.129745, 0.125843, 0.107406, 0.0705587, 0.0468798, 0.0310245, 0.0197833, 0.0154364, 0.0107847, 0.00553149, 0.00303032, 0.0018799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0890983");
-            index_3 ("0.100205, 0.146354, 0.159427, 0.208315, 0.219842, 0.235516, 0.247986, 0.267393, 0.281397, 0.321027, 0.35602, 0.449901, 0.494603, 0.553162, 0.604926, 0.639744, 0.684553, 0.744298, 0.90257, 0.988785, 1.05139, 1.15603, 1.21035, 1.28955, 1.3942, 1.40489, 1.42629, 1.46909, 1.52538, 1.63002, 1.85717, 1.91513, 2.01977, 2.08569, 2.15599, 2.24973, 2.31215, 2.35764, 2.41828, 2.52293, 2.63564, 2.69091, 2.79555, 2.9002, 3.00484, 3.10948, 3.31876, 3.63269");
-            values ( \
-              "0.000192561, 0.000314625, 0.000969422, 0.00788595, 0.00909537, 0.0101767, 0.0106593, 0.0107912, 0.0106199, 0.00968097, 0.00854646, 0.00480156, 0.00352562, 0.00372601, 0.00761917, 0.0125732, 0.0217378, 0.0383782, 0.0893797, 0.11182, 0.12362, 0.135803, 0.138826, 0.140281, 0.137941, 0.137412, 0.136283, 0.133338, 0.128092, 0.114229, 0.0766969, 0.0676473, 0.0528794, 0.0448578, 0.0373765, 0.0290105, 0.0244026, 0.0214779, 0.0180603, 0.0133258, 0.00956179, 0.00810421, 0.00592721, 0.00430792, 0.00314454, 0.00227381, 0.00119447, 0.000465151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.334888, 0.344295, 0.360324, 0.367569, 0.371672, 0.375627, 0.379295, 0.382057, 0.387322, 0.396707, 0.404957, 0.41105, 0.414386, 0.420087, 0.427088, 0.433502, 0.437969, 0.446575, 0.458049, 0.477766, 0.501752");
-            values ( \
-              "0.0010564, 0.0218839, 0.0602053, 0.0711915, 0.0744958, 0.075764, 0.0749971, 0.0727659, 0.0633577, 0.0395307, 0.0254526, 0.0182861, 0.0150954, 0.0108922, 0.00725103, 0.0050344, 0.00383592, 0.00232344, 0.00123538, 0.000331319, 0.000124208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00454509");
-            index_3 ("0.353767, 0.364853, 0.374349, 0.38159, 0.388169, 0.397359, 0.405721, 0.413877, 0.422018, 0.424717, 0.427596, 0.438968, 0.447042, 0.452149, 0.459991, 0.467106, 0.472092, 0.478565, 0.483862, 0.490409, 0.49967, 0.509679, 0.517409, 0.532867, 0.561063, 0.59479");
-            values ( \
-              "0.0192666, 0.0308965, 0.0541963, 0.0705559, 0.0829087, 0.0951834, 0.100249, 0.100795, 0.0958779, 0.0926029, 0.0881531, 0.0654547, 0.0512187, 0.0433627, 0.0331811, 0.0257978, 0.0214888, 0.0168567, 0.0137834, 0.0106998, 0.00742837, 0.00500993, 0.00368411, 0.0019577, 0.000559255, 0.000118259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00956366");
-            index_3 ("0.380128, 0.398523, 0.423574, 0.440211, 0.455959, 0.470515, 0.484882, 0.499236, 0.514399, 0.543989, 0.566546, 0.586953, 0.607583, 0.634414, 0.663551, 0.688852, 0.710005");
-            values ( \
-              "0.0238779, 0.0328058, 0.0789349, 0.102856, 0.115956, 0.120527, 0.119425, 0.112596, 0.0973852, 0.061612, 0.0403187, 0.0265131, 0.0169273, 0.00920521, 0.00469013, 0.00255313, 0.00174095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0201236");
-            index_3 ("0.172617, 0.241828, 0.276221, 0.30331, 0.39132, 0.404233, 0.418997, 0.449092, 0.516873, 0.53854, 0.568192, 0.595953, 0.623588, 0.652354, 0.782115, 0.816743, 0.860665, 0.912103, 0.986946, 1.0056");
-            values ( \
-              "0.00013818, 0.000402149, 0.0032096, 0.00409434, 0.000102382, 0.000645095, 0.00392, 0.0227287, 0.0989969, 0.11557, 0.128793, 0.132217, 0.130126, 0.12141, 0.0440625, 0.0303585, 0.0183681, 0.00991926, 0.00392238, 0.00332293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0423436");
-            index_3 ("0.366008, 0.537816, 0.583044, 0.661514, 0.692656, 0.733461, 0.793065, 0.849045, 0.905037, 0.963213, 1.02828, 1.14453, 1.22479, 1.29309, 1.38178, 1.43771, 1.48453, 1.5474, 1.63123, 1.73587, 1.78002");
-            values ( \
-              "0.00323446, 0.0145107, 0.0376977, 0.0882591, 0.105046, 0.121283, 0.134538, 0.137854, 0.135126, 0.125971, 0.107434, 0.0684514, 0.0462505, 0.0320374, 0.0193062, 0.0138298, 0.0104318, 0.00710886, 0.00419079, 0.002145, 0.00169718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0890983");
-            index_3 ("0.183205, 0.223813, 0.241743, 0.302764, 0.333332, 0.350652, 0.366318, 0.404888, 0.561222, 0.611112, 0.683326, 0.722345, 0.771726, 0.830951, 0.989682, 1.09052, 1.13881, 1.2354, 1.26147, 1.3136, 1.37687, 1.48151, 1.49224, 1.55661, 1.61274, 1.71738, 1.94453, 2.10713, 2.24338, 2.33713, 2.44499, 2.50561, 2.61025, 2.723, 2.88294, 2.98758, 3.19687, 3.40615, 3.72007");
-            values ( \
-              "0.000129299, 0.000238722, 0.000580859, 0.00867838, 0.0106062, 0.0108102, 0.0106519, 0.00978191, 0.00403139, 0.00321775, 0.006603, 0.0117729, 0.0216951, 0.0381677, 0.0893064, 0.114858, 0.123641, 0.13513, 0.136966, 0.139339, 0.140256, 0.137905, 0.137406, 0.133326, 0.128067, 0.114242, 0.076681, 0.0528651, 0.0373593, 0.0290231, 0.0214633, 0.0180776, 0.013312, 0.00954673, 0.00591176, 0.00432282, 0.00228878, 0.00120945, 0.00045015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.539834, 0.548369, 0.562308, 0.566575, 0.572639, 0.578073, 0.58328, 0.588486, 0.591353, 0.600085, 0.60593, 0.610646, 0.618305, 0.623723, 0.629995, 0.634313, 0.643085, 0.64846, 0.659209, 0.661125");
-            values ( \
-              "0.00614133, 0.0167717, 0.0503566, 0.0591822, 0.0691154, 0.0739776, 0.0754414, 0.0726765, 0.0683123, 0.045902, 0.0341917, 0.0265586, 0.0174867, 0.0128428, 0.00897083, 0.00698234, 0.00419197, 0.00306876, 0.00163385, 0.00151828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00454509");
-            index_3 ("0.551977, 0.566549, 0.582838, 0.594278, 0.602126, 0.606181, 0.611859, 0.620018, 0.628179, 0.630802, 0.652969, 0.666016, 0.678642, 0.689078, 0.705111, 0.717481, 0.727469, 0.747444, 0.749985");
-            values ( \
-              "0.000651561, 0.0218173, 0.0595134, 0.0826848, 0.0934555, 0.0970476, 0.100058, 0.100541, 0.0958344, 0.0926114, 0.0516048, 0.0333316, 0.0211897, 0.014298, 0.00763529, 0.00469145, 0.00314666, 0.00136424, 0.00127806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00956366");
-            index_3 ("0.582104, 0.598473, 0.606072, 0.627335, 0.641403, 0.646667, 0.655327, 0.662352, 0.676957, 0.691325, 0.706176, 0.712932, 0.720652, 0.74257, 0.750179, 0.758874, 0.772847, 0.785245, 0.793798, 0.804872, 0.81375, 0.824974, 0.840765, 0.857553, 0.870469, 0.8963, 0.9298");
-            values ( \
-              "0.0192604, 0.0228252, 0.0350245, 0.0743979, 0.095959, 0.102904, 0.110784, 0.115851, 0.120206, 0.119502, 0.112136, 0.106188, 0.0975953, 0.070456, 0.0619057, 0.0528951, 0.0404416, 0.0314718, 0.0262979, 0.0207032, 0.0170363, 0.0132507, 0.00922647, 0.00627109, 0.00464052, 0.00249039, 0.00123733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0201236");
-            index_3 ("0.332682, 0.436587, 0.452288, 0.486138, 0.511148, 0.536216, 0.593117, 0.605484, 0.618924, 0.636535, 0.652138, 0.67705, 0.708966, 0.73292, 0.744839, 0.768675, 0.785894, 0.802138, 0.83114, 0.841885, 0.858529, 0.884714, 0.940707, 0.986323, 1.02694, 1.06161, 1.08022, 1.11341, 1.1514, 1.18176, 1.24249, 1.26921");
-            values ( \
-              "4.55683e-05, 0.000228653, 0.000924912, 0.00324939, 0.00390153, 0.00347238, 0.000287777, 0.000276153, 0.00214211, 0.00892586, 0.0198685, 0.0460736, 0.084435, 0.107271, 0.115681, 0.12686, 0.130826, 0.132133, 0.129643, 0.127144, 0.121273, 0.107048, 0.0698451, 0.044901, 0.029014, 0.0195265, 0.0156904, 0.0105097, 0.0066228, 0.00454424, 0.00206389, 0.00163046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0423436");
-            index_3 ("0.339171, 0.437002, 0.52376, 0.566967, 0.671241, 0.692293, 0.718543, 0.758712, 0.867997, 0.939994, 0.999579, 1.05555, 1.11154, 1.16972, 1.23479, 1.35114, 1.43132, 1.49957, 1.58832, 1.69107, 1.75387, 1.8376, 1.94224, 1.96273");
-            values ( \
-              "4.85292e-05, 0.000305264, 0.00699649, 0.00642468, 0.00208275, 0.00273776, 0.00664239, 0.0206928, 0.0882354, 0.121306, 0.13456, 0.137855, 0.135128, 0.125971, 0.107434, 0.0684176, 0.0462448, 0.0320426, 0.0193034, 0.0104303, 0.00711091, 0.00419461, 0.00214696, 0.00193891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0890983");
-            index_3 ("0.344226, 0.422872, 0.44611, 0.51104, 0.550535, 0.617251, 0.799235, 0.863983, 0.904956, 0.951885, 1.01446, 1.19638, 1.29735, 1.34546, 1.44169, 1.52098, 1.58352, 1.69889, 1.76328, 1.8194, 1.92404, 2.15119, 2.31378, 2.45003, 2.54379, 2.65165, 2.81692, 2.92966, 3.08959, 3.40352, 3.51119");
-            values ( \
-              "4.73999e-05, 0.000174444, 0.000797553, 0.00854268, 0.0105985, 0.00963606, 0.00333723, 0.00454465, 0.00834132, 0.0159124, 0.031247, 0.0892977, 0.11489, 0.123624, 0.1351, 0.139364, 0.140246, 0.137398, 0.133318, 0.128072, 0.114236, 0.076684, 0.0528676, 0.0373599, 0.0290231, 0.0214623, 0.0133107, 0.00954523, 0.00591015, 0.00229071, 0.00191148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.994764, 1.00361, 1.00811, 1.03512, 1.04087, 1.04631, 1.05175, 1.05718, 1.06763, 1.07642, 1.09043, 1.10409, 1.11795, 1.12653");
-            values ( \
-              "0.00326746, 0.00786938, 0.0138071, 0.0648368, 0.070314, 0.072627, 0.0705547, 0.0620656, 0.0370149, 0.023308, 0.0106854, 0.00492637, 0.00217517, 0.00167402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00454509");
-            index_3 ("1.005, 1.02581, 1.02753, 1.03545, 1.05491, 1.05884, 1.06671, 1.07207, 1.07715, 1.08551, 1.09387, 1.09759, 1.11773, 1.13133, 1.14154, 1.14986, 1.15546, 1.16729, 1.17601, 1.18902, 1.20636, 1.21604, 1.21735");
-            values ( \
-              "7.14218e-06, 0.0141803, 0.0165727, 0.0309372, 0.0709732, 0.0779975, 0.0891514, 0.0942859, 0.0973326, 0.0985963, 0.0945455, 0.0900583, 0.0530015, 0.0337833, 0.0235631, 0.0172834, 0.0139943, 0.00885136, 0.00628909, 0.00375828, 0.00184305, 0.00125535, 0.00121762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00956366");
-            index_3 ("1.05011, 1.06777, 1.09417, 1.11392, 1.1299, 1.14459, 1.15905, 1.1735, 1.18781, 1.21596, 1.23413, 1.2534, 1.27964, 1.30398, 1.33339, 1.35713, 1.37664");
-            values ( \
-              "0.0221408, 0.0269057, 0.0719913, 0.100961, 0.114607, 0.119635, 0.118812, 0.11222, 0.0981822, 0.0640766, 0.0460586, 0.031338, 0.0178405, 0.0102936, 0.00522475, 0.00296238, 0.00208233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0201236");
-            index_3 ("0.6797, 0.84133, 0.876357, 0.896013, 0.932212, 0.968716, 0.98384, 1.00217, 1.06136, 1.0747, 1.09329, 1.1109, 1.12477, 1.14649, 1.17786, 1.2022, 1.21588, 1.23326, 1.24342, 1.25775, 1.27121, 1.29879, 1.31379, 1.32766, 1.35541, 1.41172, 1.427, 1.4553, 1.48162, 1.50273, 1.52908, 1.54349, 1.57232, 1.59724, 1.60992, 1.63526, 1.68596, 1.77429, 1.87893");
-            values ( \
-              "1.17301e-05, 4.53299e-05, 0.000195579, 0.000717478, 0.00230847, 0.00301177, 0.00299852, 0.00265368, 0.000333189, 0.000618335, 0.00422983, 0.012771, 0.023561, 0.0467988, 0.0840576, 0.107081, 0.116497, 0.124869, 0.128111, 0.130908, 0.131882, 0.129789, 0.12625, 0.121235, 0.106167, 0.0687691, 0.0597172, 0.0450268, 0.0340695, 0.0269383, 0.0199307, 0.0168372, 0.0119227, 0.00882216, 0.00756078, 0.0055332, 0.00289909, 0.000843775, 0.000177798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0423436");
-            index_3 ("0.687449, 0.876521, 0.957415, 1.00222, 1.06104, 1.12905, 1.14891, 1.17315, 1.20417, 1.23203, 1.33775, 1.40965, 1.46927, 1.52525, 1.58124, 1.63942, 1.70449, 1.82068, 1.90098, 1.96931, 2.05798, 2.16073, 2.22364, 2.30751, 2.41215, 2.44594");
-            values ( \
-              "1.31979e-05, 0.000254231, 0.00480748, 0.00595097, 0.00482288, 0.00238262, 0.00224139, 0.00410274, 0.0112049, 0.022574, 0.0883011, 0.121261, 0.134537, 0.137845, 0.135128, 0.125969, 0.107434, 0.0684684, 0.0462534, 0.0320348, 0.0193075, 0.0104326, 0.00710781, 0.00418891, 0.00214399, 0.00180138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0890983");
-            index_3 ("0.695092, 0.877311, 0.984222, 1.01767, 1.0745, 1.11044, 1.26392, 1.29582, 1.34778, 1.4231, 1.48073, 1.6672, 1.76851, 1.8162, 1.91158, 1.99328, 2.0542, 2.16957, 2.23391, 2.29007, 2.39471, 2.62186, 2.78446, 2.9207, 3.01445, 3.12232, 3.28759, 3.40033, 3.56026, 3.87418, 3.93194");
-            values ( \
-              "1.35611e-05, 0.000295656, 0.00809686, 0.00925114, 0.00934788, 0.00867853, 0.00352466, 0.00333252, 0.0055855, 0.0161016, 0.0300783, 0.0894058, 0.115038, 0.123676, 0.135059, 0.139427, 0.140258, 0.137405, 0.133326, 0.128072, 0.114239, 0.0766825, 0.0528659, 0.0373595, 0.0290244, 0.0214618, 0.0133102, 0.00954511, 0.00591018, 0.00229066, 0.00208726" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00451799", \
-            "0.00519207", \
-            "0.0055483", \
-            "0.00573223", \
-            "0.00582252", \
-            "0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00544368", \
-            "0.00531763", \
-            "0.00510281", \
-            "0.00493081", \
-            "0.004856", \
-            "0.00482198" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00422218, 0.00422291, 0.00422365, 0.00422423, 0.00422469, 0.00422479", \
-            "0.00466198, 0.00466226, 0.00466263, 0.00466297, 0.00466321, 0.00466335", \
-            "0.00490492, 0.00490496, 0.00490503, 0.00490516, 0.00490528, 0.00490536", \
-            "0.00504969, 0.00504966, 0.0050497, 0.0050497, 0.00504974, 0.00504977", \
-            "0.0051385, 0.0051385, 0.0051385, 0.00513852, 0.00513852, 0.00513854", \
-            "0.00521683, 0.00521683, 0.00521684, 0.00521684, 0.00521685, 0.00521685" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00537599, 0.00537614, 0.00537642, 0.00537673, 0.00537701, 0.00537712", \
-            "0.00565027, 0.00565021, 0.00565025, 0.00565038, 0.00565051, 0.00565061", \
-            "0.00582477, 0.00582479, 0.00582486, 0.00582492, 0.00582502, 0.0058251", \
-            "0.00602889, 0.00602873, 0.00602851, 0.00602846, 0.00602843, 0.00602846", \
-            "0.00633696, 0.00633629, 0.00633527, 0.0063341, 0.00633311, 0.00633247", \
-            "0.00674964, 0.00675404, 0.00675776, 0.00676074, 0.00676264, 0.00676378" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.008904, 0.00893147, 0.00893186, 0.00883767, 0.00852972, 0.00783574", \
-            "0.0088067, 0.00883057, 0.00883024, 0.00873685, 0.00843163, 0.00773967", \
-            "0.00864352, 0.00867109, 0.00867154, 0.00857574, 0.00827274, 0.00758418", \
-            "0.00844797, 0.00847286, 0.00847445, 0.00837967, 0.00807837, 0.00738743", \
-            "0.00847811, 0.00850407, 0.00850285, 0.00841154, 0.00810718, 0.00741421", \
-            "0.00920257, 0.00921787, 0.00923084, 0.00913514, 0.00881126, 0.00810784" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.00951522, 0.00951797, 0.0094762, 0.00931684, 0.00891115, 0.00801757", \
-            "0.00945432, 0.00945721, 0.00941473, 0.0092547, 0.00884892, 0.00795528", \
-            "0.00932888, 0.00933166, 0.00929109, 0.00913074, 0.00872264, 0.00782794", \
-            "0.00918799, 0.0091914, 0.00914838, 0.00898765, 0.00858051, 0.00768804", \
-            "0.00918152, 0.00918456, 0.00914277, 0.00898306, 0.00857528, 0.00768167", \
-            "0.00955689, 0.00956619, 0.00953716, 0.00937762, 0.00896551, 0.0080629" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721695" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0199977, 0.0200021, 0.0199531, 0.0197764, 0.0193516, 0.0184447", \
-            "0.0199503, 0.0199549, 0.0199055, 0.0197287, 0.0193031, 0.018397", \
-            "0.0198816, 0.0198859, 0.0198367, 0.0196604, 0.019235, 0.0183297", \
-            "0.0198035, 0.0198073, 0.0197581, 0.0195824, 0.0191588, 0.018254", \
-            "0.0198192, 0.0198185, 0.019766, 0.0195915, 0.0191712, 0.0182686", \
-            "0.0201658, 0.0201571, 0.0200956, 0.0199228, 0.0195402, 0.0186265" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.016764, 0.0167901, 0.0167838, 0.0166827, 0.0163747, 0.015675", \
-            "0.0167154, 0.0167399, 0.0167359, 0.0166347, 0.0163267, 0.0156317", \
-            "0.0166354, 0.0166612, 0.016656, 0.0165547, 0.0162472, 0.0155517", \
-            "0.0165069, 0.0165282, 0.0165253, 0.0164254, 0.0161189, 0.0154229", \
-            "0.0164956, 0.0165231, 0.0165191, 0.0164221, 0.0161184, 0.0154254", \
-            "0.0168168, 0.0168443, 0.016839, 0.0167449, 0.0164462, 0.0157478" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.019786, 0.0197893, 0.0197377, 0.0195575, 0.0191336, 0.0182279", \
-            "0.01973, 0.0197335, 0.0196832, 0.0195026, 0.019079, 0.0181757", \
-            "0.0196682, 0.0196709, 0.0196197, 0.0194386, 0.0190147, 0.0181104", \
-            "0.0195904, 0.0195943, 0.0195424, 0.019363, 0.0189412, 0.0180383", \
-            "0.0196135, 0.0196134, 0.0195606, 0.019383, 0.0189651, 0.0180636", \
-            "0.0199905, 0.0199872, 0.0199157, 0.0197397, 0.019353, 0.0184337" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721695" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0169921, 0.0169984, 0.0169502, 0.0167766, 0.0163591, 0.0154548", \
-            "0.0169444, 0.0169506, 0.0169024, 0.0167289, 0.0163112, 0.0154067", \
-            "0.0168756, 0.0168812, 0.0168335, 0.0166607, 0.0162428, 0.0153369", \
-            "0.0167994, 0.0168036, 0.0167564, 0.0165843, 0.016168, 0.0152631", \
-            "0.0168261, 0.0168264, 0.0167761, 0.0166058, 0.0161928, 0.0152956", \
-            "0.0171831, 0.0171822, 0.0171212, 0.0169526, 0.0165632, 0.0156626" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0140291, 0.0140555, 0.0140521, 0.0139508, 0.0136422, 0.0129459", \
-            "0.0139829, 0.0140075, 0.0140069, 0.0139051, 0.0135965, 0.0129002", \
-            "0.0139008, 0.0139301, 0.0139253, 0.0138241, 0.0135156, 0.0128188", \
-            "0.0137961, 0.0138202, 0.0138172, 0.0137168, 0.0134092, 0.0127131", \
-            "0.0137599, 0.0137881, 0.0137861, 0.0136895, 0.0133849, 0.0126913", \
-            "0.0141032, 0.0141262, 0.0141248, 0.0140398, 0.0137051, 0.0130213" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0168409, 0.0168445, 0.0167938, 0.0166181, 0.0162007, 0.0152963", \
-            "0.0167839, 0.0167879, 0.0167377, 0.0165627, 0.0161458, 0.0152431", \
-            "0.0167187, 0.0167223, 0.0166723, 0.0164976, 0.0160802, 0.0151759", \
-            "0.0166468, 0.01665, 0.0165992, 0.0164253, 0.0160103, 0.0151061", \
-            "0.0166781, 0.0166785, 0.0166265, 0.0164545, 0.0160441, 0.0151419", \
-            "0.0170615, 0.0170561, 0.0169938, 0.0168238, 0.0164366, 0.0155321" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721695" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0130261, 0.013033, 0.0129878, 0.0128153, 0.0123976, 0.0114968", \
-            "0.0129815, 0.0129884, 0.012943, 0.0127704, 0.0123521, 0.0114498", \
-            "0.0129267, 0.0129334, 0.0128881, 0.0127159, 0.0122978, 0.0113959", \
-            "0.0128825, 0.0128883, 0.0128423, 0.0126704, 0.0122548, 0.0113513", \
-            "0.0130223, 0.0130254, 0.0129773, 0.0128085, 0.0123972, 0.0114972", \
-            "0.0133936, 0.0133949, 0.0133384, 0.0131861, 0.0127809, 0.0118827" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0140286, 0.0140563, 0.0140515, 0.0139504, 0.0136415, 0.0129445", \
-            "0.0139839, 0.0140105, 0.0140054, 0.0139042, 0.0135952, 0.0128985", \
-            "0.0139035, 0.01393, 0.0139261, 0.0138248, 0.0135158, 0.0128185", \
-            "0.013796, 0.0138187, 0.0138163, 0.0137159, 0.0134081, 0.0127112", \
-            "0.01377, 0.0138003, 0.0137981, 0.0137016, 0.0133971, 0.0127014", \
-            "0.0141043, 0.0141277, 0.0141274, 0.0140401, 0.0137124, 0.0130257" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0168605, 0.0168639, 0.0168136, 0.0166381, 0.0162203, 0.0153162", \
-            "0.0168121, 0.0168155, 0.0167653, 0.01659, 0.0161723, 0.0152681", \
-            "0.0167393, 0.016743, 0.0166929, 0.0165181, 0.0161007, 0.0151965", \
-            "0.0166667, 0.0166698, 0.0166191, 0.0164452, 0.0160301, 0.0151265", \
-            "0.0166981, 0.0166984, 0.0166465, 0.016475, 0.0160641, 0.0151621", \
-            "0.0170745, 0.0170691, 0.0170069, 0.0168369, 0.0164384, 0.0155471" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721695" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.016764, 0.0167901, 0.0167838, 0.0166827, 0.0163747, 0.015675", \
-            "0.0167154, 0.0167399, 0.0167359, 0.0166347, 0.0163267, 0.0156317", \
-            "0.0166354, 0.0166612, 0.016656, 0.0165547, 0.0162472, 0.0155517", \
-            "0.0165069, 0.0165282, 0.0165253, 0.0164254, 0.0161189, 0.0154229", \
-            "0.0164956, 0.0165231, 0.0165191, 0.0164221, 0.0161184, 0.0154254", \
-            "0.0168168, 0.0168443, 0.016839, 0.0167449, 0.0164462, 0.0157478" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0199977, 0.0200021, 0.0199531, 0.0197764, 0.0193516, 0.0184447", \
-            "0.0199503, 0.0199549, 0.0199055, 0.0197287, 0.0193031, 0.018397", \
-            "0.0198816, 0.0198859, 0.0198367, 0.0196604, 0.019235, 0.0183297", \
-            "0.0198035, 0.0198073, 0.0197581, 0.0195824, 0.0191588, 0.018254", \
-            "0.0198192, 0.0198185, 0.019766, 0.0195915, 0.0191712, 0.0182686", \
-            "0.0201658, 0.0201571, 0.0200956, 0.0199228, 0.0195402, 0.0186265" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721695" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0186228, 0.0186534, 0.0186511, 0.0185517, 0.0182432, 0.0175469", \
-            "0.0185768, 0.0186078, 0.0186063, 0.0185079, 0.0181999, 0.0175042", \
-            "0.0184933, 0.018522, 0.0185192, 0.0184219, 0.0181143, 0.0174188", \
-            "0.0183864, 0.0184138, 0.0184113, 0.0183166, 0.0180132, 0.0173205", \
-            "0.0181956, 0.0182189, 0.0182134, 0.0181255, 0.0178343, 0.0171495", \
-            "0.0182434, 0.0182565, 0.0182374, 0.0181399, 0.0178586, 0.0171905" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721695" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0125002, 0.0125307, 0.0125262, 0.0124271, 0.0121178, 0.0114211", \
-            "0.0124804, 0.0125105, 0.0125081, 0.0124092, 0.0121017, 0.0114044", \
-            "0.0124344, 0.0124625, 0.0124633, 0.0123655, 0.0120587, 0.0113628", \
-            "0.0123716, 0.0124006, 0.0123982, 0.0123041, 0.0120004, 0.0113073", \
-            "0.0122711, 0.0122957, 0.0122889, 0.0122089, 0.0119176, 0.0112334", \
-            "0.0123119, 0.0123127, 0.0122927, 0.0121836, 0.0119158, 0.0112656" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721695" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0158683, 0.0158978, 0.0158959, 0.0157962, 0.0154875, 0.0147913", \
-            "0.0158213, 0.0158494, 0.0158494, 0.0157511, 0.0154431, 0.0147468", \
-            "0.0157318, 0.0157643, 0.0157614, 0.0156639, 0.0153564, 0.0146591", \
-            "0.0156352, 0.0156617, 0.0156589, 0.0155641, 0.0152612, 0.0145676", \
-            "0.0154871, 0.0155118, 0.0155104, 0.0154233, 0.0151322, 0.0144457", \
-            "0.0155854, 0.0155934, 0.0155653, 0.0154782, 0.0151965, 0.014535" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721696", \
-            "-0.00174963, -0.00368152, -0.00774657, -0.0163001, -0.0342983, -0.0721695" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00454509, 0.00956366, 0.0201236, 0.0423436, 0.0890983");
-          values ( \
-            "0.0186228, 0.0186534, 0.0186511, 0.0185517, 0.0182432, 0.0175469", \
-            "0.0185768, 0.0186078, 0.0186063, 0.0185079, 0.0181999, 0.0175042", \
-            "0.0184933, 0.018522, 0.0185192, 0.0184219, 0.0181143, 0.0174188", \
-            "0.0183864, 0.0184138, 0.0184113, 0.0183166, 0.0180132, 0.0173205", \
-            "0.0181956, 0.0182189, 0.0182134, 0.0181255, 0.0178343, 0.0171495", \
-            "0.0182434, 0.0182565, 0.0182374, 0.0181399, 0.0178586, 0.0171905" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-    }
-    pin (QN) {
-      direction : output;
-      function : "IQN";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0863426;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.299619, 0.315442, 0.34518, 0.402971, 0.520413, 0.764151", \
-            "0.303207, 0.31904, 0.348778, 0.40658, 0.524009, 0.767715", \
-            "0.312095, 0.327938, 0.35767, 0.415456, 0.532913, 0.776618", \
-            "0.330336, 0.346159, 0.375886, 0.433677, 0.5511, 0.794826", \
-            "0.354496, 0.370325, 0.40006, 0.457839, 0.575282, 0.819008", \
-            "0.372506, 0.388353, 0.418144, 0.476029, 0.593697, 0.837504" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0613055, 0.0777552, 0.11376, 0.192608, 0.362438, 0.72147", \
-            "0.0613118, 0.0777586, 0.113763, 0.192573, 0.362412, 0.721448", \
-            "0.0613203, 0.0777629, 0.113763, 0.192555, 0.362443, 0.721452", \
-            "0.0613363, 0.0777703, 0.113773, 0.192578, 0.362497, 0.721469", \
-            "0.0613201, 0.0777519, 0.113764, 0.192559, 0.362503, 0.721481", \
-            "0.0614267, 0.077876, 0.113933, 0.19283, 0.362854, 0.721659" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.257686, 0.273944, 0.30287, 0.354594, 0.451125, 0.644488", \
-            "0.261213, 0.277442, 0.306388, 0.3581, 0.454641, 0.647986", \
-            "0.269388, 0.28565, 0.314619, 0.366288, 0.462841, 0.656208", \
-            "0.287148, 0.303407, 0.332371, 0.38405, 0.48062, 0.674", \
-            "0.309607, 0.325884, 0.354891, 0.406571, 0.503163, 0.696531", \
-            "0.327688, 0.343891, 0.372862, 0.424444, 0.520705, 0.713538" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.057716, 0.0707544, 0.0970373, 0.151311, 0.2668, 0.517304", \
-            "0.0577234, 0.0707095, 0.0970481, 0.151316, 0.266802, 0.517457", \
-            "0.0577171, 0.0707536, 0.0971096, 0.151301, 0.266802, 0.517456", \
-            "0.057729, 0.0707634, 0.0971176, 0.151306, 0.266803, 0.517454", \
-            "0.0578469, 0.0708605, 0.09719, 0.151374, 0.267097, 0.517461", \
-            "0.0578609, 0.070779, 0.0970738, 0.151061, 0.265973, 0.51668" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.244472, 0.252817, 0.259049, 0.268824, 0.275037, 0.28385, 0.288477, 0.297341, 0.299007, 0.301228, 0.305671, 0.310052, 0.318341, 0.321603, 0.326696, 0.340361, 0.349253, 0.35694, 0.362391, 0.363721, 0.371701, 0.395475, 0.403909, 0.41408, 0.427643, 0.452744, 0.482428");
-            values ( \
-              "0.00320175, 0.0154558, 0.0220495, 0.0308849, 0.034702, 0.038791, 0.0404108, 0.0422193, 0.042329, 0.0422397, 0.0416482, 0.0408281, 0.0397147, 0.040109, 0.0360742, 0.0234059, 0.0171385, 0.0125444, 0.0113163, 0.011403, 0.0103146, 0.00483143, 0.00346536, 0.00229221, 0.00128959, 0.000438306, 0.000115314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.244517, 0.256151, 0.267491, 0.272521, 0.281749, 0.293748, 0.299461, 0.311255, 0.322987, 0.335103, 0.337415, 0.340499, 0.346598, 0.363649, 0.371645, 0.39284, 0.397452, 0.402723, 0.442839, 0.459627, 0.470273, 0.491565, 0.515671");
-            values ( \
-              "0.000393641, 0.0275664, 0.0447161, 0.0502165, 0.0582197, 0.0656862, 0.067825, 0.0701635, 0.0685077, 0.0655609, 0.0654826, 0.0644253, 0.0592328, 0.0410177, 0.0337215, 0.0185666, 0.0164566, 0.0149391, 0.00667175, 0.00411259, 0.00301199, 0.00152206, 0.000784254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.252067, 0.274276, 0.282206, 0.296101, 0.310728, 0.318849, 0.335586, 0.360657, 0.372729, 0.377363, 0.429277, 0.456666, 0.468491, 0.484257, 0.505136, 0.53432, 0.571666, 0.598528, 0.632957, 0.645624");
-            values ( \
-              "0.0308431, 0.0688285, 0.0785624, 0.0916039, 0.0993934, 0.101331, 0.101874, 0.0960347, 0.0914848, 0.0889743, 0.0452492, 0.0283328, 0.0226064, 0.0172936, 0.0130684, 0.00841147, 0.0043176, 0.0025916, 0.00124742, 0.00103658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.256633, 0.267628, 0.280803, 0.292451, 0.309251, 0.322451, 0.327054, 0.336261, 0.354252, 0.386743, 0.410395, 0.441055, 0.472342, 0.519831, 0.552769, 0.598334, 0.627983, 0.652456, 0.698673, 0.733755, 0.776858, 0.813334, 0.861339, 0.917674, 0.920771");
-            values ( \
-              "0.0603102, 0.0691143, 0.0917368, 0.10706, 0.121834, 0.128123, 0.129326, 0.130959, 0.1312, 0.126408, 0.120557, 0.111124, 0.0960174, 0.067797, 0.0510054, 0.0328495, 0.0239849, 0.0187858, 0.0122415, 0.00871821, 0.00560138, 0.0037702, 0.00219429, 0.00112928, 0.00111026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.264937, 0.291868, 0.307979, 0.324388, 0.331724, 0.346395, 0.371225, 0.387223, 0.404686, 0.458515, 0.527917, 0.586176, 0.605993, 0.6275, 0.735951, 0.763807, 0.800947, 0.853062, 0.880533, 0.93324, 0.980035, 1.02144, 1.06655, 1.13046, 1.15747, 1.21149, 1.28027, 1.36501, 1.44976, 1.5345, 1.704");
-            values ( \
-              "0.109523, 0.118055, 0.135803, 0.146179, 0.149018, 0.152191, 0.153481, 0.152475, 0.150911, 0.143968, 0.133028, 0.121686, 0.11662, 0.110389, 0.0751702, 0.0666844, 0.0562565, 0.0435229, 0.0377724, 0.0283712, 0.0218857, 0.0175423, 0.0138189, 0.00974619, 0.00842252, 0.00619673, 0.00417866, 0.00250475, 0.00151113, 0.000883596, 0.000304045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.276533, 0.343237, 0.366106, 0.383093, 0.405743, 0.449158, 0.646918, 0.771683, 0.856428, 0.888172, 0.948365, 1.02009, 1.2148, 1.33773, 1.42248, 1.49508, 1.56702, 1.65177, 1.693, 1.77545, 1.85262, 1.93737, 2.03975, 2.18059, 2.35008, 2.51957, 2.68906, 3.02805");
-            values ( \
-              "0.160658, 0.163679, 0.1673, 0.167839, 0.167308, 0.16507, 0.150186, 0.139512, 0.131035, 0.12734, 0.118804, 0.107382, 0.0746247, 0.0564173, 0.0457669, 0.0379224, 0.0311695, 0.0246683, 0.0219645, 0.0175044, 0.0140738, 0.0111211, 0.00825073, 0.00546431, 0.00329062, 0.00196054, 0.00115519, 0.00038099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.255432, 0.278076, 0.28438, 0.292184, 0.298829, 0.30272, 0.312112, 0.315329, 0.329785, 0.330959, 0.337013, 0.352532, 0.3569, 0.370092, 0.376283, 0.377914, 0.387699, 0.405129, 0.413251, 0.425121, 0.432962, 0.448645, 0.47302, 0.505256");
-            values ( \
-              "0.000749557, 0.0267132, 0.0318849, 0.0362316, 0.0390762, 0.0404112, 0.042283, 0.0422416, 0.0399099, 0.040036, 0.0396848, 0.0249782, 0.0216211, 0.0131204, 0.0112174, 0.0114583, 0.0100095, 0.00575655, 0.00422138, 0.00259728, 0.00189456, 0.000970831, 0.000349019, 7.9735e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.262011, 0.270346, 0.281209, 0.286724, 0.295758, 0.307966, 0.313671, 0.325466, 0.337198, 0.349314, 0.351624, 0.354705, 0.360755, 0.377877, 0.385759, 0.407044, 0.411707, 0.417035, 0.456833, 0.473907, 0.484725, 0.506362, 0.530734");
-            values ( \
-              "0.0112066, 0.0278082, 0.0439713, 0.0501184, 0.0579828, 0.0656152, 0.0678844, 0.0700955, 0.0685688, 0.065552, 0.0654788, 0.0644306, 0.0592833, 0.0410006, 0.0338055, 0.0185685, 0.0164411, 0.0149142, 0.0067097, 0.00410578, 0.00298875, 0.00149421, 0.000759688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.261872, 0.275124, 0.284451, 0.296422, 0.31028, 0.324953, 0.333072, 0.34981, 0.374881, 0.386954, 0.391589, 0.443502, 0.470887, 0.482866, 0.498576, 0.519305, 0.548135, 0.564687, 0.586362, 0.613119, 0.647405, 0.664793");
-            values ( \
-              "0.00572205, 0.0456208, 0.062967, 0.0784675, 0.0915038, 0.0993418, 0.101365, 0.101833, 0.0960377, 0.091482, 0.0889748, 0.0452479, 0.0283366, 0.0225428, 0.0172724, 0.0130798, 0.00846907, 0.00637379, 0.00428022, 0.00257271, 0.00124304, 0.000953771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.270662, 0.281746, 0.301999, 0.31912, 0.328342, 0.341183, 0.363487, 0.378379, 0.395856, 0.430935, 0.455209, 0.478168, 0.541513, 0.586964, 0.623088, 0.648874, 0.667869, 0.703054, 0.743266, 0.789045, 0.825268, 0.925637");
-            values ( \
-              "0.0594284, 0.0689562, 0.1015, 0.118896, 0.124595, 0.129367, 0.131543, 0.130308, 0.12743, 0.118955, 0.111293, 0.100437, 0.0636494, 0.0422212, 0.0293896, 0.0223331, 0.0185665, 0.0134129, 0.00910505, 0.00570274, 0.00387443, 0.00130078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.275717, 0.296206, 0.311578, 0.321524, 0.330846, 0.338703, 0.354416, 0.37157, 0.387815, 0.412075, 0.436959, 0.488155, 0.542259, 0.600588, 0.666545, 0.735324, 0.80772, 0.860861, 0.90209, 0.929467, 0.981367, 1.00278, 1.03793, 1.07809, 1.14449, 1.18391, 1.25695, 1.33906, 1.4238, 1.50855, 1.67804");
-            values ( \
-              "0.0885854, 0.10281, 0.124961, 0.13515, 0.142046, 0.146165, 0.15119, 0.153241, 0.153281, 0.1516, 0.148793, 0.1417, 0.133076, 0.121956, 0.102675, 0.0797069, 0.0582658, 0.0449949, 0.0363696, 0.0313534, 0.0234882, 0.020938, 0.0173624, 0.0140069, 0.00977998, 0.00785426, 0.00519023, 0.00321839, 0.00191258, 0.00115704, 0.000413005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.290955, 0.357098, 0.379808, 0.398139, 0.422582, 0.465459, 0.657671, 0.785893, 0.870639, 0.9023, 0.962496, 1.03421, 1.20148, 1.28383, 1.35186, 1.43661, 1.5092, 1.58115, 1.6659, 1.70712, 1.78958, 1.86675, 1.9515, 2.0539, 2.19474, 2.36423, 2.53373, 2.70322, 3.0422");
-            values ( \
-              "0.162026, 0.163639, 0.167217, 0.167797, 0.167248, 0.16488, 0.150428, 0.139549, 0.130977, 0.127383, 0.11876, 0.107424, 0.0790286, 0.0661133, 0.056451, 0.0457334, 0.037891, 0.0312008, 0.0246375, 0.0219942, 0.017474, 0.0141028, 0.0110917, 0.00827729, 0.00549051, 0.00331668, 0.0019864, 0.00118083, 0.000406181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.294829, 0.307612, 0.318635, 0.330807, 0.339152, 0.342867, 0.346399, 0.353463, 0.360052, 0.36526, 0.373222, 0.386648, 0.395239, 0.408215, 0.411815, 0.418987, 0.44217, 0.451536, 0.457808, 0.469823, 0.485842, 0.51285, 0.545184");
-            values ( \
-              "0.00813445, 0.0221028, 0.0317746, 0.0381476, 0.0410417, 0.0418689, 0.0422724, 0.0417405, 0.0405186, 0.0401578, 0.0384479, 0.0250996, 0.0187809, 0.0114574, 0.0115481, 0.0105169, 0.00520347, 0.003592, 0.00277226, 0.0016888, 0.000883865, 0.000252939, 8.37452e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.294993, 0.304852, 0.312173, 0.318967, 0.32507, 0.33017, 0.335663, 0.342466, 0.348168, 0.359964, 0.371695, 0.383807, 0.386891, 0.391002, 0.412281, 0.420843, 0.428526, 0.441595, 0.445958, 0.450944, 0.484304, 0.4956, 0.50666, 0.526716, 0.553458, 0.591251, 0.638179");
-            values ( \
-              "0.00526036, 0.027766, 0.0389674, 0.0478504, 0.0537149, 0.0578631, 0.06181, 0.0655631, 0.0679345, 0.0700448, 0.068617, 0.0655483, 0.0653596, 0.0632241, 0.04113, 0.0332993, 0.0273276, 0.0185568, 0.016536, 0.0150584, 0.00803122, 0.00597233, 0.00432056, 0.0023526, 0.00101091, 0.000254374, 6.44414e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.294882, 0.309629, 0.318947, 0.330913, 0.344737, 0.350327, 0.359443, 0.367561, 0.384299, 0.40937, 0.426081, 0.477991, 0.505371, 0.517571, 0.532978, 0.553778, 0.582322, 0.599161, 0.621164, 0.647636, 0.681539, 0.698799");
-            values ( \
-              "0.000349952, 0.045804, 0.0629626, 0.0784814, 0.0914784, 0.0951422, 0.0993306, 0.101383, 0.101816, 0.0960369, 0.0889732, 0.0452469, 0.0283418, 0.0224524, 0.0173021, 0.013086, 0.00851333, 0.00637816, 0.00425738, 0.00256995, 0.00125585, 0.000963321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.307492, 0.325018, 0.341714, 0.356639, 0.375678, 0.389583, 0.402877, 0.431166, 0.466835, 0.489699, 0.608616, 0.650526, 0.698605, 0.726634, 0.783918, 0.857395, 0.922987");
-            values ( \
-              "0.0736491, 0.0848268, 0.107805, 0.121051, 0.129333, 0.131289, 0.131207, 0.127292, 0.118541, 0.111463, 0.0477406, 0.031668, 0.019162, 0.01477, 0.00853799, 0.00400202, 0.00222637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.311577, 0.33194, 0.345988, 0.356507, 0.373125, 0.381891, 0.399423, 0.42224, 0.446533, 0.471375, 0.522563, 0.576661, 0.634916, 0.684567, 0.79937, 0.828079, 0.885496, 0.949555, 0.983909, 1.02953, 1.06866, 1.1143, 1.17863, 1.26121, 1.32855, 1.4131, 1.58259, 1.75208");
-            values ( \
-              "0.101027, 0.105002, 0.124947, 0.135652, 0.146201, 0.149407, 0.152761, 0.15334, 0.151618, 0.148802, 0.141701, 0.133042, 0.121699, 0.107826, 0.070622, 0.0622069, 0.0472195, 0.0339011, 0.0280703, 0.0217911, 0.0176819, 0.0138937, 0.0097728, 0.0061583, 0.00419204, 0.00251194, 0.000884592, 0.000302756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.323474, 0.413753, 0.433589, 0.460037, 0.502522, 0.71257, 0.82036, 0.936753, 0.99695, 1.06867, 1.23593, 1.31828, 1.38631, 1.47106, 1.54366, 1.6156, 1.70035, 1.82404, 1.90121, 1.98595, 2.0603, 2.14445, 2.22919, 2.31394, 2.39868, 2.56818, 2.82241, 3.07665");
-            values ( \
-              "0.15282, 0.167171, 0.167791, 0.167132, 0.164693, 0.148784, 0.139589, 0.127422, 0.118722, 0.107462, 0.0789932, 0.066079, 0.0564851, 0.0456995, 0.0378579, 0.0312336, 0.0246048, 0.0174418, 0.0141352, 0.0110597, 0.0089543, 0.0070272, 0.00552131, 0.00426668, 0.0033473, 0.00201686, 0.000911668, 0.000436229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.370371, 0.383226, 0.392251, 0.398372, 0.405978, 0.411038, 0.41682, 0.42621, 0.428066, 0.431738, 0.442951, 0.445039, 0.449216, 0.45504, 0.463147, 0.467135, 0.469999, 0.478199, 0.485657, 0.490898, 0.492093, 0.494483, 0.499263, 0.510992, 0.512082, 0.514262, 0.518623, 0.533078, 0.535248, 0.539587, 0.548265, 0.564278, 0.587939, 0.619362");
-            values ( \
-              "0.000140936, 0.0175437, 0.0266978, 0.0317456, 0.0360334, 0.0382699, 0.0404277, 0.0422947, 0.0422767, 0.0420122, 0.0400168, 0.0403132, 0.0397174, 0.0366409, 0.0277817, 0.0249171, 0.0223431, 0.0167004, 0.0124208, 0.0112932, 0.0114657, 0.0110552, 0.010533, 0.00780628, 0.0073848, 0.00698336, 0.00583749, 0.00328064, 0.00312743, 0.00250679, 0.00185931, 0.000857275, 0.00039695, 2.48955e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.373666, 0.384189, 0.400613, 0.409494, 0.421878, 0.427578, 0.439377, 0.45111, 0.463216, 0.467313, 0.471499, 0.500421, 0.521022, 0.530189, 0.577739, 0.593073, 0.610825, 0.638145, 0.640676");
-            values ( \
-              "0.00338562, 0.0275416, 0.0500304, 0.0578009, 0.0655834, 0.0678712, 0.0700749, 0.0685664, 0.0655357, 0.0650336, 0.062283, 0.0331638, 0.0185476, 0.0151038, 0.00553278, 0.0035376, 0.0020141, 0.000856822, 0.000813051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.373532, 0.3924, 0.410342, 0.424123, 0.438891, 0.447008, 0.463748, 0.488788, 0.50103, 0.505937, 0.557432, 0.584807, 0.597602, 0.612576, 0.633079, 0.656717, 0.678258, 0.704971, 0.732118, 0.766774, 0.790857");
-            values ( \
-              "0.00149075, 0.0521578, 0.0784391, 0.0914347, 0.0993468, 0.101321, 0.101846, 0.0960392, 0.0914268, 0.0887055, 0.045255, 0.0283523, 0.0222074, 0.0172826, 0.0131161, 0.00923861, 0.00642128, 0.00391912, 0.00232463, 0.00111288, 0.000884317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.385496, 0.395986, 0.403596, 0.416872, 0.426483, 0.434916, 0.444553, 0.455465, 0.477288, 0.493639, 0.510142, 0.546705, 0.557241, 0.5695, 0.591155, 0.645458, 0.679654, 0.709891, 0.738168, 0.756473, 0.780888, 0.801702, 0.827008, 0.862113, 0.905298, 0.940233, 0.986852, 1.04033, 1.11135, 1.19609");
-            values ( \
-              "0.0668467, 0.0688157, 0.0827676, 0.102193, 0.112938, 0.119906, 0.125535, 0.12927, 0.131568, 0.13021, 0.127372, 0.118562, 0.114754, 0.111459, 0.100979, 0.069329, 0.0517072, 0.0388931, 0.0291429, 0.0239844, 0.0187538, 0.0154647, 0.0122715, 0.00869712, 0.0055749, 0.00385696, 0.00224663, 0.00123527, 0.000491679, 0.000195217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.393136, 0.419297, 0.435978, 0.452613, 0.467829, 0.485246, 0.501731, 0.525994, 0.594884, 0.656182, 0.71445, 0.773771, 0.874913, 0.933808, 1.02172, 1.07381, 1.11028, 1.18259, 1.25372, 1.30043, 1.39015, 1.55674, 1.59239");
-            values ( \
-              "0.11031, 0.116882, 0.13559, 0.14615, 0.151031, 0.153237, 0.153254, 0.151616, 0.142763, 0.13312, 0.121927, 0.104717, 0.0718515, 0.0550293, 0.0352966, 0.0264615, 0.0216667, 0.0147282, 0.0100221, 0.0077364, 0.00463618, 0.00170311, 0.00151574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.404496, 0.470745, 0.492864, 0.514321, 0.542929, 0.585095, 0.792235, 0.899933, 1.01642, 1.07662, 1.14834, 1.3156, 1.39795, 1.46598, 1.55073, 1.62333, 1.69527, 1.78002, 1.9037, 1.98087, 2.06562, 2.13996, 2.2241, 2.39359, 2.56308, 2.73258, 2.90207, 3.15631");
-            values ( \
-              "0.159443, 0.163487, 0.167115, 0.167789, 0.16698, 0.164498, 0.148792, 0.139591, 0.127417, 0.118726, 0.107459, 0.0789914, 0.0660754, 0.0564896, 0.0456948, 0.0378516, 0.0312401, 0.024598, 0.0174345, 0.0141433, 0.0110517, 0.008945, 0.00701745, 0.00425653, 0.00255655, 0.0015232, 0.000900739, 0.000447455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.546062, 0.55716, 0.569356, 0.583815, 0.588462, 0.597098, 0.599455, 0.602597, 0.615773, 0.622298, 0.642702, 0.655831, 0.662051, 0.663664, 0.67334, 0.69427, 0.703405, 0.714971, 0.730392, 0.755185, 0.784201");
-            values ( \
-              "0.00743691, 0.0200895, 0.0312747, 0.0387859, 0.0404088, 0.0422059, 0.0423294, 0.0420969, 0.0398772, 0.0399107, 0.0215674, 0.0131009, 0.0112401, 0.0114424, 0.0100116, 0.00506321, 0.00353225, 0.00220459, 0.00114533, 0.000395613, 0.000111706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.546307, 0.556372, 0.563689, 0.570467, 0.576544, 0.581506, 0.587176, 0.593987, 0.599681, 0.611476, 0.623207, 0.63531, 0.636841, 0.639904, 0.64385, 0.663362, 0.674856, 0.692095, 0.696533, 0.702449, 0.737094, 0.748386, 0.757616, 0.773951, 0.795731, 0.828948, 0.87145");
-            values ( \
-              "0.00450953, 0.0278253, 0.0390013, 0.0478314, 0.0536728, 0.0577436, 0.061804, 0.0655849, 0.067925, 0.0700608, 0.0686029, 0.0655609, 0.0655298, 0.0648574, 0.0619981, 0.0414572, 0.0312434, 0.0191291, 0.0169215, 0.0149974, 0.00779504, 0.00573677, 0.00439916, 0.00269278, 0.00136579, 0.000436071, 0.0001082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.546143, 0.564444, 0.570432, 0.582399, 0.596131, 0.610931, 0.619046, 0.635783, 0.660862, 0.677482, 0.729479, 0.75685, 0.769339, 0.7843, 0.805258, 0.833395, 0.873054, 0.899029, 0.932269, 0.946509");
-            values ( \
-              "0.00267106, 0.0523322, 0.0629727, 0.0784989, 0.0914296, 0.0993478, 0.101368, 0.10183, 0.096032, 0.0890257, 0.0452452, 0.0283462, 0.0223324, 0.0173561, 0.0130894, 0.00857172, 0.00422604, 0.0025754, 0.0012787, 0.00111607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.557295, 0.571512, 0.598053, 0.60823, 0.618327, 0.627032, 0.640933, 0.654229, 0.681695, 0.717737, 0.74104, 0.764639, 0.827336, 0.860482, 0.901415, 0.921962, 0.949815, 0.978261, 1.03536, 1.0737, 1.1085, 1.15296, 1.20599, 1.35785");
-            values ( \
-              "0.063481, 0.0767035, 0.112992, 0.121208, 0.126543, 0.129326, 0.13131, 0.131194, 0.127454, 0.118649, 0.111414, 0.0999701, 0.063655, 0.047472, 0.0318603, 0.0255501, 0.019224, 0.0147673, 0.00856491, 0.00578583, 0.0039792, 0.00241687, 0.00130234, 0.000196249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.56517, 0.591726, 0.6079, 0.624588, 0.639857, 0.657243, 0.673702, 0.697964, 0.722848, 0.77404, 0.828155, 0.886375, 0.945228, 1.03619, 1.09786, 1.18212, 1.23604, 1.28125, 1.36563, 1.43005, 1.51298, 1.66139, 1.74614, 1.75608");
-            values ( \
-              "0.110125, 0.117564, 0.135566, 0.146154, 0.151079, 0.153225, 0.153279, 0.151597, 0.148792, 0.1417, 0.133103, 0.121878, 0.104943, 0.0751726, 0.0571559, 0.0375065, 0.0279695, 0.0217786, 0.0139037, 0.00978483, 0.00615509, 0.00256799, 0.0015464, 0.00149819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.575903, 0.64238, 0.664397, 0.686722, 0.716488, 0.75946, 0.963962, 1.07188, 1.18814, 1.32034, 1.51454, 1.63716, 1.79646, 1.95266, 2.07398, 2.15171, 2.31175, 2.48205, 2.82104, 2.87776");
-            values ( \
-              "0.157412, 0.163478, 0.167069, 0.167764, 0.166927, 0.164298, 0.148765, 0.13957, 0.127423, 0.107395, 0.0747242, 0.0565504, 0.0377468, 0.024568, 0.0175357, 0.0141593, 0.00896878, 0.00548818, 0.00199821, 0.00182272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.916658, 0.926006, 0.93661, 0.943119, 0.950259, 0.959705, 0.965764, 0.971121, 0.979623, 0.985251, 0.990024, 0.997646, 1.01158, 1.01897, 1.03205, 1.03637, 1.0417, 1.06796, 1.07681, 1.08797, 1.10286, 1.12716, 1.15577");
-            values ( \
-              "0.00124594, 0.0154067, 0.0262361, 0.0316751, 0.035712, 0.0396632, 0.0414119, 0.0421811, 0.0414155, 0.0404297, 0.0401219, 0.0385962, 0.0249587, 0.0194729, 0.0117227, 0.0115432, 0.0108789, 0.00503446, 0.00350198, 0.00221165, 0.00122482, 0.000391241, 0.000152386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.916705, 0.936451, 0.94037, 0.945773, 0.954209, 0.960238, 0.967074, 0.972764, 0.984585, 0.996338, 1.00768, 1.00846, 1.01003, 1.01316, 1.01706, 1.02143, 1.03655, 1.04147, 1.04803, 1.05984, 1.06521, 1.06983, 1.076, 1.0957, 1.10884, 1.11987, 1.12787, 1.13819, 1.15196, 1.17944, 1.21593, 1.23476");
-            values ( \
-              "0.00172186, 0.0384553, 0.0440504, 0.0500737, 0.0574037, 0.0616622, 0.0654822, 0.0677603, 0.0699422, 0.0684475, 0.0657196, 0.0654447, 0.0654538, 0.064716, 0.0618837, 0.057529, 0.0414177, 0.0368268, 0.0312566, 0.0227809, 0.0192036, 0.016895, 0.0149147, 0.0109329, 0.00810133, 0.0060196, 0.00480093, 0.00354439, 0.00233363, 0.000954748, 0.000275234, 0.000129519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.923775, 0.934262, 0.941221, 0.951486, 0.962117, 0.969252, 0.975022, 0.984163, 0.99229, 1.00906, 1.02664, 1.03415, 1.04273, 1.04638, 1.05124, 1.06368, 1.08676, 1.10284, 1.11927, 1.13023, 1.14316, 1.15795, 1.17842, 1.20198, 1.22298, 1.25397, 1.28354, 1.31945, 1.3315");
-            values ( \
-              "0.0191582, 0.0457264, 0.0591908, 0.0735089, 0.0851278, 0.0911833, 0.0949403, 0.0991537, 0.101174, 0.101643, 0.0978471, 0.0958843, 0.0921541, 0.0913092, 0.08864, 0.0785249, 0.057794, 0.0452467, 0.0344359, 0.0283616, 0.022165, 0.0173158, 0.0131483, 0.00927697, 0.00645344, 0.00371227, 0.00206517, 0.000989824, 0.000826107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.928569, 0.950871, 0.97129, 0.981079, 0.991424, 1.00034, 1.01427, 1.02758, 1.05333, 1.09143, 1.11455, 1.14908, 1.19657, 1.22821, 1.27509, 1.29042, 1.32109, 1.36548, 1.40226, 1.44855, 1.48442, 1.53081, 1.58691, 1.59306");
-            values ( \
-              "0.047219, 0.0868134, 0.112755, 0.120648, 0.126223, 0.129052, 0.131082, 0.130932, 0.127565, 0.118413, 0.111321, 0.0936465, 0.0660072, 0.050125, 0.0318699, 0.0271047, 0.0196528, 0.0130617, 0.00918272, 0.00573449, 0.00388405, 0.00231368, 0.00119087, 0.00115011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.940929, 1.00683, 1.0248, 1.04704, 1.07138, 1.09627, 1.13755, 1.24451, 1.29037, 1.41017, 1.47642, 1.52774, 1.57006, 1.65282, 1.69765, 1.74196, 1.80522, 1.88395, 2.03182, 2.14622");
-            values ( \
-              "0.117271, 0.149196, 0.152525, 0.153065, 0.151322, 0.148543, 0.142885, 0.125221, 0.113749, 0.0750582, 0.0558309, 0.0433943, 0.0347772, 0.0220095, 0.0173184, 0.0137248, 0.00969086, 0.00623935, 0.00260691, 0.00146453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.944532, 0.984137, 0.997536, 1.01511, 1.0371, 1.04517, 1.0613, 1.09214, 1.13876, 1.32654, 1.44556, 1.56194, 1.62214, 1.69386, 1.86112, 1.94347, 2.0115, 2.09625, 2.16885, 2.2408, 2.32554, 2.44923, 2.5264, 2.61115, 2.71355, 2.8544, 3.02389, 3.19339, 3.44762, 3.70186");
-            values ( \
-              "0.143539, 0.146656, 0.156, 0.16302, 0.166701, 0.167205, 0.167443, 0.166515, 0.163592, 0.149676, 0.139578, 0.127414, 0.118728, 0.107455, 0.078999, 0.0660848, 0.0564789, 0.0457054, 0.0378639, 0.0312275, 0.0246107, 0.0174476, 0.0141285, 0.0110657, 0.00830155, 0.00551449, 0.00334058, 0.00201016, 0.000918412, 0.000429454" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00584002, 0.00584047, 0.00584093, 0.00584129, 0.00584152, 0.00584164", \
-            "0.00639355, 0.00639364, 0.00639379, 0.00639394, 0.00639405, 0.00639412", \
-            "0.00676584, 0.00676584, 0.00676587, 0.00676593, 0.00676599, 0.00676604", \
-            "0.00709777, 0.00709775, 0.00709774, 0.00709775, 0.00709778, 0.00709781", \
-            "0.00737062, 0.0073706, 0.00737059, 0.00737057, 0.00737057, 0.00737058", \
-            "0.00759461, 0.0075946, 0.00759459, 0.00759457, 0.00759455, 0.00759454" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00688735, 0.006887, 0.00688678, 0.00688669, 0.00688666, 0.00688666", \
-            "0.0070873, 0.00708708, 0.00708689, 0.00708678, 0.00708674, 0.00708673", \
-            "0.0072973, 0.00729722, 0.0072971, 0.00729697, 0.00729692, 0.00729688", \
-            "0.00781463, 0.0078146, 0.00781456, 0.00781447, 0.0078144, 0.0078144", \
-            "0.00777959, 0.00777961, 0.00777964, 0.00777963, 0.00777957, 0.0077795", \
-            "0.00709699, 0.00710638, 0.00711626, 0.00712409, 0.00712777, 0.00712965" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.197937, 0.219013, 0.235316, 0.246108, 0.255904, 0.265204, 0.274257, 0.283303, 0.288639, 0.293041, 0.313907, 0.326721, 0.333149, 0.351046, 0.381644");
-            values ( \
-              "-0.00628676, -0.0232752, -0.03363, -0.0384693, -0.0409233, -0.0426668, -0.0433337, -0.0422693, -0.040275, -0.0365793, -0.01338, -0.00521923, -0.00300963, -0.00261407, -0.000999844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.205221, 0.218205, 0.237779, 0.244955, 0.258068, 0.270017, 0.281387, 0.292491, 0.304254, 0.309582, 0.315719, 0.332804, 0.344399, 0.35608, 0.372073, 0.383904, 0.459121, 0.50812");
-            values ( \
-              "-0.0266059, -0.0328037, -0.0524085, -0.0581593, -0.065923, -0.070195, -0.0727843, -0.0738158, -0.0713586, -0.0683517, -0.0613907, -0.0343957, -0.0206433, -0.0117528, -0.00418113, -0.00248219, -0.000672231, -0.000127041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.208166, 0.220606, 0.24055, 0.25327, 0.261247, 0.277201, 0.287986, 0.294844, 0.30856, 0.313952, 0.319775, 0.325574, 0.337173, 0.341154, 0.34907, 0.358282, 0.382993, 0.399956, 0.41449, 0.42561, 0.434644, 0.448716, 0.467114, 0.492626, 0.615695");
-            values ( \
-              "-0.0391858, -0.0448874, -0.0717383, -0.0849356, -0.0915848, -0.101878, -0.106233, -0.108263, -0.111026, -0.11163, -0.11167, -0.111375, -0.108262, -0.106617, -0.100851, -0.0890488, -0.0499715, -0.0304399, -0.0192771, -0.0133964, -0.00976352, -0.00562659, -0.00242396, -0.00157511, -0.000330224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.216281, 0.239391, 0.25554, 0.263631, 0.27442, 0.288033, 0.313961, 0.338332, 0.362221, 0.387998, 0.402223, 0.411129, 0.428941, 0.475705, 0.491542, 0.516135, 0.527571, 0.54174, 0.561992, 0.586582, 0.60612, 0.644058, 0.69093, 0.872106");
-            values ( \
-              "-0.0716871, -0.0822879, -0.102918, -0.111396, -0.121185, -0.13101, -0.143327, -0.148324, -0.149624, -0.146302, -0.141803, -0.137603, -0.123496, -0.0673156, -0.0520999, -0.0339722, -0.0276239, -0.021255, -0.0144216, -0.00888356, -0.00586489, -0.0022604, -0.00122093, -0.000303777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.220653, 0.245796, 0.274609, 0.285811, 0.308215, 0.332771, 0.369838, 0.386523, 0.40877, 0.416894, 0.433144, 0.45866, 0.500573, 0.516568, 0.531331, 0.547121, 0.5787, 0.630037, 0.664789, 0.691286, 0.709245, 0.741461, 0.770328, 0.808817, 0.834753, 0.864905, 0.905108, 0.972089, 1.03907, 1.10605, 1.37397");
-            values ( \
-              "-0.0978976, -0.0996442, -0.134297, -0.144055, -0.15921, -0.169771, -0.177982, -0.179236, -0.179492, -0.179408, -0.17852, -0.176336, -0.169723, -0.166086, -0.161903, -0.156249, -0.139275, -0.100698, -0.076711, -0.061318, -0.0523306, -0.0389067, -0.0295968, -0.0202848, -0.0156655, -0.0115575, -0.00759362, -0.00349567, -0.00165884, -0.00103648, -0.000299365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.228238, 0.269124, 0.286025, 0.313061, 0.332264, 0.369446, 0.406141, 0.428425, 0.458137, 0.491843, 0.558824, 0.643232, 0.702462, 0.7366, 0.80358, 0.826658, 0.872815, 1.01507, 1.07265, 1.11616, 1.17417, 1.21907, 1.3028, 1.36978, 1.44357, 1.49087, 1.55785, 1.62483, 1.69181, 1.82577, 1.95973, 2.22765");
-            values ( \
-              "-0.126265, -0.135265, -0.152262, -0.171701, -0.181098, -0.192258, -0.197323, -0.198556, -0.198974, -0.198366, -0.195178, -0.189117, -0.183546, -0.179646, -0.169469, -0.164728, -0.151955, -0.0966362, -0.0766773, -0.0637231, -0.0491677, -0.039974, -0.0268107, -0.0193015, -0.0133459, -0.01053, -0.00742524, -0.00522354, -0.00360933, -0.00180028, -0.000977673, -0.000366335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.21301, 0.223437, 0.233092, 0.249406, 0.260201, 0.269999, 0.2793, 0.288354, 0.297401, 0.302709, 0.307139, 0.328008, 0.340815, 0.347239, 0.364884, 0.397801");
-            values ( \
-              "-0.00897998, -0.0149387, -0.0232448, -0.0336271, -0.0384543, -0.0409253, -0.0426554, -0.0433376, -0.04226, -0.0402897, -0.0365791, -0.0133777, -0.00522145, -0.00301124, -0.00261889, -0.000928589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.218998, 0.232216, 0.253099, 0.259064, 0.270994, 0.2841, 0.293911, 0.298682, 0.306554, 0.309575, 0.315616, 0.321805, 0.329763, 0.344912, 0.358628, 0.369957, 0.385581, 0.390319, 0.398445, 0.423867, 0.474668, 0.477352");
-            values ( \
-              "-0.0253793, -0.032639, -0.0534537, -0.0580928, -0.0653648, -0.0701802, -0.0725186, -0.0733336, -0.0737536, -0.0735737, -0.0721758, -0.0696263, -0.0614295, -0.0371796, -0.0205246, -0.0119002, -0.00443171, -0.0032292, -0.00242519, -0.00208885, -0.000662033, -0.000633608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.222013, 0.234653, 0.254662, 0.267388, 0.275368, 0.291328, 0.302097, 0.308971, 0.32272, 0.328018, 0.333873, 0.339704, 0.351367, 0.355285, 0.363122, 0.372413, 0.397125, 0.414097, 0.428613, 0.439718, 0.448768, 0.462865, 0.481047, 0.506248, 0.587425");
-            values ( \
-              "-0.0377958, -0.0446417, -0.0716264, -0.0849673, -0.0914963, -0.101922, -0.106166, -0.108298, -0.110974, -0.111577, -0.111705, -0.111329, -0.108272, -0.106575, -0.100962, -0.0890124, -0.0500065, -0.0304668, -0.019315, -0.0133761, -0.00979786, -0.00565291, -0.0024175, -0.00160874, -0.000866735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.229937, 0.253405, 0.277326, 0.287455, 0.302067, 0.327997, 0.352368, 0.376258, 0.400131, 0.416246, 0.425167, 0.44301, 0.48973, 0.505633, 0.530187, 0.541584, 0.555762, 0.57602, 0.600639, 0.620203, 0.657745, 0.704106, 0.883273");
-            values ( \
-              "-0.0694498, -0.0821793, -0.11109, -0.12028, -0.131058, -0.14326, -0.148377, -0.149564, -0.146794, -0.141813, -0.137596, -0.12347, -0.0673239, -0.0520584, -0.0339682, -0.0276408, -0.0212578, -0.0144283, -0.00888366, -0.00585547, -0.00228673, -0.00122617, -0.000318477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.234602, 0.300436, 0.322089, 0.3474, 0.383655, 0.40276, 0.428234, 0.473285, 0.515226, 0.561747, 0.593715, 0.678365, 0.707008, 0.756791, 0.819569, 0.888285, 0.941802, 1.00878, 1.03701");
-            values ( \
-              "-0.0840996, -0.144107, -0.158712, -0.169836, -0.177805, -0.179244, -0.17945, -0.176298, -0.169747, -0.156247, -0.139017, -0.0773826, -0.060744, -0.0386652, -0.0210973, -0.0105715, -0.00595014, -0.00266228, -0.00228331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.245695, 0.300035, 0.327191, 0.346279, 0.383216, 0.427584, 0.474962, 0.505858, 0.567649, 0.666208, 0.733188, 0.785601, 0.840623, 0.907603, 1.00155, 1.11304, 1.17847, 1.23214, 1.32851, 1.39549, 1.45242, 1.5545, 1.62148, 1.68846, 1.82243, 1.86773");
-            values ( \
-              "-0.134079, -0.15213, -0.171849, -0.180997, -0.192282, -0.197855, -0.199015, -0.198305, -0.19553, -0.188311, -0.181758, -0.174904, -0.164683, -0.144835, -0.107202, -0.0686231, -0.0514363, -0.0402102, -0.0253448, -0.0182433, -0.0137094, -0.00813815, -0.00573833, -0.00397121, -0.00196614, -0.00178885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.249101, 0.258346, 0.265409, 0.276296, 0.283227, 0.294027, 0.303823, 0.313123, 0.322177, 0.332515, 0.336515, 0.340961, 0.361832, 0.374633, 0.381053, 0.398697, 0.427414");
-            values ( \
-              "-0.0146468, -0.0159426, -0.0221377, -0.029622, -0.0336642, -0.0384315, -0.0409562, -0.0426344, -0.0433628, -0.0419841, -0.0403024, -0.0365791, -0.0133752, -0.0052228, -0.00301312, -0.00261982, -0.00114531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.251498, 0.26193, 0.272456, 0.28651, 0.292898, 0.306026, 0.31796, 0.32933, 0.340434, 0.35153, 0.357547, 0.363661, 0.380747, 0.39234, 0.404025, 0.420018, 0.424641, 0.431844, 0.506269, 0.55445");
-            values ( \
-              "-0.0227539, -0.0270133, -0.0399068, -0.0531412, -0.058091, -0.065995, -0.0701357, -0.0728414, -0.0737627, -0.0715865, -0.068332, -0.0613925, -0.0343936, -0.0206432, -0.0117494, -0.00417826, -0.00319082, -0.00248413, -0.000687455, -0.000132839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.254426, 0.264213, 0.27513, 0.288532, 0.301241, 0.309229, 0.326736, 0.342843, 0.358361, 0.373643, 0.389891, 0.398965, 0.40636, 0.428251, 0.436624, 0.447789, 0.462794, 0.474267, 0.482909, 0.49364, 0.507948, 0.530683, 0.560402, 0.616665");
-            values ( \
-              "-0.0311501, -0.0384022, -0.0548406, -0.0717533, -0.0849116, -0.091589, -0.102664, -0.108207, -0.111313, -0.111362, -0.106284, -0.09902, -0.0889822, -0.0538958, -0.0427515, -0.0306757, -0.0191459, -0.013098, -0.00970744, -0.00644064, -0.00336735, -0.00162581, -0.00159152, -0.000980514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.26227, 0.287229, 0.306065, 0.31983, 0.335883, 0.361806, 0.386174, 0.410062, 0.433933, 0.450169, 0.45897, 0.47657, 0.508507, 0.539014, 0.563878, 0.575587, 0.589694, 0.6099, 0.634285, 0.653639, 0.691193, 0.737379, 0.916518");
-            values ( \
-              "-0.0600001, -0.0824758, -0.105932, -0.119045, -0.13116, -0.143217, -0.148449, -0.149513, -0.146854, -0.141767, -0.137599, -0.123721, -0.0844674, -0.0524242, -0.0340329, -0.0275367, -0.0212038, -0.0144064, -0.00891188, -0.00590312, -0.00230668, -0.00122811, -0.000322319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.266296, 0.295415, 0.32233, 0.333729, 0.356525, 0.380686, 0.416973, 0.435979, 0.46132, 0.485739, 0.506569, 0.54852, 0.578978, 0.595031, 0.627138, 0.711271, 0.740685, 0.760647, 0.790324, 0.816528, 0.851467, 0.880983, 0.922475, 0.977797, 1.04478, 1.17874, 1.37968");
-            values ( \
-              "-0.0800798, -0.102156, -0.134132, -0.144065, -0.159437, -0.169776, -0.177876, -0.179289, -0.179412, -0.178254, -0.176278, -0.169763, -0.161986, -0.156245, -0.138927, -0.0776259, -0.0605392, -0.0507173, -0.0385798, -0.0301031, -0.0213939, -0.0159489, -0.0104754, -0.00576844, -0.00257503, -0.000845221, -0.000360506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.278844, 0.333817, 0.36078, 0.380052, 0.417098, 0.461354, 0.508592, 0.539627, 0.601695, 0.699976, 0.766957, 0.819369, 0.874391, 0.941372, 1.03532, 1.14681, 1.21224, 1.26591, 1.36228, 1.42926, 1.48619, 1.58827, 1.65525, 1.72223, 1.85619, 1.90331");
-            values ( \
-              "-0.130716, -0.152189, -0.171756, -0.181028, -0.192305, -0.197852, -0.199012, -0.198313, -0.195508, -0.188316, -0.181753, -0.1749, -0.164687, -0.144831, -0.1072, -0.0686196, -0.0514326, -0.0402144, -0.0253398, -0.0182482, -0.0137032, -0.00813173, -0.00574473, -0.00396466, -0.00195943, -0.00177498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.323479, 0.333058, 0.344522, 0.362388, 0.373184, 0.382985, 0.392287, 0.401341, 0.411678, 0.415669, 0.420128, 0.441001, 0.453801, 0.460219, 0.477859, 0.507566");
-            values ( \
-              "-0.00329067, -0.0129641, -0.0220584, -0.0336328, -0.038437, -0.0409324, -0.0426404, -0.0433451, -0.0419801, -0.0403052, -0.0365777, -0.0133746, -0.00522321, -0.00301311, -0.00261957, -0.00109549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.330344, 0.3383, 0.350337, 0.366046, 0.385146, 0.397103, 0.408475, 0.41958, 0.430677, 0.436691, 0.442808, 0.459894, 0.471488, 0.483173, 0.499167, 0.510993, 0.585423, 0.633606");
-            values ( \
-              "-0.0231889, -0.0242671, -0.0385975, -0.0534726, -0.0659874, -0.0701119, -0.0728445, -0.0737468, -0.0715903, -0.0683295, -0.0613905, -0.0343922, -0.0206425, -0.0117488, -0.0041777, -0.00248437, -0.00068719, -0.000132677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.333579, 0.367964, 0.388669, 0.406178, 0.422287, 0.437806, 0.453089, 0.469337, 0.478407, 0.527237, 0.542241, 0.562357, 0.587397, 0.602187");
-            values ( \
-              "-0.0293525, -0.0717082, -0.0915572, -0.102662, -0.108186, -0.111316, -0.111346, -0.10628, -0.099023, -0.0306746, -0.0191455, -0.00970703, -0.00336755, -0.00223429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.340676, 0.356818, 0.366415, 0.385241, 0.399171, 0.415062, 0.440987, 0.465356, 0.489244, 0.513116, 0.529299, 0.538152, 0.555858, 0.602773, 0.618379, 0.64311, 0.654683, 0.66882, 0.689049, 0.713536, 0.732981, 0.770529, 0.816791, 0.99594");
-            values ( \
-              "-0.0638825, -0.0674523, -0.0824062, -0.105868, -0.11922, -0.131107, -0.143253, -0.148405, -0.14955, -0.146815, -0.141786, -0.137599, -0.123611, -0.0672624, -0.0522649, -0.0340042, -0.0275812, -0.0212278, -0.0144153, -0.00889908, -0.00588292, -0.00229751, -0.00122779, -0.000320136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.348645, 0.374764, 0.401536, 0.412949, 0.435776, 0.459907, 0.496065, 0.515456, 0.54403, 0.560333, 0.58207, 0.607951, 0.628729, 0.674275, 0.687206, 0.704448, 0.769062, 0.795781, 0.823461, 0.860368, 0.874068, 0.900494, 0.924978, 0.959517, 1.00275, 1.06038, 1.12736, 1.19434, 1.26132, 1.46227");
-            values ( \
-              "-0.101819, -0.102349, -0.134124, -0.14406, -0.159458, -0.16977, -0.177863, -0.179304, -0.179388, -0.17858, -0.176684, -0.173305, -0.169474, -0.156272, -0.150344, -0.140171, -0.0920353, -0.0742816, -0.0586517, -0.0419595, -0.0369168, -0.0287249, -0.0226329, -0.0160515, -0.0103572, -0.00554175, -0.00246964, -0.00135756, -0.000823398, -0.000357562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.353799, 0.389825, 0.412931, 0.440669, 0.459178, 0.495129, 0.540466, 0.588463, 0.679277, 0.779087, 0.846068, 0.898478, 0.953502, 1.02048, 1.11443, 1.22592, 1.29135, 1.34502, 1.44139, 1.50837, 1.5653, 1.66738, 1.73436, 1.80134, 1.9353, 1.99397");
-            values ( \
-              "-0.123652, -0.128094, -0.152249, -0.172247, -0.181045, -0.192095, -0.197866, -0.199017, -0.195606, -0.188305, -0.181763, -0.174909, -0.164678, -0.14484, -0.107195, -0.0686171, -0.0514305, -0.0402163, -0.0253387, -0.0182491, -0.0137035, -0.00813239, -0.00574411, -0.00396546, -0.00196038, -0.00173081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.49597, 0.519521, 0.532639, 0.54345, 0.553294, 0.562614, 0.571686, 0.581894, 0.585437, 0.590494, 0.602698, 0.611454, 0.624102, 0.630417, 0.648057, 0.682597");
-            values ( \
-              "-0.00775373, -0.0256932, -0.0336895, -0.0381801, -0.0409734, -0.0424386, -0.0433903, -0.0419653, -0.0406094, -0.0365628, -0.0217433, -0.0133154, -0.00526327, -0.00305155, -0.0026312, -0.000880001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.50186, 0.512423, 0.521182, 0.535412, 0.555261, 0.567251, 0.578639, 0.589756, 0.600866, 0.606725, 0.613003, 0.630096, 0.641703, 0.65337, 0.669368, 0.681235, 0.755781, 0.80407");
-            values ( \
-              "-0.0272425, -0.0289928, -0.0394069, -0.0527648, -0.0658713, -0.0699813, -0.0727774, -0.0736537, -0.0715579, -0.0684121, -0.0613645, -0.0343812, -0.0206271, -0.0117529, -0.00417939, -0.00248449, -0.000683669, -0.00013136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.505654, 0.518577, 0.533798, 0.5457, 0.558533, 0.576069, 0.592187, 0.607718, 0.62301, 0.639258, 0.643111, 0.648247, 0.655738, 0.677633, 0.686011, 0.697182, 0.712171, 0.723631, 0.732289, 0.743038, 0.757368, 0.780129, 0.809869, 0.867267");
-            values ( \
-              "-0.0402691, -0.0461568, -0.0669122, -0.0800556, -0.0914848, -0.102485, -0.108141, -0.111208, -0.111324, -0.106245, -0.103808, -0.0990955, -0.0889653, -0.0538916, -0.0427438, -0.0306639, -0.0191498, -0.0131076, -0.009709, -0.00643712, -0.00336216, -0.00162519, -0.00159152, -0.000968363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.513436, 0.536439, 0.560849, 0.571887, 0.585169, 0.611096, 0.635501, 0.659398, 0.683278, 0.687124, 0.699036, 0.708315, 0.726873, 0.770492, 0.796728, 0.812414, 0.826487, 0.84525, 0.861298, 0.88512, 0.916882, 0.956692, 1.05607");
-            values ( \
-              "-0.0724905, -0.0821645, -0.111302, -0.121312, -0.130867, -0.143233, -0.148264, -0.149584, -0.146716, -0.145798, -0.141939, -0.137581, -0.12272, -0.0698809, -0.0454968, -0.0345356, -0.0267686, -0.0188218, -0.013857, -0.00864952, -0.00421013, -0.00160207, -0.00101458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.519458, 0.554479, 0.583023, 0.605525, 0.630013, 0.666446, 0.685058, 0.709874, 0.755916, 0.789377, 0.817891, 0.844364, 0.890682, 0.993877, 1.04873, 1.08978, 1.12705, 1.20066, 1.26511, 1.28075");
-            values ( \
-              "-0.0974353, -0.114977, -0.143933, -0.159134, -0.169724, -0.177836, -0.179239, -0.179424, -0.176273, -0.171384, -0.165006, -0.156216, -0.129011, -0.0586716, -0.0355407, -0.0239485, -0.0165283, -0.00777902, -0.00372212, -0.00341385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.524425, 0.560152, 0.583188, 0.610958, 0.629471, 0.665583, 0.710782, 0.758602, 0.789057, 0.849969, 0.949411, 1.01639, 1.0688, 1.12383, 1.19081, 1.28475, 1.39624, 1.46168, 1.51534, 1.61171, 1.67869, 1.73563, 1.83771, 1.90469, 1.97167, 2.10563, 2.15359");
-            values ( \
-              "-0.126684, -0.12794, -0.152075, -0.172111, -0.180971, -0.192063, -0.197832, -0.198998, -0.198303, -0.195573, -0.188314, -0.181754, -0.174901, -0.164686, -0.144832, -0.107199, -0.0686202, -0.0514335, -0.0402136, -0.0253411, -0.0182468, -0.0137054, -0.00813412, -0.00574239, -0.00396714, -0.00196201, -0.0017743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.868023, 0.89253, 0.905715, 0.916569, 0.926403, 0.935727, 0.944795, 0.953856, 0.958283, 0.963601, 0.983403, 0.998881, 1.00528, 1.02233, 1.04809");
-            values ( \
-              "-0.00677589, -0.0253046, -0.0334063, -0.0382884, -0.0407937, -0.0425772, -0.0432841, -0.0422481, -0.0407889, -0.0365853, -0.0142021, -0.0044411, -0.00283939, -0.00255278, -0.00139165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.875282, 0.887043, 0.899448, 0.909411, 0.915323, 0.927147, 0.935951, 0.94942, 0.956627, 0.962905, 0.974942, 0.978323, 0.98199, 0.986126, 1.00261, 1.01062, 1.02234, 1.03178, 1.04087, 1.04574, 1.05541, 1.08276, 1.12864");
-            values ( \
-              "-0.0282624, -0.0305777, -0.0442275, -0.0532148, -0.0577719, -0.0650921, -0.0686348, -0.0722985, -0.073473, -0.0737367, -0.0712818, -0.0695928, -0.0665412, -0.0613984, -0.0351925, -0.0249705, -0.0144172, -0.00883386, -0.00480688, -0.00340116, -0.00241423, -0.00207568, -0.000731348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.875453, 0.91091, 0.923707, 0.931707, 0.949249, 0.965362, 0.980874, 0.996138, 1.01235, 1.02124, 1.0288, 1.05065, 1.05903, 1.0702, 1.08512, 1.09653, 1.10521, 1.11598, 1.13033, 1.15304, 1.18278, 1.23569");
-            values ( \
-              "-0.0247825, -0.0711952, -0.0846312, -0.0912517, -0.102605, -0.108164, -0.111464, -0.111476, -0.106475, -0.0993927, -0.0891309, -0.0539815, -0.0428009, -0.0306925, -0.0191925, -0.0131506, -0.00973286, -0.00644834, -0.00336057, -0.00162186, -0.00158866, -0.00099949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.885803, 0.909416, 0.93428, 0.958195, 0.98411, 1.00845, 1.03229, 1.05611, 1.05975, 1.071, 1.08107, 1.10122, 1.14322, 1.16909, 1.18492, 1.19915, 1.21576, 1.22532, 1.24182, 1.27124, 1.30899, 1.35303, 1.53241");
-            values ( \
-              "-0.0689329, -0.0817452, -0.111638, -0.13098, -0.143459, -0.148615, -0.14995, -0.147146, -0.146256, -0.142663, -0.13796, -0.121263, -0.0699155, -0.0457632, -0.0346342, -0.0267549, -0.0196472, -0.0167125, -0.0120888, -0.00659856, -0.00259687, -0.00126896, -0.000366983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.890363, 0.956617, 0.978251, 1.00354, 1.03986, 1.05851, 1.08339, 1.12909, 1.16346, 1.18883, 1.21725, 1.24277, 1.32382, 1.37316, 1.42615, 1.45772, 1.49833, 1.54365, 1.57992, 1.6469, 1.71335");
-            values ( \
-              "-0.0825296, -0.144047, -0.158834, -0.170125, -0.178257, -0.179715, -0.180004, -0.176881, -0.17175, -0.16608, -0.156898, -0.143286, -0.0838393, -0.0552257, -0.0338619, -0.0249996, -0.0166897, -0.0105639, -0.00721731, -0.00330872, -0.00216525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.900862, 0.956311, 0.983365, 1.00254, 1.03963, 1.08366, 1.13079, 1.16169, 1.21591, 1.29613, 1.36271, 1.40607, 1.47185, 1.54263, 1.72392, 1.76654, 1.81599, 1.87184, 1.91263, 1.96866, 2.03564, 2.11513, 2.16667, 2.23365, 2.36761, 2.50157, 2.63553, 2.90345");
-            values ( \
-              "-0.128511, -0.151968, -0.171905, -0.181255, -0.192775, -0.19843, -0.199665, -0.198977, -0.196633, -0.19076, -0.184746, -0.17945, -0.169907, -0.152042, -0.0827695, -0.0691262, -0.0556991, -0.0432849, -0.0356788, -0.0272872, -0.0197076, -0.0131979, -0.0102334, -0.00716648, -0.00346818, -0.00172397, -0.000929906, -0.000336889" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00559731", \
-            "0.00597172", \
-            "0.00619101", \
-            "0.00634007", \
-            "0.00642966", \
-            "0.00648261" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00626284", \
-            "0.00640005", \
-            "0.00660126", \
-            "0.00701115", \
-            "0.00773357", \
-            "0.00854327" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(CK * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.206432, 0.22243, 0.251303, 0.303474, 0.399737, 0.592297", \
-            "0.210123, 0.22614, 0.255018, 0.307217, 0.403478, 0.59602", \
-            "0.219429, 0.235428, 0.264298, 0.316495, 0.412742, 0.605302", \
-            "0.239966, 0.255974, 0.284852, 0.33704, 0.433281, 0.625854", \
-            "0.268985, 0.285087, 0.313983, 0.366219, 0.462508, 0.655074", \
-            "0.294063, 0.310076, 0.338987, 0.391573, 0.487912, 0.680527" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0558983, 0.0695174, 0.0970278, 0.151506, 0.265897, 0.515611", \
-            "0.0559152, 0.0695118, 0.0970132, 0.151492, 0.266228, 0.515609", \
-            "0.0558897, 0.0695108, 0.0970187, 0.151492, 0.26588, 0.515607", \
-            "0.0558908, 0.0695432, 0.0971418, 0.151495, 0.265911, 0.5156", \
-            "0.0560556, 0.0696518, 0.0972693, 0.15176, 0.265918, 0.515597", \
-            "0.0564385, 0.070043, 0.0974472, 0.151739, 0.265989, 0.515601" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00185581, 0.00185583, 0.00185586, 0.00185589, 0.0018559, 0.00185591", \
-            "0.00216519, 0.00216519, 0.0021652, 0.0021652, 0.00216521, 0.00216521", \
-            "0.00233246, 0.00233246, 0.00233246, 0.00233245, 0.00233245, 0.00233244", \
-            "0.00245668, 0.00245668, 0.00245667, 0.00245666, 0.00245666, 0.00245666", \
-            "0.00256509, 0.00256508, 0.00256508, 0.00256507, 0.00256507, 0.00256507", \
-            "0.00265483, 0.00265483, 0.00265483, 0.00265482, 0.00265482, 0.00265482" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00240024, 0.0024002, 0.00240018, 0.00240017, 0.00240016, 0.00240016", \
-            "0.00238542, 0.00238538, 0.00238533, 0.0023853, 0.00238528, 0.00238527", \
-            "0.00238462, 0.00238459, 0.00238455, 0.00238452, 0.0023845, 0.00238448", \
-            "0.00256711, 0.00256709, 0.00256707, 0.00256703, 0.002567, 0.00256697", \
-            "0.00300594, 0.00300823, 0.00300847, 0.00300871, 0.00300889, 0.00300899", \
-            "0.0029784, 0.00298566, 0.0029821, 0.00297789, 0.00297681, 0.00297632" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.150836, 0.159076, 0.169016, 0.180758, 0.18547, 0.194893, 0.200209, 0.205019, 0.214022, 0.222854, 0.231683, 0.234804, 0.237905, 0.259007, 0.267599, 0.278815, 0.28501, 0.301335, 0.334474, 0.3489, 0.350925");
-            values ( \
-              "-0.00379937, -0.0150969, -0.0246526, -0.0332327, -0.0358987, -0.0401712, -0.0415577, -0.0425776, -0.0438324, -0.0442788, -0.0428391, -0.0418437, -0.0400612, -0.0161035, -0.00954028, -0.00357081, -0.00261954, -0.00245978, -0.000863813, -0.000451826, -0.000433518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.1586, 0.170386, 0.175764, 0.188001, 0.204278, 0.213, 0.218781, 0.229944, 0.240932, 0.251916, 0.257317, 0.262893, 0.279315, 0.290508, 0.301781, 0.308225, 0.318166, 0.323645, 0.329052, 0.339866, 0.354317, 0.40376, 0.450282");
-            values ( \
-              "-0.0316807, -0.0373574, -0.0439819, -0.0559907, -0.0669753, -0.0703463, -0.0718322, -0.0738816, -0.0742192, -0.071562, -0.0686148, -0.0627715, -0.0369223, -0.0229471, -0.0135312, -0.00976731, -0.00525197, -0.00346986, -0.00274684, -0.00224532, -0.00224546, -0.000777681, -0.000159748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.162034, 0.177979, 0.190488, 0.200254, 0.21034, 0.225914, 0.230503, 0.236621, 0.243384, 0.258912, 0.266441, 0.274264, 0.284659, 0.290067, 0.300882, 0.329251, 0.344314, 0.352922, 0.360531, 0.368974, 0.381379, 0.399157, 0.413853, 0.440611, 0.47176, 0.56183");
-            values ( \
-              "-0.0469464, -0.0597688, -0.075905, -0.0859822, -0.094488, -0.103547, -0.105275, -0.107227, -0.108748, -0.110594, -0.110536, -0.109854, -0.107336, -0.10521, -0.097131, -0.0539749, -0.0353307, -0.0271709, -0.021392, -0.0162806, -0.0106933, -0.00534376, -0.00274987, -0.0015038, -0.00151782, -0.000328877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.165553, 0.184498, 0.195687, 0.207132, 0.224113, 0.236343, 0.250231, 0.262233, 0.273629, 0.290618, 0.308922, 0.315275, 0.323746, 0.334997, 0.353716, 0.359895, 0.372254, 0.387848, 0.424278, 0.447397, 0.463353, 0.477124, 0.495485, 0.511747, 0.535882, 0.568062, 0.607866, 0.691777");
-            values ( \
-              "-0.0673494, -0.0799406, -0.0959256, -0.109184, -0.124225, -0.132063, -0.138346, -0.141868, -0.144084, -0.146604, -0.148325, -0.148511, -0.148271, -0.146956, -0.141467, -0.138607, -0.129624, -0.112168, -0.0674837, -0.0459844, -0.0346804, -0.0269882, -0.0190859, -0.0139775, -0.00865705, -0.00415858, -0.00156073, -0.00107856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.174465, 0.206343, 0.217372, 0.234312, 0.248829, 0.26198, 0.272129, 0.293512, 0.307895, 0.324525, 0.34163, 0.359841, 0.378203, 0.402685, 0.416736, 0.43305, 0.449989, 0.483866, 0.495218, 0.517924, 0.589492, 0.618269, 0.640079, 0.669159, 0.697743, 0.734082, 0.774522, 0.793825, 0.82308, 0.862087, 0.928261, 0.994435, 1.06061, 1.25913");
-            values ( \
-              "-0.114475, -0.118723, -0.130894, -0.14536, -0.15438, -0.160385, -0.16402, -0.170614, -0.17432, -0.17762, -0.179605, -0.180454, -0.18013, -0.17829, -0.176612, -0.174094, -0.170761, -0.161455, -0.157167, -0.145461, -0.0924144, -0.0732461, -0.0607886, -0.0468444, -0.0359025, -0.0253243, -0.0169137, -0.0139376, -0.0103493, -0.00686791, -0.00314638, -0.00157157, -0.000970947, -0.000376452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.176566, 0.213063, 0.234205, 0.24858, 0.27101, 0.306729, 0.322775, 0.339886, 0.35793, 0.371508, 0.389613, 0.413859, 0.440219, 0.479133, 0.518973, 0.59992, 0.629467, 0.68411, 0.750285, 0.773987, 0.820412, 0.959755, 1.0192, 1.064, 1.12374, 1.17808, 1.24685, 1.31303, 1.3665, 1.44288, 1.50905, 1.57523, 1.6414, 1.70757, 1.83992, 2.17079");
-            values ( \
-              "-0.126643, -0.132896, -0.153354, -0.163327, -0.174202, -0.187242, -0.191874, -0.195491, -0.198074, -0.199239, -0.200052, -0.200217, -0.199542, -0.197703, -0.195183, -0.188895, -0.186128, -0.180063, -0.16995, -0.165044, -0.152096, -0.0976276, -0.0768799, -0.0635181, -0.0486103, -0.0377827, -0.0272015, -0.0196446, -0.0150612, -0.0102416, -0.00727222, -0.0051097, -0.00357167, -0.00251638, -0.00132451, -0.000388058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.163941, 0.173439, 0.183749, 0.195011, 0.199768, 0.209282, 0.221496, 0.22829, 0.234978, 0.241384, 0.246008, 0.254904, 0.269434, 0.275627, 0.283112, 0.290698, 0.29713, 0.315878, 0.348076, 0.362607, 0.371985");
-            values ( \
-              "-0.0011026, -0.0152974, -0.0249872, -0.0331905, -0.0359686, -0.0401923, -0.0429705, -0.0438943, -0.0442889, -0.0439204, -0.0426894, -0.0379734, -0.0199258, -0.0139934, -0.00870361, -0.00453927, -0.00271874, -0.00248516, -0.000867201, -0.000486921, -0.000398871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.171361, 0.180323, 0.184135, 0.195237, 0.205282, 0.215786, 0.22151, 0.233131, 0.244284, 0.255271, 0.266252, 0.271653, 0.277228, 0.29365, 0.304839, 0.316116, 0.332499, 0.337978, 0.343383, 0.354194, 0.368645, 0.418088, 0.425083");
-            values ( \
-              "-0.0259288, -0.0308346, -0.0367373, -0.0493612, -0.0583522, -0.0654258, -0.0681637, -0.0719304, -0.0738439, -0.0742873, -0.0715239, -0.0686237, -0.0627781, -0.0369227, -0.0229509, -0.0135308, -0.00525223, -0.00346971, -0.00274739, -0.00224505, -0.00224574, -0.000777426, -0.000684498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.173413, 0.182458, 0.192342, 0.202714, 0.217345, 0.22465, 0.239259, 0.246754, 0.257716, 0.273231, 0.280719, 0.28858, 0.299029, 0.304381, 0.315085, 0.321708, 0.343609, 0.35218, 0.363607, 0.377658, 0.388397, 0.397763, 0.412285, 0.431007, 0.456242, 0.578368");
-            values ( \
-              "-0.0318197, -0.0434425, -0.0598806, -0.0734047, -0.0885914, -0.094435, -0.103173, -0.105974, -0.108777, -0.110634, -0.110538, -0.109883, -0.107319, -0.105231, -0.0972514, -0.0883841, -0.0539131, -0.0425983, -0.0303576, -0.0195294, -0.0137091, -0.00993311, -0.00563934, -0.00235085, -0.00160621, -0.000359254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.180394, 0.198401, 0.221426, 0.233654, 0.250658, 0.264733, 0.276521, 0.28832, 0.301205, 0.325344, 0.337325, 0.349278, 0.368566, 0.37418, 0.385408, 0.40212, 0.435371, 0.462204, 0.477633, 0.491468, 0.509915, 0.526092, 0.5501, 0.58211, 0.621896, 0.72049");
-            values ( \
-              "-0.0717871, -0.0796059, -0.109138, -0.120724, -0.132068, -0.138575, -0.141837, -0.144276, -0.146176, -0.14847, -0.1483, -0.146995, -0.141207, -0.138643, -0.13061, -0.112226, -0.0709444, -0.045586, -0.034685, -0.0269581, -0.0190469, -0.0139568, -0.00866287, -0.00419302, -0.00156153, -0.000991496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.184022, 0.211996, 0.231729, 0.248317, 0.270482, 0.320722, 0.347936, 0.360389, 0.380005, 0.40064, 0.421458, 0.457017, 0.478217, 0.509206, 0.55508, 0.600084, 0.657946, 0.713042, 0.754183, 0.791342, 0.832448, 0.864423, 0.928373, 0.994547, 1.06072, 1.07106");
-            values ( \
-              "-0.0893034, -0.108007, -0.131305, -0.145321, -0.158011, -0.174053, -0.178869, -0.179946, -0.18044, -0.179672, -0.177788, -0.172287, -0.167429, -0.157153, -0.129717, -0.0950498, -0.0589659, -0.0355946, -0.0239429, -0.0165347, -0.0109213, -0.00781889, -0.00376492, -0.00175816, -0.00109972, -0.00106938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.199344, 0.262659, 0.274771, 0.307328, 0.337245, 0.354319, 0.372422, 0.385755, 0.403532, 0.428246, 0.454629, 0.493542, 0.533383, 0.61433, 0.643876, 0.69852, 0.764694, 0.788397, 0.834822, 0.975338, 1.03383, 1.07801, 1.13691, 1.19242, 1.21581, 1.2626, 1.32877, 1.38102, 1.45521, 1.52138, 1.58756, 1.65373, 1.71991, 1.85225, 2.18313");
-            values ( \
-              "-0.152503, -0.163152, -0.169599, -0.182569, -0.191892, -0.195515, -0.19808, -0.199221, -0.200055, -0.200208, -0.199557, -0.197691, -0.195196, -0.188909, -0.186115, -0.180077, -0.169937, -0.165057, -0.152083, -0.0972014, -0.0767988, -0.0636176, -0.0489018, -0.0378102, -0.0338267, -0.0270405, -0.0195011, -0.0150389, -0.0103373, -0.00736681, -0.00515323, -0.0036266, -0.00252925, -0.00132254, -0.000405147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.201954, 0.218368, 0.23, 0.234723, 0.244169, 0.2493, 0.254274, 0.263268, 0.2721, 0.280928, 0.284057, 0.287153, 0.30826, 0.316847, 0.328055, 0.334251, 0.350581, 0.383718, 0.393509");
-            values ( \
-              "-0.00931759, -0.0248113, -0.0332846, -0.0358821, -0.0402236, -0.0415304, -0.0425843, -0.0438407, -0.044279, -0.0428447, -0.0418421, -0.0400587, -0.0160952, -0.00953763, -0.00357234, -0.00261957, -0.00246, -0.000864001, -0.000584148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.205161, 0.211954, 0.219579, 0.229026, 0.243814, 0.254085, 0.260909, 0.267951, 0.279114, 0.2901, 0.301083, 0.306494, 0.31208, 0.328456, 0.339745, 0.35089, 0.357352, 0.367299, 0.372786, 0.37821, 0.389056, 0.403509, 0.452956, 0.499493");
-            values ( \
-              "-0.0211343, -0.0267945, -0.0374039, -0.0482741, -0.0610751, -0.067297, -0.0699221, -0.071865, -0.0738726, -0.074242, -0.0715485, -0.0686092, -0.0627474, -0.0369621, -0.0228759, -0.0135705, -0.00978892, -0.00526548, -0.00347463, -0.00274759, -0.00224415, -0.00224565, -0.000776535, -0.000159221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.208206, 0.21726, 0.227154, 0.237522, 0.252222, 0.259472, 0.273974, 0.281796, 0.292535, 0.308058, 0.315524, 0.323408, 0.333832, 0.339209, 0.349963, 0.356536, 0.378414, 0.393241, 0.402001, 0.409773, 0.418179, 0.435451, 0.448201, 0.462772, 0.489419, 0.520685, 0.563533");
-            values ( \
-              "-0.0318176, -0.0433691, -0.0598282, -0.0733775, -0.0886115, -0.0944258, -0.1031, -0.106027, -0.108769, -0.110629, -0.110527, -0.109884, -0.107324, -0.105238, -0.0971964, -0.0883916, -0.0539513, -0.0355505, -0.027224, -0.0213247, -0.0162389, -0.00893652, -0.00537069, -0.00277023, -0.00150899, -0.00151597, -0.000954224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.214793, 0.233252, 0.256216, 0.268627, 0.285445, 0.299517, 0.311316, 0.336001, 0.360138, 0.372119, 0.384071, 0.403389, 0.408973, 0.42014, 0.436912, 0.470379, 0.496485, 0.512287, 0.526514, 0.545484, 0.561146, 0.584377, 0.615352, 0.654992, 0.758459");
-            values ( \
-              "-0.0686449, -0.0796269, -0.10915, -0.120825, -0.132078, -0.138531, -0.141853, -0.146166, -0.148471, -0.148316, -0.146995, -0.141206, -0.138641, -0.130673, -0.112223, -0.0707072, -0.0459903, -0.0347691, -0.0268298, -0.0187609, -0.0138839, -0.0087545, -0.00436004, -0.00161354, -0.000993568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.221477, 0.255522, 0.266723, 0.283469, 0.297698, 0.310763, 0.342673, 0.373659, 0.390739, 0.409012, 0.427255, 0.456497, 0.482416, 0.499117, 0.532519, 0.544343, 0.567674, 0.636104, 0.690722, 0.739093, 0.765032, 0.799616, 0.8294, 0.871298, 0.927162, 0.993336, 1.09824");
-            values ( \
-              "-0.099858, -0.118616, -0.131359, -0.145217, -0.154428, -0.160099, -0.170754, -0.177737, -0.17953, -0.180552, -0.180207, -0.177854, -0.174098, -0.170723, -0.161659, -0.157131, -0.145114, -0.0941987, -0.0600068, -0.0386217, -0.0301986, -0.0215104, -0.0160018, -0.0104647, -0.0057079, -0.00258348, -0.00121822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.228088, 0.274834, 0.283317, 0.309204, 0.355852, 0.38905, 0.411543, 0.437675, 0.462551, 0.489306, 0.568059, 0.649006, 0.678552, 0.733196, 0.79937, 0.823073, 0.869498, 0.92115, 1.01114, 1.06871, 1.11229, 1.1704, 1.21511, 1.25087, 1.29855, 1.36472, 1.4158, 1.43983, 1.48791, 1.55408, 1.62026, 1.68643, 1.75261, 1.81878, 2.0173, 2.21583");
-            values ( \
-              "-0.132229, -0.145982, -0.153559, -0.169594, -0.187364, -0.195599, -0.19857, -0.20008, -0.200181, -0.199591, -0.195227, -0.188936, -0.186088, -0.180102, -0.169912, -0.165081, -0.15206, -0.13271, -0.0967955, -0.0767214, -0.0637162, -0.0491806, -0.039953, -0.0337587, -0.0268818, -0.0193712, -0.0150259, -0.0133612, -0.0104382, -0.00744827, -0.00520448, -0.00366964, -0.00255159, -0.00186057, -0.000759153, -0.000409995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.284083, 0.30064, 0.311962, 0.316719, 0.326232, 0.330941, 0.341068, 0.345264, 0.353656, 0.359813, 0.369354, 0.38587, 0.392378, 0.400071, 0.40787, 0.414265, 0.432169, 0.464316, 0.478653, 0.519579");
-            values ( \
-              "-0.0100368, -0.0249671, -0.0332033, -0.0358753, -0.0402023, -0.0414201, -0.0433598, -0.0438697, -0.0442416, -0.0436357, -0.0400283, -0.0205028, -0.0141609, -0.00869827, -0.00443751, -0.00270435, -0.00250638, -0.000893698, -0.000508351, -0.000102577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.287484, 0.293866, 0.301517, 0.310981, 0.318541, 0.332764, 0.338324, 0.349958, 0.361109, 0.372099, 0.383606, 0.388464, 0.394016, 0.410554, 0.421507, 0.433091, 0.439489, 0.449407, 0.45486, 0.460212, 0.470916, 0.485361, 0.534771, 0.581213");
-            values ( \
-              "-0.024338, -0.0266976, -0.0373218, -0.0481581, -0.055446, -0.0655219, -0.0680742, -0.0719445, -0.07377, -0.0743028, -0.0713022, -0.0686214, -0.0628253, -0.0368267, -0.0231286, -0.0134422, -0.00971945, -0.00522509, -0.0034627, -0.00275019, -0.00224863, -0.00224583, -0.000780833, -0.000160579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.290557, 0.299085, 0.309015, 0.321558, 0.34141, 0.353997, 0.366741, 0.374444, 0.389974, 0.396524, 0.405328, 0.413059, 0.421127, 0.437069, 0.457691, 0.475448, 0.491711, 0.50014, 0.519275, 0.530268, 0.544926, 0.570395, 0.655382");
-            values ( \
-              "-0.0366789, -0.0430826, -0.0597033, -0.0759053, -0.0944373, -0.102137, -0.106931, -0.108729, -0.110589, -0.11055, -0.109867, -0.108182, -0.10521, -0.0908917, -0.058025, -0.0353728, -0.0213681, -0.0162828, -0.00834644, -0.00534431, -0.0027639, -0.00153376, -0.000814845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.297047, 0.315059, 0.338144, 0.351064, 0.368481, 0.376555, 0.393247, 0.417955, 0.442077, 0.453542, 0.466007, 0.485642, 0.490909, 0.501443, 0.518841, 0.55455, 0.573145, 0.587967, 0.602932, 0.624217, 0.642765, 0.667055, 0.699441, 0.739289, 0.972754");
-            values ( \
-              "-0.0715476, -0.0794245, -0.109093, -0.121224, -0.132683, -0.136488, -0.141783, -0.146114, -0.148484, -0.148392, -0.147011, -0.141105, -0.138648, -0.131247, -0.112236, -0.0682674, -0.0503372, -0.0388844, -0.0296994, -0.0199828, -0.01399, -0.00863287, -0.00413303, -0.00154544, -0.00021152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.301524, 0.329876, 0.348802, 0.36699, 0.385617, 0.412517, 0.440223, 0.455543, 0.473107, 0.496973, 0.507599, 0.528851, 0.557313, 0.580997, 0.626233, 0.639711, 0.657276, 0.720733, 0.747305, 0.774916, 0.811732, 0.82543, 0.851877, 0.876309, 0.910789, 0.953929, 1.01145, 1.07762, 1.1438, 1.20997, 1.40849");
-            values ( \
-              "-0.092371, -0.109313, -0.131428, -0.146523, -0.15714, -0.166976, -0.174707, -0.177656, -0.179721, -0.180497, -0.180215, -0.178794, -0.17522, -0.170778, -0.15718, -0.150801, -0.140094, -0.0922219, -0.0744473, -0.0587697, -0.0420429, -0.0369767, -0.0287524, -0.0226544, -0.0160689, -0.0103725, -0.00555431, -0.00249923, -0.00137199, -0.000836807, -0.000363887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.307761, 0.346699, 0.365431, 0.378493, 0.401613, 0.438307, 0.454437, 0.471653, 0.489936, 0.515828, 0.538996, 0.571404, 0.610307, 0.650149, 0.716324, 0.760641, 0.815286, 0.88146, 0.905163, 0.951588, 1.09323, 1.1508, 1.19438, 1.25249, 1.2972, 1.33296, 1.38064, 1.44682, 1.52192, 1.56999, 1.63617, 1.70234, 1.76851, 1.90086, 2.09939, 2.29791");
-            values ( \
-              "-0.124078, -0.135727, -0.153394, -0.162565, -0.17397, -0.18744, -0.19206, -0.195658, -0.198202, -0.199935, -0.200264, -0.199578, -0.197697, -0.195201, -0.190148, -0.186114, -0.180078, -0.169936, -0.165058, -0.152082, -0.0967816, -0.0767349, -0.0637275, -0.0491695, -0.0399635, -0.0337667, -0.0268737, -0.0193789, -0.0133559, -0.0104436, -0.00744359, -0.00520936, -0.00366529, -0.0018566, -0.00076253, -0.000407272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.457533, 0.466586, 0.47805, 0.487243, 0.493289, 0.502603, 0.508421, 0.517523, 0.521929, 0.530784, 0.539639, 0.542781, 0.545881, 0.549362, 0.561361, 0.567018, 0.575616, 0.586832, 0.593055, 0.609352, 0.642521, 0.652369");
-            values ( \
-              "-0.00228307, -0.014913, -0.0255745, -0.0322516, -0.0356417, -0.0400145, -0.0415393, -0.0431787, -0.0437535, -0.044108, -0.04276, -0.041747, -0.039975, -0.0367603, -0.0219351, -0.0160724, -0.00952216, -0.0035653, -0.002626, -0.00246459, -0.00086191, -0.000581621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.464055, 0.470301, 0.473473, 0.477381, 0.479456, 0.487621, 0.495418, 0.502515, 0.505617, 0.510639, 0.514998, 0.520118, 0.526657, 0.537868, 0.548892, 0.560412, 0.562408, 0.565071, 0.570396, 0.572201, 0.588034, 0.592186, 0.597135, 0.603811, 0.608885, 0.612474, 0.618511, 0.627804, 0.632864, 0.63627, 0.643082, 0.67142, 0.704761, 0.724408, 0.747886");
-            values ( \
-              "-0.0257823, -0.0263635, -0.0300953, -0.036026, -0.0386443, -0.0479014, -0.0552167, -0.0608538, -0.0628863, -0.0657827, -0.0677251, -0.0696269, -0.0715767, -0.0735286, -0.0740816, -0.0711611, -0.0702994, -0.0686655, -0.0633256, -0.0608645, -0.0359063, -0.0302729, -0.0244282, -0.0179902, -0.0141511, -0.0118661, -0.00862718, -0.00464085, -0.00328944, -0.00286004, -0.00240295, -0.00205936, -0.000974169, -0.000510526, -0.000237378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.467168, 0.475549, 0.485593, 0.498205, 0.505537, 0.517957, 0.525064, 0.535126, 0.551193, 0.556643, 0.566719, 0.574429, 0.582116, 0.593089, 0.597932, 0.605947, 0.615233, 0.640017, 0.656771, 0.671671, 0.683048, 0.695269, 0.70239, 0.716633, 0.73911, 0.892863");
-            values ( \
-              "-0.0387345, -0.0424286, -0.0591981, -0.0755193, -0.0832953, -0.0937676, -0.098461, -0.10367, -0.108405, -0.109376, -0.110313, -0.110308, -0.109692, -0.107053, -0.105164, -0.0998805, -0.0884534, -0.0499986, -0.0307224, -0.0192484, -0.0132041, -0.00858033, -0.00652031, -0.00341646, -0.00162864, -0.000238718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.474577, 0.496891, 0.505546, 0.521784, 0.533876, 0.544193, 0.558048, 0.570773, 0.594838, 0.618964, 0.635906, 0.642958, 0.655032, 0.667797, 0.692823, 0.715478, 0.747553, 0.776058, 0.797825, 0.817935, 0.842223, 0.8615, 0.900055, 0.945469, 1.12491");
-            values ( \
-              "-0.0730386, -0.0863888, -0.098353, -0.115298, -0.125183, -0.131651, -0.138018, -0.141764, -0.146043, -0.148458, -0.147982, -0.146991, -0.144005, -0.138568, -0.11619, -0.0870487, -0.0526679, -0.0319013, -0.0213148, -0.0145026, -0.00897612, -0.00593654, -0.00225218, -0.00120063, -0.000328121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.479149, 0.505363, 0.519772, 0.538711, 0.549283, 0.571633, 0.601001, 0.617073, 0.632472, 0.649918, 0.673901, 0.685016, 0.707245, 0.731341, 0.757926, 0.803159, 0.81696, 0.83438, 0.897523, 0.924202, 0.951907, 1.0024, 1.02858, 1.05308, 1.08763, 1.131, 1.18883, 1.25501, 1.38735, 1.58588");
-            values ( \
-              "-0.099995, -0.107212, -0.124855, -0.142474, -0.149819, -0.160812, -0.170443, -0.174669, -0.177661, -0.17971, -0.180496, -0.180204, -0.178654, -0.175664, -0.17079, -0.157182, -0.150631, -0.139975, -0.0923212, -0.0744669, -0.0587375, -0.0369607, -0.0288145, -0.0226882, -0.0160819, -0.0103562, -0.00552461, -0.00248503, -0.000833695, -0.000363692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.486073, 0.530007, 0.542222, 0.568574, 0.615183, 0.631145, 0.648259, 0.666388, 0.678634, 0.694961, 0.717672, 0.748227, 0.787134, 0.826979, 0.907925, 0.93747, 0.992115, 1.05829, 1.08199, 1.12842, 1.27005, 1.32763, 1.37121, 1.42933, 1.47403, 1.50979, 1.55747, 1.62364, 1.69875, 1.74682, 1.813, 1.87917, 1.94535, 2.0777, 2.21004, 2.47474");
-            values ( \
-              "-0.129504, -0.142304, -0.153131, -0.169496, -0.187439, -0.192052, -0.195617, -0.198189, -0.199235, -0.199996, -0.200282, -0.19955, -0.197721, -0.195176, -0.188886, -0.186139, -0.180053, -0.169961, -0.165033, -0.152107, -0.0967565, -0.0767594, -0.063752, -0.0491444, -0.0399882, -0.0337918, -0.026849, -0.0194038, -0.013331, -0.0104684, -0.0074186, -0.00523422, -0.00364032, -0.00183163, -0.00100223, -0.000382262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.835797, 0.845508, 0.849141, 0.860605, 0.873004, 0.882008, 0.891402, 0.901914, 0.910811, 0.91971, 0.922607, 0.925598, 0.929458, 0.943275, 0.950353, 0.963284, 0.969653, 0.979077, 0.987574, 1.02872, 1.04499, 1.04536");
-            values ( \
-              "-0.00181846, -0.0142198, -0.016552, -0.027276, -0.0352806, -0.0393262, -0.0418622, -0.0434853, -0.0439333, -0.0425767, -0.0416988, -0.0401033, -0.0366492, -0.0199245, -0.0133036, -0.00515047, -0.00290626, -0.00253915, -0.00257205, -0.000658014, -0.000321483, -0.000319302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.843514, 0.852543, 0.861359, 0.876438, 0.882357, 0.892751, 0.8997, 0.906769, 0.918015, 0.92907, 0.940121, 0.945239, 0.950522, 0.952435, 0.968435, 0.977162, 0.983991, 0.989339, 0.999106, 1.00834, 1.01335, 1.01669, 1.02337, 1.05152, 1.08485, 1.10445, 1.12788");
-            values ( \
-              "-0.026543, -0.0285464, -0.0406421, -0.0556385, -0.0601002, -0.066544, -0.0692725, -0.0712667, -0.073387, -0.0738133, -0.0712134, -0.0685454, -0.0633233, -0.0607441, -0.035652, -0.0246645, -0.0180506, -0.0140189, -0.00846621, -0.00454883, -0.00326334, -0.00285454, -0.0024153, -0.00206388, -0.00097705, -0.000514991, -0.000238349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.846701, 0.855764, 0.865112, 0.877928, 0.88633, 0.902095, 0.915244, 0.927679, 0.938559, 0.954626, 0.962326, 0.973679, 0.978169, 0.9862, 0.995488, 1.02029, 1.03703, 1.05197, 1.063, 1.0719, 1.08555, 1.10375, 1.12859, 1.25425");
-            values ( \
-              "-0.0418222, -0.0424033, -0.0577121, -0.0744426, -0.0834956, -0.0963007, -0.103342, -0.107401, -0.10936, -0.110105, -0.109456, -0.106781, -0.105008, -0.0997792, -0.0883736, -0.0499893, -0.0307365, -0.0192393, -0.0133479, -0.00983038, -0.00578442, -0.00246407, -0.00160825, -0.00034402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.854389, 0.876895, 0.89516, 0.907579, 0.924591, 0.938761, 0.950591, 0.97535, 0.999517, 1.01151, 1.02346, 1.04297, 1.04837, 1.05917, 1.07631, 1.11108, 1.13284, 1.15871, 1.17266, 1.19126, 1.21582, 1.23786, 1.2749, 1.32428, 1.38052");
-            values ( \
-              "-0.072718, -0.0853628, -0.108075, -0.119908, -0.131337, -0.13794, -0.141288, -0.145888, -0.148371, -0.148244, -0.146962, -0.141091, -0.138627, -0.13097, -0.11223, -0.0692834, -0.0484679, -0.0306141, -0.0236712, -0.0166218, -0.0102957, -0.00659889, -0.00276328, -0.00116769, -0.000924619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.857669, 0.887069, 0.90638, 0.922612, 0.939629, 0.959547, 0.98158, 0.996979, 1.013, 1.03048, 1.05445, 1.06493, 1.0859, 1.11565, 1.13849, 1.18372, 1.19709, 1.21471, 1.27488, 1.30571, 1.33135, 1.35114, 1.38718, 1.40305, 1.42884, 1.46579, 1.50605, 1.53728, 1.59973, 1.6659, 1.79825, 1.99677");
-            values ( \
-              "-0.0874234, -0.108496, -0.131043, -0.144686, -0.154967, -0.163111, -0.170229, -0.174376, -0.177556, -0.179644, -0.180449, -0.18018, -0.178799, -0.175062, -0.170762, -0.157177, -0.150859, -0.140136, -0.0946545, -0.0739145, -0.059355, -0.049746, -0.0355943, -0.0306012, -0.0238213, -0.0164865, -0.0109806, -0.00793042, -0.00389514, -0.00180873, -0.000702051, -0.000325904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.864069, 0.904435, 0.914965, 0.935617, 0.95852, 0.981592, 1.01209, 1.02939, 1.04777, 1.05781, 1.07118, 1.09318, 1.12891, 1.16782, 1.22661, 1.28854, 1.33483, 1.37279, 1.43896, 1.46265, 1.50908, 1.65071, 1.70828, 1.75187, 1.80999, 1.85469, 1.89045, 1.93812, 2.0043, 2.07941, 2.12749, 2.19367, 2.25984, 2.32601, 2.45836, 2.59071, 2.85541");
-            values ( \
-              "-0.118821, -0.135523, -0.146241, -0.161864, -0.173303, -0.182375, -0.192022, -0.19563, -0.198204, -0.199062, -0.199809, -0.200241, -0.199565, -0.197699, -0.193868, -0.188871, -0.184411, -0.180067, -0.169937, -0.165052, -0.152087, -0.0967762, -0.0767415, -0.0637348, -0.0491608, -0.0399712, -0.0337764, -0.0268649, -0.0193892, -0.0133446, -0.0104544, -0.00743195, -0.00522066, -0.00365359, -0.00184465, -0.00101522, -0.000395218" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00163697", \
-            "0.00182186", \
-            "0.00192641", \
-            "0.00198497", \
-            "0.00201904", \
-            "0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00214331", \
-            "0.00226562", \
-            "0.00234712", \
-            "0.00249994", \
-            "0.00280111", \
-            "0.00342604" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(!CK * D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.207797, 0.22394, 0.253091, 0.305568, 0.401646, 0.594157", \
-            "0.211505, 0.227626, 0.256776, 0.30926, 0.405338, 0.597847", \
-            "0.220757, 0.236895, 0.266042, 0.318514, 0.414601, 0.607111", \
-            "0.241343, 0.257464, 0.286605, 0.339095, 0.435167, 0.627719", \
-            "0.270517, 0.286598, 0.315728, 0.368321, 0.464387, 0.656964", \
-            "0.296077, 0.312196, 0.341433, 0.394087, 0.490267, 0.682881" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0563842, 0.0700956, 0.0978359, 0.152031, 0.265749, 0.51562", \
-            "0.0563474, 0.0700966, 0.097832, 0.152031, 0.265748, 0.515616", \
-            "0.0563851, 0.0700963, 0.0978364, 0.15203, 0.265748, 0.515616", \
-            "0.0563454, 0.0701109, 0.0977193, 0.152033, 0.265934, 0.51562", \
-            "0.0565019, 0.0702223, 0.0980549, 0.152117, 0.26578, 0.51562", \
-            "0.0569673, 0.0706729, 0.0983466, 0.152351, 0.266004, 0.515637" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00185584, 0.00185586, 0.00185589, 0.00185592, 0.00185593, 0.00185594", \
-            "0.00216521, 0.00216522, 0.00216522, 0.00216523, 0.00216523, 0.00216524", \
-            "0.00233247, 0.00233246, 0.00233245, 0.00233245, 0.00233245, 0.00233245", \
-            "0.00245666, 0.00245666, 0.00245665, 0.00245664, 0.00245664, 0.00245664", \
-            "0.00256507, 0.00256506, 0.00256506, 0.00256506, 0.00256505, 0.00256505", \
-            "0.00265479, 0.00265479, 0.00265479, 0.00265478, 0.00265478, 0.00265478" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00240023, 0.0024002, 0.00240017, 0.00240016, 0.00240016, 0.00240016", \
-            "0.00238538, 0.00238534, 0.00238529, 0.00238526, 0.00238523, 0.00238522", \
-            "0.00238455, 0.00238453, 0.00238449, 0.00238445, 0.00238443, 0.00238441", \
-            "0.00256657, 0.00256655, 0.00256653, 0.00256649, 0.00256645, 0.00256643", \
-            "0.0029981, 0.00299826, 0.00299849, 0.00299872, 0.00299888, 0.00299898", \
-            "0.00297932, 0.00298579, 0.00298138, 0.0029773, 0.00297609, 0.00297574" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.155513, 0.16358, 0.17415, 0.186376, 0.195285, 0.208353, 0.215183, 0.221901, 0.228398, 0.23302, 0.242064, 0.262997, 0.277934, 0.284535, 0.302431, 0.335037");
-            values ( \
-              "-0.0167495, -0.0181161, -0.0279228, -0.0354627, -0.0394584, -0.042646, -0.0435988, -0.0439844, -0.0436204, -0.0424082, -0.0376687, -0.0137273, -0.00447457, -0.0027379, -0.00253379, -0.00112146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.15859, 0.167963, 0.180859, 0.195834, 0.202908, 0.208473, 0.220186, 0.231408, 0.242478, 0.253542, 0.259412, 0.265932, 0.292083, 0.304094, 0.320383, 0.325867, 0.341846, 0.387601");
-            values ( \
-              "-0.0296362, -0.032205, -0.04784, -0.0606091, -0.0648944, -0.067708, -0.0713889, -0.0733796, -0.07364, -0.0708988, -0.0676358, -0.0604596, -0.023256, -0.0132929, -0.00513913, -0.00343992, -0.00229327, -0.00141361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.161865, 0.17514, 0.191605, 0.201754, 0.211626, 0.228968, 0.235717, 0.249456, 0.264766, 0.276135, 0.282127, 0.292005, 0.307991, 0.333155, 0.346498, 0.36243, 0.370895, 0.383301, 0.390084, 0.401173, 0.415958, 0.44144, 0.47276, 0.520982");
-            values ( \
-              "-0.0431018, -0.0528844, -0.0751282, -0.0855319, -0.0939, -0.103542, -0.10574, -0.108432, -0.109374, -0.108931, -0.107984, -0.104838, -0.090899, -0.0514887, -0.0352386, -0.021491, -0.0163576, -0.0107196, -0.0083601, -0.00532706, -0.00274241, -0.00153449, -0.00151285, -0.000883586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.167645, 0.190284, 0.208479, 0.225731, 0.237941, 0.251906, 0.264059, 0.288949, 0.313089, 0.329954, 0.337046, 0.349093, 0.361833, 0.386963, 0.426302, 0.452346, 0.477682, 0.511865, 0.526551, 0.554143, 0.589346, 0.63379, 0.675475");
-            values ( \
-              "-0.0712714, -0.0858865, -0.108459, -0.123604, -0.131065, -0.13694, -0.14026, -0.145526, -0.14863, -0.148279, -0.147312, -0.144277, -0.138818, -0.116125, -0.0675487, -0.0437174, -0.0277809, -0.0145367, -0.0108498, -0.0060884, -0.00258625, -0.00120048, -0.00101395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.173698, 0.200817, 0.220948, 0.235764, 0.250922, 0.271803, 0.297011, 0.3123, 0.32648, 0.344546, 0.364732, 0.386344, 0.409197, 0.450737, 0.466697, 0.481311, 0.496968, 0.528281, 0.579941, 0.615041, 0.639802, 0.656582, 0.690141, 0.711578, 0.726615, 0.750146, 0.783137, 0.82211, 0.874073, 0.940335, 1.0066, 1.07286, 1.27165");
-            values ( \
-              "-0.107659, -0.109169, -0.132153, -0.144293, -0.152909, -0.161565, -0.170764, -0.175137, -0.178036, -0.180171, -0.180854, -0.180076, -0.177968, -0.171139, -0.167283, -0.162967, -0.157166, -0.139946, -0.100685, -0.0763576, -0.0618917, -0.0533684, -0.0391748, -0.0319943, -0.0276934, -0.0220045, -0.0158372, -0.0106697, -0.00610966, -0.00276836, -0.0014506, -0.000895397, -0.000365198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.18566, 0.249346, 0.295547, 0.330446, 0.364235, 0.389354, 0.415738, 0.494309, 0.601599, 0.667861, 0.72178, 0.775609, 0.841872, 0.937189, 1.04707, 1.11183, 1.1662, 1.26365, 1.32991, 1.38685, 1.48807, 1.55433, 1.75312, 1.7929");
-            values ( \
-              "-0.150246, -0.161305, -0.18257, -0.193819, -0.199019, -0.200271, -0.200487, -0.197013, -0.188823, -0.182267, -0.175128, -0.164976, -0.145271, -0.106961, -0.0688491, -0.051751, -0.0403277, -0.0252724, -0.0182616, -0.0137124, -0.00817354, -0.00579494, -0.00199882, -0.00183876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.167981, 0.184213, 0.196154, 0.200947, 0.210481, 0.215888, 0.220704, 0.229773, 0.238664, 0.249056, 0.253793, 0.257312, 0.275021, 0.285529, 0.295052, 0.301453, 0.317492, 0.351114, 0.352274");
-            values ( \
-              "-0.00941522, -0.0244621, -0.0328176, -0.0353938, -0.0397485, -0.0411989, -0.0422365, -0.0435442, -0.0439915, -0.0421638, -0.0398187, -0.0366022, -0.0160032, -0.00829176, -0.00349429, -0.0026636, -0.00248768, -0.000841272, -0.00080912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.171125, 0.191431, 0.210227, 0.222867, 0.234579, 0.245802, 0.256872, 0.267937, 0.273791, 0.280324, 0.306482, 0.318485, 0.334776, 0.340261, 0.356243, 0.397915");
-            values ( \
-              "-0.019869, -0.0437965, -0.060528, -0.0676473, -0.0714434, -0.0733273, -0.0736877, -0.0708532, -0.0676477, -0.0604628, -0.0232514, -0.0132955, -0.00514012, -0.00343981, -0.00229291, -0.00149176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.174094, 0.193334, 0.205988, 0.226009, 0.243188, 0.250093, 0.263867, 0.278979, 0.290516, 0.297523, 0.306385, 0.322386, 0.34754, 0.360859, 0.376822, 0.385282, 0.404463, 0.415539, 0.430308, 0.455993, 0.533338");
-            values ( \
-              "-0.030045, -0.0591947, -0.0750947, -0.09388, -0.103481, -0.105739, -0.108435, -0.109374, -0.108934, -0.10776, -0.104854, -0.0908638, -0.051477, -0.0352536, -0.0214839, -0.0163504, -0.00836184, -0.00533157, -0.00274429, -0.00152998, -0.00087897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.181616, 0.204602, 0.222791, 0.240033, 0.252259, 0.266224, 0.278376, 0.303266, 0.327407, 0.344266, 0.351363, 0.363411, 0.376151, 0.40128, 0.440619, 0.466604, 0.492046, 0.526201, 0.540857, 0.568056, 0.602374, 0.646502, 0.689356");
-            values ( \
-              "-0.0688887, -0.0858971, -0.108455, -0.123591, -0.131066, -0.136941, -0.140258, -0.145524, -0.148626, -0.148284, -0.147307, -0.144283, -0.138812, -0.116131, -0.0675581, -0.0437713, -0.0277483, -0.014523, -0.0108601, -0.00615198, -0.00266919, -0.00121965, -0.00102409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.188295, 0.222041, 0.235275, 0.250197, 0.265397, 0.275216, 0.311426, 0.326693, 0.340853, 0.358963, 0.379111, 0.400675, 0.42357, 0.465109, 0.495686, 0.51134, 0.542649, 0.59432, 0.629426, 0.654161, 0.670923, 0.704447, 0.726026, 0.76464, 0.797573, 0.836369, 0.888098, 0.95436, 1.02062, 1.08688, 1.28567");
-            values ( \
-              "-0.102283, -0.118107, -0.132129, -0.14432, -0.152958, -0.157256, -0.170778, -0.175143, -0.178035, -0.180176, -0.180854, -0.180079, -0.177969, -0.17114, -0.162967, -0.157166, -0.13995, -0.10068, -0.0763494, -0.0618988, -0.0533833, -0.0391996, -0.0319715, -0.0219784, -0.0158276, -0.0106825, -0.00613404, -0.00278052, -0.0014541, -0.000898053, -0.000365256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.18792, 0.215371, 0.249801, 0.2636, 0.309714, 0.344603, 0.361963, 0.378396, 0.403543, 0.429913, 0.508441, 0.574487, 0.61576, 0.682022, 0.735941, 0.78977, 0.856033, 0.951364, 1.01672, 1.06121, 1.12596, 1.18035, 1.22642, 1.27782, 1.34408, 1.401, 1.50221, 1.56847, 1.63473, 1.76726, 1.89978, 2.16483");
-            values ( \
-              "-0.111138, -0.115432, -0.152091, -0.161354, -0.182574, -0.19382, -0.196938, -0.199021, -0.20027, -0.200489, -0.197017, -0.192357, -0.18882, -0.18227, -0.175131, -0.164973, -0.14529, -0.106939, -0.0830105, -0.0688394, -0.0517438, -0.0403424, -0.0324635, -0.0252586, -0.0182727, -0.0137012, -0.00816288, -0.00580702, -0.0040072, -0.00198761, -0.00106481, -0.000387802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.20314, 0.209054, 0.220474, 0.235596, 0.244501, 0.248685, 0.261052, 0.271108, 0.277646, 0.28224, 0.291285, 0.31222, 0.327146, 0.333748, 0.351673, 0.384153, 0.385088");
-            values ( \
-              "-0.0112862, -0.0150535, -0.0258486, -0.0353438, -0.0395651, -0.0407539, -0.043189, -0.0439915, -0.0436194, -0.0424048, -0.0376707, -0.0137168, -0.0044671, -0.00274967, -0.00254495, -0.000865706, -0.000845974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.206432, 0.21278, 0.229957, 0.244931, 0.251974, 0.257571, 0.269283, 0.280507, 0.291576, 0.302641, 0.308499, 0.315029, 0.341187, 0.353189, 0.36948, 0.374965, 0.390949, 0.438429");
-            values ( \
-              "-0.024248, -0.0269874, -0.0477704, -0.0605435, -0.0649305, -0.0676585, -0.0714333, -0.0733367, -0.0736797, -0.0708612, -0.0676459, -0.0604616, -0.0232507, -0.0132957, -0.00514023, -0.00343958, -0.00229275, -0.00137995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.209586, 0.218185, 0.228145, 0.240804, 0.250551, 0.260823, 0.277999, 0.281612, 0.294129, 0.309797, 0.325335, 0.332803, 0.341203, 0.357212, 0.382372, 0.395634, 0.411675, 0.420123, 0.439283, 0.450331, 0.465062, 0.490886, 0.569258");
-            values ( \
-              "-0.0359448, -0.0432061, -0.0590943, -0.0750328, -0.0852546, -0.0938294, -0.103537, -0.104728, -0.107781, -0.109284, -0.108927, -0.10765, -0.104853, -0.0908477, -0.051452, -0.0352957, -0.0214622, -0.0163361, -0.00836227, -0.00533848, -0.0027511, -0.00152762, -0.000868969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.217222, 0.23863, 0.257581, 0.273461, 0.287039, 0.30106, 0.313156, 0.338047, 0.362186, 0.379031, 0.386142, 0.39819, 0.41093, 0.43606, 0.475398, 0.491305, 0.526503, 0.560852, 0.585521, 0.605141, 0.644015, 0.689946, 0.713997");
-            values ( \
-              "-0.0751945, -0.0848648, -0.108455, -0.122587, -0.131053, -0.136973, -0.140239, -0.1455, -0.1486, -0.148313, -0.14728, -0.14431, -0.138786, -0.116158, -0.0675841, -0.052063, -0.0278808, -0.0145241, -0.00891267, -0.00587704, -0.00217992, -0.00121083, -0.001089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.217176, 0.235137, 0.256834, 0.269794, 0.284926, 0.292829, 0.310641, 0.332584, 0.346479, 0.361608, 0.375643, 0.393724, 0.413881, 0.435585, 0.458359, 0.499899, 0.530469, 0.546129, 0.577451, 0.629093, 0.66418, 0.688986, 0.705797, 0.739351, 0.775634, 0.799209, 0.832248, 0.871364, 0.923518, 0.989781, 1.05604, 1.12231, 1.32109");
-            values ( \
-              "-0.0789792, -0.0866168, -0.118207, -0.131799, -0.14435, -0.149156, -0.157518, -0.165998, -0.170867, -0.175174, -0.178037, -0.180173, -0.180854, -0.180071, -0.177969, -0.171139, -0.162969, -0.157166, -0.139941, -0.100693, -0.0763717, -0.0618794, -0.0533426, -0.0391572, -0.0277315, -0.0220257, -0.015845, -0.0106593, -0.0060899, -0.00275851, -0.00144777, -0.000893247, -0.000365148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.223995, 0.298846, 0.344757, 0.396968, 0.438579, 0.46495, 0.54348, 0.650797, 0.71706, 0.770978, 0.824808, 0.89107, 0.986402, 1.09625, 1.21538, 1.31285, 1.43604, 1.53725, 1.60351, 1.76833");
-            values ( \
-              "-0.106751, -0.161419, -0.182537, -0.196967, -0.200301, -0.200459, -0.196989, -0.188847, -0.182244, -0.175107, -0.164998, -0.145265, -0.106956, -0.0688526, -0.0403302, -0.0252699, -0.013711, -0.00817229, -0.00579757, -0.0026462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.286906, 0.294577, 0.297504, 0.305366, 0.317604, 0.326542, 0.339883, 0.349492, 0.35532, 0.36413, 0.369029, 0.373956, 0.386151, 0.395034, 0.407803, 0.414227, 0.423649, 0.432192, 0.473687, 0.486489");
-            values ( \
-              "-0.0177946, -0.0179234, -0.0214661, -0.0278607, -0.0354228, -0.0394641, -0.0426872, -0.0438529, -0.0439633, -0.0425541, -0.0407363, -0.03662, -0.0216874, -0.0131479, -0.00513704, -0.00291025, -0.0025801, -0.00258676, -0.000647712, -0.000385551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.289846, 0.298864, 0.311954, 0.326941, 0.334023, 0.339586, 0.351303, 0.362527, 0.373598, 0.384665, 0.390518, 0.397052, 0.423215, 0.435212, 0.451506, 0.456992, 0.472979, 0.519122");
-            values ( \
-              "-0.0311114, -0.0319391, -0.0477505, -0.0605447, -0.0648948, -0.0676598, -0.0713956, -0.0733448, -0.0736526, -0.0708716, -0.067643, -0.0604599, -0.0232475, -0.0132962, -0.00513968, -0.0034401, -0.00229349, -0.00140634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.292796, 0.306395, 0.310071, 0.322738, 0.342767, 0.360115, 0.366967, 0.380179, 0.388364, 0.398473, 0.407278, 0.422869, 0.427823, 0.433108, 0.44051, 0.462506, 0.470747, 0.481736, 0.497162, 0.508932, 0.517126, 0.527312, 0.540895, 0.563351, 0.717403");
-            values ( \
-              "-0.0417968, -0.0529817, -0.0592226, -0.0751021, -0.0938927, -0.103524, -0.105756, -0.10837, -0.109108, -0.109354, -0.108925, -0.104924, -0.102404, -0.0979602, -0.0884275, -0.0538483, -0.0429231, -0.0309951, -0.0190885, -0.0129152, -0.00971162, -0.00658598, -0.00357292, -0.00163501, -0.000246109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.296819, 0.315979, 0.339531, 0.355233, 0.36899, 0.383018, 0.395107, 0.419998, 0.444139, 0.460986, 0.468095, 0.480142, 0.492883, 0.518013, 0.557351, 0.572804, 0.601072, 0.622754, 0.64289, 0.657615, 0.685705, 0.722022, 0.766868, 0.946825");
-            values ( \
-              "-0.0620159, -0.0779207, -0.108465, -0.122431, -0.131071, -0.136951, -0.140261, -0.145522, -0.148622, -0.148287, -0.147302, -0.144285, -0.138808, -0.116135, -0.0675619, -0.0524901, -0.0318984, -0.0213403, -0.0145282, -0.0108621, -0.00603394, -0.00246014, -0.00120362, -0.000365305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.302672, 0.326619, 0.355436, 0.366813, 0.382602, 0.414411, 0.443064, 0.457466, 0.475278, 0.495122, 0.520916, 0.540181, 0.578711, 0.606699, 0.627944, 0.670434, 0.738475, 0.765859, 0.812161, 0.838736, 0.878239, 0.912775, 0.956098, 1.01386, 1.08012, 1.21265, 1.41144");
-            values ( \
-              "-0.0980902, -0.101925, -0.135457, -0.14426, -0.153412, -0.166019, -0.175067, -0.178046, -0.180132, -0.180863, -0.179816, -0.177971, -0.171755, -0.164704, -0.157167, -0.132086, -0.0812829, -0.0647024, -0.0426883, -0.0332441, -0.0226786, -0.0160808, -0.0103603, -0.0055288, -0.00248645, -0.000834212, -0.000362294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.313855, 0.366552, 0.403689, 0.461448, 0.495141, 0.546654, 0.625193, 0.691232, 0.798767, 0.852685, 0.906515, 0.972778, 1.17797, 1.29711, 1.39455, 1.51775, 1.68524, 1.76707");
-            values ( \
-              "-0.142973, -0.152035, -0.172673, -0.193902, -0.199073, -0.200538, -0.197063, -0.192402, -0.182314, -0.175173, -0.164932, -0.145315, -0.0688212, -0.0403541, -0.0252474, -0.0136896, -0.00581736, -0.00423648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.462804, 0.469616, 0.47638, 0.487466, 0.494408, 0.503136, 0.509894, 0.518483, 0.523316, 0.532231, 0.541145, 0.544237, 0.547387, 0.550925, 0.568628, 0.579903, 0.584255, 0.590057, 0.599562, 0.608084, 0.640694, 0.653978");
-            values ( \
-              "-0.0161943, -0.0162319, -0.0230873, -0.0312776, -0.0352146, -0.039241, -0.0411782, -0.0427949, -0.0434523, -0.0438207, -0.0424866, -0.0415306, -0.0397504, -0.0365392, -0.016013, -0.0078407, -0.00539526, -0.00316667, -0.0025219, -0.00265477, -0.00100444, -0.00056612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.466474, 0.479067, 0.488709, 0.496031, 0.503781, 0.509408, 0.513007, 0.516411, 0.522527, 0.52814, 0.539421, 0.550519, 0.561615, 0.564351, 0.56727, 0.573987, 0.586289, 0.593116, 0.596784, 0.60412, 0.608154, 0.613469, 0.6197, 0.629281, 0.634572, 0.638248, 0.645598, 0.674798, 0.70862, 0.728718, 0.752896");
-            values ( \
-              "-0.0277814, -0.0368489, -0.0473639, -0.0539498, -0.0602726, -0.0638398, -0.0657377, -0.067251, -0.0696002, -0.0710899, -0.0731366, -0.0734644, -0.0707581, -0.069548, -0.0676968, -0.0604127, -0.0411328, -0.0314618, -0.0269445, -0.019404, -0.0160882, -0.0124623, -0.00902068, -0.00481824, -0.00334874, -0.0028945, -0.00242183, -0.00202779, -0.00091805, -0.00046317, -0.000212876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.468388, 0.476514, 0.486614, 0.499365, 0.511372, 0.52193, 0.52717, 0.536585, 0.551491, 0.560494, 0.568433, 0.579807, 0.584023, 0.59183, 0.599903, 0.615929, 0.641078, 0.654376, 0.662893, 0.670372, 0.678828, 0.691229, 0.698003, 0.70907, 0.723826, 0.749768, 0.781032, 0.87136, 0.909165");
-            values ( \
-              "-0.041149, -0.0425787, -0.0585656, -0.0746247, -0.0866278, -0.095, -0.0982857, -0.102941, -0.107233, -0.108557, -0.109102, -0.109094, -0.108815, -0.107477, -0.104794, -0.0907968, -0.0514525, -0.0352623, -0.0271766, -0.0214767, -0.0163395, -0.0107207, -0.00836368, -0.00533543, -0.00274379, -0.00152858, -0.00151126, -0.000333406, -0.000185361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.47658, 0.498087, 0.518876, 0.534135, 0.545812, 0.559804, 0.571995, 0.596909, 0.621058, 0.637987, 0.64502, 0.657069, 0.669809, 0.69494, 0.734278, 0.750242, 0.785357, 0.799525, 0.819722, 0.844422, 0.864069, 0.903011, 0.94895, 0.997592");
-            values ( \
-              "-0.0799284, -0.0854591, -0.110672, -0.123584, -0.130766, -0.136687, -0.140079, -0.145432, -0.148579, -0.148265, -0.147279, -0.144281, -0.138794, -0.116138, -0.0675687, -0.0520309, -0.0279135, -0.021413, -0.014546, -0.00892901, -0.00585077, -0.00219311, -0.00119063, -0.000954828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.476896, 0.534756, 0.558887, 0.591443, 0.620153, 0.634522, 0.652398, 0.672403, 0.696656, 0.717245, 0.758804, 0.789229, 0.805017, 0.836592, 0.88766, 0.948598, 1.00839, 1.06644, 1.12193, 1.16175, 1.22801, 1.29427, 1.31643");
-            values ( \
-              "-0.0768173, -0.136932, -0.152676, -0.165843, -0.175009, -0.178011, -0.180111, -0.180855, -0.179902, -0.17797, -0.17112, -0.163008, -0.157165, -0.139769, -0.100934, -0.0614952, -0.0356047, -0.0202272, -0.0115861, -0.007642, -0.00355222, -0.00168617, -0.00147485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.48429, 0.516235, 0.530377, 0.557797, 0.590704, 0.620357, 0.637276, 0.65519, 0.672346, 0.696988, 0.723612, 0.75004, 0.802895, 0.909711, 0.993946, 1.02989, 1.08372, 1.14998, 1.2453, 1.31065, 1.35518, 1.41994, 1.47431, 1.52036, 1.57176, 1.63802, 1.69496, 1.72993, 1.79619, 1.86245, 1.92871, 2.06124, 2.26003, 2.45881");
-            values ( \
-              "-0.122974, -0.124299, -0.140282, -0.161174, -0.176888, -0.188666, -0.193406, -0.196925, -0.198924, -0.200348, -0.200402, -0.199694, -0.1969, -0.188903, -0.180079, -0.175053, -0.165051, -0.145198, -0.107013, -0.0829532, -0.0688935, -0.0517941, -0.0402861, -0.0324141, -0.0253116, -0.0182225, -0.0137474, -0.0114998, -0.00820769, -0.00576074, -0.00405191, -0.00203202, -0.000818461, -0.000430681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.841983, 0.850339, 0.853998, 0.861316, 0.867604, 0.880749, 0.885257, 0.894876, 0.904021, 0.912992, 0.923304, 0.927617, 0.931765, 0.945674, 0.952848, 0.965902, 0.972528, 0.990409, 1.02896, 1.03877");
-            values ( \
-              "-0.0144813, -0.0161547, -0.0203023, -0.0262814, -0.0305407, -0.0375652, -0.0392875, -0.0417675, -0.0431354, -0.0436426, -0.0419435, -0.0400039, -0.0364122, -0.0197841, -0.0131575, -0.00500901, -0.00285689, -0.00257574, -0.000726549, -0.000500457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.845151, 0.855411, 0.858975, 0.868884, 0.884201, 0.89142, 0.897017, 0.908853, 0.920165, 0.931311, 0.942452, 0.948003, 0.954909, 0.973105, 0.984939, 0.994326, 1.01021, 1.01554, 1.02669, 1.05593, 1.08977");
-            values ( \
-              "-0.0262194, -0.0302316, -0.0356244, -0.0463832, -0.0595765, -0.0640703, -0.0669038, -0.0707733, -0.0728185, -0.0731954, -0.0705015, -0.0675734, -0.060177, -0.0326007, -0.0194746, -0.0125006, -0.00481814, -0.00334562, -0.00242655, -0.00202004, -0.00104298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.848484, 0.863025, 0.879634, 0.899953, 0.917602, 0.928232, 0.941492, 0.949254, 0.960548, 0.964892, 0.972721, 0.980807, 0.996867, 1.02201, 1.03539, 1.05128, 1.05976, 1.07712, 1.09009, 1.10491, 1.13098, 1.27378");
-            values ( \
-              "-0.0388781, -0.0511799, -0.0736419, -0.0929089, -0.102818, -0.106054, -0.108187, -0.108744, -0.108778, -0.108522, -0.107231, -0.1046, -0.0906858, -0.0514519, -0.0351856, -0.0215014, -0.016353, -0.00897111, -0.00531897, -0.00273241, -0.00152847, -0.00025005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.857006, 0.878449, 0.89691, 0.914263, 0.926605, 0.940666, 0.952859, 0.977836, 1.00202, 1.01891, 1.02601, 1.03806, 1.05081, 1.07595, 1.11529, 1.13127, 1.16636, 1.18054, 1.20074, 1.23852, 1.26029, 1.30254, 1.35358");
-            values ( \
-              "-0.081529, -0.08436, -0.107356, -0.122635, -0.130281, -0.136225, -0.139656, -0.145156, -0.148423, -0.148147, -0.14719, -0.144197, -0.138745, -0.116102, -0.0675647, -0.0520155, -0.0279213, -0.0214103, -0.014551, -0.00677865, -0.00409191, -0.00150009, -0.00122296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.85696, 0.909343, 0.932553, 0.972314, 1.00162, 1.03354, 1.05383, 1.07464, 1.09819, 1.13974, 1.17032, 1.18597, 1.21579, 1.27721, 1.33385, 1.38959, 1.43119, 1.46816, 1.50853, 1.53985, 1.60249, 1.66875, 1.7228");
-            values ( \
-              "-0.074219, -0.13108, -0.148473, -0.165576, -0.175026, -0.180073, -0.180783, -0.180072, -0.177936, -0.171128, -0.162961, -0.157164, -0.140845, -0.0947191, -0.0593061, -0.0355929, -0.0238355, -0.0164927, -0.0109725, -0.00791588, -0.00387748, -0.00180227, -0.00135299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.863864, 0.942428, 0.992315, 1.00675, 1.03893, 1.07915, 1.10518, 1.13101, 1.20976, 1.31295, 1.37491, 1.4095, 1.46469, 1.53095, 1.62629, 1.73613, 1.80087, 1.85526, 1.90135, 1.95274, 2.019, 2.07592, 2.17713, 2.24339, 2.30965, 2.44218, 2.53462");
-            values ( \
-              "-0.106648, -0.16298, -0.185259, -0.190208, -0.197218, -0.200312, -0.200367, -0.199661, -0.195211, -0.186819, -0.180059, -0.175273, -0.165035, -0.14523, -0.10698, -0.0688752, -0.0517789, -0.0403108, -0.0324322, -0.0252877, -0.0182429, -0.0137269, -0.00818799, -0.0057825, -0.00403179, -0.00201144, -0.00163918" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00163697", \
-            "0.00182186", \
-            "0.00192641", \
-            "0.00198497", \
-            "0.00201904", \
-            "0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00214331", \
-            "0.00226562", \
-            "0.00234712", \
-            "0.00249994", \
-            "0.00280111", \
-            "0.00342604" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(!CK * !D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.207173, 0.223269, 0.252382, 0.304834, 0.400904, 0.593431", \
-            "0.210843, 0.226936, 0.256046, 0.30851, 0.404576, 0.597092", \
-            "0.220129, 0.23622, 0.265305, 0.317756, 0.413834, 0.606352", \
-            "0.240701, 0.25678, 0.285884, 0.338346, 0.434435, 0.626954", \
-            "0.269643, 0.285865, 0.314969, 0.36752, 0.463633, 0.656195", \
-            "0.294785, 0.31087, 0.340049, 0.392654, 0.488832, 0.681413" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0562138, 0.0699647, 0.0976088, 0.152001, 0.265796, 0.51565", \
-            "0.0562182, 0.0699701, 0.097744, 0.15201, 0.265793, 0.515651", \
-            "0.0562157, 0.0699664, 0.0977497, 0.152006, 0.265795, 0.515648", \
-            "0.0562278, 0.0699786, 0.0977483, 0.152, 0.265789, 0.515649", \
-            "0.056392, 0.0700739, 0.0978131, 0.152088, 0.26589, 0.515649", \
-            "0.0567756, 0.0704901, 0.098069, 0.152295, 0.266827, 0.515562" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0018558, 0.00185583, 0.00185586, 0.00185588, 0.0018559, 0.00185591", \
-            "0.00216519, 0.0021652, 0.0021652, 0.00216521, 0.00216521, 0.00216522", \
-            "0.00233246, 0.00233246, 0.00233246, 0.00233245, 0.00233245, 0.00233244", \
-            "0.00245667, 0.00245667, 0.00245666, 0.00245666, 0.00245665, 0.00245665", \
-            "0.00256509, 0.00256508, 0.00256508, 0.00256507, 0.00256507, 0.00256507", \
-            "0.00265483, 0.00265482, 0.00265482, 0.00265482, 0.00265481, 0.00265481" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00240022, 0.00240018, 0.00240016, 0.00240015, 0.00240015, 0.00240014", \
-            "0.00238542, 0.00238538, 0.00238534, 0.0023853, 0.00238528, 0.00238527", \
-            "0.00238466, 0.00238463, 0.00238459, 0.00238456, 0.00238454, 0.00238452", \
-            "0.00256718, 0.00256717, 0.00256714, 0.0025671, 0.00256706, 0.00256704", \
-            "0.00300567, 0.003008, 0.00300824, 0.00300849, 0.00300867, 0.00300877", \
-            "0.00297454, 0.00298133, 0.00297721, 0.00297288, 0.00297168, 0.0029712" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.150667, 0.159492, 0.170883, 0.179565, 0.194358, 0.199998, 0.205668, 0.214715, 0.223585, 0.232451, 0.235646, 0.238732, 0.259979, 0.270387, 0.279822, 0.286198, 0.302355, 0.335834, 0.350422, 0.356135");
-            values ( \
-              "-0.0024029, -0.015248, -0.0258125, -0.0319267, -0.0394401, -0.0411161, -0.0423198, -0.0436715, -0.0440691, -0.0426633, -0.0416409, -0.0398459, -0.0159343, -0.00830248, -0.00352624, -0.00265797, -0.00248526, -0.000847009, -0.000439641, -0.000390073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.157277, 0.16796, 0.180316, 0.195251, 0.202293, 0.207858, 0.219543, 0.230742, 0.241792, 0.252838, 0.258824, 0.265223, 0.291362, 0.303366, 0.319653, 0.325133, 0.341108, 0.355594, 0.383625");
-            values ( \
-              "-0.0243688, -0.0330137, -0.0479352, -0.0607517, -0.0650664, -0.0678681, -0.0715586, -0.0735155, -0.0737617, -0.0709776, -0.0676038, -0.0604918, -0.0232578, -0.0132964, -0.0051431, -0.00344058, -0.00229033, -0.00225934, -0.0014148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.160945, 0.173653, 0.178429, 0.191051, 0.211023, 0.228327, 0.234989, 0.249087, 0.26224, 0.275426, 0.291008, 0.296066, 0.30146, 0.308657, 0.333401, 0.349866, 0.36534, 0.377146, 0.385304, 0.395454, 0.408987, 0.431389, 0.585095");
-            values ( \
-              "-0.0407211, -0.05149, -0.059437, -0.0753436, -0.0941413, -0.103737, -0.105909, -0.108612, -0.109451, -0.108983, -0.104942, -0.102345, -0.0977433, -0.0884169, -0.0500428, -0.0310095, -0.0190698, -0.0128872, -0.0097016, -0.00658861, -0.00358369, -0.00163819, -0.000248544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.164436, 0.176822, 0.189684, 0.207844, 0.225111, 0.237214, 0.251167, 0.263328, 0.294215, 0.312338, 0.329981, 0.336306, 0.348359, 0.361098, 0.386236, 0.425579, 0.441547, 0.476663, 0.490833, 0.511031, 0.535733, 0.555383, 0.594256, 0.640085, 0.679408");
-            values ( \
-              "-0.0636486, -0.0654881, -0.0861607, -0.108732, -0.12388, -0.131273, -0.137106, -0.140371, -0.146618, -0.1486, -0.148167, -0.147249, -0.144244, -0.138759, -0.116113, -0.0675576, -0.0520267, -0.0279134, -0.0214095, -0.0145468, -0.00892965, -0.00584858, -0.00219873, -0.00119132, -0.00100093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.169699, 0.193516, 0.20698, 0.21808, 0.23402, 0.249373, 0.284614, 0.311107, 0.325713, 0.344562, 0.364773, 0.380817, 0.399152, 0.426862, 0.451027, 0.496256, 0.509841, 0.527359, 0.590768, 0.617336, 0.644944, 0.681754, 0.695461, 0.721922, 0.746352, 0.780828, 0.823957, 0.881463, 0.947669, 1.01387, 1.08008, 1.2787");
-            values ( \
-              "-0.0886725, -0.0998808, -0.118254, -0.130334, -0.143772, -0.152691, -0.166775, -0.174996, -0.178005, -0.180154, -0.18081, -0.180289, -0.178942, -0.175391, -0.170799, -0.15718, -0.150741, -0.140049, -0.0922126, -0.0744429, -0.0587692, -0.0420456, -0.0369763, -0.0287484, -0.0226519, -0.016068, -0.0103732, -0.00555566, -0.00249901, -0.00137144, -0.000836534, -0.000363532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.181076, 0.234783, 0.249078, 0.281702, 0.327652, 0.363349, 0.387559, 0.414411, 0.494368, 0.600744, 0.68498, 0.72104, 0.774755, 0.840961, 0.994182, 1.07645, 1.12981, 1.17958, 1.23756, 1.30377, 1.36667, 1.46049, 1.52669, 1.5929, 1.6591, 1.79152, 2.12254");
-            values ( \
-              "-0.136997, -0.152424, -0.161773, -0.176956, -0.193264, -0.198912, -0.200234, -0.200406, -0.1969, -0.188857, -0.180036, -0.175078, -0.165008, -0.14526, -0.0855686, -0.0604444, -0.0474925, -0.0376891, -0.0285859, -0.0207042, -0.0151555, -0.009429, -0.00663799, -0.00469262, -0.00324176, -0.00164264, -0.000475933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.167149, 0.185155, 0.194031, 0.20031, 0.208668, 0.214404, 0.229058, 0.237929, 0.246796, 0.249998, 0.25308, 0.274332, 0.284733, 0.294162, 0.300538, 0.316699, 0.350178, 0.364766, 0.365239");
-            values ( \
-              "-0.00861524, -0.0258461, -0.0320608, -0.0354234, -0.0394751, -0.0411568, -0.043691, -0.0440466, -0.0426805, -0.0416367, -0.0398414, -0.0159272, -0.00830223, -0.00352787, -0.00265815, -0.0024853, -0.000847081, -0.000439594, -0.000435487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.174077, 0.18509, 0.202315, 0.209545, 0.216584, 0.222154, 0.23384, 0.24504, 0.256091, 0.267714, 0.273098, 0.279523, 0.294811, 0.305665, 0.317663, 0.333952, 0.339432, 0.344758, 0.355409, 0.369895, 0.419673, 0.425888");
-            values ( \
-              "-0.0366151, -0.0372745, -0.0549224, -0.0606176, -0.0651655, -0.0677641, -0.071644, -0.0734262, -0.073839, -0.0707088, -0.0676204, -0.0604931, -0.0367071, -0.0232547, -0.0132979, -0.00514345, -0.00344085, -0.00277517, -0.00229045, -0.00225929, -0.000759616, -0.000678997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.175735, 0.188029, 0.192714, 0.205341, 0.225316, 0.242611, 0.248647, 0.258545, 0.27418, 0.289737, 0.297534, 0.305603, 0.321615, 0.346775, 0.360045, 0.376082, 0.384533, 0.403699, 0.414754, 0.429493, 0.455343, 0.539105");
-            values ( \
-              "-0.0445187, -0.0515845, -0.0594226, -0.0753343, -0.0941369, -0.103722, -0.10572, -0.107926, -0.109385, -0.108972, -0.107591, -0.104863, -0.090827, -0.0514443, -0.0352844, -0.0214607, -0.0163334, -0.00836034, -0.0053358, -0.00274843, -0.00152794, -0.000822907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.17927, 0.196076, 0.222039, 0.238562, 0.251441, 0.265409, 0.277527, 0.302406, 0.326547, 0.343371, 0.350508, 0.36256, 0.375304, 0.400442, 0.439785, 0.455272, 0.483512, 0.505185, 0.525327, 0.540061, 0.568258, 0.604806, 0.649657, 0.829431");
-            values ( \
-              "-0.0632495, -0.0740303, -0.108807, -0.12322, -0.131376, -0.137018, -0.140459, -0.14562, -0.148662, -0.148184, -0.147316, -0.144186, -0.138818, -0.116058, -0.0675211, -0.052466, -0.0318872, -0.0213347, -0.0145367, -0.0108539, -0.00601209, -0.00244412, -0.00119667, -0.000369309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.184429, 0.207439, 0.221297, 0.232934, 0.248916, 0.265443, 0.296982, 0.310899, 0.326014, 0.340044, 0.358089, 0.378191, 0.400621, 0.422784, 0.46434, 0.494855, 0.510568, 0.541995, 0.593399, 0.628328, 0.653737, 0.670962, 0.703997, 0.739244, 0.76308, 0.7964, 0.836368, 0.88966, 0.955866, 1.02207, 1.08828, 1.2869");
-            values ( \
-              "-0.0925613, -0.0992121, -0.118212, -0.130859, -0.144148, -0.15353, -0.166037, -0.170874, -0.175142, -0.177991, -0.18011, -0.180798, -0.179977, -0.177926, -0.171108, -0.162968, -0.157153, -0.139865, -0.100794, -0.0765593, -0.0617153, -0.0529996, -0.0390874, -0.0279592, -0.0221521, -0.0158919, -0.0105978, -0.00597309, -0.00270262, -0.00143265, -0.000881622, -0.000365345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.195901, 0.249192, 0.264462, 0.29612, 0.34291, 0.360832, 0.377768, 0.402632, 0.429156, 0.455482, 0.508134, 0.615163, 0.699399, 0.735459, 0.789174, 0.85538, 0.950829, 1.01625, 1.06058, 1.12529, 1.17972, 1.22589, 1.27726, 1.34347, 1.40041, 1.50156, 1.56777, 1.63398, 1.76639, 1.8988, 2.16362");
-            values ( \
-              "-0.139281, -0.152404, -0.162257, -0.176966, -0.193443, -0.196874, -0.198891, -0.200273, -0.200381, -0.199634, -0.19692, -0.188876, -0.180055, -0.175059, -0.165027, -0.145241, -0.106964, -0.0829195, -0.0688903, -0.0518001, -0.0403118, -0.0324194, -0.0252875, -0.0182407, -0.0137307, -0.00819398, -0.00578184, -0.00403923, -0.00201828, -0.00109395, -0.000414879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.205569, 0.215123, 0.220079, 0.228701, 0.243445, 0.249357, 0.263894, 0.272764, 0.281631, 0.284843, 0.28792, 0.309176, 0.319567, 0.32899, 0.335365, 0.351534, 0.385012, 0.3996, 0.399954");
-            values ( \
-              "-0.0194891, -0.0212631, -0.0260079, -0.0320174, -0.039499, -0.0412164, -0.0437141, -0.0440271, -0.0427003, -0.0416338, -0.0398371, -0.015918, -0.00830251, -0.00353033, -0.00265799, -0.00248511, -0.000847266, -0.000439492, -0.00043642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.205583, 0.212378, 0.219993, 0.229505, 0.24444, 0.251462, 0.257048, 0.268733, 0.279933, 0.290983, 0.302029, 0.307999, 0.314414, 0.329702, 0.340558, 0.352554, 0.368843, 0.374323, 0.37965, 0.390302, 0.404788, 0.454566, 0.454777");
-            values ( \
-              "-0.0210453, -0.0269127, -0.0373552, -0.047835, -0.0606658, -0.0651248, -0.0678036, -0.0716149, -0.07346, -0.0738116, -0.0709293, -0.0676168, -0.0604919, -0.0367058, -0.0232532, -0.0132989, -0.00514408, -0.00344035, -0.00277558, -0.00228992, -0.00225974, -0.000759145, -0.000756407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.210139, 0.222738, 0.227517, 0.240151, 0.260131, 0.277623, 0.283466, 0.293363, 0.309, 0.324556, 0.332353, 0.340423, 0.356436, 0.381596, 0.394867, 0.410902, 0.419353, 0.43852, 0.449575, 0.464315, 0.490166, 0.57236");
-            values ( \
-              "-0.0416957, -0.0513427, -0.0594245, -0.0753402, -0.0941526, -0.103801, -0.105711, -0.107919, -0.109381, -0.108968, -0.107589, -0.10486, -0.0908258, -0.0514441, -0.0352834, -0.0214612, -0.0163335, -0.00836045, -0.00533581, -0.00274828, -0.00152804, -0.000836109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.213593, 0.22587, 0.238762, 0.256931, 0.274208, 0.286307, 0.300262, 0.312423, 0.339313, 0.361435, 0.379073, 0.385403, 0.397456, 0.410196, 0.435334, 0.474677, 0.490645, 0.525761, 0.539931, 0.560129, 0.584831, 0.604481, 0.643355, 0.689183, 0.728142");
-            values ( \
-              "-0.0647696, -0.0653509, -0.0861161, -0.108712, -0.123846, -0.13127, -0.137078, -0.140376, -0.145934, -0.148588, -0.148175, -0.147238, -0.144252, -0.13875, -0.116121, -0.0675659, -0.0520206, -0.0279081, -0.0214149, -0.0145415, -0.0089249, -0.00585321, -0.00219401, -0.00119599, -0.00100534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.218673, 0.242606, 0.256062, 0.267152, 0.283032, 0.2991, 0.331758, 0.360546, 0.374814, 0.392865, 0.412951, 0.43546, 0.457555, 0.499111, 0.514951, 0.529622, 0.545339, 0.576774, 0.62816, 0.663077, 0.688531, 0.705787, 0.738783, 0.773954, 0.797808, 0.831149, 0.871181, 0.924556, 0.990762, 1.05697, 1.12317, 1.32179");
-            values ( \
-              "-0.0878965, -0.0998589, -0.118229, -0.13027, -0.143712, -0.152992, -0.166027, -0.175084, -0.177986, -0.180113, -0.180794, -0.179978, -0.17792, -0.171114, -0.167292, -0.162969, -0.157154, -0.139859, -0.100802, -0.0765728, -0.0617028, -0.0529747, -0.0390818, -0.0279763, -0.0221611, -0.0158957, -0.0105936, -0.00596419, -0.00269877, -0.00143107, -0.000881063, -0.000364955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.229948, 0.279314, 0.314412, 0.340581, 0.374046, 0.392418, 0.412527, 0.436983, 0.463708, 0.490236, 0.543291, 0.649917, 0.734153, 0.770213, 0.823928, 0.890134, 1.03933, 1.12928, 1.18408, 1.22902, 1.28057, 1.3427, 1.39678, 1.45098, 1.51719, 1.6496, 1.84822, 1.85705");
-            values ( \
-              "-0.137888, -0.148744, -0.16954, -0.181139, -0.192541, -0.196395, -0.198887, -0.200286, -0.200373, -0.199653, -0.19688, -0.188892, -0.18007, -0.175043, -0.165042, -0.145227, -0.0869423, -0.0594485, -0.0464263, -0.037676, -0.0294853, -0.0217512, -0.0166531, -0.0127003, -0.00903669, -0.00447163, -0.00161765, -0.001586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.283838, 0.30213, 0.310789, 0.325536, 0.331408, 0.345976, 0.354848, 0.363715, 0.370006, 0.391264, 0.401653, 0.411075, 0.41745, 0.433619, 0.467098, 0.476158");
-            values ( \
-              "-0.00812551, -0.0260785, -0.0320761, -0.0395462, -0.0412261, -0.0437288, -0.0440012, -0.0427146, -0.0398338, -0.0159164, -0.00830169, -0.00353017, -0.00265875, -0.00248567, -0.000846799, -0.000723929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.290527, 0.301936, 0.307868, 0.318645, 0.333476, 0.339019, 0.350708, 0.361908, 0.37296, 0.384583, 0.38996, 0.396392, 0.411679, 0.422541, 0.434529, 0.440894, 0.45082, 0.456302, 0.46163, 0.472286, 0.486772, 0.536553, 0.543566");
-            values ( \
-              "-0.0340667, -0.03726, -0.0441822, -0.0544339, -0.065158, -0.0677584, -0.0716273, -0.0734261, -0.0738273, -0.0707049, -0.0676242, -0.060491, -0.0367086, -0.0232484, -0.0133004, -0.00962609, -0.00514397, -0.00344084, -0.00277516, -0.00229047, -0.00225939, -0.000759368, -0.000668415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.290505, 0.322102, 0.34208, 0.359232, 0.365316, 0.375312, 0.390948, 0.406504, 0.414301, 0.422371, 0.438384, 0.463544, 0.476815, 0.49285, 0.501302, 0.520469, 0.531524, 0.546264, 0.572117, 0.731218");
-            values ( \
-              "-0.0322543, -0.0752258, -0.0940572, -0.103697, -0.105686, -0.107922, -0.109383, -0.108969, -0.107588, -0.10486, -0.0908251, -0.0514438, -0.0352831, -0.0214611, -0.0163333, -0.0083604, -0.00533573, -0.00274808, -0.00152813, -0.000188564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.295464, 0.308419, 0.320797, 0.338959, 0.356245, 0.368328, 0.382281, 0.394442, 0.425304, 0.443452, 0.461129, 0.46742, 0.479473, 0.492213, 0.51735, 0.556693, 0.572661, 0.583132, 0.607777, 0.621947, 0.642145, 0.666847, 0.686496, 0.72537, 0.771199, 0.951419");
-            values ( \
-              "-0.0619783, -0.0663696, -0.0861545, -0.108725, -0.123904, -0.131262, -0.137115, -0.140362, -0.14661, -0.148596, -0.148169, -0.147244, -0.14425, -0.138755, -0.116119, -0.0675638, -0.0520192, -0.0434457, -0.0279058, -0.0214172, -0.0145392, -0.00892182, -0.00585647, -0.00219092, -0.00119919, -0.000310845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.305761, 0.338156, 0.349098, 0.36887, 0.382485, 0.413825, 0.427747, 0.442859, 0.456885, 0.474936, 0.49504, 0.517408, 0.539624, 0.581179, 0.611698, 0.627408, 0.658828, 0.710248, 0.745188, 0.770556, 0.787752, 0.820823, 0.856141, 0.879959, 0.91326, 0.95317, 1.00638, 1.07259, 1.13879, 1.205, 1.40362");
-            values ( \
-              "-0.111165, -0.118307, -0.130181, -0.146236, -0.15363, -0.166048, -0.17088, -0.175154, -0.177992, -0.18012, -0.180796, -0.17999, -0.177919, -0.171117, -0.162967, -0.157154, -0.139869, -0.100787, -0.076546, -0.0617264, -0.0530234, -0.039092, -0.0279436, -0.0221432, -0.0158888, -0.0106021, -0.00598092, -0.00270671, -0.00143365, -0.00088263, -0.000365227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.312046, 0.356855, 0.381107, 0.393689, 0.425982, 0.460004, 0.477826, 0.494674, 0.519507, 0.546046, 0.572387, 0.625069, 0.732068, 0.816304, 0.852364, 0.906079, 0.972285, 1.06651, 1.12909, 1.17874, 1.24473, 1.29766, 1.3409, 1.39295, 1.45916, 1.51793, 1.62038, 1.68659, 1.75279, 1.8852, 2.01761, 2.28244");
-            values ( \
-              "-0.140825, -0.144733, -0.162128, -0.168303, -0.182518, -0.193506, -0.196882, -0.198906, -0.200263, -0.200394, -0.199624, -0.19693, -0.188864, -0.180043, -0.17507, -0.165016, -0.145253, -0.107432, -0.0843252, -0.0685127, -0.0512017, -0.0401391, -0.0327357, -0.0254289, -0.0183679, -0.0136773, -0.00810119, -0.00573813, -0.00398478, -0.00198587, -0.00107148, -0.00039854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.460079, 0.476607, 0.49361, 0.502732, 0.508972, 0.522416, 0.53131, 0.534861, 0.540272, 0.548928, 0.568474, 0.580171, 0.590745, 0.609042, 0.640349");
-            values ( \
-              "-0.00873649, -0.0240603, -0.0353026, -0.0395275, -0.0413606, -0.043545, -0.0439474, -0.0436827, -0.04242, -0.0379785, -0.0153379, -0.00716167, -0.00285171, -0.00257458, -0.00121815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.464612, 0.475428, 0.487963, 0.502987, 0.510479, 0.520543, 0.527252, 0.538513, 0.549598, 0.561972, 0.566406, 0.573054, 0.588825, 0.598434, 0.611805, 0.628906, 0.634273, 0.644084, 0.748763");
-            values ( \
-              "-0.0240736, -0.0323762, -0.0475684, -0.0604969, -0.065052, -0.0692715, -0.0712855, -0.0731743, -0.0736311, -0.0703135, -0.0676927, -0.0604359, -0.0360108, -0.0240476, -0.0129071, -0.00453309, -0.00325585, -0.00244292, -0.000234352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.468447, 0.481128, 0.486088, 0.498795, 0.505561, 0.518238, 0.525047, 0.530708, 0.535913, 0.544256, 0.552023, 0.564113, 0.574976, 0.583281, 0.597183, 0.603118, 0.609349, 0.616518, 0.641272, 0.646758, 0.65773, 0.664361, 0.673229, 0.685052, 0.693193, 0.703323, 0.71683, 0.737472, 0.766917, 0.855662, 0.890239");
-            values ( \
-              "-0.0406785, -0.0506439, -0.0589485, -0.0749836, -0.08206, -0.0930843, -0.0976373, -0.100769, -0.103104, -0.105891, -0.107568, -0.108959, -0.109245, -0.108829, -0.10556, -0.102806, -0.0976327, -0.088403, -0.0499998, -0.0429521, -0.0309827, -0.025241, -0.0190359, -0.0128963, -0.00967371, -0.00657006, -0.0036087, -0.00171217, -0.00159434, -0.00046014, -0.000268647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.471805, 0.485368, 0.497446, 0.512213, 0.53215, 0.545039, 0.55906, 0.571196, 0.602239, 0.620238, 0.637813, 0.64421, 0.656264, 0.669004, 0.694143, 0.733485, 0.749457, 0.759927, 0.784568, 0.798739, 0.818938, 0.843641, 0.863292, 0.902165, 0.947995, 1.12812");
-            values ( \
-              "-0.059508, -0.0665717, -0.085785, -0.104674, -0.12288, -0.130977, -0.136848, -0.140205, -0.146565, -0.148559, -0.14816, -0.147229, -0.144239, -0.138748, -0.116115, -0.0675627, -0.0520208, -0.0434395, -0.0279116, -0.0214126, -0.0145444, -0.00892731, -0.00585047, -0.00219651, -0.00119341, -0.00031705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.482269, 0.51485, 0.530801, 0.543034, 0.557786, 0.590666, 0.619336, 0.633741, 0.651446, 0.671365, 0.697489, 0.716483, 0.754471, 0.785483, 0.804257, 0.841805, 0.900841, 0.947832, 0.969796, 0.998906, 1.0256, 1.0612, 1.08997, 1.1304, 1.18431, 1.25052, 1.31672, 1.38293, 1.58155");
-            values ( \
-              "-0.110519, -0.117727, -0.134456, -0.144074, -0.152767, -0.165932, -0.174994, -0.177978, -0.180053, -0.180802, -0.179741, -0.177928, -0.171837, -0.163928, -0.157154, -0.135629, -0.0908628, -0.0615678, -0.050651, -0.0387045, -0.0300436, -0.0211949, -0.0159117, -0.0105604, -0.00591083, -0.00266926, -0.00142463, -0.000873037, -0.000366461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.488704, 0.531146, 0.542979, 0.570424, 0.602962, 0.636674, 0.654623, 0.6716, 0.69628, 0.722896, 0.749313, 0.802149, 0.908994, 0.99323, 1.02929, 1.08301, 1.14921, 1.2426, 1.30313, 1.35654, 1.42342, 1.47529, 1.51653, 1.56905, 1.63526, 1.69527, 1.7986, 1.86481, 1.93102, 2.06343, 2.19584, 2.46066");
-            values ( \
-              "-0.142062, -0.14209, -0.152181, -0.168082, -0.18252, -0.193453, -0.196847, -0.198918, -0.200247, -0.200408, -0.199612, -0.196932, -0.188853, -0.180033, -0.175081, -0.165005, -0.145264, -0.107752, -0.0853286, -0.068252, -0.0507887, -0.0400229, -0.0329529, -0.0255251, -0.0184552, -0.0136409, -0.00803826, -0.00570856, -0.00394791, -0.00196397, -0.00105633, -0.000387628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.841088, 0.849157, 0.85285, 0.861038, 0.873557, 0.881641, 0.893546, 0.90268, 0.911621, 0.921945, 0.926385, 0.930346, 0.94423, 0.951382, 0.964435, 0.971012, 0.988848, 1.02823, 1.0417");
-            values ( \
-              "-0.0152964, -0.0161998, -0.0203441, -0.0270149, -0.0347988, -0.0386475, -0.0418859, -0.0432803, -0.043769, -0.0420339, -0.0399768, -0.0364858, -0.0198145, -0.0131854, -0.00502309, -0.00286208, -0.00257708, -0.000703408, -0.000403528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.844221, 0.854218, 0.857857, 0.867725, 0.882985, 0.89016, 0.895758, 0.907555, 0.918835, 0.929953, 0.941066, 0.946758, 0.953462, 0.969703, 0.977892, 0.990878, 1.0035, 1.01101, 1.0159, 1.02387, 1.04917, 1.09309");
-            values ( \
-              "-0.027126, -0.0302552, -0.0357636, -0.0465543, -0.059784, -0.064277, -0.0671273, -0.0709884, -0.0730226, -0.0733628, -0.0706356, -0.067567, -0.0603182, -0.0353503, -0.025096, -0.0137933, -0.00700233, -0.00402469, -0.00312221, -0.00250001, -0.00214142, -0.000839407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.848055, 0.86038, 0.865584, 0.878532, 0.898784, 0.916208, 0.921377, 0.932254, 0.943337, 0.955802, 0.963576, 0.976971, 0.984456, 0.994437, 1.01911, 1.0326, 1.04206, 1.05057, 1.06193, 1.07198, 1.08902, 1.10782, 1.13414, 1.27091");
-            values ( \
-              "-0.0442566, -0.0488996, -0.0574672, -0.0738975, -0.0931868, -0.103017, -0.104789, -0.107351, -0.108651, -0.109006, -0.108624, -0.10561, -0.102017, -0.0918382, -0.0534632, -0.0365991, -0.0274685, -0.0210123, -0.0144749, -0.0102676, -0.00525383, -0.0021945, -0.0016185, -0.000254307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.851294, 0.864209, 0.877191, 0.895597, 0.913057, 0.92521, 0.939229, 0.951415, 0.97637, 1.00055, 1.01739, 1.02454, 1.0366, 1.04935, 1.0745, 1.11384, 1.12978, 1.16495, 1.17911, 1.19931, 1.21581, 1.24107, 1.25987, 1.29549, 1.35777");
-            values ( \
-              "-0.0620676, -0.0637249, -0.0845947, -0.107643, -0.123124, -0.130557, -0.136517, -0.139815, -0.145186, -0.148381, -0.148143, -0.147122, -0.144175, -0.138678, -0.116095, -0.0675711, -0.0520395, -0.0278911, -0.0214174, -0.0145318, -0.0105153, -0.00622688, -0.00400413, -0.00175619, -0.00136337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.861164, 0.894418, 0.921523, 0.958846, 0.99947, 1.0317, 1.05187, 1.09635, 1.13791, 1.18414, 1.21538, 1.2795, 1.3299, 1.38763, 1.42424, 1.46452, 1.51278, 1.5515, 1.6177, 1.70932");
-            values ( \
-              "-0.106257, -0.117278, -0.143, -0.161191, -0.174949, -0.180024, -0.180715, -0.177877, -0.171104, -0.15715, -0.139988, -0.0923059, -0.0608461, -0.0358918, -0.0252478, -0.0169137, -0.0103818, -0.00689112, -0.0031734, -0.0016605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.867961, 0.91276, 0.923196, 0.951291, 0.983436, 1.01694, 1.03489, 1.052, 1.07674, 1.10334, 1.12974, 1.18253, 1.2158, 1.27046, 1.32694, 1.37364, 1.43984, 1.46352, 1.50994, 1.65158, 1.70916, 1.75275, 1.81087, 1.85557, 1.89132, 1.939, 2.0052, 2.08028, 2.12834, 2.19455, 2.26075, 2.32696, 2.45937, 2.59178, 2.85661");
-            values ( \
-              "-0.135355, -0.142931, -0.151759, -0.167967, -0.182308, -0.193278, -0.196749, -0.198822, -0.200208, -0.200352, -0.199605, -0.196915, -0.194706, -0.190478, -0.185312, -0.180046, -0.169935, -0.165033, -0.15209, -0.0967693, -0.0767459, -0.0637379, -0.049155, -0.0399747, -0.0337794, -0.0268621, -0.0193893, -0.0133429, -0.0104578, -0.0074297, -0.00522153, -0.00365031, -0.00184166, -0.00101265, -0.00039317" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00163697", \
-            "0.00182186", \
-            "0.00192641", \
-            "0.00198497", \
-            "0.00201904", \
-            "0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00214331", \
-            "0.00226562", \
-            "0.00234712", \
-            "0.00249994", \
-            "0.00280111", \
-            "0.00342604" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.207797, 0.22394, 0.253091, 0.305568, 0.401646, 0.594157", \
-            "0.211505, 0.227626, 0.256776, 0.30926, 0.405338, 0.597847", \
-            "0.220757, 0.236895, 0.266042, 0.318514, 0.414601, 0.607111", \
-            "0.241343, 0.257464, 0.286605, 0.339095, 0.435167, 0.627719", \
-            "0.270517, 0.286598, 0.315728, 0.368321, 0.464387, 0.656964", \
-            "0.296077, 0.312196, 0.341433, 0.394087, 0.490267, 0.682881" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0563842, 0.0700956, 0.0978359, 0.152031, 0.265749, 0.51562", \
-            "0.0563474, 0.0700966, 0.097832, 0.152031, 0.265748, 0.515616", \
-            "0.0563851, 0.0700963, 0.0978364, 0.15203, 0.265748, 0.515616", \
-            "0.0563454, 0.0701109, 0.0977193, 0.152033, 0.265934, 0.51562", \
-            "0.0565019, 0.0702223, 0.0980549, 0.152117, 0.26578, 0.51562", \
-            "0.0569673, 0.0706729, 0.0983466, 0.152351, 0.266004, 0.515637" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00185584, 0.00185586, 0.00185589, 0.00185592, 0.00185593, 0.00185594", \
-            "0.00216521, 0.00216522, 0.00216522, 0.00216523, 0.00216523, 0.00216524", \
-            "0.00233247, 0.00233246, 0.00233245, 0.00233245, 0.00233245, 0.00233245", \
-            "0.00245666, 0.00245666, 0.00245665, 0.00245664, 0.00245664, 0.00245664", \
-            "0.00256507, 0.00256506, 0.00256506, 0.00256506, 0.00256505, 0.00256505", \
-            "0.00265479, 0.00265479, 0.00265479, 0.00265478, 0.00265478, 0.00265478" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00240023, 0.0024002, 0.00240017, 0.00240016, 0.00240016, 0.00240016", \
-            "0.00238538, 0.00238534, 0.00238529, 0.00238526, 0.00238523, 0.00238522", \
-            "0.00238455, 0.00238453, 0.00238449, 0.00238445, 0.00238443, 0.00238441", \
-            "0.00256657, 0.00256655, 0.00256653, 0.00256649, 0.00256645, 0.00256643", \
-            "0.0029981, 0.00299826, 0.00299849, 0.00299872, 0.00299888, 0.00299898", \
-            "0.00297932, 0.00298579, 0.00298138, 0.0029773, 0.00297609, 0.00297574" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.155513, 0.16358, 0.17415, 0.186376, 0.195285, 0.208353, 0.215183, 0.221901, 0.228398, 0.23302, 0.242064, 0.262997, 0.277934, 0.284535, 0.302431, 0.335037");
-            values ( \
-              "-0.0167495, -0.0181161, -0.0279228, -0.0354627, -0.0394584, -0.042646, -0.0435988, -0.0439844, -0.0436204, -0.0424082, -0.0376687, -0.0137273, -0.00447457, -0.0027379, -0.00253379, -0.00112146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.15859, 0.167963, 0.180859, 0.195834, 0.202908, 0.208473, 0.220186, 0.231408, 0.242478, 0.253542, 0.259412, 0.265932, 0.292083, 0.304094, 0.320383, 0.325867, 0.341846, 0.387601");
-            values ( \
-              "-0.0296362, -0.032205, -0.04784, -0.0606091, -0.0648944, -0.067708, -0.0713889, -0.0733796, -0.07364, -0.0708988, -0.0676358, -0.0604596, -0.023256, -0.0132929, -0.00513913, -0.00343992, -0.00229327, -0.00141361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.161865, 0.17514, 0.191605, 0.201754, 0.211626, 0.228968, 0.235717, 0.249456, 0.264766, 0.276135, 0.282127, 0.292005, 0.307991, 0.333155, 0.346498, 0.36243, 0.370895, 0.383301, 0.390084, 0.401173, 0.415958, 0.44144, 0.47276, 0.520982");
-            values ( \
-              "-0.0431018, -0.0528844, -0.0751282, -0.0855319, -0.0939, -0.103542, -0.10574, -0.108432, -0.109374, -0.108931, -0.107984, -0.104838, -0.090899, -0.0514887, -0.0352386, -0.021491, -0.0163576, -0.0107196, -0.0083601, -0.00532706, -0.00274241, -0.00153449, -0.00151285, -0.000883586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.167645, 0.190284, 0.208479, 0.225731, 0.237941, 0.251906, 0.264059, 0.288949, 0.313089, 0.329954, 0.337046, 0.349093, 0.361833, 0.386963, 0.426302, 0.452346, 0.477682, 0.511865, 0.526551, 0.554143, 0.589346, 0.63379, 0.675475");
-            values ( \
-              "-0.0712714, -0.0858865, -0.108459, -0.123604, -0.131065, -0.13694, -0.14026, -0.145526, -0.14863, -0.148279, -0.147312, -0.144277, -0.138818, -0.116125, -0.0675487, -0.0437174, -0.0277809, -0.0145367, -0.0108498, -0.0060884, -0.00258625, -0.00120048, -0.00101395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.173698, 0.200817, 0.220948, 0.235764, 0.250922, 0.271803, 0.297011, 0.3123, 0.32648, 0.344546, 0.364732, 0.386344, 0.409197, 0.450737, 0.466697, 0.481311, 0.496968, 0.528281, 0.579941, 0.615041, 0.639802, 0.656582, 0.690141, 0.711578, 0.726615, 0.750146, 0.783137, 0.82211, 0.874073, 0.940335, 1.0066, 1.07286, 1.27165");
-            values ( \
-              "-0.107659, -0.109169, -0.132153, -0.144293, -0.152909, -0.161565, -0.170764, -0.175137, -0.178036, -0.180171, -0.180854, -0.180076, -0.177968, -0.171139, -0.167283, -0.162967, -0.157166, -0.139946, -0.100685, -0.0763576, -0.0618917, -0.0533684, -0.0391748, -0.0319943, -0.0276934, -0.0220045, -0.0158372, -0.0106697, -0.00610966, -0.00276836, -0.0014506, -0.000895397, -0.000365198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.18566, 0.249346, 0.295547, 0.330446, 0.364235, 0.389354, 0.415738, 0.494309, 0.601599, 0.667861, 0.72178, 0.775609, 0.841872, 0.937189, 1.04707, 1.11183, 1.1662, 1.26365, 1.32991, 1.38685, 1.48807, 1.55433, 1.75312, 1.7929");
-            values ( \
-              "-0.150246, -0.161305, -0.18257, -0.193819, -0.199019, -0.200271, -0.200487, -0.197013, -0.188823, -0.182267, -0.175128, -0.164976, -0.145271, -0.106961, -0.0688491, -0.051751, -0.0403277, -0.0252724, -0.0182616, -0.0137124, -0.00817354, -0.00579494, -0.00199882, -0.00183876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.167981, 0.184213, 0.196154, 0.200947, 0.210481, 0.215888, 0.220704, 0.229773, 0.238664, 0.249056, 0.253793, 0.257312, 0.275021, 0.285529, 0.295052, 0.301453, 0.317492, 0.351114, 0.352274");
-            values ( \
-              "-0.00941522, -0.0244621, -0.0328176, -0.0353938, -0.0397485, -0.0411989, -0.0422365, -0.0435442, -0.0439915, -0.0421638, -0.0398187, -0.0366022, -0.0160032, -0.00829176, -0.00349429, -0.0026636, -0.00248768, -0.000841272, -0.00080912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.171125, 0.191431, 0.210227, 0.222867, 0.234579, 0.245802, 0.256872, 0.267937, 0.273791, 0.280324, 0.306482, 0.318485, 0.334776, 0.340261, 0.356243, 0.397915");
-            values ( \
-              "-0.019869, -0.0437965, -0.060528, -0.0676473, -0.0714434, -0.0733273, -0.0736877, -0.0708532, -0.0676477, -0.0604628, -0.0232514, -0.0132955, -0.00514012, -0.00343981, -0.00229291, -0.00149176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.174094, 0.193334, 0.205988, 0.226009, 0.243188, 0.250093, 0.263867, 0.278979, 0.290516, 0.297523, 0.306385, 0.322386, 0.34754, 0.360859, 0.376822, 0.385282, 0.404463, 0.415539, 0.430308, 0.455993, 0.533338");
-            values ( \
-              "-0.030045, -0.0591947, -0.0750947, -0.09388, -0.103481, -0.105739, -0.108435, -0.109374, -0.108934, -0.10776, -0.104854, -0.0908638, -0.051477, -0.0352536, -0.0214839, -0.0163504, -0.00836184, -0.00533157, -0.00274429, -0.00152998, -0.00087897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.181616, 0.204602, 0.222791, 0.240033, 0.252259, 0.266224, 0.278376, 0.303266, 0.327407, 0.344266, 0.351363, 0.363411, 0.376151, 0.40128, 0.440619, 0.466604, 0.492046, 0.526201, 0.540857, 0.568056, 0.602374, 0.646502, 0.689356");
-            values ( \
-              "-0.0688887, -0.0858971, -0.108455, -0.123591, -0.131066, -0.136941, -0.140258, -0.145524, -0.148626, -0.148284, -0.147307, -0.144283, -0.138812, -0.116131, -0.0675581, -0.0437713, -0.0277483, -0.014523, -0.0108601, -0.00615198, -0.00266919, -0.00121965, -0.00102409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.188295, 0.222041, 0.235275, 0.250197, 0.265397, 0.275216, 0.311426, 0.326693, 0.340853, 0.358963, 0.379111, 0.400675, 0.42357, 0.465109, 0.495686, 0.51134, 0.542649, 0.59432, 0.629426, 0.654161, 0.670923, 0.704447, 0.726026, 0.76464, 0.797573, 0.836369, 0.888098, 0.95436, 1.02062, 1.08688, 1.28567");
-            values ( \
-              "-0.102283, -0.118107, -0.132129, -0.14432, -0.152958, -0.157256, -0.170778, -0.175143, -0.178035, -0.180176, -0.180854, -0.180079, -0.177969, -0.17114, -0.162967, -0.157166, -0.13995, -0.10068, -0.0763494, -0.0618988, -0.0533833, -0.0391996, -0.0319715, -0.0219784, -0.0158276, -0.0106825, -0.00613404, -0.00278052, -0.0014541, -0.000898053, -0.000365256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.18792, 0.215371, 0.249801, 0.2636, 0.309714, 0.344603, 0.361963, 0.378396, 0.403543, 0.429913, 0.508441, 0.574487, 0.61576, 0.682022, 0.735941, 0.78977, 0.856033, 0.951364, 1.01672, 1.06121, 1.12596, 1.18035, 1.22642, 1.27782, 1.34408, 1.401, 1.50221, 1.56847, 1.63473, 1.76726, 1.89978, 2.16483");
-            values ( \
-              "-0.111138, -0.115432, -0.152091, -0.161354, -0.182574, -0.19382, -0.196938, -0.199021, -0.20027, -0.200489, -0.197017, -0.192357, -0.18882, -0.18227, -0.175131, -0.164973, -0.14529, -0.106939, -0.0830105, -0.0688394, -0.0517438, -0.0403424, -0.0324635, -0.0252586, -0.0182727, -0.0137012, -0.00816288, -0.00580702, -0.0040072, -0.00198761, -0.00106481, -0.000387802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.20314, 0.209054, 0.220474, 0.235596, 0.244501, 0.248685, 0.261052, 0.271108, 0.277646, 0.28224, 0.291285, 0.31222, 0.327146, 0.333748, 0.351673, 0.384153, 0.385088");
-            values ( \
-              "-0.0112862, -0.0150535, -0.0258486, -0.0353438, -0.0395651, -0.0407539, -0.043189, -0.0439915, -0.0436194, -0.0424048, -0.0376707, -0.0137168, -0.0044671, -0.00274967, -0.00254495, -0.000865706, -0.000845974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.206432, 0.21278, 0.229957, 0.244931, 0.251974, 0.257571, 0.269283, 0.280507, 0.291576, 0.302641, 0.308499, 0.315029, 0.341187, 0.353189, 0.36948, 0.374965, 0.390949, 0.438429");
-            values ( \
-              "-0.024248, -0.0269874, -0.0477704, -0.0605435, -0.0649305, -0.0676585, -0.0714333, -0.0733367, -0.0736797, -0.0708612, -0.0676459, -0.0604616, -0.0232507, -0.0132957, -0.00514023, -0.00343958, -0.00229275, -0.00137995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.209586, 0.218185, 0.228145, 0.240804, 0.250551, 0.260823, 0.277999, 0.281612, 0.294129, 0.309797, 0.325335, 0.332803, 0.341203, 0.357212, 0.382372, 0.395634, 0.411675, 0.420123, 0.439283, 0.450331, 0.465062, 0.490886, 0.569258");
-            values ( \
-              "-0.0359448, -0.0432061, -0.0590943, -0.0750328, -0.0852546, -0.0938294, -0.103537, -0.104728, -0.107781, -0.109284, -0.108927, -0.10765, -0.104853, -0.0908477, -0.051452, -0.0352957, -0.0214622, -0.0163361, -0.00836227, -0.00533848, -0.0027511, -0.00152762, -0.000868969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.217222, 0.23863, 0.257581, 0.273461, 0.287039, 0.30106, 0.313156, 0.338047, 0.362186, 0.379031, 0.386142, 0.39819, 0.41093, 0.43606, 0.475398, 0.491305, 0.526503, 0.560852, 0.585521, 0.605141, 0.644015, 0.689946, 0.713997");
-            values ( \
-              "-0.0751945, -0.0848648, -0.108455, -0.122587, -0.131053, -0.136973, -0.140239, -0.1455, -0.1486, -0.148313, -0.14728, -0.14431, -0.138786, -0.116158, -0.0675841, -0.052063, -0.0278808, -0.0145241, -0.00891267, -0.00587704, -0.00217992, -0.00121083, -0.001089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.217176, 0.235137, 0.256834, 0.269794, 0.284926, 0.292829, 0.310641, 0.332584, 0.346479, 0.361608, 0.375643, 0.393724, 0.413881, 0.435585, 0.458359, 0.499899, 0.530469, 0.546129, 0.577451, 0.629093, 0.66418, 0.688986, 0.705797, 0.739351, 0.775634, 0.799209, 0.832248, 0.871364, 0.923518, 0.989781, 1.05604, 1.12231, 1.32109");
-            values ( \
-              "-0.0789792, -0.0866168, -0.118207, -0.131799, -0.14435, -0.149156, -0.157518, -0.165998, -0.170867, -0.175174, -0.178037, -0.180173, -0.180854, -0.180071, -0.177969, -0.171139, -0.162969, -0.157166, -0.139941, -0.100693, -0.0763717, -0.0618794, -0.0533426, -0.0391572, -0.0277315, -0.0220257, -0.015845, -0.0106593, -0.0060899, -0.00275851, -0.00144777, -0.000893247, -0.000365148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.223995, 0.298846, 0.344757, 0.396968, 0.438579, 0.46495, 0.54348, 0.650797, 0.71706, 0.770978, 0.824808, 0.89107, 0.986402, 1.09625, 1.21538, 1.31285, 1.43604, 1.53725, 1.60351, 1.76833");
-            values ( \
-              "-0.106751, -0.161419, -0.182537, -0.196967, -0.200301, -0.200459, -0.196989, -0.188847, -0.182244, -0.175107, -0.164998, -0.145265, -0.106956, -0.0688526, -0.0403302, -0.0252699, -0.013711, -0.00817229, -0.00579757, -0.0026462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.286906, 0.294577, 0.297504, 0.305366, 0.317604, 0.326542, 0.339883, 0.349492, 0.35532, 0.36413, 0.369029, 0.373956, 0.386151, 0.395034, 0.407803, 0.414227, 0.423649, 0.432192, 0.473687, 0.486489");
-            values ( \
-              "-0.0177946, -0.0179234, -0.0214661, -0.0278607, -0.0354228, -0.0394641, -0.0426872, -0.0438529, -0.0439633, -0.0425541, -0.0407363, -0.03662, -0.0216874, -0.0131479, -0.00513704, -0.00291025, -0.0025801, -0.00258676, -0.000647712, -0.000385551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.289846, 0.298864, 0.311954, 0.326941, 0.334023, 0.339586, 0.351303, 0.362527, 0.373598, 0.384665, 0.390518, 0.397052, 0.423215, 0.435212, 0.451506, 0.456992, 0.472979, 0.519122");
-            values ( \
-              "-0.0311114, -0.0319391, -0.0477505, -0.0605447, -0.0648948, -0.0676598, -0.0713956, -0.0733448, -0.0736526, -0.0708716, -0.067643, -0.0604599, -0.0232475, -0.0132962, -0.00513968, -0.0034401, -0.00229349, -0.00140634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.292796, 0.306395, 0.310071, 0.322738, 0.342767, 0.360115, 0.366967, 0.380179, 0.388364, 0.398473, 0.407278, 0.422869, 0.427823, 0.433108, 0.44051, 0.462506, 0.470747, 0.481736, 0.497162, 0.508932, 0.517126, 0.527312, 0.540895, 0.563351, 0.717403");
-            values ( \
-              "-0.0417968, -0.0529817, -0.0592226, -0.0751021, -0.0938927, -0.103524, -0.105756, -0.10837, -0.109108, -0.109354, -0.108925, -0.104924, -0.102404, -0.0979602, -0.0884275, -0.0538483, -0.0429231, -0.0309951, -0.0190885, -0.0129152, -0.00971162, -0.00658598, -0.00357292, -0.00163501, -0.000246109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.296819, 0.315979, 0.339531, 0.355233, 0.36899, 0.383018, 0.395107, 0.419998, 0.444139, 0.460986, 0.468095, 0.480142, 0.492883, 0.518013, 0.557351, 0.572804, 0.601072, 0.622754, 0.64289, 0.657615, 0.685705, 0.722022, 0.766868, 0.946825");
-            values ( \
-              "-0.0620159, -0.0779207, -0.108465, -0.122431, -0.131071, -0.136951, -0.140261, -0.145522, -0.148622, -0.148287, -0.147302, -0.144285, -0.138808, -0.116135, -0.0675619, -0.0524901, -0.0318984, -0.0213403, -0.0145282, -0.0108621, -0.00603394, -0.00246014, -0.00120362, -0.000365305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.302672, 0.326619, 0.355436, 0.366813, 0.382602, 0.414411, 0.443064, 0.457466, 0.475278, 0.495122, 0.520916, 0.540181, 0.578711, 0.606699, 0.627944, 0.670434, 0.738475, 0.765859, 0.812161, 0.838736, 0.878239, 0.912775, 0.956098, 1.01386, 1.08012, 1.21265, 1.41144");
-            values ( \
-              "-0.0980902, -0.101925, -0.135457, -0.14426, -0.153412, -0.166019, -0.175067, -0.178046, -0.180132, -0.180863, -0.179816, -0.177971, -0.171755, -0.164704, -0.157167, -0.132086, -0.0812829, -0.0647024, -0.0426883, -0.0332441, -0.0226786, -0.0160808, -0.0103603, -0.0055288, -0.00248645, -0.000834212, -0.000362294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.313855, 0.366552, 0.403689, 0.461448, 0.495141, 0.546654, 0.625193, 0.691232, 0.798767, 0.852685, 0.906515, 0.972778, 1.17797, 1.29711, 1.39455, 1.51775, 1.68524, 1.76707");
-            values ( \
-              "-0.142973, -0.152035, -0.172673, -0.193902, -0.199073, -0.200538, -0.197063, -0.192402, -0.182314, -0.175173, -0.164932, -0.145315, -0.0688212, -0.0403541, -0.0252474, -0.0136896, -0.00581736, -0.00423648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.462804, 0.469616, 0.47638, 0.487466, 0.494408, 0.503136, 0.509894, 0.518483, 0.523316, 0.532231, 0.541145, 0.544237, 0.547387, 0.550925, 0.568628, 0.579903, 0.584255, 0.590057, 0.599562, 0.608084, 0.640694, 0.653978");
-            values ( \
-              "-0.0161943, -0.0162319, -0.0230873, -0.0312776, -0.0352146, -0.039241, -0.0411782, -0.0427949, -0.0434523, -0.0438207, -0.0424866, -0.0415306, -0.0397504, -0.0365392, -0.016013, -0.0078407, -0.00539526, -0.00316667, -0.0025219, -0.00265477, -0.00100444, -0.00056612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.466474, 0.479067, 0.488709, 0.496031, 0.503781, 0.509408, 0.513007, 0.516411, 0.522527, 0.52814, 0.539421, 0.550519, 0.561615, 0.564351, 0.56727, 0.573987, 0.586289, 0.593116, 0.596784, 0.60412, 0.608154, 0.613469, 0.6197, 0.629281, 0.634572, 0.638248, 0.645598, 0.674798, 0.70862, 0.728718, 0.752896");
-            values ( \
-              "-0.0277814, -0.0368489, -0.0473639, -0.0539498, -0.0602726, -0.0638398, -0.0657377, -0.067251, -0.0696002, -0.0710899, -0.0731366, -0.0734644, -0.0707581, -0.069548, -0.0676968, -0.0604127, -0.0411328, -0.0314618, -0.0269445, -0.019404, -0.0160882, -0.0124623, -0.00902068, -0.00481824, -0.00334874, -0.0028945, -0.00242183, -0.00202779, -0.00091805, -0.00046317, -0.000212876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.468388, 0.476514, 0.486614, 0.499365, 0.511372, 0.52193, 0.52717, 0.536585, 0.551491, 0.560494, 0.568433, 0.579807, 0.584023, 0.59183, 0.599903, 0.615929, 0.641078, 0.654376, 0.662893, 0.670372, 0.678828, 0.691229, 0.698003, 0.70907, 0.723826, 0.749768, 0.781032, 0.87136, 0.909165");
-            values ( \
-              "-0.041149, -0.0425787, -0.0585656, -0.0746247, -0.0866278, -0.095, -0.0982857, -0.102941, -0.107233, -0.108557, -0.109102, -0.109094, -0.108815, -0.107477, -0.104794, -0.0907968, -0.0514525, -0.0352623, -0.0271766, -0.0214767, -0.0163395, -0.0107207, -0.00836368, -0.00533543, -0.00274379, -0.00152858, -0.00151126, -0.000333406, -0.000185361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.47658, 0.498087, 0.518876, 0.534135, 0.545812, 0.559804, 0.571995, 0.596909, 0.621058, 0.637987, 0.64502, 0.657069, 0.669809, 0.69494, 0.734278, 0.750242, 0.785357, 0.799525, 0.819722, 0.844422, 0.864069, 0.903011, 0.94895, 0.997592");
-            values ( \
-              "-0.0799284, -0.0854591, -0.110672, -0.123584, -0.130766, -0.136687, -0.140079, -0.145432, -0.148579, -0.148265, -0.147279, -0.144281, -0.138794, -0.116138, -0.0675687, -0.0520309, -0.0279135, -0.021413, -0.014546, -0.00892901, -0.00585077, -0.00219311, -0.00119063, -0.000954828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.476896, 0.534756, 0.558887, 0.591443, 0.620153, 0.634522, 0.652398, 0.672403, 0.696656, 0.717245, 0.758804, 0.789229, 0.805017, 0.836592, 0.88766, 0.948598, 1.00839, 1.06644, 1.12193, 1.16175, 1.22801, 1.29427, 1.31643");
-            values ( \
-              "-0.0768173, -0.136932, -0.152676, -0.165843, -0.175009, -0.178011, -0.180111, -0.180855, -0.179902, -0.17797, -0.17112, -0.163008, -0.157165, -0.139769, -0.100934, -0.0614952, -0.0356047, -0.0202272, -0.0115861, -0.007642, -0.00355222, -0.00168617, -0.00147485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.48429, 0.516235, 0.530377, 0.557797, 0.590704, 0.620357, 0.637276, 0.65519, 0.672346, 0.696988, 0.723612, 0.75004, 0.802895, 0.909711, 0.993946, 1.02989, 1.08372, 1.14998, 1.2453, 1.31065, 1.35518, 1.41994, 1.47431, 1.52036, 1.57176, 1.63802, 1.69496, 1.72993, 1.79619, 1.86245, 1.92871, 2.06124, 2.26003, 2.45881");
-            values ( \
-              "-0.122974, -0.124299, -0.140282, -0.161174, -0.176888, -0.188666, -0.193406, -0.196925, -0.198924, -0.200348, -0.200402, -0.199694, -0.1969, -0.188903, -0.180079, -0.175053, -0.165051, -0.145198, -0.107013, -0.0829532, -0.0688935, -0.0517941, -0.0402861, -0.0324141, -0.0253116, -0.0182225, -0.0137474, -0.0114998, -0.00820769, -0.00576074, -0.00405191, -0.00203202, -0.000818461, -0.000430681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.841983, 0.850339, 0.853998, 0.861316, 0.867604, 0.880749, 0.885257, 0.894876, 0.904021, 0.912992, 0.923304, 0.927617, 0.931765, 0.945674, 0.952848, 0.965902, 0.972528, 0.990409, 1.02896, 1.03877");
-            values ( \
-              "-0.0144813, -0.0161547, -0.0203023, -0.0262814, -0.0305407, -0.0375652, -0.0392875, -0.0417675, -0.0431354, -0.0436426, -0.0419435, -0.0400039, -0.0364122, -0.0197841, -0.0131575, -0.00500901, -0.00285689, -0.00257574, -0.000726549, -0.000500457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.845151, 0.855411, 0.858975, 0.868884, 0.884201, 0.89142, 0.897017, 0.908853, 0.920165, 0.931311, 0.942452, 0.948003, 0.954909, 0.973105, 0.984939, 0.994326, 1.01021, 1.01554, 1.02669, 1.05593, 1.08977");
-            values ( \
-              "-0.0262194, -0.0302316, -0.0356244, -0.0463832, -0.0595765, -0.0640703, -0.0669038, -0.0707733, -0.0728185, -0.0731954, -0.0705015, -0.0675734, -0.060177, -0.0326007, -0.0194746, -0.0125006, -0.00481814, -0.00334562, -0.00242655, -0.00202004, -0.00104298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.848484, 0.863025, 0.879634, 0.899953, 0.917602, 0.928232, 0.941492, 0.949254, 0.960548, 0.964892, 0.972721, 0.980807, 0.996867, 1.02201, 1.03539, 1.05128, 1.05976, 1.07712, 1.09009, 1.10491, 1.13098, 1.27378");
-            values ( \
-              "-0.0388781, -0.0511799, -0.0736419, -0.0929089, -0.102818, -0.106054, -0.108187, -0.108744, -0.108778, -0.108522, -0.107231, -0.1046, -0.0906858, -0.0514519, -0.0351856, -0.0215014, -0.016353, -0.00897111, -0.00531897, -0.00273241, -0.00152847, -0.00025005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.857006, 0.878449, 0.89691, 0.914263, 0.926605, 0.940666, 0.952859, 0.977836, 1.00202, 1.01891, 1.02601, 1.03806, 1.05081, 1.07595, 1.11529, 1.13127, 1.16636, 1.18054, 1.20074, 1.23852, 1.26029, 1.30254, 1.35358");
-            values ( \
-              "-0.081529, -0.08436, -0.107356, -0.122635, -0.130281, -0.136225, -0.139656, -0.145156, -0.148423, -0.148147, -0.14719, -0.144197, -0.138745, -0.116102, -0.0675647, -0.0520155, -0.0279213, -0.0214103, -0.014551, -0.00677865, -0.00409191, -0.00150009, -0.00122296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.85696, 0.909343, 0.932553, 0.972314, 1.00162, 1.03354, 1.05383, 1.07464, 1.09819, 1.13974, 1.17032, 1.18597, 1.21579, 1.27721, 1.33385, 1.38959, 1.43119, 1.46816, 1.50853, 1.53985, 1.60249, 1.66875, 1.7228");
-            values ( \
-              "-0.074219, -0.13108, -0.148473, -0.165576, -0.175026, -0.180073, -0.180783, -0.180072, -0.177936, -0.171128, -0.162961, -0.157164, -0.140845, -0.0947191, -0.0593061, -0.0355929, -0.0238355, -0.0164927, -0.0109725, -0.00791588, -0.00387748, -0.00180227, -0.00135299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.863864, 0.942428, 0.992315, 1.00675, 1.03893, 1.07915, 1.10518, 1.13101, 1.20976, 1.31295, 1.37491, 1.4095, 1.46469, 1.53095, 1.62629, 1.73613, 1.80087, 1.85526, 1.90135, 1.95274, 2.019, 2.07592, 2.17713, 2.24339, 2.30965, 2.44218, 2.53462");
-            values ( \
-              "-0.106648, -0.16298, -0.185259, -0.190208, -0.197218, -0.200312, -0.200367, -0.199661, -0.195211, -0.186819, -0.180059, -0.175273, -0.165035, -0.14523, -0.10698, -0.0688752, -0.0517789, -0.0403108, -0.0324322, -0.0252877, -0.0182429, -0.0137269, -0.00818799, -0.0057825, -0.00403179, -0.00201144, -0.00163918" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00163697", \
-            "0.00182186", \
-            "0.00192641", \
-            "0.00198497", \
-            "0.00201904", \
-            "0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00214331", \
-            "0.00226562", \
-            "0.00234712", \
-            "0.00249994", \
-            "0.00280111", \
-            "0.00342604" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.182369, 0.196958, 0.225499, 0.282804, 0.399972, 0.643034", \
-            "0.187214, 0.201803, 0.230342, 0.287649, 0.404801, 0.647872", \
-            "0.199556, 0.214151, 0.242664, 0.299972, 0.417124, 0.660195", \
-            "0.230014, 0.244594, 0.273138, 0.330448, 0.447632, 0.6907", \
-            "0.295458, 0.310007, 0.338566, 0.39584, 0.513062, 0.756164", \
-            "0.404418, 0.419113, 0.447727, 0.505123, 0.62239, 0.865629" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0547126, 0.0717687, 0.109525, 0.190232, 0.360818, 0.719328", \
-            "0.0547184, 0.071794, 0.109524, 0.190231, 0.360811, 0.719328", \
-            "0.054709, 0.0717675, 0.109524, 0.190233, 0.360819, 0.719328", \
-            "0.0547339, 0.0717843, 0.109534, 0.190243, 0.360815, 0.719327", \
-            "0.0551284, 0.0721227, 0.109672, 0.190268, 0.360809, 0.719323", \
-            "0.0566227, 0.0732418, 0.110364, 0.190529, 0.360803, 0.719384" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.140567, 0.145205, 0.149893, 0.155911, 0.160715, 0.165528, 0.173489, 0.179222, 0.181881, 0.1872, 0.195404, 0.204783, 0.218837, 0.223194, 0.234056, 0.241317, 0.243892, 0.25515, 0.27884, 0.288179, 0.302252, 0.338582, 0.392174");
-            values ( \
-              "0.012258, 0.0213199, 0.0284817, 0.0359777, 0.0407195, 0.0443584, 0.0481265, 0.0492304, 0.049154, 0.048066, 0.0446893, 0.0414934, 0.0260965, 0.0222689, 0.0146124, 0.010964, 0.0109749, 0.00947489, 0.00420789, 0.00285043, 0.00156879, 0.000300892, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.140486, 0.147935, 0.157358, 0.166119, 0.173083, 0.177836, 0.186516, 0.193803, 0.200181, 0.215749, 0.221363, 0.249847, 0.264361, 0.277492, 0.313214, 0.340565, 0.372346, 0.387407");
-            values ( \
-              "0.00657472, 0.0371117, 0.0562836, 0.0681659, 0.0740343, 0.076539, 0.0785824, 0.0781791, 0.0762559, 0.069678, 0.0661393, 0.0353913, 0.0240184, 0.0161699, 0.00845884, 0.00399143, 0.00150155, 0.00119076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.148041, 0.159331, 0.169149, 0.175272, 0.180354, 0.1857, 0.193645, 0.201182, 0.216587, 0.219524, 0.241354, 0.250486, 0.265367, 0.270029, 0.279352, 0.291777, 0.30241, 0.314516, 0.329974, 0.338925, 0.349786, 0.360937, 0.374007, 0.390371, 0.404356, 0.422685, 0.447003, 0.468646, 0.499766, 0.536857, 0.590883");
-            values ( \
-              "0.0730775, 0.0776086, 0.0947046, 0.101708, 0.105704, 0.10839, 0.110354, 0.110449, 0.107582, 0.106663, 0.0979178, 0.0932533, 0.0843089, 0.0785269, 0.0705377, 0.0587002, 0.0502102, 0.0414658, 0.0319028, 0.0270914, 0.0220068, 0.0182275, 0.0151695, 0.0121114, 0.00989499, 0.00727792, 0.00469374, 0.00315229, 0.0016375, 0.000794803, 0.000195561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.147971, 0.178404, 0.189971, 0.199694, 0.20874, 0.221657, 0.234763, 0.261777, 0.293145, 0.320781, 0.34307, 0.406686, 0.439667, 0.480344, 0.500785, 0.528491, 0.580265, 0.613768, 0.687493, 0.777872");
-            values ( \
-              "0.0724791, 0.125868, 0.13438, 0.137297, 0.138035, 0.136956, 0.134713, 0.128618, 0.120407, 0.111903, 0.101067, 0.0639443, 0.0476866, 0.0320771, 0.0256548, 0.0193488, 0.0118865, 0.00862549, 0.00392074, 0.00146572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.152099, 0.188062, 0.206288, 0.222515, 0.2716, 0.353793, 0.407647, 0.465618, 0.690634, 0.766904, 0.855189, 0.947801, 1.0101, 1.08565, 1.23373, 1.27582");
-            values ( \
-              "0.111562, 0.148883, 0.156379, 0.157484, 0.152704, 0.142241, 0.133782, 0.122569, 0.053491, 0.0362953, 0.0222576, 0.0135819, 0.00965529, 0.00633145, 0.00264998, 0.00212642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.161827, 0.161847, 0.345184, 0.441848, 0.594815, 0.650731, 0.735929, 0.766769, 0.826812, 0.898348, 1.06525, 1.14752, 1.2154, 1.3006, 1.37129, 1.44361, 1.5288, 1.57021, 1.65304, 1.73001, 1.81521, 1.91658, 2.05733, 2.22773, 2.39812, 2.56852, 2.90931");
-            values ( \
-              "1e-22, 0.175031, 0.164138, 0.157355, 0.145016, 0.140014, 0.13129, 0.127729, 0.119069, 0.107664, 0.0791961, 0.0662285, 0.0565238, 0.0457324, 0.0380669, 0.0312868, 0.0246834, 0.0220004, 0.0174744, 0.0140889, 0.0110832, 0.00827502, 0.00548581, 0.00329986, 0.00196599, 0.00116061, 0.000389292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.156349, 0.165374, 0.171389, 0.176186, 0.181003, 0.188977, 0.190237, 0.194656, 0.197355, 0.202753, 0.221475, 0.223334, 0.233546, 0.237683, 0.245359, 0.253112, 0.25794, 0.25929, 0.267391, 0.285626, 0.291833, 0.300513, 0.311415, 0.324845, 0.343624, 0.367592, 0.423995");
-            values ( \
-              "0.0145141, 0.028507, 0.0359936, 0.0407237, 0.0443673, 0.0481369, 0.0485208, 0.0492452, 0.0491439, 0.0480535, 0.0408285, 0.038102, 0.0267395, 0.0231312, 0.0173481, 0.0125713, 0.0108095, 0.0110206, 0.0100902, 0.00595341, 0.00472615, 0.00331662, 0.00212044, 0.0012431, 0.000559344, 0.000243035, 5.79904e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.156354, 0.163513, 0.167168, 0.173008, 0.181726, 0.188641, 0.19331, 0.197382, 0.202222, 0.208675, 0.21352, 0.231295, 0.242789, 0.245843, 0.255902, 0.26697, 0.27472, 0.288143, 0.292902, 0.29834, 0.332759, 0.349732, 0.371955, 0.395659, 0.427669, 0.462991");
-            values ( \
-              "0.00831925, 0.0372073, 0.0457165, 0.0564182, 0.0682094, 0.0740404, 0.0765105, 0.077848, 0.0785965, 0.0782913, 0.077072, 0.0696034, 0.0612859, 0.0568484, 0.0449439, 0.0339625, 0.0276709, 0.0185499, 0.0162389, 0.014633, 0.00763926, 0.00481416, 0.00249527, 0.00123727, 0.000405486, 0.000173576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.15994, 0.167426, 0.174834, 0.180168, 0.18465, 0.190763, 0.195844, 0.201199, 0.209144, 0.21668, 0.232085, 0.235027, 0.256852, 0.265984, 0.280867, 0.285527, 0.294847, 0.307275, 0.317909, 0.330017, 0.34548, 0.35442, 0.365272, 0.376426, 0.389498, 0.405883, 0.419863, 0.438195, 0.462477, 0.484117, 0.515232, 0.552321, 0.606324");
-            values ( \
-              "0.0313798, 0.0596089, 0.0776718, 0.0877963, 0.0947491, 0.101683, 0.105729, 0.108376, 0.110377, 0.110435, 0.1076, 0.106662, 0.0979186, 0.093253, 0.0843086, 0.0785265, 0.0705404, 0.0587002, 0.0502088, 0.0414644, 0.0318981, 0.0270935, 0.0220126, 0.0182288, 0.0151719, 0.0121099, 0.00989266, 0.00727732, 0.00469683, 0.00315295, 0.00163956, 0.000794378, 0.000196849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.163787, 0.172511, 0.184505, 0.1916, 0.197994, 0.205584, 0.215256, 0.22426, 0.237175, 0.250281, 0.270667, 0.31484, 0.325175, 0.336299, 0.358548, 0.422205, 0.461361, 0.484424, 0.512166, 0.542652, 0.581965, 0.614438, 0.641302, 0.678631, 0.718443, 0.767401, 0.827394, 0.904169");
-            values ( \
-              "0.0777404, 0.0853947, 0.112724, 0.123172, 0.129654, 0.134373, 0.13738, 0.137974, 0.137025, 0.134647, 0.130148, 0.118682, 0.114934, 0.111959, 0.10103, 0.0640129, 0.0450431, 0.0359786, 0.0269069, 0.0195003, 0.0135777, 0.00993599, 0.00760317, 0.00514751, 0.00335394, 0.0018912, 0.000951532, 0.000344346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.167784, 0.185608, 0.196313, 0.206821, 0.221569, 0.228648, 0.242805, 0.291861, 0.350901, 0.369071, 0.422918, 0.48089, 0.524049, 0.644079, 0.705904, 0.750363, 0.782178, 0.819972, 0.870462, 0.886959, 0.919953, 0.963074, 1.02537, 1.10093, 1.16783, 1.24901, 1.3342, 1.5046, 1.5898");
-            values ( \
-              "0.117316, 0.126484, 0.142484, 0.151149, 0.156413, 0.157165, 0.157222, 0.152179, 0.144849, 0.142209, 0.13375, 0.122533, 0.110189, 0.0710269, 0.0534562, 0.0428816, 0.0363301, 0.0294734, 0.0222924, 0.020361, 0.0171019, 0.013547, 0.00968993, 0.00636601, 0.00428063, 0.00268456, 0.00155566, 0.000524186, 0.000355418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.163428, 0.182588, 0.196914, 0.204165, 0.212984, 0.220921, 0.236794, 0.251979, 0.299913, 0.360204, 0.456865, 0.517112, 0.609838, 0.665752, 0.750949, 0.78179, 0.841832, 0.913368, 1.08027, 1.16254, 1.23042, 1.31562, 1.38631, 1.45863, 1.54382, 1.58523, 1.66806, 1.74503, 1.83023, 1.9316, 2.07235, 2.24275, 2.41314, 2.58354, 2.92433");
-            values ( \
-              "0.0657546, 0.12718, 0.151721, 0.158722, 0.164157, 0.166906, 0.169363, 0.169418, 0.167389, 0.164136, 0.157353, 0.15269, 0.145014, 0.140016, 0.131287, 0.127732, 0.119066, 0.107667, 0.0791932, 0.0662255, 0.056527, 0.0457293, 0.0380637, 0.03129, 0.0246802, 0.0220037, 0.0174711, 0.0140922, 0.0110799, 0.00827832, 0.00548917, 0.00330323, 0.00196937, 0.00116399, 0.000392661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.191229, 0.198392, 0.209093, 0.214008, 0.225769, 0.229734, 0.235053, 0.242603, 0.254394, 0.25975, 0.267593, 0.275932, 0.286344, 0.293831, 0.300159, 0.308746, 0.329328, 0.338156, 0.349187, 0.381356, 0.401025");
-            values ( \
-              "0.000523838, 0.0212111, 0.0359001, 0.0409182, 0.0479122, 0.0489399, 0.0490809, 0.0473502, 0.0429582, 0.0402179, 0.0306834, 0.0226423, 0.0151994, 0.0111518, 0.0107468, 0.00942906, 0.00480893, 0.00327821, 0.00207412, 0.00052112, 0.000336219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.194695, 0.201376, 0.204969, 0.211009, 0.219646, 0.226503, 0.231183, 0.235241, 0.240107, 0.247234, 0.257222, 0.269184, 0.273477, 0.281626, 0.29444, 0.297382, 0.305618, 0.312978, 0.326044, 0.330434, 0.335452, 0.373086, 0.384893, 0.397686, 0.414745, 0.441101, 0.473787, 0.509625");
-            values ( \
-              "0.0114324, 0.0372947, 0.0454895, 0.0565822, 0.0682263, 0.0740393, 0.076514, 0.0778445, 0.0785966, 0.0781663, 0.0747732, 0.0695412, 0.0669967, 0.0601383, 0.0434103, 0.0413788, 0.0332377, 0.0273861, 0.0185408, 0.016357, 0.0148174, 0.00716481, 0.00525444, 0.00364547, 0.00212937, 0.000977107, 0.000299853, 0.00014486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.20214, 0.218062, 0.222546, 0.228661, 0.233747, 0.239095, 0.247039, 0.254576, 0.269981, 0.276067, 0.294748, 0.30388, 0.31876, 0.323423, 0.332748, 0.345176, 0.355794, 0.367892, 0.383328, 0.392332, 0.403252, 0.41438, 0.427453, 0.443662, 0.457686, 0.475992, 0.500562, 0.52224, 0.553335, 0.590498, 0.644661");
-            values ( \
-              "0.0745194, 0.0877411, 0.0947972, 0.101642, 0.105773, 0.10834, 0.11041, 0.110403, 0.107631, 0.105527, 0.0979174, 0.0932542, 0.08431, 0.0785276, 0.0705353, 0.0586966, 0.050218, 0.041478, 0.0319255, 0.0270856, 0.0219781, 0.0182138, 0.0151597, 0.0121301, 0.00990401, 0.00728977, 0.00468028, 0.00313865, 0.00163298, 0.000789385, 0.0001949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.202003, 0.231037, 0.243816, 0.253264, 0.262062, 0.274977, 0.308498, 0.343554, 0.374102, 0.395534, 0.452289, 0.486722, 0.514374, 0.539406, 0.558467, 0.583703, 0.631303, 0.66589, 0.707608, 0.743031, 0.844151, 0.856902");
-            values ( \
-              "0.0774871, 0.12506, 0.134563, 0.13741, 0.137964, 0.137027, 0.130146, 0.121153, 0.111935, 0.101488, 0.0682251, 0.0506222, 0.0388646, 0.0301482, 0.0245114, 0.0189947, 0.0121696, 0.00871213, 0.0056789, 0.00384662, 0.0011883, 0.00110407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.206132, 0.223099, 0.234947, 0.24311, 0.251621, 0.259499, 0.275256, 0.291075, 0.339817, 0.380715, 0.405839, 0.460862, 0.51885, 0.559957, 0.584601, 0.667856, 0.724302, 0.781238, 0.816531, 0.838417, 0.88219, 0.915853, 0.940388, 0.973102, 1.0352, 1.0869, 1.16317, 1.23435, 1.31954, 1.40474, 1.48994, 1.66033");
-            values ( \
-              "0.123909, 0.12563, 0.143215, 0.150087, 0.154345, 0.156323, 0.157487, 0.156392, 0.150969, 0.1459, 0.142412, 0.133798, 0.12261, 0.110733, 0.10306, 0.0754455, 0.0587195, 0.0443879, 0.0369809, 0.0328505, 0.0257624, 0.021394, 0.0187544, 0.0157745, 0.0112641, 0.0084835, 0.00551352, 0.00365878, 0.00217388, 0.00131135, 0.000756261, 0.000254522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.216316, 0.216336, 0.555528, 0.704033, 0.78923, 0.820071, 0.880113, 0.951649, 1.11855, 1.20082, 1.2687, 1.3539, 1.42459, 1.49691, 1.58211, 1.62352, 1.70634, 1.78331, 1.86851, 1.96988, 2.11063, 2.28103, 2.45142, 2.62182, 2.96261");
-            values ( \
-              "1e-22, 0.177437, 0.152681, 0.140014, 0.131289, 0.12773, 0.119068, 0.107665, 0.0791955, 0.066228, 0.0565244, 0.045732, 0.0380666, 0.0312871, 0.0246831, 0.0220007, 0.0174741, 0.0140892, 0.0110828, 0.00827513, 0.00548601, 0.00330007, 0.00196619, 0.00116079, 0.000389389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.285495, 0.294897, 0.305749, 0.310579, 0.318539, 0.32432, 0.326948, 0.3309, 0.342548, 0.345755, 0.349155, 0.352919, 0.365364, 0.371976, 0.385174, 0.397149, 0.421325, 0.430057, 0.441115, 0.454577, 0.473434, 0.49749, 0.553989");
-            values ( \
-              "0.0121137, 0.0283305, 0.0406264, 0.0442833, 0.0480814, 0.0492066, 0.0491157, 0.048465, 0.0437617, 0.0432982, 0.0417184, 0.0385387, 0.0248027, 0.0194085, 0.0112508, 0.0100163, 0.00474085, 0.00332946, 0.00211777, 0.00123044, 0.000560678, 0.000234105, 5.10162e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.285644, 0.293288, 0.298774, 0.306124, 0.311137, 0.318043, 0.323931, 0.328063, 0.332696, 0.339087, 0.345619, 0.361038, 0.366835, 0.373489, 0.384726, 0.395202, 0.40984, 0.422687, 0.430334, 0.458496, 0.468992, 0.485853, 0.517636, 0.548722, 0.582889");
-            values ( \
-              "0.00648272, 0.0374277, 0.0490704, 0.0613164, 0.0676161, 0.0737628, 0.0767979, 0.0780192, 0.0785997, 0.0781522, 0.0761769, 0.0696957, 0.0659937, 0.0597874, 0.0454812, 0.0353353, 0.0238958, 0.0162074, 0.0140905, 0.00846067, 0.00648343, 0.00399125, 0.00150092, 0.000603702, 0.000158437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.29311, 0.304523, 0.314363, 0.320485, 0.325558, 0.33093, 0.338881, 0.346418, 0.361828, 0.364736, 0.386594, 0.395727, 0.410486, 0.415268, 0.424834, 0.437259, 0.458814, 0.473154, 0.490836, 0.498681, 0.513498, 0.535502, 0.549796, 0.566216, 0.57602, 0.595628, 0.6186, 0.649233, 0.688083, 0.743484");
-            values ( \
-              "0.0717092, 0.0773563, 0.0945486, 0.101599, 0.105598, 0.108335, 0.110306, 0.110429, 0.107563, 0.106663, 0.0979158, 0.0932509, 0.0844117, 0.0785214, 0.0703195, 0.0584867, 0.0421041, 0.0330755, 0.0239045, 0.0205835, 0.0163858, 0.0121856, 0.00980027, 0.00755558, 0.00631622, 0.00446445, 0.00281477, 0.00156157, 0.000642308, 0.000221049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.293051, 0.321097, 0.327721, 0.336571, 0.3455, 0.353996, 0.366915, 0.400284, 0.442427, 0.466041, 0.488637, 0.54065, 0.567002, 0.610442, 0.634143, 0.661229, 0.682778, 0.709807, 0.764965, 0.807654, 0.848934, 0.898549, 0.958866, 1.03628");
-            values ( \
-              "0.0704137, 0.122818, 0.129554, 0.134794, 0.137384, 0.137904, 0.137008, 0.130186, 0.119353, 0.111858, 0.100936, 0.0701764, 0.0562091, 0.0373341, 0.0292605, 0.0218292, 0.0177279, 0.0137836, 0.00807535, 0.00522643, 0.00328066, 0.00189841, 0.000888999, 0.000374164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.297077, 0.333298, 0.342864, 0.351527, 0.367772, 0.383345, 0.416714, 0.499036, 0.552885, 0.610856, 0.676646, 0.774045, 0.835871, 0.912143, 0.949937, 1.00043, 1.04992, 1.09304, 1.15533, 1.23089, 1.29778, 1.37897, 1.46417, 1.51127");
-            values ( \
-              "0.10839, 0.148835, 0.154064, 0.15636, 0.157475, 0.156379, 0.152722, 0.142241, 0.133781, 0.122567, 0.103012, 0.0710609, 0.0534901, 0.0362964, 0.0295072, 0.0222588, 0.0170684, 0.0135807, 0.0096565, 0.00633263, 0.0043145, 0.00265109, 0.001589, 0.00135556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.306731, 0.306751, 0.490404, 0.58707, 0.740035, 0.795953, 0.88115, 0.911991, 0.972033, 1.04357, 1.21047, 1.29274, 1.36062, 1.44582, 1.51651, 1.58883, 1.67403, 1.71544, 1.79826, 1.87523, 1.96043, 2.0618, 2.20255, 2.37295, 2.54334, 2.71374, 3.05453");
-            values ( \
-              "1e-22, 0.174382, 0.164137, 0.157352, 0.145013, 0.140017, 0.131286, 0.127733, 0.119065, 0.107668, 0.079192, 0.0662244, 0.0565281, 0.0457281, 0.0380626, 0.0312911, 0.024679, 0.0220048, 0.01747, 0.0140933, 0.0110787, 0.00827949, 0.00549032, 0.00330437, 0.0019705, 0.00116512, 0.000393779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.494927, 0.501986, 0.513443, 0.523401, 0.531941, 0.535402, 0.540001, 0.547605, 0.559212, 0.564129, 0.575899, 0.580767, 0.594845, 0.600496, 0.602064, 0.611358, 0.633992, 0.643011, 0.65472, 0.668389, 0.687582, 0.712186, 0.769186");
-            values ( \
-              "0.00302557, 0.019037, 0.0343693, 0.0432794, 0.0474848, 0.0483704, 0.0486698, 0.0469419, 0.0428839, 0.0407306, 0.0271842, 0.0229104, 0.0131055, 0.0108573, 0.0110283, 0.00987663, 0.00480821, 0.003394, 0.00211068, 0.00117208, 0.000566845, 0.000193798, 2.17982e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.498315, 0.508812, 0.515009, 0.523982, 0.530787, 0.536232, 0.541586, 0.548082, 0.552013, 0.559874, 0.574032, 0.585459, 0.599365, 0.610145, 0.621972, 0.631601, 0.636704, 0.644463, 0.682, 0.709407, 0.730522, 0.760434, 0.794764");
-            values ( \
-              "0.0158367, 0.0423697, 0.0537365, 0.0662801, 0.0725638, 0.075684, 0.0774377, 0.0780951, 0.0777346, 0.0753825, 0.0694843, 0.0613414, 0.0441598, 0.0335738, 0.0244387, 0.0181669, 0.0158777, 0.0138322, 0.00644201, 0.00293953, 0.00155525, 0.000583414, 0.000213379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.502227, 0.521895, 0.533951, 0.543909, 0.551912, 0.55948, 0.575125, 0.580165, 0.603149, 0.617842, 0.651859, 0.673691, 0.700761, 0.719124, 0.762292, 0.806948, 0.857634");
-            values ( \
-              "0.0421168, 0.0842903, 0.10085, 0.107549, 0.109686, 0.1101, 0.107321, 0.1057, 0.0962718, 0.0888186, 0.0573535, 0.0409483, 0.0253562, 0.0182179, 0.00990139, 0.00461176, 0.00177263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.5056, 0.514448, 0.525701, 0.531668, 0.540639, 0.548335, 0.557814, 0.567079, 0.580045, 0.593144, 0.612963, 0.654207, 0.679113, 0.694846, 0.713505, 0.757392, 0.788005, 0.8184, 0.827164, 0.848353, 0.87257, 0.89583, 0.923931, 0.9614, 0.984308, 1.01764, 1.06031, 1.10919, 1.16967, 1.24527");
-            values ( \
-              "0.0803172, 0.0805637, 0.107551, 0.117743, 0.128108, 0.133378, 0.136675, 0.137601, 0.136792, 0.134563, 0.130233, 0.119682, 0.111731, 0.104545, 0.0940713, 0.0681949, 0.0523892, 0.0392501, 0.0359923, 0.028896, 0.0222644, 0.0177662, 0.0136797, 0.00956442, 0.00760751, 0.00537845, 0.00336661, 0.00192738, 0.000937202, 0.000369046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.509941, 0.546537, 0.564774, 0.580344, 0.629862, 0.712334, 0.766182, 0.824152, 1.04916, 1.12544, 1.21372, 1.30633, 1.36863, 1.44419, 1.59231, 1.6253");
-            values ( \
-              "0.110179, 0.147753, 0.155895, 0.157271, 0.152735, 0.142249, 0.133784, 0.122567, 0.0534914, 0.0362956, 0.0222584, 0.013581, 0.00965626, 0.00633234, 0.00265019, 0.00223919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.5187, 0.51872, 0.688992, 0.757485, 0.856112, 0.953248, 1.00922, 1.09442, 1.12526, 1.1853, 1.25684, 1.42374, 1.50601, 1.57389, 1.65909, 1.72978, 1.8021, 1.8873, 1.92871, 2.01153, 2.0885, 2.1737, 2.27507, 2.41582, 2.58622, 2.75661, 2.92701, 3.2678");
-            values ( \
-              "1e-22, 0.171766, 0.164987, 0.160515, 0.153047, 0.145022, 0.140014, 0.131289, 0.12773, 0.119068, 0.107665, 0.0791947, 0.0662269, 0.0565256, 0.0457308, 0.0380652, 0.0312886, 0.0246817, 0.0220022, 0.0174727, 0.0140907, 0.0110814, 0.00827669, 0.00548751, 0.00330155, 0.00196765, 0.00116224, 0.00039084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.956239, 0.961842, 0.97548, 0.985832, 0.990266, 0.995553, 0.999982, 1.00395, 1.01189, 1.02114, 1.02739, 1.04168, 1.04604, 1.05695, 1.06403, 1.06685, 1.07249, 1.07873, 1.09869, 1.11151, 1.13342, 1.17854, 1.20569");
-            values ( \
-              "0.0126596, 0.0151344, 0.0303052, 0.0396568, 0.0426029, 0.0451627, 0.0465448, 0.0470109, 0.0457288, 0.0431112, 0.041015, 0.0259004, 0.0221371, 0.0145225, 0.0110634, 0.0110639, 0.0104093, 0.00936897, 0.00474069, 0.00279682, 0.00108763, 0.000113906, 0.000168637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.959992, 0.968109, 0.980937, 0.994067, 0.999739, 1.00536, 1.01319, 1.02142, 1.04424, 1.07346, 1.09522, 1.10101, 1.14192, 1.16756, 1.18551, 1.21324, 1.21325");
-            values ( \
-              "0.0300067, 0.0328437, 0.0536373, 0.0688021, 0.0726196, 0.075012, 0.0763102, 0.0751531, 0.0662131, 0.0345236, 0.0186279, 0.0159045, 0.00733071, 0.00352107, 0.0021022, 0.000823356, 0.000823235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.964087, 0.978717, 0.996026, 1.00735, 1.01564, 1.02326, 1.03819, 1.04429, 1.06689, 1.0851, 1.11604, 1.13656, 1.16754, 1.19058, 1.23079, 1.27422, 1.32895, 1.33103");
-            values ( \
-              "0.0495218, 0.0663537, 0.0950607, 0.104392, 0.107541, 0.108449, 0.106725, 0.104966, 0.0961898, 0.0864715, 0.0572105, 0.0418155, 0.0240998, 0.0163945, 0.00930764, 0.0043543, 0.00146777, 0.00143972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.968842, 0.986043, 0.994034, 1.00434, 1.01219, 1.02192, 1.03128, 1.04441, 1.05751, 1.0846, 1.11795, 1.14363, 1.17793, 1.23458, 1.26192, 1.30306, 1.32354, 1.35128, 1.37976, 1.43662, 1.47482, 1.51028, 1.55567, 1.60985, 1.61689");
-            values ( \
-              "0.0811689, 0.095174, 0.11061, 0.124133, 0.130462, 0.134681, 0.136278, 0.135957, 0.134086, 0.12843, 0.119856, 0.11183, 0.0941192, 0.0613016, 0.0479782, 0.032089, 0.0257017, 0.0193278, 0.0148149, 0.00859863, 0.00581747, 0.00394643, 0.00238766, 0.00124519, 0.00119602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.973582, 0.998576, 1.01037, 1.02016, 1.02874, 1.04447, 1.06054, 1.07656, 1.10863, 1.15136, 1.17656, 1.21586, 1.26357, 1.28834, 1.3181, 1.44414, 1.47508, 1.51058, 1.55686, 1.59151, 1.62859, 1.67846, 1.72638, 1.76987, 1.83247, 1.90907, 1.97601, 2.05946, 2.14465, 2.22985, 2.40025");
-            values ( \
-              "0.114102, 0.130245, 0.144501, 0.151132, 0.154262, 0.156373, 0.155815, 0.154411, 0.151008, 0.14578, 0.142259, 0.136188, 0.127716, 0.122382, 0.114345, 0.0733516, 0.0640197, 0.0542073, 0.0430622, 0.0359308, 0.0293183, 0.022192, 0.0171588, 0.0136332, 0.00967063, 0.00630202, 0.00430197, 0.00259634, 0.00156595, 0.000909071, 0.000309221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.980024, 1.02047, 1.02857, 1.04427, 1.05978, 1.09238, 1.13891, 1.19363, 1.26485, 1.36636, 1.47376, 1.55895, 1.5898, 1.64985, 1.72138, 1.88828, 1.97055, 2.03843, 2.12363, 2.19432, 2.26664, 2.35184, 2.39325, 2.47607, 2.55304, 2.63824, 2.73961, 2.88036, 3.05075, 3.22115, 3.47674, 3.73233");
-            values ( \
-              "0.158204, 0.161042, 0.164695, 0.168164, 0.168771, 0.167985, 0.165847, 0.162462, 0.15732, 0.149333, 0.140037, 0.131268, 0.127753, 0.119045, 0.107689, 0.079169, 0.0662003, 0.0565527, 0.0457037, 0.0380372, 0.0313169, 0.0246532, 0.022031, 0.0174438, 0.0141198, 0.0110522, 0.00830714, 0.00551822, 0.00333237, 0.00199859, 0.000912026, 0.000422087" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00164105, 0.00164107, 0.00164108, 0.00164109, 0.0016411, 0.0016411", \
-            "0.00182822, 0.00182823, 0.00182823, 0.00182823, 0.00182824, 0.00182824", \
-            "0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702", \
-            "0.00198475, 0.00198475, 0.00198475, 0.00198475, 0.00198475, 0.00198475", \
-            "0.0020199, 0.0020199, 0.00201989, 0.00201989, 0.00201989, 0.00201989", \
-            "0.00203879, 0.00203879, 0.00203879, 0.00203879, 0.00203879, 0.00203879" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00215143, 0.00215142, 0.00215142, 0.00215142, 0.00215142, 0.00215142", \
-            "0.00226044, 0.00226042, 0.0022604, 0.0022604, 0.00226039, 0.00226039", \
-            "0.00233521, 0.0023352, 0.00233518, 0.00233517, 0.00233516, 0.00233515", \
-            "0.00244989, 0.00244988, 0.00244988, 0.00244987, 0.00244986, 0.00244985", \
-            "0.00268872, 0.00268873, 0.00268874, 0.00268874, 0.00268875, 0.00268874", \
-            "0.00308769, 0.00308671, 0.00308591, 0.00308544, 0.00308519, 0.00308507" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.177901, 0.192327, 0.220606, 0.277625, 0.394813, 0.637952", \
-            "0.182725, 0.197161, 0.225441, 0.282468, 0.399665, 0.642804", \
-            "0.19503, 0.209452, 0.237751, 0.294768, 0.411956, 0.655098", \
-            "0.225502, 0.239932, 0.268242, 0.325274, 0.442484, 0.685631", \
-            "0.290818, 0.305269, 0.333545, 0.390582, 0.507827, 0.751014", \
-            "0.399183, 0.413688, 0.442033, 0.499125, 0.616458, 0.859645" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0538283, 0.0709923, 0.108773, 0.189906, 0.360947, 0.719733", \
-            "0.0538191, 0.0709869, 0.108761, 0.189908, 0.360948, 0.719734", \
-            "0.0538334, 0.0709819, 0.10877, 0.189897, 0.360942, 0.71974", \
-            "0.0538324, 0.0709986, 0.10878, 0.189905, 0.360946, 0.719733", \
-            "0.0542767, 0.0712733, 0.108929, 0.189935, 0.360945, 0.719735", \
-            "0.0557159, 0.0723975, 0.109592, 0.190236, 0.361004, 0.71976" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.137965, 0.14246, 0.152334, 0.161495, 0.167756, 0.173327, 0.177382, 0.184912, 0.200126, 0.215376, 0.23426, 0.247939, 0.274702, 0.293309, 0.317604");
-            values ( \
-              "0.0108328, 0.0238115, 0.0381814, 0.0460533, 0.0490315, 0.0502316, 0.0502234, 0.0480032, 0.0416917, 0.0249866, 0.0116167, 0.00993967, 0.00413728, 0.00193065, 0.000890287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.138043, 0.1452, 0.154305, 0.162247, 0.168685, 0.173578, 0.179095, 0.184235, 0.189272, 0.199346, 0.211002, 0.220798, 0.233252, 0.249289, 0.266987, 0.275261, 0.318198, 0.335783, 0.346847, 0.368695, 0.389117");
-            values ( \
-              "0.0053301, 0.0412094, 0.059993, 0.0705813, 0.0760141, 0.0784257, 0.0798068, 0.0800216, 0.0793377, 0.0754527, 0.0701785, 0.0631144, 0.0475815, 0.0317388, 0.0191261, 0.0152787, 0.00654962, 0.00398047, 0.00289309, 0.00146145, 0.000863215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.141459, 0.148761, 0.155738, 0.160759, 0.165168, 0.17071, 0.175509, 0.181309, 0.189104, 0.196562, 0.213477, 0.23851, 0.241636, 0.248572, 0.254855, 0.258649, 0.284297, 0.301881, 0.317404, 0.328423, 0.337939, 0.350626, 0.364976, 0.382747, 0.393385, 0.41074, 0.425557, 0.444504, 0.467301, 0.499633, 0.540354, 0.596176");
-            values ( \
-              "0.0292469, 0.0640386, 0.0815634, 0.091182, 0.0978627, 0.104083, 0.107737, 0.110457, 0.111944, 0.11174, 0.107886, 0.0977812, 0.0954105, 0.0926686, 0.0882752, 0.085012, 0.0610376, 0.0466675, 0.0362358, 0.0298822, 0.0250064, 0.0197289, 0.0159449, 0.0125406, 0.0108032, 0.00818109, 0.00634436, 0.00449123, 0.00293721, 0.00149237, 0.000660824, 0.000156477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.145586, 0.153548, 0.158229, 0.165672, 0.173983, 0.178183, 0.185968, 0.195298, 0.204092, 0.216873, 0.223017, 0.248605, 0.285163, 0.298757, 0.315675, 0.331254, 0.391507, 0.425531, 0.455027, 0.463938, 0.485027, 0.509128, 0.53268, 0.561126, 0.597655, 0.615554, 0.655136, 0.697324, 0.745171, 0.804805, 0.879051");
-            values ( \
-              "0.0885869, 0.0901783, 0.102364, 0.117533, 0.128598, 0.132266, 0.13671, 0.13898, 0.139279, 0.13796, 0.136906, 0.131238, 0.121239, 0.117112, 0.111417, 0.104762, 0.0694197, 0.0519228, 0.0392379, 0.03593, 0.0289029, 0.0222951, 0.0177468, 0.0136254, 0.00962939, 0.0080428, 0.00535082, 0.00335696, 0.00195744, 0.000953205, 0.000392369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.149243, 0.165928, 0.177133, 0.193446, 0.201328, 0.217091, 0.233516, 0.258333, 0.348366, 0.402268, 0.460304, 0.526155, 0.6194, 0.672318, 0.726153, 0.772348, 0.80751, 0.853392, 0.893573, 0.938895, 1.00296, 1.08476, 1.15038, 1.23387, 1.40406, 1.57425");
-            values ( \
-              "0.128821, 0.130238, 0.1463, 0.156209, 0.157905, 0.158563, 0.15726, 0.154363, 0.142114, 0.133629, 0.12243, 0.10291, 0.0723087, 0.0569323, 0.0436603, 0.0342523, 0.0282524, 0.0218521, 0.0176169, 0.0138899, 0.00975033, 0.00616247, 0.0042665, 0.00254614, 0.000881113, 0.000288483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.158492, 0.158512, 0.323734, 0.457397, 0.589587, 0.645538, 0.761646, 0.821719, 0.893295, 1.06028, 1.14258, 1.21049, 1.29558, 1.36669, 1.43893, 1.52402, 1.64814, 1.72516, 1.81025, 1.884, 1.9675, 2.0526, 2.22279, 2.39298, 2.64827, 2.90355");
-            values ( \
-              "1e-22, 0.179185, 0.165099, 0.155642, 0.144887, 0.139957, 0.12769, 0.118972, 0.107642, 0.0791259, 0.0661694, 0.0565384, 0.0457006, 0.0379965, 0.0313001, 0.0246418, 0.0174422, 0.0141245, 0.0110528, 0.00896366, 0.00704843, 0.0055201, 0.00333675, 0.00200362, 0.000911101, 0.000426135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.152878, 0.158129, 0.160729, 0.165644, 0.172616, 0.177139, 0.18151, 0.185231, 0.189204, 0.193001, 0.200552, 0.200921, 0.201661, 0.205891, 0.216229, 0.223456, 0.229547, 0.233899, 0.239703, 0.244722, 0.249896, 0.25389, 0.254538, 0.255835, 0.25841, 0.263193, 0.267847, 0.28077, 0.290247, 0.298714, 0.309063, 0.321981, 0.342106, 0.392503, 0.421933");
-            values ( \
-              "0.00586017, 0.0238082, 0.0285012, 0.0355826, 0.0429019, 0.0460027, 0.0484356, 0.0494768, 0.0503495, 0.0501421, 0.0480808, 0.0477681, 0.0475747, 0.0456038, 0.0414713, 0.0329069, 0.0262014, 0.02237, 0.0180709, 0.014681, 0.0116673, 0.0110009, 0.0110184, 0.0108144, 0.0106888, 0.00995753, 0.00909829, 0.00595302, 0.00410813, 0.0028987, 0.00188005, 0.00115366, 0.000459308, 5.63126e-05, 7.33495e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.152773, 0.16076, 0.169696, 0.177734, 0.18415, 0.189044, 0.194558, 0.199697, 0.204734, 0.214807, 0.226463, 0.236252, 0.248721, 0.264748, 0.282463, 0.290642, 0.333697, 0.35123, 0.36226, 0.384122, 0.404286");
-            values ( \
-              "0.000670333, 0.0415637, 0.0599481, 0.0706523, 0.0760376, 0.0784513, 0.0798156, 0.0800362, 0.0793397, 0.0754612, 0.0701803, 0.0631212, 0.047572, 0.0317403, 0.0191165, 0.0153033, 0.00654266, 0.00398185, 0.00289721, 0.00146273, 0.000871744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.156354, 0.164179, 0.176148, 0.180559, 0.186083, 0.19088, 0.196694, 0.204488, 0.211945, 0.226119, 0.22988, 0.25248, 0.263956, 0.275368, 0.304219, 0.322778, 0.341132, 0.353416, 0.362107, 0.376375, 0.394164, 0.4168, 0.440097, 0.471932, 0.500879, 0.537034, 0.584118");
-            values ( \
-              "0.0228081, 0.0642114, 0.0912806, 0.0979279, 0.104107, 0.107777, 0.110455, 0.111986, 0.111715, 0.108773, 0.107584, 0.0982345, 0.0925754, 0.0839156, 0.0571167, 0.0427557, 0.0313492, 0.0249609, 0.0211567, 0.0168097, 0.0132779, 0.00953592, 0.00646315, 0.00358578, 0.00201989, 0.000951762, 0.000341398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.160733, 0.169048, 0.178015, 0.182124, 0.192113, 0.196752, 0.202936, 0.211419, 0.219558, 0.232338, 0.245327, 0.264123, 0.300629, 0.314132, 0.331142, 0.34672, 0.406976, 0.42439, 0.440834, 0.470127, 0.479404, 0.501378, 0.526492, 0.548245, 0.574387, 0.609243, 0.629876, 0.649566, 0.675819, 0.718624, 0.767806, 0.828575, 0.906362");
-            values ( \
-              "0.0807434, 0.0903955, 0.111987, 0.119261, 0.131184, 0.134465, 0.137145, 0.139109, 0.139169, 0.138037, 0.1356, 0.13122, 0.121244, 0.11714, 0.111417, 0.104763, 0.0694217, 0.0601012, 0.0520058, 0.0393753, 0.0359271, 0.0286372, 0.0218517, 0.0177217, 0.0139025, 0.0100085, 0.00813214, 0.00665153, 0.00506702, 0.00314048, 0.00180707, 0.000857494, 0.000343175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.164454, 0.182039, 0.192134, 0.200901, 0.21694, 0.232493, 0.248919, 0.270543, 0.363977, 0.41788, 0.475916, 0.541767, 0.625039, 0.688082, 0.741793, 0.769724, 0.819402, 0.882358, 0.955691, 1.01923, 1.09912, 1.16476, 1.33319, 1.37435");
-            values ( \
-              "0.121691, 0.131317, 0.145743, 0.15275, 0.157984, 0.158497, 0.157357, 0.154842, 0.14219, 0.133704, 0.122507, 0.102986, 0.0753366, 0.0569643, 0.0435812, 0.0377203, 0.0287761, 0.0203383, 0.0137343, 0.00978093, 0.00627414, 0.00423027, 0.00151004, 0.00132445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.173375, 0.173395, 0.553605, 0.661083, 0.777191, 0.908841, 1.07582, 1.22603, 1.38223, 1.53957, 1.66369, 1.7407, 1.8258, 1.98305, 2.15324, 2.32343, 2.52099");
-            values ( \
-              "1e-22, 0.177737, 0.149298, 0.140018, 0.127752, 0.107704, 0.0790638, 0.0566005, 0.0379344, 0.0245798, 0.0173803, 0.0141863, 0.010991, 0.00698717, 0.00421141, 0.00250609, 0.00183636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.190094, 0.195899, 0.200167, 0.205791, 0.210405, 0.214971, 0.221967, 0.225328, 0.229809, 0.23295, 0.237138, 0.247232, 0.25465, 0.260175, 0.266643, 0.269481, 0.278414, 0.283249, 0.288369, 0.29866, 0.303083, 0.323044, 0.327925, 0.334707, 0.340224, 0.347581, 0.362294, 0.382574, 0.407096, 0.433425");
-            values ( \
-              "0.00313109, 0.0236663, 0.0307793, 0.0381224, 0.0427235, 0.046041, 0.0492908, 0.0500557, 0.0503034, 0.0498717, 0.0484928, 0.0440116, 0.0411274, 0.0342151, 0.0269488, 0.0243873, 0.0174616, 0.0142603, 0.0114265, 0.0103582, 0.00963448, 0.00503077, 0.00421202, 0.00313697, 0.0024987, 0.0018895, 0.000983048, 0.00047121, 0.00015477, 0.000107641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.190076, 0.201774, 0.207492, 0.215626, 0.222513, 0.225564, 0.229052, 0.232496, 0.239383, 0.242686, 0.2478, 0.263439, 0.266377, 0.270293, 0.275683, 0.287575, 0.294268, 0.301195, 0.309104, 0.318592, 0.321689, 0.327036, 0.33327, 0.367554, 0.379343, 0.393771, 0.411328, 0.438752, 0.470771, 0.506336");
-            values ( \
-              "0.00410462, 0.0489248, 0.0597215, 0.0706253, 0.0762524, 0.0778276, 0.0790699, 0.079767, 0.0798724, 0.0793339, 0.0776717, 0.0702277, 0.0684551, 0.0669065, 0.0610142, 0.0466101, 0.0394271, 0.0329827, 0.0267099, 0.020261, 0.0183127, 0.0158057, 0.014165, 0.00728394, 0.00527953, 0.00347997, 0.00206689, 0.000857973, 0.000328558, 9.81151e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.195448, 0.20206, 0.209049, 0.214117, 0.218484, 0.224062, 0.228875, 0.234628, 0.242424, 0.249883, 0.266797, 0.291898, 0.295049, 0.301384, 0.311264, 0.342352, 0.358425, 0.382975, 0.395514, 0.407219, 0.427739, 0.459233, 0.478418, 0.505015, 0.533054, 0.568051, 0.578633");
-            values ( \
-              "0.0389842, 0.0639701, 0.0815331, 0.0911753, 0.09786, 0.104065, 0.107774, 0.110423, 0.111959, 0.111716, 0.107889, 0.0977412, 0.0953661, 0.0929349, 0.0856314, 0.056942, 0.0443635, 0.0292291, 0.0230687, 0.0186823, 0.0140794, 0.00887215, 0.00640531, 0.00392321, 0.00228138, 0.00108858, 0.000933752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.199906, 0.211622, 0.219524, 0.223836, 0.231505, 0.235758, 0.244264, 0.257423, 0.270236, 0.276653, 0.301649, 0.338491, 0.35217, 0.368997, 0.384578, 0.444834, 0.462226, 0.478979, 0.508699, 0.525945, 0.537524, 0.555611, 0.579766, 0.600199, 0.625509, 0.660593, 0.675038, 0.703927, 0.739091, 0.785315, 0.839056, 0.909584, 0.994679");
-            values ( \
-              "0.0972779, 0.102506, 0.118372, 0.124579, 0.132253, 0.135013, 0.138202, 0.139353, 0.13798, 0.136872, 0.131313, 0.121242, 0.11708, 0.111423, 0.104757, 0.069419, 0.0601162, 0.0518627, 0.0391033, 0.0328984, 0.0291566, 0.0239834, 0.0188215, 0.0155619, 0.0122925, 0.00874762, 0.00756316, 0.00560199, 0.00382375, 0.00227148, 0.00120731, 0.000514346, 0.000170625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.203854, 0.226572, 0.23766, 0.246547, 0.254707, 0.26994, 0.311884, 0.401742, 0.455645, 0.513682, 0.556414, 0.677034, 0.738919, 0.815216, 0.85304, 0.903563, 0.953069, 0.996203, 1.05851, 1.13406, 1.20017, 1.36541, 1.40905");
-            values ( \
-              "0.135758, 0.141752, 0.152054, 0.156157, 0.157913, 0.158588, 0.154345, 0.142111, 0.133626, 0.122429, 0.110195, 0.070988, 0.0534386, 0.036285, 0.0294846, 0.0222575, 0.0170719, 0.01357, 0.00966279, 0.00634006, 0.00432653, 0.00160062, 0.00138424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.208417, 0.27972, 0.309219, 0.408627, 0.528335, 0.698811, 0.783906, 0.814938, 0.87501, 0.946587, 1.11357, 1.19587, 1.26378, 1.34887, 1.41998, 1.49222, 1.57732, 1.70143, 1.77845, 1.86354, 1.9373, 2.10589, 2.27608, 2.44627, 2.70155, 2.95684");
-            values ( \
-              "0.168659, 0.170547, 0.169356, 0.163077, 0.15428, 0.13995, 0.131208, 0.127683, 0.118979, 0.107636, 0.0791289, 0.0661712, 0.056537, 0.0457019, 0.0379968, 0.0312999, 0.0246418, 0.017442, 0.0141249, 0.0110524, 0.00896274, 0.00552131, 0.003338, 0.00200491, 0.00090979, 0.000427488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.282151, 0.287819, 0.291356, 0.297706, 0.301371, 0.305542, 0.309582, 0.315, 0.317324, 0.321973, 0.324388, 0.327467, 0.330685, 0.337121, 0.339176, 0.343284, 0.348404, 0.354978, 0.363091, 0.367533, 0.376417, 0.381428, 0.384341, 0.391293, 0.394689, 0.414962, 0.419847, 0.426681, 0.432079, 0.439276, 0.451675, 0.471677, 0.495642, 0.55086");
-            values ( \
-              "0.00397135, 0.0235198, 0.0299028, 0.0381729, 0.0417389, 0.0451982, 0.0475596, 0.0495558, 0.049961, 0.0502879, 0.0499689, 0.0490413, 0.0478064, 0.0446833, 0.0442861, 0.0426759, 0.0392211, 0.0303192, 0.0229698, 0.019359, 0.0133972, 0.01118, 0.0109992, 0.0102818, 0.00967784, 0.00504457, 0.00420073, 0.00314196, 0.00251479, 0.00189929, 0.00110789, 0.000516078, 0.00018491, 2.32157e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.28204, 0.291305, 0.298299, 0.307135, 0.312121, 0.317513, 0.323066, 0.326885, 0.334509, 0.339572, 0.357839, 0.361083, 0.365888, 0.384209, 0.394239, 0.413351, 0.422268, 0.46, 0.471763, 0.484445, 0.501355, 0.528269, 0.559871, 0.594954");
-            values ( \
-              "0.000880128, 0.0434364, 0.0578363, 0.0701455, 0.074674, 0.0778283, 0.0795393, 0.0799839, 0.0793058, 0.0776618, 0.0685936, 0.067493, 0.0630609, 0.041357, 0.0319572, 0.018425, 0.0147874, 0.00715828, 0.00520687, 0.00362001, 0.00216355, 0.000943447, 0.0003364, 0.000129476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.285923, 0.293951, 0.300942, 0.305933, 0.310384, 0.31591, 0.320692, 0.326536, 0.334336, 0.341793, 0.358701, 0.383879, 0.387025, 0.39312, 0.402582, 0.435361, 0.449589, 0.466508, 0.476623, 0.488574, 0.503803, 0.525334, 0.554322, 0.570652, 0.591758, 0.61865, 0.651698, 0.694416, 0.753051");
-            values ( \
-              "0.0209467, 0.0638684, 0.0814288, 0.0910061, 0.0977763, 0.103978, 0.107668, 0.110394, 0.111937, 0.111697, 0.107883, 0.097698, 0.0953388, 0.0930193, 0.0861487, 0.0560188, 0.0448969, 0.0338637, 0.0282923, 0.0225278, 0.017373, 0.0130209, 0.00841848, 0.00636424, 0.00432557, 0.0025952, 0.00129338, 0.000544559, 0.00011595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.290448, 0.29879, 0.309154, 0.314253, 0.318986, 0.323441, 0.33094, 0.340389, 0.349355, 0.362138, 0.368208, 0.393815, 0.407376, 0.430432, 0.443987, 0.460935, 0.476515, 0.536755, 0.554265, 0.570811, 0.600149, 0.6092, 0.630587, 0.655029, 0.677977, 0.705649, 0.741645, 0.760445, 0.77831, 0.802131, 0.844436, 0.892475, 0.952123, 1.02699");
-            values ( \
-              "0.0805486, 0.0900324, 0.114373, 0.122509, 0.128333, 0.132191, 0.136606, 0.1389, 0.139315, 0.13791, 0.136963, 0.131256, 0.127739, 0.121236, 0.117129, 0.111413, 0.104771, 0.0694217, 0.0600699, 0.0519099, 0.0392978, 0.0359355, 0.0288073, 0.0221509, 0.0177442, 0.0137237, 0.00974664, 0.00807772, 0.00673266, 0.00524919, 0.00329302, 0.00190805, 0.000934731, 0.000375529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.294105, 0.311848, 0.32193, 0.330617, 0.346697, 0.36228, 0.378625, 0.400266, 0.493735, 0.547638, 0.605674, 0.671524, 0.754804, 0.816981, 0.871351, 0.899806, 0.953302, 0.998937, 1.0386, 1.08404, 1.1482, 1.23035, 1.29568, 1.46389, 1.51697");
-            values ( \
-              "0.120408, 0.131327, 0.145714, 0.15265, 0.157934, 0.158518, 0.157329, 0.15482, 0.142167, 0.13368, 0.122482, 0.102963, 0.0753565, 0.0571745, 0.0436457, 0.0376748, 0.0281346, 0.0218826, 0.0177002, 0.0138553, 0.00980776, 0.00620491, 0.00421771, 0.001518, 0.00127306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.303366, 0.303386, 0.571643, 0.683771, 0.791249, 0.907357, 1.03901, 1.20599, 1.3562, 1.44129, 1.5124, 1.66973, 1.79385, 1.87087, 1.95596, 2.11321, 2.2834, 2.4536, 2.66411");
-            values ( \
-              "1e-22, 0.177391, 0.158066, 0.149271, 0.139991, 0.127724, 0.107677, 0.0790905, 0.0565741, 0.0456648, 0.0379605, 0.0246057, 0.0174062, 0.0141605, 0.0110168, 0.00701248, 0.00423664, 0.00253124, 0.00150717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.495024, 0.505542, 0.509835, 0.516515, 0.523797, 0.527636, 0.531689, 0.535515, 0.543168, 0.558862, 0.572236, 0.582339, 0.592445, 0.606585, 0.623318, 0.632826, 0.641376, 0.651888, 0.665904, 0.686229, 0.736522");
-            values ( \
-              "0.0141956, 0.03099, 0.0362727, 0.0427472, 0.0473123, 0.0487101, 0.0495992, 0.0496685, 0.0476394, 0.0413493, 0.026199, 0.0180518, 0.0116925, 0.00987425, 0.0060106, 0.00415179, 0.00292476, 0.00189228, 0.00107297, 0.000455446, 7.5768e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.495061, 0.502004, 0.514791, 0.519907, 0.526799, 0.533937, 0.542266, 0.547361, 0.557549, 0.569153, 0.57486, 0.606569, 0.624056, 0.631285, 0.672349, 0.698604, 0.71611, 0.743179, 0.744509");
-            values ( \
-              "0.00942205, 0.0374481, 0.0620671, 0.0688698, 0.0749108, 0.0782852, 0.0794453, 0.0788965, 0.0751729, 0.0699895, 0.066392, 0.0324336, 0.0197789, 0.0159832, 0.00729929, 0.00345173, 0.00208627, 0.000847059, 0.000831847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.49867, 0.509503, 0.522829, 0.529236, 0.539193, 0.547044, 0.554533, 0.570004, 0.57737, 0.596279, 0.608384, 0.662429, 0.689434, 0.712514, 0.744959, 0.77666, 0.798387, 0.850228, 0.863697");
-            values ( \
-              "0.0360129, 0.0699332, 0.095769, 0.103299, 0.109582, 0.111313, 0.111369, 0.108223, 0.105555, 0.0978638, 0.0915686, 0.044857, 0.0283026, 0.0185315, 0.0118414, 0.00717567, 0.00486296, 0.00175481, 0.00152547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.503348, 0.514715, 0.521759, 0.527603, 0.536289, 0.54365, 0.553317, 0.562344, 0.575167, 0.581096, 0.591997, 0.606562, 0.621598, 0.643469, 0.673933, 0.679494, 0.690615, 0.752312, 0.765963, 0.784163, 0.813735, 0.83078, 0.842194, 0.860377, 0.88464, 0.905307, 0.930562, 0.965603, 0.979997, 1.00879, 1.0436, 1.0895, 1.14258, 1.21229, 1.29739");
-            values ( \
-              "0.0883775, 0.0956392, 0.111503, 0.121355, 0.130921, 0.13554, 0.138421, 0.138915, 0.137802, 0.1368, 0.134625, 0.131284, 0.127396, 0.121242, 0.111642, 0.109348, 0.10395, 0.0680981, 0.0607523, 0.0517531, 0.0390764, 0.0329414, 0.0292448, 0.0240326, 0.0188333, 0.0155378, 0.0122817, 0.00874176, 0.00756371, 0.00560724, 0.00384393, 0.00229165, 0.00122949, 0.000528914, 0.000176366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.508522, 0.533443, 0.539291, 0.547089, 0.559742, 0.574637, 0.590751, 0.616223, 0.706819, 0.760718, 0.818754, 0.861247, 0.967878, 1.02999, 1.08444, 1.13145, 1.16638, 1.21202, 1.25168, 1.29712, 1.36128, 1.44344, 1.50857, 1.59137, 1.76156, 1.93175");
-            values ( \
-              "0.133396, 0.142544, 0.14848, 0.153611, 0.157589, 0.15842, 0.157309, 0.154423, 0.142115, 0.133624, 0.122422, 0.110276, 0.0754183, 0.0571343, 0.043702, 0.0341251, 0.0281909, 0.021826, 0.017644, 0.0139118, 0.00975141, 0.00614887, 0.00427836, 0.00255605, 0.000881443, 0.000285296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.516303, 0.516323, 0.896437, 1.00389, 1.08899, 1.12002, 1.18009, 1.25167, 1.41865, 1.50095, 1.56886, 1.65396, 1.72506, 1.7973, 1.8824, 2.00651, 2.08353, 2.16863, 2.32587, 2.41097, 2.58116, 2.75135, 3.00664, 3.26192");
-            values ( \
-              "1e-22, 0.177868, 0.149247, 0.139956, 0.131202, 0.127689, 0.118973, 0.107643, 0.0791223, 0.0661647, 0.0565436, 0.0456952, 0.03799, 0.0313068, 0.0246349, 0.017435, 0.014132, 0.0110453, 0.00703991, 0.00552888, 0.00334559, 0.00201254, 0.000902113, 0.000435236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.950175, 0.95854, 0.968285, 0.977076, 0.981878, 0.98968, 0.992788, 0.998914, 1.00713, 1.0113, 1.02314, 1.03574, 1.04137, 1.05437, 1.06007, 1.06121, 1.06651, 1.07074, 1.0864, 1.09625, 1.10531, 1.11687, 1.13228, 1.15355, 1.20452");
-            values ( \
-              "0.00227093, 0.016336, 0.0284614, 0.0375987, 0.0414431, 0.0460754, 0.0471741, 0.0481046, 0.046424, 0.0447482, 0.0406982, 0.0264924, 0.021619, 0.0127352, 0.0109835, 0.0110789, 0.0105761, 0.0098453, 0.00620425, 0.00424084, 0.00292294, 0.00180991, 0.000979728, 0.000391028, 5.7989e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.949846, 0.9635, 0.981918, 0.99553, 1.00002, 1.00882, 1.01411, 1.02128, 1.04164, 1.0697, 1.08614, 1.0956, 1.13737, 1.15825, 1.17133, 1.19476, 1.21861");
-            values ( \
-              "0.000613808, 0.0337577, 0.063416, 0.0748864, 0.0764877, 0.0775423, 0.0766853, 0.0740346, 0.0639306, 0.0327738, 0.0207214, 0.0157166, 0.00703815, 0.00387426, 0.00268925, 0.001249, 0.000892455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.960637, 0.970396, 0.979467, 0.993281, 1.00233, 1.01042, 1.01799, 1.03364, 1.03931, 1.05957, 1.07004, 1.08113, 1.11093, 1.12632, 1.14273, 1.15736, 1.16557, 1.18059, 1.19594, 1.22726, 1.26796, 1.29266, 1.32192, 1.34947");
-            values ( \
-              "0.0596648, 0.0598833, 0.0795289, 0.0997955, 0.10644, 0.10912, 0.109812, 0.107446, 0.105624, 0.097767, 0.0926317, 0.0843716, 0.0568867, 0.044825, 0.0341265, 0.0262186, 0.022403, 0.0173275, 0.0141252, 0.00897097, 0.00438364, 0.00272122, 0.00150563, 0.00111728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.960603, 0.98396, 0.995126, 0.999216, 1.00687, 1.01676, 1.02577, 1.03874, 1.05173, 1.06908, 1.10714, 1.13767, 1.15325, 1.21347, 1.24525, 1.27191, 1.3002, 1.31931, 1.34647, 1.37269, 1.39662, 1.43058, 1.4702, 1.50475, 1.55015, 1.60272, 1.67072, 1.75581");
-            values ( \
-              "0.0490008, 0.103989, 0.122251, 0.126726, 0.132556, 0.136489, 0.13758, 0.137038, 0.13499, 0.131287, 0.121151, 0.11138, 0.104772, 0.069424, 0.0529887, 0.0412715, 0.0310694, 0.0253196, 0.0191358, 0.0149866, 0.0120387, 0.00860848, 0.00572845, 0.00397507, 0.00235764, 0.00130065, 0.00054912, 0.000204532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.9703, 0.993548, 1.00503, 1.01469, 1.02326, 1.03804, 1.04866, 1.07621, 1.14156, 1.17055, 1.21595, 1.26312, 1.2826, 1.3125, 1.34832, 1.43195, 1.47173, 1.50691, 1.55192, 1.58484, 1.62238, 1.67266, 1.72156, 1.76484, 1.82726, 1.90322, 1.96804, 2.0497, 2.13479, 2.21989, 2.39008");
-            values ( \
-              "0.129129, 0.133236, 0.146871, 0.153106, 0.15585, 0.157558, 0.157252, 0.154684, 0.146248, 0.14219, 0.135109, 0.126464, 0.12228, 0.114137, 0.102895, 0.0753171, 0.0633205, 0.0536673, 0.0429089, 0.0361365, 0.0294193, 0.0222231, 0.0170958, 0.0136019, 0.00965649, 0.00631548, 0.00436844, 0.00266544, 0.00161135, 0.000934498, 0.000317546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.976341, 1.0482, 1.0655, 1.07881, 1.16204, 1.29758, 1.38949, 1.46772, 1.58369, 1.71565, 1.90954, 2.032, 2.11709, 2.1902, 2.26193, 2.34703, 2.38759, 2.46873, 2.54631, 2.6314, 2.73445, 2.87617, 3.04636, 3.21655, 3.47184, 3.72712");
-            values ( \
-              "0.165151, 0.169864, 0.169644, 0.169115, 0.164141, 0.154237, 0.146792, 0.139941, 0.127688, 0.10757, 0.0748217, 0.0566073, 0.0458007, 0.0378794, 0.0312136, 0.0246006, 0.0220168, 0.0175343, 0.014153, 0.011098, 0.00829105, 0.00549107, 0.00331683, 0.00198956, 0.00091244, 0.00041971" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0016414, 0.00164142, 0.00164143, 0.00164144, 0.00164145, 0.00164145", \
-            "0.00182849, 0.00182849, 0.0018285, 0.0018285, 0.0018285, 0.00182851", \
-            "0.00192713, 0.00192713, 0.00192713, 0.00192713, 0.00192713, 0.00192713", \
-            "0.00198473, 0.00198473, 0.00198473, 0.00198472, 0.00198472, 0.00198472", \
-            "0.00201979, 0.00201979, 0.00201979, 0.00201979, 0.00201979, 0.00201979", \
-            "0.00203866, 0.00203866, 0.00203866, 0.00203866, 0.00203866, 0.00203866" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0021519, 0.00215189, 0.00215188, 0.00215188, 0.00215188, 0.00215188", \
-            "0.00226047, 0.00226045, 0.00226044, 0.00226043, 0.00226042, 0.00226042", \
-            "0.00233419, 0.00233417, 0.00233416, 0.00233414, 0.00233414, 0.00233413", \
-            "0.00244703, 0.00244703, 0.00244702, 0.00244701, 0.002447, 0.002447", \
-            "0.00268584, 0.00268584, 0.00268584, 0.00268584, 0.00268584, 0.00268584", \
-            "0.00307508, 0.00307396, 0.00307282, 0.00307217, 0.00307191, 0.00307174" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.182243, 0.196821, 0.225455, 0.282808, 0.399983, 0.643052", \
-            "0.187084, 0.20166, 0.230302, 0.287649, 0.404815, 0.647887", \
-            "0.199385, 0.214036, 0.242624, 0.29998, 0.417151, 0.66021", \
-            "0.229893, 0.244464, 0.273095, 0.330448, 0.447637, 0.690711", \
-            "0.295333, 0.309896, 0.338539, 0.395849, 0.51307, 0.756178", \
-            "0.404336, 0.419059, 0.447732, 0.505148, 0.622551, 0.865654" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0543908, 0.0716735, 0.109481, 0.190217, 0.36076, 0.719278", \
-            "0.0543885, 0.07168, 0.10948, 0.19022, 0.360748, 0.719278", \
-            "0.0543739, 0.0716777, 0.10948, 0.190221, 0.360776, 0.719279", \
-            "0.0544145, 0.0716932, 0.109483, 0.190222, 0.360752, 0.719193", \
-            "0.0547915, 0.071969, 0.10964, 0.190263, 0.360733, 0.719258", \
-            "0.0562509, 0.073088, 0.110288, 0.19056, 0.360853, 0.719345" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.140698, 0.145549, 0.150277, 0.156246, 0.160935, 0.16567, 0.171669, 0.173908, 0.177917, 0.181789, 0.189518, 0.194061, 0.205349, 0.212414, 0.218643, 0.221268, 0.225899, 0.231976, 0.239402, 0.242895, 0.248196, 0.253781, 0.270513, 0.278499, 0.287153, 0.30015, 0.317481, 0.343222, 0.37018");
-            values ( \
-              "0.0110698, 0.0210383, 0.0284347, 0.0365929, 0.0415924, 0.0452935, 0.048177, 0.048848, 0.0495422, 0.0495296, 0.0473351, 0.0453718, 0.0411616, 0.0329008, 0.0261751, 0.0238797, 0.0200907, 0.0159117, 0.0115224, 0.0110252, 0.0106161, 0.00974801, 0.00591352, 0.00431776, 0.00304744, 0.00178209, 0.000862409, 0.000273793, 0.000105809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.140682, 0.148353, 0.153957, 0.161146, 0.166808, 0.173862, 0.179726, 0.183398, 0.190742, 0.193729, 0.198908, 0.214812, 0.215664, 0.220778, 0.224251, 0.242915, 0.254654, 0.271556, 0.275582, 0.28095, 0.31982, 0.333905, 0.351959, 0.37603, 0.406992, 0.442931");
-            values ( \
-              "0.00528286, 0.0371527, 0.04982, 0.0627686, 0.0699097, 0.0754568, 0.0779119, 0.0786544, 0.0788172, 0.0783264, 0.0767583, 0.0695932, 0.0687245, 0.0669185, 0.063809, 0.0421025, 0.0312948, 0.019255, 0.0171089, 0.0150939, 0.007158, 0.00484785, 0.00283995, 0.00131253, 0.000481202, 0.000134423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.147964, 0.157494, 0.163831, 0.169236, 0.174813, 0.180586, 0.185627, 0.193515, 0.201078, 0.218101, 0.243398, 0.262455, 0.269977, 0.280263, 0.292693, 0.309969, 0.319872, 0.333147, 0.34295, 0.355574, 0.368307, 0.388062, 0.41498, 0.429729, 0.448787, 0.469264, 0.501494, 0.540088, 0.59533");
-            values ( \
-              "0.0703329, 0.0741163, 0.087834, 0.0962534, 0.102479, 0.106671, 0.108961, 0.110497, 0.110432, 0.106744, 0.0970556, 0.0869252, 0.0786288, 0.0699201, 0.0579909, 0.0446629, 0.0380051, 0.0301718, 0.0250781, 0.0198167, 0.016324, 0.0124393, 0.00821452, 0.00636643, 0.00448205, 0.00310368, 0.00153749, 0.000745149, 0.000147085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.147966, 0.162296, 0.174758, 0.181617, 0.187811, 0.194889, 0.208749, 0.221725, 0.247996, 0.261902, 0.296303, 0.320874, 0.346081, 0.406642, 0.451641, 0.487947, 0.513864, 0.532376, 0.566788, 0.606116, 0.654842, 0.693386, 0.74104, 0.792348");
-            values ( \
-              "0.0641344, 0.10035, 0.122833, 0.129759, 0.133691, 0.136322, 0.137749, 0.13648, 0.131656, 0.128588, 0.119661, 0.11181, 0.099456, 0.064088, 0.042593, 0.0295188, 0.0223315, 0.0186388, 0.0135138, 0.00925632, 0.00562041, 0.00370513, 0.00214946, 0.00121481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.156601, 0.190124, 0.201367, 0.215597, 0.2319, 0.258234, 0.302731, 0.34979, 0.40754, 0.465555, 0.531308, 0.614502, 0.675235, 0.730697, 0.759873, 0.81325, 0.85862, 0.897814, 0.943353, 1.00759, 1.09006, 1.15644, 1.24091, 1.32609, 1.58165");
-            values ( \
-              "0.146089, 0.150853, 0.155279, 0.156812, 0.156249, 0.154127, 0.149063, 0.142868, 0.133851, 0.122634, 0.103063, 0.0754476, 0.0575779, 0.0437512, 0.0376217, 0.0281077, 0.0218519, 0.0177125, 0.0138924, 0.00979455, 0.00617801, 0.00420615, 0.00254246, 0.0015119, 0.000313922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.16239, 0.16241, 0.373953, 0.44207, 0.55862, 0.650798, 0.735985, 0.766876, 0.826918, 0.898456, 1.06536, 1.14763, 1.21551, 1.3007, 1.37142, 1.44373, 1.52892, 1.65314, 1.8153, 1.97225, 2.05744, 2.22782, 2.39819, 2.65375, 2.90931");
-            values ( \
-              "1e-22, 0.175773, 0.162317, 0.157389, 0.148098, 0.140055, 0.131253, 0.127768, 0.119026, 0.107705, 0.07915, 0.0661814, 0.056571, 0.0456861, 0.0380159, 0.0313347, 0.0246339, 0.0174247, 0.0110333, 0.00703525, 0.00553783, 0.00335227, 0.00201857, 0.000892463, 0.00044204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.156407, 0.165704, 0.171671, 0.17635, 0.181093, 0.187085, 0.189329, 0.193338, 0.197209, 0.204948, 0.209344, 0.22078, 0.227813, 0.236694, 0.247417, 0.254843, 0.258321, 0.263584, 0.269164, 0.285933, 0.293919, 0.302572, 0.31557, 0.3329, 0.358663, 0.385621");
-            values ( \
-              "0.0130043, 0.0284437, 0.0365934, 0.0416081, 0.045287, 0.048195, 0.0488366, 0.0495628, 0.0495151, 0.0473508, 0.0454345, 0.0411648, 0.0329277, 0.0238755, 0.0158978, 0.0115138, 0.0110259, 0.0106203, 0.00975391, 0.0059143, 0.00431687, 0.00304669, 0.00178141, 0.000863165, 0.000272773, 0.000106424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.156418, 0.163822, 0.170154, 0.176598, 0.181021, 0.188302, 0.192175, 0.198858, 0.206023, 0.209187, 0.214365, 0.230246, 0.23112, 0.236365, 0.239776, 0.258372, 0.270107, 0.286951, 0.291142, 0.296729, 0.334659, 0.349284, 0.368522, 0.393393, 0.424748, 0.460989");
-            values ( \
-              "0.00691149, 0.0370568, 0.051351, 0.0627572, 0.0685504, 0.0748468, 0.0768156, 0.0787049, 0.0788195, 0.0783659, 0.0767357, 0.0695823, 0.068747, 0.066872, 0.0637007, 0.042127, 0.0312752, 0.0192836, 0.0170396, 0.0150301, 0.00725102, 0.00483666, 0.002723, 0.0012545, 0.000423172, 0.000144943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.163727, 0.175102, 0.180369, 0.18473, 0.190439, 0.196135, 0.201111, 0.208998, 0.216561, 0.233585, 0.25887, 0.277944, 0.28546, 0.295747, 0.308173, 0.325455, 0.335358, 0.348631, 0.358431, 0.371055, 0.383784, 0.40355, 0.430469, 0.445212, 0.464262, 0.48473, 0.516957, 0.555545, 0.610777");
-            values ( \
-              "0.0703515, 0.079207, 0.0896986, 0.0963127, 0.102583, 0.106762, 0.108922, 0.110548, 0.110388, 0.106747, 0.0970636, 0.0869235, 0.0786258, 0.0699225, 0.0579905, 0.0446625, 0.0380015, 0.0301733, 0.0250807, 0.0198152, 0.0163271, 0.01244, 0.00821538, 0.00636794, 0.0044842, 0.00310316, 0.00153965, 0.000744048, 0.000148768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.163737, 0.183484, 0.193897, 0.206172, 0.219496, 0.224239, 0.250344, 0.277395, 0.311797, 0.336367, 0.361539, 0.422116, 0.467005, 0.503583, 0.529694, 0.547956, 0.581884, 0.620659, 0.671608, 0.711895, 0.792029");
-            values ( \
-              "0.0686126, 0.112543, 0.126932, 0.135054, 0.13772, 0.137775, 0.134227, 0.128629, 0.11966, 0.11181, 0.099476, 0.0640975, 0.042646, 0.0294734, 0.0222525, 0.0186243, 0.0135642, 0.00934381, 0.00554504, 0.0035834, 0.00167668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.163468, 0.176963, 0.191212, 0.196805, 0.205337, 0.216652, 0.221328, 0.230682, 0.246975, 0.273705, 0.317949, 0.365369, 0.422687, 0.480745, 0.528223, 0.643854, 0.671403, 0.726502, 0.753878, 0.798093, 0.848623, 0.886052, 0.931981, 0.99322, 1.03472, 1.07582, 1.13063, 1.20912, 1.29431, 1.37949, 1.54987");
-            values ( \
-              "0.0605579, 0.108452, 0.137659, 0.144249, 0.150848, 0.155304, 0.156104, 0.156816, 0.156255, 0.154109, 0.14907, 0.142823, 0.133869, 0.122508, 0.108897, 0.0710872, 0.0628596, 0.0482849, 0.0420231, 0.0332892, 0.0250699, 0.020432, 0.0159823, 0.0115423, 0.00915111, 0.00727805, 0.00537906, 0.00334435, 0.0020489, 0.00116945, 0.000387739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.178151, 0.178171, 0.389822, 0.45761, 0.574217, 0.666375, 0.751562, 0.782417, 0.84246, 0.913997, 1.0809, 1.16317, 1.23105, 1.31624, 1.38696, 1.45927, 1.54446, 1.66868, 1.74566, 1.83084, 1.9878, 2.15817, 2.32854, 2.66929, 2.92485");
-            values ( \
-              "1e-22, 0.176202, 0.162302, 0.157397, 0.148101, 0.14006, 0.13124, 0.127776, 0.119018, 0.107713, 0.0791423, 0.0661736, 0.0565787, 0.0456784, 0.0380082, 0.0313424, 0.0246262, 0.0174171, 0.0141468, 0.0110257, 0.00702757, 0.00424785, 0.00254093, 0.000884757, 0.000449754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.191527, 0.198742, 0.209426, 0.218853, 0.223385, 0.227085, 0.233151, 0.238661, 0.253422, 0.256955, 0.275625, 0.293339, 0.304035, 0.325771, 0.335444, 0.348297, 0.379014, 0.384143");
-            values ( \
-              "0.000591314, 0.0209856, 0.0365147, 0.045251, 0.0476759, 0.0487934, 0.0496749, 0.048837, 0.0435775, 0.0417076, 0.0228862, 0.0111891, 0.0102306, 0.00545911, 0.00371636, 0.00219915, 0.00059305, 0.000542937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.194917, 0.201695, 0.211225, 0.214484, 0.221003, 0.226185, 0.231775, 0.236744, 0.247099, 0.2583, 0.26905, 0.274834, 0.28151, 0.293178, 0.308347, 0.324773, 0.329161, 0.335011, 0.371796, 0.383616, 0.396542, 0.413776, 0.44037, 0.473178, 0.510691");
-            values ( \
-              "0.0110716, 0.0369582, 0.0575859, 0.0626695, 0.070901, 0.0747371, 0.0776256, 0.078611, 0.0784144, 0.074234, 0.0694948, 0.0661011, 0.0596216, 0.04508, 0.0309831, 0.019343, 0.016985, 0.0149397, 0.00739458, 0.00537532, 0.00369839, 0.00216303, 0.000935609, 0.000295344, 0.00010137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.202316, 0.218251, 0.222598, 0.228339, 0.234018, 0.238979, 0.246865, 0.254429, 0.271455, 0.296717, 0.315825, 0.323328, 0.333615, 0.346041, 0.363312, 0.373219, 0.386496, 0.396304, 0.408926, 0.421649, 0.441418, 0.468325, 0.483079, 0.502146, 0.522607, 0.554838, 0.593421, 0.648666");
-            values ( \
-              "0.0731019, 0.0896481, 0.0963802, 0.102554, 0.106825, 0.108876, 0.110592, 0.110348, 0.10675, 0.0970758, 0.0869168, 0.078623, 0.0699242, 0.0579891, 0.0446708, 0.0380051, 0.030175, 0.0250786, 0.019814, 0.0163279, 0.0124397, 0.0082166, 0.00636766, 0.00448245, 0.00310308, 0.0015388, 0.000744356, 0.000148226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.202262, 0.210633, 0.221241, 0.231742, 0.243716, 0.256653, 0.261978, 0.272629, 0.301214, 0.328803, 0.349537, 0.374108, 0.399291, 0.459863, 0.474838, 0.504788, 0.541276, 0.567323, 0.585668, 0.619756, 0.658715, 0.708928, 0.748639, 0.796516, 0.855369, 0.928117, 1.0133");
-            values ( \
-              "0.0831748, 0.0868676, 0.112605, 0.127019, 0.134937, 0.137738, 0.137713, 0.136793, 0.131673, 0.125174, 0.119659, 0.11181, 0.0994694, 0.0640946, 0.0563309, 0.0426287, 0.029489, 0.022278, 0.0186297, 0.0135468, 0.00931547, 0.00557066, 0.0036224, 0.00209457, 0.00105108, 0.000423018, 0.000149124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.206075, 0.224846, 0.243519, 0.259161, 0.277546, 0.306827, 0.337783, 0.406801, 0.460629, 0.518582, 0.567492, 0.682048, 0.756541, 0.798683, 0.848233, 0.905285, 0.976017, 1.0349, 1.11146, 1.16097, 1.23877, 1.32396, 1.57952");
-            values ( \
-              "0.120968, 0.131127, 0.151081, 0.156185, 0.156678, 0.154533, 0.151248, 0.142259, 0.133819, 0.122568, 0.108414, 0.0709424, 0.0501647, 0.0405198, 0.0310628, 0.0226274, 0.0154471, 0.0113173, 0.00735751, 0.00563037, 0.00350105, 0.00216574, 0.000396488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.211048, 0.250112, 0.259077, 0.275836, 0.290642, 0.319387, 0.352542, 0.408598, 0.460195, 0.640632, 0.703954, 0.789141, 0.820057, 0.880099, 0.951637, 1.14596, 1.26869, 1.35388, 1.4246, 1.49691, 1.5821, 1.62351, 1.70632, 1.7833, 1.86849, 1.96988, 2.11062, 2.281, 2.45137, 2.62175, 2.96249");
-            values ( \
-              "0.158533, 0.163694, 0.166672, 0.16867, 0.168826, 0.16824, 0.16671, 0.163547, 0.160021, 0.145678, 0.140002, 0.131311, 0.127713, 0.119082, 0.10765, 0.0747787, 0.056515, 0.0457421, 0.0380721, 0.0312785, 0.0246901, 0.0219937, 0.017481, 0.0140828, 0.0110897, 0.00827011, 0.00548134, 0.00329578, 0.0019621, 0.00115681, 0.000385588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.285644, 0.29526, 0.305945, 0.3107, 0.316693, 0.32296, 0.326833, 0.33453, 0.350334, 0.363717, 0.368052, 0.380648, 0.386734, 0.388725, 0.399044, 0.423489, 0.432188, 0.445249, 0.459704, 0.48389, 0.53927");
-            values ( \
-              "0.0112127, 0.0282737, 0.0415038, 0.045212, 0.0481348, 0.0495207, 0.0494891, 0.0473457, 0.0411671, 0.0261605, 0.0223639, 0.0136054, 0.0108508, 0.0110639, 0.00976441, 0.00431191, 0.00301637, 0.00174283, 0.00101469, 0.000300057, 2.91991e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.285833, 0.293499, 0.299139, 0.306353, 0.311977, 0.319187, 0.325101, 0.328632, 0.335695, 0.338966, 0.344146, 0.36701, 0.388713, 0.396604, 0.404366, 0.417609, 0.422186, 0.427416, 0.463144, 0.474802, 0.489802, 0.507498, 0.53445, 0.567534, 0.605561");
-            values ( \
-              "0.00559959, 0.0368603, 0.0495887, 0.0626072, 0.0697468, 0.0754237, 0.0778844, 0.0786209, 0.0788037, 0.0783101, 0.0767245, 0.0663251, 0.0415811, 0.034109, 0.0277744, 0.0187306, 0.0165074, 0.0148203, 0.00751641, 0.00546856, 0.00353185, 0.00206194, 0.000854172, 0.000289594, 7.41038e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.293039, 0.302594, 0.308905, 0.319905, 0.330755, 0.338649, 0.346212, 0.363227, 0.388643, 0.404582, 0.438007, 0.45434, 0.478099, 0.500924, 0.532512, 0.559245, 0.595076, 0.649906, 0.658363");
-            values ( \
-              "0.0704235, 0.0738805, 0.0875887, 0.102353, 0.108909, 0.110455, 0.110413, 0.106738, 0.0969998, 0.0890938, 0.057923, 0.045203, 0.0302649, 0.0197371, 0.012564, 0.00833851, 0.0043882, 0.00143662, 0.00132195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.29315, 0.312559, 0.31749, 0.32299, 0.327769, 0.337326, 0.350368, 0.354029, 0.361349, 0.37599, 0.388437, 0.407182, 0.444061, 0.461046, 0.466157, 0.476379, 0.494839, 0.534672, 0.551059, 0.575016, 0.605505, 0.622816, 0.634558, 0.652493, 0.676475, 0.696652, 0.721995, 0.757104, 0.800589, 0.836072, 0.882798, 0.937094, 1.00856, 1.09375");
-            values ( \
-              "0.0636691, 0.111076, 0.119281, 0.126144, 0.130329, 0.13554, 0.137742, 0.137757, 0.137135, 0.134969, 0.132665, 0.128635, 0.118996, 0.113007, 0.111996, 0.10724, 0.0975703, 0.0736454, 0.0645533, 0.0523394, 0.0392182, 0.0329561, 0.0290856, 0.0240071, 0.018799, 0.0155663, 0.0123427, 0.00872666, 0.0055679, 0.00383793, 0.0022162, 0.00121586, 0.000467069, 0.000194857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.301738, 0.335359, 0.343713, 0.351374, 0.366697, 0.39893, 0.413949, 0.448, 0.496094, 0.55285, 0.610819, 0.651797, 0.759814, 0.816106, 0.873061, 0.908635, 0.930836, 0.975237, 1.00807, 1.06386, 1.12474, 1.17868, 1.25827, 1.33032, 1.41551, 1.50069, 1.67107");
-            values ( \
-              "0.145016, 0.150787, 0.154414, 0.156041, 0.15684, 0.154564, 0.153049, 0.149044, 0.142699, 0.133855, 0.122636, 0.110789, 0.0754447, 0.0587628, 0.0444153, 0.0369493, 0.0327695, 0.025602, 0.0213663, 0.015872, 0.0114166, 0.00849505, 0.0054171, 0.00357099, 0.00212387, 0.00127786, 0.00045156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.307473, 0.307493, 0.521878, 0.587325, 0.681359, 0.789662, 0.884478, 0.967181, 1.04358, 1.23801, 1.3608, 1.44599, 1.51633, 1.58874, 1.67393, 1.71548, 1.79859, 1.87547, 1.96066, 2.06176, 2.20229, 2.37266, 2.54304, 2.71341, 3.05416");
-            values ( \
-              "1e-22, 0.17546, 0.162143, 0.157394, 0.149967, 0.14066, 0.131042, 0.119914, 0.107575, 0.0747291, 0.0564669, 0.0457557, 0.0381138, 0.0312777, 0.0247126, 0.0219852, 0.0174738, 0.0140697, 0.0110875, 0.00827951, 0.00549526, 0.003309, 0.0019752, 0.00117016, 0.000399899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.495392, 0.502264, 0.518671, 0.523471, 0.529864, 0.535882, 0.539846, 0.547939, 0.562831, 0.581198, 0.586975, 0.599237, 0.601883, 0.613283, 0.633943, 0.652401, 0.665694, 0.685549, 0.697898");
-            values ( \
-              "0.00382849, 0.0188253, 0.040323, 0.0441801, 0.0474878, 0.0489491, 0.0490792, 0.0469614, 0.0413432, 0.022409, 0.0179432, 0.0109249, 0.0111345, 0.00963919, 0.00486368, 0.00227069, 0.00132732, 0.000545235, 0.000432469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.498996, 0.505398, 0.511433, 0.518968, 0.524851, 0.530779, 0.537012, 0.541651, 0.55093, 0.554368, 0.558458, 0.574095, 0.579676, 0.586574, 0.599397, 0.61115, 0.618549, 0.631458, 0.634738, 0.639111, 0.645645, 0.681138, 0.692572, 0.707794, 0.72809, 0.75888, 0.793745");
-            values ( \
-              "0.017109, 0.0337624, 0.0469078, 0.0605533, 0.0682753, 0.0734629, 0.0767032, 0.0779603, 0.0780988, 0.0774093, 0.0759995, 0.0693436, 0.0661195, 0.059626, 0.0437184, 0.0328672, 0.0270781, 0.0183673, 0.0167433, 0.0152558, 0.0137228, 0.00658703, 0.00477261, 0.00303332, 0.00166145, 0.000555818, 0.000214918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.502735, 0.509421, 0.523005, 0.533081, 0.543652, 0.55161, 0.559189, 0.57486, 0.581036, 0.599553, 0.620621, 0.651703, 0.671192, 0.694467, 0.715803, 0.752564, 0.78393, 0.810176, 0.837957, 0.869891, 0.882504");
-            values ( \
-              "0.0461692, 0.055617, 0.0880429, 0.101362, 0.108116, 0.109897, 0.110061, 0.106987, 0.104875, 0.0977355, 0.0868202, 0.0573811, 0.0425869, 0.028468, 0.0191675, 0.0113749, 0.0068421, 0.00429177, 0.00243392, 0.00130958, 0.00116767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.505516, 0.51469, 0.525746, 0.536388, 0.54873, 0.561837, 0.566907, 0.577046, 0.593055, 0.620111, 0.654507, 0.679081, 0.704385, 0.764898, 0.810216, 0.845739, 0.871095, 0.890324, 0.926126, 0.966711, 1.04378, 1.13636");
-            values ( \
-              "0.0734655, 0.0816049, 0.109547, 0.125186, 0.134091, 0.137251, 0.137378, 0.136608, 0.134106, 0.128596, 0.119664, 0.111818, 0.0994071, 0.0640594, 0.0424389, 0.0296479, 0.0225631, 0.0186786, 0.0133719, 0.00903686, 0.00403912, 0.0015201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.509887, 0.540013, 0.548705, 0.557072, 0.564682, 0.579902, 0.596108, 0.626133, 0.709928, 0.766201, 0.824181, 0.889821, 0.958355, 1.00106, 1.02978, 1.08183, 1.12605, 1.15546, 1.20898, 1.23007, 1.28494, 1.34764, 1.41434, 1.45748, 1.52756, 1.61275, 1.69793, 1.74529");
-            values ( \
-              "0.106988, 0.14265, 0.149919, 0.153775, 0.155639, 0.156608, 0.155799, 0.153175, 0.142639, 0.133855, 0.12263, 0.10314, 0.0800115, 0.0668967, 0.0586663, 0.0454743, 0.0361502, 0.030825, 0.022819, 0.0203733, 0.0152293, 0.0108315, 0.00752214, 0.00587566, 0.00392706, 0.00234177, 0.00140933, 0.00119964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.518091, 0.518111, 0.698495, 0.734113, 0.915605, 1.00011, 1.06142, 1.12495, 1.21014, 1.45059, 1.573, 1.65819, 1.73093, 1.80274, 1.88792, 1.92851, 2.0097, 2.08725, 2.17244, 2.2753, 2.41699, 2.58737, 2.75774, 3.0133, 3.26887");
-            values ( \
-              "1e-22, 0.171048, 0.164447, 0.162176, 0.148183, 0.140863, 0.134898, 0.127691, 0.115227, 0.0748257, 0.0566035, 0.0458229, 0.0379276, 0.0312181, 0.0246203, 0.0220086, 0.0175434, 0.014143, 0.0111029, 0.00829011, 0.00549086, 0.003315, 0.00198768, 0.000909974, 0.000420079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.956378, 0.961955, 0.986362, 0.995295, 0.998085, 1.0036, 1.01126, 1.02767, 1.04553, 1.05825, 1.06436, 1.06632, 1.07697, 1.10115, 1.12235, 1.16024, 1.16198");
-            values ( \
-              "0.0129561, 0.0149668, 0.0410125, 0.0458613, 0.0467222, 0.0474413, 0.0460349, 0.0407427, 0.0222464, 0.0135171, 0.0109087, 0.0111634, 0.00973939, 0.00430164, 0.00178762, 0.000330554, 0.000320663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.960586, 0.968747, 0.981403, 0.987555, 0.994309, 1.00043, 1.00545, 1.01614, 1.02685, 1.03705, 1.03841, 1.04545, 1.06625, 1.07484, 1.09533, 1.10447, 1.14182, 1.15661, 1.17626, 1.21364");
-            values ( \
-              "0.0299623, 0.0327093, 0.054846, 0.0632044, 0.0698942, 0.0737098, 0.0756255, 0.0763975, 0.0734047, 0.0696884, 0.0682602, 0.0652164, 0.0416055, 0.0335111, 0.0187356, 0.0150599, 0.00727082, 0.00481899, 0.00266705, 0.000844932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.964757, 0.979108, 0.997117, 1.00728, 1.01549, 1.02314, 1.03899, 1.0462, 1.06568, 1.0853, 1.11538, 1.13144, 1.15526, 1.17846, 1.20969, 1.24113, 1.27362, 1.32862, 1.34088");
-            values ( \
-              "0.0506927, 0.0677972, 0.0975393, 0.105085, 0.107793, 0.108488, 0.106184, 0.103893, 0.0968815, 0.0865919, 0.0577858, 0.0453799, 0.0303598, 0.0196745, 0.0125841, 0.00782549, 0.00436996, 0.00145582, 0.00129029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.965244, 0.989087, 0.996185, 1.00452, 1.01325, 1.02265, 1.03138, 1.04451, 1.0577, 1.08482, 1.11328, 1.1438, 1.16898, 1.21605, 1.2346, 1.26572, 1.30123, 1.32007, 1.34535, 1.36629, 1.39715, 1.44565, 1.50002, 1.54565, 1.59863, 1.6142");
-            values ( \
-              "0.0479771, 0.102822, 0.115301, 0.125216, 0.131452, 0.134888, 0.13598, 0.135451, 0.133621, 0.12849, 0.121179, 0.111646, 0.0998705, 0.0714393, 0.0613249, 0.0463346, 0.0327467, 0.0267403, 0.020504, 0.0168166, 0.0126138, 0.00785411, 0.00442936, 0.00267826, 0.00142915, 0.00124834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.974277, 0.999245, 1.01244, 1.02454, 1.02878, 1.03727, 1.05225, 1.07151, 1.08709, 1.14718, 1.17666, 1.21596, 1.23264, 1.26912, 1.28862, 1.31847, 1.43785, 1.50417, 1.55506, 1.59699, 1.62948, 1.67961, 1.72478, 1.76892, 1.83204, 1.91047, 1.97743, 2.06145, 2.14663, 2.23182, 2.40219");
-            values ( \
-              "0.117529, 0.132543, 0.14678, 0.152986, 0.154086, 0.155324, 0.155615, 0.154707, 0.153417, 0.146355, 0.142319, 0.136256, 0.133523, 0.126652, 0.12245, 0.114273, 0.075375, 0.055947, 0.0435059, 0.0349126, 0.0292202, 0.0220696, 0.0173181, 0.0137284, 0.00969605, 0.0062507, 0.00427934, 0.00256009, 0.00155689, 0.000891132, 0.000298057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.97963, 1.04368, 1.07474, 1.12081, 1.17928, 1.32176, 1.47355, 1.58945, 1.72133, 1.91513, 2.03754, 2.19546, 2.26726, 2.39305, 2.47424, 2.63697, 2.88155, 3.2223, 3.27304");
-            values ( \
-              "0.1551, 0.167493, 0.168353, 0.166745, 0.163473, 0.15294, 0.140048, 0.127779, 0.107642, 0.0748636, 0.0566352, 0.0379069, 0.0312379, 0.0220241, 0.0175289, 0.0110911, 0.00549255, 0.00198774, 0.00183194" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00164103, 0.00164104, 0.00164106, 0.00164107, 0.00164108, 0.00164108", \
-            "0.00182821, 0.00182821, 0.00182822, 0.00182822, 0.00182822, 0.00182823", \
-            "0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702", \
-            "0.00198476, 0.00198476, 0.00198475, 0.00198475, 0.00198475, 0.00198475", \
-            "0.0020199, 0.0020199, 0.00201989, 0.0020199, 0.00201989, 0.00201989", \
-            "0.00203879, 0.00203879, 0.00203879, 0.00203879, 0.00203879, 0.00203879" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00215142, 0.00215141, 0.00215141, 0.0021514, 0.0021514, 0.0021514", \
-            "0.00226046, 0.00226044, 0.00226043, 0.00226042, 0.00226042, 0.00226042", \
-            "0.00233526, 0.00233524, 0.00233523, 0.00233521, 0.0023352, 0.00233519", \
-            "0.00244994, 0.00244994, 0.00244994, 0.00244992, 0.00244991, 0.0024499", \
-            "0.00268874, 0.00268874, 0.00268875, 0.00268877, 0.00268877, 0.00268877", \
-            "0.00308621, 0.00308524, 0.00308444, 0.003084, 0.00308376, 0.00308364" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.177747, 0.192175, 0.220519, 0.277708, 0.395081, 0.63822", \
-            "0.182577, 0.197035, 0.225365, 0.282561, 0.399939, 0.643081", \
-            "0.194907, 0.209367, 0.237695, 0.294891, 0.412261, 0.655401", \
-            "0.225384, 0.239785, 0.268153, 0.325356, 0.442743, 0.685898", \
-            "0.290696, 0.305127, 0.333475, 0.390708, 0.508121, 0.751327", \
-            "0.399121, 0.413652, 0.442049, 0.499354, 0.616839, 0.860167" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0534503, 0.0707807, 0.108807, 0.190185, 0.36122, 0.719799", \
-            "0.0534443, 0.0707887, 0.108804, 0.190183, 0.361197, 0.719779", \
-            "0.0534448, 0.0707898, 0.108804, 0.190184, 0.36122, 0.719793", \
-            "0.0534693, 0.0707854, 0.108814, 0.190184, 0.36116, 0.719798", \
-            "0.0538815, 0.0710682, 0.108961, 0.190237, 0.361218, 0.7198", \
-            "0.0552429, 0.0721285, 0.109593, 0.190488, 0.36119, 0.719731" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.137679, 0.142963, 0.145653, 0.152818, 0.157537, 0.16179, 0.166061, 0.169745, 0.175827, 0.180676, 0.185294, 0.192765, 0.195762, 0.199171, 0.202971, 0.207778, 0.216634, 0.221738, 0.232507, 0.237075, 0.241617, 0.250398, 0.266022, 0.280018, 0.286106, 0.294224, 0.31046, 0.334171, 0.359808, 0.387759");
-            values ( \
-              "0.00619421, 0.0233024, 0.028281, 0.0387697, 0.0440142, 0.0470575, 0.0492616, 0.0502361, 0.0508359, 0.0499112, 0.047986, 0.0443345, 0.0439641, 0.0419672, 0.0390504, 0.0326818, 0.0238295, 0.0196826, 0.0125641, 0.011067, 0.0108247, 0.00951805, 0.00573493, 0.00326388, 0.00253023, 0.00189292, 0.000871667, 0.000385256, 7.45411e-05, 0.000100147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.137601, 0.145481, 0.154654, 0.163016, 0.168105, 0.172305, 0.177105, 0.182752, 0.189139, 0.199006, 0.21085, 0.215781, 0.221173, 0.235518, 0.248715, 0.26794, 0.277631, 0.322047, 0.332747, 0.351794, 0.377189, 0.385506");
-            values ( \
-              "0.000364557, 0.0409629, 0.0612192, 0.0727312, 0.0768466, 0.0788501, 0.0801099, 0.0804336, 0.0794942, 0.0755457, 0.0700618, 0.0669329, 0.0625754, 0.0449986, 0.0321795, 0.0184563, 0.0146261, 0.00587856, 0.00429061, 0.0024191, 0.00111862, 0.000919894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.144578, 0.152214, 0.161366, 0.170542, 0.176156, 0.181141, 0.188864, 0.196377, 0.212639, 0.236576, 0.24872, 0.30403, 0.331207, 0.353338, 0.373995, 0.405842, 0.424512, 0.4503, 0.478479, 0.512847, 0.513165");
-            values ( \
-              "0.0721847, 0.0737195, 0.0941085, 0.105388, 0.109167, 0.111087, 0.112195, 0.111757, 0.107879, 0.0983537, 0.0923954, 0.0451444, 0.0283753, 0.0188376, 0.0141473, 0.00886313, 0.00645227, 0.00401356, 0.00229233, 0.00113251, 0.00112947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.144587, 0.157977, 0.167433, 0.172026, 0.178082, 0.186366, 0.198675, 0.203944, 0.214481, 0.229814, 0.244444, 0.285209, 0.315795, 0.340862, 0.390566, 0.401785, 0.416744, 0.441785, 0.473135, 0.491166, 0.518409, 0.549654, 0.571706, 0.60668, 0.651934, 0.686839, 0.733379, 0.786629, 0.858002, 0.94311");
-            values ( \
-              "0.0621439, 0.103698, 0.122249, 0.128024, 0.133268, 0.137364, 0.139267, 0.139143, 0.13797, 0.135182, 0.131958, 0.120979, 0.111352, 0.099665, 0.0699854, 0.0639795, 0.0562493, 0.0446887, 0.0327771, 0.0271178, 0.0202745, 0.0151425, 0.0123919, 0.00876976, 0.0054908, 0.00381836, 0.00220079, 0.00123267, 0.000466909, 0.000203532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.152707, 0.185455, 0.197269, 0.209402, 0.243542, 0.348684, 0.402617, 0.460651, 0.510042, 0.624502, 0.698999, 0.75737, 0.79008, 0.847535, 0.919065, 0.9787, 1.10165, 1.26269, 1.32037");
-            values ( \
-              "0.149851, 0.153341, 0.157299, 0.158261, 0.155778, 0.141968, 0.133633, 0.122453, 0.108132, 0.0708464, 0.050125, 0.0372182, 0.0311712, 0.0225973, 0.0154317, 0.0111895, 0.00564402, 0.00217223, 0.00178313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.158345, 0.158365, 0.538549, 0.646134, 0.762105, 0.89407, 1.08796, 1.21042, 1.3686, 1.52545, 1.64716, 1.80985, 2.05461, 2.22482, 2.39504, 2.43353");
-            values ( \
-              "1e-22, 0.17793, 0.149265, 0.139957, 0.127702, 0.107583, 0.0748338, 0.0566192, 0.0378697, 0.0245891, 0.0175227, 0.0110865, 0.00550087, 0.00332638, 0.001999, 0.00188065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.15073, 0.162764, 0.165974, 0.173003, 0.177282, 0.181552, 0.185233, 0.191281, 0.196228, 0.208282, 0.211185, 0.214623, 0.218458, 0.223264, 0.233834, 0.247867, 0.252505, 0.257189, 0.266052, 0.281267, 0.295408, 0.310101, 0.326251, 0.345064");
-            values ( \
-              "0.00161901, 0.0307197, 0.0359434, 0.0440979, 0.0470082, 0.0493521, 0.050178, 0.0509165, 0.0499603, 0.0443824, 0.0440759, 0.041894, 0.0391303, 0.0326167, 0.0224306, 0.0126454, 0.0110567, 0.0108077, 0.00947603, 0.0057916, 0.00328141, 0.00185914, 0.000864338, 0.000479026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.156315, 0.166118, 0.172897, 0.183361, 0.188905, 0.194434, 0.204625, 0.224723, 0.233741, 0.249855, 0.260666, 0.281903, 0.291051, 0.330593, 0.344485, 0.362112, 0.384411, 0.4059");
-            values ( \
-              "0.0289951, 0.0536026, 0.066072, 0.0768202, 0.0792064, 0.0804245, 0.079444, 0.070916, 0.0656809, 0.0462427, 0.0352275, 0.0193782, 0.0151744, 0.00704588, 0.00478887, 0.00283738, 0.00143588, 0.000783428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.156389, 0.164619, 0.168977, 0.176477, 0.18602, 0.191651, 0.196654, 0.204375, 0.211887, 0.228153, 0.252007, 0.264335, 0.273744, 0.303231, 0.319533, 0.338164, 0.346716, 0.357103, 0.368859, 0.389505, 0.421315, 0.440018, 0.465873, 0.49409, 0.528508, 0.54521");
-            values ( \
-              "0.0182958, 0.0644029, 0.0773127, 0.0935702, 0.10547, 0.1091, 0.111179, 0.112131, 0.111835, 0.10789, 0.0983852, 0.0923378, 0.0852445, 0.058013, 0.0451489, 0.0330441, 0.0283753, 0.0232826, 0.0188345, 0.0141474, 0.00886856, 0.00645281, 0.00400887, 0.00228739, 0.001129, 0.000863746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.160772, 0.17665, 0.184785, 0.193665, 0.201909, 0.214599, 0.219524, 0.237277, 0.25889, 0.300788, 0.331374, 0.356325, 0.406143, 0.417365, 0.432327, 0.457773, 0.488558, 0.506283, 0.533174, 0.548673, 0.566197, 0.588125, 0.622778, 0.666569, 0.7014, 0.74796, 0.871965, 0.957073");
-            values ( \
-              "0.0719744, 0.110926, 0.124677, 0.133218, 0.137427, 0.139224, 0.139215, 0.136837, 0.132282, 0.121027, 0.111401, 0.0996794, 0.0700337, 0.064025, 0.0562003, 0.0445592, 0.0327838, 0.0272974, 0.0203972, 0.0176165, 0.0149658, 0.0123383, 0.0086829, 0.00550559, 0.00390435, 0.00218473, 0.000435098, 0.000249179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.168736, 0.225473, 0.259091, 0.364084, 0.418064, 0.476121, 0.518872, 0.639449, 0.701335, 0.777627, 0.815451, 0.882478, 0.958621, 1.02093, 1.16255, 1.32715, 1.34933");
-            values ( \
-              "0.151889, 0.158306, 0.155829, 0.142029, 0.133548, 0.122407, 0.110223, 0.0709426, 0.0533913, 0.0363332, 0.0294376, 0.0203312, 0.0135213, 0.0097103, 0.00427959, 0.00155971, 0.00145759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.174169, 0.174189, 0.355715, 0.423353, 0.591978, 0.661461, 0.777434, 0.909379, 1.07619, 1.22573, 1.38391, 1.54076, 1.66247, 1.74005, 1.82515, 1.98482, 2.15503, 2.32525, 2.53624");
-            values ( \
-              "1e-22, 0.178832, 0.16376, 0.159463, 0.146134, 0.140024, 0.127777, 0.10766, 0.0790678, 0.0566904, 0.0377999, 0.02452, 0.0174541, 0.014232, 0.0110183, 0.00695043, 0.00418481, 0.0024859, 0.00146356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.188722, 0.198855, 0.203798, 0.215027, 0.219304, 0.222979, 0.228988, 0.23405, 0.248934, 0.252371, 0.26992, 0.285651, 0.290269, 0.294907, 0.303748, 0.319124, 0.333198, 0.347665, 0.363657, 0.387112, 0.392007");
-            values ( \
-              "0.000449941, 0.0283302, 0.0360079, 0.047056, 0.0493005, 0.0502291, 0.0508651, 0.0498813, 0.0440266, 0.0419391, 0.0237769, 0.0126103, 0.0110695, 0.010822, 0.00949723, 0.0057581, 0.0032662, 0.00188114, 0.000869723, 0.000396278, 0.000369646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.188794, 0.208103, 0.21633, 0.22139, 0.22638, 0.232388, 0.242563, 0.262688, 0.27168, 0.2878, 0.298625, 0.319857, 0.328991, 0.368545, 0.382437, 0.400062, 0.422358, 0.437531");
-            values ( \
-              "0.00473767, 0.0614394, 0.0727346, 0.0767508, 0.0791105, 0.0803671, 0.0795095, 0.0709081, 0.065698, 0.0462497, 0.0352212, 0.019377, 0.0151774, 0.00704627, 0.00478937, 0.00283795, 0.00143563, 0.00097538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.194702, 0.202475, 0.209347, 0.214364, 0.22399, 0.229568, 0.234524, 0.242245, 0.249758, 0.26602, 0.289947, 0.302105, 0.311502, 0.3411, 0.35741, 0.376049, 0.384587, 0.394958, 0.406719, 0.427375, 0.459209, 0.477891, 0.503712, 0.531929, 0.566349, 0.611196, 0.675315");
-            values ( \
-              "0.0229767, 0.0645115, 0.0834511, 0.0935023, 0.105472, 0.109206, 0.1111, 0.11221, 0.111758, 0.10789, 0.0983592, 0.092393, 0.0853478, 0.0580128, 0.0451446, 0.0330362, 0.0283753, 0.02329, 0.0188374, 0.0141472, 0.00886509, 0.0064524, 0.00401106, 0.00228901, 0.0011295, 0.000417188, 9.39333e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.198909, 0.207236, 0.212637, 0.222688, 0.231461, 0.23961, 0.251942, 0.257317, 0.268069, 0.293295, 0.310079, 0.338582, 0.369168, 0.394128, 0.443937, 0.455159, 0.49528, 0.517491, 0.544399, 0.571633, 0.603225, 0.625547, 0.660657, 0.704147, 0.738945, 0.785478, 0.838603, 0.909419, 0.994527");
-            values ( \
-              "0.0788921, 0.0919722, 0.10693, 0.12489, 0.133291, 0.137349, 0.139268, 0.139148, 0.137945, 0.133008, 0.128842, 0.120938, 0.111311, 0.0997646, 0.0699431, 0.0639333, 0.0445904, 0.0359217, 0.027111, 0.0203521, 0.0151637, 0.0122881, 0.00876606, 0.00560898, 0.00382299, 0.00228276, 0.00120361, 0.000528295, 0.000158783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.202342, 0.219315, 0.238574, 0.250062, 0.263258, 0.278499, 0.296771, 0.401831, 0.455763, 0.513797, 0.563285, 0.677698, 0.752832, 0.836764, 0.894643, 0.919873, 0.991482, 1.0569, 1.13726, 1.20332, 1.37025, 1.39963");
-            values ( \
-              "0.122786, 0.132788, 0.153366, 0.157221, 0.158259, 0.157544, 0.155768, 0.141974, 0.133638, 0.122458, 0.108097, 0.0708387, 0.0499627, 0.0323078, 0.0233584, 0.0203851, 0.0139313, 0.00974468, 0.00621601, 0.00426032, 0.00156714, 0.00142246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.212248, 0.212268, 0.390992, 0.49022, 0.628935, 0.739948, 0.815122, 0.947082, 1.14097, 1.26343, 1.42162, 1.57847, 1.70017, 1.77775, 1.92343, 2.10762, 2.44805, 2.50343");
-            values ( \
-              "1e-22, 0.179533, 0.163989, 0.157245, 0.146106, 0.135919, 0.127678, 0.10756, 0.0748096, 0.0565948, 0.0378942, 0.0246138, 0.0175475, 0.0141388, 0.00935607, 0.00547585, 0.00197395, 0.00180371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.282406, 0.287962, 0.297859, 0.306847, 0.314809, 0.320728, 0.326078, 0.340776, 0.344213, 0.363464, 0.377628, 0.382107, 0.395483, 0.411066, 0.425071, 0.43939, 0.455192, 0.47844, 0.531638");
-            values ( \
-              "0.00477068, 0.0232563, 0.0387213, 0.0470377, 0.0502161, 0.0508068, 0.0497872, 0.044042, 0.0419087, 0.0223781, 0.0125668, 0.0110528, 0.00949145, 0.0057648, 0.00328917, 0.00186574, 0.000906816, 0.000379737, 8.44065e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.282424, 0.293516, 0.30258, 0.313213, 0.317729, 0.322727, 0.327023, 0.33736, 0.355998, 0.361173, 0.395722, 0.412259, 0.423709, 0.47068, 0.500031, 0.522662");
-            values ( \
-              "0.00499951, 0.0477697, 0.0658756, 0.0767943, 0.078864, 0.0801761, 0.0804546, 0.0785089, 0.0701156, 0.0666704, 0.0306541, 0.0188824, 0.0143937, 0.00533746, 0.00219413, 0.00113732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.289761, 0.297357, 0.306562, 0.315701, 0.326345, 0.334071, 0.341585, 0.357848, 0.381829, 0.393845, 0.403232, 0.43293, 0.449245, 0.476418, 0.486774, 0.49854, 0.519205, 0.551125, 0.569731, 0.59536, 0.62338, 0.65753, 0.67286");
-            values ( \
-              "0.0726255, 0.073481, 0.0940385, 0.105321, 0.111086, 0.112129, 0.111779, 0.107874, 0.0983386, 0.0924402, 0.0854393, 0.0580123, 0.0451412, 0.0283754, 0.0232964, 0.0188401, 0.014147, 0.00885266, 0.00645128, 0.00402511, 0.00230707, 0.0011455, 0.00089789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.289803, 0.30327, 0.312587, 0.316916, 0.323338, 0.331407, 0.343743, 0.349199, 0.360111, 0.375072, 0.389073, 0.430466, 0.461052, 0.485814, 0.535818, 0.547044, 0.562013, 0.58728, 0.618297, 0.636146, 0.66296, 0.678356, 0.695696, 0.718816, 0.753156, 0.794915, 0.829444, 0.875474, 0.92805, 0.997578, 1.08269");
-            values ( \
-              "0.0619255, 0.103702, 0.122009, 0.12761, 0.133201, 0.137301, 0.139216, 0.139167, 0.137876, 0.135213, 0.132137, 0.121005, 0.111379, 0.0998045, 0.070013, 0.0640026, 0.0562168, 0.0446114, 0.0327831, 0.0272229, 0.0203958, 0.0176355, 0.0150102, 0.0122013, 0.00864159, 0.00560515, 0.00395512, 0.00226167, 0.00131199, 0.000481562, 0.000239212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.297954, 0.330795, 0.342551, 0.354983, 0.364959, 0.388922, 0.484585, 0.548008, 0.590872, 0.606041, 0.636043, 0.759847, 0.821718, 0.872711, 0.898458, 0.947323, 0.997387, 1.04247, 1.08665, 1.14981, 1.22832, 1.29415, 1.37675, 1.46186, 1.63207, 1.71718");
-            values ( \
-              "0.148701, 0.15326, 0.15731, 0.1583, 0.158002, 0.155817, 0.143322, 0.133696, 0.125648, 0.122245, 0.114169, 0.0739156, 0.0559571, 0.0434473, 0.0380164, 0.0291524, 0.0220877, 0.0173506, 0.0136949, 0.00972703, 0.00628012, 0.00427431, 0.00263269, 0.00155002, 0.000532907, 0.000336195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.303772, 0.303792, 0.554456, 0.684186, 0.791772, 0.907742, 1.03971, 1.20652, 1.35606, 1.44117, 1.51424, 1.67109, 1.7928, 1.87038, 1.95548, 2.11514, 2.28535, 2.45557, 2.64671");
-            values ( \
-              "1e-22, 0.177482, 0.159384, 0.149304, 0.139995, 0.12774, 0.107622, 0.0791016, 0.0566589, 0.045747, 0.0378296, 0.024549, 0.0174825, 0.0142039, 0.0110462, 0.00697693, 0.00421112, 0.00251202, 0.00158574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.493269, 0.499663, 0.513036, 0.51663, 0.52379, 0.527575, 0.534144, 0.537857, 0.543288, 0.559661, 0.574589, 0.592096, 0.608942, 0.628546, 0.647325, 0.661002, 0.683147, 0.684585");
-            values ( \
-              "0.00317084, 0.0211599, 0.0402755, 0.043772, 0.0482149, 0.0494614, 0.0502262, 0.0496924, 0.0476872, 0.0406003, 0.0239609, 0.0118838, 0.0093997, 0.00489105, 0.00225345, 0.00128274, 0.00047498, 0.000463392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.493458, 0.505497, 0.514124, 0.525996, 0.535129, 0.540783, 0.547237, 0.557101, 0.576793, 0.602506, 0.629551, 0.665719, 0.692581, 0.7233, 0.726291");
-            values ( \
-              "0.00321152, 0.0447287, 0.0621418, 0.0755021, 0.0793233, 0.0798618, 0.0790751, 0.0753479, 0.0652292, 0.0360299, 0.0166775, 0.00851947, 0.00408991, 0.00158459, 0.00151815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.497693, 0.50636, 0.513596, 0.519395, 0.528614, 0.539265, 0.547059, 0.554562, 0.571045, 0.594939, 0.606708, 0.616075, 0.645996, 0.662321, 0.689484, 0.699816, 0.711588, 0.732268, 0.76426, 0.782801, 0.808284, 0.83618, 0.870168, 0.881978");
-            values ( \
-              "0.0183682, 0.0601654, 0.08018, 0.0920217, 0.103997, 0.110294, 0.111535, 0.111456, 0.107674, 0.0982753, 0.0925215, 0.0856307, 0.0580092, 0.045136, 0.0283773, 0.0233093, 0.0188454, 0.0141469, 0.00884238, 0.00645052, 0.00403572, 0.00231995, 0.00115578, 0.000963062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.501989, 0.516557, 0.522014, 0.527506, 0.536309, 0.544423, 0.553607, 0.562287, 0.569866, 0.581743, 0.604139, 0.618455, 0.653877, 0.674185, 0.698258, 0.70868, 0.727033, 0.748957, 0.775168, 0.793153, 0.81674, 0.834038, 0.854468, 0.880343, 0.903033, 0.938644, 0.978375, 1.03, 1.07025, 1.12162, 1.18212, 1.26683");
-            values ( \
-              "0.0611333, 0.102232, 0.114241, 0.123105, 0.132104, 0.136494, 0.138576, 0.138778, 0.138164, 0.136312, 0.131569, 0.127912, 0.117997, 0.111089, 0.100443, 0.0934793, 0.0832508, 0.0698708, 0.056264, 0.0477407, 0.0380936, 0.0319543, 0.025697, 0.0196164, 0.0158848, 0.0113817, 0.00769646, 0.00446388, 0.00287921, 0.00156858, 0.000783031, 0.00024867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.507651, 0.529015, 0.543323, 0.554826, 0.568818, 0.601834, 0.706909, 0.760839, 0.818873, 0.867941, 0.982557, 1.05619, 1.11604, 1.14966, 1.20614, 1.27553, 1.33312, 1.38718, 1.46466, 1.62628, 1.70297");
-            values ( \
-              "0.126813, 0.138588, 0.152334, 0.156651, 0.158002, 0.155712, 0.141965, 0.133623, 0.122439, 0.108247, 0.0708865, 0.0503702, 0.0371397, 0.0309273, 0.0225624, 0.0155675, 0.0114365, 0.00851122, 0.00550271, 0.0021142, 0.00160662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.516128, 0.516148, 0.678076, 0.75271, 0.89675, 1.00427, 1.12024, 1.25219, 1.44608, 1.56854, 1.65365, 1.72672, 1.79847, 1.88357, 2.00528, 2.08286, 2.24269, 2.41272, 2.58294, 2.75315, 2.91089");
-            values ( \
-              "1e-22, 0.177548, 0.164999, 0.160355, 0.149229, 0.139948, 0.127699, 0.10758, 0.0748235, 0.0566078, 0.0457987, 0.0378831, 0.0312121, 0.0246034, 0.0175379, 0.0141488, 0.00898001, 0.00548382, 0.00330911, 0.00198156, 0.00149649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.951429, 0.959175, 0.978028, 0.982074, 0.989325, 0.993469, 0.998802, 1.00632, 1.02258, 1.0359, 1.04004, 1.05107, 1.05492, 1.05748, 1.06991, 1.09213, 1.10081, 1.11186, 1.12658, 1.15066, 1.20302");
-            values ( \
-              "0.00347194, 0.016629, 0.0393152, 0.0425734, 0.046801, 0.0480748, 0.0484854, 0.046952, 0.0410415, 0.0261974, 0.0225794, 0.0147159, 0.0123338, 0.0115904, 0.0100306, 0.00494753, 0.0035054, 0.00222462, 0.00117819, 0.000424, 6.97053e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.951523, 0.962373, 0.97374, 0.983914, 0.989554, 0.99541, 1.00039, 1.01088, 1.02202, 1.03292, 1.03869, 1.04403, 1.05485, 1.06173, 1.07176, 1.08203, 1.09001, 1.09757, 1.13695, 1.15116, 1.15744, 1.17001, 1.19262, 1.2159, 1.2206");
-            values ( \
-              "0.000234627, 0.0297404, 0.0518961, 0.0669066, 0.0720013, 0.0757149, 0.0771968, 0.0777453, 0.074048, 0.069491, 0.0662058, 0.0612512, 0.0480339, 0.0406367, 0.0313916, 0.0237262, 0.0184984, 0.0152376, 0.00714416, 0.00482913, 0.00399842, 0.00276975, 0.00134192, 0.0006661, 0.000619922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.958431, 0.975249, 0.985611, 0.997816, 1.00249, 1.01051, 1.01808, 1.03255, 1.03606, 1.05863, 1.07054, 1.12616, 1.15334, 1.17548, 1.18909, 1.21591, 1.24834, 1.26942, 1.29308, 1.32278, 1.3279");
-            values ( \
-              "0.0304671, 0.0715573, 0.0916971, 0.104778, 0.107189, 0.109668, 0.109827, 0.107637, 0.106612, 0.0980857, 0.0923394, 0.0451408, 0.0283749, 0.0188379, 0.0155413, 0.0106981, 0.00635878, 0.0043201, 0.00273367, 0.00149396, 0.00142171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.964191, 0.978807, 0.990842, 0.997224, 1.00334, 1.01149, 1.02316, 1.02572, 1.03579, 1.04668, 1.07019, 1.10726, 1.12181, 1.13786, 1.16257, 1.21263, 1.23117, 1.24385, 1.26921, 1.28595, 1.30334, 1.32226, 1.34768, 1.37076, 1.39543, 1.43, 1.47161, 1.50617, 1.55182, 1.60445, 1.67319, 1.7583");
-            values ( \
-              "0.0739722, 0.094187, 0.118368, 0.126125, 0.13121, 0.135336, 0.137435, 0.137581, 0.137043, 0.13553, 0.130752, 0.120811, 0.116567, 0.111243, 0.0999494, 0.0699055, 0.0601403, 0.0537786, 0.0424562, 0.036036, 0.0301237, 0.0245765, 0.0189688, 0.0152963, 0.0121815, 0.00868134, 0.0056573, 0.00391157, 0.00232132, 0.00127052, 0.000537814, 0.000193652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.968559, 0.987342, 0.998399, 1.0076, 1.01954, 1.03532, 1.04711, 1.06295, 1.14242, 1.2248, 1.25636, 1.28263, 1.32035, 1.43998, 1.47978, 1.52723, 1.5993, 1.64401, 1.68813, 1.7413, 1.84192, 1.91148, 1.98474, 2.15365, 2.18597");
-            values ( \
-              "0.114119, 0.124365, 0.141632, 0.149887, 0.155092, 0.157106, 0.156882, 0.155706, 0.145857, 0.133745, 0.127909, 0.122347, 0.111919, 0.0728197, 0.0610061, 0.0486643, 0.0334975, 0.0260431, 0.0204488, 0.0153858, 0.00888787, 0.00609585, 0.00390538, 0.00137893, 0.00124741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.973964, 1.00883, 1.02108, 1.02727, 1.03553, 1.04735, 1.05551, 1.06269, 1.07969, 1.18847, 1.29923, 1.3911, 1.4684, 1.5699, 1.61356, 1.66092, 1.71619, 1.88302, 1.96458, 2.03277, 2.11787, 2.19032, 2.26222, 2.34733, 2.38815, 2.46978, 2.54719, 2.6323, 2.73487, 2.79117, 2.87628, 2.96139, 3.0465, 3.21671, 3.47203, 3.72735");
-            values ( \
-              "0.152066, 0.159802, 0.165917, 0.167438, 0.168701, 0.169338, 0.169385, 0.169303, 0.168553, 0.162327, 0.154179, 0.146713, 0.139939, 0.129453, 0.123657, 0.116492, 0.107549, 0.0791693, 0.0663159, 0.0565677, 0.0457848, 0.0379304, 0.0312301, 0.0246242, 0.0220117, 0.0175141, 0.0141356, 0.0110911, 0.00829338, 0.00703743, 0.00549783, 0.00426756, 0.00332094, 0.00199217, 0.000912931, 0.000421035" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00164138, 0.00164139, 0.00164141, 0.00164142, 0.00164143, 0.00164143", \
-            "0.00182848, 0.00182848, 0.00182848, 0.00182849, 0.00182849, 0.00182849", \
-            "0.00192713, 0.00192713, 0.00192713, 0.00192713, 0.00192713, 0.00192713", \
-            "0.00198473, 0.00198473, 0.00198473, 0.00198473, 0.00198473, 0.00198473", \
-            "0.00201979, 0.00201979, 0.00201979, 0.00201979, 0.00201979, 0.00201979", \
-            "0.00203866, 0.00203866, 0.00203866, 0.00203866, 0.00203866, 0.00203866" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00215188, 0.00215187, 0.00215187, 0.00215187, 0.00215187, 0.00215187", \
-            "0.00226049, 0.00226047, 0.00226046, 0.00226045, 0.00226045, 0.00226045", \
-            "0.00233423, 0.00233422, 0.0023342, 0.00233419, 0.00233418, 0.00233417", \
-            "0.00244709, 0.00244708, 0.00244708, 0.00244707, 0.00244706, 0.00244705", \
-            "0.00268586, 0.00268586, 0.00268585, 0.00268588, 0.00268588, 0.00268587", \
-            "0.00307501, 0.00307379, 0.00307276, 0.00307215, 0.00307184, 0.00307168" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.172906, 0.187438, 0.215968, 0.273248, 0.390373, 0.633414", \
-            "0.177628, 0.192159, 0.220688, 0.277956, 0.395093, 0.63813", \
-            "0.18995, 0.204482, 0.233011, 0.290293, 0.407422, 0.650458", \
-            "0.220089, 0.234595, 0.263133, 0.320423, 0.437569, 0.680613", \
-            "0.281955, 0.296526, 0.325034, 0.382336, 0.499526, 0.742609", \
-            "0.382438, 0.397055, 0.425628, 0.482981, 0.60024, 0.843431" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0538389, 0.0712497, 0.109185, 0.190108, 0.360694, 0.719252", \
-            "0.0538378, 0.0712493, 0.109184, 0.190094, 0.360715, 0.719252", \
-            "0.0538403, 0.0712508, 0.10918, 0.190105, 0.360714, 0.719252", \
-            "0.0538769, 0.0712813, 0.109202, 0.190108, 0.360714, 0.71925", \
-            "0.0543424, 0.0715825, 0.109362, 0.190126, 0.360709, 0.719238", \
-            "0.0554307, 0.0724443, 0.109844, 0.190301, 0.36073, 0.719302" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.132103, 0.137348, 0.145186, 0.152177, 0.161003, 0.164714, 0.170932, 0.175616, 0.187886, 0.191025, 0.194415, 0.213797, 0.22704, 0.232464, 0.233928, 0.242709, 0.26525, 0.285247, 0.299948, 0.322282, 0.32714");
-            values ( \
-              "0.00741089, 0.0222935, 0.0350252, 0.0431248, 0.0486777, 0.0496129, 0.0504076, 0.0496033, 0.044155, 0.0437241, 0.0417697, 0.022171, 0.0130424, 0.0108073, 0.0110401, 0.0100545, 0.0049986, 0.00223258, 0.00119111, 0.000462377, 0.000426932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.132215, 0.140163, 0.145487, 0.152419, 0.158001, 0.163235, 0.165074, 0.170944, 0.174233, 0.179358, 0.18444, 0.194604, 0.206299, 0.217003, 0.218786, 0.229483, 0.239648, 0.245694, 0.262198, 0.265946, 0.270942, 0.303482, 0.313373, 0.322186, 0.329692, 0.339701, 0.35972, 0.390237, 0.425276");
-            values ( \
-              "0.00128905, 0.0396835, 0.0522855, 0.0649075, 0.0714856, 0.0760288, 0.0769983, 0.0791569, 0.0795737, 0.0798177, 0.0789133, 0.0750664, 0.0697277, 0.0620674, 0.0592727, 0.0465005, 0.0361936, 0.0309503, 0.0192216, 0.0172223, 0.0152306, 0.00847241, 0.00662988, 0.0051325, 0.00412942, 0.00311194, 0.0016284, 0.000629057, 0.00015947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.139394, 0.148614, 0.155217, 0.165558, 0.171281, 0.176332, 0.184126, 0.191652, 0.207734, 0.243277, 0.248001, 0.257296, 0.279235, 0.296387, 0.307803, 0.322586, 0.335826, 0.346155, 0.360341, 0.387753, 0.416926, 0.439268, 0.463813, 0.496327, 0.53778, 0.594272");
-            values ( \
-              "0.0701532, 0.0764939, 0.0908321, 0.104222, 0.107997, 0.11026, 0.111336, 0.111204, 0.107397, 0.0927893, 0.0899595, 0.0822042, 0.0616664, 0.0476341, 0.0395865, 0.0307253, 0.0239483, 0.0197372, 0.0159838, 0.0109043, 0.00678138, 0.00453298, 0.00280047, 0.00145322, 0.000581569, 0.000168424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.139417, 0.153266, 0.164427, 0.173254, 0.181548, 0.193804, 0.210282, 0.244761, 0.266056, 0.295199, 0.311272, 0.336376, 0.345668, 0.397054, 0.436602, 0.459266, 0.48668, 0.513589, 0.525465, 0.5628, 0.597929, 0.625022, 0.670145, 0.716629, 0.769859, 0.922958");
-            values ( \
-              "0.063706, 0.10284, 0.123451, 0.13211, 0.136193, 0.138568, 0.137252, 0.130573, 0.125309, 0.117066, 0.111544, 0.100038, 0.0937352, 0.063988, 0.0448089, 0.0359138, 0.0269269, 0.0203872, 0.0181254, 0.0127553, 0.00921838, 0.0068833, 0.00423776, 0.00263997, 0.001302, 0.000128227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.147913, 0.180695, 0.192569, 0.20479, 0.220591, 0.251269, 0.344025, 0.397923, 0.455896, 0.495819, 0.613698, 0.658781, 0.743837, 0.786674, 0.857244, 0.918787, 1.01318, 1.04701, 1.16665, 1.33606, 1.50548, 1.59018");
-            values ( \
-              "0.150944, 0.152081, 0.156439, 0.157458, 0.156656, 0.154121, 0.142423, 0.133759, 0.122529, 0.111217, 0.0726388, 0.0593345, 0.0388295, 0.0308081, 0.0208288, 0.0149633, 0.00893364, 0.00738887, 0.00366115, 0.00125566, 0.00038141, 0.000369897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.153776, 0.153796, 0.432584, 0.527891, 0.715067, 0.757317, 0.841818, 1.08293, 1.20535, 1.36418, 1.43563, 1.56085, 1.71949, 1.80419, 1.90783, 2.04946, 2.21887, 2.38828, 2.53613");
-            values ( \
-              "1e-22, 0.177476, 0.157484, 0.149943, 0.132621, 0.127686, 0.115333, 0.0747746, 0.0565446, 0.0379034, 0.0310993, 0.0219331, 0.0140689, 0.0112002, 0.00819774, 0.0053997, 0.00323314, 0.00190743, 0.00144941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.14762, 0.152762, 0.157219, 0.162929, 0.167537, 0.172066, 0.180125, 0.187904, 0.195818, 0.203343, 0.204344, 0.206345, 0.209776, 0.213592, 0.218417, 0.227279, 0.231817, 0.24491, 0.249445, 0.251138, 0.260503, 0.27671, 0.290833, 0.29714, 0.30555, 0.320524, 0.34488, 0.371506, 0.400608");
-            values ( \
-              "0.0077787, 0.0225189, 0.0300117, 0.0380976, 0.0428776, 0.046521, 0.049807, 0.0503058, 0.0477433, 0.0439599, 0.0441262, 0.0436487, 0.041892, 0.0388256, 0.0326594, 0.0237114, 0.0199925, 0.0116161, 0.0109069, 0.0108885, 0.00965074, 0.00576343, 0.00327738, 0.00251716, 0.00188037, 0.000906722, 0.000397603, 5.61549e-05, 0.000103862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.147492, 0.155373, 0.164839, 0.173205, 0.179057, 0.18279, 0.189442, 0.199648, 0.221508, 0.232205, 0.244727, 0.260836, 0.277372, 0.286327, 0.328558, 0.35492, 0.374952, 0.396262");
-            values ( \
-              "0.00186286, 0.0394555, 0.0601961, 0.0716955, 0.0763209, 0.078141, 0.0797383, 0.0790731, 0.069723, 0.062078, 0.0464552, 0.0310082, 0.0192515, 0.0151763, 0.00663753, 0.00311345, 0.00162483, 0.00114773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.154776, 0.164103, 0.170379, 0.175579, 0.180934, 0.186658, 0.191711, 0.199504, 0.207031, 0.222316, 0.258754, 0.273222, 0.300881, 0.32006, 0.343932, 0.354771, 0.365839, 0.384691, 0.409167, 0.427319, 0.4527, 0.474893, 0.505575, 0.543518, 0.597219");
-            values ( \
-              "0.0701616, 0.0766002, 0.0902703, 0.0984117, 0.104058, 0.108158, 0.110104, 0.111493, 0.11105, 0.107687, 0.092751, 0.0817037, 0.0563234, 0.041668, 0.0275107, 0.0223401, 0.0184012, 0.0141792, 0.00996168, 0.00739466, 0.00468, 0.00305708, 0.00163462, 0.00073488, 0.00021111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.154733, 0.167988, 0.178752, 0.188635, 0.198767, 0.211406, 0.221285, 0.240705, 0.265595, 0.296128, 0.326642, 0.351779, 0.401216, 0.445316, 0.486151, 0.506544, 0.5342, 0.562531, 0.619464, 0.657757, 0.692162, 0.738327, 0.79094, 0.803864");
-            values ( \
-              "0.0630273, 0.101306, 0.122054, 0.132122, 0.136844, 0.138611, 0.137835, 0.134558, 0.129308, 0.121288, 0.111676, 0.0998848, 0.0701485, 0.0478889, 0.0319533, 0.0257837, 0.0192241, 0.0147295, 0.00850312, 0.00571671, 0.00408713, 0.00229738, 0.00136914, 0.00127676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.163233, 0.196153, 0.207992, 0.220236, 0.236037, 0.27716, 0.359529, 0.413351, 0.471302, 0.53714, 0.620659, 0.713508, 0.791, 0.838131, 0.876689, 0.922568, 1.02045, 1.13644, 1.21292, 1.29762, 1.32886");
-            values ( \
-              "0.149697, 0.152311, 0.156221, 0.157247, 0.156864, 0.152993, 0.142411, 0.133942, 0.122672, 0.103069, 0.0752862, 0.0492335, 0.0329298, 0.0251813, 0.0203879, 0.0159432, 0.00935928, 0.00497645, 0.00304442, 0.00194768, 0.00174679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.169066, 0.169086, 0.41651, 0.54928, 0.656775, 0.772743, 0.904549, 1.07128, 1.22077, 1.37962, 1.53577, 1.6573, 1.73491, 1.81961, 1.9802, 2.14961, 2.31902, 2.51212");
-            values ( \
-              "1e-22, 0.17701, 0.15984, 0.149455, 0.140143, 0.127877, 0.10775, 0.0790763, 0.0567342, 0.0377143, 0.0244987, 0.0174404, 0.0142561, 0.0110137, 0.00692395, 0.00417226, 0.0024781, 0.00153769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.185127, 0.190475, 0.200647, 0.209785, 0.217845, 0.225625, 0.233539, 0.24754, 0.266983, 0.280315, 0.285649, 0.289912, 0.300682, 0.318973, 0.331042, 0.352129, 0.400702, 0.428833");
-            values ( \
-              "0.00669272, 0.0224397, 0.0380538, 0.0464843, 0.0497777, 0.0502833, 0.0477243, 0.0419061, 0.0220004, 0.0128302, 0.0109365, 0.0108274, 0.0091746, 0.00479135, 0.00291708, 0.00115167, 6.23827e-05, 0.000155781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.185152, 0.193224, 0.202689, 0.211074, 0.216303, 0.22059, 0.227301, 0.237508, 0.259368, 0.270059, 0.282622, 0.29863, 0.315198, 0.324361, 0.366398, 0.392784, 0.412823, 0.434971");
-            values ( \
-              "0.00085919, 0.039477, 0.0601374, 0.0716719, 0.075836, 0.0781012, 0.0797246, 0.0790615, 0.0697227, 0.0620832, 0.0464148, 0.031062, 0.0192777, 0.0151268, 0.00664141, 0.00311259, 0.00162479, 0.0011291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.192585, 0.203456, 0.207806, 0.216095, 0.221383, 0.229595, 0.237458, 0.244911, 0.260195, 0.268462, 0.296769, 0.301971, 0.311833, 0.33697, 0.350321, 0.365578, 0.376821, 0.386525, 0.399165, 0.413767, 0.440451, 0.45793, 0.469991, 0.49329, 0.516858, 0.549513, 0.590759, 0.647684");
-            values ( \
-              "0.0669871, 0.0802312, 0.0895358, 0.101382, 0.106146, 0.110156, 0.111488, 0.111082, 0.10767, 0.104572, 0.0926887, 0.0894445, 0.0810223, 0.0577952, 0.0471356, 0.0366602, 0.0302095, 0.025173, 0.0197792, 0.0159453, 0.0109993, 0.00835788, 0.00681271, 0.00445634, 0.00282344, 0.0014456, 0.000595803, 0.000157382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.192631, 0.205879, 0.21667, 0.226521, 0.236653, 0.249475, 0.258805, 0.278591, 0.305598, 0.334043, 0.364538, 0.390687, 0.438865, 0.450815, 0.466747, 0.493683, 0.519017, 0.532664, 0.550505, 0.574783, 0.595368, 0.620616, 0.655652, 0.67006, 0.698875, 0.734327, 0.780234, 0.834425, 0.989483");
-            values ( \
-              "0.0632167, 0.101302, 0.122086, 0.13211, 0.136852, 0.138604, 0.137874, 0.134533, 0.128803, 0.121355, 0.111735, 0.0992802, 0.070297, 0.0638583, 0.0555911, 0.0433292, 0.0336648, 0.0292669, 0.0240463, 0.0188933, 0.0155974, 0.01225, 0.00878947, 0.00752603, 0.00564952, 0.00377498, 0.00231513, 0.0011609, 0.000130246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.201137, 0.234015, 0.245848, 0.258112, 0.273909, 0.31461, 0.397392, 0.451213, 0.509165, 0.575003, 0.658521, 0.75085, 0.829334, 0.877753, 0.914621, 0.958588, 1.05769, 1.12221, 1.18881, 1.26889, 1.3536, 1.38254");
-            values ( \
-              "0.150081, 0.152298, 0.156231, 0.157258, 0.156852, 0.153027, 0.1424, 0.133932, 0.122661, 0.103058, 0.0752972, 0.0493501, 0.0328342, 0.0249429, 0.0203891, 0.0161111, 0.00940137, 0.00654246, 0.00456848, 0.00273372, 0.00174091, 0.00157485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.207013, 0.207033, 0.454462, 0.58717, 0.694665, 0.810633, 0.942438, 1.10917, 1.25866, 1.4175, 1.57366, 1.69519, 1.7728, 1.85751, 2.01808, 2.18749, 2.35691, 2.54356");
-            values ( \
-              "1e-22, 0.177131, 0.159826, 0.149446, 0.140133, 0.127867, 0.10774, 0.0790864, 0.0567235, 0.0377254, 0.0245096, 0.0174508, 0.0142452, 0.0110244, 0.0069351, 0.00418344, 0.00248928, 0.00158026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.276884, 0.28196, 0.289926, 0.296798, 0.305754, 0.309463, 0.315615, 0.320525, 0.339246, 0.357583, 0.375202, 0.386645, 0.410933, 0.429427, 0.442877, 0.465371, 0.466905");
-            values ( \
-              "0.00860723, 0.0220734, 0.0348095, 0.0428178, 0.0485322, 0.0495944, 0.050307, 0.0494735, 0.0418276, 0.0230043, 0.0112487, 0.0101409, 0.00483766, 0.00228089, 0.00130212, 0.000485625, 0.000473592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.276871, 0.28465, 0.294218, 0.302558, 0.307801, 0.312405, 0.317667, 0.321283, 0.32907, 0.336855, 0.350927, 0.362229, 0.370627, 0.384142, 0.392554, 0.407281, 0.413316, 0.420213, 0.458168, 0.469322, 0.48445, 0.504621, 0.533505, 0.568603");
-            values ( \
-              "0.00277628, 0.0390827, 0.0597739, 0.0713197, 0.0757941, 0.0779803, 0.0794536, 0.0797717, 0.0789557, 0.0760734, 0.0697065, 0.061465, 0.0506034, 0.0363312, 0.0291507, 0.0189099, 0.0160081, 0.014139, 0.00656635, 0.00481137, 0.00308004, 0.00163431, 0.000641865, 0.00018931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.284017, 0.295063, 0.301912, 0.310561, 0.316157, 0.32109, 0.32889, 0.33642, 0.3525, 0.388277, 0.39346, 0.403272, 0.428559, 0.441411, 0.452645, 0.467405, 0.480476, 0.490665, 0.5049, 0.522229, 0.533162, 0.550294, 0.561821, 0.583488, 0.607749, 0.639619, 0.681017, 0.736414");
-            values ( \
-              "0.0668935, 0.0803342, 0.0937589, 0.104204, 0.107967, 0.110095, 0.111315, 0.111106, 0.107373, 0.0926876, 0.0894695, 0.0810913, 0.0577379, 0.0474584, 0.0395274, 0.0307143, 0.0239908, 0.0198429, 0.0160124, 0.0126632, 0.0108161, 0.00824229, 0.00678196, 0.00456516, 0.00286344, 0.00148426, 0.000617293, 0.000164005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.284049, 0.297377, 0.302986, 0.308484, 0.31805, 0.328066, 0.341094, 0.344128, 0.350195, 0.36003, 0.38788, 0.425588, 0.456083, 0.482311, 0.490489, 0.542401, 0.58514, 0.603738, 0.624237, 0.642068, 0.666337, 0.686899, 0.712153, 0.747193, 0.79043, 0.825882, 0.871898, 0.926095, 0.996592, 1.0813");
-            values ( \
-              "0.0627419, 0.100793, 0.113281, 0.122153, 0.131859, 0.136858, 0.138451, 0.138412, 0.137757, 0.136353, 0.130902, 0.121246, 0.111626, 0.0993469, 0.0937984, 0.0637297, 0.0432611, 0.0360689, 0.0291576, 0.0241425, 0.0187918, 0.0155008, 0.0123497, 0.0086916, 0.00555135, 0.00387207, 0.00221461, 0.00125628, 0.000454421, 0.00022667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.29249, 0.3255, 0.33731, 0.349869, 0.365575, 0.395078, 0.464433, 0.514775, 0.542777, 0.600728, 0.650452, 0.764613, 0.84189, 0.880108, 0.921266, 0.976144, 1.0002, 1.04333, 1.08669, 1.14685, 1.17055, 1.21796, 1.28471, 1.36577, 1.45048, 1.61989, 1.7046");
-            values ( \
-              "0.148507, 0.152035, 0.156311, 0.157375, 0.156694, 0.154172, 0.145762, 0.138421, 0.133805, 0.122535, 0.108191, 0.0707948, 0.0493562, 0.0406562, 0.0326481, 0.0241126, 0.0210743, 0.0168168, 0.0132493, 0.00963548, 0.00836344, 0.00649653, 0.00433623, 0.0027584, 0.0015704, 0.000520203, 0.000386213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.297872, 0.297892, 0.577042, 0.760745, 0.835947, 0.90172, 0.986427, 1.22738, 1.3498, 1.50864, 1.58009, 1.70531, 1.86394, 1.94865, 2.19392, 2.53275, 2.58797");
-            values ( \
-              "1e-22, 0.176717, 0.157428, 0.142346, 0.135068, 0.127741, 0.115261, 0.0748243, 0.0565947, 0.0378528, 0.0311485, 0.0219828, 0.0141188, 0.0111507, 0.00544894, 0.00195665, 0.00178557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.482448, 0.490134, 0.501081, 0.505527, 0.514369, 0.518744, 0.525175, 0.529595, 0.542039, 0.545569, 0.550277, 0.566429, 0.572272, 0.585033, 0.595019, 0.619755, 0.628558, 0.639589, 0.654296, 0.678371, 0.733434");
-            values ( \
-              "0.000163792, 0.0200916, 0.0361733, 0.0411884, 0.0472267, 0.0487975, 0.0496567, 0.0490542, 0.0439091, 0.0432317, 0.0410147, 0.0235588, 0.0189172, 0.0111924, 0.0103264, 0.00498233, 0.00349901, 0.0022254, 0.00119837, 0.00041484, 5.02985e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.486091, 0.493113, 0.498871, 0.506139, 0.511404, 0.516113, 0.521343, 0.528404, 0.538742, 0.54908, 0.560649, 0.566424, 0.573101, 0.585407, 0.59825, 0.617921, 0.624961, 0.63139, 0.667729, 0.679013, 0.694339, 0.714688, 0.745434, 0.780723");
-            values ( \
-              "0.0106825, 0.0356699, 0.0488734, 0.0624268, 0.0692955, 0.0736216, 0.0767345, 0.0788637, 0.0784788, 0.0747724, 0.0696226, 0.0660588, 0.0597497, 0.0443444, 0.03239, 0.0183884, 0.0154385, 0.0138471, 0.0065522, 0.004771, 0.00302149, 0.00165723, 0.000549111, 0.000213923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.490017, 0.504437, 0.514066, 0.520422, 0.525791, 0.53046, 0.538334, 0.545887, 0.56149, 0.588077, 0.607839, 0.614709, 0.625017, 0.637624, 0.66297, 0.677417, 0.688462, 0.700783, 0.713937, 0.731836, 0.752771, 0.773951, 0.800237, 0.824341, 0.858672, 0.900732, 0.96404");
-            values ( \
-              "0.0393207, 0.0784434, 0.0958795, 0.103086, 0.106926, 0.109078, 0.110687, 0.11062, 0.107318, 0.0972496, 0.0865362, 0.0786456, 0.0699565, 0.0578077, 0.0390617, 0.0304076, 0.024694, 0.0196432, 0.0161034, 0.0125944, 0.00919297, 0.0064192, 0.00393405, 0.00253582, 0.00116925, 0.000542348, 5.41925e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.493814, 0.502318, 0.505625, 0.512455, 0.518178, 0.52239, 0.527436, 0.537527, 0.550297, 0.553677, 0.560437, 0.573958, 0.591248, 0.603304, 0.613454, 0.635168, 0.665677, 0.681354, 0.699977, 0.743835, 0.756359, 0.773056, 0.804256, 0.816795, 0.836515, 0.862808, 0.878428, 0.890106, 0.905677, 0.936818, 0.969906, 0.985761, 1.01747, 1.06065, 1.11242, 1.17503, 1.25961");
-            values ( \
-              "0.0816463, 0.0857884, 0.0953745, 0.111085, 0.120569, 0.125827, 0.130478, 0.135965, 0.138027, 0.137982, 0.137427, 0.135423, 0.132183, 0.129517, 0.127039, 0.121243, 0.111855, 0.104733, 0.0941099, 0.0682836, 0.0614899, 0.0530736, 0.039489, 0.0348532, 0.0283501, 0.0213866, 0.0184279, 0.0164973, 0.0142773, 0.0106302, 0.00765984, 0.00652321, 0.00464516, 0.00288849, 0.00157532, 0.000755623, 0.000247541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.498353, 0.519164, 0.533777, 0.542702, 0.551002, 0.567603, 0.583032, 0.61302, 0.65931, 0.698516, 0.752335, 0.810286, 0.860918, 0.974636, 1.00462, 1.05476, 1.08978, 1.12744, 1.17765, 1.20786, 1.26227, 1.32255, 1.37805, 1.46011, 1.53305, 1.61775, 1.70246, 1.87187");
-            values ( \
-              "0.123245, 0.135065, 0.150269, 0.15448, 0.156345, 0.157044, 0.156053, 0.153238, 0.147642, 0.14232, 0.133846, 0.122573, 0.107869, 0.0706894, 0.061842, 0.0485843, 0.0406691, 0.0332958, 0.0251935, 0.0213271, 0.0159677, 0.0115173, 0.00849752, 0.00534038, 0.0035071, 0.00208527, 0.00126434, 0.000451774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.508016, 0.508036, 0.668909, 0.780355, 0.875346, 0.995503, 1.07992, 1.11152, 1.17155, 1.2431, 1.41001, 1.49228, 1.56016, 1.64487, 1.71703, 1.78897, 1.87368, 1.9976, 2.07465, 2.15935, 2.23356, 2.40223, 2.57164, 2.74106, 2.99518, 3.2493");
-            values ( \
-              "1e-22, 0.177788, 0.165417, 0.157872, 0.150392, 0.140045, 0.131336, 0.127763, 0.119036, 0.107698, 0.0791628, 0.0661957, 0.0565556, 0.045758, 0.0379368, 0.0312664, 0.0246448, 0.0174532, 0.0141247, 0.011072, 0.008969, 0.00551442, 0.00333907, 0.00200768, 0.000923939, 0.000425725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.935902, 0.955134, 0.961402, 0.965419, 0.972729, 0.976777, 0.982204, 0.989759, 0.994169, 1.00607, 1.0132, 1.01943, 1.02358, 1.02665, 1.03277, 1.04009, 1.04371, 1.04448, 1.04909, 1.05474, 1.06046, 1.06481, 1.0716, 1.07933, 1.08787, 1.10049, 1.1173, 1.14276, 1.16986");
-            values ( \
-              "0.00778882, 0.0325273, 0.0392093, 0.0425234, 0.0465987, 0.0478322, 0.0483434, 0.0467136, 0.0449991, 0.0409693, 0.0327363, 0.0260886, 0.0224852, 0.0200749, 0.0158794, 0.0115945, 0.0110728, 0.011076, 0.0106605, 0.00973376, 0.00852165, 0.00738446, 0.00586413, 0.004301, 0.00304492, 0.00180426, 0.000907258, 0.000277699, 0.000118194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.939603, 0.945396, 0.960217, 0.972671, 0.983561, 0.994097, 1.00464, 1.01623, 1.02629, 1.04327, 1.05271, 1.07302, 1.08185, 1.12075, 1.15175, 1.17437, 1.19698");
-            values ( \
-              "0.0265017, 0.0296919, 0.0574863, 0.0719115, 0.0770327, 0.07726, 0.0740676, 0.0691902, 0.0625741, 0.0422266, 0.0334385, 0.018747, 0.0151061, 0.0070538, 0.00286843, 0.00145096, 0.000734719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.944043, 0.953577, 0.964921, 0.975435, 0.981032, 0.98575, 0.993812, 1.00141, 1.01715, 1.02217, 1.0455, 1.05999, 1.09336, 1.11986, 1.14375, 1.15625, 1.16998, 1.18752, 1.21466, 1.23848, 1.25464, 1.28287, 1.31705, 1.3326");
-            values ( \
-              "0.0529441, 0.0592646, 0.0848781, 0.0995375, 0.104193, 0.106793, 0.109092, 0.109458, 0.106732, 0.105102, 0.0960993, 0.088969, 0.0579833, 0.0383218, 0.0249482, 0.0197315, 0.0160552, 0.0126278, 0.0083388, 0.00553301, 0.00409499, 0.00234278, 0.00115474, 0.000903195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.948415, 0.960902, 0.967968, 0.97189, 0.976356, 0.982724, 0.992996, 1.00602, 1.00931, 1.0159, 1.02909, 1.04833, 1.06253, 1.091, 1.12151, 1.13719, 1.19753, 1.21592, 1.23144, 1.25724, 1.27283, 1.29194, 1.31742, 1.33421, 1.34682, 1.36364, 1.39726, 1.42635, 1.46839, 1.51064, 1.56134, 1.62299, 1.70387");
-            values ( \
-              "0.0872047, 0.0905833, 0.10699, 0.114001, 0.120576, 0.127436, 0.133998, 0.136883, 0.136998, 0.136669, 0.135081, 0.131742, 0.128659, 0.121189, 0.111829, 0.104757, 0.0694757, 0.0595462, 0.0519591, 0.0407354, 0.0349019, 0.0285778, 0.0217363, 0.0185053, 0.0164279, 0.0140434, 0.0102035, 0.00763965, 0.00491864, 0.00309014, 0.0017185, 0.00082844, 0.000294756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.953964, 0.977431, 0.981927, 0.990917, 1.00249, 1.00677, 1.01532, 1.03021, 1.05024, 1.07726, 1.1248, 1.15442, 1.20828, 1.25247, 1.26631, 1.29398, 1.41554, 1.4469, 1.48275, 1.53297, 1.5739, 1.60548, 1.65682, 1.70336, 1.74718, 1.81005, 1.88759, 1.95444, 2.03824, 2.12295, 2.20765, 2.37707");
-            values ( \
-              "0.128791, 0.13516, 0.140991, 0.148906, 0.15425, 0.155156, 0.156155, 0.156115, 0.15496, 0.152239, 0.146431, 0.142355, 0.133816, 0.125498, 0.122411, 0.114937, 0.0753794, 0.0658039, 0.055713, 0.0434535, 0.0350667, 0.029493, 0.0221279, 0.0172367, 0.0136806, 0.00968193, 0.00627512, 0.00429356, 0.00257805, 0.00156698, 0.00090554, 0.000307344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.959131, 1.0064, 1.02143, 1.03719, 1.06641, 1.14022, 1.21078, 1.26788, 1.45141, 1.56731, 1.69919, 1.89299, 2.01541, 2.10012, 2.17425, 2.2457, 2.33041, 2.37092, 2.45194, 2.52954, 2.61425, 2.71788, 2.85952, 3.02893, 3.19834, 3.45246, 3.70658");
-            values ( \
-              "0.163208, 0.165816, 0.168123, 0.168704, 0.168325, 0.164571, 0.1598, 0.155433, 0.140057, 0.127787, 0.107651, 0.0748665, 0.0566368, 0.0458441, 0.0378111, 0.0311904, 0.024595, 0.0220249, 0.0175361, 0.0141609, 0.0111091, 0.00828892, 0.00549064, 0.00332396, 0.00199814, 0.000920984, 0.000423305" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00163925, 0.00163926, 0.00163928, 0.00163929, 0.0016393, 0.0016393", \
-            "0.00182645, 0.00182646, 0.00182646, 0.00182646, 0.00182647, 0.00182647", \
-            "0.00192627, 0.00192627, 0.00192627, 0.00192627, 0.00192627, 0.00192627", \
-            "0.00198476, 0.00198476, 0.00198475, 0.00198475, 0.00198475, 0.00198475", \
-            "0.00202046, 0.00202046, 0.00202045, 0.00202045, 0.00202045, 0.00202045", \
-            "0.00203986, 0.00203986, 0.00203986, 0.00203986, 0.00203986, 0.00203986" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00214789, 0.00214788, 0.00214788, 0.00214788, 0.00214788, 0.00214788", \
-            "0.00225989, 0.00225987, 0.00225986, 0.00225985, 0.00225985, 0.00225985", \
-            "0.00234179, 0.00234178, 0.00234176, 0.00234174, 0.00234173, 0.00234173", \
-            "0.0024714, 0.00247139, 0.00247138, 0.00247137, 0.00247136, 0.00247135", \
-            "0.00273627, 0.00273632, 0.00273635, 0.00273637, 0.00273638, 0.00273639", \
-            "0.00329371, 0.00329127, 0.00328905, 0.00328758, 0.00328664, 0.0032862" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.177669, 0.192098, 0.220449, 0.277632, 0.395005, 0.638163", \
-            "0.182463, 0.196895, 0.225246, 0.282436, 0.399803, 0.642943", \
-            "0.194821, 0.20928, 0.237614, 0.294806, 0.412182, 0.655324", \
-            "0.2253, 0.239704, 0.268073, 0.325275, 0.442663, 0.685819", \
-            "0.290588, 0.305064, 0.333374, 0.390591, 0.508011, 0.751196", \
-            "0.399057, 0.413593, 0.441992, 0.499298, 0.616812, 0.860081" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0534571, 0.0707867, 0.108813, 0.190187, 0.361218, 0.719783", \
-            "0.0534564, 0.0707871, 0.108811, 0.190191, 0.361221, 0.719731", \
-            "0.0534526, 0.0707958, 0.10881, 0.190186, 0.361199, 0.719805", \
-            "0.0534753, 0.0708096, 0.108823, 0.190193, 0.361171, 0.719677", \
-            "0.0538846, 0.0710865, 0.108965, 0.190229, 0.361183, 0.7198", \
-            "0.0552508, 0.0721373, 0.109601, 0.190531, 0.361215, 0.719792" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.138145, 0.142855, 0.150459, 0.157236, 0.161691, 0.165972, 0.169647, 0.175684, 0.177346, 0.18067, 0.185198, 0.192702, 0.195604, 0.199043, 0.202879, 0.207685, 0.218289, 0.221675, 0.232484, 0.237025, 0.241455, 0.250209, 0.265924, 0.279925, 0.28602, 0.294147, 0.310161, 0.333634, 0.359241, 0.387232");
-            values ( \
-              "0.00967308, 0.0233992, 0.035848, 0.0437764, 0.047094, 0.0492226, 0.0502569, 0.0508038, 0.0506415, 0.0498632, 0.0479839, 0.0443082, 0.0440269, 0.0419315, 0.03909, 0.0326477, 0.0223951, 0.0196777, 0.0125425, 0.0110489, 0.0108166, 0.00952284, 0.00575206, 0.00327978, 0.00254484, 0.00187653, 0.000895702, 0.000378908, 8.92839e-05, 8.81199e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.138026, 0.145332, 0.157297, 0.163119, 0.168299, 0.172417, 0.17681, 0.182922, 0.189, 0.198979, 0.210714, 0.215905, 0.221672, 0.234926, 0.245939, 0.255667, 0.266926, 0.272656, 0.279173, 0.313309, 0.339097, 0.356655, 0.382644, 0.415206, 0.452375");
-            values ( \
-              "0.00382274, 0.040733, 0.065889, 0.0730004, 0.0770033, 0.0789226, 0.08008, 0.0804187, 0.0794695, 0.0754915, 0.0701064, 0.0667547, 0.0618409, 0.0454872, 0.0344335, 0.0265487, 0.0189069, 0.0161386, 0.0142251, 0.0073517, 0.00358906, 0.00206511, 0.000932259, 0.000291022, 0.000113975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.145068, 0.155889, 0.160817, 0.170448, 0.181082, 0.188807, 0.19632, 0.212584, 0.236516, 0.248678, 0.303976, 0.331154, 0.353287, 0.373943, 0.405776, 0.450278, 0.478494, 0.505039");
-            values ( \
-              "0.0739364, 0.0832891, 0.0932908, 0.105354, 0.111077, 0.112165, 0.11175, 0.107879, 0.098356, 0.0923888, 0.045144, 0.0283748, 0.0188371, 0.0141474, 0.0088652, 0.00401116, 0.00228913, 0.00139483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.145166, 0.160564, 0.169194, 0.178104, 0.186373, 0.199025, 0.20397, 0.213862, 0.22984, 0.243358, 0.285238, 0.315824, 0.340879, 0.390595, 0.401814, 0.416774, 0.441869, 0.473144, 0.491134, 0.518397, 0.549746, 0.571852, 0.606845, 0.651702, 0.68662, 0.733173, 0.786472, 0.857795, 0.942903");
-            values ( \
-              "0.0719094, 0.109718, 0.124607, 0.133213, 0.137334, 0.139251, 0.139131, 0.13805, 0.13518, 0.132225, 0.12098, 0.111354, 0.0996688, 0.0699875, 0.0639817, 0.0562467, 0.0446675, 0.0327816, 0.0271382, 0.0202803, 0.0151311, 0.0123806, 0.00875539, 0.0055038, 0.00383119, 0.0022044, 0.00123764, 0.000465935, 0.000206425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.153181, 0.209411, 0.24345, 0.34849, 0.402436, 0.460502, 0.508601, 0.623789, 0.685603, 0.762154, 0.799938, 0.850425, 0.943006, 1.00533, 1.08095, 1.14703, 1.31271, 1.34175");
-            values ( \
-              "0.152277, 0.158236, 0.15577, 0.141983, 0.133592, 0.122411, 0.108575, 0.070994, 0.0534613, 0.0362753, 0.0294646, 0.0222628, 0.0135642, 0.009671, 0.00634565, 0.00431927, 0.00158943, 0.00144734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.158656, 0.158676, 0.337165, 0.436937, 0.538309, 0.645877, 0.761869, 0.893789, 1.0606, 1.21014, 1.29525, 1.36832, 1.52517, 1.64688, 1.80956, 1.96923, 2.05433, 2.22455, 2.39476, 2.51734");
-            values ( \
-              "1e-22, 0.178952, 0.164024, 0.157248, 0.149256, 0.139975, 0.127733, 0.107616, 0.0791185, 0.0566365, 0.0457703, 0.0378567, 0.0245776, 0.0175128, 0.0110774, 0.00701154, 0.00550616, 0.00333132, 0.00200363, 0.0016266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.153758, 0.158292, 0.160662, 0.16592, 0.172621, 0.177127, 0.181409, 0.185082, 0.191111, 0.196121, 0.208131, 0.21105, 0.214484, 0.218313, 0.22312, 0.23369, 0.247795, 0.252407, 0.253929, 0.261409, 0.265804, 0.281351, 0.289117, 0.301457, 0.30959, 0.32568, 0.349185, 0.349809");
-            values ( \
-              "0.010941, 0.0234111, 0.0275904, 0.0358959, 0.0437495, 0.0470764, 0.0492471, 0.050233, 0.0508309, 0.0498896, 0.0443436, 0.0440513, 0.0419002, 0.0391198, 0.0326158, 0.0223988, 0.0126351, 0.0110249, 0.0110771, 0.0102723, 0.00946908, 0.00577171, 0.00429685, 0.00256151, 0.00185897, 0.000909022, 0.000360371, 0.00035701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.15365, 0.160784, 0.169976, 0.178299, 0.183419, 0.192368, 0.19816, 0.204452, 0.214364, 0.226165, 0.231331, 0.237043, 0.250434, 0.261284, 0.270981, 0.282336, 0.288307, 0.294889, 0.333268, 0.359948, 0.38046, 0.410897, 0.445217");
-            values ( \
-              "0.00496355, 0.0406675, 0.0613265, 0.0727626, 0.0767791, 0.0801037, 0.080433, 0.0794646, 0.075532, 0.0700982, 0.0667771, 0.0619249, 0.0454149, 0.034519, 0.0266386, 0.0189254, 0.0160721, 0.01416, 0.00650282, 0.0029985, 0.00164122, 0.000559239, 0.000227606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.160667, 0.171344, 0.176307, 0.185915, 0.191541, 0.196536, 0.20426, 0.211774, 0.228267, 0.252398, 0.265178, 0.276671, 0.301046, 0.321245, 0.346644, 0.356366, 0.368335, 0.38941, 0.422143, 0.440001, 0.464501, 0.492546, 0.52675, 0.53587");
-            values ( \
-              "0.0759805, 0.0833559, 0.0933116, 0.105321, 0.109178, 0.11103, 0.112216, 0.111702, 0.107801, 0.0982055, 0.0918552, 0.0825075, 0.0597856, 0.0438341, 0.0283824, 0.0235885, 0.0189574, 0.0141412, 0.00872961, 0.00643633, 0.00410005, 0.00236288, 0.00116118, 0.00101398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.160641, 0.169207, 0.174625, 0.184806, 0.193454, 0.201487, 0.210618, 0.219311, 0.227414, 0.239195, 0.259004, 0.300583, 0.331169, 0.356195, 0.405939, 0.417159, 0.43212, 0.457429, 0.488407, 0.506238, 0.533296, 0.548477, 0.565616, 0.588468, 0.623166, 0.665211, 0.69997, 0.746365, 0.79943, 0.869736, 0.954843");
-            values ( \
-              "0.0742098, 0.09184, 0.10681, 0.12499, 0.133261, 0.137243, 0.13903, 0.139063, 0.138302, 0.136356, 0.132182, 0.121012, 0.111386, 0.0996516, 0.07002, 0.0640136, 0.0562139, 0.0446074, 0.0327767, 0.0272404, 0.0203402, 0.0176241, 0.015027, 0.0122649, 0.00864, 0.00558342, 0.00394759, 0.00222999, 0.00130322, 0.00045694, 0.000244965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.164495, 0.200894, 0.212686, 0.225075, 0.259118, 0.364189, 0.418121, 0.476155, 0.542064, 0.640036, 0.715112, 0.799212, 0.857216, 0.882235, 0.953337, 1.01908, 1.09993, 1.16604, 1.32316");
-            values ( \
-              "0.119732, 0.153263, 0.157284, 0.158277, 0.155812, 0.142006, 0.13367, 0.12249, 0.10293, 0.0708748, 0.0500116, 0.0323204, 0.0233016, 0.0203566, 0.0139958, 0.0097268, 0.00617755, 0.00427791, 0.00174932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.174235, 0.174255, 0.355585, 0.452375, 0.567116, 0.647502, 0.731225, 0.777296, 0.862404, 1.07599, 1.22552, 1.31063, 1.38372, 1.45546, 1.54057, 1.66224, 1.73983, 1.82494, 1.98457, 2.15478, 2.325, 2.66543, 2.92075");
-            values ( \
-              "1e-22, 0.179162, 0.163779, 0.157287, 0.148189, 0.141259, 0.13296, 0.127627, 0.115163, 0.0791568, 0.0566204, 0.0457845, 0.037855, 0.0312414, 0.0245707, 0.0175011, 0.0141883, 0.0110612, 0.00698102, 0.00421354, 0.00251305, 0.000861077, 0.000471895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.188587, 0.199725, 0.206017, 0.21499, 0.222943, 0.228742, 0.230639, 0.234434, 0.246148, 0.24859, 0.256175, 0.260967, 0.275058, 0.284102, 0.290161, 0.299513, 0.326154, 0.335158, 0.348714, 0.387756, 0.4417");
-            values ( \
-              "0.000967956, 0.0299285, 0.0389369, 0.0472172, 0.0503443, 0.0507599, 0.0507051, 0.049656, 0.044186, 0.0443106, 0.0393422, 0.0325162, 0.0195699, 0.013451, 0.0111752, 0.0103257, 0.0043607, 0.00300685, 0.00168729, 0.000271461, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.18859, 0.208028, 0.216166, 0.221211, 0.226721, 0.232281, 0.242475, 0.262564, 0.271604, 0.287735, 0.298487, 0.319748, 0.328938, 0.368463, 0.382342, 0.399939, 0.422317, 0.438645");
-            values ( \
-              "0.00457072, 0.061356, 0.0725692, 0.0767908, 0.0792181, 0.0803756, 0.079464, 0.0709143, 0.0656677, 0.0462096, 0.0352573, 0.0193839, 0.0151627, 0.00704394, 0.00478947, 0.00284076, 0.00143229, 0.000938528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.194371, 0.202389, 0.209259, 0.214269, 0.223904, 0.229482, 0.234438, 0.24216, 0.249674, 0.265935, 0.289888, 0.301998, 0.311392, 0.34102, 0.357331, 0.375973, 0.384508, 0.394874, 0.406637, 0.427296, 0.45912, 0.47781, 0.503661, 0.531927, 0.566415, 0.611367, 0.675677");
-            values ( \
-              "0.020386, 0.0644792, 0.0834362, 0.0934955, 0.105461, 0.109189, 0.111082, 0.112191, 0.111744, 0.107882, 0.0983498, 0.0924071, 0.0853742, 0.058012, 0.0451425, 0.0330334, 0.0283747, 0.0232916, 0.018838, 0.0141473, 0.00886645, 0.00645251, 0.00400879, 0.0022854, 0.0011258, 0.00041477, 9.27156e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.198632, 0.207141, 0.214183, 0.222526, 0.23136, 0.239562, 0.252267, 0.257223, 0.267137, 0.283092, 0.296639, 0.33849, 0.369076, 0.394157, 0.443847, 0.455066, 0.470024, 0.495373, 0.526301, 0.544105, 0.571158, 0.586383, 0.603582, 0.626515, 0.66123, 0.702819, 0.737567, 0.783938, 0.837015, 0.907202, 0.992309");
-            values ( \
-              "0.0753379, 0.0919048, 0.110607, 0.124762, 0.133243, 0.13734, 0.139255, 0.139145, 0.138045, 0.135188, 0.132225, 0.120986, 0.11136, 0.0996484, 0.0699938, 0.0639891, 0.0562401, 0.0445668, 0.0328055, 0.0272277, 0.0203736, 0.0176482, 0.0150424, 0.012225, 0.00865007, 0.0056251, 0.00393729, 0.00226246, 0.00128427, 0.000483421, 0.000222355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.202358, 0.238828, 0.250358, 0.263346, 0.297056, 0.402012, 0.455992, 0.514049, 0.739256, 0.815561, 0.903905, 0.996548, 1.05886, 1.2006, 1.31499");
-            values ( \
-              "0.119575, 0.153341, 0.157232, 0.158259, 0.155772, 0.141991, 0.133585, 0.122443, 0.0534259, 0.0363004, 0.022273, 0.0135525, 0.00967933, 0.00430736, 0.002419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.212125, 0.212145, 0.387011, 0.460975, 0.532722, 0.629898, 0.69931, 0.815224, 0.947251, 1.11407, 1.19529, 1.26361, 1.34871, 1.42178, 1.49353, 1.57863, 1.70034, 1.77792, 1.86303, 1.93776, 2.02268, 2.19289, 2.36311, 2.53332, 2.70354, 2.95886");
-            values ( \
-              "1e-22, 0.179093, 0.164243, 0.159428, 0.15401, 0.146077, 0.139966, 0.127715, 0.107591, 0.0791305, 0.0663297, 0.0566311, 0.0457741, 0.0378565, 0.0312401, 0.0245752, 0.0175079, 0.0141783, 0.0110714, 0.00894731, 0.00700102, 0.00423494, 0.00253561, 0.00150496, 0.00088547, 0.000446356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.28252, 0.287874, 0.297774, 0.306763, 0.314726, 0.32066, 0.325967, 0.340703, 0.344138, 0.363356, 0.377451, 0.381998, 0.395524, 0.410901, 0.424964, 0.439446, 0.455568, 0.478997, 0.532393");
-            values ( \
-              "0.00582702, 0.0232555, 0.0387211, 0.047037, 0.0502114, 0.0507953, 0.049788, 0.0440299, 0.0419102, 0.0223995, 0.0126246, 0.0110484, 0.00946523, 0.00578365, 0.00329362, 0.00185469, 0.000888988, 0.000369699, 8.2378e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.282583, 0.290526, 0.299749, 0.308078, 0.313159, 0.317677, 0.326643, 0.33423, 0.339254, 0.360463, 0.39252, 0.402736, 0.41781, 0.425812, 0.463059, 0.489768, 0.510287, 0.540392, 0.574569");
-            values ( \
-              "0.000192798, 0.0408036, 0.0610552, 0.0725585, 0.0767911, 0.0788455, 0.0804436, 0.0794977, 0.0777284, 0.0676486, 0.0332781, 0.0252746, 0.0161561, 0.0138976, 0.00651656, 0.00302381, 0.0016147, 0.000592766, 0.000204722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.289925, 0.30112, 0.310355, 0.315698, 0.321328, 0.326334, 0.334062, 0.341577, 0.357913, 0.382659, 0.388489, 0.39463, 0.407827, 0.435263, 0.456674, 0.480898, 0.498265, 0.519227, 0.552201, 0.569833, 0.593935, 0.621012, 0.654907, 0.664418");
-            values ( \
-              "0.0688403, 0.0831449, 0.0995315, 0.105316, 0.109041, 0.111071, 0.112105, 0.11177, 0.107845, 0.0980447, 0.0944437, 0.0920375, 0.0812967, 0.0560672, 0.0400025, 0.0260818, 0.0189149, 0.0141301, 0.00868185, 0.00642048, 0.00411615, 0.00244283, 0.00118991, 0.00103579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.289922, 0.303088, 0.313042, 0.318092, 0.323278, 0.331562, 0.340569, 0.349143, 0.362871, 0.377459, 0.392135, 0.430416, 0.444941, 0.461002, 0.486108, 0.495498, 0.546992, 0.58682, 0.609327, 0.636567, 0.66391, 0.683721, 0.71607, 0.763572, 0.814172, 0.858747, 0.910189, 0.974723, 1.05831");
-            values ( \
-              "0.0649462, 0.103378, 0.122735, 0.128887, 0.133159, 0.137338, 0.138962, 0.13911, 0.137482, 0.134681, 0.131376, 0.120895, 0.116614, 0.111269, 0.0997258, 0.0935557, 0.0638998, 0.0446829, 0.0358895, 0.0269824, 0.0202939, 0.0167905, 0.0124131, 0.00779028, 0.00456528, 0.00285288, 0.00150411, 0.00075795, 0.000202831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.298004, 0.330678, 0.342446, 0.354877, 0.364866, 0.388837, 0.490615, 0.547904, 0.593826, 0.630181, 0.671607, 0.75533, 0.823987, 0.873202, 0.912103, 0.96397, 1.01085, 1.07298, 1.14389, 1.19048, 1.28112, 1.36122, 1.44633, 1.61655, 1.70165");
-            values ( \
-              "0.150168, 0.153241, 0.157278, 0.158274, 0.157983, 0.15581, 0.142465, 0.133622, 0.124957, 0.115776, 0.102953, 0.0752623, 0.0552579, 0.0433934, 0.0354196, 0.0264977, 0.0204868, 0.0146906, 0.0100602, 0.00771334, 0.00458451, 0.002916, 0.00167891, 0.000562808, 0.000393756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.303566, 0.303586, 0.683771, 0.791359, 0.898799, 1.02153, 1.25325, 1.40218, 1.52311, 1.67823, 1.86039, 1.94549, 2.12787, 2.29808, 2.4683, 2.60176");
-            values ( \
-              "1e-22, 0.177888, 0.149302, 0.13999, 0.12879, 0.110544, 0.0715434, 0.050424, 0.0369165, 0.0240498, 0.0145762, 0.0113659, 0.00671868, 0.00405282, 0.00241684, 0.0019762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.494833, 0.504248, 0.513032, 0.516577, 0.523699, 0.527487, 0.534065, 0.537762, 0.542691, 0.559521, 0.574437, 0.588508, 0.593729, 0.605551, 0.632119, 0.65169, 0.666083, 0.690354, 0.691753");
-            values ( \
-              "0.0116607, 0.0287414, 0.0403245, 0.0437649, 0.0481851, 0.0494373, 0.050205, 0.0496715, 0.0479035, 0.0406108, 0.0240125, 0.0137053, 0.011457, 0.0100661, 0.00421125, 0.00187659, 0.00103575, 0.000349945, 0.000341901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.495045, 0.5024, 0.512014, 0.520767, 0.52895, 0.537027, 0.547279, 0.557528, 0.573975, 0.607675, 0.625983, 0.633705, 0.673267, 0.705016, 0.727101, 0.739228");
-            values ( \
-              "0.00738467, 0.0368873, 0.0586143, 0.0711816, 0.0772284, 0.0796481, 0.0790594, 0.07525, 0.0669836, 0.03151, 0.0185232, 0.0151798, 0.00709237, 0.00285386, 0.00141157, 0.00117815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.502509, 0.513502, 0.523015, 0.528383, 0.534147, 0.539191, 0.546988, 0.55449, 0.56938, 0.594489, 0.607059, 0.662227, 0.689414, 0.711564, 0.732201, 0.764077, 0.808392, 0.83635, 0.861499");
-            values ( \
-              "0.0746061, 0.0800647, 0.097655, 0.103702, 0.108016, 0.110159, 0.111636, 0.111327, 0.108178, 0.0984079, 0.0922931, 0.0451529, 0.0283771, 0.0188332, 0.0141478, 0.00885963, 0.00402288, 0.00230806, 0.00145216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.502577, 0.511282, 0.520721, 0.528199, 0.536124, 0.545275, 0.557529, 0.562111, 0.571274, 0.576877, 0.601413, 0.614911, 0.643424, 0.67401, 0.698699, 0.748773, 0.760001, 0.774972, 0.799931, 0.831365, 0.849437, 0.876378, 0.891323, 0.908074, 0.930409, 0.965074, 0.979991, 1.00982, 1.04447, 1.09062, 1.14335, 1.21383, 1.29893");
-            values ( \
-              "0.076207, 0.0872817, 0.111927, 0.124044, 0.132052, 0.136836, 0.138894, 0.138797, 0.137985, 0.137131, 0.132181, 0.128807, 0.120942, 0.11132, 0.0999065, 0.0699556, 0.0639442, 0.0562745, 0.0446833, 0.0328045, 0.0270662, 0.0203595, 0.0176908, 0.0151478, 0.0123009, 0.00878869, 0.0075669, 0.0055446, 0.00380904, 0.00226077, 0.00122062, 0.000516899, 0.00017472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.507735, 0.529501, 0.535257, 0.543475, 0.555016, 0.559537, 0.568579, 0.573807, 0.580821, 0.600274, 0.706892, 0.760891, 0.818949, 0.848847, 0.884664, 0.953267, 1.02407, 1.0749, 1.12297, 1.15493, 1.20845, 1.25887, 1.3018, 1.36394, 1.43892, 1.50344, 1.58539, 1.6705, 1.7556, 1.92582");
-            values ( \
-              "0.126985, 0.139181, 0.146012, 0.15236, 0.156654, 0.157415, 0.157978, 0.157961, 0.157605, 0.155901, 0.14198, 0.133631, 0.122481, 0.113998, 0.103035, 0.0799353, 0.0588032, 0.0458942, 0.0357733, 0.030064, 0.0222676, 0.016987, 0.0135681, 0.00962249, 0.00632568, 0.00440538, 0.00266368, 0.00162986, 0.000926313, 0.000307303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.515507, 0.515527, 0.738661, 0.890322, 1.00427, 1.12019, 1.25221, 1.4461, 1.56856, 1.72674, 1.79848, 1.92416, 2.0053, 2.16799, 2.41274, 2.75317, 2.79147");
-            values ( \
-              "1e-22, 0.176048, 0.161324, 0.149775, 0.139931, 0.127679, 0.107556, 0.0748096, 0.0565957, 0.0378921, 0.0312045, 0.0220064, 0.0175437, 0.0111072, 0.0054817, 0.00198003, 0.00186231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.951398, 0.959133, 0.977055, 0.982044, 0.989039, 0.993948, 0.99877, 1.00633, 1.01048, 1.02259, 1.03587, 1.04005, 1.05113, 1.05496, 1.0575, 1.06086, 1.06572, 1.06991, 1.09211, 1.10447, 1.11184, 1.12658, 1.15071, 1.17578, 1.20316");
-            values ( \
-              "0.00351752, 0.0166091, 0.038388, 0.0425779, 0.0466735, 0.0481769, 0.0484684, 0.046923, 0.0452177, 0.0410301, 0.0262085, 0.0225431, 0.0146654, 0.0123036, 0.0115882, 0.0111135, 0.0107113, 0.010024, 0.0049447, 0.00299472, 0.00222744, 0.00117343, 0.000426173, 0.000142211, 7.29711e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.951456, 0.962297, 0.973661, 0.983865, 0.989489, 0.995344, 1.00033, 1.01082, 1.02196, 1.03286, 1.03863, 1.04398, 1.05481, 1.06168, 1.07171, 1.08199, 1.08996, 1.09749, 1.13691, 1.15111, 1.15735, 1.16981, 1.19239, 1.2159, 1.22049");
-            values ( \
-              "0.000289831, 0.0297115, 0.0518646, 0.0669161, 0.0719823, 0.0756989, 0.0771789, 0.0777287, 0.0740363, 0.0694846, 0.0662048, 0.0612335, 0.0480127, 0.0406365, 0.0313786, 0.0237136, 0.0184975, 0.0152454, 0.00714164, 0.00482804, 0.00400335, 0.00278134, 0.00134933, 0.000663762, 0.000618915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.958241, 0.975131, 0.985495, 0.997712, 1.00237, 1.0104, 1.01797, 1.03588, 1.05861, 1.07038, 1.07976, 1.10974, 1.12606, 1.15324, 1.17537, 1.189, 1.21587, 1.24827, 1.26928, 1.29298, 1.32274, 1.33184");
-            values ( \
-              "0.0298691, 0.0715414, 0.0916815, 0.104764, 0.107163, 0.109648, 0.109809, 0.10663, 0.0980547, 0.0923692, 0.0855598, 0.0579771, 0.0451377, 0.0283744, 0.0188394, 0.0155385, 0.0106891, 0.00635785, 0.00432505, 0.00273471, 0.00149268, 0.00136439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.964099, 0.997217, 1.01125, 1.02569, 1.03616, 1.04693, 1.07022, 1.10723, 1.13783, 1.16259, 1.2126, 1.23102, 1.26852, 1.30407, 1.32274, 1.3479, 1.37037, 1.39519, 1.42987, 1.47187, 1.50653, 1.55231, 1.60512, 1.6309");
-            values ( \
-              "0.0734408, 0.126132, 0.135244, 0.137568, 0.136993, 0.135479, 0.130737, 0.120809, 0.11124, 0.0999227, 0.0699041, 0.0602237, 0.0427437, 0.0298932, 0.0244535, 0.0189348, 0.0153565, 0.0122115, 0.00869462, 0.00564217, 0.0038963, 0.00230808, 0.00126039, 0.00108168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.968521, 0.986437, 0.998401, 1.00702, 1.01857, 1.03225, 1.03756, 1.05227, 1.06947, 1.16365, 1.22479, 1.27917, 1.33271, 1.44687, 1.53466, 1.59396, 1.62908, 1.6755, 1.71649, 1.76162, 1.82554, 1.9068, 1.97222, 2.05464, 2.22485, 2.39507");
-            values ( \
-              "0.115025, 0.122519, 0.141683, 0.149402, 0.154859, 0.15697, 0.157042, 0.156556, 0.154993, 0.143023, 0.133645, 0.123124, 0.108012, 0.0707378, 0.0468081, 0.0344081, 0.0283715, 0.0218942, 0.0175764, 0.0138528, 0.00975068, 0.00618693, 0.00426908, 0.00258439, 0.0009027, 0.000304075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.973465, 1.00818, 1.0229, 1.03148, 1.04432, 1.05249, 1.07464, 1.16238, 1.21575, 1.29136, 1.46808, 1.55319, 1.5842, 1.64428, 1.71586, 1.88284, 1.96514, 2.03305, 2.11816, 2.18923, 2.26148, 2.34659, 2.38796, 2.47071, 2.54772, 2.63283, 2.7344, 2.87516, 3.04538, 3.21559, 3.47092, 3.72624");
-            values ( \
-              "0.150059, 0.159471, 0.166564, 0.168252, 0.169263, 0.169392, 0.168785, 0.163894, 0.160453, 0.154766, 0.139947, 0.131201, 0.12768, 0.118978, 0.107634, 0.0791307, 0.0661739, 0.0565334, 0.0457037, 0.0380029, 0.0312974, 0.0246457, 0.0220276, 0.0174456, 0.0141206, 0.011056, 0.00830551, 0.00551701, 0.00333344, 0.00200026, 0.000914015, 0.000422883" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00164129, 0.00164131, 0.00164132, 0.00164133, 0.00164134, 0.00164134", \
-            "0.00182837, 0.00182837, 0.00182838, 0.00182838, 0.00182839, 0.00182839", \
-            "0.00192708, 0.00192707, 0.00192707, 0.00192707, 0.00192708, 0.00192708", \
-            "0.00198473, 0.00198473, 0.00198473, 0.00198473, 0.00198473, 0.00198473", \
-            "0.00201982, 0.00201982, 0.00201982, 0.00201982, 0.00201982, 0.00201982", \
-            "0.00203871, 0.00203871, 0.00203871, 0.00203871, 0.00203871, 0.00203871" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0021517, 0.00215169, 0.00215168, 0.00215168, 0.00215168, 0.00215168", \
-            "0.00226042, 0.0022604, 0.00226039, 0.00226038, 0.00226038, 0.00226037", \
-            "0.00233457, 0.00233456, 0.00233454, 0.00233453, 0.00233452, 0.00233452", \
-            "0.0024482, 0.0024482, 0.00244819, 0.00244818, 0.00244817, 0.00244816", \
-            "0.00268698, 0.00268699, 0.002687, 0.002687, 0.002687, 0.002687", \
-            "0.00307466, 0.00307342, 0.00307237, 0.00307176, 0.00307146, 0.00307129" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.182243, 0.196821, 0.225455, 0.282808, 0.399983, 0.643052", \
-            "0.187084, 0.20166, 0.230302, 0.287649, 0.404815, 0.647887", \
-            "0.199385, 0.214036, 0.242624, 0.29998, 0.417151, 0.66021", \
-            "0.229893, 0.244464, 0.273095, 0.330448, 0.447637, 0.690711", \
-            "0.295333, 0.309896, 0.338539, 0.395849, 0.51307, 0.756178", \
-            "0.404336, 0.419059, 0.447732, 0.505148, 0.622551, 0.865654" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0543908, 0.0716735, 0.109481, 0.190217, 0.36076, 0.719278", \
-            "0.0543885, 0.07168, 0.10948, 0.19022, 0.360748, 0.719278", \
-            "0.0543739, 0.0716777, 0.10948, 0.190221, 0.360776, 0.719279", \
-            "0.0544145, 0.0716932, 0.109483, 0.190222, 0.360752, 0.719193", \
-            "0.0547915, 0.071969, 0.10964, 0.190263, 0.360733, 0.719258", \
-            "0.0562509, 0.073088, 0.110288, 0.19056, 0.360853, 0.719345" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.140698, 0.145549, 0.150277, 0.156246, 0.160935, 0.16567, 0.171669, 0.173908, 0.177917, 0.181789, 0.189518, 0.194061, 0.205349, 0.212414, 0.218643, 0.221268, 0.225899, 0.231976, 0.239402, 0.242895, 0.248196, 0.253781, 0.270513, 0.278499, 0.287153, 0.30015, 0.317481, 0.343222, 0.37018");
-            values ( \
-              "0.0110698, 0.0210383, 0.0284347, 0.0365929, 0.0415924, 0.0452935, 0.048177, 0.048848, 0.0495422, 0.0495296, 0.0473351, 0.0453718, 0.0411616, 0.0329008, 0.0261751, 0.0238797, 0.0200907, 0.0159117, 0.0115224, 0.0110252, 0.0106161, 0.00974801, 0.00591352, 0.00431776, 0.00304744, 0.00178209, 0.000862409, 0.000273793, 0.000105809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.140682, 0.148353, 0.153957, 0.161146, 0.166808, 0.173862, 0.179726, 0.183398, 0.190742, 0.193729, 0.198908, 0.214812, 0.215664, 0.220778, 0.224251, 0.242915, 0.254654, 0.271556, 0.275582, 0.28095, 0.31982, 0.333905, 0.351959, 0.37603, 0.406992, 0.442931");
-            values ( \
-              "0.00528286, 0.0371527, 0.04982, 0.0627686, 0.0699097, 0.0754568, 0.0779119, 0.0786544, 0.0788172, 0.0783264, 0.0767583, 0.0695932, 0.0687245, 0.0669185, 0.063809, 0.0421025, 0.0312948, 0.019255, 0.0171089, 0.0150939, 0.007158, 0.00484785, 0.00283995, 0.00131253, 0.000481202, 0.000134423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.147964, 0.157494, 0.163831, 0.169236, 0.174813, 0.180586, 0.185627, 0.193515, 0.201078, 0.218101, 0.243398, 0.262455, 0.269977, 0.280263, 0.292693, 0.309969, 0.319872, 0.333147, 0.34295, 0.355574, 0.368307, 0.388062, 0.41498, 0.429729, 0.448787, 0.469264, 0.501494, 0.540088, 0.59533");
-            values ( \
-              "0.0703329, 0.0741163, 0.087834, 0.0962534, 0.102479, 0.106671, 0.108961, 0.110497, 0.110432, 0.106744, 0.0970556, 0.0869252, 0.0786288, 0.0699201, 0.0579909, 0.0446629, 0.0380051, 0.0301718, 0.0250781, 0.0198167, 0.016324, 0.0124393, 0.00821452, 0.00636643, 0.00448205, 0.00310368, 0.00153749, 0.000745149, 0.000147085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.147966, 0.162296, 0.174758, 0.181617, 0.187811, 0.194889, 0.208749, 0.221725, 0.247996, 0.261902, 0.296303, 0.320874, 0.346081, 0.406642, 0.451641, 0.487947, 0.513864, 0.532376, 0.566788, 0.606116, 0.654842, 0.693386, 0.74104, 0.792348");
-            values ( \
-              "0.0641344, 0.10035, 0.122833, 0.129759, 0.133691, 0.136322, 0.137749, 0.13648, 0.131656, 0.128588, 0.119661, 0.11181, 0.099456, 0.064088, 0.042593, 0.0295188, 0.0223315, 0.0186388, 0.0135138, 0.00925632, 0.00562041, 0.00370513, 0.00214946, 0.00121481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.156601, 0.190124, 0.201367, 0.215597, 0.2319, 0.258234, 0.302731, 0.34979, 0.40754, 0.465555, 0.531308, 0.614502, 0.675235, 0.730697, 0.759873, 0.81325, 0.85862, 0.897814, 0.943353, 1.00759, 1.09006, 1.15644, 1.24091, 1.32609, 1.58165");
-            values ( \
-              "0.146089, 0.150853, 0.155279, 0.156812, 0.156249, 0.154127, 0.149063, 0.142868, 0.133851, 0.122634, 0.103063, 0.0754476, 0.0575779, 0.0437512, 0.0376217, 0.0281077, 0.0218519, 0.0177125, 0.0138924, 0.00979455, 0.00617801, 0.00420615, 0.00254246, 0.0015119, 0.000313922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.16239, 0.16241, 0.373953, 0.44207, 0.55862, 0.650798, 0.735985, 0.766876, 0.826918, 0.898456, 1.06536, 1.14763, 1.21551, 1.3007, 1.37142, 1.44373, 1.52892, 1.65314, 1.8153, 1.97225, 2.05744, 2.22782, 2.39819, 2.65375, 2.90931");
-            values ( \
-              "1e-22, 0.175773, 0.162317, 0.157389, 0.148098, 0.140055, 0.131253, 0.127768, 0.119026, 0.107705, 0.07915, 0.0661814, 0.056571, 0.0456861, 0.0380159, 0.0313347, 0.0246339, 0.0174247, 0.0110333, 0.00703525, 0.00553783, 0.00335227, 0.00201857, 0.000892463, 0.00044204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.156407, 0.165704, 0.171671, 0.17635, 0.181093, 0.187085, 0.189329, 0.193338, 0.197209, 0.204948, 0.209344, 0.22078, 0.227813, 0.236694, 0.247417, 0.254843, 0.258321, 0.263584, 0.269164, 0.285933, 0.293919, 0.302572, 0.31557, 0.3329, 0.358663, 0.385621");
-            values ( \
-              "0.0130043, 0.0284437, 0.0365934, 0.0416081, 0.045287, 0.048195, 0.0488366, 0.0495628, 0.0495151, 0.0473508, 0.0454345, 0.0411648, 0.0329277, 0.0238755, 0.0158978, 0.0115138, 0.0110259, 0.0106203, 0.00975391, 0.0059143, 0.00431687, 0.00304669, 0.00178141, 0.000863165, 0.000272773, 0.000106424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.156418, 0.163822, 0.170154, 0.176598, 0.181021, 0.188302, 0.192175, 0.198858, 0.206023, 0.209187, 0.214365, 0.230246, 0.23112, 0.236365, 0.239776, 0.258372, 0.270107, 0.286951, 0.291142, 0.296729, 0.334659, 0.349284, 0.368522, 0.393393, 0.424748, 0.460989");
-            values ( \
-              "0.00691149, 0.0370568, 0.051351, 0.0627572, 0.0685504, 0.0748468, 0.0768156, 0.0787049, 0.0788195, 0.0783659, 0.0767357, 0.0695823, 0.068747, 0.066872, 0.0637007, 0.042127, 0.0312752, 0.0192836, 0.0170396, 0.0150301, 0.00725102, 0.00483666, 0.002723, 0.0012545, 0.000423172, 0.000144943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.163727, 0.175102, 0.180369, 0.18473, 0.190439, 0.196135, 0.201111, 0.208998, 0.216561, 0.233585, 0.25887, 0.277944, 0.28546, 0.295747, 0.308173, 0.325455, 0.335358, 0.348631, 0.358431, 0.371055, 0.383784, 0.40355, 0.430469, 0.445212, 0.464262, 0.48473, 0.516957, 0.555545, 0.610777");
-            values ( \
-              "0.0703515, 0.079207, 0.0896986, 0.0963127, 0.102583, 0.106762, 0.108922, 0.110548, 0.110388, 0.106747, 0.0970636, 0.0869235, 0.0786258, 0.0699225, 0.0579905, 0.0446625, 0.0380015, 0.0301733, 0.0250807, 0.0198152, 0.0163271, 0.01244, 0.00821538, 0.00636794, 0.0044842, 0.00310316, 0.00153965, 0.000744048, 0.000148768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.163737, 0.183484, 0.193897, 0.206172, 0.219496, 0.224239, 0.250344, 0.277395, 0.311797, 0.336367, 0.361539, 0.422116, 0.467005, 0.503583, 0.529694, 0.547956, 0.581884, 0.620659, 0.671608, 0.711895, 0.792029");
-            values ( \
-              "0.0686126, 0.112543, 0.126932, 0.135054, 0.13772, 0.137775, 0.134227, 0.128629, 0.11966, 0.11181, 0.099476, 0.0640975, 0.042646, 0.0294734, 0.0222525, 0.0186243, 0.0135642, 0.00934381, 0.00554504, 0.0035834, 0.00167668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.163468, 0.176963, 0.191212, 0.196805, 0.205337, 0.216652, 0.221328, 0.230682, 0.246975, 0.273705, 0.317949, 0.365369, 0.422687, 0.480745, 0.528223, 0.643854, 0.671403, 0.726502, 0.753878, 0.798093, 0.848623, 0.886052, 0.931981, 0.99322, 1.03472, 1.07582, 1.13063, 1.20912, 1.29431, 1.37949, 1.54987");
-            values ( \
-              "0.0605579, 0.108452, 0.137659, 0.144249, 0.150848, 0.155304, 0.156104, 0.156816, 0.156255, 0.154109, 0.14907, 0.142823, 0.133869, 0.122508, 0.108897, 0.0710872, 0.0628596, 0.0482849, 0.0420231, 0.0332892, 0.0250699, 0.020432, 0.0159823, 0.0115423, 0.00915111, 0.00727805, 0.00537906, 0.00334435, 0.0020489, 0.00116945, 0.000387739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.178151, 0.178171, 0.389822, 0.45761, 0.574217, 0.666375, 0.751562, 0.782417, 0.84246, 0.913997, 1.0809, 1.16317, 1.23105, 1.31624, 1.38696, 1.45927, 1.54446, 1.66868, 1.74566, 1.83084, 1.9878, 2.15817, 2.32854, 2.66929, 2.92485");
-            values ( \
-              "1e-22, 0.176202, 0.162302, 0.157397, 0.148101, 0.14006, 0.13124, 0.127776, 0.119018, 0.107713, 0.0791423, 0.0661736, 0.0565787, 0.0456784, 0.0380082, 0.0313424, 0.0246262, 0.0174171, 0.0141468, 0.0110257, 0.00702757, 0.00424785, 0.00254093, 0.000884757, 0.000449754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.191527, 0.198742, 0.209426, 0.218853, 0.223385, 0.227085, 0.233151, 0.238661, 0.253422, 0.256955, 0.275625, 0.293339, 0.304035, 0.325771, 0.335444, 0.348297, 0.379014, 0.384143");
-            values ( \
-              "0.000591314, 0.0209856, 0.0365147, 0.045251, 0.0476759, 0.0487934, 0.0496749, 0.048837, 0.0435775, 0.0417076, 0.0228862, 0.0111891, 0.0102306, 0.00545911, 0.00371636, 0.00219915, 0.00059305, 0.000542937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.194917, 0.201695, 0.211225, 0.214484, 0.221003, 0.226185, 0.231775, 0.236744, 0.247099, 0.2583, 0.26905, 0.274834, 0.28151, 0.293178, 0.308347, 0.324773, 0.329161, 0.335011, 0.371796, 0.383616, 0.396542, 0.413776, 0.44037, 0.473178, 0.510691");
-            values ( \
-              "0.0110716, 0.0369582, 0.0575859, 0.0626695, 0.070901, 0.0747371, 0.0776256, 0.078611, 0.0784144, 0.074234, 0.0694948, 0.0661011, 0.0596216, 0.04508, 0.0309831, 0.019343, 0.016985, 0.0149397, 0.00739458, 0.00537532, 0.00369839, 0.00216303, 0.000935609, 0.000295344, 0.00010137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.202316, 0.218251, 0.222598, 0.228339, 0.234018, 0.238979, 0.246865, 0.254429, 0.271455, 0.296717, 0.315825, 0.323328, 0.333615, 0.346041, 0.363312, 0.373219, 0.386496, 0.396304, 0.408926, 0.421649, 0.441418, 0.468325, 0.483079, 0.502146, 0.522607, 0.554838, 0.593421, 0.648666");
-            values ( \
-              "0.0731019, 0.0896481, 0.0963802, 0.102554, 0.106825, 0.108876, 0.110592, 0.110348, 0.10675, 0.0970758, 0.0869168, 0.078623, 0.0699242, 0.0579891, 0.0446708, 0.0380051, 0.030175, 0.0250786, 0.019814, 0.0163279, 0.0124397, 0.0082166, 0.00636766, 0.00448245, 0.00310308, 0.0015388, 0.000744356, 0.000148226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.202262, 0.210633, 0.221241, 0.231742, 0.243716, 0.256653, 0.261978, 0.272629, 0.301214, 0.328803, 0.349537, 0.374108, 0.399291, 0.459863, 0.474838, 0.504788, 0.541276, 0.567323, 0.585668, 0.619756, 0.658715, 0.708928, 0.748639, 0.796516, 0.855369, 0.928117, 1.0133");
-            values ( \
-              "0.0831748, 0.0868676, 0.112605, 0.127019, 0.134937, 0.137738, 0.137713, 0.136793, 0.131673, 0.125174, 0.119659, 0.11181, 0.0994694, 0.0640946, 0.0563309, 0.0426287, 0.029489, 0.022278, 0.0186297, 0.0135468, 0.00931547, 0.00557066, 0.0036224, 0.00209457, 0.00105108, 0.000423018, 0.000149124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.206075, 0.224846, 0.243519, 0.259161, 0.277546, 0.306827, 0.337783, 0.406801, 0.460629, 0.518582, 0.567492, 0.682048, 0.756541, 0.798683, 0.848233, 0.905285, 0.976017, 1.0349, 1.11146, 1.16097, 1.23877, 1.32396, 1.57952");
-            values ( \
-              "0.120968, 0.131127, 0.151081, 0.156185, 0.156678, 0.154533, 0.151248, 0.142259, 0.133819, 0.122568, 0.108414, 0.0709424, 0.0501647, 0.0405198, 0.0310628, 0.0226274, 0.0154471, 0.0113173, 0.00735751, 0.00563037, 0.00350105, 0.00216574, 0.000396488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.211048, 0.250112, 0.259077, 0.275836, 0.290642, 0.319387, 0.352542, 0.408598, 0.460195, 0.640632, 0.703954, 0.789141, 0.820057, 0.880099, 0.951637, 1.14596, 1.26869, 1.35388, 1.4246, 1.49691, 1.5821, 1.62351, 1.70632, 1.7833, 1.86849, 1.96988, 2.11062, 2.281, 2.45137, 2.62175, 2.96249");
-            values ( \
-              "0.158533, 0.163694, 0.166672, 0.16867, 0.168826, 0.16824, 0.16671, 0.163547, 0.160021, 0.145678, 0.140002, 0.131311, 0.127713, 0.119082, 0.10765, 0.0747787, 0.056515, 0.0457421, 0.0380721, 0.0312785, 0.0246901, 0.0219937, 0.017481, 0.0140828, 0.0110897, 0.00827011, 0.00548134, 0.00329578, 0.0019621, 0.00115681, 0.000385588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.285644, 0.29526, 0.305945, 0.3107, 0.316693, 0.32296, 0.326833, 0.33453, 0.350334, 0.363717, 0.368052, 0.380648, 0.386734, 0.388725, 0.399044, 0.423489, 0.432188, 0.445249, 0.459704, 0.48389, 0.53927");
-            values ( \
-              "0.0112127, 0.0282737, 0.0415038, 0.045212, 0.0481348, 0.0495207, 0.0494891, 0.0473457, 0.0411671, 0.0261605, 0.0223639, 0.0136054, 0.0108508, 0.0110639, 0.00976441, 0.00431191, 0.00301637, 0.00174283, 0.00101469, 0.000300057, 2.91991e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.285833, 0.293499, 0.299139, 0.306353, 0.311977, 0.319187, 0.325101, 0.328632, 0.335695, 0.338966, 0.344146, 0.36701, 0.388713, 0.396604, 0.404366, 0.417609, 0.422186, 0.427416, 0.463144, 0.474802, 0.489802, 0.507498, 0.53445, 0.567534, 0.605561");
-            values ( \
-              "0.00559959, 0.0368603, 0.0495887, 0.0626072, 0.0697468, 0.0754237, 0.0778844, 0.0786209, 0.0788037, 0.0783101, 0.0767245, 0.0663251, 0.0415811, 0.034109, 0.0277744, 0.0187306, 0.0165074, 0.0148203, 0.00751641, 0.00546856, 0.00353185, 0.00206194, 0.000854172, 0.000289594, 7.41038e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.293039, 0.302594, 0.308905, 0.319905, 0.330755, 0.338649, 0.346212, 0.363227, 0.388643, 0.404582, 0.438007, 0.45434, 0.478099, 0.500924, 0.532512, 0.559245, 0.595076, 0.649906, 0.658363");
-            values ( \
-              "0.0704235, 0.0738805, 0.0875887, 0.102353, 0.108909, 0.110455, 0.110413, 0.106738, 0.0969998, 0.0890938, 0.057923, 0.045203, 0.0302649, 0.0197371, 0.012564, 0.00833851, 0.0043882, 0.00143662, 0.00132195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.29315, 0.312559, 0.31749, 0.32299, 0.327769, 0.337326, 0.350368, 0.354029, 0.361349, 0.37599, 0.388437, 0.407182, 0.444061, 0.461046, 0.466157, 0.476379, 0.494839, 0.534672, 0.551059, 0.575016, 0.605505, 0.622816, 0.634558, 0.652493, 0.676475, 0.696652, 0.721995, 0.757104, 0.800589, 0.836072, 0.882798, 0.937094, 1.00856, 1.09375");
-            values ( \
-              "0.0636691, 0.111076, 0.119281, 0.126144, 0.130329, 0.13554, 0.137742, 0.137757, 0.137135, 0.134969, 0.132665, 0.128635, 0.118996, 0.113007, 0.111996, 0.10724, 0.0975703, 0.0736454, 0.0645533, 0.0523394, 0.0392182, 0.0329561, 0.0290856, 0.0240071, 0.018799, 0.0155663, 0.0123427, 0.00872666, 0.0055679, 0.00383793, 0.0022162, 0.00121586, 0.000467069, 0.000194857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.301738, 0.335359, 0.343713, 0.351374, 0.366697, 0.39893, 0.413949, 0.448, 0.496094, 0.55285, 0.610819, 0.651797, 0.759814, 0.816106, 0.873061, 0.908635, 0.930836, 0.975237, 1.00807, 1.06386, 1.12474, 1.17868, 1.25827, 1.33032, 1.41551, 1.50069, 1.67107");
-            values ( \
-              "0.145016, 0.150787, 0.154414, 0.156041, 0.15684, 0.154564, 0.153049, 0.149044, 0.142699, 0.133855, 0.122636, 0.110789, 0.0754447, 0.0587628, 0.0444153, 0.0369493, 0.0327695, 0.025602, 0.0213663, 0.015872, 0.0114166, 0.00849505, 0.0054171, 0.00357099, 0.00212387, 0.00127786, 0.00045156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.307473, 0.307493, 0.521878, 0.587325, 0.681359, 0.789662, 0.884478, 0.967181, 1.04358, 1.23801, 1.3608, 1.44599, 1.51633, 1.58874, 1.67393, 1.71548, 1.79859, 1.87547, 1.96066, 2.06176, 2.20229, 2.37266, 2.54304, 2.71341, 3.05416");
-            values ( \
-              "1e-22, 0.17546, 0.162143, 0.157394, 0.149967, 0.14066, 0.131042, 0.119914, 0.107575, 0.0747291, 0.0564669, 0.0457557, 0.0381138, 0.0312777, 0.0247126, 0.0219852, 0.0174738, 0.0140697, 0.0110875, 0.00827951, 0.00549526, 0.003309, 0.0019752, 0.00117016, 0.000399899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.495392, 0.502264, 0.518671, 0.523471, 0.529864, 0.535882, 0.539846, 0.547939, 0.562831, 0.581198, 0.586975, 0.599237, 0.601883, 0.613283, 0.633943, 0.652401, 0.665694, 0.685549, 0.697898");
-            values ( \
-              "0.00382849, 0.0188253, 0.040323, 0.0441801, 0.0474878, 0.0489491, 0.0490792, 0.0469614, 0.0413432, 0.022409, 0.0179432, 0.0109249, 0.0111345, 0.00963919, 0.00486368, 0.00227069, 0.00132732, 0.000545235, 0.000432469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.498996, 0.505398, 0.511433, 0.518968, 0.524851, 0.530779, 0.537012, 0.541651, 0.55093, 0.554368, 0.558458, 0.574095, 0.579676, 0.586574, 0.599397, 0.61115, 0.618549, 0.631458, 0.634738, 0.639111, 0.645645, 0.681138, 0.692572, 0.707794, 0.72809, 0.75888, 0.793745");
-            values ( \
-              "0.017109, 0.0337624, 0.0469078, 0.0605533, 0.0682753, 0.0734629, 0.0767032, 0.0779603, 0.0780988, 0.0774093, 0.0759995, 0.0693436, 0.0661195, 0.059626, 0.0437184, 0.0328672, 0.0270781, 0.0183673, 0.0167433, 0.0152558, 0.0137228, 0.00658703, 0.00477261, 0.00303332, 0.00166145, 0.000555818, 0.000214918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.502735, 0.509421, 0.523005, 0.533081, 0.543652, 0.55161, 0.559189, 0.57486, 0.581036, 0.599553, 0.620621, 0.651703, 0.671192, 0.694467, 0.715803, 0.752564, 0.78393, 0.810176, 0.837957, 0.869891, 0.882504");
-            values ( \
-              "0.0461692, 0.055617, 0.0880429, 0.101362, 0.108116, 0.109897, 0.110061, 0.106987, 0.104875, 0.0977355, 0.0868202, 0.0573811, 0.0425869, 0.028468, 0.0191675, 0.0113749, 0.0068421, 0.00429177, 0.00243392, 0.00130958, 0.00116767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.505516, 0.51469, 0.525746, 0.536388, 0.54873, 0.561837, 0.566907, 0.577046, 0.593055, 0.620111, 0.654507, 0.679081, 0.704385, 0.764898, 0.810216, 0.845739, 0.871095, 0.890324, 0.926126, 0.966711, 1.04378, 1.13636");
-            values ( \
-              "0.0734655, 0.0816049, 0.109547, 0.125186, 0.134091, 0.137251, 0.137378, 0.136608, 0.134106, 0.128596, 0.119664, 0.111818, 0.0994071, 0.0640594, 0.0424389, 0.0296479, 0.0225631, 0.0186786, 0.0133719, 0.00903686, 0.00403912, 0.0015201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.509887, 0.540013, 0.548705, 0.557072, 0.564682, 0.579902, 0.596108, 0.626133, 0.709928, 0.766201, 0.824181, 0.889821, 0.958355, 1.00106, 1.02978, 1.08183, 1.12605, 1.15546, 1.20898, 1.23007, 1.28494, 1.34764, 1.41434, 1.45748, 1.52756, 1.61275, 1.69793, 1.74529");
-            values ( \
-              "0.106988, 0.14265, 0.149919, 0.153775, 0.155639, 0.156608, 0.155799, 0.153175, 0.142639, 0.133855, 0.12263, 0.10314, 0.0800115, 0.0668967, 0.0586663, 0.0454743, 0.0361502, 0.030825, 0.022819, 0.0203733, 0.0152293, 0.0108315, 0.00752214, 0.00587566, 0.00392706, 0.00234177, 0.00140933, 0.00119964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.518091, 0.518111, 0.698495, 0.734113, 0.915605, 1.00011, 1.06142, 1.12495, 1.21014, 1.45059, 1.573, 1.65819, 1.73093, 1.80274, 1.88792, 1.92851, 2.0097, 2.08725, 2.17244, 2.2753, 2.41699, 2.58737, 2.75774, 3.0133, 3.26887");
-            values ( \
-              "1e-22, 0.171048, 0.164447, 0.162176, 0.148183, 0.140863, 0.134898, 0.127691, 0.115227, 0.0748257, 0.0566035, 0.0458229, 0.0379276, 0.0312181, 0.0246203, 0.0220086, 0.0175434, 0.014143, 0.0111029, 0.00829011, 0.00549086, 0.003315, 0.00198768, 0.000909974, 0.000420079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.956378, 0.961955, 0.986362, 0.995295, 0.998085, 1.0036, 1.01126, 1.02767, 1.04553, 1.05825, 1.06436, 1.06632, 1.07697, 1.10115, 1.12235, 1.16024, 1.16198");
-            values ( \
-              "0.0129561, 0.0149668, 0.0410125, 0.0458613, 0.0467222, 0.0474413, 0.0460349, 0.0407427, 0.0222464, 0.0135171, 0.0109087, 0.0111634, 0.00973939, 0.00430164, 0.00178762, 0.000330554, 0.000320663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.960586, 0.968747, 0.981403, 0.987555, 0.994309, 1.00043, 1.00545, 1.01614, 1.02685, 1.03705, 1.03841, 1.04545, 1.06625, 1.07484, 1.09533, 1.10447, 1.14182, 1.15661, 1.17626, 1.21364");
-            values ( \
-              "0.0299623, 0.0327093, 0.054846, 0.0632044, 0.0698942, 0.0737098, 0.0756255, 0.0763975, 0.0734047, 0.0696884, 0.0682602, 0.0652164, 0.0416055, 0.0335111, 0.0187356, 0.0150599, 0.00727082, 0.00481899, 0.00266705, 0.000844932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.964757, 0.979108, 0.997117, 1.00728, 1.01549, 1.02314, 1.03899, 1.0462, 1.06568, 1.0853, 1.11538, 1.13144, 1.15526, 1.17846, 1.20969, 1.24113, 1.27362, 1.32862, 1.34088");
-            values ( \
-              "0.0506927, 0.0677972, 0.0975393, 0.105085, 0.107793, 0.108488, 0.106184, 0.103893, 0.0968815, 0.0865919, 0.0577858, 0.0453799, 0.0303598, 0.0196745, 0.0125841, 0.00782549, 0.00436996, 0.00145582, 0.00129029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.965244, 0.989087, 0.996185, 1.00452, 1.01325, 1.02265, 1.03138, 1.04451, 1.0577, 1.08482, 1.11328, 1.1438, 1.16898, 1.21605, 1.2346, 1.26572, 1.30123, 1.32007, 1.34535, 1.36629, 1.39715, 1.44565, 1.50002, 1.54565, 1.59863, 1.6142");
-            values ( \
-              "0.0479771, 0.102822, 0.115301, 0.125216, 0.131452, 0.134888, 0.13598, 0.135451, 0.133621, 0.12849, 0.121179, 0.111646, 0.0998705, 0.0714393, 0.0613249, 0.0463346, 0.0327467, 0.0267403, 0.020504, 0.0168166, 0.0126138, 0.00785411, 0.00442936, 0.00267826, 0.00142915, 0.00124834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.974277, 0.999245, 1.01244, 1.02454, 1.02878, 1.03727, 1.05225, 1.07151, 1.08709, 1.14718, 1.17666, 1.21596, 1.23264, 1.26912, 1.28862, 1.31847, 1.43785, 1.50417, 1.55506, 1.59699, 1.62948, 1.67961, 1.72478, 1.76892, 1.83204, 1.91047, 1.97743, 2.06145, 2.14663, 2.23182, 2.40219");
-            values ( \
-              "0.117529, 0.132543, 0.14678, 0.152986, 0.154086, 0.155324, 0.155615, 0.154707, 0.153417, 0.146355, 0.142319, 0.136256, 0.133523, 0.126652, 0.12245, 0.114273, 0.075375, 0.055947, 0.0435059, 0.0349126, 0.0292202, 0.0220696, 0.0173181, 0.0137284, 0.00969605, 0.0062507, 0.00427934, 0.00256009, 0.00155689, 0.000891132, 0.000298057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.97963, 1.04368, 1.07474, 1.12081, 1.17928, 1.32176, 1.47355, 1.58945, 1.72133, 1.91513, 2.03754, 2.19546, 2.26726, 2.39305, 2.47424, 2.63697, 2.88155, 3.2223, 3.27304");
-            values ( \
-              "0.1551, 0.167493, 0.168353, 0.166745, 0.163473, 0.15294, 0.140048, 0.127779, 0.107642, 0.0748636, 0.0566352, 0.0379069, 0.0312379, 0.0220241, 0.0175289, 0.0110911, 0.00549255, 0.00198774, 0.00183194" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00185577", \
-            "0.00216509", \
-            "0.00233181", \
-            "0.00245467", \
-            "0.00256223", \
-            "0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0024019", \
-            "0.0023892", \
-            "0.0023919", \
-            "0.0025988", \
-            "0.00308449", \
-            "0.00299693" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00164103, 0.00164104, 0.00164106, 0.00164107, 0.00164108, 0.00164108", \
-            "0.00182821, 0.00182821, 0.00182822, 0.00182822, 0.00182822, 0.00182823", \
-            "0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702, 0.00192702", \
-            "0.00198476, 0.00198476, 0.00198475, 0.00198475, 0.00198475, 0.00198475", \
-            "0.0020199, 0.0020199, 0.00201989, 0.0020199, 0.00201989, 0.00201989", \
-            "0.00203879, 0.00203879, 0.00203879, 0.00203879, 0.00203879, 0.00203879" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00215142, 0.00215141, 0.00215141, 0.0021514, 0.0021514, 0.0021514", \
-            "0.00226046, 0.00226044, 0.00226043, 0.00226042, 0.00226042, 0.00226042", \
-            "0.00233526, 0.00233524, 0.00233523, 0.00233521, 0.0023352, 0.00233519", \
-            "0.00244994, 0.00244994, 0.00244994, 0.00244992, 0.00244991, 0.0024499", \
-            "0.00268874, 0.00268874, 0.00268875, 0.00268877, 0.00268877, 0.00268877", \
-            "0.00308621, 0.00308524, 0.00308444, 0.003084, 0.00308376, 0.00308364" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(CK & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.180534, 0.196575, 0.225486, 0.277637, 0.373696, 0.566226", \
-            "0.185345, 0.201392, 0.230312, 0.282474, 0.378541, 0.571071", \
-            "0.19705, 0.213086, 0.24201, 0.294186, 0.390262, 0.582783", \
-            "0.222791, 0.238818, 0.267729, 0.319929, 0.416051, 0.60862", \
-            "0.280625, 0.296895, 0.326047, 0.378486, 0.474708, 0.667386", \
-            "0.385873, 0.403986, 0.436043, 0.491214, 0.588934, 0.782029" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0559538, 0.0695806, 0.0969799, 0.151295, 0.265932, 0.515566", \
-            "0.0559556, 0.0695931, 0.0969775, 0.151221, 0.265925, 0.515594", \
-            "0.0559636, 0.0695907, 0.0969817, 0.151301, 0.265653, 0.515689", \
-            "0.0560038, 0.0696467, 0.0970246, 0.151304, 0.265646, 0.515589", \
-            "0.0578316, 0.0711837, 0.098068, 0.151894, 0.266045, 0.515596", \
-            "0.0676953, 0.0813363, 0.107712, 0.159084, 0.269608, 0.516717" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00451799", \
-            "0.00519207", \
-            "0.0055483", \
-            "0.00573223", \
-            "0.00582252", \
-            "0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00544368", \
-            "0.00531763", \
-            "0.00510281", \
-            "0.00493081", \
-            "0.004856", \
-            "0.00482198" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.12391, 0.133142, 0.144593, 0.153069, 0.168001, 0.173037, 0.179058, 0.188076, 0.196915, 0.205754, 0.208927, 0.21201, 0.233169, 0.243536, 0.252946, 0.259191, 0.275463, 0.308641, 0.32308, 0.329782");
-            values ( \
-              "-0.00168547, -0.0151862, -0.026002, -0.0321565, -0.0398153, -0.0412428, -0.042517, -0.0437942, -0.0442149, -0.0427869, -0.041762, -0.0399867, -0.0160225, -0.00837334, -0.00356458, -0.0026342, -0.00247565, -0.000859877, -0.000450923, -0.00039057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.130516, 0.136904, 0.149919, 0.161719, 0.178381, 0.18702, 0.192864, 0.204038, 0.215039, 0.226037, 0.231451, 0.237039, 0.253444, 0.264675, 0.275867, 0.292226, 0.29768, 0.303036, 0.313747, 0.328192, 0.377545, 0.423909");
-            values ( \
-              "-0.0239987, -0.0269653, -0.0441527, -0.0557022, -0.0669316, -0.0702446, -0.0718067, -0.073769, -0.0741475, -0.07145, -0.0685241, -0.062692, -0.0369479, -0.0229397, -0.0135805, -0.00528211, -0.00349545, -0.00276489, -0.00225037, -0.00224485, -0.000782267, -0.000161489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.133408, 0.159729, 0.18204, 0.198662, 0.207159, 0.217462, 0.228168, 0.240686, 0.248372, 0.25917, 0.264146, 0.272137, 0.281398, 0.303339, 0.322881, 0.337713, 0.347991, 0.357433, 0.371708, 0.390033, 0.414997, 0.536599");
-            values ( \
-              "-0.0330317, -0.0700868, -0.0926846, -0.102817, -0.106053, -0.108596, -0.110119, -0.110476, -0.109905, -0.107409, -0.105449, -0.100189, -0.0886507, -0.0539272, -0.0307733, -0.0193192, -0.0137025, -0.00995919, -0.00572425, -0.00237908, -0.00162877, -0.000398474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.140781, 0.163336, 0.181357, 0.198226, 0.210615, 0.224495, 0.236485, 0.264625, 0.281316, 0.293005, 0.30916, 0.328361, 0.333958, 0.345151, 0.361998, 0.395326, 0.421404, 0.437212, 0.451449, 0.470432, 0.486077, 0.509285, 0.540228, 0.579862, 0.665808");
-            values ( \
-              "-0.0703755, -0.0873071, -0.109364, -0.124107, -0.132106, -0.138187, -0.141882, -0.147014, -0.148759, -0.148972, -0.147286, -0.141508, -0.138805, -0.130829, -0.112107, -0.0707225, -0.0460081, -0.0347784, -0.0268308, -0.0187528, -0.0138844, -0.00875926, -0.00436394, -0.00161608, -0.00110201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.146111, 0.175014, 0.191122, 0.206358, 0.229737, 0.255522, 0.283397, 0.298446, 0.316417, 0.3354, 0.348593, 0.381192, 0.414018, 0.44334, 0.468962, 0.515008, 0.559475, 0.590876, 0.616192, 0.632932, 0.672666, 0.69085, 0.727218, 0.755209, 0.787346, 0.830196, 0.898667, 0.967138, 1.24102");
-            values ( \
-              "-0.102299, -0.11201, -0.130555, -0.143907, -0.157557, -0.167151, -0.175181, -0.178138, -0.180054, -0.180781, -0.180519, -0.177926, -0.172816, -0.165934, -0.157182, -0.129479, -0.0953068, -0.0741771, -0.0597462, -0.0514317, -0.0355714, -0.0299633, -0.020921, -0.0158797, -0.0114871, -0.00727211, -0.00331012, -0.00152559, -0.000315873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.153077, 0.205355, 0.223883, 0.244228, 0.283046, 0.299357, 0.33633, 0.358891, 0.386585, 0.414067, 0.492814, 0.546342, 0.628537, 0.657943, 0.716755, 0.747759, 0.809768, 0.908857, 0.966218, 1.02141, 1.08853, 1.14012, 1.18085, 1.2335, 1.30197, 1.35926, 1.4552, 1.52367, 1.59214, 1.72908, 1.86603, 2.13991");
-            values ( \
-              "-0.129393, -0.150903, -0.163868, -0.17379, -0.188509, -0.193043, -0.19885, -0.200112, -0.200389, -0.199596, -0.195188, -0.191231, -0.183529, -0.180042, -0.17132, -0.165018, -0.146765, -0.10711, -0.0858874, -0.0681793, -0.0506739, -0.040004, -0.0330187, -0.0255393, -0.0182773, -0.0136705, -0.00837085, -0.0059023, -0.00400918, -0.00193845, -0.00101623, -0.000355016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.139095, 0.14859, 0.160038, 0.168526, 0.183445, 0.1885, 0.203526, 0.212366, 0.221206, 0.224383, 0.227464, 0.248626, 0.257204, 0.268395, 0.274641, 0.290915, 0.324092, 0.338532, 0.343536");
-            values ( \
-              "-0.00136822, -0.0150374, -0.0260887, -0.0322327, -0.0398778, -0.041297, -0.0438422, -0.0441651, -0.0428334, -0.0417606, -0.039982, -0.0160169, -0.00949685, -0.00356604, -0.00263395, -0.00247536, -0.000860389, -0.00045043, -0.000405368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.145814, 0.15234, 0.162731, 0.175113, 0.190953, 0.196674, 0.208308, 0.219474, 0.230476, 0.241474, 0.246873, 0.252446, 0.280004, 0.291389, 0.307707, 0.313147, 0.329126, 0.376686");
-            values ( \
-              "-0.0229454, -0.0269382, -0.0410487, -0.0538757, -0.0653636, -0.0681108, -0.0718379, -0.0737608, -0.0741587, -0.0714481, -0.0685375, -0.0627311, -0.0230506, -0.0135239, -0.00526418, -0.00348918, -0.00225181, -0.00134901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.148824, 0.175247, 0.188417, 0.197558, 0.204703, 0.214229, 0.2226, 0.232986, 0.2485, 0.263896, 0.274701, 0.279669, 0.28766, 0.296921, 0.321653, 0.338403, 0.353233, 0.360915, 0.376315, 0.395419, 0.414264, 0.493722");
-            values ( \
-              "-0.0319059, -0.0700375, -0.0846226, -0.0927318, -0.0976975, -0.102798, -0.105988, -0.108637, -0.110498, -0.109947, -0.107372, -0.105494, -0.100155, -0.0886936, -0.0500748, -0.0307355, -0.0192827, -0.0149965, -0.00876707, -0.00393687, -0.00187617, -0.00104046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.156172, 0.178795, 0.19681, 0.213781, 0.226065, 0.23994, 0.251912, 0.280141, 0.296953, 0.308067, 0.322885, 0.334852, 0.347202, 0.353543, 0.361997, 0.377273, 0.413677, 0.43757, 0.452928, 0.46616, 0.479825, 0.499657, 0.522726, 0.540176, 0.574758, 0.618866, 0.797497");
-            values ( \
-              "-0.0698964, -0.0873118, -0.109349, -0.124235, -0.132077, -0.138229, -0.141843, -0.146996, -0.148742, -0.148953, -0.14763, -0.144811, -0.139887, -0.136219, -0.129488, -0.1122, -0.0674821, -0.045395, -0.0345479, -0.0271452, -0.0210548, -0.0143623, -0.00910182, -0.00631966, -0.00266971, -0.00125781, -0.000370792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.161504, 0.190518, 0.206582, 0.221975, 0.244176, 0.271003, 0.298873, 0.313924, 0.331946, 0.350898, 0.380232, 0.396667, 0.429537, 0.458726, 0.484436, 0.530479, 0.574953, 0.631941, 0.679627, 0.7052, 0.739298, 0.76957, 0.812173, 0.868978, 0.937449, 1.0362");
-            values ( \
-              "-0.101458, -0.112123, -0.130604, -0.143978, -0.157045, -0.167206, -0.175238, -0.178108, -0.18011, -0.180746, -0.179518, -0.177888, -0.17285, -0.166001, -0.157141, -0.129524, -0.0952623, -0.0595631, -0.0385585, -0.0302516, -0.0216854, -0.0160238, -0.0103977, -0.00563216, -0.00244631, -0.00125604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.168282, 0.2147, 0.248697, 0.298066, 0.33179, 0.351596, 0.374004, 0.401477, 0.429325, 0.508066, 0.576537, 0.613359, 0.662455, 0.694157, 0.727196, 0.762964, 0.831435, 0.95845, 1.01123, 1.04763, 1.09216, 1.15077, 1.20487, 1.27334, 1.33106, 1.40927, 1.47774, 1.54621, 1.61468, 1.68315, 1.8201, 2.16245");
-            values ( \
-              "-0.132128, -0.145715, -0.168971, -0.188492, -0.196413, -0.198828, -0.200148, -0.200362, -0.199633, -0.195206, -0.189958, -0.186629, -0.181384, -0.177291, -0.172139, -0.165038, -0.144382, -0.094086, -0.0759842, -0.0650821, -0.05344, -0.0408215, -0.0316169, -0.0226365, -0.0170183, -0.0114924, -0.00806848, -0.00563047, -0.00387296, -0.00270001, -0.00138258, -0.000376343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.177482, 0.185829, 0.192311, 0.200121, 0.21221, 0.220683, 0.225806, 0.231771, 0.240789, 0.249631, 0.258472, 0.261632, 0.264721, 0.285877, 0.294467, 0.305675, 0.311924, 0.328183, 0.361365, 0.375805, 0.380007");
-            values ( \
-              "-0.00340326, -0.0152565, -0.0214328, -0.028087, -0.035891, -0.0397837, -0.0412549, -0.0424999, -0.0437967, -0.044198, -0.0427934, -0.0417644, -0.0399852, -0.0160306, -0.00949876, -0.00356234, -0.00263361, -0.0024751, -0.000860676, -0.000449768, -0.00041194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.183796, 0.194362, 0.202782, 0.214246, 0.221393, 0.231094, 0.24555, 0.256725, 0.267728, 0.278727, 0.28412, 0.289687, 0.306195, 0.317212, 0.328683, 0.344983, 0.350417, 0.355729, 0.366354, 0.476431");
-            values ( \
-              "-0.0250547, -0.0331428, -0.0441914, -0.0554598, -0.0611354, -0.0668636, -0.0718205, -0.0737369, -0.0741574, -0.0714275, -0.0685349, -0.0627438, -0.0368622, -0.0230993, -0.0134987, -0.00525652, -0.00348666, -0.00276632, -0.00225237, -0.000161849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.187798, 0.200898, 0.212302, 0.225614, 0.234769, 0.241802, 0.25118, 0.260224, 0.270162, 0.285718, 0.301075, 0.311883, 0.316848, 0.324838, 0.334099, 0.35883, 0.37558, 0.390411, 0.401737, 0.413991, 0.421125, 0.435395, 0.457813, 0.611567");
-            values ( \
-              "-0.0425879, -0.053405, -0.0698687, -0.08463, -0.092745, -0.0976317, -0.102689, -0.106126, -0.10862, -0.110483, -0.109936, -0.107379, -0.105487, -0.100165, -0.0886879, -0.050084, -0.0307443, -0.0192918, -0.0132475, -0.00859647, -0.00653301, -0.00341251, -0.00162505, -0.000236577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.191306, 0.234218, 0.249908, 0.26347, 0.277396, 0.289347, 0.317431, 0.338071, 0.350014, 0.361939, 0.386803, 0.396398, 0.414701, 0.451663, 0.476612, 0.502912, 0.536853, 0.560746, 0.579669, 0.617514, 0.662953, 0.684726");
-            values ( \
-              "-0.0572296, -0.109308, -0.123311, -0.132045, -0.138271, -0.141823, -0.146975, -0.14893, -0.14876, -0.147352, -0.138853, -0.132155, -0.112348, -0.0669499, -0.0441663, -0.0275334, -0.0144563, -0.00901731, -0.00602654, -0.00232664, -0.0012058, -0.00110007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.196208, 0.217448, 0.233258, 0.249731, 0.26122, 0.275854, 0.298501, 0.320121, 0.335658, 0.351285, 0.368818, 0.387462, 0.40297, 0.423647, 0.454562, 0.476604, 0.521833, 0.535157, 0.55279, 0.616319, 0.642899, 0.670519, 0.707345, 0.721031, 0.747454, 0.771893, 0.806379, 0.84954, 0.907088, 0.975559, 1.04403, 1.1125, 1.31791");
-            values ( \
-              "-0.0917355, -0.0970651, -0.118937, -0.136165, -0.145305, -0.154339, -0.163889, -0.171011, -0.175129, -0.178137, -0.180079, -0.18076, -0.180431, -0.178987, -0.175014, -0.170821, -0.157191, -0.15089, -0.140156, -0.0922297, -0.0744495, -0.0587672, -0.0420361, -0.0369754, -0.0287575, -0.0226573, -0.0160698, -0.0103707, -0.00555174, -0.00242344, -0.00131985, -0.000798518, -0.000343893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.210458, 0.274833, 0.307336, 0.350773, 0.388847, 0.41359, 0.440122, 0.519445, 0.626234, 0.694705, 0.741999, 0.800244, 0.868715, 1.01264, 1.07621, 1.16939, 1.21957, 1.29677, 1.36524, 1.45304, 1.58998, 1.79539, 1.81128");
-            values ( \
-              "-0.147437, -0.162897, -0.178325, -0.192747, -0.198852, -0.200173, -0.200336, -0.196919, -0.188888, -0.181973, -0.17576, -0.165033, -0.144444, -0.0880339, -0.0675731, -0.044516, -0.0352257, -0.0242896, -0.0172925, -0.0111193, -0.00543327, -0.00184607, -0.00178929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.263753, 0.272769, 0.279326, 0.287184, 0.299313, 0.308285, 0.316897, 0.327915, 0.336762, 0.345609, 0.348839, 0.351898, 0.37309, 0.381638, 0.392784, 0.39903, 0.415335, 0.448506, 0.462948, 0.505052");
-            values ( \
-              "-0.00225639, -0.0150183, -0.0212203, -0.0279368, -0.0357917, -0.0399194, -0.0420706, -0.0438035, -0.0441374, -0.0427798, -0.0417149, -0.0399402, -0.0159667, -0.00948373, -0.00357646, -0.00263482, -0.00247584, -0.000860967, -0.000450181, -7.0968e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.270422, 0.280941, 0.293641, 0.308476, 0.318269, 0.326609, 0.332667, 0.343851, 0.35486, 0.365865, 0.371215, 0.376738, 0.393425, 0.404141, 0.416004, 0.432225, 0.437631, 0.453394, 0.563374");
-            values ( \
-              "-0.0237749, -0.032325, -0.0481387, -0.0609946, -0.0668177, -0.0700638, -0.0717509, -0.0736954, -0.074117, -0.0714065, -0.0685475, -0.0628445, -0.0367325, -0.0233208, -0.0133809, -0.00521783, -0.00347405, -0.0022565, -0.00016302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.273497, 0.28193, 0.291853, 0.304376, 0.312778, 0.328824, 0.33798, 0.348069, 0.35732, 0.368245, 0.380576, 0.388245, 0.399046, 0.404021, 0.412013, 0.421274, 0.446007, 0.462755, 0.477589, 0.497441, 0.511324, 0.529609, 0.554423, 0.675961");
-            values ( \
-              "-0.0375787, -0.0431214, -0.0595897, -0.0757477, -0.0846122, -0.0974, -0.102482, -0.1063, -0.108536, -0.110075, -0.110425, -0.109892, -0.107378, -0.105457, -0.100165, -0.08867, -0.050089, -0.0307506, -0.0192925, -0.00988182, -0.00576012, -0.00243716, -0.00160066, -0.000374246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.281091, 0.301668, 0.321188, 0.337893, 0.350464, 0.364358, 0.376348, 0.387506, 0.411269, 0.420698, 0.433821, 0.449018, 0.46849, 0.473812, 0.484456, 0.501716, 0.537117, 0.55669, 0.572303, 0.585268, 0.605931, 0.624969, 0.645686, 0.661731, 0.693821, 0.73666, 0.824253");
-            values ( \
-              "-0.0755189, -0.0849048, -0.109192, -0.123981, -0.131985, -0.138212, -0.14179, -0.144095, -0.147841, -0.148706, -0.148922, -0.14733, -0.141358, -0.138843, -0.131279, -0.112307, -0.068603, -0.0497596, -0.0378731, -0.0299789, -0.020412, -0.0141594, -0.00941036, -0.00672694, -0.00310001, -0.0013063, -0.000896769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.286632, 0.315309, 0.330694, 0.345673, 0.353867, 0.373098, 0.406048, 0.417436, 0.433055, 0.449331, 0.4647, 0.479862, 0.500422, 0.521222, 0.562797, 0.593188, 0.609009, 0.64065, 0.69156, 0.726169, 0.752787, 0.770841, 0.802828, 0.831492, 0.86971, 0.895833, 0.926173, 0.966626, 1.0351, 1.10357, 1.24051, 1.37745");
-            values ( \
-              "-0.105533, -0.112351, -0.130096, -0.143356, -0.148994, -0.158987, -0.170596, -0.173803, -0.177228, -0.179498, -0.180537, -0.180712, -0.179837, -0.177903, -0.171098, -0.163013, -0.157165, -0.139729, -0.101005, -0.0769413, -0.0613903, -0.0523189, -0.0389497, -0.0296695, -0.0203761, -0.0157019, -0.011558, -0.00756914, -0.00341446, -0.00159571, -0.000647625, -0.000347728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.29354, 0.338111, 0.373942, 0.406395, 0.438131, 0.455781, 0.476138, 0.498469, 0.526075, 0.553861, 0.632602, 0.737894, 0.786989, 0.818695, 0.851733, 0.887499, 0.95597, 1.08341, 1.17189, 1.21652, 1.27523, 1.32956, 1.39803, 1.45566, 1.53368, 1.60216, 1.67063, 1.7391, 1.80757, 1.94451, 2.28686");
-            values ( \
-              "-0.137224, -0.144612, -0.169405, -0.182861, -0.192783, -0.196382, -0.198816, -0.200185, -0.200342, -0.199661, -0.19523, -0.186611, -0.181402, -0.177305, -0.172126, -0.16505, -0.14437, -0.0939292, -0.0651625, -0.0534825, -0.0408354, -0.0315928, -0.0226217, -0.0170109, -0.0114971, -0.00807629, -0.00563147, -0.00387847, -0.00269871, -0.00138, -0.000380584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.467306, 0.473764, 0.477757, 0.487089, 0.49681, 0.5056, 0.509829, 0.514214, 0.518671, 0.52405, 0.533381, 0.542459, 0.551532, 0.553046, 0.554609, 0.557735, 0.561333, 0.573498, 0.578976, 0.582706, 0.590383, 0.594829, 0.600757, 0.610151, 0.618617, 0.650499, 0.664972, 0.681964, 0.703873");
-            values ( \
-              "-0.0115947, -0.0125203, -0.0148713, -0.0230767, -0.0296904, -0.034554, -0.036361, -0.0380744, -0.0394812, -0.040879, -0.042483, -0.0432641, -0.0420239, -0.0416924, -0.0411937, -0.0394978, -0.0363439, -0.0217065, -0.0161003, -0.0129529, -0.00784044, -0.00535024, -0.00312462, -0.00254813, -0.00264331, -0.00102872, -0.000539102, -0.000255653, -9.48315e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.471071, 0.481627, 0.486838, 0.497325, 0.505665, 0.518749, 0.526312, 0.538292, 0.549721, 0.560898, 0.572995, 0.576173, 0.580035, 0.584395, 0.601378, 0.610686, 0.622267, 0.628673, 0.638597, 0.644069, 0.64941, 0.660094, 0.674538, 0.723972, 0.730589");
-            values ( \
-              "-0.0217795, -0.0261026, -0.0330701, -0.0442464, -0.0517943, -0.0615114, -0.0656667, -0.0700556, -0.0724469, -0.0731851, -0.0704274, -0.0688516, -0.0657744, -0.0605254, -0.0343401, -0.0230798, -0.0134446, -0.00971507, -0.00521341, -0.00346726, -0.00277614, -0.0022724, -0.00225521, -0.00077143, -0.000683776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.474668, 0.484027, 0.495143, 0.512591, 0.522861, 0.542457, 0.555409, 0.563203, 0.574422, 0.586661, 0.594398, 0.605397, 0.610228, 0.618249, 0.627521, 0.649491, 0.657854, 0.669003, 0.683912, 0.695296, 0.707474, 0.728765, 0.75117, 0.903986");
-            values ( \
-              "-0.035132, -0.0376037, -0.0543312, -0.0757217, -0.0859228, -0.100022, -0.105333, -0.10713, -0.109206, -0.109806, -0.109208, -0.107035, -0.105032, -0.100036, -0.0884333, -0.0537999, -0.0427076, -0.0308725, -0.0193676, -0.0130966, -0.00848311, -0.0033233, -0.00173633, -0.000137871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.482172, 0.500824, 0.526199, 0.539006, 0.558525, 0.575358, 0.59257, 0.613923, 0.627572, 0.638449, 0.652951, 0.65955, 0.668348, 0.679926, 0.70308, 0.738248, 0.762027, 0.791387, 0.811923, 0.831056, 0.852128, 0.868487, 0.901206, 0.944214, 1.04283");
-            values ( \
-              "-0.0652398, -0.0721, -0.105445, -0.118092, -0.131485, -0.138636, -0.143092, -0.147, -0.148455, -0.148775, -0.147599, -0.146307, -0.143799, -0.138702, -0.118428, -0.0742294, -0.0505307, -0.0300369, -0.0205031, -0.0141898, -0.00936431, -0.00665145, -0.00300286, -0.00129429, -0.000839244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.48769, 0.515434, 0.535727, 0.552249, 0.57359, 0.601623, 0.629929, 0.662754, 0.681833, 0.71076, 0.727402, 0.760687, 0.788641, 0.815175, 0.861186, 0.905733, 0.953649, 1.01151, 1.05995, 1.09716, 1.13849, 1.17066, 1.235, 1.30347, 1.36907");
-            values ( \
-              "-0.0886346, -0.101359, -0.126645, -0.141872, -0.155171, -0.16653, -0.175058, -0.180024, -0.180712, -0.179507, -0.177885, -0.172759, -0.166224, -0.157146, -0.129544, -0.0952397, -0.064448, -0.0381647, -0.0239753, -0.0165465, -0.010904, -0.0077925, -0.00372982, -0.00169981, -0.00137681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.494959, 0.569216, 0.613465, 0.644938, 0.66258, 0.683206, 0.705693, 0.733422, 0.760936, 0.839676, 0.944968, 1.02577, 1.09457, 1.16304, 1.31267, 1.39781, 1.4558, 1.49962, 1.55111, 1.61958, 1.68591, 1.78803, 1.92497, 2.07845");
-            values ( \
-              "-0.111279, -0.161727, -0.182502, -0.192618, -0.196222, -0.198809, -0.200136, -0.20036, -0.199627, -0.195201, -0.186636, -0.177285, -0.165032, -0.144389, -0.0859958, -0.0600044, -0.0461716, -0.0376616, -0.0294803, -0.0210832, -0.0151674, -0.00902986, -0.00436224, -0.00223742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.910889, 0.924204, 0.941832, 0.951858, 0.958177, 0.969918, 0.978635, 0.991553, 0.998585, 1.00442, 1.01652, 1.01976, 1.02456, 1.04485, 1.05685, 1.06377, 1.07167, 1.07868, 1.11593, 1.13113, 1.14413");
-            values ( \
-              "-0.00867078, -0.010148, -0.0199618, -0.0247524, -0.0274282, -0.0315738, -0.0336899, -0.0360478, -0.0369643, -0.0373621, -0.0368998, -0.0359071, -0.0335824, -0.0151008, -0.00723492, -0.0041314, -0.00305368, -0.00305824, -0.00106225, -0.00054936, -0.000335303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.915202, 0.928431, 0.949861, 0.960769, 0.96925, 0.982868, 0.996937, 1.0027, 1.01522, 1.02464, 1.0306, 1.03722, 1.04658, 1.05763, 1.07215, 1.08399, 1.0938, 1.10206, 1.1106, 1.11631, 1.12551, 1.15254, 1.18667, 1.19619");
-            values ( \
-              "-0.0175923, -0.0183659, -0.035435, -0.0429041, -0.0481076, -0.0549807, -0.0598797, -0.0614563, -0.0640128, -0.0649214, -0.0647503, -0.0638256, -0.0599424, -0.0473816, -0.0285625, -0.017333, -0.0109453, -0.00687658, -0.00380056, -0.00315054, -0.00266783, -0.00206487, -0.000901033, -0.00069745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.919735, 0.93706, 0.954196, 0.975795, 0.987946, 1.00811, 1.01813, 1.03559, 1.05258, 1.06084, 1.07287, 1.07762, 1.0861, 1.09566, 1.12097, 1.13746, 1.15359, 1.16586, 1.17707, 1.19696, 1.21586, 1.29659");
-            values ( \
-              "-0.025652, -0.0325144, -0.0502533, -0.0691641, -0.0779816, -0.0893587, -0.0931684, -0.0980296, -0.101005, -0.101758, -0.100995, -0.100026, -0.0959557, -0.0859328, -0.0492539, -0.030836, -0.0187265, -0.0124674, -0.00836774, -0.00357879, -0.00194138, -0.00109666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.929156, 0.956438, 0.984006, 1.00525, 1.01838, 1.03435, 1.04732, 1.07398, 1.08926, 1.09912, 1.11682, 1.12379, 1.1361, 1.14899, 1.17454, 1.21388, 1.23063, 1.24107, 1.26359, 1.27574, 1.29831, 1.32798, 1.35247, 1.39193, 1.4741");
-            values ( \
-              "-0.0460129, -0.0611871, -0.0898177, -0.107177, -0.115557, -0.123861, -0.129143, -0.138146, -0.142024, -0.143696, -0.144607, -0.144041, -0.141678, -0.136783, -0.115023, -0.0673858, -0.0513678, -0.0429699, -0.0287632, -0.0229743, -0.0149225, -0.00829029, -0.00481111, -0.0017916, -0.00124454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.941057, 0.980662, 0.999027, 1.02108, 1.04679, 1.08184, 1.11279, 1.13153, 1.15135, 1.16217, 1.1766, 1.1968, 1.2275, 1.25592, 1.28506, 1.33109, 1.37574, 1.42358, 1.45475, 1.48146, 1.5038, 1.52993, 1.56714, 1.60843, 1.64056, 1.70483, 1.7733, 1.91024, 2.04718");
-            values ( \
-              "-0.0795159, -0.0954203, -0.11345, -0.13089, -0.146184, -0.163267, -0.173417, -0.176677, -0.178153, -0.178297, -0.177882, -0.176343, -0.172131, -0.166364, -0.156888, -0.129487, -0.0952171, -0.0644497, -0.048886, -0.0381803, -0.030894, -0.0239618, -0.0165529, -0.010913, -0.00779175, -0.00374187, -0.00169625, -0.000656452, -0.000345522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.949782, 1.00459, 1.0447, 1.09625, 1.1312, 1.1512, 1.17494, 1.20252, 1.24663, 1.2939, 1.38961, 1.45808, 1.50541, 1.56364, 1.63211, 1.78442, 1.86437, 1.96846, 2.02683, 2.0953, 2.15575, 2.24654, 2.38348, 2.56079");
-            values ( \
-              "-0.104391, -0.125136, -0.154598, -0.181937, -0.192764, -0.196066, -0.19808, -0.198727, -0.198019, -0.195896, -0.188862, -0.181966, -0.175751, -0.165032, -0.14444, -0.0851037, -0.0606953, -0.0377014, -0.0285463, -0.0204195, -0.0151262, -0.00955342, -0.00463366, -0.00200515" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0042163, 0.00421702, 0.00421776, 0.00421833, 0.00421881, 0.00421891", \
-            "0.0046573, 0.00465756, 0.0046579, 0.00465823, 0.00465846, 0.0046586", \
-            "0.00490222, 0.00490226, 0.00490232, 0.00490243, 0.00490254, 0.00490262", \
-            "0.0050482, 0.00504817, 0.0050482, 0.0050482, 0.00504823, 0.00504827", \
-            "0.00513843, 0.00513843, 0.00513843, 0.00513845, 0.00513845, 0.00513846", \
-            "0.00521605, 0.00521605, 0.00521605, 0.00521606, 0.00521606, 0.00521607" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00537132, 0.00537143, 0.00537166, 0.00537194, 0.00537219, 0.0053723", \
-            "0.00564881, 0.00564875, 0.00564877, 0.00564888, 0.00564901, 0.0056491", \
-            "0.00582456, 0.00582459, 0.00582465, 0.00582472, 0.00582482, 0.0058249", \
-            "0.00602997, 0.00602981, 0.0060296, 0.00602954, 0.00602951, 0.00602954", \
-            "0.00635231, 0.00635168, 0.0063507, 0.00634957, 0.00634862, 0.006348", \
-            "0.00652766, 0.00653615, 0.00654458, 0.00655023, 0.0065539, 0.00655581" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & D & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.17862, 0.194682, 0.22377, 0.276059, 0.372, 0.564513", \
-            "0.183435, 0.199513, 0.2286, 0.280905, 0.37686, 0.569391", \
-            "0.195216, 0.211285, 0.240376, 0.292734, 0.388683, 0.581184", \
-            "0.221489, 0.237531, 0.26661, 0.318961, 0.414962, 0.607501", \
-            "0.280057, 0.296328, 0.325678, 0.378293, 0.474258, 0.66697", \
-            "0.386165, 0.404395, 0.436658, 0.491935, 0.589347, 0.782445" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0559991, 0.0697097, 0.0972722, 0.151487, 0.265783, 0.51562", \
-            "0.0559947, 0.0697124, 0.0972636, 0.151461, 0.265782, 0.51562", \
-            "0.0560012, 0.0697193, 0.097393, 0.151622, 0.265783, 0.51562", \
-            "0.0560477, 0.0697723, 0.097323, 0.151498, 0.265777, 0.515617", \
-            "0.0579212, 0.0713504, 0.0983792, 0.152099, 0.265604, 0.51562", \
-            "0.0681615, 0.0819455, 0.108266, 0.15906, 0.269113, 0.516569" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00451799", \
-            "0.00519207", \
-            "0.0055483", \
-            "0.00573223", \
-            "0.00582252", \
-            "0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00544368", \
-            "0.00531763", \
-            "0.00510281", \
-            "0.00493081", \
-            "0.004856", \
-            "0.00482198" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.122155, 0.131402, 0.142603, 0.151259, 0.163319, 0.167792, 0.177214, 0.18621, 0.195051, 0.205429, 0.210149, 0.213607, 0.231358, 0.241735, 0.250996, 0.257365, 0.27385, 0.305581, 0.31167");
-            values ( \
-              "-0.0014933, -0.0153556, -0.0261694, -0.0322719, -0.0386406, -0.0400749, -0.0426329, -0.0437115, -0.044334, -0.0423564, -0.0399652, -0.0367509, -0.0159546, -0.00832142, -0.00359277, -0.00265547, -0.00245605, -0.000907377, -0.000725645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.128129, 0.148099, 0.166827, 0.17615, 0.185421, 0.190988, 0.202168, 0.21318, 0.224192, 0.230201, 0.236544, 0.262629, 0.274584, 0.290827, 0.296271, 0.312104, 0.357946");
-            values ( \
-              "-0.0192303, -0.0442491, -0.0610264, -0.0666648, -0.0703112, -0.0718289, -0.0737085, -0.074022, -0.0711455, -0.0677597, -0.0606539, -0.0233055, -0.0133361, -0.0051754, -0.00346154, -0.00228416, -0.00137797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.13393, 0.145742, 0.150228, 0.162736, 0.182601, 0.199707, 0.215658, 0.226222, 0.239065, 0.246787, 0.260113, 0.267485, 0.277315, 0.302681, 0.315427, 0.32475, 0.333875, 0.346043, 0.355285, 0.370986, 0.389237, 0.412839, 0.566278");
-            values ( \
-              "-0.0485457, -0.0526585, -0.0601566, -0.0759248, -0.0945802, -0.103986, -0.108392, -0.109604, -0.109895, -0.109444, -0.106266, -0.1026, -0.0924242, -0.0527155, -0.0368104, -0.0276598, -0.0207356, -0.0139316, -0.010083, -0.00544353, -0.00235712, -0.0016098, -0.000192931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.137824, 0.154208, 0.17933, 0.193594, 0.208604, 0.222617, 0.234611, 0.262178, 0.283495, 0.295429, 0.307323, 0.32774, 0.332149, 0.340969, 0.360015, 0.381039, 0.409464, 0.425649, 0.439696, 0.457852, 0.480351, 0.509923, 0.534329, 0.572688, 0.621533, 0.79701");
-            values ( \
-              "-0.0706339, -0.0760566, -0.109252, -0.122131, -0.131725, -0.137582, -0.140841, -0.146568, -0.149042, -0.148946, -0.147584, -0.141122, -0.139049, -0.132927, -0.112466, -0.0853518, -0.054645, -0.0413431, -0.0321592, -0.0230063, -0.0149412, -0.00831059, -0.00483599, -0.00183897, -0.00115235, -0.000279937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.143646, 0.176395, 0.191719, 0.20663, 0.222491, 0.253972, 0.282905, 0.296906, 0.314457, 0.334012, 0.362906, 0.379528, 0.412773, 0.440768, 0.467265, 0.51327, 0.557827, 0.605738, 0.63692, 0.663603, 0.712044, 0.749258, 0.790583, 0.822751, 0.887089, 0.955557, 1.03424");
-            values ( \
-              "-0.0952182, -0.116153, -0.132914, -0.145006, -0.153963, -0.166617, -0.175635, -0.178405, -0.180408, -0.181042, -0.179729, -0.178042, -0.172844, -0.166254, -0.157157, -0.129547, -0.0952311, -0.0644457, -0.0488764, -0.0381654, -0.0239726, -0.0165475, -0.0109059, -0.00779022, -0.00373207, -0.00169789, -0.00131038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.154642, 0.206338, 0.243571, 0.265829, 0.298403, 0.334542, 0.358111, 0.385242, 0.466084, 0.571854, 0.640321, 0.687641, 0.745874, 0.814342, 0.959108, 1.02166, 1.1184, 1.18997, 1.24033, 1.30879, 1.40244, 1.53937, 1.6763, 1.78181");
-            values ( \
-              "-0.147943, -0.152862, -0.17337, -0.18309, -0.193621, -0.199221, -0.200355, -0.200575, -0.196937, -0.188822, -0.182017, -0.175801, -0.164971, -0.144477, -0.0877769, -0.0675894, -0.0437763, -0.0312515, -0.0245692, -0.0174278, -0.0108619, -0.00528174, -0.0025125, -0.00155693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.137613, 0.146853, 0.158047, 0.166682, 0.178752, 0.183224, 0.192645, 0.201641, 0.210481, 0.22086, 0.22558, 0.229036, 0.246789, 0.257162, 0.266422, 0.272789, 0.289279, 0.321012, 0.327874");
-            values ( \
-              "-0.00145187, -0.0154089, -0.0261697, -0.032252, -0.0386241, -0.0400991, -0.0426167, -0.0437335, -0.0443175, -0.0423554, -0.0399636, -0.036755, -0.0159514, -0.00832338, -0.00359466, -0.0026539, -0.00245455, -0.000908462, -0.00070256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.143771, 0.163598, 0.182304, 0.194851, 0.206489, 0.217651, 0.228665, 0.239674, 0.245686, 0.252025, 0.278123, 0.290052, 0.306301, 0.311747, 0.327595, 0.371826");
-            values ( \
-              "-0.0201452, -0.0442976, -0.0610261, -0.0680994, -0.0718668, -0.0737177, -0.0740417, -0.0711528, -0.0677668, -0.060657, -0.0232902, -0.0133443, -0.00517819, -0.0034611, -0.00228319, -0.00140903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.149578, 0.161112, 0.165693, 0.178192, 0.198049, 0.215152, 0.231096, 0.24183, 0.254505, 0.262227, 0.275594, 0.28285, 0.292525, 0.318419, 0.329665, 0.339833, 0.352099, 0.359739, 0.376004, 0.386406, 0.404255, 0.428901, 0.582879");
-            values ( \
-              "-0.0500949, -0.0526279, -0.0601687, -0.0759254, -0.0945656, -0.104036, -0.108369, -0.109621, -0.109896, -0.109447, -0.106245, -0.102649, -0.092737, -0.0522933, -0.0381362, -0.0279602, -0.0189958, -0.0147672, -0.00833414, -0.00549625, -0.00237701, -0.00161743, -0.000162572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.153435, 0.169694, 0.194819, 0.20908, 0.224087, 0.238095, 0.250088, 0.277667, 0.298971, 0.310906, 0.3228, 0.343301, 0.347627, 0.35628, 0.373585, 0.404522, 0.429797, 0.447363, 0.458682, 0.478043, 0.498035, 0.520772, 0.538714, 0.5746, 0.617171, 0.847239");
-            values ( \
-              "-0.0714945, -0.0761121, -0.109317, -0.122148, -0.131769, -0.137582, -0.140873, -0.146591, -0.149021, -0.148964, -0.147563, -0.141101, -0.139029, -0.13308, -0.114826, -0.0757504, -0.0503331, -0.0369982, -0.0301527, -0.0210437, -0.014341, -0.00915371, -0.00625572, -0.00257006, -0.00126278, -0.000223872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.159688, 0.187581, 0.206938, 0.222018, 0.247394, 0.283167, 0.29829, 0.312344, 0.329901, 0.349442, 0.378352, 0.394966, 0.428195, 0.456237, 0.482703, 0.52871, 0.573264, 0.621177, 0.652359, 0.679042, 0.727481, 0.764695, 0.806021, 0.838191, 0.902531, 0.970998, 1.05631");
-            values ( \
-              "-0.102893, -0.110633, -0.132728, -0.145054, -0.158105, -0.171398, -0.175624, -0.178407, -0.180408, -0.181043, -0.179727, -0.178043, -0.172846, -0.166244, -0.157158, -0.129545, -0.0952333, -0.0644443, -0.0488749, -0.0381661, -0.0239718, -0.0165485, -0.0109066, -0.00778916, -0.00373278, -0.00169694, -0.00127681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.171544, 0.235159, 0.281341, 0.315491, 0.350199, 0.401371, 0.480794, 0.546241, 0.655978, 0.703298, 0.761531, 0.829998, 0.980882, 1.06368, 1.16652, 1.22137, 1.28984, 1.3855, 1.51833, 1.5868, 1.73097");
-            values ( \
-              "-0.147727, -0.161801, -0.183077, -0.193997, -0.199252, -0.200596, -0.197021, -0.192386, -0.182041, -0.175823, -0.164949, -0.144498, -0.085644, -0.0603603, -0.0376397, -0.0289812, -0.0207944, -0.0128446, -0.00644831, -0.00452891, -0.00241563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.180153, 0.189568, 0.195652, 0.203266, 0.21607, 0.220505, 0.229929, 0.238925, 0.247766, 0.258151, 0.262871, 0.266322, 0.284085, 0.294448, 0.3037, 0.310066, 0.326565, 0.358293, 0.367911");
-            values ( \
-              "-0.0165878, -0.020762, -0.0263208, -0.0317274, -0.0385657, -0.0401434, -0.0425623, -0.0437742, -0.0442725, -0.0423533, -0.0399587, -0.0367535, -0.0159428, -0.00832267, -0.00359649, -0.00265479, -0.00245522, -0.000907881, -0.0006988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.183374, 0.195359, 0.212045, 0.219605, 0.22662, 0.232154, 0.243795, 0.254956, 0.265971, 0.27698, 0.282994, 0.289331, 0.304569, 0.31544, 0.327352, 0.343606, 0.349054, 0.35434, 0.364914, 0.378929, 0.426792, 0.434327");
-            values ( \
-              "-0.0299286, -0.0379766, -0.0548924, -0.0610445, -0.0653961, -0.0681099, -0.0718388, -0.0737299, -0.074023, -0.0711645, -0.0677649, -0.0606547, -0.0368116, -0.0232788, -0.0133491, -0.00517949, -0.00346099, -0.00278041, -0.00228293, -0.00226364, -0.000822979, -0.0007143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.185028, 0.193181, 0.202958, 0.215493, 0.235354, 0.250012, 0.257347, 0.271137, 0.284066, 0.299532, 0.3073, 0.315341, 0.331299, 0.356382, 0.369799, 0.385593, 0.394068, 0.41327, 0.424388, 0.439211, 0.464139, 0.616838");
-            values ( \
-              "-0.0392391, -0.0442658, -0.0599959, -0.0757342, -0.0943885, -0.10312, -0.105704, -0.108703, -0.109761, -0.109377, -0.107965, -0.105218, -0.0910479, -0.0515883, -0.0352092, -0.0215421, -0.0163984, -0.00836435, -0.00532047, -0.00274219, -0.00153623, -0.000225302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.188151, 0.200233, 0.214296, 0.232895, 0.24822, 0.261608, 0.274947, 0.287607, 0.311061, 0.336497, 0.352426, 0.360349, 0.372376, 0.385113, 0.410214, 0.449561, 0.465464, 0.475949, 0.500645, 0.514804, 0.534994, 0.559667, 0.579291, 0.616893, 0.661096, 0.721534");
-            values ( \
-              "-0.0536809, -0.0641925, -0.0874223, -0.109946, -0.123369, -0.131761, -0.137338, -0.140859, -0.145815, -0.149008, -0.148661, -0.14754, -0.144481, -0.138932, -0.116212, -0.067577, -0.0520722, -0.0434823, -0.0278954, -0.0214162, -0.0145361, -0.00892478, -0.00586427, -0.00227257, -0.00122747, -0.000921661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.199647, 0.231377, 0.259293, 0.274364, 0.306753, 0.335438, 0.349672, 0.367218, 0.38678, 0.415673, 0.432296, 0.465542, 0.493533, 0.520033, 0.566038, 0.610595, 0.658505, 0.716371, 0.764811, 0.802025, 0.84335, 0.875519, 0.939857, 1.00832, 1.0688");
-            values ( \
-              "-0.115247, -0.119147, -0.145123, -0.1536, -0.166635, -0.17558, -0.178405, -0.180406, -0.181041, -0.179728, -0.178042, -0.172844, -0.166254, -0.157157, -0.129547, -0.0952313, -0.0644452, -0.0381659, -0.023972, -0.016548, -0.0109063, -0.00778966, -0.00373255, -0.00169737, -0.00139954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.199316, 0.272733, 0.318792, 0.370777, 0.412255, 0.438861, 0.51814, 0.624952, 0.69342, 0.74074, 0.798973, 0.867441, 1.01973, 1.09975, 1.20382, 1.26211, 1.33057, 1.39109, 1.48202, 1.61895, 1.76296");
-            values ( \
-              "-0.109416, -0.161723, -0.182967, -0.197209, -0.200443, -0.200507, -0.196943, -0.188877, -0.181963, -0.175748, -0.165023, -0.144425, -0.0851037, -0.0606805, -0.0377061, -0.0285616, -0.0204223, -0.015122, -0.00954257, -0.00462619, -0.00249886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.267561, 0.275163, 0.278049, 0.285837, 0.291655, 0.303719, 0.308195, 0.317628, 0.326632, 0.335478, 0.345855, 0.350576, 0.354041, 0.37178, 0.382174, 0.391442, 0.397816, 0.414288, 0.446006, 0.455337");
-            values ( \
-              "-0.017925, -0.0181522, -0.0216959, -0.0280986, -0.0320646, -0.0384732, -0.0401101, -0.0425064, -0.0437621, -0.0442341, -0.0423418, -0.039957, -0.0367426, -0.015966, -0.00832066, -0.00359052, -0.00265573, -0.00245584, -0.000908309, -0.000705313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.270397, 0.279399, 0.29232, 0.307197, 0.314227, 0.319766, 0.331419, 0.342588, 0.353608, 0.364623, 0.3706, 0.376977, 0.403089, 0.415001, 0.431256, 0.436705, 0.452564, 0.499781");
-            values ( \
-              "-0.0310887, -0.0323859, -0.0481013, -0.060948, -0.0652811, -0.068046, -0.0717631, -0.0737018, -0.0739742, -0.0711543, -0.0677762, -0.0606432, -0.0232773, -0.0133487, -0.00517881, -0.00346112, -0.00228376, -0.00134995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.273435, 0.286925, 0.290561, 0.303114, 0.323013, 0.340138, 0.356099, 0.36634, 0.37951, 0.387231, 0.400349, 0.408339, 0.418992, 0.444952, 0.460562, 0.468093, 0.477345, 0.48968, 0.497229, 0.506726, 0.519389, 0.540744, 0.687655");
-            values ( \
-              "-0.0418831, -0.0536429, -0.0598593, -0.0757323, -0.0944714, -0.103925, -0.108344, -0.109545, -0.109866, -0.10942, -0.106326, -0.102294, -0.090706, -0.0502056, -0.0319777, -0.0253424, -0.0188732, -0.0124924, -0.00960589, -0.00669183, -0.0037897, -0.00171268, -0.000287626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.277597, 0.296392, 0.319855, 0.334473, 0.349146, 0.363143, 0.37515, 0.402692, 0.424037, 0.435972, 0.447866, 0.468353, 0.472693, 0.481373, 0.500548, 0.538193, 0.560785, 0.576008, 0.589956, 0.608554, 0.624572, 0.648342, 0.680036, 0.718568, 0.816465");
-            values ( \
-              "-0.0630472, -0.0786028, -0.109217, -0.122348, -0.131714, -0.137542, -0.140838, -0.146574, -0.149019, -0.148964, -0.147562, -0.141109, -0.139028, -0.133061, -0.112462, -0.0662728, -0.0454791, -0.034725, -0.0269291, -0.0189644, -0.0139393, -0.00869326, -0.00424324, -0.00162656, -0.00102859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.283555, 0.307158, 0.33211, 0.349682, 0.372902, 0.408414, 0.423409, 0.437342, 0.454897, 0.474492, 0.503295, 0.519962, 0.553297, 0.581021, 0.607699, 0.653697, 0.69827, 0.746168, 0.777348, 0.804034, 0.852481, 0.889694, 0.931013, 0.963178, 1.02751, 1.09597, 1.36984");
-            values ( \
-              "-0.100108, -0.103071, -0.132904, -0.14674, -0.158278, -0.17149, -0.17566, -0.178424, -0.180412, -0.181054, -0.17973, -0.17805, -0.172822, -0.166299, -0.157162, -0.129548, -0.0952301, -0.0644423, -0.0488735, -0.0381722, -0.0239658, -0.0165531, -0.0109124, -0.00778472, -0.00373903, -0.00169201, -0.000342989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.295046, 0.347029, 0.374045, 0.423672, 0.457697, 0.499725, 0.552876, 0.631621, 0.712542, 0.796782, 0.828329, 0.886562, 0.95503, 1.10733, 1.18733, 1.24185, 1.2914, 1.34971, 1.41817, 1.47868, 1.56959, 1.70653, 1.90184");
-            values ( \
-              "-0.145876, -0.153159, -0.168787, -0.189371, -0.197219, -0.200531, -0.19979, -0.195294, -0.18895, -0.180121, -0.175681, -0.165089, -0.144359, -0.0850568, -0.0606458, -0.047499, -0.0377391, -0.0285912, -0.0203897, -0.0150922, -0.00951452, -0.00459833, -0.00176568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.464336, 0.473273, 0.47643, 0.48672, 0.495464, 0.505592, 0.513605, 0.518176, 0.523466, 0.532806, 0.541887, 0.552491, 0.55407, 0.557227, 0.560769, 0.57292, 0.578573, 0.582271, 0.589883, 0.594258, 0.600091, 0.609156, 0.617338, 0.651298, 0.665336, 0.6824, 0.704091");
-            values ( \
-              "-0.00467705, -0.0127473, -0.0145619, -0.023459, -0.0292167, -0.0346782, -0.0379251, -0.0394035, -0.0408157, -0.042466, -0.0432615, -0.0416878, -0.0411718, -0.0394228, -0.0363032, -0.0216924, -0.0159347, -0.0128428, -0.00779085, -0.00533984, -0.00316465, -0.00260316, -0.00265504, -0.000962446, -0.000527217, -0.000237174, -0.000101545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.471808, 0.481853, 0.492813, 0.504204, 0.51354, 0.521868, 0.531988, 0.537665, 0.549099, 0.560293, 0.57254, 0.576151, 0.579787, 0.583895, 0.600692, 0.608813, 0.620821, 0.630481, 0.639794, 0.644904, 0.655173, 0.683273, 0.715665, 0.757289");
-            values ( \
-              "-0.0274095, -0.0276407, -0.0404809, -0.0508647, -0.0581988, -0.0635572, -0.0681622, -0.0699635, -0.07235, -0.0729794, -0.0700491, -0.0681656, -0.0651401, -0.0602197, -0.034553, -0.0245539, -0.0141092, -0.00858001, -0.00459112, -0.00329641, -0.00244964, -0.00206265, -0.000990316, -0.000254517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.475295, 0.490785, 0.503829, 0.520064, 0.528946, 0.541959, 0.554927, 0.562752, 0.574435, 0.586362, 0.59413, 0.609746, 0.618993, 0.627339, 0.652067, 0.668642, 0.683827, 0.695415, 0.703793, 0.71417, 0.728006, 0.74968, 0.897039");
-            values ( \
-              "-0.0365287, -0.0484839, -0.0659173, -0.0836504, -0.0911519, -0.0999136, -0.105078, -0.106713, -0.108582, -0.109153, -0.108683, -0.105074, -0.0989993, -0.0885304, -0.0500923, -0.0309186, -0.0191812, -0.0130558, -0.00976075, -0.00657089, -0.003516, -0.00166959, -0.000294056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.483994, 0.505636, 0.519268, 0.538991, 0.560247, 0.574063, 0.593132, 0.621781, 0.631127, 0.648824, 0.655053, 0.667086, 0.679811, 0.704916, 0.726658, 0.760174, 0.79534, 0.829691, 0.854367, 0.910633, 0.966511");
-            values ( \
-              "-0.0752747, -0.0796619, -0.0979325, -0.118277, -0.132164, -0.137515, -0.142661, -0.148174, -0.148742, -0.148537, -0.147366, -0.144575, -0.138796, -0.116328, -0.0879522, -0.0519529, -0.0277886, -0.0144273, -0.00881585, -0.00222624, -0.00144433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.484031, 0.505553, 0.519351, 0.53575, 0.552342, 0.568389, 0.588923, 0.603833, 0.618238, 0.631711, 0.64441, 0.662899, 0.68301, 0.701847, 0.723377, 0.734338, 0.748954, 0.769604, 0.814824, 0.828032, 0.845642, 0.909294, 0.935888, 0.963521, 1.00037, 1.01403, 1.04042, 1.06487, 1.09936, 1.11376, 1.14256, 1.20015, 1.26861, 1.33708, 1.40555, 1.61095");
-            values ( \
-              "-0.0780268, -0.0860187, -0.106914, -0.126829, -0.141743, -0.151613, -0.160895, -0.166934, -0.17203, -0.175774, -0.178291, -0.180424, -0.181003, -0.180342, -0.178458, -0.177103, -0.174883, -0.170863, -0.157202, -0.150955, -0.14025, -0.0922385, -0.0744498, -0.0587611, -0.0420251, -0.0369723, -0.0287653, -0.0226614, -0.0160714, -0.0139023, -0.0103686, -0.00554773, -0.00242182, -0.00131921, -0.000798275, -0.000343809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.491568, 0.527279, 0.540622, 0.568165, 0.614399, 0.647088, 0.664612, 0.682321, 0.706672, 0.733411, 0.813085, 0.919633, 0.988101, 1.03542, 1.09365, 1.16212, 1.31441, 1.39443, 1.44896, 1.4985, 1.55678, 1.62525, 1.68577, 1.7767, 1.84517, 1.91364, 1.9821, 2.11904, 2.46137");
-            values ( \
-              "-0.108839, -0.123161, -0.138963, -0.16067, -0.183078, -0.193759, -0.197005, -0.199197, -0.200387, -0.200555, -0.196971, -0.188836, -0.182003, -0.175786, -0.164985, -0.144463, -0.0851296, -0.0606999, -0.0474397, -0.0376883, -0.0285453, -0.0204388, -0.0151371, -0.00955711, -0.00665575, -0.00464039, -0.00317249, -0.00157824, -0.000441822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.907776, 0.919223, 0.924153, 0.941723, 0.958202, 0.969501, 0.983178, 0.994178, 1.00478, 1.0153, 1.02461, 1.04373, 1.05735, 1.06399, 1.07089, 1.0869, 1.10355, 1.12956, 1.14318");
-            values ( \
-              "-0.00516196, -0.00844205, -0.0104063, -0.0199913, -0.0271513, -0.0311128, -0.0344173, -0.0361895, -0.0372603, -0.0367339, -0.0340733, -0.0163435, -0.00717968, -0.00416632, -0.00327286, -0.00277595, -0.00168647, -0.000560795, -0.000389928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.915236, 0.929447, 0.95702, 0.982471, 0.998875, 1.01206, 1.02481, 1.03755, 1.05003, 1.07742, 1.09376, 1.10948, 1.1272, 1.18871, 1.19932");
-            values ( \
-              "-0.0174912, -0.0195627, -0.0401825, -0.0545331, -0.0601315, -0.0631392, -0.0645695, -0.0634315, -0.0571705, -0.0234225, -0.0112182, -0.00421522, -0.00267404, -0.000857912, -0.000709299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.924476, 0.94632, 0.96317, 0.98066, 0.999609, 1.00862, 1.026, 1.03383, 1.05146, 1.06154, 1.07838, 1.09526, 1.12175, 1.13273, 1.15141, 1.1637, 1.17838, 1.18904, 1.20612, 1.23748, 1.36066");
-            values ( \
-              "-0.0358881, -0.0419715, -0.0580344, -0.0721676, -0.0844031, -0.0887907, -0.0948814, -0.0968494, -0.100325, -0.101606, -0.100062, -0.08812, -0.0492829, -0.036275, -0.0205812, -0.0137207, -0.00816515, -0.00534169, -0.00244952, -0.00158066, -0.000320837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.928695, 0.955229, 0.984251, 1.0049, 1.01884, 1.03494, 1.07472, 1.0877, 1.09979, 1.11736, 1.12436, 1.13663, 1.14949, 1.17496, 1.21431, 1.23049, 1.24103, 1.26577, 1.27997, 1.3002, 1.3249, 1.34454, 1.38204, 1.42671, 1.59948");
-            values ( \
-              "-0.0455439, -0.0591062, -0.0892044, -0.106113, -0.114755, -0.122881, -0.13835, -0.142039, -0.14427, -0.145206, -0.144575, -0.142145, -0.137136, -0.115219, -0.0674044, -0.0518505, -0.0433253, -0.0278445, -0.0213829, -0.014515, -0.00891289, -0.00585173, -0.00228215, -0.00123337, -0.000349736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.935366, 0.968858, 0.991747, 1.01645, 1.06872, 1.08516, 1.11334, 1.13131, 1.15535, 1.17543, 1.19711, 1.22757, 1.25565, 1.28532, 1.3302, 1.37728, 1.43273, 1.4891, 1.53116, 1.56795, 1.60758, 1.63825, 1.6996, 1.76807, 1.84014");
-            values ( \
-              "-0.0624397, -0.0813022, -0.105832, -0.126487, -0.157087, -0.164976, -0.174205, -0.177281, -0.178765, -0.178318, -0.176588, -0.172304, -0.166572, -0.156918, -0.130367, -0.0942257, -0.0596421, -0.0355933, -0.0237285, -0.0164521, -0.0110308, -0.00800663, -0.00399965, -0.00179122, -0.00120346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.948332, 0.990911, 1.03283, 1.068, 1.10346, 1.12116, 1.15178, 1.17929, 1.20487, 1.24579, 1.28452, 1.39004, 1.4585, 1.50584, 1.56407, 1.63253, 1.78487, 1.8648, 1.91926, 1.9689, 2.02731, 2.09578, 2.15619, 2.24693, 2.38387, 2.45234, 2.58927, 2.93161");
-            values ( \
-              "-0.106581, -0.110658, -0.145287, -0.167619, -0.18541, -0.191003, -0.196599, -0.198691, -0.19892, -0.198167, -0.196487, -0.188856, -0.181949, -0.175736, -0.165025, -0.144435, -0.085098, -0.0606985, -0.047475, -0.0377007, -0.0285407, -0.0204167, -0.0151262, -0.0095563, -0.00463554, -0.00318495, -0.00158859, -0.000433642" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0039822, 0.00398289, 0.00398359, 0.00398412, 0.00398446, 0.00398465", \
-            "0.00437107, 0.00437133, 0.00437167, 0.00437198, 0.00437221, 0.00437234", \
-            "0.00459594, 0.00459598, 0.00459604, 0.00459615, 0.00459626, 0.00459634", \
-            "0.00473285, 0.00473284, 0.00473285, 0.00473286, 0.00473289, 0.00473292", \
-            "0.00481468, 0.00481468, 0.00481468, 0.00481469, 0.0048147, 0.00481471", \
-            "0.00487216, 0.00487216, 0.00487217, 0.00487217, 0.00487218, 0.00487218" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00513102, 0.00513123, 0.00513154, 0.00513186, 0.00513211, 0.00513225", \
-            "0.00550539, 0.00550536, 0.00550542, 0.00550556, 0.00550571, 0.00550581", \
-            "0.00572412, 0.00572415, 0.00572426, 0.00572435, 0.00572446, 0.00572455", \
-            "0.00588823, 0.00588802, 0.00588781, 0.00588772, 0.0058877, 0.00588772", \
-            "0.00605873, 0.00605809, 0.00605709, 0.00605593, 0.00605495, 0.00605431", \
-            "0.00612617, 0.00613031, 0.00613391, 0.00613684, 0.00613875, 0.00614026" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & ~D & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.181137, 0.197252, 0.226382, 0.278853, 0.374952, 0.5675", \
-            "0.185935, 0.202034, 0.231176, 0.283659, 0.379768, 0.572301", \
-            "0.197576, 0.213682, 0.242825, 0.295329, 0.391419, 0.583968", \
-            "0.223365, 0.239426, 0.268557, 0.321091, 0.417238, 0.609797", \
-            "0.281287, 0.297579, 0.326984, 0.379728, 0.475965, 0.668574", \
-            "0.386696, 0.404925, 0.437274, 0.492644, 0.590231, 0.78331" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0562335, 0.0699577, 0.0977293, 0.152004, 0.265807, 0.515602", \
-            "0.0562433, 0.0699586, 0.0977285, 0.152, 0.265807, 0.515583", \
-            "0.0562385, 0.0699684, 0.0976035, 0.152003, 0.266101, 0.51571", \
-            "0.0562987, 0.0700217, 0.097773, 0.152012, 0.266087, 0.515577", \
-            "0.0581226, 0.0715149, 0.0986558, 0.152286, 0.265885, 0.515706", \
-            "0.0682427, 0.0818632, 0.108522, 0.159369, 0.269433, 0.516647" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00451799", \
-            "0.00519207", \
-            "0.0055483", \
-            "0.00573223", \
-            "0.00582252", \
-            "0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00544368", \
-            "0.00531763", \
-            "0.00510281", \
-            "0.00493081", \
-            "0.004856", \
-            "0.00482198" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.130014, 0.139461, 0.142743, 0.150244, 0.155246, 0.165606, 0.170187, 0.17965, 0.188687, 0.197561, 0.207957, 0.212689, 0.216177, 0.228211, 0.233916, 0.244358, 0.253819, 0.260196, 0.276333, 0.30982, 0.324409, 0.330317");
-            values ( \
-              "-0.0181616, -0.0209264, -0.0240914, -0.0297185, -0.0329669, -0.0382666, -0.0399686, -0.0423546, -0.0436342, -0.0440867, -0.042227, -0.0398608, -0.0366538, -0.0218556, -0.0159742, -0.00830539, -0.00351729, -0.00265683, -0.00248413, -0.000846294, -0.000440046, -0.000388829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.132955, 0.144851, 0.161599, 0.169246, 0.17631, 0.181861, 0.193545, 0.204744, 0.215794, 0.226838, 0.232799, 0.239222, 0.254494, 0.265409, 0.277333, 0.293644, 0.299135, 0.304481, 0.315173, 0.32966, 0.379464, 0.384145");
-            values ( \
-              "-0.0307674, -0.0376516, -0.0544768, -0.0607476, -0.0650976, -0.0678661, -0.0715672, -0.0735125, -0.0737732, -0.070985, -0.0676323, -0.0604942, -0.0367236, -0.0232047, -0.0133169, -0.00514779, -0.00343888, -0.00277426, -0.0022888, -0.00226051, -0.000756748, -0.000696204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.135693, 0.148176, 0.165066, 0.175081, 0.185017, 0.20216, 0.207832, 0.218231, 0.233861, 0.249415, 0.257212, 0.265285, 0.281301, 0.306466, 0.319737, 0.335778, 0.344229, 0.363398, 0.374454, 0.389196, 0.41506, 0.44628, 0.490475");
-            values ( \
-              "-0.0450964, -0.0525489, -0.0754166, -0.085761, -0.094162, -0.103722, -0.105611, -0.107968, -0.109415, -0.108966, -0.10757, -0.104839, -0.0908041, -0.0514374, -0.0352824, -0.0214559, -0.0163325, -0.00835745, -0.00533305, -0.00274921, -0.00152703, -0.00151547, -0.000935915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.139907, 0.158124, 0.181833, 0.197554, 0.211208, 0.2252, 0.237283, 0.264438, 0.286352, 0.304162, 0.310269, 0.322325, 0.335064, 0.360204, 0.381894, 0.415519, 0.450629, 0.484999, 0.509704, 0.529356, 0.567023, 0.61268, 0.646826");
-            values ( \
-              "-0.0668665, -0.0780208, -0.10886, -0.12281, -0.131368, -0.137163, -0.140429, -0.145991, -0.148536, -0.148157, -0.147186, -0.14427, -0.138708, -0.116149, -0.0880666, -0.0519837, -0.0278761, -0.0145087, -0.00889141, -0.00588527, -0.00223757, -0.00123391, -0.00105533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.145206, 0.173392, 0.194172, 0.209952, 0.224193, 0.256665, 0.285404, 0.29973, 0.31774, 0.337786, 0.360887, 0.382475, 0.424036, 0.43981, 0.45451, 0.47026, 0.501759, 0.553, 0.587824, 0.613633, 0.631131, 0.663821, 0.698392, 0.722397, 0.7559, 0.796429, 0.850468, 0.918973, 0.987479, 1.05598, 1.2615");
-            values ( \
-              "-0.0935385, -0.108701, -0.132618, -0.145167, -0.153166, -0.166022, -0.175038, -0.17796, -0.180089, -0.180786, -0.179933, -0.177923, -0.171107, -0.167308, -0.162981, -0.157153, -0.139817, -0.100861, -0.076684, -0.0616071, -0.0527737, -0.0390414, -0.0281085, -0.0222349, -0.0159226, -0.0105574, -0.00589714, -0.00258572, -0.00136536, -0.000833783, -0.000344108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.15166, 0.191818, 0.22259, 0.25581, 0.288452, 0.305369, 0.321832, 0.337468, 0.363138, 0.389257, 0.415182, 0.493932, 0.599178, 0.648164, 0.680367, 0.713249, 0.748848, 0.817354, 0.969233, 1.04988, 1.10483, 1.15366, 1.2111, 1.27961, 1.34082, 1.43309, 1.50159, 1.5701, 1.70711, 1.77562, 2.11814");
-            values ( \
-              "-0.126331, -0.137301, -0.161424, -0.176945, -0.18955, -0.194038, -0.197071, -0.198861, -0.200312, -0.200355, -0.199655, -0.195205, -0.186605, -0.181406, -0.17725, -0.172082, -0.165046, -0.14436, -0.0851789, -0.0605623, -0.0473304, -0.037734, -0.0287086, -0.0204651, -0.0150923, -0.00944478, -0.00665947, -0.00455684, -0.00218727, -0.00161653, -0.00039079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.145104, 0.155316, 0.160201, 0.168987, 0.185614, 0.195031, 0.204094, 0.212964, 0.221829, 0.225095, 0.228148, 0.249423, 0.259759, 0.269146, 0.275512, 0.29173, 0.325204, 0.339796, 0.345825");
-            values ( \
-              "-0.0166849, -0.0214319, -0.0259273, -0.0320275, -0.0401644, -0.0422272, -0.0437438, -0.0440093, -0.0427132, -0.041613, -0.0398193, -0.0158776, -0.00830559, -0.00354183, -0.00265746, -0.002484, -0.000847007, -0.00044018, -0.000387875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.14809, 0.160257, 0.177055, 0.184657, 0.191716, 0.197268, 0.208953, 0.220152, 0.231201, 0.242246, 0.248207, 0.254629, 0.2699, 0.28082, 0.292738, 0.309051, 0.314543, 0.319891, 0.330587, 0.345075, 0.39488, 0.399623");
-            values ( \
-              "-0.0292385, -0.03765, -0.0545317, -0.0607285, -0.0651151, -0.0678413, -0.0715896, -0.0734876, -0.073799, -0.0709597, -0.0676314, -0.060495, -0.0367259, -0.0232006, -0.0133179, -0.00514749, -0.0034392, -0.00277358, -0.00228921, -0.00226008, -0.00075707, -0.000695725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.150979, 0.163736, 0.167921, 0.180506, 0.200455, 0.217747, 0.220881, 0.233696, 0.2493, 0.264851, 0.272648, 0.280722, 0.296738, 0.321903, 0.335182, 0.351212, 0.359666, 0.378838, 0.389899, 0.404647, 0.430513, 0.461733, 0.503986");
-            values ( \
-              "-0.0438192, -0.0526841, -0.0596958, -0.0754753, -0.094215, -0.103777, -0.104856, -0.10798, -0.109405, -0.108965, -0.107567, -0.104837, -0.0907998, -0.0514391, -0.035275, -0.0214591, -0.0163337, -0.00835743, -0.00533196, -0.00274765, -0.00152758, -0.00151497, -0.000961207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.155253, 0.173827, 0.197271, 0.212477, 0.226642, 0.240653, 0.252715, 0.284548, 0.30178, 0.318899, 0.325695, 0.337749, 0.350493, 0.375634, 0.414975, 0.43095, 0.466058, 0.500429, 0.525134, 0.544787, 0.583466, 0.629303, 0.661139");
-            values ( \
-              "-0.0657573, -0.0785045, -0.108842, -0.122476, -0.131342, -0.137221, -0.140398, -0.146738, -0.148573, -0.148187, -0.147227, -0.144226, -0.138747, -0.116108, -0.0675607, -0.052019, -0.0279124, -0.0145449, -0.00892741, -0.00584916, -0.00220909, -0.00119298, -0.00103857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.160634, 0.188836, 0.209575, 0.225394, 0.239689, 0.2721, 0.300842, 0.315165, 0.333171, 0.35321, 0.376392, 0.397911, 0.439473, 0.455238, 0.469942, 0.485695, 0.517202, 0.568425, 0.603238, 0.629091, 0.64662, 0.679272, 0.713767, 0.737791, 0.771314, 0.811906, 0.866029, 0.934534, 1.00304, 1.07154, 1.27706");
-            values ( \
-              "-0.0934067, -0.108694, -0.132563, -0.145188, -0.153207, -0.166027, -0.175039, -0.177959, -0.180088, -0.180784, -0.179929, -0.177922, -0.171107, -0.16731, -0.162982, -0.157153, -0.139811, -0.100869, -0.076698, -0.061595, -0.0527484, -0.0390362, -0.0281252, -0.0222441, -0.015926, -0.0105528, -0.0058887, -0.00258167, -0.00136429, -0.000832895, -0.000344116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.167199, 0.206844, 0.239902, 0.284599, 0.303538, 0.320637, 0.352882, 0.378359, 0.404576, 0.430597, 0.509352, 0.590285, 0.645298, 0.674494, 0.732887, 0.764314, 0.82717, 0.930163, 0.998668, 1.05064, 1.08568, 1.15064, 1.2085, 1.277, 1.3333, 1.39484, 1.46335, 1.53185, 1.60036, 1.66886, 1.80587, 2.1484");
-            values ( \
-              "-0.127384, -0.136942, -0.16252, -0.182656, -0.189411, -0.194041, -0.198897, -0.200267, -0.200393, -0.199617, -0.195185, -0.188868, -0.183479, -0.180042, -0.171372, -0.165023, -0.146442, -0.105272, -0.080457, -0.0645856, -0.055313, -0.041075, -0.0312511, -0.0223512, -0.0169345, -0.0124469, -0.00874267, -0.00612341, -0.00420891, -0.00293252, -0.0014875, -0.000391148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.182612, 0.191919, 0.200319, 0.207713, 0.212514, 0.222117, 0.23223, 0.24128, 0.250153, 0.26055, 0.265283, 0.268771, 0.280805, 0.286509, 0.296951, 0.306412, 0.312788, 0.328928, 0.362413, 0.377002, 0.419788");
-            values ( \
-              "-0.0184093, -0.0207849, -0.0279838, -0.032854, -0.0355082, -0.0398336, -0.0423263, -0.0436348, -0.0440758, -0.0422231, -0.0398581, -0.0366539, -0.0218567, -0.0159751, -0.00830653, -0.0035178, -0.00265597, -0.00248333, -0.000846798, -0.000439676, -6.87206e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.182495, 0.202851, 0.221796, 0.228817, 0.234405, 0.246091, 0.257292, 0.268343, 0.280728, 0.285321, 0.291771, 0.30704, 0.317971, 0.329876, 0.346192, 0.351686, 0.357037, 0.36774, 0.382227, 0.432035, 0.479075");
-            values ( \
-              "-0.01766, -0.0438452, -0.0606176, -0.0651565, -0.0677611, -0.0716391, -0.0734256, -0.0738451, -0.0704396, -0.0676514, -0.0604949, -0.0367297, -0.0231921, -0.0133211, -0.00514808, -0.00343927, -0.00277339, -0.0022893, -0.0022601, -0.000756861, -0.000148809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.186585, 0.195276, 0.205158, 0.217747, 0.227626, 0.2377, 0.254838, 0.261566, 0.27613, 0.289623, 0.302105, 0.31768, 0.32265, 0.327952, 0.335328, 0.357332, 0.376565, 0.392004, 0.403783, 0.411974, 0.42216, 0.435741, 0.458167, 0.611926");
-            values ( \
-              "-0.0346095, -0.0436948, -0.0594318, -0.0752911, -0.0857151, -0.0940736, -0.10379, -0.105914, -0.108689, -0.109475, -0.108963, -0.104902, -0.102363, -0.0978939, -0.0883928, -0.0538277, -0.0309877, -0.0190795, -0.0129064, -0.00970614, -0.00658249, -0.0035717, -0.00163771, -0.000247583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.194567, 0.216448, 0.234546, 0.251728, 0.263909, 0.277855, 0.29001, 0.317115, 0.339083, 0.356859, 0.362998, 0.375054, 0.387794, 0.412934, 0.452275, 0.468011, 0.503471, 0.537774, 0.56235, 0.581768, 0.620604, 0.666259, 0.687538");
-            values ( \
-              "-0.0761916, -0.0863866, -0.10884, -0.123935, -0.131338, -0.137184, -0.140408, -0.145953, -0.148551, -0.148133, -0.147205, -0.144243, -0.138729, -0.116125, -0.0675761, -0.0522113, -0.0278349, -0.014513, -0.00892218, -0.00591244, -0.00219607, -0.00121587, -0.00110768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.20025, 0.23121, 0.248497, 0.261734, 0.289828, 0.322148, 0.337808, 0.352343, 0.370001, 0.389768, 0.417299, 0.435088, 0.470666, 0.49175, 0.522803, 0.568675, 0.613707, 0.661232, 0.692162, 0.719111, 0.767809, 0.804965, 0.846053, 0.878013, 0.941932, 1.01044, 1.09298");
-            values ( \
-              "-0.106229, -0.115309, -0.134116, -0.144524, -0.158754, -0.170552, -0.174946, -0.177984, -0.180024, -0.180808, -0.179638, -0.177934, -0.172311, -0.167459, -0.157168, -0.129709, -0.0950416, -0.0645177, -0.0490471, -0.0382051, -0.02394, -0.0165326, -0.0109213, -0.00782202, -0.00376658, -0.00171171, -0.001302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.208104, 0.256767, 0.282258, 0.316652, 0.349273, 0.386856, 0.410374, 0.437251, 0.467911, 0.527916, 0.627588, 0.696094, 0.743305, 0.801611, 0.870117, 1.02227, 1.1025, 1.15717, 1.20647, 1.26446, 1.33297, 1.39371, 1.48507, 1.62208, 1.69059, 1.8276, 1.83019");
-            values ( \
-              "-0.140549, -0.148705, -0.165124, -0.180508, -0.191916, -0.198591, -0.200074, -0.200433, -0.199619, -0.196484, -0.188865, -0.181965, -0.175767, -0.165014, -0.14442, -0.0851493, -0.0606592, -0.0473955, -0.0376961, -0.0285928, -0.0204538, -0.0151308, -0.00952919, -0.00462076, -0.00317055, -0.00158044, -0.00157176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.267117, 0.273097, 0.284606, 0.293212, 0.299708, 0.310014, 0.328523, 0.3374, 0.346271, 0.349504, 0.352573, 0.373837, 0.384209, 0.39362, 0.399993, 0.416178, 0.44966, 0.464252, 0.46788");
-            values ( \
-              "-0.0112304, -0.0148257, -0.025869, -0.0318539, -0.0353412, -0.0401226, -0.0437298, -0.0439653, -0.0427106, -0.0416106, -0.0398186, -0.0159056, -0.00830345, -0.0035335, -0.00265863, -0.00248464, -0.000846622, -0.000440055, -0.000408598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.269958, 0.276746, 0.293959, 0.308931, 0.315981, 0.32156, 0.333258, 0.344467, 0.355523, 0.366574, 0.372481, 0.37896, 0.405162, 0.417069, 0.433386, 0.43888, 0.454932, 0.469419, 0.499003");
-            values ( \
-              "-0.0211552, -0.026826, -0.0477585, -0.0605697, -0.0650058, -0.0677315, -0.0715315, -0.0734269, -0.0737694, -0.0709248, -0.0676533, -0.060483, -0.0231917, -0.0133203, -0.00514726, -0.00343965, -0.0022903, -0.00226013, -0.0013673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.273149, 0.282167, 0.298371, 0.316258, 0.32476, 0.341763, 0.348281, 0.358033, 0.364081, 0.373639, 0.389197, 0.396995, 0.40507, 0.421089, 0.446253, 0.459537, 0.475561, 0.484015, 0.496417, 0.503189, 0.514252, 0.529003, 0.554876, 0.586084, 0.635279");
-            values ( \
-              "-0.0321869, -0.0432674, -0.0674284, -0.0871127, -0.0938819, -0.103717, -0.105741, -0.10792, -0.108733, -0.109365, -0.108936, -0.107549, -0.104819, -0.0907946, -0.0514369, -0.035269, -0.0214626, -0.016333, -0.0107144, -0.00835917, -0.00533317, -0.00274571, -0.00152915, -0.00151348, -0.000870394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.280718, 0.303591, 0.321729, 0.338901, 0.351092, 0.365046, 0.377202, 0.404248, 0.426219, 0.443836, 0.450191, 0.462246, 0.474987, 0.500127, 0.539468, 0.555056, 0.590733, 0.624994, 0.64949, 0.668342, 0.705906, 0.751068, 0.773746");
-            values ( \
-              "-0.0693099, -0.0862458, -0.108779, -0.123837, -0.131306, -0.137121, -0.140399, -0.145943, -0.148549, -0.148163, -0.147209, -0.144247, -0.138731, -0.116127, -0.0675769, -0.0523421, -0.0277999, -0.0145063, -0.00893301, -0.00599433, -0.00231547, -0.00122446, -0.0011104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.285863, 0.312053, 0.335324, 0.363839, 0.409374, 0.425009, 0.439522, 0.457141, 0.476929, 0.488773, 0.522262, 0.557654, 0.579294, 0.610017, 0.655885, 0.700832, 0.748427, 0.779452, 0.806313, 0.854942, 0.892113, 0.933264, 0.965279, 1.02931, 1.09781, 1.37183");
-            values ( \
-              "-0.101014, -0.107186, -0.13392, -0.153366, -0.170659, -0.174915, -0.178063, -0.179979, -0.180871, -0.180625, -0.177973, -0.172314, -0.167331, -0.157181, -0.129649, -0.0951011, -0.0644923, -0.0489822, -0.0382053, -0.0239387, -0.0165466, -0.0109259, -0.00780506, -0.00376601, -0.00169936, -0.000342383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.292619, 0.344635, 0.367939, 0.42757, 0.444947, 0.477342, 0.502858, 0.529053, 0.555054, 0.633809, 0.714742, 0.76959, 0.798951, 0.857673, 0.888773, 0.950974, 1.05544, 1.12395, 1.17414, 1.2079, 1.27448, 1.34509, 1.3921, 1.45758, 1.53376, 1.60226, 1.67077, 1.73927, 1.87628, 2.01329, 2.28732");
-            values ( \
-              "-0.125383, -0.149246, -0.164392, -0.189308, -0.194042, -0.198917, -0.200269, -0.200403, -0.199612, -0.195178, -0.188862, -0.183503, -0.180037, -0.171318, -0.165018, -0.146671, -0.104955, -0.0801776, -0.0648465, -0.0558737, -0.041182, -0.029472, -0.0234634, -0.0169517, -0.0115631, -0.00813485, -0.00566, -0.00390965, -0.00191566, -0.00102757, -0.000387203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.469664, 0.481893, 0.487585, 0.490732, 0.497027, 0.504001, 0.505669, 0.509005, 0.513283, 0.514869, 0.518042, 0.524763, 0.534111, 0.543214, 0.552309, 0.552461, 0.553066, 0.553874, 0.555489, 0.558624, 0.562139, 0.574296, 0.575804, 0.576649, 0.577776, 0.580029, 0.583713, 0.588735, 0.590207, 0.591288, 0.59273, 0.595614, 0.601382, 0.610718, 0.619153, 0.622617, 0.629545, 0.639934, 0.652697, 0.667311, 0.684868, 0.707457");
-            values ( \
-              "-0.013391, -0.0184415, -0.0231513, -0.0251911, -0.0293548, -0.0329911, -0.033957, -0.0354051, -0.0372489, -0.037818, -0.0389262, -0.0406938, -0.0424126, -0.0431184, -0.0419406, -0.0418551, -0.0417711, -0.0415842, -0.041051, -0.0393108, -0.0362295, -0.0216755, -0.0200332, -0.0191399, -0.017998, -0.0158637, -0.0127969, -0.00936813, -0.00843566, -0.00778062, -0.00693713, -0.00535507, -0.00319003, -0.00258747, -0.00265491, -0.0024895, -0.00215077, -0.00158699, -0.000963848, -0.000513954, -0.0002265, -9.4757e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.473061, 0.487206, 0.493973, 0.505299, 0.515387, 0.52504, 0.530853, 0.538993, 0.550438, 0.561663, 0.57394, 0.577569, 0.581207, 0.58532, 0.602156, 0.610297, 0.622338, 0.632028, 0.641376, 0.646528, 0.656912, 0.685716, 0.723706");
-            values ( \
-              "-0.0245971, -0.0329578, -0.040278, -0.0505393, -0.0584866, -0.0644597, -0.0670856, -0.0698204, -0.0721834, -0.0728161, -0.0698854, -0.0679972, -0.0649776, -0.0600773, -0.0344703, -0.0244934, -0.014068, -0.00854429, -0.00455776, -0.00327931, -0.00245149, -0.00204084, -0.000933233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.476844, 0.490343, 0.50563, 0.520264, 0.530075, 0.547676, 0.556951, 0.563992, 0.57543, 0.58766, 0.595452, 0.609503, 0.615115, 0.621463, 0.628754, 0.653525, 0.669981, 0.685499, 0.697335, 0.705466, 0.715586, 0.729079, 0.74967, 0.902162");
-            values ( \
-              "-0.0415894, -0.0461186, -0.0664486, -0.0823982, -0.0910482, -0.101805, -0.104964, -0.106605, -0.108171, -0.108704, -0.108418, -0.105272, -0.102757, -0.0976105, -0.0883203, -0.0499757, -0.0309781, -0.019025, -0.0128887, -0.00966652, -0.00656645, -0.00361347, -0.00171587, -0.00027232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.480491, 0.500935, 0.511529, 0.527008, 0.539169, 0.557066, 0.564857, 0.572297, 0.58241, 0.597656, 0.626962, 0.643905, 0.656562, 0.678596, 0.68691, 0.69715, 0.731763, 0.750631, 0.760268, 0.779543, 0.789156, 0.801777, 0.814707, 0.833186, 0.857247, 0.889328, 0.927991, 1.16295");
-            values ( \
-              "-0.0512079, -0.0703732, -0.0860646, -0.105001, -0.117105, -0.129612, -0.133345, -0.135941, -0.139161, -0.14291, -0.14804, -0.148633, -0.1471, -0.14027, -0.135452, -0.126424, -0.0834173, -0.0623113, -0.053338, -0.0379477, -0.0319057, -0.0252874, -0.0200433, -0.0138561, -0.00854689, -0.00429761, -0.0014895, -0.00010201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.486654, 0.509368, 0.52627, 0.537342, 0.55494, 0.576987, 0.610603, 0.625987, 0.642355, 0.653541, 0.668457, 0.687386, 0.716556, 0.728704, 0.749571, 0.77137, 0.816599, 0.829917, 0.847554, 0.911091, 0.937673, 0.965293, 1.00212, 1.01581, 1.04223, 1.06667, 1.10116, 1.14432, 1.20186, 1.27037, 1.33887, 1.40738, 1.6129");
-            values ( \
-              "-0.0847393, -0.0898798, -0.114541, -0.127099, -0.142573, -0.154857, -0.168408, -0.173384, -0.177244, -0.178993, -0.180341, -0.180739, -0.179216, -0.177967, -0.175004, -0.170828, -0.157181, -0.150884, -0.140149, -0.0922249, -0.0744462, -0.0587653, -0.0420352, -0.0369746, -0.0287566, -0.0226568, -0.0160695, -0.0103707, -0.00555179, -0.0024225, -0.00131929, -0.000798064, -0.00034358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.501538, 0.55488, 0.602131, 0.631911, 0.648826, 0.666716, 0.683846, 0.708611, 0.735186, 0.76156, 0.814309, 0.92124, 1.00548, 1.03696, 1.09526, 1.16377, 1.31592, 1.39615, 1.45082, 1.50012, 1.55812, 1.62662, 1.68736, 1.77872, 1.84723, 1.91573, 1.98424, 2.12125, 2.46377");
-            values ( \
-              "-0.141, -0.150529, -0.176476, -0.18854, -0.193304, -0.196852, -0.198846, -0.200301, -0.200352, -0.199667, -0.196886, -0.188904, -0.180082, -0.175729, -0.165052, -0.144382, -0.0851192, -0.0606338, -0.0474217, -0.0377202, -0.0286158, -0.0204306, -0.0151086, -0.00950749, -0.0066688, -0.00459932, -0.00319189, -0.00160148, -0.000414354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.910775, 0.923387, 0.951721, 0.971616, 0.994416, 1.00502, 1.01555, 1.02508, 1.04454, 1.05774, 1.06217, 1.06714, 1.12378, 1.13958, 1.18575");
-            values ( \
-              "-0.00843408, -0.0098839, -0.0244061, -0.0317299, -0.0362583, -0.0371759, -0.0367636, -0.0338839, -0.0158768, -0.00709415, -0.00488955, -0.00366418, -0.000825656, -0.00035461, -2.9342e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.913234, 0.924493, 0.935498, 0.944064, 0.959259, 0.969911, 0.983608, 0.989728, 1.00333, 1.01746, 1.0256, 1.03831, 1.04066, 1.04535, 1.05178, 1.07032, 1.08196, 1.09595, 1.10637, 1.1135, 1.12521, 1.19906, 1.2477");
-            values ( \
-              "-0.0132386, -0.0156735, -0.0233686, -0.0306258, -0.0410706, -0.0476477, -0.0546666, -0.0569784, -0.0610877, -0.0638601, -0.0644631, -0.0633551, -0.0629078, -0.0607849, -0.0557276, -0.0320712, -0.019997, -0.0104754, -0.00564524, -0.00350939, -0.00277035, -0.000617707, -0.000146934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.922156, 0.942827, 0.954687, 0.979597, 0.988761, 1.00709, 1.02763, 1.04517, 1.06217, 1.07906, 1.09602, 1.12256, 1.13357, 1.15226, 1.16456, 1.17928, 1.18997, 1.20762, 1.23774, 1.36455");
-            values ( \
-              "-0.0291556, -0.0378718, -0.0496428, -0.0710825, -0.0774887, -0.0880127, -0.0950011, -0.0987962, -0.101173, -0.0996671, -0.0879471, -0.0491945, -0.036205, -0.0205715, -0.0136974, -0.00813064, -0.00533822, -0.00236998, -0.00158992, -0.000300827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.926413, 0.942626, 0.962012, 0.984813, 1.00101, 1.02236, 1.04862, 1.07993, 1.08899, 1.10053, 1.11929, 1.12516, 1.13691, 1.15033, 1.17583, 1.19706, 1.21936, 1.2333, 1.24817, 1.27145, 1.28448, 1.30307, 1.3274, 1.35985, 1.39965, 1.63495");
-            values ( \
-              "-0.0436578, -0.0441206, -0.0661186, -0.0892548, -0.102777, -0.116394, -0.127949, -0.139329, -0.141745, -0.143911, -0.144829, -0.1443, -0.142056, -0.136956, -0.11513, -0.0882617, -0.0629361, -0.0501417, -0.0387724, -0.025463, -0.0199579, -0.0139724, -0.00861818, -0.00411888, -0.00155639, -0.000204689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.938378, 0.97614, 0.999913, 1.02078, 1.0768, 1.09161, 1.11413, 1.14064, 1.15619, 1.18727, 1.22805, 1.25705, 1.28627, 1.33229, 1.37696, 1.42479, 1.45595, 1.48267, 1.53115, 1.56835, 1.60964, 1.64176, 1.70602, 1.77453, 2.04855");
-            values ( \
-              "-0.0688266, -0.0889851, -0.112913, -0.129016, -0.160356, -0.166875, -0.173818, -0.177779, -0.17849, -0.177462, -0.172313, -0.166416, -0.156894, -0.129486, -0.0952049, -0.0644496, -0.0488875, -0.0381804, -0.02396, -0.0165523, -0.0109134, -0.00779206, -0.00374281, -0.00169604, -0.000345011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.956054, 1.01658, 1.07156, 1.10592, 1.14534, 1.1672, 1.19635, 1.24065, 1.2847, 1.39095, 1.45946, 1.50669, 1.56498, 1.63349, 1.78567, 1.86583, 1.96984, 2.02793, 2.09644, 2.15709, 2.24829, 2.3853, 2.55831");
-            values ( \
-              "-0.12066, -0.133554, -0.168843, -0.185571, -0.195427, -0.197726, -0.198819, -0.198302, -0.196511, -0.188845, -0.181946, -0.175744, -0.165027, -0.144419, -0.0851312, -0.0606631, -0.0377023, -0.0285854, -0.0204389, -0.0151247, -0.00953124, -0.0046192, -0.0020667" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00422218, 0.00422291, 0.00422364, 0.00422421, 0.00422468, 0.00422479", \
-            "0.00466198, 0.00466226, 0.00466263, 0.00466296, 0.0046632, 0.00466335", \
-            "0.00490492, 0.00490496, 0.00490503, 0.00490516, 0.00490527, 0.00490536", \
-            "0.00504969, 0.00504966, 0.0050497, 0.0050497, 0.00504973, 0.00504977", \
-            "0.00513909, 0.00513909, 0.00513909, 0.0051391, 0.00513911, 0.00513912", \
-            "0.00521619, 0.00521619, 0.0052162, 0.0052162, 0.00521621, 0.00521621" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00537599, 0.00537614, 0.00537642, 0.00537672, 0.005377, 0.00537711", \
-            "0.00565027, 0.00565021, 0.00565025, 0.00565037, 0.00565051, 0.00565061", \
-            "0.00582477, 0.00582479, 0.00582485, 0.00582492, 0.00582502, 0.0058251", \
-            "0.00602889, 0.00602874, 0.00602851, 0.00602846, 0.00602843, 0.00602846", \
-            "0.00633626, 0.00633559, 0.00633457, 0.00633339, 0.0063324, 0.00633175", \
-            "0.00675059, 0.00675491, 0.00675897, 0.00676189, 0.00676348, 0.00676485" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.181137, 0.197252, 0.226382, 0.278853, 0.374952, 0.5675", \
-            "0.185935, 0.202034, 0.231176, 0.283659, 0.379768, 0.572301", \
-            "0.197576, 0.213682, 0.242825, 0.295329, 0.391419, 0.583968", \
-            "0.223365, 0.239426, 0.268557, 0.321091, 0.417238, 0.609797", \
-            "0.281287, 0.297579, 0.326984, 0.379728, 0.475965, 0.668574", \
-            "0.386696, 0.404925, 0.437274, 0.492644, 0.590231, 0.78331" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0562335, 0.0699577, 0.0977293, 0.152004, 0.265807, 0.515602", \
-            "0.0562433, 0.0699586, 0.0977285, 0.152, 0.265807, 0.515583", \
-            "0.0562385, 0.0699684, 0.0976035, 0.152003, 0.266101, 0.51571", \
-            "0.0562987, 0.0700217, 0.097773, 0.152012, 0.266087, 0.515577", \
-            "0.0581226, 0.0715149, 0.0986558, 0.152286, 0.265885, 0.515706", \
-            "0.0682427, 0.0818632, 0.108522, 0.159369, 0.269433, 0.516647" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00451799", \
-            "0.00519207", \
-            "0.0055483", \
-            "0.00573223", \
-            "0.00582252", \
-            "0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00544368", \
-            "0.00531763", \
-            "0.00510281", \
-            "0.00493081", \
-            "0.004856", \
-            "0.00482198" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.130014, 0.139461, 0.142743, 0.150244, 0.155246, 0.165606, 0.170187, 0.17965, 0.188687, 0.197561, 0.207957, 0.212689, 0.216177, 0.228211, 0.233916, 0.244358, 0.253819, 0.260196, 0.276333, 0.30982, 0.324409, 0.330317");
-            values ( \
-              "-0.0181616, -0.0209264, -0.0240914, -0.0297185, -0.0329669, -0.0382666, -0.0399686, -0.0423546, -0.0436342, -0.0440867, -0.042227, -0.0398608, -0.0366538, -0.0218556, -0.0159742, -0.00830539, -0.00351729, -0.00265683, -0.00248413, -0.000846294, -0.000440046, -0.000388829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00451662");
-            index_3 ("0.132955, 0.144851, 0.161599, 0.169246, 0.17631, 0.181861, 0.193545, 0.204744, 0.215794, 0.226838, 0.232799, 0.239222, 0.254494, 0.265409, 0.277333, 0.293644, 0.299135, 0.304481, 0.315173, 0.32966, 0.379464, 0.384145");
-            values ( \
-              "-0.0307674, -0.0376516, -0.0544768, -0.0607476, -0.0650976, -0.0678661, -0.0715672, -0.0735125, -0.0737732, -0.070985, -0.0676323, -0.0604942, -0.0367236, -0.0232047, -0.0133169, -0.00514779, -0.00343888, -0.00277426, -0.0022888, -0.00226051, -0.000756748, -0.000696204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00944423");
-            index_3 ("0.135693, 0.148176, 0.165066, 0.175081, 0.185017, 0.20216, 0.207832, 0.218231, 0.233861, 0.249415, 0.257212, 0.265285, 0.281301, 0.306466, 0.319737, 0.335778, 0.344229, 0.363398, 0.374454, 0.389196, 0.41506, 0.44628, 0.490475");
-            values ( \
-              "-0.0450964, -0.0525489, -0.0754166, -0.085761, -0.094162, -0.103722, -0.105611, -0.107968, -0.109415, -0.108966, -0.10757, -0.104839, -0.0908041, -0.0514374, -0.0352824, -0.0214559, -0.0163325, -0.00835745, -0.00533305, -0.00274921, -0.00152703, -0.00151547, -0.000935915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0197478");
-            index_3 ("0.139907, 0.158124, 0.181833, 0.197554, 0.211208, 0.2252, 0.237283, 0.264438, 0.286352, 0.304162, 0.310269, 0.322325, 0.335064, 0.360204, 0.381894, 0.415519, 0.450629, 0.484999, 0.509704, 0.529356, 0.567023, 0.61268, 0.646826");
-            values ( \
-              "-0.0668665, -0.0780208, -0.10886, -0.12281, -0.131368, -0.137163, -0.140429, -0.145991, -0.148536, -0.148157, -0.147186, -0.14427, -0.138708, -0.116149, -0.0880666, -0.0519837, -0.0278761, -0.0145087, -0.00889141, -0.00588527, -0.00223757, -0.00123391, -0.00105533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0412926");
-            index_3 ("0.145206, 0.173392, 0.194172, 0.209952, 0.224193, 0.256665, 0.285404, 0.29973, 0.31774, 0.337786, 0.360887, 0.382475, 0.424036, 0.43981, 0.45451, 0.47026, 0.501759, 0.553, 0.587824, 0.613633, 0.631131, 0.663821, 0.698392, 0.722397, 0.7559, 0.796429, 0.850468, 0.918973, 0.987479, 1.05598, 1.2615");
-            values ( \
-              "-0.0935385, -0.108701, -0.132618, -0.145167, -0.153166, -0.166022, -0.175038, -0.17796, -0.180089, -0.180786, -0.179933, -0.177923, -0.171107, -0.167308, -0.162981, -0.157153, -0.139817, -0.100861, -0.076684, -0.0616071, -0.0527737, -0.0390414, -0.0281085, -0.0222349, -0.0159226, -0.0105574, -0.00589714, -0.00258572, -0.00136536, -0.000833783, -0.000344108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0863426");
-            index_3 ("0.15166, 0.191818, 0.22259, 0.25581, 0.288452, 0.305369, 0.321832, 0.337468, 0.363138, 0.389257, 0.415182, 0.493932, 0.599178, 0.648164, 0.680367, 0.713249, 0.748848, 0.817354, 0.969233, 1.04988, 1.10483, 1.15366, 1.2111, 1.27961, 1.34082, 1.43309, 1.50159, 1.5701, 1.70711, 1.77562, 2.11814");
-            values ( \
-              "-0.126331, -0.137301, -0.161424, -0.176945, -0.18955, -0.194038, -0.197071, -0.198861, -0.200312, -0.200355, -0.199655, -0.195205, -0.186605, -0.181406, -0.17725, -0.172082, -0.165046, -0.14436, -0.0851789, -0.0605623, -0.0473304, -0.037734, -0.0287086, -0.0204651, -0.0150923, -0.00944478, -0.00665947, -0.00455684, -0.00218727, -0.00161653, -0.00039079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.145104, 0.155316, 0.160201, 0.168987, 0.185614, 0.195031, 0.204094, 0.212964, 0.221829, 0.225095, 0.228148, 0.249423, 0.259759, 0.269146, 0.275512, 0.29173, 0.325204, 0.339796, 0.345825");
-            values ( \
-              "-0.0166849, -0.0214319, -0.0259273, -0.0320275, -0.0401644, -0.0422272, -0.0437438, -0.0440093, -0.0427132, -0.041613, -0.0398193, -0.0158776, -0.00830559, -0.00354183, -0.00265746, -0.002484, -0.000847007, -0.00044018, -0.000387875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00451662");
-            index_3 ("0.14809, 0.160257, 0.177055, 0.184657, 0.191716, 0.197268, 0.208953, 0.220152, 0.231201, 0.242246, 0.248207, 0.254629, 0.2699, 0.28082, 0.292738, 0.309051, 0.314543, 0.319891, 0.330587, 0.345075, 0.39488, 0.399623");
-            values ( \
-              "-0.0292385, -0.03765, -0.0545317, -0.0607285, -0.0651151, -0.0678413, -0.0715896, -0.0734876, -0.073799, -0.0709597, -0.0676314, -0.060495, -0.0367259, -0.0232006, -0.0133179, -0.00514749, -0.0034392, -0.00277358, -0.00228921, -0.00226008, -0.00075707, -0.000695725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00944423");
-            index_3 ("0.150979, 0.163736, 0.167921, 0.180506, 0.200455, 0.217747, 0.220881, 0.233696, 0.2493, 0.264851, 0.272648, 0.280722, 0.296738, 0.321903, 0.335182, 0.351212, 0.359666, 0.378838, 0.389899, 0.404647, 0.430513, 0.461733, 0.503986");
-            values ( \
-              "-0.0438192, -0.0526841, -0.0596958, -0.0754753, -0.094215, -0.103777, -0.104856, -0.10798, -0.109405, -0.108965, -0.107567, -0.104837, -0.0907998, -0.0514391, -0.035275, -0.0214591, -0.0163337, -0.00835743, -0.00533196, -0.00274765, -0.00152758, -0.00151497, -0.000961207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0197478");
-            index_3 ("0.155253, 0.173827, 0.197271, 0.212477, 0.226642, 0.240653, 0.252715, 0.284548, 0.30178, 0.318899, 0.325695, 0.337749, 0.350493, 0.375634, 0.414975, 0.43095, 0.466058, 0.500429, 0.525134, 0.544787, 0.583466, 0.629303, 0.661139");
-            values ( \
-              "-0.0657573, -0.0785045, -0.108842, -0.122476, -0.131342, -0.137221, -0.140398, -0.146738, -0.148573, -0.148187, -0.147227, -0.144226, -0.138747, -0.116108, -0.0675607, -0.052019, -0.0279124, -0.0145449, -0.00892741, -0.00584916, -0.00220909, -0.00119298, -0.00103857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0412926");
-            index_3 ("0.160634, 0.188836, 0.209575, 0.225394, 0.239689, 0.2721, 0.300842, 0.315165, 0.333171, 0.35321, 0.376392, 0.397911, 0.439473, 0.455238, 0.469942, 0.485695, 0.517202, 0.568425, 0.603238, 0.629091, 0.64662, 0.679272, 0.713767, 0.737791, 0.771314, 0.811906, 0.866029, 0.934534, 1.00304, 1.07154, 1.27706");
-            values ( \
-              "-0.0934067, -0.108694, -0.132563, -0.145188, -0.153207, -0.166027, -0.175039, -0.177959, -0.180088, -0.180784, -0.179929, -0.177922, -0.171107, -0.16731, -0.162982, -0.157153, -0.139811, -0.100869, -0.076698, -0.061595, -0.0527484, -0.0390362, -0.0281252, -0.0222441, -0.015926, -0.0105528, -0.0058887, -0.00258167, -0.00136429, -0.000832895, -0.000344116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0863426");
-            index_3 ("0.167199, 0.206844, 0.239902, 0.284599, 0.303538, 0.320637, 0.352882, 0.378359, 0.404576, 0.430597, 0.509352, 0.590285, 0.645298, 0.674494, 0.732887, 0.764314, 0.82717, 0.930163, 0.998668, 1.05064, 1.08568, 1.15064, 1.2085, 1.277, 1.3333, 1.39484, 1.46335, 1.53185, 1.60036, 1.66886, 1.80587, 2.1484");
-            values ( \
-              "-0.127384, -0.136942, -0.16252, -0.182656, -0.189411, -0.194041, -0.198897, -0.200267, -0.200393, -0.199617, -0.195185, -0.188868, -0.183479, -0.180042, -0.171372, -0.165023, -0.146442, -0.105272, -0.080457, -0.0645856, -0.055313, -0.041075, -0.0312511, -0.0223512, -0.0169345, -0.0124469, -0.00874267, -0.00612341, -0.00420891, -0.00293252, -0.0014875, -0.000391148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.182612, 0.191919, 0.200319, 0.207713, 0.212514, 0.222117, 0.23223, 0.24128, 0.250153, 0.26055, 0.265283, 0.268771, 0.280805, 0.286509, 0.296951, 0.306412, 0.312788, 0.328928, 0.362413, 0.377002, 0.419788");
-            values ( \
-              "-0.0184093, -0.0207849, -0.0279838, -0.032854, -0.0355082, -0.0398336, -0.0423263, -0.0436348, -0.0440758, -0.0422231, -0.0398581, -0.0366539, -0.0218567, -0.0159751, -0.00830653, -0.0035178, -0.00265597, -0.00248333, -0.000846798, -0.000439676, -6.87206e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00451662");
-            index_3 ("0.182495, 0.202851, 0.221796, 0.228817, 0.234405, 0.246091, 0.257292, 0.268343, 0.280728, 0.285321, 0.291771, 0.30704, 0.317971, 0.329876, 0.346192, 0.351686, 0.357037, 0.36774, 0.382227, 0.432035, 0.479075");
-            values ( \
-              "-0.01766, -0.0438452, -0.0606176, -0.0651565, -0.0677611, -0.0716391, -0.0734256, -0.0738451, -0.0704396, -0.0676514, -0.0604949, -0.0367297, -0.0231921, -0.0133211, -0.00514808, -0.00343927, -0.00277339, -0.0022893, -0.0022601, -0.000756861, -0.000148809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00944423");
-            index_3 ("0.186585, 0.195276, 0.205158, 0.217747, 0.227626, 0.2377, 0.254838, 0.261566, 0.27613, 0.289623, 0.302105, 0.31768, 0.32265, 0.327952, 0.335328, 0.357332, 0.376565, 0.392004, 0.403783, 0.411974, 0.42216, 0.435741, 0.458167, 0.611926");
-            values ( \
-              "-0.0346095, -0.0436948, -0.0594318, -0.0752911, -0.0857151, -0.0940736, -0.10379, -0.105914, -0.108689, -0.109475, -0.108963, -0.104902, -0.102363, -0.0978939, -0.0883928, -0.0538277, -0.0309877, -0.0190795, -0.0129064, -0.00970614, -0.00658249, -0.0035717, -0.00163771, -0.000247583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0197478");
-            index_3 ("0.194567, 0.216448, 0.234546, 0.251728, 0.263909, 0.277855, 0.29001, 0.317115, 0.339083, 0.356859, 0.362998, 0.375054, 0.387794, 0.412934, 0.452275, 0.468011, 0.503471, 0.537774, 0.56235, 0.581768, 0.620604, 0.666259, 0.687538");
-            values ( \
-              "-0.0761916, -0.0863866, -0.10884, -0.123935, -0.131338, -0.137184, -0.140408, -0.145953, -0.148551, -0.148133, -0.147205, -0.144243, -0.138729, -0.116125, -0.0675761, -0.0522113, -0.0278349, -0.014513, -0.00892218, -0.00591244, -0.00219607, -0.00121587, -0.00110768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0412926");
-            index_3 ("0.20025, 0.23121, 0.248497, 0.261734, 0.289828, 0.322148, 0.337808, 0.352343, 0.370001, 0.389768, 0.417299, 0.435088, 0.470666, 0.49175, 0.522803, 0.568675, 0.613707, 0.661232, 0.692162, 0.719111, 0.767809, 0.804965, 0.846053, 0.878013, 0.941932, 1.01044, 1.09298");
-            values ( \
-              "-0.106229, -0.115309, -0.134116, -0.144524, -0.158754, -0.170552, -0.174946, -0.177984, -0.180024, -0.180808, -0.179638, -0.177934, -0.172311, -0.167459, -0.157168, -0.129709, -0.0950416, -0.0645177, -0.0490471, -0.0382051, -0.02394, -0.0165326, -0.0109213, -0.00782202, -0.00376658, -0.00171171, -0.001302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0863426");
-            index_3 ("0.208104, 0.256767, 0.282258, 0.316652, 0.349273, 0.386856, 0.410374, 0.437251, 0.467911, 0.527916, 0.627588, 0.696094, 0.743305, 0.801611, 0.870117, 1.02227, 1.1025, 1.15717, 1.20647, 1.26446, 1.33297, 1.39371, 1.48507, 1.62208, 1.69059, 1.8276, 1.83019");
-            values ( \
-              "-0.140549, -0.148705, -0.165124, -0.180508, -0.191916, -0.198591, -0.200074, -0.200433, -0.199619, -0.196484, -0.188865, -0.181965, -0.175767, -0.165014, -0.14442, -0.0851493, -0.0606592, -0.0473955, -0.0376961, -0.0285928, -0.0204538, -0.0151308, -0.00952919, -0.00462076, -0.00317055, -0.00158044, -0.00157176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.267117, 0.273097, 0.284606, 0.293212, 0.299708, 0.310014, 0.328523, 0.3374, 0.346271, 0.349504, 0.352573, 0.373837, 0.384209, 0.39362, 0.399993, 0.416178, 0.44966, 0.464252, 0.46788");
-            values ( \
-              "-0.0112304, -0.0148257, -0.025869, -0.0318539, -0.0353412, -0.0401226, -0.0437298, -0.0439653, -0.0427106, -0.0416106, -0.0398186, -0.0159056, -0.00830345, -0.0035335, -0.00265863, -0.00248464, -0.000846622, -0.000440055, -0.000408598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00451662");
-            index_3 ("0.269958, 0.276746, 0.293959, 0.308931, 0.315981, 0.32156, 0.333258, 0.344467, 0.355523, 0.366574, 0.372481, 0.37896, 0.405162, 0.417069, 0.433386, 0.43888, 0.454932, 0.469419, 0.499003");
-            values ( \
-              "-0.0211552, -0.026826, -0.0477585, -0.0605697, -0.0650058, -0.0677315, -0.0715315, -0.0734269, -0.0737694, -0.0709248, -0.0676533, -0.060483, -0.0231917, -0.0133203, -0.00514726, -0.00343965, -0.0022903, -0.00226013, -0.0013673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00944423");
-            index_3 ("0.273149, 0.282167, 0.298371, 0.316258, 0.32476, 0.341763, 0.348281, 0.358033, 0.364081, 0.373639, 0.389197, 0.396995, 0.40507, 0.421089, 0.446253, 0.459537, 0.475561, 0.484015, 0.496417, 0.503189, 0.514252, 0.529003, 0.554876, 0.586084, 0.635279");
-            values ( \
-              "-0.0321869, -0.0432674, -0.0674284, -0.0871127, -0.0938819, -0.103717, -0.105741, -0.10792, -0.108733, -0.109365, -0.108936, -0.107549, -0.104819, -0.0907946, -0.0514369, -0.035269, -0.0214626, -0.016333, -0.0107144, -0.00835917, -0.00533317, -0.00274571, -0.00152915, -0.00151348, -0.000870394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0197478");
-            index_3 ("0.280718, 0.303591, 0.321729, 0.338901, 0.351092, 0.365046, 0.377202, 0.404248, 0.426219, 0.443836, 0.450191, 0.462246, 0.474987, 0.500127, 0.539468, 0.555056, 0.590733, 0.624994, 0.64949, 0.668342, 0.705906, 0.751068, 0.773746");
-            values ( \
-              "-0.0693099, -0.0862458, -0.108779, -0.123837, -0.131306, -0.137121, -0.140399, -0.145943, -0.148549, -0.148163, -0.147209, -0.144247, -0.138731, -0.116127, -0.0675769, -0.0523421, -0.0277999, -0.0145063, -0.00893301, -0.00599433, -0.00231547, -0.00122446, -0.0011104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0412926");
-            index_3 ("0.285863, 0.312053, 0.335324, 0.363839, 0.409374, 0.425009, 0.439522, 0.457141, 0.476929, 0.488773, 0.522262, 0.557654, 0.579294, 0.610017, 0.655885, 0.700832, 0.748427, 0.779452, 0.806313, 0.854942, 0.892113, 0.933264, 0.965279, 1.02931, 1.09781, 1.37183");
-            values ( \
-              "-0.101014, -0.107186, -0.13392, -0.153366, -0.170659, -0.174915, -0.178063, -0.179979, -0.180871, -0.180625, -0.177973, -0.172314, -0.167331, -0.157181, -0.129649, -0.0951011, -0.0644923, -0.0489822, -0.0382053, -0.0239387, -0.0165466, -0.0109259, -0.00780506, -0.00376601, -0.00169936, -0.000342383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0863426");
-            index_3 ("0.292619, 0.344635, 0.367939, 0.42757, 0.444947, 0.477342, 0.502858, 0.529053, 0.555054, 0.633809, 0.714742, 0.76959, 0.798951, 0.857673, 0.888773, 0.950974, 1.05544, 1.12395, 1.17414, 1.2079, 1.27448, 1.34509, 1.3921, 1.45758, 1.53376, 1.60226, 1.67077, 1.73927, 1.87628, 2.01329, 2.28732");
-            values ( \
-              "-0.125383, -0.149246, -0.164392, -0.189308, -0.194042, -0.198917, -0.200269, -0.200403, -0.199612, -0.195178, -0.188862, -0.183503, -0.180037, -0.171318, -0.165018, -0.146671, -0.104955, -0.0801776, -0.0648465, -0.0558737, -0.041182, -0.029472, -0.0234634, -0.0169517, -0.0115631, -0.00813485, -0.00566, -0.00390965, -0.00191566, -0.00102757, -0.000387203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.469664, 0.481893, 0.487585, 0.490732, 0.497027, 0.504001, 0.505669, 0.509005, 0.513283, 0.514869, 0.518042, 0.524763, 0.534111, 0.543214, 0.552309, 0.552461, 0.553066, 0.553874, 0.555489, 0.558624, 0.562139, 0.574296, 0.575804, 0.576649, 0.577776, 0.580029, 0.583713, 0.588735, 0.590207, 0.591288, 0.59273, 0.595614, 0.601382, 0.610718, 0.619153, 0.622617, 0.629545, 0.639934, 0.652697, 0.667311, 0.684868, 0.707457");
-            values ( \
-              "-0.013391, -0.0184415, -0.0231513, -0.0251911, -0.0293548, -0.0329911, -0.033957, -0.0354051, -0.0372489, -0.037818, -0.0389262, -0.0406938, -0.0424126, -0.0431184, -0.0419406, -0.0418551, -0.0417711, -0.0415842, -0.041051, -0.0393108, -0.0362295, -0.0216755, -0.0200332, -0.0191399, -0.017998, -0.0158637, -0.0127969, -0.00936813, -0.00843566, -0.00778062, -0.00693713, -0.00535507, -0.00319003, -0.00258747, -0.00265491, -0.0024895, -0.00215077, -0.00158699, -0.000963848, -0.000513954, -0.0002265, -9.4757e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00451662");
-            index_3 ("0.473061, 0.487206, 0.493973, 0.505299, 0.515387, 0.52504, 0.530853, 0.538993, 0.550438, 0.561663, 0.57394, 0.577569, 0.581207, 0.58532, 0.602156, 0.610297, 0.622338, 0.632028, 0.641376, 0.646528, 0.656912, 0.685716, 0.723706");
-            values ( \
-              "-0.0245971, -0.0329578, -0.040278, -0.0505393, -0.0584866, -0.0644597, -0.0670856, -0.0698204, -0.0721834, -0.0728161, -0.0698854, -0.0679972, -0.0649776, -0.0600773, -0.0344703, -0.0244934, -0.014068, -0.00854429, -0.00455776, -0.00327931, -0.00245149, -0.00204084, -0.000933233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00944423");
-            index_3 ("0.476844, 0.490343, 0.50563, 0.520264, 0.530075, 0.547676, 0.556951, 0.563992, 0.57543, 0.58766, 0.595452, 0.609503, 0.615115, 0.621463, 0.628754, 0.653525, 0.669981, 0.685499, 0.697335, 0.705466, 0.715586, 0.729079, 0.74967, 0.902162");
-            values ( \
-              "-0.0415894, -0.0461186, -0.0664486, -0.0823982, -0.0910482, -0.101805, -0.104964, -0.106605, -0.108171, -0.108704, -0.108418, -0.105272, -0.102757, -0.0976105, -0.0883203, -0.0499757, -0.0309781, -0.019025, -0.0128887, -0.00966652, -0.00656645, -0.00361347, -0.00171587, -0.00027232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0197478");
-            index_3 ("0.480491, 0.500935, 0.511529, 0.527008, 0.539169, 0.557066, 0.564857, 0.572297, 0.58241, 0.597656, 0.626962, 0.643905, 0.656562, 0.678596, 0.68691, 0.69715, 0.731763, 0.750631, 0.760268, 0.779543, 0.789156, 0.801777, 0.814707, 0.833186, 0.857247, 0.889328, 0.927991, 1.16295");
-            values ( \
-              "-0.0512079, -0.0703732, -0.0860646, -0.105001, -0.117105, -0.129612, -0.133345, -0.135941, -0.139161, -0.14291, -0.14804, -0.148633, -0.1471, -0.14027, -0.135452, -0.126424, -0.0834173, -0.0623113, -0.053338, -0.0379477, -0.0319057, -0.0252874, -0.0200433, -0.0138561, -0.00854689, -0.00429761, -0.0014895, -0.00010201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0412926");
-            index_3 ("0.486654, 0.509368, 0.52627, 0.537342, 0.55494, 0.576987, 0.610603, 0.625987, 0.642355, 0.653541, 0.668457, 0.687386, 0.716556, 0.728704, 0.749571, 0.77137, 0.816599, 0.829917, 0.847554, 0.911091, 0.937673, 0.965293, 1.00212, 1.01581, 1.04223, 1.06667, 1.10116, 1.14432, 1.20186, 1.27037, 1.33887, 1.40738, 1.6129");
-            values ( \
-              "-0.0847393, -0.0898798, -0.114541, -0.127099, -0.142573, -0.154857, -0.168408, -0.173384, -0.177244, -0.178993, -0.180341, -0.180739, -0.179216, -0.177967, -0.175004, -0.170828, -0.157181, -0.150884, -0.140149, -0.0922249, -0.0744462, -0.0587653, -0.0420352, -0.0369746, -0.0287566, -0.0226568, -0.0160695, -0.0103707, -0.00555179, -0.0024225, -0.00131929, -0.000798064, -0.00034358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0863426");
-            index_3 ("0.501538, 0.55488, 0.602131, 0.631911, 0.648826, 0.666716, 0.683846, 0.708611, 0.735186, 0.76156, 0.814309, 0.92124, 1.00548, 1.03696, 1.09526, 1.16377, 1.31592, 1.39615, 1.45082, 1.50012, 1.55812, 1.62662, 1.68736, 1.77872, 1.84723, 1.91573, 1.98424, 2.12125, 2.46377");
-            values ( \
-              "-0.141, -0.150529, -0.176476, -0.18854, -0.193304, -0.196852, -0.198846, -0.200301, -0.200352, -0.199667, -0.196886, -0.188904, -0.180082, -0.175729, -0.165052, -0.144382, -0.0851192, -0.0606338, -0.0474217, -0.0377202, -0.0286158, -0.0204306, -0.0151086, -0.00950749, -0.0066688, -0.00459932, -0.00319189, -0.00160148, -0.000414354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.910775, 0.923387, 0.951721, 0.971616, 0.994416, 1.00502, 1.01555, 1.02508, 1.04454, 1.05774, 1.06217, 1.06714, 1.12378, 1.13958, 1.18575");
-            values ( \
-              "-0.00843408, -0.0098839, -0.0244061, -0.0317299, -0.0362583, -0.0371759, -0.0367636, -0.0338839, -0.0158768, -0.00709415, -0.00488955, -0.00366418, -0.000825656, -0.00035461, -2.9342e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00451662");
-            index_3 ("0.913234, 0.924493, 0.935498, 0.944064, 0.959259, 0.969911, 0.983608, 0.989728, 1.00333, 1.01746, 1.0256, 1.03831, 1.04066, 1.04535, 1.05178, 1.07032, 1.08196, 1.09595, 1.10637, 1.1135, 1.12521, 1.19906, 1.2477");
-            values ( \
-              "-0.0132386, -0.0156735, -0.0233686, -0.0306258, -0.0410706, -0.0476477, -0.0546666, -0.0569784, -0.0610877, -0.0638601, -0.0644631, -0.0633551, -0.0629078, -0.0607849, -0.0557276, -0.0320712, -0.019997, -0.0104754, -0.00564524, -0.00350939, -0.00277035, -0.000617707, -0.000146934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00944423");
-            index_3 ("0.922156, 0.942827, 0.954687, 0.979597, 0.988761, 1.00709, 1.02763, 1.04517, 1.06217, 1.07906, 1.09602, 1.12256, 1.13357, 1.15226, 1.16456, 1.17928, 1.18997, 1.20762, 1.23774, 1.36455");
-            values ( \
-              "-0.0291556, -0.0378718, -0.0496428, -0.0710825, -0.0774887, -0.0880127, -0.0950011, -0.0987962, -0.101173, -0.0996671, -0.0879471, -0.0491945, -0.036205, -0.0205715, -0.0136974, -0.00813064, -0.00533822, -0.00236998, -0.00158992, -0.000300827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0197478");
-            index_3 ("0.926413, 0.942626, 0.962012, 0.984813, 1.00101, 1.02236, 1.04862, 1.07993, 1.08899, 1.10053, 1.11929, 1.12516, 1.13691, 1.15033, 1.17583, 1.19706, 1.21936, 1.2333, 1.24817, 1.27145, 1.28448, 1.30307, 1.3274, 1.35985, 1.39965, 1.63495");
-            values ( \
-              "-0.0436578, -0.0441206, -0.0661186, -0.0892548, -0.102777, -0.116394, -0.127949, -0.139329, -0.141745, -0.143911, -0.144829, -0.1443, -0.142056, -0.136956, -0.11513, -0.0882617, -0.0629361, -0.0501417, -0.0387724, -0.025463, -0.0199579, -0.0139724, -0.00861818, -0.00411888, -0.00155639, -0.000204689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412926");
-            index_3 ("0.938378, 0.97614, 0.999913, 1.02078, 1.0768, 1.09161, 1.11413, 1.14064, 1.15619, 1.18727, 1.22805, 1.25705, 1.28627, 1.33229, 1.37696, 1.42479, 1.45595, 1.48267, 1.53115, 1.56835, 1.60964, 1.64176, 1.70602, 1.77453, 2.04855");
-            values ( \
-              "-0.0688266, -0.0889851, -0.112913, -0.129016, -0.160356, -0.166875, -0.173818, -0.177779, -0.17849, -0.177462, -0.172313, -0.166416, -0.156894, -0.129486, -0.0952049, -0.0644496, -0.0488875, -0.0381804, -0.02396, -0.0165523, -0.0109134, -0.00779206, -0.00374281, -0.00169604, -0.000345011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0863426");
-            index_3 ("0.956054, 1.01658, 1.07156, 1.10592, 1.14534, 1.1672, 1.19635, 1.24065, 1.2847, 1.39095, 1.45946, 1.50669, 1.56498, 1.63349, 1.78567, 1.86583, 1.96984, 2.02793, 2.09644, 2.15709, 2.24829, 2.3853, 2.55831");
-            values ( \
-              "-0.12066, -0.133554, -0.168843, -0.185571, -0.195427, -0.197726, -0.198819, -0.198302, -0.196511, -0.188845, -0.181946, -0.175744, -0.165027, -0.144419, -0.0851312, -0.0606631, -0.0377023, -0.0285854, -0.0204389, -0.0151247, -0.00953124, -0.0046192, -0.0020667" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00422218, 0.00422291, 0.00422364, 0.00422421, 0.00422468, 0.00422479", \
-            "0.00466198, 0.00466226, 0.00466263, 0.00466296, 0.0046632, 0.00466335", \
-            "0.00490492, 0.00490496, 0.00490503, 0.00490516, 0.00490527, 0.00490536", \
-            "0.00504969, 0.00504966, 0.0050497, 0.0050497, 0.00504973, 0.00504977", \
-            "0.00513909, 0.00513909, 0.00513909, 0.0051391, 0.00513911, 0.00513912", \
-            "0.00521619, 0.00521619, 0.0052162, 0.0052162, 0.00521621, 0.00521621" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00537599, 0.00537614, 0.00537642, 0.00537672, 0.005377, 0.00537711", \
-            "0.00565027, 0.00565021, 0.00565025, 0.00565037, 0.00565051, 0.00565061", \
-            "0.00582477, 0.00582479, 0.00582485, 0.00582492, 0.00582502, 0.0058251", \
-            "0.00602889, 0.00602874, 0.00602851, 0.00602846, 0.00602843, 0.00602846", \
-            "0.00633626, 0.00633559, 0.00633457, 0.00633339, 0.0063324, 0.00633175", \
-            "0.00675059, 0.00675491, 0.00675897, 0.00676189, 0.00676348, 0.00676485" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00950827, 0.00951117, 0.00947175, 0.00931807, 0.00892571, 0.0080651", \
-            "0.00944539, 0.00944993, 0.00940997, 0.0092533, 0.00886549, 0.00800116", \
-            "0.00932173, 0.00932562, 0.00928577, 0.00913193, 0.00873967, 0.00787993", \
-            "0.00918103, 0.00918412, 0.00914362, 0.00898622, 0.00859841, 0.00773492", \
-            "0.00917259, 0.00917737, 0.00913785, 0.00898369, 0.00859333, 0.00773085", \
-            "0.00953651, 0.00953987, 0.0095131, 0.00936223, 0.00896835, 0.00809987" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.00887507, 0.0089013, 0.00890248, 0.00881387, 0.00851456, 0.00785302", \
-            "0.0087757, 0.0088006, 0.00880228, 0.00871259, 0.00841775, 0.00775246", \
-            "0.00861404, 0.00864006, 0.00864204, 0.00855245, 0.00825874, 0.00759459", \
-            "0.00841783, 0.00844326, 0.00844503, 0.00835606, 0.00806629, 0.00739924", \
-            "0.00844503, 0.00847179, 0.00847485, 0.00838435, 0.00809482, 0.00742391", \
-            "0.00908443, 0.00910668, 0.00912589, 0.00903526, 0.00874529, 0.00805885" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "-0.00174962, -0.00365847, -0.00764982, -0.0159958, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699374", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699367" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0199938, 0.0199966, 0.0199506, 0.0197799, 0.0193681, 0.018495", \
-            "0.0199466, 0.0199502, 0.0199034, 0.0197323, 0.0193205, 0.0184474", \
-            "0.0198794, 0.0198823, 0.0198348, 0.019664, 0.0192521, 0.0183791", \
-            "0.0197985, 0.0198021, 0.0197558, 0.0195857, 0.0191757, 0.0183032", \
-            "0.0198102, 0.0198083, 0.0197623, 0.0195877, 0.0191823, 0.0183123", \
-            "0.0201604, 0.0201472, 0.0201031, 0.0199298, 0.0195315, 0.0186867" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0197854, 0.0197878, 0.0197377, 0.0195624, 0.0191521, 0.0182791", \
-            "0.0197287, 0.019732, 0.0196818, 0.0195075, 0.0190976, 0.0182249", \
-            "0.0196657, 0.0196668, 0.0196187, 0.0194435, 0.0190331, 0.0181592", \
-            "0.0195874, 0.0195888, 0.0195414, 0.0193672, 0.0189591, 0.0180873", \
-            "0.0196039, 0.019604, 0.0195532, 0.0193799, 0.018975, 0.0181048", \
-            "0.0199858, 0.0199859, 0.0199211, 0.0197475, 0.0193565, 0.0184845" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0167357, 0.0167629, 0.0167612, 0.0166647, 0.0163677, 0.0156954", \
-            "0.0166854, 0.0167149, 0.0167127, 0.0166159, 0.0163183, 0.0156476", \
-            "0.0166067, 0.0166341, 0.0166328, 0.0165357, 0.0162399, 0.0155671", \
-            "0.0164775, 0.0165051, 0.0165023, 0.0164064, 0.0161099, 0.015438", \
-            "0.0164733, 0.0165032, 0.0164982, 0.0164079, 0.0161187, 0.0154453", \
-            "0.0168348, 0.0168599, 0.0168644, 0.0167779, 0.0164784, 0.0157892" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "-0.00174963, -0.00365846, -0.00764982, -0.0159958, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159958, -0.033447, -0.0699375", \
-            "-0.00174962, -0.00365846, -0.00764982, -0.0159958, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365847, -0.00764982, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699367" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0169905, 0.0169926, 0.01695, 0.0167819, 0.0163771, 0.0155077", \
-            "0.0169428, 0.0169451, 0.0169023, 0.0167342, 0.0163307, 0.0154596", \
-            "0.0168726, 0.0168805, 0.0168336, 0.0166657, 0.0162616, 0.0153911", \
-            "0.0167979, 0.0167992, 0.0167562, 0.0165889, 0.0161866, 0.0153201", \
-            "0.0168173, 0.0168167, 0.0167729, 0.0166015, 0.0162027, 0.0153397", \
-            "0.0171856, 0.017184, 0.0171323, 0.0169609, 0.0165866, 0.0157184" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0168381, 0.016843, 0.0167954, 0.0166243, 0.0162204, 0.0153537", \
-            "0.0167811, 0.016788, 0.0167394, 0.0165689, 0.0161649, 0.0152993", \
-            "0.016716, 0.0167225, 0.0166738, 0.0165034, 0.0160998, 0.0152335", \
-            "0.0166436, 0.0166476, 0.0166009, 0.0164312, 0.0160295, 0.0151635", \
-            "0.0166681, 0.0166692, 0.0166211, 0.0164533, 0.0160551, 0.0151898", \
-            "0.0170504, 0.0170532, 0.0170054, 0.0168272, 0.0164365, 0.0155906" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0139986, 0.014028, 0.0140266, 0.0139317, 0.0136303, 0.0129587", \
-            "0.0139533, 0.0139818, 0.0139805, 0.0138857, 0.0135845, 0.0129128", \
-            "0.0138713, 0.0139006, 0.0138991, 0.0138045, 0.0135036, 0.0128316", \
-            "0.0137631, 0.013793, 0.0137903, 0.0136972, 0.0133979, 0.0127241", \
-            "0.0137458, 0.0137711, 0.0137692, 0.0136804, 0.0133806, 0.0127102", \
-            "0.0141177, 0.0141428, 0.0141469, 0.0140538, 0.0137453, 0.0130739" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159958, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699374", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699367" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0130232, 0.0130308, 0.0129857, 0.0128205, 0.0124169, 0.0115503", \
-            "0.0129786, 0.0129862, 0.012941, 0.0127749, 0.0123721, 0.0115052", \
-            "0.0129237, 0.0129312, 0.0128863, 0.0127211, 0.0123178, 0.0114508", \
-            "0.0128794, 0.0128853, 0.0128405, 0.0126761, 0.0122745, 0.0114093", \
-            "0.0129794, 0.0129832, 0.0129381, 0.0127735, 0.0123765, 0.0115118", \
-            "0.0133815, 0.0133815, 0.0133275, 0.0131747, 0.0127857, 0.0119227" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0168574, 0.0168622, 0.0168147, 0.0166436, 0.0162396, 0.0153725", \
-            "0.0168091, 0.016814, 0.0167664, 0.0165956, 0.0161916, 0.0153253", \
-            "0.0167359, 0.0167427, 0.016694, 0.0165236, 0.0161196, 0.0152536", \
-            "0.0166632, 0.0166651, 0.0166204, 0.0164508, 0.0160492, 0.0151828", \
-            "0.0166916, 0.0166921, 0.016642, 0.0164747, 0.0160762, 0.0152137", \
-            "0.0170621, 0.0170652, 0.0170178, 0.0168407, 0.0164533, 0.0155915" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0140083, 0.0140367, 0.0140345, 0.0139392, 0.013641, 0.0129668", \
-            "0.0139625, 0.0139908, 0.0139889, 0.0138936, 0.0135923, 0.0129203", \
-            "0.0138816, 0.0139098, 0.0139094, 0.0138134, 0.0135125, 0.0128403", \
-            "0.0137736, 0.0138011, 0.0137992, 0.0137043, 0.0134047, 0.0127319", \
-            "0.0137533, 0.0137858, 0.0137843, 0.0136945, 0.0133976, 0.0127254", \
-            "0.0141337, 0.0141568, 0.0141615, 0.0140686, 0.0137268, 0.0130869" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "-0.00174962, -0.00365847, -0.00764982, -0.0159958, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699375", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699374", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699367" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0199938, 0.0199966, 0.0199506, 0.0197799, 0.0193681, 0.018495", \
-            "0.0199466, 0.0199502, 0.0199034, 0.0197323, 0.0193205, 0.0184474", \
-            "0.0198794, 0.0198823, 0.0198348, 0.019664, 0.0192521, 0.0183791", \
-            "0.0197985, 0.0198021, 0.0197558, 0.0195857, 0.0191757, 0.0183032", \
-            "0.0198102, 0.0198083, 0.0197623, 0.0195877, 0.0191823, 0.0183123", \
-            "0.0201604, 0.0201472, 0.0201031, 0.0199298, 0.0195315, 0.0186867" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0167357, 0.0167629, 0.0167612, 0.0166647, 0.0163677, 0.0156954", \
-            "0.0166854, 0.0167149, 0.0167127, 0.0166159, 0.0163183, 0.0156476", \
-            "0.0166067, 0.0166341, 0.0166328, 0.0165357, 0.0162399, 0.0155671", \
-            "0.0164775, 0.0165051, 0.0165023, 0.0164064, 0.0161099, 0.015438", \
-            "0.0164733, 0.0165032, 0.0164982, 0.0164079, 0.0161187, 0.0154453", \
-            "0.0168348, 0.0168599, 0.0168644, 0.0167779, 0.0164784, 0.0157892" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699362", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699361", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.069936", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699355", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699337", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699218" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0185982, 0.0186276, 0.018629, 0.0185334, 0.0182357, 0.0175638", \
-            "0.0185525, 0.0185828, 0.0185841, 0.01849, 0.0181924, 0.017522", \
-            "0.0184657, 0.0184945, 0.0184967, 0.0184034, 0.0181072, 0.0174328", \
-            "0.0183589, 0.0183873, 0.0183886, 0.0182976, 0.0180031, 0.0173368", \
-            "0.0181716, 0.018191, 0.0181882, 0.0181048, 0.0178292, 0.0171635", \
-            "0.0182163, 0.0182217, 0.0182313, 0.0181179, 0.0178465, 0.017191" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159958, -0.033447, -0.0699362", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699362", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.069936", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159958, -0.033447, -0.0699355", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699337", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699218" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0124858, 0.0125148, 0.012517, 0.0124219, 0.0121231, 0.011449", \
-            "0.0124652, 0.0124954, 0.0124977, 0.0124039, 0.0121059, 0.011432", \
-            "0.0124208, 0.0124506, 0.0124522, 0.0123602, 0.012063, 0.0113891", \
-            "0.0123588, 0.0123862, 0.0123876, 0.0122977, 0.0120051, 0.0113332", \
-            "0.0122582, 0.0122778, 0.0122744, 0.0121918, 0.0119119, 0.0112566", \
-            "0.0122982, 0.0122976, 0.0123052, 0.0121958, 0.0119235, 0.0112698" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699362", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699361", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.069936", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699355", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699337", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699219" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0158491, 0.0158788, 0.0158798, 0.0157854, 0.0154844, 0.0148161", \
-            "0.0158022, 0.015832, 0.0158337, 0.0157403, 0.0154398, 0.0147701", \
-            "0.0157133, 0.0157433, 0.0157446, 0.0156531, 0.0153556, 0.0146819", \
-            "0.0156149, 0.0156416, 0.0156424, 0.0155528, 0.0152596, 0.0145902", \
-            "0.0154688, 0.0154862, 0.0154853, 0.0154034, 0.0151286, 0.0144634", \
-            "0.015578, 0.0155761, 0.0155787, 0.0154662, 0.0151962, 0.0145352" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699362", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699361", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.069936", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159958, -0.033447, -0.0699355", \
-            "-0.00174963, -0.00365846, -0.00764983, -0.0159957, -0.033447, -0.0699337", \
-            "-0.00174963, -0.00365847, -0.00764983, -0.0159957, -0.033447, -0.0699219" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00451662, 0.00944423, 0.0197478, 0.0412926, 0.0863426");
-          values ( \
-            "0.0185982, 0.0186276, 0.018629, 0.0185334, 0.0182357, 0.0175638", \
-            "0.0185525, 0.0185828, 0.0185841, 0.01849, 0.0181924, 0.017522", \
-            "0.0184657, 0.0184945, 0.0184967, 0.0184034, 0.0181072, 0.0174328", \
-            "0.0183589, 0.0183873, 0.0183886, 0.0182976, 0.0180031, 0.0173368", \
-            "0.0181716, 0.018191, 0.0181882, 0.0181048, 0.0178292, 0.0171635", \
-            "0.0182163, 0.0182217, 0.0182313, 0.0181179, 0.0178465, 0.017191" \
-          );
-        }
-      }
-    }
-    pin (CK) {
-      clock : true;
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00802412;
-      rise_capacitance : 0.00802412;
-      rise_capacitance_range (0.00638129, 0.00802412);
-      fall_capacitance : 0.00798187;
-      fall_capacitance_range (0.00625096, 0.00798187);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond7";
-        timing_type : min_pulse_width;
-        when : "(D * RN * SN)";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.1311, 0.139027, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.265884, 0.274067, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond8";
-        timing_type : min_pulse_width;
-        when : "(!D * RN * SN)";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.166374, 0.159283, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.135699, 0.155907, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00544978, 0.00588701, 0.00616579, 0.00638873, 0.00657353, 0.00675384" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00651313, 0.00672201, 0.00696148, 0.00754019, 0.00834269, 0.00809244" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00559731, 0.00597172, 0.00619101, 0.00634007, 0.00642966, 0.00648261" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00626284, 0.00640005, 0.00660126, 0.00701115, 0.00773357, 0.00854327" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00533149, 0.00572843, 0.00597362, 0.00614779, 0.0062583, 0.0063253" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00666542, 0.00711338, 0.00759142, 0.00822028, 0.00891438, 0.00799371" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00533149, 0.00572843, 0.00597362, 0.00614779, 0.0062583, 0.0063253" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00666542, 0.00711338, 0.00759142, 0.00822028, 0.00891438, 0.00799371" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * !RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00580368, 0.00636856, 0.0067528, 0.00710816, 0.00744155, 0.00768671" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00688796, 0.00717629, 0.00740721, 0.00790793, 0.00791911, 0.00682281" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00531815, 0.00572413, 0.00597645, 0.00615405, 0.00627088, 0.00634786" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00671017, 0.00711203, 0.00748637, 0.00815993, 0.00901086, 0.00811456" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * !RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00579986, 0.00636505, 0.00675129, 0.00710793, 0.00744135, 0.00768669" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00688624, 0.00717784, 0.00740826, 0.00790919, 0.00792162, 0.00682245" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00531335, 0.00571768, 0.00597447, 0.00615343, 0.00627081, 0.00634771" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00670082, 0.0071082, 0.00748483, 0.00816148, 0.0090169, 0.00811568" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * SN) + (!D * !RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00624292, 0.00693473, 0.00741521, 0.0078355, 0.00816397, 0.0084321" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00732379, 0.00745142, 0.00755979, 0.00805576, 0.00873519, 0.00832442" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00530601, 0.00571571, 0.00598417, 0.00617401, 0.00629636, 0.00636825" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00677648, 0.00729246, 0.00778176, 0.00835026, 0.00906092, 0.00996825" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00571441, 0.00614802, 0.00641305, 0.0065899, 0.00669672, 0.00676172" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00672518, 0.00693411, 0.00716152, 0.00764739, 0.00858866, 0.00929374" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00571441, 0.00614802, 0.00641305, 0.0065899, 0.00669672, 0.00676172" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00672518, 0.00693411, 0.00716152, 0.00764739, 0.00858866, 0.00929374" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00597276, 0.0065532, 0.0069572, 0.007312, 0.00761557, 0.00788405" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00713332, 0.00738697, 0.00762952, 0.0083484, 0.00941178, 0.0090779" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00571728, 0.00615028, 0.00641555, 0.00659204, 0.00669779, 0.00676201" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00672691, 0.00693665, 0.00716316, 0.00764769, 0.00859377, 0.0100596" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0247043, 0.0245696, 0.0244412, 0.0242805, 0.0243641, 0.0250987" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.000613605, -0.000735346, -0.000906885, -0.00104048, -0.0010047, -0.000233267" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0105492, 0.0104169, 0.0103157, 0.0103177, 0.0106207, 0.0117349" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0046465, 0.00451541, 0.00432528, 0.00401794, 0.00395654, 0.00461634" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0171728, 0.0170698, 0.0169625, 0.0169194, 0.0171506, 0.0181101" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * !SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * !SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0046013, 0.00447955, 0.00427768, 0.00398732, 0.00392239, 0.00456561" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0172142, 0.0171093, 0.0170001, 0.0169668, 0.017191, 0.0181506" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0237813, 0.0235745, 0.0233749, 0.0232664, 0.0236901, 0.0255529" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.000713293, -0.000800902, -0.00100835, -0.0011211, -0.00105777, -0.000255926" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0118547, 0.0117289, 0.0115999, 0.0116224, 0.011846, 0.0128528" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * !SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * !SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00288777, 0.00267319, 0.00239472, 0.00215623, 0.00225027, 0.00370705" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0141698, 0.0139519, 0.0137501, 0.0137481, 0.014274, 0.0162934" \
-          );
-        }
-      }
-    }
-    pin (D) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00252995;
-      rise_capacitance : 0.00252995;
-      rise_capacitance_range (0.00223245, 0.00252995);
-      fall_capacitance : 0.00252281;
-      fall_capacitance_range (0.00200985, 0.00252281);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond0";
-        timing_type : hold_rising;
-        when : "(RN * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.0658622, -0.0441698, -0.0404621", \
-            "-0.079477, -0.0640285, -0.0540769", \
-            "-0.144143, -0.123451, -0.0827659" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.12233, -0.134152, -0.328794", \
-            "-0.149193, -0.161829, -0.355389", \
-            "-0.31613, -0.327348, -0.498608" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.0658622, -0.0441698, -0.0404621", \
-            "-0.079477, -0.0640285, -0.0540769", \
-            "-0.144143, -0.123451, -0.0827659" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.12233, -0.134152, -0.328794", \
-            "-0.149193, -0.161829, -0.355389", \
-            "-0.31613, -0.327348, -0.498608" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond0";
-        timing_type : setup_rising;
-        when : "(RN * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.210742, 0.188248, 0.218161", \
-            "0.227439, 0.203944, 0.234978", \
-            "0.28472, 0.259227, 0.288141" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.150356, 0.151326, 0.3405", \
-            "0.179116, 0.179086, 0.365529", \
-            "0.350348, 0.347977, 0.512509" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.210742, 0.188248, 0.218161", \
-            "0.227439, 0.203944, 0.234978", \
-            "0.28472, 0.259227, 0.288141" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.150356, 0.151326, 0.3405", \
-            "0.179116, 0.179086, 0.365529", \
-            "0.350348, 0.347977, 0.512509" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "CK";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00188677, 0.00220576, 0.00239781, 0.00250212, 0.00255555, 0.00257979" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00237478, 0.00236891, 0.00229378, 0.00223592, 0.00220461, 0.00219615" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00172145, 0.00189612, 0.00198546, 0.00202452, 0.00204505, 0.00205565" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00217302, 0.00232452, 0.00243619, 0.00256022, 0.00261864, 0.00264134" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00194334, 0.00227666, 0.00245549, 0.00256661, 0.00264279, 0.00271409" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00256246, 0.0025409, 0.00246149, 0.00243778, 0.00260275, 0.00244069" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171916, 0.00190441, 0.00200053, 0.0020516, 0.00208219, 0.00210408" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00225144, 0.00236842, 0.00241671, 0.00249353, 0.00263096, 0.0028371" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00194341, 0.00227666, 0.00245535, 0.00256642, 0.00264268, 0.00271405" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00256244, 0.00254043, 0.00246091, 0.00243859, 0.0026055, 0.0025731" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171978, 0.00190491, 0.00200071, 0.00205179, 0.00208244, 0.00210408" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00225203, 0.00236847, 0.00241734, 0.00249281, 0.00262935, 0.00294784" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00194331, 0.00227762, 0.00245558, 0.00256637, 0.00264265, 0.00271405" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00256183, 0.00253915, 0.00246426, 0.00244051, 0.00260268, 0.00257313" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171986, 0.00190512, 0.00200093, 0.00205189, 0.0020825, 0.00210413" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00225223, 0.00236872, 0.00241741, 0.00249321, 0.00262786, 0.00294669" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00194361, 0.00227689, 0.00245564, 0.00256659, 0.00264264, 0.00271394" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00256269, 0.00254085, 0.00246085, 0.00243666, 0.0026028, 0.00257326" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171997, 0.00190516, 0.00200093, 0.00205189, 0.00208249, 0.00210412" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00225237, 0.0023688, 0.00241754, 0.00249278, 0.00262794, 0.00294695" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00216581, -0.00219069, -0.00222025, -0.0022438, -0.00225915, -0.00225883" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00226073, 0.00225146, 0.00226738, 0.00226587, 0.00226335, 0.00226472" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0109367, 0.0108627, 0.0107532, 0.010626, 0.0105708, 0.0108918" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0160803, 0.016043, 0.0159975, 0.0159221, 0.0158505, 0.01608" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * !SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * !SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00402328, 0.00394918, 0.00384317, 0.00373917, 0.00370823, 0.00408121" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00709037, 0.00705899, 0.00701875, 0.00697662, 0.00697039, 0.00732329" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00404164, 0.00396876, 0.00386279, 0.00375642, 0.00372136, 0.00409326" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00708127, 0.0070498, 0.00701008, 0.00696606, 0.00696032, 0.00730695" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * !SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * !SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00408249, 0.00401113, 0.00390249, 0.00379903, 0.00376789, 0.00414121" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00702893, 0.00699769, 0.00695812, 0.00691546, 0.0069091, 0.00726169" \
-          );
-        }
-      }
-    }
-    pin (RN) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00261303;
-      rise_capacitance : 0.00261303;
-      rise_capacitance_range (0.00210071, 0.00261303);
-      fall_capacitance : 0.00260416;
-      fall_capacitance_range (0.00191384, 0.00260416);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond1";
-        timing_type : recovery_rising;
-        when : "(D * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.168496, 0.143598, 0.175081", \
-            "0.187918, 0.165146, 0.195154", \
-            "0.251262, 0.225601, 0.257847" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : recovery_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.168496, 0.143598, 0.175081", \
-            "0.187918, 0.165146, 0.195154", \
-            "0.251262, 0.225601, 0.257847" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond1";
-        timing_type : removal_rising;
-        when : "(D * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.0330359, -0.0142347, 0.030007", \
-            "-0.0544863, -0.0371452, 0.00837835", \
-            "-0.107841, -0.0932987, -0.0487958" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : removal_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.0330359, -0.0142347, 0.030007", \
-            "-0.0544863, -0.0371452, 0.00837835", \
-            "-0.107841, -0.0932987, -0.0487958" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "adacond2";
-        timing_type : min_pulse_width;
-        when : "(CK * SN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.131706, 0.159283, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "adacond3";
-        timing_type : min_pulse_width;
-        when : "(!CK * SN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.132098, 0.162659, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "CK";
-        timing_type : non_seq_hold_rising;
-        when : "CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.179963, -0.186078, -0.358104", \
-            "-0.204516, -0.207644, -0.378403", \
-            "-0.268643, -0.274824, -0.434792" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "~CK";
-        timing_type : non_seq_hold_rising;
-        when : "!CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.179963, -0.186078, -0.358104", \
-            "-0.204516, -0.207644, -0.378403", \
-            "-0.268643, -0.274824, -0.434792" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_type : non_seq_hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.179963, -0.186078, -0.358104", \
-            "-0.204516, -0.207644, -0.378403", \
-            "-0.268643, -0.274824, -0.434792" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "CK";
-        timing_type : non_seq_setup_rising;
-        when : "CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.196404, 0.202421, 0.514332", \
-            "0.217587, 0.222593, 0.524433", \
-            "0.286088, 0.288042, 0.552047" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "~CK";
-        timing_type : non_seq_setup_rising;
-        when : "!CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.196404, 0.202421, 0.51348", \
-            "0.217587, 0.222593, 0.527579", \
-            "0.288291, 0.290245, 0.557396" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_type : non_seq_setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.196404, 0.202421, 0.514332", \
-            "0.217587, 0.222593, 0.527579", \
-            "0.288291, 0.290245, 0.557396" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * SN) + (!CK * !D * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00185577, 0.00216509, 0.00233181, 0.00245467, 0.00256223, 0.00265322" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0024019, 0.0023892, 0.0023919, 0.0025988, 0.00308449, 0.00299693" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00163697, 0.00182186, 0.00192641, 0.00198497, 0.00201904, 0.00204095" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00214331, 0.00226562, 0.00234712, 0.00249994, 0.00280111, 0.00342604" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * D * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00185576, 0.0021651, 0.00233187, 0.00245469, 0.00256208, 0.00265255" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00240185, 0.00238929, 0.00239152, 0.00258522, 0.00304906, 0.00297182" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00163872, 0.00182539, 0.00192334, 0.00198464, 0.00201794, 0.00203972" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00214628, 0.00226151, 0.00234322, 0.00247124, 0.00273893, 0.00321916" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00224859, 0.00217274, 0.00205143, 0.00194142, 0.0019832, 0.00267491" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00845554, 0.00836839, 0.0082724, 0.00828575, 0.00856945, 0.00961989" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00958957, 0.00951954, 0.00936914, 0.00916782, 0.00914218, 0.00977447" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.016346, 0.0162437, 0.0161341, 0.0160165, 0.0162007, 0.0171098" \
-          );
-        }
-      }
-    }
-    pin (SN) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00595496;
-      rise_capacitance : 0.00576581;
-      rise_capacitance_range (0.00521477, 0.00576581);
-      fall_capacitance : 0.00595496;
-      fall_capacitance_range (0.00465721, 0.00595496);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond4";
-        timing_type : recovery_rising;
-        when : "(!D * RN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.0447655, 0.025378, 0.01995", \
-            "0.062852, 0.046, 0.0375163", \
-            "0.192411, 0.169542, 0.119624" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : recovery_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.0447655, 0.025378, 0.01995", \
-            "0.062852, 0.046, 0.0375163", \
-            "0.192411, 0.169542, 0.119624" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond4";
-        timing_type : removal_rising;
-        when : "(!D * RN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.0216002, -0.00622839, -0.00385899", \
-            "-0.0422389, -0.0278194, -0.0243146", \
-            "-0.0935082, -0.0859971, -0.083762" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : removal_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.0216002, -0.00622839, -0.00385899", \
-            "-0.0422389, -0.0278194, -0.0243146", \
-            "-0.0935082, -0.0859971, -0.083762" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "adacond5";
-        timing_type : min_pulse_width;
-        when : "(CK * RN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.167553, 0.199795, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "adacond6";
-        timing_type : min_pulse_width;
-        when : "(!CK * RN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.170349, 0.203171, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * RN) + (!CK * D * RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00451799, 0.00519207, 0.0055483, 0.00573223, 0.00582252, 0.00586366" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00544368, 0.00531763, 0.00510281, 0.00493081, 0.004856, 0.00482198" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00374501, 0.00408054, 0.00428866, 0.00441652, 0.00449068, 0.00452813" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00488676, 0.00534141, 0.00563255, 0.00574942, 0.00579848, 0.00581437" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * !RN) + (!CK * !D * !RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00464564, 0.00534015, 0.00575267, 0.00599021, 0.00611084, 0.00616782" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00580168, 0.00574997, 0.00559861, 0.00547467, 0.0054057, 0.00537874" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00424913, 0.00466759, 0.00489403, 0.00501026, 0.00507003, 0.00509237" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00537311, 0.0057231, 0.00591982, 0.0060928, 0.00621631, 0.00627133" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * D * !RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0046296, 0.00534257, 0.0057637, 0.00599142, 0.00610226, 0.00615166" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00582292, 0.00583554, 0.00565767, 0.00550891, 0.00542969, 0.0053994" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00420465, 0.00463244, 0.00487812, 0.00501854, 0.00508996, 0.00512027" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00533885, 0.00573986, 0.00598364, 0.00612251, 0.0062023, 0.00623942" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !D * RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00459312, 0.00528268, 0.0056487, 0.00585426, 0.00599102, 0.00610431" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00561242, 0.00550744, 0.00535534, 0.00533551, 0.0055771, 0.00591677" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00397863, 0.00436254, 0.00458603, 0.00471978, 0.00479822, 0.00484826" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00512207, 0.00550377, 0.00571251, 0.00586951, 0.00605011, 0.00642423" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00543394, -0.00544433, -0.00544275, -0.00546181, -0.00546088, -0.00545491" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00543394, 0.00544433, 0.00544275, 0.00548953, 0.00546324, 0.00545491" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.0059895, -0.0061209, -0.00621905, -0.00618105, -0.00620935, -0.00620869" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00620319, 0.00621412, 0.00621905, 0.00618105, 0.00621683, 0.00621595" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00564511, -0.00570695, -0.00573638, -0.0057339, -0.00576271, -0.00576031" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00573422, 0.00574309, 0.00573638, 0.0057339, 0.00576565, 0.00576803" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0029627, 0.00290099, 0.00275802, 0.00264786, 0.00260425, 0.00293999" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0121523, 0.0121043, 0.0120086, 0.0119387, 0.0118814, 0.012034" \
-          );
-        }
-      }
-    }
-    ff (IQ,IQN) {
-      clear : "!RN";
-      clear_preset_var1 : L;
-      clear_preset_var2 : H;
-      clocked_on : "CK";
-      next_state : "D";
-      power_down_function : "(!VDD) + (GND)";
-      preset : "!SN";
-    }
-  }
-  cell (DFFX1) {
-    area : 32.2344;
-    cell_footprint : "DFF";
-    cell_leakage_power : 0.0932513;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0868948;
-      when : "(CK * D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.11249;
-      when : "(CK * D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.111258;
-      when : "(CK * !D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.110804;
-      when : "(CK * !D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0763149;
-      when : "(!CK * D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0626738;
-      when : "(!CK * D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0865829;
-      when : "(!CK * !D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0989917;
-      when : "(!CK * !D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0932513;
-      related_pg_pin : VDD;
-    }
-    pin (Q) {
-      direction : output;
-      function : "IQ";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0801802;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.210329, 0.242965, 0.306911, 0.434935, 0.69653, 1.23382", \
-            "0.213731, 0.246433, 0.310321, 0.438332, 0.699841, 1.23727", \
-            "0.221906, 0.254481, 0.318468, 0.446386, 0.708033, 1.24551", \
-            "0.239253, 0.271836, 0.335862, 0.464046, 0.725349, 1.26309", \
-            "0.260309, 0.292905, 0.356799, 0.485123, 0.746629, 1.28388", \
-            "0.276737, 0.309494, 0.373492, 0.501612, 0.76269, 1.30004" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.0356734, 0.0568098, 0.100588, 0.191147, 0.378139, 0.763094", \
-            "0.0357145, 0.0568009, 0.100517, 0.191074, 0.378002, 0.763", \
-            "0.0356642, 0.0568114, 0.10057, 0.19111, 0.377931, 0.762942", \
-            "0.0357178, 0.0568178, 0.10052, 0.191145, 0.378022, 0.763044", \
-            "0.0356929, 0.0567606, 0.100661, 0.191117, 0.378151, 0.763067", \
-            "0.0357617, 0.0568478, 0.100497, 0.191039, 0.377856, 0.763152" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.286593, 0.318391, 0.381949, 0.510684, 0.774996, 1.31861", \
-            "0.290195, 0.321998, 0.385568, 0.514227, 0.77869, 1.32222", \
-            "0.298916, 0.330711, 0.394175, 0.52308, 0.787428, 1.33096", \
-            "0.316911, 0.348715, 0.412282, 0.541099, 0.805386, 1.34887", \
-            "0.340746, 0.372553, 0.436005, 0.56488, 0.829209, 1.37284", \
-            "0.358395, 0.389868, 0.453455, 0.58273, 0.846775, 1.39168" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.0292623, 0.0463648, 0.0816002, 0.154233, 0.303926, 0.610803", \
-            "0.0292632, 0.0463616, 0.081584, 0.154211, 0.303862, 0.610733", \
-            "0.0292654, 0.0463587, 0.0815533, 0.15434, 0.303845, 0.610793", \
-            "0.0292618, 0.0463611, 0.0815933, 0.154332, 0.303717, 0.610787", \
-            "0.0292681, 0.0463605, 0.0815707, 0.154243, 0.303708, 0.610827", \
-            "0.0292633, 0.04635, 0.081624, 0.154347, 0.303714, 0.610456" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.181462, 0.19057, 0.195052, 0.198497, 0.201781, 0.207442, 0.212699, 0.217878, 0.223052, 0.225699, 0.233616, 0.240831, 0.244664, 0.25088, 0.257364, 0.262816, 0.267689, 0.274187, 0.279611, 0.287162, 0.29723, 0.316752, 0.339741");
-            values ( \
-              "0.00528047, 0.0368787, 0.0499987, 0.0582927, 0.0650372, 0.0723954, 0.0754696, 0.074883, 0.0704946, 0.0655879, 0.0467375, 0.0331657, 0.0275273, 0.0202415, 0.0145634, 0.0109655, 0.00850456, 0.00602699, 0.00452164, 0.00303318, 0.00176628, 0.000573044, 0.000150685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00445023");
-            index_3 ("0.19456, 0.200511, 0.214429, 0.225003, 0.233902, 0.242231, 0.2505, 0.258764, 0.264038, 0.278688, 0.288954, 0.297084, 0.304622, 0.316734, 0.322607, 0.329616, 0.338961, 0.348416, 0.362907, 0.382229, 0.413409, 0.451177");
-            values ( \
-              "0.0268006, 0.0270919, 0.0650702, 0.0855281, 0.094567, 0.097792, 0.0961471, 0.0898642, 0.0819506, 0.0561117, 0.0418914, 0.032581, 0.0256295, 0.0171081, 0.014008, 0.0109939, 0.00791163, 0.00566301, 0.00337218, 0.00164021, 0.000468112, 9.04117e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00916861");
-            index_3 ("0.0626462, 0.10506, 0.123819, 0.138809, 0.181105, 0.197742, 0.215487, 0.231402, 0.251349, 0.269894, 0.285497, 0.300198, 0.314901, 0.329579, 0.363137, 0.385805, 0.411181, 0.429918, 0.444638, 0.468941, 0.484524, 0.508329, 0.540069, 0.54853");
-            values ( \
-              "0.000509685, 0.000391142, 0.00220106, 0.00270823, 1e-22, 2e-22, 0.00994999, 0.0360964, 0.0757739, 0.100672, 0.110928, 0.113675, 0.111188, 0.103227, 0.0682766, 0.0474527, 0.0300139, 0.0209153, 0.015614, 0.00949557, 0.00687347, 0.00416669, 0.00208258, 0.0018429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0188897");
-            index_3 ("0.0672804, 0.0908618, 0.104685, 0.112661, 0.123398, 0.132491, 0.138212, 0.147079, 0.155468, 0.169495, 0.202266, 0.220222, 0.240755, 0.264891, 0.269979, 0.276764, 0.321875, 0.344833, 0.357117, 0.381687, 0.396443, 0.414492, 0.442281, 0.457368, 0.471943, 0.501093, 0.546085, 0.578632, 0.607704, 0.634246, 0.651485, 0.673888, 0.689087, 0.709353, 0.745417, 0.762038, 0.786969, 0.820211, 0.886694, 0.984648, 1.0826");
-            values ( \
-              "0.000501266, 0.00044966, 0.000604541, 0.00160688, 0.00358636, 0.00483267, 0.00538019, 0.00576707, 0.00559507, 0.00486052, 0.00134668, 1e-22, 0.00227273, 0.016574, 0.0213499, 0.0282894, 0.0814155, 0.101861, 0.109532, 0.119358, 0.122011, 0.122826, 0.119918, 0.115918, 0.110306, 0.0947514, 0.068173, 0.0514393, 0.0390671, 0.030003, 0.0251247, 0.0198352, 0.0168603, 0.0135297, 0.00905407, 0.00752574, 0.00569121, 0.00389872, 0.00176493, 0.000499877, 0.000141683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0389176");
-            index_3 ("0.199689, 0.346339, 0.380995, 0.465219, 0.489948, 0.535048, 0.593425, 0.648666, 0.703901, 0.734698, 0.762496, 0.97735, 1.07667, 1.17102, 1.24133, 1.30455, 1.42111, 1.51907, 1.58755");
-            values ( \
-              "0.00582967, 0.0132898, 0.030634, 0.0841694, 0.0971443, 0.114318, 0.125751, 0.12801, 0.124626, 0.120202, 0.114533, 0.0528949, 0.0323995, 0.0195957, 0.0132778, 0.00926971, 0.00475398, 0.00265686, 0.00183574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0801802");
-            index_3 ("0.245413, 0.51685, 0.573584, 0.749697, 0.818518, 0.900596, 0.99855, 1.0575, 1.1296, 1.22755, 1.31303, 1.35987, 1.45356, 1.69241, 1.8465, 1.92864, 2.01752, 2.11547, 2.20556, 2.33984, 2.43779, 2.53481, 2.68813, 2.88403, 3.07994, 3.16847");
-            values ( \
-              "0.00999057, 0.0124608, 0.0257058, 0.0824853, 0.100974, 0.116656, 0.127, 0.129668, 0.130362, 0.127544, 0.121524, 0.116611, 0.104163, 0.0677079, 0.0477358, 0.0390357, 0.0311222, 0.0240466, 0.0188362, 0.0129942, 0.00988105, 0.00749188, 0.00482602, 0.00273465, 0.00154315, 0.00133297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.201155, 0.208783, 0.209957, 0.211523, 0.213217, 0.214129, 0.215953, 0.217373, 0.218792, 0.220212, 0.221632, 0.222949, 0.224265, 0.225582, 0.226899, 0.228195, 0.229491, 0.230787, 0.232084, 0.233378, 0.234673, 0.235968, 0.237263, 0.237612, 0.238309, 0.239181, 0.241273, 0.242668, 0.245215, 0.247904, 0.250347, 0.252772, 0.25492, 0.257828, 0.260064, 0.26133, 0.264169, 0.266599, 0.268328, 0.270058, 0.271787, 0.273112, 0.275763, 0.278658, 0.281796, 0.283365, 0.286504, 0.288073, 0.290896, 0.296343");
-            values ( \
-              "0.0484376, 0.0485771, 0.0519458, 0.0560599, 0.0601041, 0.0621042, 0.0657351, 0.0681202, 0.0701503, 0.0718252, 0.073145, 0.0736512, 0.0740377, 0.0743045, 0.0744516, 0.0744795, 0.0743914, 0.0741873, 0.0738672, 0.0732445, 0.0724312, 0.0714274, 0.070233, 0.0697997, 0.0686046, 0.0669028, 0.0624234, 0.0591518, 0.0526639, 0.0465166, 0.0414544, 0.0368387, 0.0330654, 0.0288486, 0.0259083, 0.0243579, 0.0211848, 0.018709, 0.0170366, 0.015642, 0.014338, 0.0134369, 0.0117202, 0.00997601, 0.00839857, 0.00776337, 0.00660557, 0.00608299, 0.00527126, 0.00385704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00445023");
-            index_3 ("0.204122, 0.218625, 0.228569, 0.233349, 0.239129, 0.248024, 0.256351, 0.264618, 0.272881, 0.278165, 0.292788, 0.303107, 0.311279, 0.318713, 0.330654, 0.336716, 0.343953, 0.353602, 0.36258, 0.376373, 0.394764, 0.425599, 0.462738");
-            values ( \
-              "0.00280499, 0.0371485, 0.065224, 0.0754287, 0.0856081, 0.0945761, 0.0978294, 0.0961388, 0.089886, 0.0819362, 0.0561396, 0.0418469, 0.0325008, 0.0256514, 0.0172241, 0.0140125, 0.0109102, 0.00776509, 0.00565317, 0.00345298, 0.00174355, 0.000506815, 0.000101575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00916861");
-            index_3 ("0.223683, 0.238711, 0.265042, 0.277095, 0.283582, 0.29915, 0.304336, 0.313829, 0.328691, 0.338581, 0.343908, 0.354564, 0.375216, 0.402171, 0.416275, 0.428356, 0.43773, 0.454439, 0.473904, 0.491145, 0.508967, 0.522601, 0.54987, 0.583689");
-            values ( \
-              "0.0193259, 0.0246192, 0.0758528, 0.0935998, 0.100695, 0.110878, 0.112393, 0.113526, 0.111075, 0.106287, 0.102455, 0.0924864, 0.0699268, 0.0452483, 0.0351587, 0.0280756, 0.0234483, 0.0168602, 0.011365, 0.00794462, 0.00548385, 0.00411505, 0.00227991, 0.00123373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0188897");
-            index_3 ("0.0814791, 0.120651, 0.146381, 0.160327, 0.179377, 0.228888, 0.248365, 0.271321, 0.281337, 0.336245, 0.358789, 0.371441, 0.396745, 0.409304, 0.426049, 0.44542, 0.456764, 0.479453, 0.599075, 0.642842, 0.668397, 0.703773, 0.760091, 0.831963, 0.894828");
-            values ( \
-              "0.000477177, 0.00078299, 0.00473598, 0.00570662, 0.00514689, 2.35905e-06, 0.000506142, 0.0105199, 0.0186442, 0.0815419, 0.101624, 0.109581, 0.119563, 0.121853, 0.122868, 0.121635, 0.119747, 0.11317, 0.0486064, 0.0317684, 0.0244327, 0.0167677, 0.00900235, 0.00402057, 0.0019036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0389176");
-            index_3 ("0.19778, 0.36036, 0.389792, 0.479355, 0.524763, 0.549148, 0.59792, 0.62638, 0.66257, 0.717562, 0.752218, 0.7765, 0.825065, 0.941508, 1.02575, 1.09633, 1.14592, 1.19923, 1.28961, 1.34464, 1.42867, 1.52663, 1.62458, 1.65031");
-            values ( \
-              "0.00397392, 0.0132711, 0.0275675, 0.0841885, 0.106074, 0.114266, 0.124482, 0.127082, 0.127815, 0.124556, 0.119512, 0.114489, 0.101443, 0.0660907, 0.0448615, 0.0314584, 0.0242003, 0.0181243, 0.0109298, 0.00799703, 0.00492977, 0.00275864, 0.00153678, 0.00142539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0801802");
-            index_3 ("0.0877195, 0.120712, 0.161589, 0.186825, 0.221872, 0.361796, 0.422414, 0.500461, 0.564157, 0.614788, 0.748046, 0.825005, 0.914887, 1.01284, 1.07151, 1.14377, 1.25573, 1.32718, 1.37403, 1.46773, 1.70656, 1.86065, 2.03167, 2.19519, 2.35399, 2.45194, 2.54896, 2.70228, 2.89818, 3.08537");
-            values ( \
-              "0.000332763, 0.000956349, 0.0109765, 0.0131851, 0.0121656, 0.004208, 0.00284379, 0.00759882, 0.0195487, 0.0337657, 0.0776624, 0.0992181, 0.116727, 0.127059, 0.129702, 0.130372, 0.126816, 0.121522, 0.116623, 0.104153, 0.0677159, 0.0477434, 0.0311298, 0.0201488, 0.0130012, 0.00987399, 0.00749861, 0.0048327, 0.00274127, 0.00160275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.227782, 0.238402, 0.246118, 0.249586, 0.255243, 0.260498, 0.265676, 0.270848, 0.273503, 0.281394, 0.288657, 0.292479, 0.298678, 0.30511, 0.310597, 0.315511, 0.322062, 0.327432, 0.334906, 0.344871, 0.362948");
-            values ( \
-              "0.000401853, 0.0370945, 0.0579462, 0.0651151, 0.0724228, 0.075503, 0.0748869, 0.0705117, 0.0655764, 0.0467781, 0.0331175, 0.0275028, 0.0202406, 0.0146011, 0.0109736, 0.00849306, 0.00600083, 0.00451561, 0.0030418, 0.00178117, 0.000665324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00445023");
-            index_3 ("0.234663, 0.245673, 0.262428, 0.273039, 0.281923, 0.290247, 0.298505, 0.306792, 0.32658, 0.33729, 0.352449, 0.370774, 0.392216, 0.411551, 0.42267");
-            values ( \
-              "0.00295563, 0.0195653, 0.0650076, 0.0855635, 0.0947107, 0.0976728, 0.0959847, 0.0898801, 0.0563412, 0.041516, 0.0258054, 0.0139499, 0.00657377, 0.00329832, 0.00229409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00916861");
-            index_3 ("0.255477, 0.272174, 0.298664, 0.317239, 0.325692, 0.332781, 0.34751, 0.362232, 0.377613, 0.387365, 0.410751, 0.432619, 0.448895, 0.458978, 0.465851, 0.486423, 0.50928, 0.525679, 0.546134, 0.573408, 0.622869, 0.681172");
-            values ( \
-              "0.0152378, 0.0243178, 0.0758742, 0.100786, 0.107019, 0.110898, 0.113392, 0.111294, 0.10256, 0.0934209, 0.0679886, 0.0478697, 0.0358444, 0.0297564, 0.0261057, 0.0174386, 0.0109777, 0.00781612, 0.00509744, 0.00282403, 0.000888902, 0.000206423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0188897");
-            index_3 ("0.115233, 0.155178, 0.176462, 0.191723, 0.205612, 0.219686, 0.252641, 0.270802, 0.291704, 0.3194, 0.365481, 0.393955, 0.404923, 0.426859, 0.448665, 0.462318, 0.490068, 0.508043, 0.543253, 0.603515, 0.647236, 0.681359, 0.727047, 0.75272, 0.78695, 0.844218, 0.890508, 0.943732");
-            values ( \
-              "0.000446002, 0.000853365, 0.00430183, 0.00566946, 0.00556459, 0.00460323, 0.00101014, 1e-22, 0.00334242, 0.0228769, 0.0768951, 0.102669, 0.10951, 0.118533, 0.122372, 0.122807, 0.119877, 0.114952, 0.0980547, 0.0629274, 0.0423071, 0.0302153, 0.0187346, 0.0142007, 0.00971562, 0.00511797, 0.00299763, 0.00180962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0389176");
-            index_3 ("0.24183, 0.394644, 0.422325, 0.513183, 0.560109, 0.58301, 0.628813, 0.641221, 0.666037, 0.696377, 0.75131, 0.789647, 0.810317, 0.851656, 0.960929, 1.02711, 1.07926, 1.1233, 1.15746, 1.21878, 1.2899, 1.35278, 1.41751, 1.46698, 1.56494, 1.66289, 1.72228");
-            values ( \
-              "0.00483355, 0.0135117, 0.0269011, 0.0842345, 0.106687, 0.114309, 0.124077, 0.12553, 0.127377, 0.127796, 0.124554, 0.118838, 0.11448, 0.103556, 0.0702199, 0.0524062, 0.0407299, 0.0325936, 0.0272628, 0.0195956, 0.0132178, 0.0092469, 0.00639468, 0.00480619, 0.00268772, 0.0014983, 0.00109498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0801802");
-            index_3 ("0.121288, 0.145108, 0.15517, 0.197774, 0.226159, 0.260665, 0.364333, 0.411165, 0.479443, 0.530866, 0.593025, 0.639025, 0.782721, 0.856682, 0.948498, 1.04645, 1.10504, 1.17734, 1.2893, 1.36073, 1.40759, 1.50131, 1.74012, 1.89421, 2.06523, 2.22875, 2.38755, 2.4855, 2.58252, 2.73583, 2.93174, 3.12765, 3.13451");
-            values ( \
-              "0.000382807, 0.000465693, 0.00106864, 0.0114356, 0.0132435, 0.0118483, 0.00554868, 0.00363889, 0.0032349, 0.00730379, 0.0185097, 0.0309499, 0.0780744, 0.0988135, 0.116766, 0.127091, 0.129724, 0.130377, 0.126816, 0.12152, 0.11663, 0.104146, 0.0677217, 0.0477492, 0.0311357, 0.0201428, 0.0130072, 0.00986799, 0.0075046, 0.00483871, 0.00274728, 0.00155574, 0.0015391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.313435, 0.320879, 0.322464, 0.324049, 0.325743, 0.326646, 0.32845, 0.32987, 0.33129, 0.33271, 0.334131, 0.335447, 0.336764, 0.338081, 0.339397, 0.340694, 0.34199, 0.343287, 0.344583, 0.345878, 0.347173, 0.348469, 0.349764, 0.350197, 0.350978, 0.351672, 0.353753, 0.355141, 0.357769, 0.360435, 0.362862, 0.365256, 0.367369, 0.370291, 0.372536, 0.373808, 0.376659, 0.379117, 0.38087, 0.383499, 0.384375, 0.386994, 0.389614, 0.391933, 0.394253, 0.39661, 0.398968, 0.402373, 0.404076, 0.408478");
-            values ( \
-              "0.0468711, 0.047408, 0.0519375, 0.0561122, 0.0601716, 0.0621428, 0.0657118, 0.0680967, 0.0701313, 0.0718155, 0.0731494, 0.0736511, 0.074034, 0.0742981, 0.0744433, 0.0744702, 0.0743819, 0.0741784, 0.0738596, 0.0732301, 0.0724071, 0.0713908, 0.0701811, 0.0696499, 0.06829, 0.0669182, 0.0624644, 0.0592131, 0.0525321, 0.0464501, 0.0414244, 0.036869, 0.0331431, 0.0288984, 0.0259429, 0.0243856, 0.0211954, 0.0186905, 0.0170003, 0.0149213, 0.0142747, 0.0125142, 0.0108923, 0.0095809, 0.00843303, 0.00748608, 0.00662823, 0.00556211, 0.00508694, 0.00403346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00445023");
-            index_3 ("0.329091, 0.342724, 0.344414, 0.347795, 0.349725, 0.351656, 0.353587, 0.355517, 0.357448, 0.359379, 0.360559, 0.361148, 0.362918, 0.365278, 0.367638, 0.368893, 0.370776, 0.372659, 0.375169, 0.377137, 0.379274, 0.380699, 0.381412, 0.383582, 0.385751, 0.387921, 0.390091, 0.39226, 0.39443, 0.399065, 0.402411, 0.407702, 0.413457, 0.417966, 0.423352, 0.427234, 0.432446, 0.433683, 0.436157, 0.438631, 0.440713, 0.444876, 0.448171, 0.450597, 0.453024, 0.454237, 0.45909, 0.463943, 0.469902, 0.475497");
-            values ( \
-              "0.0651131, 0.069053, 0.0727911, 0.079549, 0.0825973, 0.0853686, 0.0877606, 0.0899437, 0.0919181, 0.0936837, 0.09466, 0.0950033, 0.0959053, 0.0968099, 0.0973737, 0.0974239, 0.0973465, 0.0971326, 0.0966352, 0.096041, 0.0948223, 0.0937707, 0.0931732, 0.0908705, 0.0882995, 0.08546, 0.0823521, 0.0789757, 0.075331, 0.0668204, 0.0611198, 0.0527618, 0.0443375, 0.0388596, 0.0329775, 0.0291833, 0.0245501, 0.0234977, 0.021706, 0.0200194, 0.0187335, 0.0162813, 0.0144536, 0.0132181, 0.0121144, 0.0115984, 0.00985575, 0.00832781, 0.00677657, 0.00544486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00916861");
-            index_3 ("0.334197, 0.35109, 0.37757, 0.38979, 0.396118, 0.408773, 0.417518, 0.426369, 0.440981, 0.446659, 0.451395, 0.456453, 0.464371, 0.492314, 0.516379, 0.529358, 0.539405, 0.549461, 0.566965, 0.575046, 0.591207, 0.605079, 0.623854, 0.648889, 0.697915, 0.755319");
-            values ( \
-              "0.0148174, 0.0242735, 0.0756556, 0.0938164, 0.100556, 0.109604, 0.112569, 0.113441, 0.111184, 0.108785, 0.106105, 0.102446, 0.0952602, 0.0651312, 0.0439592, 0.034814, 0.0288769, 0.0238196, 0.0168642, 0.0143313, 0.0102916, 0.00771593, 0.00521184, 0.00304777, 0.00096483, 0.000238033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0188897");
-            index_3 ("0.190446, 0.233915, 0.272472, 0.290402, 0.340035, 0.359245, 0.393978, 0.448792, 0.483988, 0.513537, 0.541462, 0.569386, 0.587758, 0.726449, 0.80608, 0.867043, 0.921856, 0.957859");
-            values ( \
-              "0.000367294, 0.000845878, 0.00570042, 0.00525084, 0.000125675, 0.00022714, 0.0187251, 0.081493, 0.109561, 0.120651, 0.122984, 0.120016, 0.114806, 0.0422426, 0.0187398, 0.00960126, 0.00519918, 0.00407028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0389176");
-            index_3 ("0.325638, 0.472514, 0.511629, 0.59934, 0.641419, 0.692524, 0.719132, 0.774491, 0.830415, 0.878784, 0.907691, 1.09835, 1.18697, 1.24148, 1.29524, 1.35466, 1.42538, 1.50687, 1.57208, 1.67004, 1.76799, 1.78057");
-            values ( \
-              "0.00575925, 0.0133325, 0.0333768, 0.0886443, 0.10777, 0.121621, 0.125381, 0.127883, 0.124458, 0.116641, 0.109695, 0.0540893, 0.0350822, 0.0264099, 0.0197667, 0.0142408, 0.00952704, 0.00598526, 0.00409717, 0.00228836, 0.00127503, 0.00121845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0801802");
-            index_3 ("0.352115, 0.633487, 0.98053, 1.02767, 1.12194, 1.19171, 1.25659, 1.36857, 1.48688, 1.97351, 2.14452, 2.30805, 2.5648, 2.81514, 3.01767");
-            values ( \
-              "0.0101483, 0.0106802, 0.108604, 0.116653, 0.126769, 0.129866, 0.130327, 0.12679, 0.116626, 0.0477526, 0.0311382, 0.0201404, 0.00986593, 0.0048403, 0.00314325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.482685, 0.49142, 0.492473, 0.494578, 0.495864, 0.49715, 0.498436, 0.499721, 0.501007, 0.502293, 0.503878, 0.505315, 0.506932, 0.508071, 0.508723, 0.509375, 0.510679, 0.511963, 0.513247, 0.51442, 0.515592, 0.516765, 0.517937, 0.518612, 0.519624, 0.520499, 0.522111, 0.525963, 0.529816, 0.534361, 0.535884, 0.537859, 0.539834, 0.542409, 0.543696, 0.545616, 0.547849, 0.549678, 0.552421, 0.553335, 0.555851, 0.558367, 0.560575, 0.562783, 0.565166, 0.567549, 0.569262, 0.572687, 0.5744, 0.577144");
-            values ( \
-              "0.0488484, 0.0524937, 0.055174, 0.0600971, 0.0625257, 0.0647637, 0.0668111, 0.0686678, 0.070334, 0.0718094, 0.0733584, 0.0741922, 0.0749559, 0.0753826, 0.0754033, 0.0753795, 0.0751986, 0.0748468, 0.0743226, 0.0736784, 0.0728809, 0.0719303, 0.0708265, 0.0699751, 0.0682064, 0.0665329, 0.0630969, 0.0535667, 0.0447625, 0.0360726, 0.0333925, 0.0304531, 0.0277204, 0.0244837, 0.0229856, 0.0209001, 0.0186317, 0.0168847, 0.0147373, 0.0140714, 0.0124011, 0.0108518, 0.00960097, 0.00849648, 0.00753228, 0.00665991, 0.00610253, 0.00510441, 0.00466366, 0.00403477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00445023");
-            index_3 ("0.485141, 0.499973, 0.509412, 0.51434, 0.51894, 0.523093, 0.529187, 0.53253, 0.537506, 0.541332, 0.545755, 0.55404, 0.559186, 0.574208, 0.583729, 0.591284, 0.600667, 0.606044, 0.617975, 0.625514, 0.634129, 0.643706, 0.657857, 0.676725, 0.707836, 0.745396");
-            values ( \
-              "0.00218001, 0.0374406, 0.0643002, 0.0751023, 0.0834588, 0.0891232, 0.0946699, 0.0964343, 0.0976318, 0.0974813, 0.0959982, 0.0897925, 0.0821224, 0.0557028, 0.0425187, 0.0337105, 0.0249957, 0.020936, 0.0139564, 0.01076, 0.00794015, 0.00565723, 0.00340915, 0.00169559, 0.000480133, 9.91778e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00916861");
-            index_3 ("0.505751, 0.521467, 0.542396, 0.553276, 0.56467, 0.580309, 0.595027, 0.609747, 0.62513, 0.634908, 0.658213, 0.680235, 0.696484, 0.706404, 0.713155, 0.73384, 0.757142, 0.773383, 0.793324, 0.819912, 0.862278");
-            values ( \
-              "0.0233899, 0.0267958, 0.0687424, 0.0868107, 0.100168, 0.110939, 0.113408, 0.111304, 0.102565, 0.0933933, 0.068045, 0.0477874, 0.0357971, 0.0298065, 0.0262117, 0.0174739, 0.0109012, 0.00778705, 0.00513398, 0.0028894, 0.00119514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0188897");
-            index_3 ("0.343788, 0.401797, 0.427577, 0.441579, 0.461455, 0.50592, 0.513848, 0.523775, 0.532992, 0.551425, 0.563304, 0.617637, 0.631489, 0.652806, 0.682338, 0.710256, 0.738175, 0.757333, 0.788285, 0.843907, 0.877553, 0.926808, 0.975415, 1.01164, 1.04378, 1.10236, 1.15314, 1.18387");
-            values ( \
-              "9.3817e-05, 0.000770466, 0.00465405, 0.00565966, 0.00512147, 0.000395623, 1e-22, 2e-22, 0.00145565, 0.00979399, 0.0193387, 0.0816406, 0.0949043, 0.10964, 0.120686, 0.123005, 0.120024, 0.11453, 0.0996978, 0.066983, 0.0499196, 0.0309626, 0.0186337, 0.0125785, 0.0087933, 0.00455765, 0.00252307, 0.00195695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0389176");
-            index_3 ("0.496216, 0.642515, 0.788805, 0.83101, 0.889387, 0.944629, 0.999866, 1.05846, 1.27789, 1.36808, 1.46567, 1.59481, 1.67848, 1.82702");
-            values ( \
-              "0.0057385, 0.0134235, 0.098505, 0.114308, 0.125743, 0.128008, 0.124622, 0.114533, 0.051777, 0.0331728, 0.0197251, 0.00956101, 0.00593232, 0.00261425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0801802");
-            index_3 ("0.357606, 0.384807, 0.401267, 0.441959, 0.466034, 0.484778, 0.502377, 0.591944, 0.638181, 0.695705, 0.775093, 0.804509, 0.845018, 0.899029, 1.05456, 1.11946, 1.19564, 1.29359, 1.35236, 1.42457, 1.52253, 1.53654, 1.608, 1.65484, 1.74853, 1.98738, 2.14147, 2.22361, 2.31249, 2.41044, 2.47601, 2.54955, 2.63481, 2.73276, 2.82978, 2.9831, 3.17901, 3.37491, 3.57082, 3.86468");
-            values ( \
-              "0.000143007, 0.000361938, 0.000941212, 0.0107963, 0.0131398, 0.0130572, 0.012261, 0.00655654, 0.00438902, 0.00286495, 0.00683298, 0.0111521, 0.0195527, 0.0348264, 0.0854707, 0.102414, 0.11667, 0.127031, 0.129686, 0.130355, 0.127559, 0.126807, 0.121515, 0.116623, 0.104151, 0.0677195, 0.0477473, 0.0390472, 0.0311336, 0.024035, 0.0201447, 0.0164788, 0.0130052, 0.00986991, 0.00750251, 0.00483658, 0.00274516, 0.00155362, 0.000878038, 0.000370078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.854138, 0.862912, 0.865037, 0.866099, 0.866738, 0.868654, 0.869932, 0.871209, 0.872486, 0.8742, 0.875509, 0.87656, 0.87761, 0.878614, 0.879617, 0.880621, 0.881625, 0.882629, 0.883632, 0.884801, 0.88597, 0.887139, 0.887894, 0.888649, 0.890158, 0.891517, 0.892875, 0.894234, 0.895593, 0.897607, 0.900292, 0.902947, 0.906294, 0.908141, 0.909997, 0.911852, 0.915517, 0.918056, 0.920692, 0.92201, 0.924647, 0.925965, 0.929051, 0.931225, 0.933515, 0.936951, 0.939941, 0.94363, 0.945475, 0.948752");
-            values ( \
-              "0.0486675, 0.052311, 0.0575177, 0.0599261, 0.061139, 0.0645101, 0.0665346, 0.0683807, 0.0700485, 0.0719624, 0.0731438, 0.0739217, 0.0745441, 0.0749382, 0.0751975, 0.0752451, 0.0752094, 0.0750903, 0.0748878, 0.0745469, 0.0738874, 0.072925, 0.0720764, 0.0711457, 0.0690378, 0.0668596, 0.0644151, 0.0617045, 0.0587276, 0.0535008, 0.0472748, 0.0417266, 0.0354378, 0.0323407, 0.0296535, 0.0271393, 0.0227333, 0.0198978, 0.0173468, 0.0162661, 0.014262, 0.0133386, 0.0114079, 0.0101252, 0.00888226, 0.00745707, 0.0063957, 0.00527594, 0.00478706, 0.00402474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00445023");
-            index_3 ("0.860144, 0.867305, 0.881301, 0.89191, 0.900828, 0.909168, 0.917444, 0.925715, 0.930946, 0.945717, 0.955724, 0.963653, 0.971673, 0.975974, 0.988557, 1.00224, 1.01174, 1.02342, 1.03899, 1.06728, 1.10058");
-            values ( \
-              "0.0178295, 0.0269363, 0.0647971, 0.0853162, 0.0944025, 0.0977006, 0.0960796, 0.089847, 0.082014, 0.0559916, 0.0421316, 0.0329904, 0.025557, 0.0221947, 0.0145061, 0.00902194, 0.00643557, 0.00424648, 0.00240655, 0.000786778, 0.000204703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00916861");
-            index_3 ("0.866467, 0.891487, 0.917979, 0.930238, 0.936554, 0.949185, 0.958011, 0.966807, 0.981417, 0.987051, 0.991806, 0.996885, 1.0048, 1.03273, 1.05677, 1.06974, 1.07977, 1.08982, 1.10728, 1.11533, 1.13143, 1.14526, 1.16389, 1.18872, 1.21595, 1.2354, 1.24169");
-            values ( \
-              "0.00216677, 0.0242286, 0.0755503, 0.0937956, 0.100511, 0.109591, 0.112595, 0.113439, 0.111221, 0.108833, 0.106149, 0.102479, 0.0952957, 0.0651687, 0.0440037, 0.0348554, 0.0289198, 0.0238611, 0.0169073, 0.0143777, 0.0103387, 0.00775819, 0.00525732, 0.00308824, 0.00170721, 0.00117058, 0.00106833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0188897");
-            index_3 ("0.689948, 0.766774, 0.775269, 0.800593, 0.807427, 0.820352, 0.832878, 0.850371, 0.868522, 0.886623, 0.906247, 0.929529, 0.934103, 0.950619, 0.989034, 1.00274, 1.02422, 1.05374, 1.08165, 1.10956, 1.12835, 1.13902, 1.16036, 1.19676, 1.23002, 1.26552, 1.29972, 1.31735, 1.34682, 1.38239, 1.4148, 1.449, 1.47528, 1.52783, 1.59342");
-            values ( \
-              "2.75491e-05, 0.000524051, 0.00133937, 0.00467732, 0.00523942, 0.00548186, 0.00496475, 0.00345968, 0.00142609, 1e-22, 0.00177976, 0.0145789, 0.0185906, 0.0360891, 0.0815397, 0.0947484, 0.109632, 0.120738, 0.123034, 0.120088, 0.114706, 0.110377, 0.0993393, 0.0775416, 0.0590967, 0.0426984, 0.0304869, 0.025437, 0.0186321, 0.0126661, 0.00883061, 0.00602853, 0.00447667, 0.00242675, 0.00126128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0389176");
-            index_3 ("0.850415, 1.01357, 1.05074, 1.13207, 1.1783, 1.20179, 1.21574, 1.24461, 1.25975, 1.28795, 1.315, 1.36997, 1.38914, 1.40839, 1.429, 1.47024, 1.57964, 1.64543, 1.69787, 1.74235, 1.7769, 1.83749, 1.86405, 1.90836, 1.97135, 2.03645, 2.08625, 2.1842, 2.28216, 2.38011, 2.57602");
-            values ( \
-              "0.00370957, 0.013476, 0.0324344, 0.0843654, 0.106503, 0.114418, 0.118057, 0.123341, 0.125261, 0.127315, 0.127663, 0.124478, 0.122017, 0.118789, 0.114452, 0.103575, 0.0702165, 0.0524993, 0.0407482, 0.0325358, 0.0271568, 0.0195955, 0.0169385, 0.0132356, 0.00925401, 0.00638596, 0.00479065, 0.00267878, 0.00149347, 0.000830223, 0.000255995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0801802");
-            index_3 ("0.706198, 0.767308, 0.813028, 0.836914, 0.854339, 0.872881, 0.965145, 1.05775, 1.13487, 1.18981, 1.23535, 1.42622, 1.50043, 1.56738, 1.66534, 1.72429, 1.7964, 1.90838, 1.97985, 2.02668, 2.12035, 2.35922, 2.51331, 2.68433, 2.84786, 3.00665, 3.1046, 3.25698, 3.35493, 3.6488, 3.71045");
-            values ( \
-              "6.43528e-05, 0.000650506, 0.0103475, 0.0128449, 0.0129509, 0.0122175, 0.00654302, 0.00301102, 0.00555586, 0.0136916, 0.0243203, 0.0853179, 0.104423, 0.116569, 0.127018, 0.129689, 0.130313, 0.126775, 0.121486, 0.116643, 0.10413, 0.0677397, 0.0477673, 0.0311519, 0.0201282, 0.0130212, 0.0098543, 0.00639191, 0.00485089, 0.00204926, 0.00176679" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.0055927, 0.00559378, 0.00559491, 0.00559582, 0.0055964, 0.00559673", \
-            "0.00619685, 0.00619701, 0.0061973, 0.00619761, 0.00619786, 0.00619801", \
-            "0.00663501, 0.0066349, 0.0066401, 0.00664015, 0.00664021, 0.00664022", \
-            "0.00704038, 0.00704033, 0.00704027, 0.00704023, 0.00704022, 0.00704022", \
-            "0.00742401, 0.00742398, 0.00742394, 0.0074239, 0.00742388, 0.00742387", \
-            "0.00776788, 0.00776787, 0.00776785, 0.00776782, 0.00776778, 0.00776775" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.00689277, 0.00689186, 0.0068913, 0.00689102, 0.00689092, 0.00689089", \
-            "0.00728519, 0.00728452, 0.00728382, 0.00728323, 0.00728289, 0.00728271", \
-            "0.00765646, 0.00765632, 0.00764853, 0.00764819, 0.00764795, 0.00764786", \
-            "0.00854151, 0.00854144, 0.00854131, 0.00854107, 0.00854085, 0.0085407", \
-            "0.00914348, 0.00914566, 0.00914884, 0.00915212, 0.00915456, 0.00915606", \
-            "0.00805982, 0.00806982, 0.00810784, 0.00810425, 0.00807582, 0.00806988" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.258866, 0.264702, 0.277277, 0.282009, 0.289521, 0.294191, 0.298717, 0.303237, 0.305384, 0.308233, 0.314446, 0.319124, 0.324058, 0.327711, 0.332338, 0.336149, 0.343771, 0.346628");
-            values ( \
-              "-0.0125001, -0.0261748, -0.0627489, -0.0707071, -0.0811737, -0.0852341, -0.0868746, -0.0839486, -0.0799093, -0.071356, -0.0444348, -0.0279193, -0.0161493, -0.0104613, -0.00600926, -0.00378426, -0.00143636, -0.00115706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00445023");
-            index_3 ("0.269613, 0.278034, 0.29481, 0.310564, 0.318469, 0.325854, 0.333015, 0.340224, 0.343803, 0.348033, 0.362403, 0.370904, 0.379092, 0.389635, 0.398983, 0.405766");
-            values ( \
-              "-0.0278155, -0.0284853, -0.0708494, -0.096997, -0.105645, -0.111109, -0.113012, -0.109267, -0.103834, -0.0928948, -0.0440615, -0.0248856, -0.0137007, -0.00620356, -0.00294555, -0.00200735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00916861");
-            index_3 ("0.0441345, 0.0713668, 0.0809769, 0.111876, 0.164189, 0.180218, 0.192238, 0.209323, 0.21662, 0.230062, 0.248902, 0.25812, 0.269385, 0.284006, 0.291351, 0.301422, 0.315416, 0.327176, 0.347064, 0.362811, 0.376729, 0.3897, 0.40228, 0.414938, 0.420791, 0.428695, 0.447976, 0.459313, 0.472656, 0.482501, 0.494993, 0.505092, 0.521972");
-            values ( \
-              "-0.00106157, -6.03538e-05, -1e-22, -2e-22, -0.00100563, -0.00182134, -0.0029175, -0.00523142, -0.00554706, -0.00410456, -0.000958668, -1e-22, -2e-22, -0.00144256, -0.0088782, -0.0229651, -0.0458254, -0.0686415, -0.0965993, -0.113176, -0.12396, -0.13025, -0.132602, -0.128254, -0.122539, -0.108572, -0.0632439, -0.0423126, -0.025228, -0.016812, -0.00994887, -0.00642061, -0.00317626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0188897");
-            index_3 ("0.220238, 0.34626, 0.373441, 0.398784, 0.437554, 0.467502, 0.488674, 0.504063, 0.518297, 0.542021, 0.565901, 0.575825, 0.58607, 0.627004, 0.645917, 0.668241, 0.679882, 0.69627, 0.71299, 0.725749, 0.751268, 0.801492, 0.860737");
-            values ( \
-              "-0.000954644, -0.0170579, -0.0432972, -0.0711234, -0.1041, -0.123154, -0.133176, -0.138609, -0.142113, -0.144725, -0.140144, -0.134691, -0.125258, -0.0703252, -0.049678, -0.0317668, -0.0248683, -0.0174295, -0.0120665, -0.00906246, -0.00501807, -0.00136508, -0.000272463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0389176");
-            index_3 ("0.234428, 0.289937, 0.326905, 0.36443, 0.413099, 0.472016, 0.545503, 0.623154, 0.682456, 0.734327, 0.782498, 0.829197, 0.876753, 0.895209, 0.910098, 0.927146, 0.987083, 1.02782, 1.06485, 1.0813, 1.1142, 1.14602, 1.19722, 1.2655, 1.35427, 1.44305");
-            values ( \
-              "-0.0393296, -0.0111676, -0.00703746, -0.00397068, -0.00614918, -0.030421, -0.0717861, -0.107986, -0.128444, -0.141654, -0.148872, -0.151711, -0.146805, -0.141289, -0.13434, -0.123588, -0.0796318, -0.054944, -0.0379577, -0.0319958, -0.0224506, -0.0158217, -0.00886534, -0.00389773, -0.00124868, -0.000409662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0801802");
-            index_3 ("0.267812, 0.694455, 0.898245, 0.987023, 1.04187, 1.14312, 1.22851, 1.32579, 1.37678, 1.41944, 1.50822, 1.54543, 1.57839, 1.61633, 1.74541, 1.79552, 1.88716, 1.93311, 1.97676, 2.06154, 2.1096, 2.19838, 2.28715, 2.37593, 2.46471, 2.64227");
-            values ( \
-              "-0.00213689, -0.0289776, -0.0855716, -0.106317, -0.117462, -0.134382, -0.144874, -0.152567, -0.15462, -0.155126, -0.151024, -0.145855, -0.138405, -0.12635, -0.0785439, -0.0627752, -0.0401425, -0.0316772, -0.0251772, -0.0158759, -0.0121326, -0.00733117, -0.00436132, -0.00262317, -0.0015342, -0.000530169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.271533, 0.291042, 0.29617, 0.30366, 0.308328, 0.312855, 0.317375, 0.319512, 0.32237, 0.333213, 0.338248, 0.343621, 0.350178, 0.356581");
-            values ( \
-              "-0.00382095, -0.0619132, -0.070787, -0.0811802, -0.0852335, -0.0868702, -0.0839544, -0.0799309, -0.0713551, -0.0280638, -0.016051, -0.00847346, -0.00383547, -0.00182533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00445023");
-            index_3 ("0.282187, 0.292569, 0.309148, 0.324781, 0.332686, 0.34007, 0.34723, 0.35444, 0.358017, 0.362249, 0.376585, 0.385206, 0.39338, 0.40346, 0.412355, 0.420997");
-            values ( \
-              "-0.018122, -0.029218, -0.0710703, -0.0969708, -0.105687, -0.111081, -0.113048, -0.109258, -0.103843, -0.0929012, -0.0441498, -0.0247389, -0.0136346, -0.00639194, -0.00316015, -0.0018647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00916861");
-            index_3 ("0.0580306, 0.0860155, 0.0955814, 0.126198, 0.178033, 0.194221, 0.206003, 0.222757, 0.230544, 0.234562, 0.240699, 0.262477, 0.271527, 0.296608, 0.300621, 0.3048, 0.313033, 0.33419, 0.341538, 0.353178, 0.361415, 0.377148, 0.391076, 0.404043, 0.416624, 0.429817, 0.434834, 0.443032, 0.45755, 0.467491, 0.47967, 0.485621, 0.493557, 0.501554, 0.505592, 0.513667, 0.529818, 0.536259");
-            values ( \
-              "-0.00104508, -8.15098e-05, -1e-22, -2e-22, -0.00101997, -0.00176619, -0.00287452, -0.00512239, -0.00551912, -0.00531179, -0.00457324, -0.00112476, -1e-22, -0.000242725, -0.00344533, -0.00789024, -0.0189056, -0.0536422, -0.0698284, -0.0849698, -0.0973266, -0.112574, -0.124513, -0.129795, -0.133017, -0.127786, -0.122926, -0.108583, -0.0737601, -0.0529584, -0.0336847, -0.0266664, -0.0193084, -0.0138487, -0.0116807, -0.00827128, -0.00401458, -0.0033029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0188897");
-            index_3 ("0.234362, 0.272759, 0.293337, 0.311772, 0.334067, 0.362441, 0.393883, 0.406653, 0.425801, 0.451774, 0.471284, 0.481661, 0.502414, 0.519191, 0.532504, 0.556229, 0.579951, 0.589999, 0.600207, 0.606057, 0.635082, 0.650457, 0.672415, 0.694133, 0.710504, 0.727163, 0.73987, 0.765282, 0.799429");
-            values ( \
-              "-0.0283186, -0.00712031, -0.00384112, -0.00149968, -0.00180769, -0.0187474, -0.0493262, -0.0647765, -0.0828802, -0.103914, -0.11701, -0.122879, -0.132913, -0.138827, -0.142137, -0.144673, -0.14022, -0.134713, -0.125335, -0.118225, -0.0780895, -0.0596095, -0.0389847, -0.0248461, -0.017423, -0.0120786, -0.0090799, -0.00504351, -0.0025383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0389176");
-            index_3 ("0.248241, 0.450505, 0.486624, 0.559989, 0.637497, 0.696777, 0.748614, 0.796776, 0.843467, 0.891023, 0.90961, 0.929437, 0.941444, 0.999295, 1.0284, 1.07234, 1.11569, 1.16189, 1.18977, 1.24553, 1.33431, 1.42309");
-            values ( \
-              "-0.0109374, -0.013415, -0.0307178, -0.0719092, -0.108022, -0.128545, -0.141646, -0.148942, -0.151686, -0.146819, -0.141246, -0.131434, -0.12358, -0.0810414, -0.0625408, -0.0406941, -0.0258364, -0.0155494, -0.0113677, -0.00590615, -0.00191349, -0.000614974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0801802");
-            index_3 ("0.278321, 0.657382, 0.709451, 0.913071, 1.00185, 1.05571, 1.13883, 1.20429, 1.24297, 1.33995, 1.37922, 1.43361, 1.52239, 1.56119, 1.60553, 1.7489, 1.80451, 1.89418, 1.9379, 1.98349, 2.07581, 2.13102, 2.2198, 2.30858, 2.39736, 2.48613, 2.66369");
-            values ( \
-              "-0.0124151, -0.0163479, -0.0291857, -0.0856932, -0.106436, -0.11738, -0.131639, -0.140572, -0.144887, -0.152552, -0.154287, -0.155117, -0.151064, -0.145585, -0.13477, -0.0822375, -0.0643259, -0.0416665, -0.0333223, -0.0262438, -0.0158897, -0.0116574, -0.00704306, -0.00418474, -0.00251976, -0.00147021, -0.000506715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.306353, 0.309127, 0.311383, 0.311964, 0.313126, 0.314974, 0.316097, 0.318341, 0.320844, 0.323816, 0.327598, 0.333168, 0.338171, 0.342838, 0.347364, 0.351885, 0.351918, 0.352385, 0.352919, 0.353986, 0.35612, 0.356878, 0.358396, 0.36143, 0.363298, 0.364697, 0.366563, 0.369196, 0.37001, 0.371638, 0.374894, 0.377121, 0.377995, 0.379745, 0.383243, 0.389412");
-            values ( \
-              "-0.0113733, -0.0161133, -0.0211129, -0.0224884, -0.025549, -0.0308026, -0.0346504, -0.03897, -0.050413, -0.0564478, -0.065257, -0.0743167, -0.0813423, -0.0851204, -0.0869912, -0.0838625, -0.083721, -0.0830236, -0.0820992, -0.0800204, -0.0740246, -0.0713651, -0.0649981, -0.0515235, -0.0436202, -0.0381963, -0.0317042, -0.023922, -0.0219048, -0.0182217, -0.0124845, -0.00956032, -0.00863938, -0.00697962, -0.00457771, -0.00219486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00445023");
-            index_3 ("0.0895208, 0.0907159, 0.0937919, 0.0965334, 0.101972, 0.107035, 0.123859, 0.164179, 0.176993, 0.189511, 0.202584, 0.215107, 0.228564, 0.245714, 0.251657, 0.256779, 0.264431, 0.273372, 0.309093, 0.320604, 0.323364, 0.327032, 0.330755, 0.332402, 0.335695, 0.340346, 0.34531, 0.351304, 0.360181, 0.368096, 0.375477, 0.38264, 0.389795, 0.391455, 0.393169, 0.396597, 0.397686, 0.407554, 0.410703, 0.414903, 0.417667, 0.419834, 0.422723, 0.428412, 0.431049, 0.433775");
-            values ( \
-              "-0.000725454, -0.00121584, -0.000811153, -0.000516963, -0.000566338, -0.000167562, -1e-22, -2e-22, -0.000315761, -0.000426853, -0.000637363, -0.000716242, -0.00124926, -0.00207247, -0.00229582, -0.00227752, -0.00163724, -1e-22, -2e-22, -0.0137591, -0.0190564, -0.0269832, -0.036193, -0.040847, -0.0470261, -0.0630072, -0.0717184, -0.0841363, -0.0964616, -0.106064, -0.110779, -0.113266, -0.109115, -0.107116, -0.104344, -0.0961378, -0.0928239, -0.0576766, -0.0478132, -0.0365552, -0.0303372, -0.0261288, -0.0213116, -0.0140075, -0.0115167, -0.0094883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00916861");
-            index_3 ("0.0938715, 0.0956886, 0.101125, 0.106187, 0.123003, 0.163315, 0.214255, 0.227734, 0.239464, 0.255952, 0.263577, 0.269573, 0.275784, 0.298385, 0.307591, 0.319028, 0.333804, 0.340217, 0.348388, 0.365861, 0.375508, 0.39951, 0.411218, 0.425142, 0.431594, 0.438119, 0.450693, 0.463264, 0.469102, 0.477116, 0.495044, 0.504605, 0.513847, 0.526679, 0.53549, 0.540227, 0.5497, 0.568647, 0.573");
-            values ( \
-              "-0.00104284, -0.000860282, -0.000968893, -0.000533205, -2.35251e-05, -1e-22, -0.001051, -0.0017559, -0.00278729, -0.0050204, -0.00551193, -0.0052292, -0.00445921, -0.000820834, -1e-22, -2e-22, -0.00244292, -0.00934823, -0.020662, -0.0489714, -0.0693613, -0.101195, -0.113136, -0.12383, -0.12741, -0.130217, -0.132469, -0.128294, -0.122645, -0.108554, -0.0661307, -0.0475164, -0.0335654, -0.0200704, -0.0139222, -0.0113979, -0.00758744, -0.00319747, -0.00281605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0188897");
-            index_3 ("0.269329, 0.389518, 0.428534, 0.445141, 0.485943, 0.515945, 0.542296, 0.566787, 0.590528, 0.614236, 0.624528, 0.634984, 0.694862, 0.716389, 0.744632, 0.774748, 0.800861, 0.804875");
-            values ( \
-              "-0.00460644, -0.0128702, -0.0498666, -0.069372, -0.103728, -0.123067, -0.135095, -0.142283, -0.144688, -0.140316, -0.134569, -0.124809, -0.0492494, -0.0319924, -0.0174753, -0.00895972, -0.00488477, -0.00459857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0389176");
-            index_3 ("0.284604, 0.495919, 0.594711, 0.67228, 0.731501, 0.783415, 0.831576, 0.878268, 0.925821, 0.944359, 0.976208, 1.07687, 1.11391, 1.16327, 1.19507, 1.24627, 1.30184");
-            values ( \
-              "-0.0070697, -0.0179131, -0.072042, -0.108152, -0.128393, -0.141775, -0.148819, -0.151808, -0.146812, -0.141266, -0.123593, -0.0549475, -0.0379589, -0.0224501, -0.0158224, -0.00886671, -0.00482222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0801802");
-            index_3 ("0.319266, 0.710264, 0.949976, 1.05498, 1.15231, 1.21725, 1.27811, 1.36689, 1.39247, 1.46906, 1.56304, 1.61709, 1.66588, 1.84306, 1.93538, 1.99016, 2.05275, 2.13733, 2.19393, 2.28271, 2.37148, 2.39108");
-            values ( \
-              "-0.00925569, -0.0203502, -0.0863744, -0.110453, -0.128427, -0.137934, -0.144717, -0.152273, -0.15356, -0.155242, -0.150554, -0.14129, -0.1263, -0.0633148, -0.0403712, -0.0305574, -0.0217657, -0.0137842, -0.00995776, -0.00605393, -0.0035415, -0.00324104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.386723, 0.404991, 0.410119, 0.417609, 0.422277, 0.426803, 0.431323, 0.433462, 0.447173, 0.452184, 0.457568, 0.464152, 0.467658");
-            values ( \
-              "-0.0106305, -0.0619362, -0.0708113, -0.0812019, -0.0852192, -0.0868898, -0.0839385, -0.0799249, -0.0280272, -0.0160733, -0.00847482, -0.0038219, -0.00272741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00445023");
-            index_3 ("0.396902, 0.405914, 0.422711, 0.438478, 0.446383, 0.453767, 0.460928, 0.468137, 0.471722, 0.475947, 0.49093, 0.498221, 0.507723, 0.512829, 0.519637, 0.532987, 0.53437");
-            values ( \
-              "-0.0238676, -0.0283862, -0.0707952, -0.0969635, -0.105699, -0.11107, -0.113062, -0.10926, -0.103832, -0.0929018, -0.0423701, -0.0259522, -0.0130345, -0.0088911, -0.00524306, -0.00173433, -0.00162322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00916861");
-            index_3 ("0.153844, 0.242708, 0.292421, 0.317899, 0.33404, 0.342521, 0.351477, 0.376946, 0.385926, 0.396838, 0.41097, 0.42427, 0.444407, 0.455093, 0.474983, 0.490724, 0.504637, 0.517608, 0.530187, 0.542749, 0.548777, 0.556601, 0.57588, 0.587609, 0.600133, 0.609385, 0.624297, 0.636328, 0.650383");
-            values ( \
-              "-0.00028141, -1.77928e-05, -0.00102767, -0.00268151, -0.00488053, -0.00549982, -0.00492598, -0.000957966, -1e-22, -2e-22, -0.000754188, -0.0155471, -0.0473406, -0.0683889, -0.096463, -0.113352, -0.123835, -0.130386, -0.132497, -0.128396, -0.122442, -0.108575, -0.0632479, -0.0416981, -0.0256644, -0.0175508, -0.00937589, -0.00554007, -0.00333225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0188897");
-            index_3 ("0.348636, 0.470199, 0.508013, 0.524873, 0.548033, 0.565527, 0.595537, 0.621875, 0.646364, 0.670104, 0.693812, 0.704112, 0.719918, 0.74828, 0.765184, 0.786481, 0.807717, 0.824202, 0.841274, 0.854343, 0.88048, 0.915047");
-            values ( \
-              "-0.00378199, -0.0137418, -0.0497596, -0.0695595, -0.0900136, -0.103748, -0.123081, -0.135105, -0.142287, -0.144693, -0.140318, -0.134565, -0.118242, -0.0789509, -0.0586579, -0.0388189, -0.0249927, -0.0174777, -0.0120053, -0.00895534, -0.00488012, -0.00240447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0389176");
-            index_3 ("0.36313, 0.418125, 0.462571, 0.504291, 0.553679, 0.602916, 0.674023, 0.73039, 0.751636, 0.810637, 0.86267, 0.910789, 0.957485, 1.00412, 1.02384, 1.05547, 1.14311, 1.18654, 1.22951, 1.26187, 1.29466, 1.36962, 1.40198");
-            values ( \
-              "-0.0397943, -0.0111707, -0.00634128, -0.00342093, -0.00950272, -0.031898, -0.0722519, -0.0985476, -0.10832, -0.128883, -0.141208, -0.149306, -0.151293, -0.147297, -0.14116, -0.123573, -0.0621462, -0.040624, -0.0258952, -0.0181684, -0.0126231, -0.00530222, -0.00399362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0801802");
-            index_3 ("0.210444, 0.2135, 0.242097, 0.266492, 0.291851, 0.30563, 0.322561, 0.35085, 0.362472, 0.37626, 0.396067, 0.503743, 0.540603, 0.607169, 0.694684, 0.783462, 0.833432, 1.02903, 1.13369, 1.21324, 1.33129, 1.40721, 1.4538, 1.54258, 1.57816, 1.6095, 1.64166, 1.70598, 1.74465, 1.87408, 1.92328, 2.01512, 2.06356, 2.10654, 2.18996, 2.23666, 2.32544, 2.41422, 2.503, 2.59178, 2.76934");
-            values ( \
-              "-0.000201466, -0.000499008, -0.000232724, -0.000767495, -0.00144138, -0.00240977, -0.00568049, -0.0178637, -0.0201137, -0.0209621, -0.0202831, -0.0119709, -0.00964711, -0.00632294, -0.0056846, -0.0190888, -0.0318955, -0.0864264, -0.110419, -0.12543, -0.142269, -0.149582, -0.152426, -0.155285, -0.154571, -0.153268, -0.150362, -0.138687, -0.126299, -0.0783748, -0.0628897, -0.0401735, -0.0313789, -0.0249509, -0.0158364, -0.0122616, -0.00735093, -0.00443156, -0.00260778, -0.00158176, -0.000569058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.55505, 0.56974, 0.57158, 0.578341, 0.58891, 0.593577, 0.598103, 0.602624, 0.604749, 0.607618, 0.618407, 0.623554, 0.628871, 0.635306, 0.640798");
-            values ( \
-              "-0.000197199, -0.0413224, -0.0501596, -0.0651635, -0.0812817, -0.0851595, -0.0869554, -0.0838871, -0.0799642, -0.0713619, -0.0282291, -0.0159478, -0.00846964, -0.00389589, -0.0021301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00445023");
-            index_3 ("0.565058, 0.574557, 0.585025, 0.589727, 0.601203, 0.610083, 0.617993, 0.625376, 0.632537, 0.639746, 0.643293, 0.647586, 0.663388, 0.67399, 0.683899, 0.695482, 0.697557");
-            values ( \
-              "-0.0119617, -0.0218027, -0.0455388, -0.0614929, -0.0836842, -0.0968386, -0.105802, -0.110977, -0.113143, -0.109219, -0.103904, -0.0928042, -0.0401035, -0.0192984, -0.00926166, -0.00378679, -0.00340125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00916861");
-            index_3 ("0.507464, 0.589713, 0.601346, 0.615942, 0.625793, 0.646164, 0.66048, 0.675809, 0.688799, 0.693368, 0.701349, 0.714014, 0.718608, 0.722886, 0.727759, 0.746758, 0.756408, 0.767963, 0.774127, 0.782796, 0.791798, 0.798696, 0.812492, 0.837777, 0.867651");
-            values ( \
-              "-0.000181584, -0.00785151, -0.0241213, -0.0479449, -0.0685113, -0.0964014, -0.111849, -0.12383, -0.130224, -0.131492, -0.132459, -0.128217, -0.124011, -0.118071, -0.10856, -0.0638926, -0.0455936, -0.0293494, -0.0229305, -0.016021, -0.0109847, -0.00818023, -0.00444797, -0.0013126, -0.000305672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0188897");
-            index_3 ("0.519781, 0.558494, 0.581658, 0.600576, 0.623155, 0.652277, 0.698534, 0.737308, 0.767226, 0.79353, 0.818057, 0.841794, 0.865988, 0.875546, 0.891613, 0.920665, 0.935972, 0.957957, 0.979695, 0.996061, 1.0127, 1.02539, 1.05077, 1.07585");
-            values ( \
-              "-0.0280697, -0.00707499, -0.0034678, -0.00117154, -0.00284098, -0.0226499, -0.0713381, -0.104251, -0.123277, -0.13528, -0.142004, -0.144875, -0.140018, -0.134738, -0.118235, -0.0780548, -0.0596524, -0.0389922, -0.0248427, -0.0174197, -0.012081, -0.0090878, -0.00504967, -0.00318273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0389176");
-            index_3 ("0.539225, 0.589731, 0.637016, 0.679349, 0.729777, 0.773701, 0.84555, 0.90062, 0.923127, 0.982123, 1.03416, 1.08227, 1.12897, 1.1756, 1.19527, 1.22695, 1.31445, 1.35799, 1.40103, 1.43337, 1.46609, 1.49102, 1.54089, 1.58171");
-            values ( \
-              "-0.0443505, -0.0111567, -0.00604669, -0.00336525, -0.0110363, -0.0315706, -0.0721932, -0.0980882, -0.108263, -0.128837, -0.141264, -0.149262, -0.15134, -0.147258, -0.141176, -0.123574, -0.0622264, -0.0406378, -0.0258838, -0.0181633, -0.0126289, -0.00952248, -0.00531573, -0.00366087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0801802");
-            index_3 ("0.564529, 0.94669, 1.21226, 1.36481, 1.42409, 1.51286, 1.55984, 1.62548, 1.71425, 1.74943, 1.78094, 1.81328, 1.87794, 2.09518, 2.18692, 2.27746, 2.36154, 2.40889, 2.49767, 2.58645, 2.67523, 2.6999");
-            values ( \
-              "-0.0116853, -0.0171987, -0.0890812, -0.121791, -0.131594, -0.14328, -0.147914, -0.152558, -0.155196, -0.15464, -0.15325, -0.15041, -0.138565, -0.0628283, -0.0401495, -0.0250428, -0.0158365, -0.0122191, -0.00732161, -0.00441775, -0.0025949, -0.00240745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.931062, 0.94929, 0.955447, 0.961902, 0.966591, 0.971101, 0.975657, 0.977859, 0.99102, 0.99658, 1.00188, 1.00828, 1.01188");
-            values ( \
-              "-0.0108752, -0.0618856, -0.0725154, -0.0811532, -0.0851769, -0.0869369, -0.0838861, -0.0796769, -0.0294212, -0.0159077, -0.00846986, -0.00390973, -0.00274673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00445023");
-            index_3 ("0.93613, 0.947011, 0.960273, 0.962736, 0.973692, 0.982563, 0.990478, 0.997855, 1.00502, 1.01217, 1.01572, 1.02007, 1.03146, 1.03821, 1.04371, 1.05161, 1.0573, 1.06489, 1.07822, 1.0787");
-            values ( \
-              "-0.00774797, -0.0217188, -0.0534378, -0.0625142, -0.0839034, -0.0969188, -0.105642, -0.111058, -0.11299, -0.109305, -0.104004, -0.0928142, -0.0527753, -0.0343624, -0.0235388, -0.0132195, -0.00862326, -0.00478547, -0.00157612, -0.00155645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00916861");
-            index_3 ("0.51325, 0.789644, 0.842097, 0.864685, 0.881088, 0.888595, 0.905451, 0.924863, 0.94484, 0.95975, 0.963017, 0.974547, 1.0075, 1.01908, 1.03483, 1.04876, 1.06174, 1.07432, 1.08688, 1.09286, 1.10073, 1.12001, 1.13151, 1.14453, 1.15414, 1.16759, 1.17846, 1.18956");
-            values ( \
-              "-5.03294e-05, -4.96041e-05, -0.0012452, -0.00290554, -0.00518596, -0.00552513, -0.00357484, -0.000273147, -1e-22, -0.00472715, -0.00844262, -0.0246686, -0.0813439, -0.0963183, -0.113316, -0.123749, -0.130377, -0.132444, -0.128404, -0.122489, -0.108566, -0.0632433, -0.0420667, -0.0253987, -0.0171032, -0.00971817, -0.00605421, -0.00408644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0188897");
-            index_3 ("0.892832, 1.00861, 1.04603, 1.07104, 1.09055, 1.10982, 1.13984, 1.16617, 1.19067, 1.21441, 1.21883, 1.22988, 1.23818, 1.25479, 1.30924, 1.33218, 1.3544, 1.37583, 1.38807, 1.41256, 1.45734, 1.45754");
-            values ( \
-              "-0.00759698, -0.00945815, -0.0431001, -0.070647, -0.0884113, -0.103764, -0.123001, -0.135121, -0.142229, -0.144717, -0.14451, -0.142804, -0.140131, -0.129082, -0.0589418, -0.0377129, -0.0237422, -0.0148892, -0.0113432, -0.00646177, -0.00210434, -0.00209846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0389176");
-            index_3 ("0.906229, 0.96214, 1.00204, 1.03938, 1.08547, 1.14401, 1.21818, 1.25872, 1.29569, 1.33285, 1.35476, 1.39857, 1.42287, 1.45487, 1.50153, 1.54819, 1.56725, 1.58229, 1.59952, 1.65946, 1.70021, 1.73723, 1.78657, 1.8184, 1.86963, 1.93794, 1.9785");
-            values ( \
-              "-0.0390376, -0.0111037, -0.00670868, -0.0038235, -0.0060997, -0.0301349, -0.0716773, -0.091786, -0.107832, -0.121563, -0.128446, -0.139744, -0.144435, -0.148896, -0.151517, -0.146958, -0.141372, -0.1344, -0.123566, -0.0796279, -0.0549328, -0.0379549, -0.0224541, -0.0158211, -0.00886241, -0.00389566, -0.00268586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0801802");
-            index_3 ("0.937626, 1.3598, 1.52366, 1.6801, 1.75015, 1.79871, 1.90303, 1.99992, 2.09367, 2.12351, 2.18764, 2.2526, 2.46977, 2.56801, 2.65118, 2.73583, 2.87253, 3.00817");
-            values ( \
-              "-0.00279937, -0.0269053, -0.0733462, -0.110765, -0.123605, -0.132022, -0.145242, -0.152844, -0.155325, -0.154811, -0.15055, -0.138409, -0.0628414, -0.0387805, -0.0251781, -0.0158898, -0.00734812, -0.00374782" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506976", \
-            "0.00548038", \
-            "0.00573818", \
-            "0.00591588", \
-            "0.00602759", \
-            "0.00609422" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00634869", \
-            "0.0068021", \
-            "0.00727349", \
-            "0.00788992", \
-            "0.00865648", \
-            "0.00818344" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "QN";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.0379905, 0.055156, 0.0896286, 0.159988, 0.304542, 0.602134", \
-            "0.0428427, 0.0600533, 0.09472, 0.165265, 0.309945, 0.607609", \
-            "0.0567058, 0.0737544, 0.108435, 0.179155, 0.323907, 0.621677", \
-            "0.0892501, 0.108777, 0.142885, 0.213245, 0.357491, 0.655334", \
-            "0.145464, 0.177664, 0.225544, 0.297948, 0.441592, 0.738529", \
-            "0.245052, 0.296372, 0.37465, 0.48732, 0.647569, 0.943438" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.0397795, 0.0657916, 0.119404, 0.229946, 0.457737, 0.926874", \
-            "0.0397463, 0.065763, 0.119395, 0.229945, 0.457737, 0.926874", \
-            "0.040702, 0.0657766, 0.119394, 0.229945, 0.457745, 0.926874", \
-            "0.055028, 0.073982, 0.121114, 0.229963, 0.457737, 0.926874", \
-            "0.0897326, 0.112732, 0.150079, 0.24027, 0.457753, 0.926967", \
-            "0.146951, 0.18417, 0.239302, 0.322155, 0.496581, 0.929052" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.0267484, 0.0376228, 0.0594912, 0.104113, 0.195774, 0.384484", \
-            "0.0305699, 0.0416143, 0.0636557, 0.108395, 0.200128, 0.388875", \
-            "0.040333, 0.0517909, 0.0740006, 0.118773, 0.210588, 0.399292", \
-            "0.0542544, 0.0717993, 0.0992883, 0.144559, 0.236547, 0.425458", \
-            "0.0687131, 0.0961913, 0.138659, 0.203056, 0.299956, 0.488814", \
-            "0.0772829, 0.118339, 0.18385, 0.28368, 0.430322, 0.642989" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.0210782, 0.0351743, 0.0642822, 0.124058, 0.247434, 0.501743", \
-            "0.0210715, 0.0351996, 0.0642005, 0.124049, 0.247435, 0.501691", \
-            "0.0250229, 0.0367877, 0.06421, 0.124047, 0.247434, 0.50167", \
-            "0.0402708, 0.0527922, 0.0740801, 0.126323, 0.247443, 0.50167", \
-            "0.0655121, 0.0845037, 0.114325, 0.159334, 0.259311, 0.501707", \
-            "0.109172, 0.137427, 0.182315, 0.250229, 0.351395, 0.544616" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0207352, 0.0227401, 0.0246456, 0.0277334, 0.0342524, 0.0410786, 0.0455389, 0.0516312, 0.060133, 0.0664328, 0.0731298, 0.0779113, 0.0833728, 0.088713, 0.0934781, 0.0998316, 0.105115, 0.110954, 0.11874, 0.13431, 0.154905, 0.180398, 0.212867, 5.11315, 5.8119");
-            values ( \
-              "0.0150434, 0.0885517, 0.0879596, 0.086119, 0.0811501, 0.075027, 0.0701344, 0.0601685, 0.041383, 0.0308743, 0.0224821, 0.0178317, 0.0135925, 0.0103506, 0.00809251, 0.00577843, 0.00435844, 0.00318344, 0.00207469, 0.000839355, 0.000233207, 3.99647e-05, 2.76779e-07, 7.04603e-07, 0.000762797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00445023");
-            index_3 ("0.0207173, 0.0256311, 0.0291071, 0.0350574, 0.0473621, 0.0626937, 0.0683653, 0.0746777, 0.0863569, 0.0970652, 0.107324, 0.115005, 0.12365, 0.131821, 0.13903, 0.148642, 0.157049, 0.166279, 0.178586, 0.2032, 0.235196, 0.274917, 0.325515, 5.11313, 5.81108");
-            values ( \
-              "0.0437514, 0.108263, 0.106251, 0.10396, 0.0965985, 0.085656, 0.079907, 0.0715915, 0.0537285, 0.0401325, 0.0297914, 0.0235761, 0.017937, 0.0137322, 0.0108069, 0.00778678, 0.00582556, 0.00422656, 0.00273039, 0.0010785, 0.000298863, 4.98072e-05, 1.20271e-06, 1e-22, 0.00157301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00916861");
-            index_3 ("0.020757, 0.0290083, 0.0418832, 0.0620763, 0.0833728, 0.102232, 0.11605, 0.141793, 0.167598, 0.188298, 0.210679, 0.229375, 0.240505, 0.260882, 0.284352, 0.303173, 0.340814, 0.397296, 0.466351, 0.555112, 5.11316, 5.80245");
-            values ( \
-              "0.0650101, 0.121328, 0.117716, 0.110783, 0.102341, 0.0930882, 0.0833682, 0.0606832, 0.0411254, 0.0290246, 0.0193529, 0.0135986, 0.0109677, 0.00731555, 0.00457925, 0.0031235, 0.00139624, 0.000379491, 6.17234e-05, 3.92646e-06, 1e-22, 0.0032408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0188897");
-            index_3 ("0.0250897, 0.0251097, 0.0729662, 0.126008, 0.161111, 0.180815, 0.201964, 0.269695, 0.301286, 0.338294, 0.358504, 0.402223, 0.446768, 0.480785, 0.51627, 0.543467, 0.59786, 0.69927, 0.801533, 0.903796, 5.11321, 5.77243");
-            values ( \
-              "1e-22, 0.141929, 0.122752, 0.111916, 0.103531, 0.0977223, 0.0897606, 0.0584305, 0.045325, 0.0326939, 0.0270597, 0.0176187, 0.0111751, 0.00779967, 0.00535329, 0.00399989, 0.0021849, 0.000641259, 0.000175436, 5.50371e-05, 3.17823e-06, 0.00667934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0389176");
-            index_3 ("0.0250526, 0.0250726, 0.122774, 0.130505, 0.145967, 0.175605, 0.258835, 0.299162, 0.33851, 0.380836, 0.424294, 0.515161, 0.575863, 0.614561, 0.650726, 0.688121, 0.726079, 0.775532, 0.817232, 0.864181, 0.930784, 0.960093, 0.999519, 1.05209, 1.15435, 1.25661, 1.35888, 1.46114, 1.5634, 1.76793, 2.07472, 5.11317, 5.81204");
-            values ( \
-              "1e-22, 0.140924, 0.126107, 0.125632, 0.123734, 0.120962, 0.111687, 0.106661, 0.100751, 0.0926807, 0.0828139, 0.0609605, 0.0477365, 0.0403183, 0.0341644, 0.0286178, 0.0237588, 0.0185151, 0.01494, 0.0116721, 0.00816688, 0.00697662, 0.00563725, 0.00422563, 0.00238018, 0.0013318, 0.000747189, 0.000414987, 0.000234035, 7.38953e-05, 1.1092e-05, 1e-22, 0.0137677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0801802");
-            index_3 ("0.0281066, 0.0281266, 0.224928, 0.505659, 0.609663, 0.691547, 0.810399, 1.07628, 1.16741, 1.30459, 1.3958, 1.55749, 1.74191, 1.902, 2.10347, 2.308, 2.51253, 2.92158, 3.33063, 5.11279, 5.81995");
-            values ( \
-              "1e-22, 0.145213, 0.127966, 0.113188, 0.106533, 0.0999028, 0.0875047, 0.0557781, 0.0461918, 0.0341784, 0.0273016, 0.018217, 0.0112572, 0.00731332, 0.00419797, 0.00235104, 0.00129132, 0.00034271, 3.68188e-05, 1e-22, 0.0283841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0363582, 0.0382129, 0.0389912, 0.0401309, 0.0411426, 0.0431662, 0.0472858, 0.0541378, 0.061024, 0.0643787, 0.0670956, 0.0755917, 0.0818892, 0.0885813, 0.093361, 0.0988199, 0.104156, 0.108916, 0.115263, 0.120542, 0.126371, 0.134144, 0.149688, 0.170258, 0.195716, 0.228132, 5.11314, 5.80581");
-            values ( \
-              "0.0512447, 0.0773358, 0.0815999, 0.0847761, 0.0858509, 0.0859087, 0.083258, 0.0774413, 0.0701761, 0.0652902, 0.0602115, 0.0414088, 0.0308898, 0.0224948, 0.0178411, 0.0136002, 0.0103581, 0.00810012, 0.00578528, 0.00436471, 0.00318963, 0.00207985, 0.000843074, 0.000234417, 4.05347e-05, 1.44638e-07, 8.79702e-07, 0.000764972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00445023");
-            index_3 ("0.0371387, 0.0395433, 0.0411583, 0.0427266, 0.0446609, 0.0549955, 0.0665889, 0.0782251, 0.0884573, 0.102596, 0.117848, 0.133132, 0.147158, 0.154836, 0.165074, 0.172838, 0.181466, 0.192971, 0.21598, 0.247616, 0.286533, 0.336066, 5.11312, 5.80227");
-            values ( \
-              "0.0667575, 0.102928, 0.106149, 0.106845, 0.106627, 0.101415, 0.094349, 0.0856645, 0.074053, 0.0526422, 0.0344792, 0.0217308, 0.0138095, 0.0106992, 0.0075378, 0.0057691, 0.00427479, 0.00284181, 0.00120458, 0.000338933, 6.13735e-05, 1.48209e-06, 1e-22, 0.0015781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00916861");
-            index_3 ("0.0371847, 0.0424985, 0.044717, 0.0510981, 0.0641657, 0.0914701, 0.107024, 0.117904, 0.131722, 0.157465, 0.183269, 0.203968, 0.226326, 0.245046, 0.256189, 0.276548, 0.299978, 0.318761, 0.356325, 0.412742, 0.481728, 0.570372, 5.11312, 5.80503");
-            values ( \
-              "0.0677094, 0.120682, 0.121109, 0.119658, 0.11552, 0.105467, 0.0988022, 0.0930969, 0.0833756, 0.0606903, 0.0411237, 0.0290232, 0.0193591, 0.0136024, 0.0109624, 0.00731979, 0.00458641, 0.00312638, 0.00140398, 0.000378942, 6.49506e-05, 1.32877e-06, 6.97706e-07, 0.00325062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0188897");
-            index_3 ("0.0388638, 0.0468715, 0.0572399, 0.0758627, 0.115197, 0.142843, 0.172086, 0.196656, 0.217795, 0.285532, 0.317123, 0.35413, 0.374338, 0.393281, 0.418055, 0.438546, 0.462597, 0.496611, 0.511694, 0.532084, 0.559271, 0.613644, 0.715022, 0.817285, 0.919548, 1.22634, 5.11314, 5.8123");
-            values ( \
-              "0.128813, 0.129574, 0.128303, 0.125116, 0.117384, 0.111543, 0.104782, 0.0977162, 0.0897585, 0.0584268, 0.0453299, 0.032691, 0.0270643, 0.02254, 0.0176232, 0.0143235, 0.011173, 0.00780407, 0.00665451, 0.00535765, 0.00399849, 0.00218915, 0.000639078, 0.000178536, 5.22054e-05, 1e-22, 4.82699e-07, 0.00670026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0389176");
-            index_3 ("0.0424348, 0.0424548, 0.138787, 0.244918, 0.274844, 0.315129, 0.35454, 0.39674, 0.440281, 0.531149, 0.591861, 0.630526, 0.666709, 0.704119, 0.742072, 0.791518, 0.833207, 0.880156, 0.946758, 0.976067, 1.01549, 1.06806, 1.17032, 1.27258, 1.37485, 1.47711, 1.57937, 1.7839, 2.09069, 5.11313, 5.80979");
-            values ( \
-              "1e-22, 0.144596, 0.126293, 0.115111, 0.111682, 0.106667, 0.100747, 0.0927014, 0.0828119, 0.0609634, 0.0477325, 0.0403204, 0.0341676, 0.0286146, 0.0237605, 0.0185133, 0.0149391, 0.0116749, 0.00816552, 0.00697528, 0.00563591, 0.004228, 0.00237857, 0.00133383, 0.000745399, 0.000416908, 0.000232193, 7.20411e-05, 1.29462e-05, 4.38607e-07, 0.0138146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0801802");
-            index_3 ("0.047578, 0.047598, 0.241268, 0.450807, 0.522033, 0.595, 0.688112, 0.747539, 0.826775, 1.00928, 1.09266, 1.2066, 1.32096, 1.41217, 1.51443, 1.58749, 1.61474, 1.66924, 1.75827, 1.86054, 1.93253, 2.01757, 2.11983, 2.2221, 2.32436, 2.42662, 2.63115, 2.83568, 3.24473, 3.85831, 5.11307, 5.8126");
-            values ( \
-              "1e-22, 0.149434, 0.128116, 0.117121, 0.113045, 0.108573, 0.101569, 0.0960125, 0.0873666, 0.065507, 0.0559138, 0.0440686, 0.0340445, 0.0274359, 0.0212784, 0.0176893, 0.0165378, 0.0143339, 0.0113893, 0.00864516, 0.00712933, 0.00566834, 0.00432814, 0.00323763, 0.00248091, 0.001837, 0.00103577, 0.000579294, 0.000172565, 1.48056e-05, 2.1394e-05, 0.0284703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.064878, 0.0681924, 0.0749512, 0.0828052, 0.087502, 0.089069, 0.0901355, 0.0919724, 0.093749, 0.0968852, 0.100481, 0.103834, 0.109181, 0.114293, 0.11765, 0.121659, 0.124814, 0.127814, 0.131891, 0.13578, 0.140965, 0.146766, 0.15006, 0.156649, 0.160758, 0.164981, 0.170612, 0.181874, 0.201489, 0.224797, 0.25452, 0.294496, 5.11319, 5.80096");
-            values ( \
-              "0.0181619, 0.0242537, 0.0408206, 0.0582804, 0.0678739, 0.0754344, 0.0773454, 0.0779887, 0.0769628, 0.0742332, 0.0701976, 0.0653341, 0.0546306, 0.0430862, 0.0367581, 0.030443, 0.0262346, 0.0227475, 0.018674, 0.0154208, 0.0118684, 0.00881266, 0.00742332, 0.00522333, 0.00419674, 0.00334434, 0.00245956, 0.00130751, 0.000393995, 8.55366e-05, 7.11703e-06, 1e-22, 3.92947e-07, 0.000769779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00445023");
-            index_3 ("0.0647506, 0.0734449, 0.0873579, 0.0903108, 0.0919993, 0.0940606, 0.0972624, 0.104545, 0.113028, 0.118994, 0.127935, 0.141795, 0.15701, 0.16959, 0.177669, 0.186312, 0.194011, 0.204277, 0.212006, 0.220599, 0.232057, 0.254972, 0.286584, 0.325442, 0.3749, 5.11304, 5.80628");
-            values ( \
-              "0.00477033, 0.0460982, 0.0869591, 0.100512, 0.101521, 0.101148, 0.0995749, 0.0950915, 0.0892361, 0.0842072, 0.0735997, 0.0525964, 0.0344824, 0.0236412, 0.0183185, 0.0138181, 0.010699, 0.00752636, 0.00577117, 0.00428214, 0.00284787, 0.00121514, 0.000339527, 6.43375e-05, 1e-22, 3.24574e-06, 0.00158351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00916861");
-            index_3 ("0.0658844, 0.0775435, 0.087384, 0.0892992, 0.0921719, 0.0943409, 0.097828, 0.109324, 0.130683, 0.146236, 0.157117, 0.170934, 0.196676, 0.222479, 0.243178, 0.26552, 0.284257, 0.29541, 0.315755, 0.325091, 0.339158, 0.357913, 0.395423, 0.451819, 0.52076, 0.609345, 5.11307, 5.80504");
-            values ( \
-              "0.00334053, 0.0657533, 0.100019, 0.113111, 0.118041, 0.118166, 0.117338, 0.113447, 0.105459, 0.0988039, 0.0930972, 0.0833761, 0.060691, 0.0411259, 0.0290252, 0.0193664, 0.0136025, 0.0109611, 0.0073203, 0.00608202, 0.00458926, 0.00313064, 0.00140728, 0.000380469, 6.49766e-05, 1.67335e-06, 4.18716e-07, 0.00326942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0188897");
-            index_3 ("0.0668231, 0.0873814, 0.0902354, 0.0916636, 0.0932959, 0.0965605, 0.108688, 0.132021, 0.15456, 0.184202, 0.196173, 0.216307, 0.236025, 0.257145, 0.279015, 0.324894, 0.338434, 0.356486, 0.373732, 0.393491, 0.413701, 0.432642, 0.457415, 0.477903, 0.501953, 0.535965, 0.551047, 0.571432, 0.598613, 0.652975, 0.754337, 0.8566, 0.958864, 1.06113, 1.26565, 5.11307, 5.81224");
-            values ( \
-              "0.010685, 0.107809, 0.125333, 0.127325, 0.128149, 0.128213, 0.126237, 0.121853, 0.117348, 0.111111, 0.108438, 0.103529, 0.0977158, 0.0897656, 0.0799123, 0.0584285, 0.0525777, 0.045329, 0.039068, 0.0326928, 0.0270638, 0.0225414, 0.0176231, 0.0143237, 0.0111747, 0.00780408, 0.00665461, 0.00535787, 0.00400009, 0.00218929, 0.000640138, 0.000177986, 5.28989e-05, 1.1814e-05, 1e-22, 2e-22, 0.00673797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0389176");
-            index_3 ("0.06525, 0.0930131, 0.312282, 0.405743, 0.709588, 0.841158, 1.03844, 1.09265, 1.16929, 1.27156, 1.37382, 1.47608, 1.57835, 1.68061, 1.78287, 1.88514, 1.9874, 5.11077, 5.6228");
-            values ( \
-              "0.00684556, 0.134537, 0.112321, 0.0989217, 0.0326137, 0.016718, 0.00546322, 0.00515227, 0.00233579, 0.00228541, 0.000305935, 0.00114308, 1e-22, 0.000784839, 1e-22, 0.000672745, 1e-22, 0.000612962, 0.013271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0801802");
-            index_3 ("0.0860803, 0.0861003, 0.280828, 0.388068, 0.561557, 0.665561, 0.747445, 0.866305, 1.13221, 1.24612, 1.36049, 1.45169, 1.55395, 1.65426, 1.70876, 1.79779, 1.90006, 1.95788, 2.05708, 2.15934, 2.26161, 2.36387, 2.5684, 2.77292, 3.18198, 3.79556, 5.11298, 5.80313");
-            values ( \
-              "1e-22, 0.148005, 0.128083, 0.122569, 0.113074, 0.106422, 0.0997921, 0.0873935, 0.0558844, 0.0440956, 0.0340711, 0.0274097, 0.021305, 0.0165118, 0.0143601, 0.0113633, 0.00867132, 0.00741926, 0.00569439, 0.00430248, 0.00326357, 0.0024552, 0.00139518, 0.000790245, 0.000250643, 4.1283e-05, 6.41565e-06, 0.028704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.123768, 0.130932, 0.137161, 0.16741, 0.184202, 0.191808, 0.1945, 0.198981, 0.206109, 0.210399, 0.211049, 0.228036, 0.23547, 0.242438, 0.252346, 0.259227, 0.270388, 0.285269, 0.305702, 0.330839, 0.362826, 0.999847, 1.10211, 1.20437, 1.30664, 1.4089, 1.51116, 1.61343, 1.71569, 1.81795, 1.92022, 2.02248, 2.12474, 2.22701, 2.32927, 2.43153, 2.5338, 2.63606, 2.73832, 2.84059, 2.94285, 3.04511, 3.14738, 3.24964, 3.3519, 3.45417, 4.98812, 5.05067, 5.11323, 5.71487");
-            values ( \
-              "0.00455097, 0.00740568, 0.0111591, 0.0340345, 0.0455443, 0.0494648, 0.0504679, 0.0511328, 0.0465967, 0.0394149, 0.0400155, 0.0196564, 0.0135998, 0.00953163, 0.00565448, 0.00391295, 0.00212495, 0.000916018, 0.000244409, 5.641e-05, 1e-22, 1.15774e-05, 1e-22, 1.15677e-05, 1e-22, 1.15541e-05, 1e-22, 1.1539e-05, 1e-22, 1.15231e-05, 1e-22, 1.15067e-05, 1e-22, 1.14899e-05, 1e-22, 1.14727e-05, 1e-22, 1.14554e-05, 1e-22, 1.1438e-05, 1e-22, 1.14204e-05, 1e-22, 1.14029e-05, 1e-22, 1.13855e-05, 1e-22, 1.12441e-05, 1e-22, 0.000779255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00445023");
-            index_3 ("0.12359, 0.146039, 0.190648, 0.201138, 0.205701, 0.210264, 0.211317, 0.212628, 0.213903, 0.216361, 0.219578, 0.225759, 0.237855, 0.244814, 0.253617, 0.258694, 0.26901, 0.274635, 0.282978, 0.29036, 0.300202, 0.308359, 0.317347, 0.329331, 0.353299, 0.385119, 0.424492, 0.474605, 0.548434, 5.11309, 5.78891");
-            values ( \
-              "0.00104096, 0.0218807, 0.0666822, 0.0755953, 0.0787842, 0.0811952, 0.0838469, 0.0848212, 0.084705, 0.0830795, 0.0798078, 0.0717305, 0.0533046, 0.0442358, 0.0344386, 0.0296592, 0.0216161, 0.0180881, 0.0137607, 0.0107661, 0.00770527, 0.00580419, 0.00424539, 0.00278539, 0.00112301, 0.000322006, 4.79734e-05, 7.86239e-06, 1e-22, 5.9271e-06, 0.00159952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00916861");
-            index_3 ("0.130322, 0.214499, 0.254698, 0.348927, 0.41496, 0.47562, 0.591215, 0.672105, 0.774368, 0.876631, 0.978895, 1.08116, 1.18342, 1.28568, 3.37");
-            values ( \
-              "0.00447591, 0.109599, 0.0933852, 0.0248495, 0.00683688, 0.00181065, 1e-22, 0.000261311, 1e-22, 0.00025031, 1e-22, 0.000250027, 1e-22, 0.000249755, 0.000247127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0188897");
-            index_3 ("0.134889, 0.166119, 0.215549, 0.239213, 0.277859, 0.307043, 0.326164, 0.363731, 0.416839, 0.45775, 0.49225, 0.53258, 0.570096, 0.59235, 0.629398, 0.646383, 0.671265, 0.704442, 0.770794, 0.873058, 0.975321, 1.07758, 5.11318, 5.77471");
-            values ( \
-              "0.0114714, 0.0517796, 0.123799, 0.119513, 0.111538, 0.104789, 0.0994727, 0.0850189, 0.0600329, 0.0432088, 0.0317501, 0.0215785, 0.014823, 0.0117863, 0.00797503, 0.00666533, 0.00511462, 0.00357072, 0.00169145, 0.000484755, 0.000135704, 3.88793e-05, 1e-22, 0.00682994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0389176");
-            index_3 ("0.149394, 0.17769, 0.215851, 0.238805, 0.296253, 0.393239, 0.449487, 0.488892, 0.531104, 0.574637, 0.665504, 0.726214, 0.764883, 0.801063, 0.838469, 0.876422, 0.925868, 0.967555, 1.0145, 1.0811, 1.1104, 1.14982, 1.20237, 1.30463, 1.4069, 1.50916, 1.61142, 1.71369, 1.91821, 2.225, 5.11296, 5.79762");
-            values ( \
-              "0.0537734, 0.0713122, 0.131734, 0.12969, 0.123896, 0.113519, 0.106666, 0.100747, 0.0926998, 0.0828125, 0.0609638, 0.0477337, 0.0403206, 0.0341679, 0.0286159, 0.0237611, 0.0185143, 0.0149401, 0.0116754, 0.00816648, 0.00697634, 0.00563711, 0.0042287, 0.00237941, 0.00133387, 0.00074586, 0.000416722, 0.000232533, 7.23436e-05, 1.2663e-05, 1e-22, 0.0141132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0801802");
-            index_3 ("0.14941, 0.214158, 0.221816, 0.225795, 0.422888, 0.585417, 0.706646, 0.760647, 0.82272, 0.882152, 0.961394, 1.22731, 1.3412, 1.45557, 1.54677, 1.64904, 1.74934, 1.80384, 1.89287, 1.99514, 2.05295, 2.15215, 2.25441, 2.35668, 2.45894, 2.66347, 2.97026, 3.37931, 5.11298, 5.78734");
-            values ( \
-              "0.037169, 0.133167, 0.136691, 0.13557, 0.125687, 0.1171, 0.110038, 0.106414, 0.101549, 0.0959922, 0.0873858, 0.0558903, 0.0440892, 0.0340645, 0.0274167, 0.0212985, 0.0165185, 0.0143537, 0.01137, 0.00866495, 0.00742591, 0.00568826, 0.00430901, 0.00325736, 0.00246164, 0.00140157, 0.000598778, 0.000191993, 2.58098e-06, 0.0290741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.270677, 0.301074, 0.307342, 0.325133, 0.348069, 0.365491, 0.380356, 0.393657, 0.398332, 0.406012, 0.417959, 0.427475, 0.43727, 0.441573, 0.449881, 0.456163, 0.464814, 0.46756, 0.472707, 0.478591, 0.489527, 0.496862, 0.505698, 0.510203, 0.515349, 0.520521, 0.530865, 0.543473, 0.568643, 0.600388, 0.645697, 5.11314, 5.78678");
-            values ( \
-              "0.00289739, 0.00616473, 0.00765155, 0.012789, 0.018726, 0.0227683, 0.0259696, 0.0284136, 0.0291325, 0.0301206, 0.030533, 0.027456, 0.0206044, 0.0179443, 0.0135268, 0.0107648, 0.00767356, 0.00686989, 0.00551727, 0.00425191, 0.00250927, 0.00173552, 0.0010806, 0.0020872, 0.00217081, 0.00156811, 0.000895976, 0.000438556, 8.1944e-05, 6.89172e-06, 1e-22, 2e-22, 0.000802911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00445023");
-            index_3 ("0.27216, 0.310078, 0.318365, 0.339909, 0.368583, 0.390254, 0.408554, 0.424841, 0.430555, 0.439957, 0.454837, 0.466692, 0.475138, 0.484889, 0.494805, 0.501723, 0.505714, 0.509882, 0.514255, 0.519569, 0.527649, 0.539215, 0.545997, 0.549517, 0.556558, 0.570641, 0.598805, 0.633191, 0.676773, 0.733283, 5.11316, 5.78159");
-            values ( \
-              "0.00360691, 0.010761, 0.0135085, 0.0211605, 0.0308652, 0.0379662, 0.0436057, 0.0478764, 0.0490988, 0.0506399, 0.0496733, 0.0423133, 0.035732, 0.0287135, 0.0223834, 0.0185803, 0.0165814, 0.016385, 0.0150956, 0.0126823, 0.00966733, 0.00650759, 0.00514551, 0.00455887, 0.00355997, 0.00215424, 0.000721943, 0.000176593, 1.97935e-05, 1e-22, 2e-22, 0.0016547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00916861");
-            index_3 ("0.26098, 0.29443, 0.314866, 0.450866, 0.471596, 0.49107, 0.511007, 0.566266, 0.611243, 0.652689, 0.686012, 0.721555, 0.777027, 0.931361, 5.11362");
-            values ( \
-              "0.00278343, 0.00814801, 0.0142528, 0.0707008, 0.0772711, 0.0799376, 0.074752, 0.0324798, 0.0142255, 0.00623374, 0.0031255, 0.00164588, 0.000306686, 1e-22, 0.000116819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0188897");
-            index_3 ("0.265559, 0.307688, 0.335642, 0.37766, 0.468107, 0.510834, 0.519783, 0.542282, 0.571678, 0.653511, 0.684773, 0.722187, 0.761012, 0.785928, 0.806696, 0.830677, 0.864634, 0.899897, 0.926898, 0.980901, 1.08215, 1.18441, 1.28667, 1.59346, 5.11377, 5.77082");
-            values ( \
-              "0.0043138, 0.0132116, 0.0239703, 0.0438026, 0.0910977, 0.111056, 0.109502, 0.104202, 0.0952664, 0.0584286, 0.045455, 0.0326699, 0.022596, 0.0176414, 0.0142978, 0.0111622, 0.00779988, 0.00536669, 0.00401456, 0.00220663, 0.000646359, 0.000179816, 5.33669e-05, 1e-22, 1.17197e-06, 0.00701202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0389176");
-            index_3 ("0.267852, 0.33216, 0.356319, 0.385725, 0.415258, 0.506841, 0.508513, 0.511863, 0.526312, 0.585856, 0.614394, 0.670441, 0.713647, 0.736065, 0.780903, 0.898534, 0.928302, 0.966949, 1.00064, 1.03525, 1.0661, 1.10135, 1.15656, 1.20522, 1.27011, 1.32097, 1.33971, 1.37719, 1.45215, 1.55441, 1.65667, 1.75894, 1.8612, 1.96346, 2.16799, 2.47478, 5.11428, 5.76578");
-            values ( \
-              "0.00557767, 0.0232899, 0.034535, 0.0500125, 0.0669436, 0.122165, 0.124411, 0.125382, 0.124151, 0.11797, 0.114854, 0.108265, 0.10212, 0.0982657, 0.0890756, 0.0609901, 0.0542798, 0.0462005, 0.0398478, 0.0339982, 0.0293831, 0.0247455, 0.018739, 0.0145852, 0.0103418, 0.00787308, 0.00711803, 0.00581098, 0.00384335, 0.00216152, 0.00121045, 0.000677278, 0.000377766, 0.000211367, 6.60121e-05, 1.11159e-05, 1e-22, 0.0144543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0801802");
-            index_3 ("0.330684, 0.399846, 0.508623, 0.511936, 0.551424, 0.713736, 0.81635, 0.884414, 0.963148, 0.991282, 1.04755, 1.07315, 1.12435, 1.21838, 1.39089, 1.46611, 1.54027, 1.60451, 1.67679, 1.70441, 1.74123, 1.81487, 1.91713, 1.95409, 2.02802, 2.11619, 2.21845, 2.29751, 2.39331, 2.49557, 2.59783, 2.7001, 2.80236, 2.90462, 3.00689, 3.21141, 3.5182, 4.02952, 5.11272, 5.77416");
-            values ( \
-              "0.0439908, 0.0604858, 0.131602, 0.13273, 0.130885, 0.122566, 0.11708, 0.113236, 0.108358, 0.106416, 0.10204, 0.0997885, 0.0948107, 0.0843158, 0.0635854, 0.0550055, 0.0471958, 0.0410382, 0.0348241, 0.0326554, 0.0299317, 0.025043, 0.0193942, 0.0176599, 0.0146008, 0.0115961, 0.0088388, 0.00715665, 0.00553092, 0.00418857, 0.00316649, 0.00239209, 0.00180471, 0.00136158, 0.00102593, 0.000582324, 0.000249096, 5.99653e-05, 3.17128e-06, 0.0301773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.608157, 0.667367, 0.691344, 0.711334, 0.759673, 0.797665, 0.822481, 0.847947, 0.872115, 0.884058, 0.891149, 0.898824, 0.906764, 0.917118, 0.94401, 0.959816, 0.97827, 0.991814, 1.00987, 1.04037, 1.07555, 1.21583, 1.23505, 1.26197, 1.28622, 1.33471, 1.40067, 1.50294, 1.6052, 1.70746, 1.80973, 1.91199, 2.01425, 2.11652, 2.21878, 2.32104, 2.42331, 2.52557, 2.62783, 2.7301, 2.83236, 2.93462, 3.03689, 3.13915, 3.24141, 3.34368, 3.44594, 3.5482, 3.65047, 5.11305, 5.66316");
-            values ( \
-              "0.00142047, 0.00213536, 0.00335643, 0.00498552, 0.010415, 0.0137262, 0.0154353, 0.0168347, 0.0177584, 0.0180047, 0.0180246, 0.0178423, 0.0171399, 0.0149537, 0.00786539, 0.00505145, 0.00281701, 0.00176468, 0.000882249, 0.00019917, 1e-22, 2e-22, 0.000360291, 0.000134652, 1e-22, 3.74848e-05, 1e-22, 3.25876e-05, 1e-22, 2.8167e-05, 1e-22, 2.4162e-05, 1e-22, 2.06769e-05, 1e-22, 1.76778e-05, 1e-22, 1.51049e-05, 1e-22, 1.28998e-05, 1e-22, 1.10108e-05, 1e-22, 9.39315e-06, 1e-22, 8.00843e-06, 1e-22, 6.82364e-06, 1e-22, 1.69985e-06, 0.000861377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00445023");
-            index_3 ("0.608253, 0.669218, 0.680629, 0.700902, 0.726628, 0.761674, 0.784813, 0.807645, 0.835221, 0.866425, 0.894525, 0.920577, 0.945531, 0.96542, 0.982076, 1.01892, 1.03395, 1.05035, 1.06907, 1.07877, 1.08985, 1.11202, 1.15341, 1.20181, 1.21592, 1.22298, 1.23709, 1.25006, 1.276, 1.31318, 1.37535, 1.47762, 1.57988, 1.68214, 1.78441, 1.88667, 1.98893, 2.0912, 2.19346, 2.29572, 2.39799, 2.50025, 2.60251, 2.70478, 2.80704, 2.9093, 3.01157, 3.11383, 3.21609, 5.11314, 5.69379");
-            values ( \
-              "0.00236827, 0.00342306, 0.00414008, 0.00575496, 0.00869011, 0.0136961, 0.0167104, 0.0194036, 0.0223656, 0.0253831, 0.0277461, 0.02947, 0.030334, 0.0289198, 0.0241965, 0.0126687, 0.00916913, 0.00621222, 0.00382023, 0.00292772, 0.00212753, 0.00105242, 0.000153895, 1e-22, 2e-22, 0.000635404, 0.000705229, 0.000278523, 0.000185143, 1e-22, 3.5964e-05, 1e-22, 3.19301e-05, 1e-22, 2.70497e-05, 1e-22, 2.27342e-05, 1e-22, 1.90713e-05, 1e-22, 1.59781e-05, 1e-22, 1.33695e-05, 1e-22, 1.11721e-05, 1e-22, 9.32301e-06, 1e-22, 7.76898e-06, 1e-22, 0.00177816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00916861");
-            index_3 ("0.608113, 0.684677, 0.696005, 0.734194, 0.819768, 0.869768, 0.934371, 0.970056, 1.00302, 1.03483, 1.06016, 1.13085, 1.15092, 1.177, 1.19869, 1.21578, 1.22073, 1.22701, 1.24703, 1.26693, 1.30672, 1.36963, 1.44871, 5.113, 5.70768");
-            values ( \
-              "0.00287429, 0.00600799, 0.00705564, 0.0117331, 0.0250431, 0.0322509, 0.0409434, 0.045087, 0.0480394, 0.0486593, 0.0438717, 0.0197646, 0.0145155, 0.00933927, 0.00624987, 0.00447888, 0.00495331, 0.00483424, 0.0031937, 0.00212353, 0.000900937, 0.000197355, 1.95172e-05, 1e-22, 0.00366346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0188897");
-            index_3 ("0.608546, 0.718429, 0.743819, 0.772375, 0.981282, 1.03333, 1.06899, 1.07949, 1.09568, 1.12237, 1.16485, 1.19244, 1.21622, 1.22032, 1.25972, 1.28615, 1.30578, 1.33955, 1.35915, 1.37066, 1.39369, 1.42662, 1.44047, 1.46819, 1.52362, 1.61851, 1.72077, 1.82303, 1.9253, 5.11344, 5.7241");
-            values ( \
-              "0.00278242, 0.0111391, 0.0147786, 0.0194654, 0.0565231, 0.0652061, 0.0704196, 0.0717508, 0.0735895, 0.0755263, 0.0720704, 0.0640634, 0.0558835, 0.0552257, 0.0402814, 0.0317564, 0.0263962, 0.0189381, 0.0155536, 0.0138355, 0.0109012, 0.00770346, 0.00665072, 0.00494569, 0.00267742, 0.000865821, 0.000241637, 7.09289e-05, 1.65768e-05, 1e-22, 0.00754767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0389176");
-            index_3 ("0.608171, 0.762361, 0.790358, 0.830166, 0.912532, 1.10298, 1.17141, 1.19363, 1.21584, 1.2244, 1.2328, 1.2556, 1.29593, 1.32854, 1.36765, 1.45848, 1.51858, 1.55906, 1.59419, 1.63072, 1.66893, 1.71865, 1.76088, 1.8077, 1.87419, 1.90337, 1.94253, 1.99476, 2.09702, 2.19928, 2.30155, 2.40381, 2.50607, 2.7106, 3.01739, 5.11306, 5.73343");
-            values ( \
-              "0.00108169, 0.0191069, 0.0239643, 0.0313895, 0.0479624, 0.0889096, 0.101825, 0.105397, 0.108436, 0.108703, 0.108009, 0.10486, 0.0982755, 0.0917426, 0.082807, 0.0609642, 0.0478571, 0.0401045, 0.0341435, 0.0287174, 0.0238152, 0.0185334, 0.0149135, 0.0116605, 0.00816232, 0.00697777, 0.00564603, 0.00424148, 0.00238821, 0.00133729, 0.00074931, 0.000417128, 0.000234278, 7.35494e-05, 1.17503e-05, 7.36025e-07, 0.0155494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0801802");
-            index_3 ("0.71038, 0.857999, 0.911679, 1.01394, 1.21727, 1.22194, 1.38645, 1.46419, 1.55157, 1.6334, 1.73566, 1.94813, 2.04355, 2.11021, 2.24695, 2.31562, 2.39089, 2.48795, 2.56767, 2.66313, 2.76539, 2.83767, 2.89551, 2.97263, 3.07489, 3.17715, 3.27942, 3.38168, 3.48394, 3.68847, 3.99526, 4.40431, 5.11301, 5.72064");
-            values ( \
-              "0.0210081, 0.0380643, 0.0497991, 0.0741526, 0.124756, 0.125199, 0.116541, 0.112083, 0.106416, 0.0998082, 0.0893121, 0.0639384, 0.0531554, 0.0462387, 0.0340312, 0.0289351, 0.0240888, 0.0188845, 0.0154044, 0.0120088, 0.00915864, 0.00755161, 0.00646767, 0.00525234, 0.00397544, 0.00300565, 0.00226922, 0.00171277, 0.0012911, 0.000733188, 0.000313765, 0.000101089, 1.3682e-05, 0.0327677" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0178373, 0.0198471, 0.0215387, 0.0245734, 0.0276301, 0.0307265, 0.0338298, 0.0371648, 0.0401945, 0.0430797, 0.0521825, 0.0558467, 0.059569, 0.063616, 0.0661033, 0.071078, 0.0790884, 0.088632, 0.100689, 0.143997, 3.37884");
-            values ( \
-              "-0.0430455, -0.124328, -0.124852, -0.124522, -0.123306, -0.121368, -0.11834, -0.11243, -0.103816, -0.0913788, -0.0392061, -0.0255325, -0.0161192, -0.00957999, -0.00691108, -0.00351807, -0.00109172, -0.000259695, -4.02372e-05, -3.98289e-06, -2.88449e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00445023");
-            index_3 ("0.0180929, 0.0213885, 0.0277751, 0.0308623, 0.0391757, 0.0444698, 0.0480586, 0.0537738, 0.0588666, 0.0749009, 0.0809655, 0.0871074, 0.0937454, 0.0977923, 0.105886, 0.119035, 0.134667, 0.154369, 0.181002, 3.37884");
-            values ( \
-              "-0.0810149, -0.156198, -0.154877, -0.153739, -0.149461, -0.145245, -0.141157, -0.131357, -0.117015, -0.0488412, -0.0318611, -0.0201708, -0.0120616, -0.00876095, -0.00450975, -0.00140711, -0.000320339, -3.8873e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00916861");
-            index_3 ("0.0180023, 0.0218405, 0.0377934, 0.0560081, 0.0685904, 0.0793337, 0.0864726, 0.0973651, 0.121252, 0.143484, 0.162873, 0.177538, 0.201477, 0.229897, 0.265747, 0.313946, 3.37879");
-            values ( \
-              "-0.0756195, -0.177845, -0.174768, -0.168392, -0.161553, -0.15234, -0.142991, -0.118693, -0.0552748, -0.022843, -0.00996231, -0.00514388, -0.00160351, -0.000343206, -5.71509e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0188897");
-            index_3 ("0.0193186, 0.0204968, 0.0224092, 0.0227899, 0.0235513, 0.0250741, 0.0279944, 0.033835, 0.0366993, 0.0424278, 0.0542965, 0.0552457, 0.0571443, 0.0609414, 0.0685356, 0.0793444, 0.0904039, 0.108732, 0.121807, 0.128606, 0.142206, 0.149327, 0.159987, 0.173151, 0.1905, 0.204661, 0.216398, 0.225449, 0.23774, 0.247847, 0.25933, 0.275568, 0.282671, 0.296877, 0.325289, 0.37169, 0.426847, 0.494424, 0.629578, 3.37885");
-            values ( \
-              "-0.190847, -0.190857, -0.191165, -0.190898, -0.191082, -0.190757, -0.19071, -0.189879, -0.18973, -0.188761, -0.187105, -0.186743, -0.186594, -0.185728, -0.184439, -0.182131, -0.179301, -0.174183, -0.169192, -0.166075, -0.158169, -0.152624, -0.141338, -0.121925, -0.0938334, -0.0733068, -0.0588647, -0.0493105, -0.0384124, -0.0311161, -0.0243475, -0.0170512, -0.0145798, -0.0106063, -0.00547342, -0.00168815, -0.000384038, -4.58511e-05, -1e-22, -3.38844e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0389176");
-            index_3 ("0.0194385, 0.0198926, 0.023693, 0.0263308, 0.0314964, 0.0366668, 0.0452895, 0.0712891, 0.102235, 0.124517, 0.160903, 0.198311, 0.223634, 0.237164, 0.264222, 0.278495, 0.299749, 0.325994, 0.368477, 0.396541, 0.426707, 0.45337, 0.477971, 0.510773, 0.542942, 0.560288, 0.594979, 0.662556, 0.730133, 0.79771, 0.865286, 0.932863, 1.27075, 3.37889");
-            values ( \
-              "-0.197618, -0.198011, -0.198276, -0.198054, -0.198091, -0.19768, -0.197376, -0.195353, -0.192329, -0.189869, -0.1853, -0.179483, -0.174592, -0.171447, -0.163429, -0.157722, -0.146056, -0.125951, -0.0906846, -0.0705504, -0.0527804, -0.0402662, -0.0311332, -0.0218238, -0.0153163, -0.0126288, -0.00852171, -0.00380947, -0.00168503, -0.000745895, -0.000325526, -0.000146437, -3.58466e-07, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0801802");
-            index_3 ("0.0194546, 0.0238213, 0.0333856, 0.0542647, 0.0892286, 0.149471, 0.232118, 0.305903, 0.413328, 0.490437, 0.544355, 0.575858, 0.640513, 0.771009, 0.848323, 0.915657, 0.946216, 1.00734, 1.06052, 1.13161, 1.19918, 1.26676, 1.33434, 1.46949, 1.60465, 2.01011, 3.37886");
-            values ( \
-              "-0.201173, -0.201999, -0.202135, -0.201803, -0.200476, -0.197542, -0.192949, -0.188224, -0.179453, -0.170217, -0.160394, -0.151993, -0.128159, -0.0759355, -0.0527231, -0.0375655, -0.0320738, -0.0231664, -0.0173708, -0.0117607, -0.00805328, -0.00550555, -0.00374786, -0.00173299, -0.000798311, -7.56066e-05, -2.63048e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0314856, 0.0338252, 0.0363451, 0.0375025, 0.038062, 0.0394849, 0.0414774, 0.0431768, 0.0482404, 0.0515737, 0.0545724, 0.0575176, 0.0665818, 0.0702422, 0.073958, 0.077995, 0.0804729, 0.0854288, 0.0933191, 0.102711, 0.114534, 0.130577, 0.156631, 3.3788");
-            values ( \
-              "-0.0709205, -0.0911491, -0.106906, -0.121628, -0.123095, -0.124069, -0.123641, -0.12278, -0.11841, -0.112477, -0.103963, -0.0912532, -0.0392462, -0.0255673, -0.0161516, -0.00960916, -0.00693923, -0.00353962, -0.00111917, -0.000272336, -4.24223e-05, -8.67798e-06, -3.44502e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00445023");
-            index_3 ("0.0292752, 0.0374982, 0.0384944, 0.0394521, 0.0409593, 0.0454466, 0.050559, 0.0589702, 0.062812, 0.0673603, 0.0704387, 0.0764441, 0.0895284, 0.0955925, 0.101736, 0.108364, 0.112401, 0.120475, 0.133489, 0.148941, 0.168373, 0.194581, 3.37883");
-            values ( \
-              "-0.00145036, -0.151293, -0.154403, -0.155128, -0.155235, -0.153789, -0.151379, -0.145414, -0.140996, -0.133483, -0.126478, -0.104752, -0.0488784, -0.0318836, -0.0201858, -0.0120797, -0.00877451, -0.00452823, -0.0014277, -0.000336185, -3.86784e-05, -1.95657e-07, -2.01712e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00916861");
-            index_3 ("0.0303971, 0.0369675, 0.0377531, 0.0384717, 0.0399089, 0.0412417, 0.0430088, 0.051077, 0.0556528, 0.0613974, 0.0708485, 0.0805348, 0.0870884, 0.0905904, 0.0975943, 0.101314, 0.106833, 0.113653, 0.122636, 0.129964, 0.136023, 0.140693, 0.147065, 0.152303, 0.158237, 0.166629, 0.170297, 0.177633, 0.192304, 0.216, 0.244128, 0.279516, 0.327041, 3.37884");
-            values ( \
-              "-0.00177089, -0.164389, -0.173558, -0.175991, -0.177372, -0.177343, -0.177081, -0.175226, -0.173937, -0.172083, -0.168399, -0.163436, -0.15892, -0.155926, -0.148327, -0.143024, -0.132421, -0.114302, -0.0880098, -0.0687646, -0.0552634, -0.0463165, -0.0360675, -0.0292055, -0.0228529, -0.0160107, -0.0136883, -0.00996467, -0.00514179, -0.00162728, -0.000356146, -6.12482e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0188897");
-            index_3 ("0.0316809, 0.038605, 0.040036, 0.041478, 0.0429065, 0.0532169, 0.0701449, 0.0870339, 0.105297, 0.124038, 0.136694, 0.143499, 0.157109, 0.16422, 0.17488, 0.188042, 0.214519, 0.231156, 0.240521, 0.252666, 0.262746, 0.274235, 0.290476, 0.295996, 0.307111, 0.319814, 0.345221, 0.393733, 0.450982, 0.518559, 0.653713, 3.37883");
-            values ( \
-              "-0.05282, -0.189016, -0.1907, -0.19081, -0.190742, -0.189524, -0.186893, -0.183647, -0.179415, -0.173949, -0.169194, -0.166081, -0.15816, -0.152629, -0.141336, -0.12193, -0.0802742, -0.0590502, -0.0491564, -0.0384091, -0.0311315, -0.0243527, -0.0170574, -0.0151019, -0.0118046, -0.00886853, -0.00492101, -0.00141617, -0.000296401, -3.54487e-05, -1e-22, -2.32778e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0389176");
-            index_3 ("0.0336065, 0.0390405, 0.0402316, 0.0430259, 0.0516749, 0.0689502, 0.103921, 0.132415, 0.175895, 0.212454, 0.238414, 0.279642, 0.29349, 0.314753, 0.411534, 0.441691, 0.468361, 0.492968, 0.525778, 0.557934, 0.575272, 0.609949, 0.677525, 0.745102, 0.812679, 0.947833, 3.3789");
-            values ( \
-              "-0.141311, -0.198754, -0.198093, -0.198238, -0.197881, -0.196743, -0.193632, -0.190615, -0.185191, -0.179663, -0.174639, -0.163279, -0.157721, -0.14605, -0.0705512, -0.0527863, -0.0402679, -0.0311325, -0.0218214, -0.015317, -0.0126303, -0.00852427, -0.00381051, -0.00168561, -0.000746035, -0.000146398, -1.5623e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0801802");
-            index_3 ("0.0336338, 0.0389604, 0.0404545, 0.0428587, 0.0692475, 0.132133, 0.224486, 0.320878, 0.388455, 0.428303, 0.469087, 0.513818, 0.559321, 0.602056, 0.655627, 0.741582, 0.798681, 0.860249, 0.914036, 0.963652, 1.02981, 1.07785, 1.13098, 1.19856, 1.26614, 1.33371, 1.40129, 1.46887, 1.60402, 1.73918, 2.00948, 3.37882");
-            values ( \
-              "-0.144905, -0.202441, -0.201843, -0.20222, -0.201745, -0.199091, -0.194186, -0.188122, -0.183003, -0.179349, -0.174977, -0.16883, -0.160335, -0.148559, -0.128015, -0.0922091, -0.0716723, -0.0535134, -0.0408828, -0.0316557, -0.0222354, -0.0171401, -0.0128166, -0.00878713, -0.00600794, -0.00409456, -0.00278978, -0.00189515, -0.000874145, -0.000402276, -8.43582e-05, -1.25337e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0539628, 0.0602247, 0.0633954, 0.0714613, 0.0796512, 0.0835268, 0.0850672, 0.0874374, 0.0886449, 0.0907879, 0.0928261, 0.102281, 0.10594, 0.109652, 0.113685, 0.116158, 0.121104, 0.128893, 0.138165, 0.149796, 0.165549, 0.190923, 0.238979, 3.3788");
-            values ( \
-              "-0.00161127, -0.0431418, -0.0543196, -0.0752466, -0.0939851, -0.101246, -0.103188, -0.10428, -0.107424, -0.102049, -0.0932665, -0.0392796, -0.0256003, -0.0161504, -0.00960794, -0.00697057, -0.00353574, -0.00115828, -0.00026833, -6.09523e-05, -1e-22, -1.86442e-05, -1e-22, -1.43106e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00445023");
-            index_3 ("0.0553029, 0.0645933, 0.0739523, 0.0895137, 0.0908241, 0.0927928, 0.0969719, 0.101137, 0.106165, 0.112159, 0.117915, 0.125252, 0.131314, 0.137456, 0.144079, 0.148112, 0.156178, 0.169079, 0.184398, 0.203618, 0.229511, 3.37883");
-            values ( \
-              "-0.00509259, -0.071776, -0.102059, -0.14859, -0.14838, -0.147046, -0.142972, -0.137061, -0.126493, -0.104804, -0.0778105, -0.0488943, -0.0318974, -0.0201924, -0.0120862, -0.0087865, -0.00453318, -0.00144976, -0.000343737, -4.39987e-05, -1e-22, -1.18746e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00916861");
-            index_3 ("0.0563543, 0.0661963, 0.0895932, 0.0906055, 0.092383, 0.0948506, 0.0973333, 0.101999, 0.106786, 0.118864, 0.126527, 0.137249, 0.142765, 0.171956, 0.182998, 0.194168, 0.202559, 0.213559, 0.228226, 0.251715, 0.279605, 0.314611, 0.361579, 3.37888");
-            values ( \
-              "-2.07768e-05, -0.0868046, -0.174546, -0.173285, -0.174361, -0.172225, -0.172745, -0.169696, -0.169042, -0.161934, -0.15592, -0.143014, -0.132448, -0.0552574, -0.0360608, -0.0228663, -0.0160037, -0.00995816, -0.00515447, -0.00163825, -0.00037804, -5.42976e-05, -1e-22, -5.65906e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0188897");
-            index_3 ("0.0568368, 0.0739276, 0.0872872, 0.0876188, 0.0885482, 0.0896841, 0.09118, 0.0962489, 0.107836, 0.119516, 0.129302, 0.141039, 0.159358, 0.165869, 0.172424, 0.17924, 0.192873, 0.199961, 0.210622, 0.223784, 0.239461, 0.250259, 0.259047, 0.266897, 0.276262, 0.288407, 0.298486, 0.309974, 0.326215, 0.331735, 0.336498, 0.342848, 0.35555, 0.380953, 0.428989, 0.485628, 0.553204, 0.620781, 3.37865");
-            values ( \
-              "-0.0193274, -0.126244, -0.177861, -0.182497, -0.187398, -0.18889, -0.189154, -0.188484, -0.186556, -0.184328, -0.182234, -0.179385, -0.174122, -0.171795, -0.169201, -0.166081, -0.158147, -0.152629, -0.141337, -0.121929, -0.0964505, -0.0802751, -0.068473, -0.0590499, -0.0491579, -0.0384092, -0.031132, -0.0243547, -0.0170576, -0.0151037, -0.0135947, -0.011805, -0.00887051, -0.00492135, -0.00144839, -0.000293034, -5.11399e-05, -1e-22, -8.7072e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0389176");
-            index_3 ("0.0581999, 0.0829646, 0.087276, 0.0880354, 0.0888098, 0.0913809, 0.0935456, 0.0967538, 0.102085, 0.10471, 0.10996, 0.120458, 0.152911, 0.17521, 0.211641, 0.249049, 0.274139, 0.287903, 0.315431, 0.329236, 0.3505, 0.376735, 0.419222, 0.44728, 0.477435, 0.504106, 0.528714, 0.561524, 0.585009, 0.593678, 0.611015, 0.645689, 0.713266, 0.780842, 0.848419, 0.915996, 0.983573, 1.11873, 1.32146, 3.37864");
-            values ( \
-              "-0.0408373, -0.168498, -0.185604, -0.193429, -0.196237, -0.197659, -0.197472, -0.197366, -0.196882, -0.196787, -0.19627, -0.195475, -0.192302, -0.189835, -0.18526, -0.179484, -0.174643, -0.171447, -0.163263, -0.157722, -0.146049, -0.125951, -0.0906814, -0.0705515, -0.0527872, -0.0402684, -0.0311327, -0.0218213, -0.0168565, -0.0153173, -0.0126308, -0.00852481, -0.00381082, -0.00168569, -0.000746136, -0.00032568, -0.000146456, -3.00519e-05, -3.93233e-07, -1.60197e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0801802");
-            index_3 ("0.0576859, 0.0891916, 0.0912316, 0.0932604, 0.097318, 0.105193, 0.132019, 0.156335, 0.210906, 0.268704, 0.311409, 0.356818, 0.424395, 0.464245, 0.511442, 0.541353, 0.581236, 0.595277, 0.623359, 0.679523, 0.761764, 0.819002, 0.866509, 0.903143, 0.952891, 0.966534, 0.993821, 1.04031, 1.10605, 1.13481, 1.17334, 1.22472, 1.2923, 1.35987, 1.42745, 1.49503, 1.5626, 1.63018, 1.76533, 2.03564, 3.3788");
-            values ( \
-              "-0.0425014, -0.198388, -0.202449, -0.201961, -0.202036, -0.201782, -0.200768, -0.199657, -0.196905, -0.193702, -0.191127, -0.188132, -0.182994, -0.179361, -0.17417, -0.170126, -0.163295, -0.160303, -0.153092, -0.133003, -0.0984271, -0.0768953, -0.0617336, -0.0517009, -0.0402776, -0.0375615, -0.0326241, -0.0255201, -0.0178796, -0.015291, -0.0123807, -0.00930084, -0.00636601, -0.00433579, -0.00295889, -0.00200612, -0.00136993, -0.000924111, -0.000423918, -8.68451e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105122, 0.117659, 0.124121, 0.140236, 0.152128, 0.159341, 0.16446, 0.170205, 0.176215, 0.189403, 0.192996, 0.198936, 0.203458, 0.210238, 0.212881, 0.21593, 0.218912, 0.224876, 0.231161, 0.243731, 0.262225, 0.291523, 0.339137, 0.406319, 0.473896, 0.541473, 0.60905, 0.676627, 0.744204, 0.811781, 0.879357, 0.946934, 1.01451, 3.37874");
-            values ( \
-              "-0.00386882, -0.0192092, -0.0288404, -0.0457083, -0.056689, -0.0623948, -0.0654579, -0.0663877, -0.059211, -0.0224155, -0.0155199, -0.00796335, -0.00465579, -0.00198443, -0.00310534, -0.00269209, -0.00163487, -0.000792281, -0.000300697, -7.50863e-05, -1e-22, -2.42443e-05, -1e-22, -1.87514e-05, -1e-22, -1.7462e-05, -1e-22, -1.63579e-05, -1e-22, -1.53758e-05, -1e-22, -1.44785e-05, -1e-22, -5.39076e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00445023");
-            index_3 ("0.105126, 0.130486, 0.141868, 0.157048, 0.170823, 0.179052, 0.183561, 0.191104, 0.199115, 0.210242, 0.2114, 0.21936, 0.222675, 0.227095, 0.231139, 0.237295, 0.245502, 0.258966, 0.275045, 0.295446, 0.323242, 3.37875");
-            values ( \
-              "-0.00215694, -0.0448497, -0.0596403, -0.0780603, -0.093224, -0.100559, -0.103381, -0.103528, -0.0900925, -0.0523232, -0.0511475, -0.0297905, -0.0233113, -0.0166477, -0.0121776, -0.00750103, -0.00381331, -0.0011635, -0.000246078, -3.59178e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00916861");
-            index_3 ("0.105171, 0.142061, 0.15589, 0.177656, 0.191716, 0.202973, 0.211922, 0.213499, 0.219917, 0.224223, 0.229731, 0.255442, 0.263616, 0.275229, 0.281164, 0.289556, 0.298133, 0.30468, 0.317773, 0.342466, 0.371564, 0.408827, 0.458293, 3.37879");
-            values ( \
-              "-0.000569109, -0.0686172, -0.0888305, -0.119038, -0.136692, -0.148564, -0.155824, -0.155714, -0.148962, -0.142964, -0.132382, -0.0627839, -0.0463138, -0.0291944, -0.022857, -0.0160039, -0.0110859, -0.00834689, -0.0046313, -0.0013813, -0.000288421, -2.55124e-05, -1.90408e-06, -2.70681e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0188897");
-            index_3 ("0.105199, 0.160056, 0.211304, 0.213295, 0.237615, 0.254843, 0.275795, 0.28734, 0.308465, 0.34776, 0.36356, 0.386013, 0.397488, 0.413718, 0.430312, 0.442979, 0.468312, 0.516074, 0.572373, 0.63995, 0.707526, 3.37882");
-            values ( \
-              "-0.00116546, -0.102797, -0.181927, -0.182726, -0.176853, -0.171188, -0.16105, -0.152613, -0.126496, -0.0669274, -0.0493614, -0.0311003, -0.0243533, -0.0170451, -0.0118053, -0.00889167, -0.00492936, -0.00147388, -0.000293272, -5.98756e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0389176");
-            index_3 ("0.105098, 0.18072, 0.212425, 0.216144, 0.218791, 0.255839, 0.299109, 0.348467, 0.375371, 0.402797, 0.416704, 0.437966, 0.534748, 0.564904, 0.591573, 0.616179, 0.648986, 0.672473, 0.698478, 0.733152, 0.800729, 0.868306, 0.935883, 1.07104, 3.37872");
-            values ( \
-              "-0.00248796, -0.14205, -0.193586, -0.195025, -0.19419, -0.190546, -0.185211, -0.177306, -0.171446, -0.163301, -0.157721, -0.146052, -0.0705523, -0.0527871, -0.040269, -0.0311338, -0.0218229, -0.0168574, -0.0126315, -0.00852535, -0.00381102, -0.00168582, -0.000746154, -0.000146439, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0801802");
-            index_3 ("0.105161, 0.190534, 0.210277, 0.215157, 0.221452, 0.227718, 0.238939, 0.270112, 0.370616, 0.4444, 0.520166, 0.551826, 0.608989, 0.668793, 0.710987, 0.767246, 0.849345, 0.906583, 0.990723, 1.05411, 1.12789, 1.19363, 1.26092, 1.31229, 1.37987, 1.44744, 1.51502, 1.5826, 1.71775, 1.85291, 2.12321, 3.37878");
-            values ( \
-              "-0.00230487, -0.162347, -0.195765, -0.200091, -0.200977, -0.200086, -0.200011, -0.198466, -0.192879, -0.188151, -0.182294, -0.179371, -0.172911, -0.163295, -0.153075, -0.132949, -0.0984237, -0.0768992, -0.0517055, -0.037566, -0.0255246, -0.0178757, -0.0123766, -0.00930596, -0.00636183, -0.00434063, -0.00295447, -0.00201085, -0.000928802, -0.000428603, -9.15602e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.232546, 0.250695, 0.253874, 0.255759, 0.266502, 0.272395, 0.27982, 0.291746, 0.299621, 0.3078, 0.314045, 0.323035, 0.330035, 0.332351, 0.334666, 0.336981, 0.339296, 0.341613, 0.346246, 0.34864, 0.350752, 0.353804, 0.364287, 0.370577, 0.373511, 0.375989, 0.378466, 0.382541, 0.385473, 0.388992, 0.391338, 0.396029, 0.398375, 0.401741, 0.405106, 0.408472, 0.411837, 0.415939, 0.420041, 0.424144, 0.428246, 0.444104, 0.465514, 0.481638, 0.497762, 0.513886, 0.520769, 0.527651, 0.563096, 3.18223");
-            values ( \
-              "-0.00918282, -0.00971298, -0.0108224, -0.011672, -0.0172536, -0.0199359, -0.0230899, -0.0278279, -0.0308109, -0.0337333, -0.0358057, -0.0385872, -0.0410467, -0.0410481, -0.0409921, -0.0408787, -0.040708, -0.0404797, -0.0398508, -0.0394088, -0.0376964, -0.0343822, -0.0204795, -0.0131629, -0.010575, -0.0087156, -0.00711852, -0.00493293, -0.00365457, -0.00249997, -0.00202555, -0.00124035, -0.000929564, -0.000698654, -0.000500197, -0.000334193, -0.000200641, -0.000137655, -8.56074e-05, -4.44969e-05, -1.43239e-05, -2.49909e-05, -6.60432e-05, -0.000117174, -0.000185672, -0.000271538, -0.000159442, -2.08048e-05, -1.88214e-06, -7.51463e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00445023");
-            index_3 ("0.224289, 0.252935, 0.261684, 0.278407, 0.29514, 0.316805, 0.333786, 0.347287, 0.359793, 0.371744, 0.383699, 0.385234, 0.410421, 0.418556, 0.426845, 0.43641, 0.446613, 0.464448, 0.485508, 0.505672, 0.51469, 0.538266, 0.561077, 0.593549, 0.645501, 0.713078, 0.780654, 0.848231, 0.915808, 0.983385, 1.05096, 1.11854, 1.18612, 1.25369, 1.32127, 1.38885, 1.45642, 1.524, 1.59158, 1.65915, 1.72673, 1.79431, 1.86188, 1.92946, 3.37879");
-            values ( \
-              "-0.00363148, -0.0138198, -0.0182108, -0.0284378, -0.0371287, -0.0475998, -0.05509, -0.0603936, -0.0643385, -0.0662001, -0.0619888, -0.0605026, -0.0236506, -0.0151708, -0.00924378, -0.00503353, -0.00248877, -0.000578465, -5.37849e-05, -1e-22, -0.000762437, -7.05824e-05, -7.35688e-05, -1e-22, -4.73186e-05, -1e-22, -4.25706e-05, -1e-22, -3.8449e-05, -1e-22, -3.47749e-05, -1e-22, -3.14821e-05, -1e-22, -2.8526e-05, -1e-22, -2.58697e-05, -1e-22, -2.34807e-05, -1e-22, -2.13306e-05, -1e-22, -1.93939e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00916861");
-            index_3 ("0.261485, 0.301529, 0.321891, 0.339766, 0.360077, 0.369335, 0.375945, 0.391573, 0.396264, 0.401151, 0.403594, 0.406037, 0.410074, 0.414111, 0.418147, 0.422184, 0.426221, 0.430258, 0.434295, 0.438332, 0.439204, 0.443827, 0.46331, 0.470538, 0.476331, 0.483305, 0.488309, 0.493562, 0.500061, 0.503672, 0.505732, 0.506763, 0.508823, 0.511955, 0.514058, 0.518346, 0.522634, 0.526923, 0.532804, 0.538686, 0.544567, 0.550449, 0.55633, 0.562212, 0.570384, 0.578557, 0.58673, 0.594902, 0.638259, 2.89353");
-            values ( \
-              "-0.045029, -0.0466497, -0.0583374, -0.0682279, -0.07898, -0.0836376, -0.0867853, -0.0936484, -0.0959634, -0.0971367, -0.0975798, -0.0979271, -0.0982913, -0.0983941, -0.0982356, -0.0978158, -0.0967663, -0.0953081, -0.0934413, -0.0911658, -0.0904596, -0.0841641, -0.0517075, -0.04121, -0.0339742, -0.0261999, -0.0216962, -0.017815, -0.0137426, -0.0117256, -0.0107874, -0.0106633, -0.0103202, -0.00954258, -0.00887874, -0.00719849, -0.00589728, -0.00477385, -0.00358469, -0.00260484, -0.00204317, -0.00155159, -0.00113011, -0.000778716, -0.000561541, -0.000376546, -0.000223729, -0.000103092, -1.27964e-06, -1.25095e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0188897");
-            index_3 ("0.267156, 0.310007, 0.339299, 0.373373, 0.401843, 0.423021, 0.427843, 0.437845, 0.45599, 0.462442, 0.465818, 0.469195, 0.472571, 0.475947, 0.48159, 0.487233, 0.492877, 0.49852, 0.507275, 0.511894, 0.513827, 0.519625, 0.52891, 0.537258, 0.547117, 0.555005, 0.565898, 0.574919, 0.584171, 0.590638, 0.597403, 0.603139, 0.608876, 0.61699, 0.625104, 0.634566, 0.641685, 0.648012, 0.65434, 0.666995, 0.673322, 0.67965, 0.691566, 0.703482, 0.715398, 0.727315, 0.755403, 0.783491, 0.851068, 0.986221");
-            values ( \
-              "-0.0537205, -0.055995, -0.0746764, -0.0957182, -0.112552, -0.124362, -0.126934, -0.131923, -0.140252, -0.14381, -0.145239, -0.146406, -0.147311, -0.147954, -0.148444, -0.148201, -0.147227, -0.14552, -0.139165, -0.135446, -0.133514, -0.126592, -0.111138, -0.0978285, -0.0831403, -0.0722117, -0.0584472, -0.0492037, -0.0409419, -0.0355965, -0.0306299, -0.0272358, -0.0241442, -0.0203326, -0.0170373, -0.0137573, -0.0114981, -0.00973923, -0.00820218, -0.00603752, -0.00510502, -0.00427241, -0.00331483, -0.00248535, -0.00178397, -0.00121069, -0.000631395, -0.000233444, -1.89838e-05, -2.69912e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0389176");
-            index_3 ("0.25279, 0.303943, 0.326448, 0.367257, 0.444713, 0.496482, 0.505527, 0.510203, 0.515568, 0.521041, 0.531986, 0.552669, 0.583384, 0.604222, 0.627714, 0.669823, 0.710133, 0.744847, 0.761767, 0.779814, 0.811096, 0.824561, 0.847475, 0.879882, 0.890889, 0.913038, 0.938352, 0.988979, 1.05656, 1.12413, 1.19171, 1.25929, 1.39444, 1.52959, 3.37864");
-            values ( \
-              "-0.0251066, -0.0538484, -0.0698894, -0.0972551, -0.147916, -0.178694, -0.183608, -0.184792, -0.184524, -0.183524, -0.182049, -0.17858, -0.172205, -0.166485, -0.157725, -0.1307, -0.0968438, -0.0712857, -0.0607661, -0.0509263, -0.036993, -0.0321039, -0.0251362, -0.0175916, -0.0155958, -0.0121823, -0.00916934, -0.00508438, -0.0022672, -0.000992327, -0.000446221, -0.000187608, -3.14922e-05, -1.26281e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0801802");
-            index_3 ("0.253134, 0.426872, 0.50973, 0.513612, 0.521376, 0.527917, 0.539177, 0.556438, 0.58187, 0.618184, 0.655656, 0.723232, 0.731421, 0.741975, 0.763084, 0.786252, 0.810338, 0.850378, 0.894098, 0.936871, 0.990406, 1.07637, 1.1232, 1.15397, 1.195, 1.24881, 1.29844, 1.36462, 1.41263, 1.43034, 1.46574, 1.53332, 1.60089, 1.66847, 1.73605, 1.80363, 1.8712, 2.00636, 2.20909, 2.61455, 3.37899");
-            values ( \
-              "-0.0207217, -0.141483, -0.197726, -0.196107, -0.196584, -0.195579, -0.195528, -0.194083, -0.193079, -0.190403, -0.188345, -0.182784, -0.182467, -0.181184, -0.179528, -0.176808, -0.174323, -0.168419, -0.160465, -0.148422, -0.128138, -0.0922037, -0.0751015, -0.0651711, -0.0535195, -0.040886, -0.0316557, -0.0222303, -0.017141, -0.0155616, -0.0128197, -0.0087868, -0.00601015, -0.00409362, -0.00279161, -0.00189391, -0.00129172, -0.000597289, -0.000183116, -1.52983e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.456998, 0.525697, 0.545744, 0.559509, 0.583296, 0.608202, 0.63206, 0.658575, 0.674908, 0.68973, 0.699063, 0.714307, 0.729424, 0.738843, 0.76323, 0.776658, 0.781183, 0.790234, 0.807183, 0.826909, 0.851672, 0.884028, 1.00593, 1.21587, 1.2254, 1.24146, 1.25319, 1.27664, 1.32355, 1.39113, 1.4587, 1.52628, 1.59386, 1.66143, 1.72901, 1.79659, 1.86417, 1.93174, 1.99932, 2.0669, 2.13447, 2.20205, 2.26963, 3.37877");
-            values ( \
-              "-6.00738e-05, -0.00221965, -0.00342835, -0.00453487, -0.0074871, -0.0119653, -0.0157566, -0.0196289, -0.0217564, -0.0234489, -0.0243419, -0.0253138, -0.0248319, -0.0221305, -0.00879129, -0.00416391, -0.00316465, -0.00179952, -0.000567186, -0.000164544, -7.34752e-05, -2.2858e-05, -1e-22, -2e-22, -0.000194281, -2.93327e-05, -1e-22, -2.17093e-05, -1e-22, -1.77014e-05, -1e-22, -1.60029e-05, -1e-22, -1.4494e-05, -1e-22, -1.31473e-05, -1e-22, -1.1941e-05, -1e-22, -1.08582e-05, -1e-22, -9.88474e-06, -1e-22, -4.31828e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00445023");
-            index_3 ("0.468652, 0.537168, 0.557853, 0.573388, 0.599517, 0.62454, 0.652575, 0.673855, 0.692602, 0.713277, 0.731965, 0.743748, 0.763017, 0.782218, 0.786501, 0.794257, 0.820115, 0.825719, 0.831495, 0.842791, 0.849282, 0.862263, 0.884654, 0.910562, 0.942764, 0.984808, 1.21587, 1.23003, 1.25398, 1.27422, 1.31471, 1.38228, 1.44986, 1.51744, 1.58501, 1.65259, 1.72017, 1.78774, 1.85532, 1.9229, 1.99047, 2.05805, 2.12563, 2.1932, 2.26078, 2.32836, 2.39594, 3.37876");
-            values ( \
-              "-0.00034565, -0.0043949, -0.00646406, -0.00847123, -0.0132563, -0.018892, -0.0246836, -0.0287949, -0.032181, -0.0355965, -0.0382986, -0.0397172, -0.0412178, -0.0401037, -0.0387174, -0.0353065, -0.0174943, -0.0142387, -0.0113642, -0.00702302, -0.00522171, -0.00279978, -0.000806217, -0.000159, -3.04027e-05, -1e-22, -2e-22, -0.00025913, -4.51586e-05, -1e-22, -2.24537e-05, -1e-22, -1.97712e-05, -1e-22, -1.78191e-05, -1e-22, -1.60638e-05, -1e-22, -1.44909e-05, -1e-22, -1.30828e-05, -1e-22, -1.18218e-05, -1e-22, -1.06919e-05, -1e-22, -9.67877e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00916861");
-            index_3 ("0.506789, 0.555641, 0.57112, 0.58472, 0.596377, 0.608406, 0.622085, 0.636556, 0.651425, 0.690149, 0.70394, 0.728437, 0.744394, 0.759065, 0.774734, 0.795793, 0.815226, 0.821644, 0.828062, 0.83448, 0.840898, 0.84732, 0.853742, 0.860164, 0.866586, 0.869517, 0.875549, 0.882609, 0.890163, 0.908353, 0.925627, 0.93166, 0.940709, 0.949877, 0.957973, 0.96386, 0.969748, 0.975635, 0.981523, 0.98741, 0.993298, 1.01065, 1.028, 1.07845, 1.1289, 1.17935, 1.2298, 1.27325, 1.31296, 3.17132");
-            values ( \
-              "-0.00528488, -0.00835524, -0.0106818, -0.0130114, -0.0152805, -0.0180182, -0.0213734, -0.0251536, -0.0288258, -0.0379586, -0.0410543, -0.0463264, -0.0495959, -0.0524725, -0.0553245, -0.0589657, -0.0627428, -0.062786, -0.0627491, -0.062632, -0.062435, -0.0621576, -0.0618, -0.0613623, -0.0608444, -0.0597656, -0.0568081, -0.0522641, -0.0468891, -0.0329119, -0.0214523, -0.0182636, -0.0142295, -0.0108492, -0.00816917, -0.00660355, -0.00526338, -0.00434182, -0.00351692, -0.00278869, -0.00215714, -0.00121447, -0.000398835, -0.000493348, -0.000499035, -0.000415897, -0.000243934, -4.1198e-05, -1.93602e-06, -4.47395e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0188897");
-            index_3 ("0.539971, 0.594339, 0.630372, 0.71034, 0.75256, 0.830783, 0.867882, 0.901821, 0.923249, 0.958498, 0.993758, 0.996055, 1.07326, 1.10816, 1.12462, 1.15097, 1.1861, 1.21571, 1.23052, 1.27284, 1.31387, 1.38145, 3.37861");
-            values ( \
-              "-0.0150917, -0.0173588, -0.0257842, -0.0472821, -0.0577138, -0.0757183, -0.0833886, -0.0895022, -0.0926394, -0.0952305, -0.0886439, -0.0875308, -0.0338628, -0.0181159, -0.0131797, -0.00770668, -0.00350965, -0.00174751, -0.00182628, -0.000688623, -0.000286398, -1.04615e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0389176");
-            index_3 ("0.539603, 0.621953, 0.657948, 0.721584, 0.845438, 0.930317, 1.00027, 1.03817, 1.0525, 1.08233, 1.13189, 1.18143, 1.25559, 1.27821, 1.30922, 1.33362, 1.35108, 1.38599, 1.41188, 1.42886, 1.46282, 1.5304, 1.59798, 1.66555, 1.73313, 1.80071, 2.00344, 3.37858");
-            values ( \
-              "-0.011094, -0.0256914, -0.0350627, -0.0529981, -0.0857865, -0.106929, -0.122751, -0.130156, -0.132612, -0.136833, -0.138582, -0.12269, -0.0706166, -0.0568528, -0.0416301, -0.0322807, -0.0267998, -0.0182774, -0.0137269, -0.0113578, -0.00771994, -0.00344718, -0.00152311, -0.000674957, -0.000293753, -0.000132939, -8.75489e-06, -1.99265e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0801802");
-            index_3 ("0.619979, 0.721951, 0.908954, 1.01587, 1.13116, 1.21546, 1.22769, 1.23912, 1.27564, 1.31886, 1.33867, 1.36949, 1.40273, 1.44572, 1.49902, 1.58509, 1.63185, 1.66251, 1.70339, 1.75736, 1.80715, 1.87354, 1.92134, 1.93897, 1.97424, 2.04181, 2.10939, 2.17697, 2.24454, 2.31212, 2.44727, 2.65, 3.37835");
-            values ( \
-              "-0.0538325, -0.0545097, -0.10707, -0.136065, -0.165084, -0.183382, -0.183244, -0.182384, -0.178971, -0.174181, -0.171593, -0.16684, -0.160314, -0.148485, -0.127995, -0.092162, -0.0750879, -0.0651921, -0.0535789, -0.0408961, -0.0316365, -0.0221931, -0.0171297, -0.0155609, -0.0128254, -0.00879413, -0.00601187, -0.00409815, -0.00279133, -0.00189711, -0.000875351, -0.00027482, -3.56545e-06" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.00767837, 0.00770913, 0.00770228, 0.0075996, 0.00732922, 0.00673838", \
-            "0.00757137, 0.00760637, 0.00760019, 0.00749895, 0.00722803, 0.00663691", \
-            "0.00739737, 0.00742453, 0.00742464, 0.00732434, 0.0070547, 0.00646299", \
-            "0.0071767, 0.00720665, 0.00720346, 0.00710595, 0.00683753, 0.00624939", \
-            "0.00720898, 0.00724171, 0.00720388, 0.00712028, 0.00685634, 0.00627103", \
-            "0.00798126, 0.00801563, 0.00801066, 0.00793439, 0.00765087, 0.00704407" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445023, 0.00916861, 0.0188897, 0.0389176, 0.0801802");
-          values ( \
-            "0.00829517, 0.00827725, 0.00819168, 0.00796055, 0.00743196, 0.00630926", \
-            "0.00823426, 0.00821637, 0.00813045, 0.00789944, 0.00737504, 0.00625888", \
-            "0.00809817, 0.00808052, 0.00799528, 0.00776313, 0.00723964, 0.00612368", \
-            "0.00793579, 0.00791806, 0.00783272, 0.00760113, 0.00707519, 0.00596115", \
-            "0.00794732, 0.00793009, 0.00784587, 0.00761381, 0.00708735, 0.00597195", \
-            "0.00828317, 0.00826173, 0.00818742, 0.0079653, 0.00744102, 0.00631367" \
-          );
-        }
-      }
-    }
-    pin (QN) {
-      direction : output;
-      function : "IQN";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0780164;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0.249697, 0.26454, 0.29314, 0.34989, 0.464695, 0.698907", \
-            "0.253306, 0.268119, 0.296745, 0.353487, 0.468294, 0.702519", \
-            "0.262025, 0.276841, 0.305459, 0.362193, 0.477012, 0.711246", \
-            "0.279979, 0.294863, 0.323454, 0.380204, 0.495016, 0.72925", \
-            "0.303864, 0.318704, 0.347277, 0.404033, 0.518842, 0.753071", \
-            "0.321408, 0.336302, 0.364967, 0.421767, 0.536709, 0.771136" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0.0580924, 0.0761022, 0.115089, 0.197546, 0.368705, 0.720234", \
-            "0.058093, 0.076126, 0.115089, 0.19747, 0.368706, 0.720257", \
-            "0.0580912, 0.0761296, 0.115083, 0.197557, 0.368707, 0.720257", \
-            "0.0580679, 0.0761037, 0.115078, 0.19752, 0.368707, 0.720257", \
-            "0.0580647, 0.0760859, 0.115067, 0.197525, 0.368706, 0.720259", \
-            "0.0581566, 0.0761828, 0.115191, 0.197645, 0.369029, 0.720513" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0.164046, 0.176467, 0.199148, 0.241679, 0.325451, 0.495669", \
-            "0.167497, 0.179917, 0.202578, 0.245077, 0.328883, 0.499104", \
-            "0.175605, 0.188022, 0.210654, 0.253161, 0.336978, 0.507221", \
-            "0.193001, 0.205422, 0.228123, 0.270654, 0.354492, 0.52474", \
-            "0.214252, 0.226654, 0.249298, 0.291778, 0.375643, 0.545908", \
-            "0.230299, 0.242851, 0.265731, 0.308396, 0.392166, 0.562016" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0.0431343, 0.0550624, 0.07958, 0.131182, 0.239673, 0.465845", \
-            "0.0431345, 0.0550631, 0.0795871, 0.131183, 0.239673, 0.465845", \
-            "0.0431437, 0.0550704, 0.0795855, 0.13102, 0.239589, 0.465845", \
-            "0.04322, 0.0551326, 0.0796214, 0.131071, 0.239678, 0.465845", \
-            "0.0433454, 0.0551281, 0.0796639, 0.130955, 0.23964, 0.465863", \
-            "0.0444117, 0.0561257, 0.0803507, 0.131358, 0.239332, 0.465311" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.203649, 0.219872, 0.222794, 0.229585, 0.23662, 0.240636, 0.248846, 0.257058, 0.262707, 0.273428, 0.276388, 0.28862, 0.304018, 0.310874, 0.316602, 0.328836, 0.33541, 0.349089, 0.362932, 0.387805, 0.409672");
-            values ( \
-              "0.000469831, 0.0344566, 0.0379116, 0.0430337, 0.0461673, 0.0472186, 0.0475305, 0.0451735, 0.0424115, 0.037994, 0.0344339, 0.023177, 0.0135868, 0.01098, 0.011259, 0.00908369, 0.00737318, 0.00463766, 0.00277052, 0.00105145, 0.000518207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00442594");
-            index_3 ("0.208924, 0.215229, 0.223881, 0.23549, 0.239214, 0.25009, 0.260821, 0.27156, 0.291507, 0.313439, 0.345645, 0.400141, 0.430567, 0.456126");
-            values ( \
-              "0.0106989, 0.0399441, 0.0577571, 0.0691789, 0.0715462, 0.0746614, 0.0738202, 0.070004, 0.0595084, 0.0371545, 0.0162924, 0.00597775, 0.00262977, 0.00171131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00906882");
-            index_3 ("0.212989, 0.225742, 0.235284, 0.242481, 0.250572, 0.254643, 0.260071, 0.267729, 0.285447, 0.300616, 0.320973, 0.331861, 0.355192, 0.379054, 0.391536, 0.412194, 0.424553, 0.435908, 0.477486, 0.495111, 0.513651, 0.538632, 0.57194, 0.617938, 0.683795");
-            values ( \
-              "0.0481218, 0.0787869, 0.0918801, 0.0981933, 0.102192, 0.103189, 0.103756, 0.103439, 0.0995099, 0.093569, 0.0845471, 0.0768286, 0.0577776, 0.0413154, 0.0342041, 0.0241847, 0.0198559, 0.0171421, 0.0101229, 0.00770309, 0.00567712, 0.00368442, 0.00203069, 0.000816441, 0.000222695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0185822");
-            index_3 ("0.216284, 0.22503, 0.236005, 0.248236, 0.257878, 0.269618, 0.274548, 0.284408, 0.300843, 0.328251, 0.357376, 0.388996, 0.411967, 0.451548, 0.491751, 0.512995, 0.540664, 0.564669, 0.583312, 0.621855, 0.658763, 0.71239, 0.753271, 0.799992, 0.863565, 0.945204, 1.02841");
-            values ( \
-              "0.0904828, 0.0911385, 0.110738, 0.122868, 0.127397, 0.129007, 0.128952, 0.127931, 0.125157, 0.118865, 0.110949, 0.100897, 0.0901537, 0.0694262, 0.05074, 0.0423284, 0.0329757, 0.0261052, 0.0219173, 0.0158864, 0.0116041, 0.00707219, 0.00473482, 0.00292282, 0.00147813, 0.000587917, 0.000231423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0380751");
-            index_3 ("0.220056, 0.241734, 0.256194, 0.267042, 0.278541, 0.293873, 0.317792, 0.421579, 0.472201, 0.531422, 0.57505, 0.686871, 0.761566, 0.825926, 0.853115, 0.907494, 0.960086, 1.02842, 1.12451, 1.19198, 1.26909, 1.3523, 1.4355, 1.49238");
-            values ( \
-              "0.122662, 0.13072, 0.14254, 0.146104, 0.147161, 0.146626, 0.144082, 0.129568, 0.121584, 0.110103, 0.0983324, 0.0658626, 0.0474782, 0.0348119, 0.0303431, 0.022911, 0.0177324, 0.012668, 0.00772572, 0.00542164, 0.00352447, 0.00222631, 0.00136781, 0.00113332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0780164");
-            index_3 ("0.229935, 0.229955, 0.373186, 0.600247, 0.706687, 0.822373, 0.955099, 1.12589, 1.234, 1.38105, 1.53731, 1.65361, 1.80302, 1.95125, 2.04461, 2.21102, 2.37743, 2.71025, 3.04306");
-            values ( \
-              "1e-22, 0.170987, 0.153664, 0.136477, 0.127528, 0.115291, 0.0958651, 0.069358, 0.0546974, 0.0383659, 0.0255679, 0.0188697, 0.0127022, 0.00847933, 0.00653978, 0.00406962, 0.00250425, 0.000913711, 0.000303094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.222383, 0.227032, 0.229983, 0.237002, 0.24365, 0.25083, 0.254841, 0.263051, 0.271264, 0.276923, 0.287571, 0.290593, 0.302825, 0.318226, 0.325018, 0.330808, 0.343001, 0.349503, 0.36344, 0.377143, 0.401564, 0.425684, 0.427102");
-            values ( \
-              "0.0103338, 0.0231361, 0.0286523, 0.0378977, 0.042958, 0.046188, 0.0471905, 0.0475574, 0.0451422, 0.0424042, 0.0380327, 0.0344416, 0.0231823, 0.0135816, 0.0109943, 0.0112536, 0.009087, 0.0074052, 0.00461165, 0.0027677, 0.00106866, 0.000469874, 0.000451912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00442594");
-            index_3 ("0.222313, 0.229527, 0.238022, 0.247881, 0.253506, 0.261336, 0.269749, 0.275093, 0.285779, 0.298108, 0.310275, 0.319493, 0.329696, 0.337345, 0.358735, 0.368341, 0.409328, 0.427288, 0.437332, 0.457421, 0.490375, 0.533606");
-            values ( \
-              "0.00428917, 0.0399313, 0.0575162, 0.067944, 0.071421, 0.074225, 0.0747605, 0.0738673, 0.0699269, 0.0641034, 0.0552547, 0.0448828, 0.0356653, 0.0297588, 0.0168086, 0.014495, 0.006819, 0.00425459, 0.00328021, 0.00182354, 0.00071563, 0.00014182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00906882");
-            index_3 ("0.226746, 0.233211, 0.236128, 0.240138, 0.24593, 0.24961, 0.256921, 0.264929, 0.268905, 0.274206, 0.282055, 0.299766, 0.314941, 0.335301, 0.34619, 0.369511, 0.393385, 0.405865, 0.426519, 0.438873, 0.450122, 0.473931, 0.49178, 0.509292, 0.527967, 0.553104, 0.58662, 0.632279, 0.697652");
-            values ( \
-              "0.0384213, 0.062694, 0.0704956, 0.0788488, 0.0875082, 0.0918862, 0.0982777, 0.102199, 0.103174, 0.103751, 0.103437, 0.0995121, 0.0935687, 0.0845462, 0.0768259, 0.0577825, 0.0413118, 0.034202, 0.0241845, 0.0198573, 0.0171656, 0.012893, 0.0101275, 0.00772102, 0.00567816, 0.00367492, 0.00201716, 0.000816933, 0.000225676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0185822");
-            index_3 ("0.23016, 0.237882, 0.248074, 0.254466, 0.262509, 0.27179, 0.280517, 0.28879, 0.301774, 0.341579, 0.380994, 0.403161, 0.419437, 0.477187, 0.505995, 0.527408, 0.554893, 0.578627, 0.597023, 0.635906, 0.674557, 0.726936, 0.7659, 0.810431, 0.871975, 0.95191, 1.03511");
-            values ( \
-              "0.0856881, 0.0876754, 0.107749, 0.115874, 0.123027, 0.127196, 0.12891, 0.12882, 0.127597, 0.119147, 0.108412, 0.101118, 0.0935169, 0.0636486, 0.0506905, 0.0422555, 0.0329417, 0.0261697, 0.0219959, 0.0158915, 0.0114319, 0.00704082, 0.00481738, 0.00303518, 0.00158355, 0.000634876, 0.000259938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0380751");
-            index_3 ("0.234178, 0.255935, 0.270414, 0.281096, 0.285124, 0.293179, 0.309289, 0.332051, 0.430012, 0.48645, 0.545671, 0.589266, 0.70112, 0.77578, 0.840175, 0.867391, 0.921823, 0.974339, 1.04258, 1.13861, 1.20696, 1.28509, 1.36829, 1.49437");
-            values ( \
-              "0.121116, 0.130762, 0.14262, 0.145973, 0.146746, 0.147076, 0.146631, 0.14398, 0.130484, 0.121584, 0.110103, 0.0983417, 0.0658623, 0.0474862, 0.0348122, 0.0303387, 0.022902, 0.0177321, 0.0126739, 0.00773241, 0.00540036, 0.00349021, 0.00220429, 0.00118463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0780164");
-            index_3 ("0.24288, 0.2429, 0.387156, 0.614475, 0.720842, 0.804046, 0.836606, 0.896629, 1.14012, 1.24824, 1.39529, 1.55154, 1.66785, 1.81725, 1.96548, 2.05883, 2.22524, 2.39165, 2.72447, 3.05728");
-            values ( \
-              "1e-22, 0.168127, 0.153708, 0.136449, 0.127492, 0.11888, 0.115252, 0.106634, 0.0693901, 0.054727, 0.038395, 0.0255929, 0.018894, 0.0127259, 0.00850237, 0.00656229, 0.00409173, 0.00252605, 0.000935027, 0.000324012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.255631, 0.261238, 0.271199, 0.275455, 0.280589, 0.285117, 0.289044, 0.297251, 0.305464, 0.321666, 0.330161, 0.337024, 0.352431, 0.359129, 0.364462, 0.377257, 0.390607, 0.397449, 0.407166, 0.419724, 0.436469, 0.462938, 0.495784");
-            values ( \
-              "0.004363, 0.0234128, 0.0378971, 0.0413884, 0.0444502, 0.0461898, 0.0472167, 0.047538, 0.0451639, 0.0381006, 0.0292298, 0.023187, 0.0135797, 0.0110098, 0.0112861, 0.00898487, 0.00592497, 0.00469611, 0.00326005, 0.00204062, 0.00109845, 0.000354317, 0.000107368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00442594");
-            index_3 ("0.255723, 0.26719, 0.27232, 0.279511, 0.287888, 0.295699, 0.298771, 0.304129, 0.309473, 0.320161, 0.33249, 0.344604, 0.345973, 0.354186, 0.360668, 0.37091, 0.392755, 0.397397, 0.443512, 0.456556, 0.471952, 0.49248, 0.525542, 0.568678");
-            values ( \
-              "0.00488313, 0.0481214, 0.0575003, 0.0655753, 0.0714729, 0.074146, 0.0746048, 0.0746881, 0.0739245, 0.0698605, 0.064099, 0.0553058, 0.0530902, 0.0445695, 0.0385411, 0.0303532, 0.0170163, 0.0156181, 0.00688311, 0.0048848, 0.00321763, 0.00183262, 0.000653446, 0.000186244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00906882");
-            index_3 ("0.259536, 0.267405, 0.274282, 0.280842, 0.289733, 0.29753, 0.300442, 0.306266, 0.316242, 0.332833, 0.349135, 0.37107, 0.378428, 0.407218, 0.423652, 0.434437, 0.460084, 0.469525, 0.480314, 0.505609, 0.536113, 0.562845, 0.57709, 0.604116, 0.644198, 0.696925, 0.773808");
-            values ( \
-              "0.020391, 0.0626798, 0.0786857, 0.0884232, 0.0972665, 0.101649, 0.102545, 0.103626, 0.103442, 0.0998695, 0.0935688, 0.0837195, 0.0784428, 0.0550871, 0.0437695, 0.0372672, 0.0244085, 0.0208869, 0.0180021, 0.013313, 0.00868416, 0.00563657, 0.004403, 0.00273254, 0.00127586, 0.00045942, 7.139e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0185822");
-            index_3 ("0.26322, 0.275392, 0.288544, 0.295931, 0.301255, 0.307339, 0.319177, 0.33067, 0.349246, 0.365963, 0.398156, 0.42075, 0.437346, 0.469651, 0.497515, 0.52073, 0.556275, 0.6001, 0.620363, 0.640118, 0.672873, 0.689462, 0.715723, 0.748688, 0.767072, 0.820105, 0.874498, 0.944359, 1.02756, 1.11077");
-            values ( \
-              "0.0632042, 0.0954522, 0.115821, 0.122403, 0.125452, 0.127524, 0.129044, 0.128252, 0.125163, 0.12151, 0.113089, 0.106574, 0.100677, 0.0858142, 0.0706192, 0.0593427, 0.04424, 0.0296075, 0.0243142, 0.0204054, 0.0155686, 0.0135005, 0.0107802, 0.00791469, 0.00663436, 0.00395046, 0.00219511, 0.00105692, 0.000379578, 0.000180756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0380751");
-            index_3 ("0.269218, 0.290341, 0.304527, 0.315116, 0.319375, 0.327894, 0.344879, 0.366302, 0.464265, 0.520703, 0.579923, 0.623234, 0.735373, 0.809728, 0.874424, 0.901868, 0.956755, 1.00863, 1.07606, 1.1724, 1.24338, 1.32451, 1.40771, 1.53056");
-            values ( \
-              "0.130445, 0.130888, 0.142495, 0.145973, 0.146694, 0.147128, 0.146479, 0.144016, 0.130441, 0.121583, 0.110102, 0.0984246, 0.0658629, 0.047552, 0.0348117, 0.0303041, 0.0228219, 0.0177267, 0.0127212, 0.00775039, 0.00533923, 0.00338817, 0.00214162, 0.0011768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0780164");
-            index_3 ("0.275445, 0.275465, 0.421183, 0.682621, 0.755032, 0.838236, 0.930819, 1.17431, 1.28243, 1.36564, 1.46692, 1.58573, 1.66894, 1.76823, 1.91647, 2.03079, 2.17623, 2.34264, 2.50904, 2.84186, 3.09147");
-            values ( \
-              "1e-22, 0.164438, 0.153822, 0.133659, 0.127392, 0.11898, 0.106734, 0.0694892, 0.0548257, 0.0450515, 0.0350248, 0.0256899, 0.0206314, 0.0159095, 0.010705, 0.007825, 0.0052069, 0.00323467, 0.00199222, 0.000734893, 0.000417821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.333809, 0.344698, 0.350026, 0.354755, 0.361735, 0.368543, 0.375546, 0.379142, 0.383936, 0.398238, 0.404257, 0.411898, 0.420834, 0.435828, 0.450321, 0.477172, 0.486778, 0.498961, 0.532871");
-            values ( \
-              "0.00356818, 0.0301888, 0.037195, 0.041274, 0.0451255, 0.0471696, 0.0476916, 0.0471648, 0.0454603, 0.0395219, 0.0351545, 0.0265939, 0.0201309, 0.0116637, 0.0102662, 0.00468527, 0.00321548, 0.00203248, 0.00067818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00442594");
-            index_3 ("0.338272, 0.348349, 0.354882, 0.361172, 0.367145, 0.37288, 0.378026, 0.388747, 0.399491, 0.418919, 0.441335, 0.455287, 0.473577, 0.527839, 0.540432, 0.558658, 0.582959, 0.620029, 0.666316");
-            values ( \
-              "0.0248756, 0.05195, 0.0615776, 0.0675895, 0.0714895, 0.0736324, 0.0746064, 0.0738734, 0.0699527, 0.059985, 0.0371855, 0.0268199, 0.0163123, 0.00601035, 0.00431181, 0.00261389, 0.00133585, 0.000395963, 0.000113499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00906882");
-            index_3 ("0.338473, 0.346898, 0.351902, 0.357501, 0.365333, 0.369398, 0.377528, 0.379931, 0.384738, 0.394352, 0.398484, 0.403994, 0.411749, 0.426703, 0.439346, 0.461813, 0.467628, 0.478814, 0.49249, 0.510135, 0.520663, 0.54103, 0.545186, 0.550562, 0.56105, 0.585362, 0.614913, 0.642333, 0.660239, 0.687873, 0.726684, 0.779851, 0.855261");
-            values ( \
-              "0.0148243, 0.0627651, 0.0751244, 0.0846169, 0.0939651, 0.0973827, 0.101826, 0.102545, 0.103497, 0.103579, 0.10308, 0.102072, 0.0999695, 0.0943321, 0.0892644, 0.0762082, 0.0699251, 0.0615683, 0.0506957, 0.039387, 0.033682, 0.0238176, 0.0222069, 0.0204755, 0.0177033, 0.0132299, 0.00873641, 0.00559609, 0.00417596, 0.00248711, 0.00125359, 0.000388647, 0.000116055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0185822");
-            index_3 ("0.345366, 0.361894, 0.368159, 0.376305, 0.381345, 0.388065, 0.402614, 0.415645, 0.428902, 0.456323, 0.485422, 0.51703, 0.537473, 0.583919, 0.597733, 0.614832, 0.634146, 0.666642, 0.679723, 0.700177, 0.719856, 0.752464, 0.769395, 0.795532, 0.828053, 0.846666, 0.869548, 0.900057, 0.953628, 1.02249, 1.10569, 1.18889");
-            values ( \
-              "0.0948428, 0.107657, 0.115849, 0.122928, 0.12565, 0.127806, 0.129005, 0.127522, 0.125152, 0.118913, 0.110959, 0.100985, 0.0914799, 0.0672464, 0.0605984, 0.0528668, 0.0449272, 0.0336122, 0.0296042, 0.0242709, 0.0203709, 0.0155584, 0.0134716, 0.0107486, 0.00794287, 0.00664663, 0.00530963, 0.00392387, 0.00221975, 0.00106288, 0.000399404, 0.00017105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0380751");
-            index_3 ("0.348382, 0.369722, 0.384252, 0.394778, 0.406816, 0.422866, 0.445717, 0.549495, 0.60012, 0.65934, 0.702339, 0.81479, 0.88881, 0.953837, 0.981532, 1.03692, 1.08809, 1.15462, 1.2513, 1.32517, 1.40838, 1.49158, 1.61184");
-            values ( \
-              "0.127534, 0.130773, 0.142585, 0.146064, 0.147161, 0.146542, 0.14407, 0.129569, 0.121582, 0.110102, 0.0985152, 0.0658629, 0.047625, 0.0348117, 0.0302654, 0.0227341, 0.0177213, 0.0127745, 0.0077709, 0.00527257, 0.00330296, 0.00208923, 0.00116819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0780164");
-            index_3 ("0.354764, 0.354784, 0.500432, 0.711182, 0.834527, 0.917731, 1.01031, 1.25381, 1.36193, 1.44513, 1.54641, 1.66523, 1.74843, 1.84773, 1.99596, 2.11028, 2.25572, 2.42213, 2.58854, 2.92136, 3.17097");
-            values ( \
-              "1e-22, 0.164071, 0.153825, 0.137743, 0.127408, 0.118964, 0.106718, 0.0694738, 0.0548103, 0.0450669, 0.0350094, 0.0256744, 0.0206469, 0.015925, 0.0107205, 0.00784051, 0.00522241, 0.00325019, 0.00200773, 0.000750403, 0.000402303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.507526, 0.512363, 0.51787, 0.522279, 0.528351, 0.536097, 0.540089, 0.548292, 0.556498, 0.562252, 0.572537, 0.581183, 0.587993, 0.603622, 0.610128, 0.615512, 0.619241, 0.627986, 0.642597, 0.659165, 0.667206, 0.677928, 0.699371, 0.711863");
-            values ( \
-              "0.00868397, 0.023526, 0.0325498, 0.0380092, 0.0427257, 0.0462616, 0.0472375, 0.0475906, 0.0451571, 0.0423689, 0.0382052, 0.0292281, 0.0232443, 0.0134855, 0.0110344, 0.0112783, 0.0107241, 0.00905072, 0.00573362, 0.00314777, 0.00233714, 0.00158542, 0.00066487, 0.000472672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00442594");
-            index_3 ("0.507492, 0.514912, 0.521606, 0.526991, 0.535312, 0.54447, 0.549685, 0.560411, 0.571499, 0.582093, 0.590966, 0.596839, 0.61299, 0.626908, 0.645186, 0.699224, 0.712067, 0.730579, 0.755263, 0.782114");
-            values ( \
-              "0.00248313, 0.0405232, 0.0548679, 0.06221, 0.0695561, 0.0737392, 0.0745825, 0.0739579, 0.069763, 0.0648745, 0.0596475, 0.0528273, 0.0371725, 0.0268352, 0.0163259, 0.00605344, 0.00431535, 0.00259548, 0.00130934, 0.000641387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00906882");
-            index_3 ("0.514219, 0.523457, 0.529092, 0.533811, 0.537035, 0.541334, 0.549887, 0.55473, 0.561045, 0.567289, 0.579776, 0.583317, 0.5904, 0.600166, 0.62342, 0.633413, 0.650423, 0.664035, 0.67197, 0.68255, 0.703299, 0.712391, 0.723965, 0.736783, 0.750592, 0.776243, 0.788925, 0.807662, 0.822873, 0.843154, 0.880081, 0.927018, 0.993414");
-            values ( \
-              "0.0695348, 0.075237, 0.084803, 0.0908773, 0.094222, 0.0977672, 0.102177, 0.103325, 0.103811, 0.103458, 0.101028, 0.100041, 0.0975183, 0.0935495, 0.0829473, 0.0752264, 0.0612278, 0.0509046, 0.0455138, 0.0389661, 0.0281202, 0.0239453, 0.0198349, 0.0168761, 0.0143487, 0.0102026, 0.00845487, 0.0062291, 0.00481356, 0.00339993, 0.00169665, 0.000704916, 0.000154379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0185822");
-            index_3 ("0.516426, 0.530064, 0.539654, 0.54778, 0.553499, 0.561126, 0.574073, 0.587086, 0.600363, 0.622663, 0.656883, 0.688488, 0.714106, 0.767555, 0.797193, 0.844075, 0.868416, 0.888949, 0.923891, 0.970318, 1.01826, 1.04741, 1.08846, 1.14451, 1.21482, 1.29803");
-            values ( \
-              "0.0917108, 0.102468, 0.115982, 0.122985, 0.125974, 0.128215, 0.128944, 0.12755, 0.125114, 0.120135, 0.110916, 0.100968, 0.0887979, 0.0613471, 0.0482595, 0.0317137, 0.0250569, 0.0207501, 0.0155295, 0.010403, 0.00663034, 0.00500273, 0.00326059, 0.00182527, 0.000818033, 0.000342404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0380751");
-            index_3 ("0.521885, 0.555316, 0.563155, 0.570388, 0.584857, 0.600962, 0.617316, 0.721091, 0.771719, 0.830939, 0.873783, 0.985979, 1.05622, 1.11038, 1.15795, 1.19515, 1.24377, 1.29629, 1.36631, 1.43127, 1.53444, 1.61765, 1.70085, 1.78693");
-            values ( \
-              "0.137234, 0.142367, 0.145334, 0.146625, 0.147174, 0.145934, 0.144052, 0.12957, 0.121581, 0.1101, 0.0985603, 0.065975, 0.0485515, 0.0375415, 0.029503, 0.0243833, 0.0191878, 0.0148523, 0.0104535, 0.00746037, 0.00427434, 0.00267623, 0.0016778, 0.00114591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0780164");
-            index_3 ("0.528712, 0.528732, 0.671618, 0.933514, 1.00592, 1.08913, 1.18171, 1.4252, 1.53333, 1.68037, 1.83663, 1.95293, 2.10233, 2.25056, 2.42712, 2.59353, 2.75994, 2.92179");
-            values ( \
-              "1e-22, 0.169759, 0.153828, 0.133632, 0.127419, 0.118953, 0.106707, 0.0694628, 0.0547996, 0.0384674, 0.0256644, 0.0189652, 0.0127968, 0.00857305, 0.00523205, 0.00325978, 0.00201728, 0.00156589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.876971, 0.889192, 0.894865, 0.900725, 0.90873, 0.912726, 0.920376, 0.924365, 0.936839, 0.941617, 0.947989, 0.953851, 0.963624, 0.976659, 0.98307, 0.988743, 1.02184, 1.03499, 1.05764, 1.08704");
-            values ( \
-              "0.00092202, 0.0307887, 0.0378695, 0.0424447, 0.0461205, 0.04712, 0.0475423, 0.046791, 0.0412137, 0.0398229, 0.0358318, 0.0291821, 0.0211603, 0.0132911, 0.011053, 0.0112446, 0.00454475, 0.00278428, 0.00115799, 0.000570562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00442594");
-            index_3 ("0.882372, 0.892147, 0.899372, 0.911234, 0.916903, 0.922127, 0.932872, 0.954529, 0.963578, 0.985522, 0.999308, 1.01765, 1.07162, 1.10288, 1.12748, 1.1642, 1.21024");
-            values ( \
-              "0.0252119, 0.0514168, 0.0619921, 0.0713439, 0.0735319, 0.0744487, 0.0737937, 0.0648345, 0.0594938, 0.0371543, 0.0269117, 0.0163496, 0.00607882, 0.00261506, 0.00132201, 0.000399655, 0.000113393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00906882");
-            index_3 ("0.877046, 0.901395, 0.907543, 0.913176, 0.919018, 0.9242, 0.932252, 0.940026, 0.955814, 0.957792, 0.972964, 0.995558, 1.00308, 1.02984, 1.04854, 1.06073, 1.08422, 1.08756, 1.092, 1.10089, 1.11227, 1.13946, 1.15518, 1.17323, 1.18355, 1.20248, 1.2291, 1.25838, 1.28811, 1.29866");
-            values ( \
-              "0.00558798, 0.0841092, 0.0918262, 0.0968205, 0.100515, 0.102316, 0.103643, 0.10324, 0.100006, 0.0993874, 0.0934632, 0.083301, 0.077729, 0.0560142, 0.0431187, 0.035917, 0.0243144, 0.0230327, 0.0213737, 0.0188006, 0.0163881, 0.0117041, 0.00933951, 0.00705153, 0.00593607, 0.00431205, 0.00268109, 0.00162385, 0.000939813, 0.000818976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0185822");
-            index_3 ("0.888948, 0.902329, 0.912156, 0.920506, 0.928689, 0.937957, 0.946843, 0.959853, 0.973175, 1.00063, 1.02976, 1.06135, 1.07771, 1.13515, 1.16494, 1.18609, 1.22062, 1.25113, 1.26891, 1.30522, 1.34651, 1.38921, 1.44717, 1.50018, 1.56726, 1.57593");
-            values ( \
-              "0.090234, 0.101443, 0.115464, 0.122727, 0.126663, 0.128495, 0.128731, 0.127309, 0.125004, 0.118804, 0.110828, 0.100911, 0.0935395, 0.0638431, 0.0503774, 0.0421103, 0.030572, 0.0228603, 0.0196423, 0.0145134, 0.0101298, 0.00678592, 0.00380296, 0.00218204, 0.00104812, 0.00100177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0380751");
-            index_3 ("0.891667, 0.90843, 0.919049, 0.928223, 0.938625, 0.952103, 0.968939, 0.990105, 1.09399, 1.14467, 1.20394, 1.21581, 1.3563, 1.43893, 1.48668, 1.52248, 1.56278, 1.6144, 1.67417, 1.74788, 1.80511, 1.89582, 1.97903, 2.06223, 2.22864, 2.39505");
-            values ( \
-              "0.121654, 0.122924, 0.135875, 0.142143, 0.145776, 0.147011, 0.146185, 0.143933, 0.129438, 0.121469, 0.110024, 0.107031, 0.0667266, 0.046459, 0.03696, 0.0308554, 0.0250544, 0.019429, 0.0145114, 0.00999759, 0.00742394, 0.00455644, 0.00286123, 0.0017908, 0.000692722, 0.00026784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0780164");
-            index_3 ("0.898866, 0.898886, 1.04507, 1.26465, 1.37954, 1.49523, 1.62795, 1.79874, 1.90687, 1.99007, 2.09135, 2.21017, 2.29337, 2.39268, 2.54091, 2.65524, 2.80068, 2.96709, 3.1335, 3.33026");
-            values ( \
-              "1e-22, 0.163036, 0.153674, 0.137093, 0.127428, 0.115192, 0.0957687, 0.0694504, 0.0547878, 0.0450892, 0.0349884, 0.0256532, 0.020667, 0.0159447, 0.0107405, 0.00786061, 0.00524255, 0.00327037, 0.00202791, 0.00121274" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0.00553573, 0.00553613, 0.00553657, 0.00553693, 0.00553716, 0.0055373", \
-            "0.00611971, 0.00611973, 0.00611981, 0.00611992, 0.00612001, 0.00612006", \
-            "0.00651455, 0.00651453, 0.00651453, 0.00651455, 0.00651458, 0.0065146", \
-            "0.00686262, 0.00686259, 0.00686258, 0.00686259, 0.0068626, 0.00686261", \
-            "0.0071519, 0.00715189, 0.00715187, 0.00715186, 0.00715186, 0.00715186", \
-            "0.00739454, 0.00739454, 0.00739453, 0.00739452, 0.00739451, 0.0073945" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0.00665499, 0.00665461, 0.00665435, 0.00665423, 0.00665418, 0.00665417", \
-            "0.00688504, 0.00688485, 0.00688467, 0.00688455, 0.00688448, 0.00688445", \
-            "0.0071308, 0.00713076, 0.00713069, 0.00713061, 0.00713058, 0.00713054", \
-            "0.00769118, 0.00769132, 0.0076913, 0.00769125, 0.0076912, 0.00769119", \
-            "0.00764195, 0.00764199, 0.00764166, 0.00764167, 0.00764166, 0.00764165", \
-            "0.00727825, 0.00728559, 0.00729874, 0.0073058, 0.00731064, 0.00731263" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.127801, 0.134889, 0.139476, 0.146026, 0.150202, 0.157669, 0.164692, 0.171569, 0.178419, 0.185269, 0.186759, 0.19187, 0.201924, 0.209012, 0.217326, 0.222656, 0.229429, 0.236061, 0.257826, 0.292916, 0.327744");
-            values ( \
-              "-0.0087167, -0.0309249, -0.0382301, -0.0459991, -0.0496297, -0.0545669, -0.0561282, -0.0570623, -0.0565249, -0.0536348, -0.0525928, -0.0467052, -0.0280961, -0.0178599, -0.00994795, -0.00668346, -0.00353701, -0.00161398, -0.00189684, -0.000613777, -8.91993e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00442594");
-            index_3 ("0.13017, 0.137945, 0.143544, 0.151757, 0.156932, 0.166325, 0.175215, 0.183959, 0.192698, 0.201811, 0.203822, 0.206503, 0.211994, 0.227084, 0.234938, 0.24547, 0.251122, 0.2598, 0.270544, 0.279368, 0.309784, 0.347082");
-            values ( \
-              "-0.0230666, -0.0517194, -0.0629017, -0.075421, -0.0814015, -0.0883148, -0.0908982, -0.0915084, -0.0903726, -0.0848723, -0.0827818, -0.079531, -0.069599, -0.0375364, -0.0255785, -0.0147695, -0.0108476, -0.00651469, -0.00281814, -0.00156524, -0.00168594, -0.000998453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00906882");
-            index_3 ("0.13533, 0.150033, 0.161217, 0.168414, 0.18154, 0.194179, 0.20673, 0.219473, 0.225299, 0.232792, 0.240459, 0.247997, 0.260093, 0.267864, 0.275972, 0.282036, 0.289935, 0.296995, 0.304911, 0.316559, 0.322894, 0.333129, 0.346775, 0.368909, 0.399951, 0.5299");
-            values ( \
-              "-0.0776445, -0.0948572, -0.112507, -0.11998, -0.128498, -0.130295, -0.129999, -0.126293, -0.123297, -0.117455, -0.108248, -0.0946857, -0.0702093, -0.0563567, -0.0439894, -0.0362635, -0.0278964, -0.0219549, -0.0166853, -0.0109651, -0.00864854, -0.00573617, -0.00299113, -0.00111515, -0.00125573, -0.000240506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0185822");
-            index_3 ("0.135088, 0.142793, 0.152423, 0.168476, 0.17443, 0.186339, 0.196086, 0.2083, 0.228599, 0.248916, 0.256831, 0.27036, 0.281111, 0.292857, 0.315826, 0.337625, 0.367076, 0.377284, 0.39424, 0.414915, 0.433904, 0.45692, 0.475203, 0.51177, 0.555962, 0.795153");
-            values ( \
-              "-0.0824369, -0.0912458, -0.116111, -0.143055, -0.14944, -0.158658, -0.162622, -0.165092, -0.164412, -0.161077, -0.158997, -0.154381, -0.14936, -0.142119, -0.117521, -0.0866691, -0.0528436, -0.0438443, -0.0317796, -0.021152, -0.0143737, -0.00888799, -0.00590241, -0.00225014, -0.000954789, -0.00018957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0380751");
-            index_3 ("0.138143, 0.155275, 0.164429, 0.185325, 0.19425, 0.209015, 0.222563, 0.24079, 0.25871, 0.290052, 0.33294, 0.372133, 0.413937, 0.42787, 0.443464, 0.50002, 0.525667, 0.551852, 0.57088, 0.602236, 0.640109, 0.674648, 0.713357, 0.743549, 0.803438, 0.863326, 0.923214, 1.16277");
-            values ( \
-              "-0.115045, -0.133355, -0.151025, -0.175539, -0.181111, -0.186965, -0.189246, -0.190162, -0.189079, -0.185749, -0.179171, -0.170851, -0.156698, -0.149448, -0.139018, -0.0929542, -0.0743866, -0.0583914, -0.0485667, -0.035433, -0.0238746, -0.0164538, -0.0107808, -0.00767049, -0.0036757, -0.00170126, -0.00102265, -0.000341839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0780164");
-            index_3 ("0.146944, 0.220765, 0.241061, 0.265279, 0.289533, 0.358984, 0.430141, 0.497753, 0.579745, 0.639634, 0.661171, 0.703229, 0.750005, 0.831383, 0.883532, 0.92304, 0.975718, 1.01611, 1.04844, 1.09154, 1.15143, 1.19792, 1.2637, 1.38347, 1.50325, 1.62303, 1.7428, 1.92247");
-            values ( \
-              "-0.17875, -0.201798, -0.204601, -0.204885, -0.204427, -0.200232, -0.194891, -0.189002, -0.179641, -0.169408, -0.164715, -0.15166, -0.132512, -0.0967185, -0.076532, -0.0635269, -0.0491227, -0.0397998, -0.033622, -0.0268908, -0.0192633, -0.0149032, -0.0103007, -0.00511331, -0.0024575, -0.00122525, -0.000661492, -0.000464765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.143149, 0.15059, 0.155792, 0.164342, 0.171808, 0.178832, 0.185709, 0.192559, 0.200895, 0.20599, 0.21609, 0.22311, 0.231459, 0.24366, 0.250271, 0.271801, 0.306694, 0.309687");
-            values ( \
-              "-0.0192743, -0.0336507, -0.0408802, -0.0497554, -0.0544495, -0.0562343, -0.0569615, -0.0566181, -0.0525914, -0.0467288, -0.0280561, -0.017913, -0.00995056, -0.0035, -0.0016095, -0.00190209, -0.000621417, -0.000575444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00442594");
-            index_3 ("0.14312, 0.14912, 0.153916, 0.16037, 0.171021, 0.180426, 0.189305, 0.198049, 0.206788, 0.215566, 0.217911, 0.220592, 0.226084, 0.241174, 0.249029, 0.259561, 0.265212, 0.273891, 0.284635, 0.293459, 0.323748, 0.360176");
-            values ( \
-              "-0.00993083, -0.0432514, -0.0556615, -0.0674833, -0.0813253, -0.0883923, -0.0908233, -0.0915808, -0.0902973, -0.0851451, -0.0827864, -0.0795281, -0.0696031, -0.0375396, -0.0255807, -0.0147673, -0.0108496, -0.00651251, -0.00281988, -0.0015633, -0.00168401, -0.00101126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00906882");
-            index_3 ("0.14876, 0.158996, 0.167796, 0.176202, 0.182445, 0.195622, 0.208229, 0.220781, 0.233331, 0.239346, 0.246844, 0.254569, 0.26205, 0.274151, 0.281918, 0.29002, 0.296085, 0.303986, 0.31105, 0.318965, 0.330612, 0.336946, 0.347179, 0.360821, 0.38285, 0.413757, 0.543084");
-            values ( \
-              "-0.0757193, -0.0837826, -0.101254, -0.113481, -0.120054, -0.128363, -0.130404, -0.12987, -0.126435, -0.123302, -0.117458, -0.108165, -0.0946763, -0.0702041, -0.0563479, -0.0439993, -0.0362644, -0.0279006, -0.0219556, -0.0166816, -0.0109674, -0.00865112, -0.00573929, -0.00298972, -0.00112243, -0.00125161, -0.000241689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0185822");
-            index_3 ("0.148922, 0.166582, 0.183742, 0.191907, 0.201889, 0.219524, 0.228427, 0.242763, 0.255425, 0.2791, 0.295293, 0.307022, 0.329994, 0.351791, 0.381245, 0.408407, 0.429082, 0.448071, 0.461946, 0.489372, 0.525939, 0.569973, 0.623519");
-            values ( \
-              "-0.0757354, -0.116073, -0.144235, -0.152479, -0.159251, -0.164889, -0.165335, -0.164467, -0.162556, -0.156367, -0.149341, -0.142099, -0.117523, -0.086665, -0.0528451, -0.0317756, -0.021148, -0.0143781, -0.0107696, -0.00589767, -0.00225527, -0.000951456, -0.000779861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0380751");
-            index_3 ("0.152504, 0.16914, 0.178547, 0.194839, 0.199447, 0.208663, 0.223278, 0.236688, 0.254915, 0.272837, 0.304388, 0.347051, 0.386295, 0.425814, 0.441564, 0.457352, 0.514608, 0.539897, 0.565566, 0.584737, 0.616355, 0.654362, 0.688848, 0.727338, 0.757336, 0.817225, 0.877113, 0.99689, 1.17656");
-            values ( \
-              "-0.119114, -0.132767, -0.150901, -0.171664, -0.175435, -0.181311, -0.186914, -0.189306, -0.190086, -0.189147, -0.18565, -0.179104, -0.170768, -0.157648, -0.149701, -0.139193, -0.0925934, -0.0743155, -0.0586187, -0.0486936, -0.035434, -0.0238419, -0.0164398, -0.0107974, -0.00770067, -0.00369122, -0.00170771, -0.00065386, -0.000341985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0780164");
-            index_3 ("0.161533, 0.234922, 0.255052, 0.279213, 0.303689, 0.373139, 0.444296, 0.511908, 0.593901, 0.653789, 0.675327, 0.717384, 0.845539, 0.897688, 0.937195, 0.989872, 1.04104, 1.1057, 1.16559, 1.21207, 1.27785, 1.33774, 1.45751, 1.63718, 1.93662");
-            values ( \
-              "-0.181882, -0.201883, -0.2045, -0.204971, -0.204342, -0.200148, -0.194809, -0.188921, -0.179563, -0.169486, -0.164637, -0.151737, -0.0966422, -0.0766082, -0.0636027, -0.0490471, -0.0377379, -0.0268156, -0.0193382, -0.0149779, -0.0103753, -0.00738603, -0.00363835, -0.0012995, -0.000390647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.176044, 0.182607, 0.187211, 0.193756, 0.197941, 0.205411, 0.212436, 0.219315, 0.226166, 0.234504, 0.239604, 0.249691, 0.256731, 0.26507, 0.277222, 0.283829, 0.305563, 0.340512, 0.375148");
-            values ( \
-              "-0.0126813, -0.0306919, -0.0380828, -0.0458636, -0.0497008, -0.0544543, -0.0562055, -0.0569616, -0.0566045, -0.0525822, -0.0467155, -0.0280688, -0.0178994, -0.00994779, -0.00352028, -0.00161369, -0.00190044, -0.000614927, -8.83732e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00442594");
-            index_3 ("0.178644, 0.191313, 0.199506, 0.204699, 0.214095, 0.222987, 0.231732, 0.240472, 0.249251, 0.251594, 0.254272, 0.259769, 0.27486, 0.282714, 0.293247, 0.298898, 0.307577, 0.318321, 0.327146, 0.357436, 0.391201");
-            values ( \
-              "-0.0342372, -0.0629324, -0.0754505, -0.0812834, -0.0883832, -0.0907921, -0.0915883, -0.090273, -0.0851561, -0.0827857, -0.0795292, -0.0696025, -0.0375395, -0.0255806, -0.0147668, -0.0108498, -0.00651206, -0.00282004, -0.00156305, -0.00168375, -0.00106015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00906882");
-            index_3 ("0.181395, 0.197763, 0.208966, 0.216155, 0.229283, 0.241923, 0.254475, 0.267024, 0.273047, 0.280538, 0.288174, 0.31561, 0.329784, 0.34474, 0.352657, 0.364305, 0.380876, 0.394524, 0.416563, 0.57679");
-            values ( \
-              "-0.0615145, -0.0946191, -0.112355, -0.12008, -0.128363, -0.130399, -0.129881, -0.126425, -0.123299, -0.117459, -0.108299, -0.0563518, -0.0362579, -0.0219589, -0.0166828, -0.0109683, -0.00573845, -0.00298819, -0.00112202, -0.0002416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0185822");
-            index_3 ("0.1842, 0.196414, 0.207677, 0.213246, 0.224384, 0.235489, 0.253389, 0.261509, 0.276359, 0.284406, 0.296919, 0.31756, 0.321572, 0.333151, 0.340634, 0.35221, 0.365093, 0.386285, 0.401318, 0.413426, 0.422736, 0.437515, 0.450471, 0.467745, 0.48322, 0.490927, 0.506342, 0.537172, 0.577506, 0.80657");
-            values ( \
-              "-0.0973873, -0.107476, -0.130535, -0.138942, -0.151603, -0.159262, -0.164993, -0.165385, -0.164509, -0.16334, -0.160934, -0.154587, -0.152904, -0.147032, -0.142103, -0.131582, -0.115056, -0.0853305, -0.0667372, -0.0540539, -0.0456434, -0.0345417, -0.0268759, -0.0190219, -0.0138755, -0.0118282, -0.00853508, -0.00412005, -0.00133805, -0.000258529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0380751");
-            index_3 ("0.187388, 0.206257, 0.223791, 0.232956, 0.251022, 0.270263, 0.288558, 0.306381, 0.336186, 0.380684, 0.418565, 0.427576, 0.437874, 0.458469, 0.479932, 0.50446, 0.543341, 0.573622, 0.600825, 0.619378, 0.643407, 0.662803, 0.687311, 0.722023, 0.761444, 0.79227, 0.852158, 0.912047, 0.971935, 1.21149");
-            values ( \
-              "-0.132883, -0.140317, -0.166828, -0.175341, -0.185212, -0.189477, -0.190105, -0.189212, -0.185853, -0.179087, -0.171085, -0.168715, -0.165656, -0.158055, -0.146707, -0.128463, -0.0963227, -0.0741842, -0.0576463, -0.0481519, -0.0378404, -0.0310181, -0.0239825, -0.0164991, -0.0107262, -0.00757323, -0.00362451, -0.00168149, -0.00101484, -0.000340509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0780164");
-            index_3 ("0.191672, 0.222695, 0.232372, 0.250272, 0.268441, 0.290033, 0.313797, 0.337203, 0.406658, 0.477815, 0.545427, 0.584888, 0.62742, 0.687308, 0.708846, 0.750903, 0.879058, 0.931207, 0.970715, 1.02339, 1.07456, 1.13922, 1.19911, 1.24559, 1.31137, 1.37126, 1.43115, 1.49103, 1.55092, 1.6707, 1.97014");
-            values ( \
-              "-0.166285, -0.174592, -0.184598, -0.196342, -0.201865, -0.204615, -0.20495, -0.20435, -0.200159, -0.19482, -0.188931, -0.184788, -0.179573, -0.169476, -0.164647, -0.151727, -0.0966518, -0.0765986, -0.0635933, -0.0490564, -0.0377471, -0.0268247, -0.0193292, -0.014969, -0.0103664, -0.00739465, -0.00517901, -0.00364696, -0.00252318, -0.00129093, -0.000399067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.255033, 0.262827, 0.268098, 0.273117, 0.276694, 0.284202, 0.291233, 0.298124, 0.304985, 0.313316, 0.318365, 0.328617, 0.335425, 0.339783, 0.343893, 0.349642, 0.35635, 0.363004, 0.383642, 0.41832, 0.452406");
-            values ( \
-              "-0.0161858, -0.0330145, -0.0405028, -0.0462713, -0.0494679, -0.0543283, -0.0560682, -0.0568994, -0.0565171, -0.0525533, -0.0467923, -0.0279004, -0.0180618, -0.0132978, -0.00996003, -0.0064703, -0.00339419, -0.00159125, -0.00191133, -0.000645549, -0.000100643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00442594");
-            index_3 ("0.254966, 0.261435, 0.266298, 0.272797, 0.278274, 0.283495, 0.292913, 0.30182, 0.310574, 0.319324, 0.328108, 0.330429, 0.333082, 0.338627, 0.353721, 0.361578, 0.372109, 0.377762, 0.386441, 0.397191, 0.40602, 0.436299, 0.468877");
-            values ( \
-              "-0.00694603, -0.0423982, -0.0550968, -0.0670524, -0.0751291, -0.0810596, -0.0882026, -0.0906878, -0.0914926, -0.090226, -0.0851031, -0.0827717, -0.0795671, -0.0695854, -0.0375322, -0.0255749, -0.0147664, -0.0108487, -0.00651144, -0.00281847, -0.00156342, -0.00168389, -0.00108216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00906882");
-            index_3 ("0.260265, 0.276639, 0.287859, 0.295069, 0.308213, 0.320863, 0.33342, 0.345975, 0.351935, 0.359486, 0.367872, 0.39458, 0.408669, 0.423735, 0.431637, 0.443275, 0.459792, 0.473389, 0.495336, 0.655455");
-            values ( \
-              "-0.0626273, -0.0942882, -0.112122, -0.119877, -0.128263, -0.13031, -0.12985, -0.12638, -0.123315, -0.117447, -0.107137, -0.0563075, -0.0363366, -0.0219238, -0.0166671, -0.0109592, -0.00574641, -0.00300299, -0.00112641, -0.000243285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0185822");
-            index_3 ("0.261344, 0.279151, 0.290012, 0.296715, 0.305653, 0.314495, 0.33218, 0.340957, 0.352661, 0.360818, 0.375901, 0.384316, 0.397138, 0.419663, 0.435189, 0.46645, 0.493526, 0.511979, 0.524461, 0.544462, 0.562101, 0.585536, 0.616783, 0.656714, 0.747464");
-            values ( \
-              "-0.0734859, -0.115831, -0.13571, -0.144546, -0.153312, -0.159159, -0.164839, -0.165288, -0.164724, -0.163754, -0.160919, -0.158707, -0.154353, -0.142091, -0.126993, -0.0838198, -0.0530036, -0.0376265, -0.0296127, -0.0199393, -0.0139076, -0.00849576, -0.00405958, -0.00132941, -0.000903626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0380751");
-            index_3 ("0.264712, 0.281718, 0.290963, 0.311876, 0.320946, 0.335644, 0.349126, 0.367357, 0.385278, 0.416724, 0.472942, 0.498738, 0.538229, 0.554168, 0.569884, 0.626879, 0.678162, 0.69728, 0.728801, 0.766758, 0.801264, 0.839836, 0.869906, 0.929794, 0.989683, 1.10946, 1.28912");
-            values ( \
-              "-0.115985, -0.13304, -0.150766, -0.175356, -0.18119, -0.186852, -0.189293, -0.190064, -0.189147, -0.185652, -0.176551, -0.170765, -0.157664, -0.149608, -0.139127, -0.0927274, -0.0585337, -0.0486467, -0.0354339, -0.0238544, -0.0164447, -0.0107909, -0.00768966, -0.00368512, -0.00170558, -0.000653651, -0.00034165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0780164");
-            index_3 ("0.273669, 0.347402, 0.367631, 0.391734, 0.416176, 0.485626, 0.556783, 0.624395, 0.663853, 0.706387, 0.766276, 0.787813, 0.829871, 0.958025, 1.01017, 1.04968, 1.10236, 1.15353, 1.21819, 1.27807, 1.32456, 1.39034, 1.45022, 1.51011, 1.57, 1.62989, 1.74967, 2.04911");
-            values ( \
-              "-0.179474, -0.201859, -0.204493, -0.204965, -0.204337, -0.200146, -0.194806, -0.188917, -0.184803, -0.179559, -0.16949, -0.164633, -0.151741, -0.0966385, -0.0766119, -0.0636062, -0.0490432, -0.0377343, -0.0268121, -0.0193418, -0.0149811, -0.0103783, -0.00738289, -0.00519076, -0.00363531, -0.00253479, -0.00130242, -0.000387894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.422904, 0.429834, 0.434611, 0.441288, 0.445572, 0.453138, 0.460217, 0.467129, 0.473997, 0.482279, 0.487131, 0.500062, 0.505722, 0.515665, 0.527268, 0.534358, 0.555896, 0.588806");
-            values ( \
-              "-0.0129354, -0.0292317, -0.0368692, -0.0449065, -0.0488967, -0.0539417, -0.0558508, -0.0567881, -0.056508, -0.0526414, -0.0471857, -0.0238741, -0.0164555, -0.00830248, -0.00264534, -0.00156706, -0.00180185, -0.000681176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00442594");
-            index_3 ("0.424863, 0.432931, 0.438746, 0.447054, 0.452333, 0.461803, 0.470728, 0.479485, 0.488237, 0.497004, 0.499225, 0.501764, 0.507536, 0.521048, 0.531357, 0.535839, 0.540673, 0.546394, 0.555111, 0.561532, 0.574997, 0.622235, 0.640031, 0.683042");
-            values ( \
-              "-0.0235078, -0.0495143, -0.0613785, -0.0743251, -0.0804469, -0.0879051, -0.0905864, -0.0915601, -0.0903786, -0.0853046, -0.0830702, -0.0800284, -0.0701319, -0.0400654, -0.0244161, -0.0191611, -0.0148193, -0.0110445, -0.00644158, -0.00394767, -0.0014333, -0.00143228, -0.000814391, -0.000141719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00906882");
-            index_3 ("0.428742, 0.437021, 0.448949, 0.463721, 0.476899, 0.489514, 0.502039, 0.510087, 0.519962, 0.528087, 0.541645, 0.563369, 0.577703, 0.592192, 0.611878, 0.628717, 0.64261, 0.665097, 0.826491");
-            values ( \
-              "-0.0660381, -0.0747081, -0.100013, -0.119548, -0.128318, -0.130569, -0.130279, -0.128542, -0.123697, -0.117313, -0.0982806, -0.0561208, -0.0359111, -0.0221398, -0.0110265, -0.00571033, -0.00290213, -0.00112076, -0.000217282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0185822");
-            index_3 ("0.431565, 0.443856, 0.455469, 0.461023, 0.472132, 0.4833, 0.501414, 0.509293, 0.524068, 0.544645, 0.565317, 0.569181, 0.580737, 0.588377, 0.599953, 0.612841, 0.634027, 0.649066, 0.66118, 0.670496, 0.685268, 0.698217, 0.715481, 0.730966, 0.738678, 0.754103, 0.784954, 0.825487, 1.05513");
-            values ( \
-              "-0.0952522, -0.105312, -0.129638, -0.138312, -0.151304, -0.159417, -0.165478, -0.166109, -0.164559, -0.160869, -0.154499, -0.1529, -0.147081, -0.142068, -0.131567, -0.115042, -0.0853329, -0.0667372, -0.0540471, -0.0456347, -0.0345385, -0.0268774, -0.0190283, -0.0138762, -0.011829, -0.00853265, -0.00411764, -0.00132811, -0.000255194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0380751");
-            index_3 ("0.434687, 0.454136, 0.471424, 0.480788, 0.498963, 0.505574, 0.511826, 0.517969, 0.539099, 0.554091, 0.584074, 0.628445, 0.666325, 0.675368, 0.685703, 0.706374, 0.726892, 0.750341, 0.802328, 0.841836, 0.862245, 0.889265, 0.914177, 0.947394, 0.973831, 1.01113, 1.06086, 1.12074, 1.18063, 1.24052, 1.48007");
-            values ( \
-              "-0.12717, -0.139556, -0.166428, -0.175405, -0.185815, -0.187808, -0.188956, -0.189418, -0.189883, -0.188969, -0.185823, -0.179014, -0.171129, -0.168705, -0.165627, -0.157994, -0.147213, -0.130001, -0.0876792, -0.0614624, -0.0505143, -0.0385656, -0.0298637, -0.0209921, -0.0157881, -0.0104879, -0.00589083, -0.00273323, -0.00137214, -0.000848506, -0.000299833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0780164");
-            index_3 ("0.440385, 0.480197, 0.49869, 0.505651, 0.52289, 0.536376, 0.557483, 0.584954, 0.654406, 0.725565, 0.793192, 0.83256, 0.875173, 0.935061, 0.956599, 0.998658, 1.12681, 1.17896, 1.21847, 1.27115, 1.32231, 1.38698, 1.44686, 1.49335, 1.55912, 1.61901, 1.6789, 1.73879, 1.79868, 1.91845, 2.2179");
-            values ( \
-              "-0.168265, -0.184676, -0.197195, -0.199743, -0.202963, -0.204278, -0.204811, -0.204273, -0.200142, -0.194807, -0.188917, -0.184807, -0.179557, -0.169487, -0.164632, -0.15174, -0.0966372, -0.0766122, -0.0636068, -0.0490424, -0.0377335, -0.0268114, -0.0193423, -0.0149816, -0.0103789, -0.00738245, -0.00519127, -0.00363489, -0.00253523, -0.00130277, -0.000387698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.793222, 0.799598, 0.806698, 0.815951, 0.823826, 0.831127, 0.838214, 0.845224, 0.85223, 0.853495, 0.857464, 0.871729, 0.878286, 0.882896, 0.88675, 0.894543, 0.900822, 0.908325, 0.915817, 0.924968, 0.959256, 0.992988");
-            values ( \
-              "-0.0237172, -0.026745, -0.0369367, -0.046675, -0.0520961, -0.054352, -0.0555396, -0.0554839, -0.0527499, -0.0519585, -0.0480647, -0.023542, -0.0153118, -0.0111404, -0.00845359, -0.00435517, -0.00208606, -0.00168255, -0.00191516, -0.00188873, -0.000665562, -0.000131454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00442594");
-            index_3 ("0.793066, 0.799375, 0.804595, 0.811704, 0.817245, 0.823022, 0.832784, 0.841912, 0.850829, 0.859739, 0.868621, 0.87061, 0.87743, 0.889666, 0.900523, 0.906919, 0.912093, 0.915867, 0.923414, 0.929576, 0.936542, 0.9416, 0.951715, 0.982115, 1.02469");
-            values ( \
-              "-0.0180884, -0.0367358, -0.0494698, -0.0623494, -0.0706922, -0.0776539, -0.0856569, -0.0888187, -0.0900947, -0.0891967, -0.084354, -0.0824602, -0.0727325, -0.0461511, -0.0277228, -0.0199559, -0.0152177, -0.0124393, -0.00812764, -0.00544822, -0.00314325, -0.00214486, -0.00144477, -0.00158871, -0.000833322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00906882");
-            index_3 ("0.798389, 0.806637, 0.810178, 0.819571, 0.827128, 0.834806, 0.848249, 0.861047, 0.873718, 0.886384, 0.891228, 0.899936, 0.906805, 0.915144, 0.935027, 0.943207, 0.949254, 0.957134, 0.96415, 0.972076, 0.98373, 0.990075, 1.00033, 1.01401, 1.03577, 1.06595, 1.1923");
-            values ( \
-              "-0.0673604, -0.0682932, -0.0771245, -0.096125, -0.107864, -0.116811, -0.126178, -0.128966, -0.128907, -0.125815, -0.123496, -0.117095, -0.109233, -0.094595, -0.056338, -0.043924, -0.0361975, -0.0278986, -0.0219927, -0.0166827, -0.0109857, -0.00866152, -0.00574128, -0.00297242, -0.00114568, -0.00124293, -0.000252441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0185822");
-            index_3 ("0.801018, 0.813478, 0.826343, 0.831911, 0.843047, 0.854601, 0.872474, 0.881285, 0.89578, 0.901276, 0.912268, 0.924494, 0.937584, 0.960098, 0.966905, 0.975579, 1.00689, 1.02007, 1.03386, 1.05224, 1.06481, 1.08483, 1.10242, 1.12573, 1.15681, 1.19596, 1.25329, 1.42382");
-            values ( \
-              "-0.0888845, -0.0985953, -0.126065, -0.134875, -0.148443, -0.157192, -0.163771, -0.164489, -0.163978, -0.163317, -0.161596, -0.15874, -0.154351, -0.142225, -0.136593, -0.127161, -0.083837, -0.0675272, -0.0530843, -0.0377196, -0.0296291, -0.0199371, -0.0139129, -0.00851757, -0.00408791, -0.00136712, -0.000801622, -0.000291321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0380751");
-            index_3 ("0.804115, 0.820688, 0.830869, 0.847839, 0.860936, 0.876036, 0.889736, 0.908056, 0.925984, 0.956433, 1.00017, 1.03797, 1.04708, 1.07832, 1.09626, 1.11676, 1.15408, 1.18692, 1.2159, 1.23962, 1.26942, 1.2959, 1.33553, 1.36932, 1.39315, 1.44083, 1.50072, 1.5606, 1.62049, 1.86005");
-            values ( \
-              "-0.120421, -0.126019, -0.146514, -0.169314, -0.179218, -0.185694, -0.188622, -0.189735, -0.189109, -0.185953, -0.179418, -0.171456, -0.169044, -0.158153, -0.148833, -0.134286, -0.10324, -0.078239, -0.059965, -0.0479161, -0.0355032, -0.0269493, -0.0176082, -0.0121867, -0.00936233, -0.00535103, -0.00247303, -0.00128359, -0.000804774, -0.000288344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0780164");
-            index_3 ("0.81299, 0.887771, 0.907521, 0.930852, 0.956638, 1.02593, 1.09693, 1.17, 1.21585, 1.25973, 1.28681, 1.32756, 1.38745, 1.47382, 1.57327, 1.63189, 1.68111, 1.72283, 1.76937, 1.82926, 1.91305, 1.97294, 2.09272, 2.24697");
-            values ( \
-              "-0.174591, -0.201127, -0.204087, -0.205011, -0.204524, -0.200541, -0.195228, -0.188802, -0.183826, -0.177656, -0.173205, -0.164616, -0.144966, -0.106812, -0.068755, -0.0516785, -0.0402236, -0.0323556, -0.0252239, -0.0181963, -0.0114463, -0.00814063, -0.0040223, -0.00179964" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506976", \
-            "0.00548038", \
-            "0.00573818", \
-            "0.00591588", \
-            "0.00602759", \
-            "0.00609422" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00634869", \
-            "0.0068021", \
-            "0.00727349", \
-            "0.00788992", \
-            "0.00865648", \
-            "0.00818344" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0.008294, 0.00827605, 0.00819119, 0.0079661, 0.00745571, 0.00636575", \
-            "0.00823312, 0.00821342, 0.00813021, 0.00790715, 0.0073948, 0.00631223", \
-            "0.00809743, 0.00807773, 0.00799489, 0.00776946, 0.00725941, 0.00617726", \
-            "0.00793308, 0.00791726, 0.00783253, 0.00760643, 0.00709717, 0.00601487", \
-            "0.00794715, 0.00792972, 0.00784349, 0.00761855, 0.00710843, 0.00602507", \
-            "0.00830651, 0.00829581, 0.00821156, 0.00799508, 0.00748261, 0.00638954" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00442594, 0.00906882, 0.0185822, 0.0380751, 0.0780164");
-          values ( \
-            "0.00764896, 0.00767964, 0.00767465, 0.00757878, 0.00731226, 0.00673597", \
-            "0.00754626, 0.00757691, 0.00757318, 0.00747434, 0.00721114, 0.00663458", \
-            "0.00736703, 0.00739736, 0.0073981, 0.00729926, 0.00703607, 0.00646193", \
-            "0.00715067, 0.00718059, 0.00717668, 0.00708002, 0.00682016, 0.00624454", \
-            "0.00716669, 0.00719962, 0.00718318, 0.00709373, 0.00682841, 0.00625277", \
-            "0.0078932, 0.00789893, 0.00790212, 0.00784314, 0.00755379, 0.00695485" \
-          );
-        }
-      }
-    }
-    pin (CK) {
-      clock : true;
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00779695;
-      rise_capacitance : 0.00779695;
-      rise_capacitance_range (0.00612083, 0.00779695);
-      fall_capacitance : 0.00769781;
-      fall_capacitance_range (0.00595252, 0.00769781);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "D";
-        timing_type : min_pulse_width;
-        when : "D";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.0839466, 0.112019, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.187784, 0.196419, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "~D";
-        timing_type : min_pulse_width;
-        when : "!D";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.139405, 0.132275, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.100932, 0.135651, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00506976, 0.00548038, 0.00573818, 0.00591588, 0.00602759, 0.00609422" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00634869, 0.0068021, 0.00727349, 0.00788992, 0.00865648, 0.00818344" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00506976, 0.00548038, 0.00573818, 0.00591588, 0.00602759, 0.00609422" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00634869, 0.0068021, 0.00727349, 0.00788992, 0.00865648, 0.00818344" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00514646, 0.00561074, 0.00591545, 0.00615626, 0.00635462, 0.00655283" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.006282, 0.00653633, 0.00680127, 0.00740904, 0.00827845, 0.00801414" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00532009, 0.00571268, 0.00595393, 0.00611171, 0.00620224, 0.00625539" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00595872, 0.00610989, 0.00631664, 0.00673203, 0.00748839, 0.00843894" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00543607, 0.00588571, 0.00617253, 0.00636016, 0.00646875, 0.00653332" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00641861, 0.00664366, 0.00688806, 0.00739048, 0.00830809, 0.00876036" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00543607, 0.00588571, 0.00617253, 0.00636016, 0.00646875, 0.00653332" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00641861, 0.00664366, 0.00688806, 0.00739048, 0.00830809, 0.00876036" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00592113, 0.00663036, 0.00713419, 0.00757939, 0.00793707, 0.00823355" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00708068, 0.00727017, 0.00742164, 0.00796078, 0.00867656, 0.00824105" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00504595, 0.00546932, 0.00574965, 0.00594271, 0.00606596, 0.00613748" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00647001, 0.00698717, 0.00746748, 0.00802489, 0.00875096, 0.00981977" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.000704036, -0.000814114, -0.000958931, -0.00109961, -0.00106009, -0.000357123" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0106748, 0.0105275, 0.0104178, 0.0104082, 0.0106705, 0.0117804" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0214888, 0.0213601, 0.0212171, 0.0210317, 0.0210786, 0.0219158" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0221328, 0.0219003, 0.0216791, 0.0215876, 0.0220145, 0.0239791" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.000639704, -0.000745512, -0.000916904, -0.00104317, -0.00100051, -0.000235972" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0118543, 0.0117291, 0.0115823, 0.0115945, 0.0117771, 0.0127885" \
-          );
-        }
-      }
-    }
-    pin (D) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00277232;
-      rise_capacitance : 0.00277232;
-      rise_capacitance_range (0.00229971, 0.00277232);
-      fall_capacitance : 0.00276271;
-      fall_capacitance_range (0.0020674, 0.00276271);
-      timing () {
-        related_pin : "CK";
-        timing_type : hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.0426349, -0.0244599, -0.0286542", \
-            "-0.05923, -0.0428207, -0.0419405", \
-            "-0.118822, -0.0928801, -0.0608688" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "-0.107166, -0.128804, -0.324245", \
-            "-0.131118, -0.154079, -0.347843", \
-            "-0.292835, -0.309652, -0.485928" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.124281, 0.105129, 0.155953", \
-            "0.140681, 0.119529, 0.168355", \
-            "0.2006, 0.181026, 0.216282" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0090796, 0.0813892, 0.72957");
-          index_2 ("0.0090796, 0.0813892, 0.72957");
-          values ( \
-            "0.125737, 0.140159, 0.33669", \
-            "0.152262, 0.165437, 0.359006", \
-            "0.321154, 0.327583, 0.500127" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "CK";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00194558, 0.00227935, 0.0024838, 0.00259706, 0.00265507, 0.00268121" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00248385, 0.00248753, 0.00241103, 0.00234749, 0.00231189, 0.00230161" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00178642, 0.0019766, 0.00207456, 0.00212147, 0.00214587, 0.00215981" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00225816, 0.00241527, 0.00253556, 0.00266278, 0.00272489, 0.00274623" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!CK";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00198713, 0.00233151, 0.0025215, 0.00264814, 0.00275115, 0.00286249" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0026381, 0.00264304, 0.00264497, 0.00276164, 0.00320714, 0.00305251" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00177705, 0.00197625, 0.00208445, 0.00214434, 0.00217924, 0.00220341" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00230747, 0.00242671, 0.00246792, 0.00260863, 0.00294024, 0.00335302" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00197931, -0.00210896, -0.00220214, -0.00224924, -0.00226205, -0.00226249" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00225978, 0.00226136, 0.00227701, 0.00227473, 0.00227269, 0.00227292" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * Q * !QN) + (!CK * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * Q * !QN) + (!CK * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00771826, 0.0076345, 0.00749742, 0.00733938, 0.00730412, 0.00762322" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0144948, 0.0144314, 0.014331, 0.0142302, 0.014208, 0.0145768" \
-          );
-        }
-      }
-    }
-    ff (IQ,IQN) {
-      clocked_on : "CK";
-      next_state : "D";
-      power_down_function : "(!VDD) + (GND)";
-    }
-  }
-  cell (INVX1) {
-    area : 4.3956;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.0140933;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0262038;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00198282;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0140933;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0805983;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.0313748, 0.0451864, 0.0729536, 0.129632, 0.246161, 0.486271", \
-            "0.0359434, 0.0498195, 0.0777553, 0.134581, 0.251201, 0.491381", \
-            "0.0486955, 0.0621586, 0.0900264, 0.146673, 0.263428, 0.503612", \
-            "0.0732652, 0.0922451, 0.12135, 0.177795, 0.294284, 0.534837", \
-            "0.112048, 0.141777, 0.187301, 0.253634, 0.369791, 0.609526", \
-            "0.179462, 0.222831, 0.293102, 0.399318, 0.552726, 0.794006" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.0296488, 0.0494894, 0.0903462, 0.17447, 0.348051, 0.705815", \
-            "0.029661, 0.0494913, 0.0903341, 0.174498, 0.347965, 0.705815", \
-            "0.0326889, 0.0501687, 0.0903337, 0.174495, 0.348027, 0.705815", \
-            "0.0500068, 0.0638837, 0.0961149, 0.174619, 0.348053, 0.705837", \
-            "0.0796025, 0.101515, 0.135222, 0.19611, 0.351255, 0.705799", \
-            "0.127496, 0.162009, 0.214986, 0.292462, 0.41788, 0.722365" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.0247184, 0.0348024, 0.0551287, 0.0966995, 0.18222, 0.358497", \
-            "0.0282771, 0.0385047, 0.0589838, 0.100624, 0.186244, 0.362537", \
-            "0.036785, 0.0479437, 0.0685496, 0.110369, 0.196066, 0.372422", \
-            "0.0463974, 0.0638116, 0.0910472, 0.134054, 0.219886, 0.39629", \
-            "0.0515471, 0.0782062, 0.120606, 0.184161, 0.278404, 0.454629", \
-            "0.0397676, 0.0792681, 0.1426, 0.240574, 0.385854, 0.596693" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.0191146, 0.031957, 0.0585193, 0.113257, 0.226271, 0.459308", \
-            "0.0191281, 0.0319642, 0.0585193, 0.113283, 0.226272, 0.459463", \
-            "0.0242735, 0.034438, 0.0587352, 0.113264, 0.226271, 0.459464", \
-            "0.0395218, 0.0516982, 0.0712017, 0.117002, 0.226259, 0.459416", \
-            "0.0641287, 0.0828997, 0.111718, 0.155655, 0.242842, 0.459633", \
-            "0.107278, 0.134489, 0.178452, 0.245416, 0.344133, 0.515741" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0194772, 0.0205635, 0.0216247, 0.0264376, 0.0325843, 0.0387371, 0.0435543, 0.0519292, 0.0566917, 0.0656284, 0.0741431, 0.0799268, 0.086932, 0.0927643, 0.100389, 0.115496, 0.125102");
-            values ( \
-              "0.0340333, 0.109557, 0.110413, 0.106469, 0.0986477, 0.0894955, 0.0788945, 0.0532442, 0.0415415, 0.0260819, 0.0166587, 0.0121209, 0.00821769, 0.00589809, 0.00381881, 0.00157179, 0.000996342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00445486");
-            index_3 ("0.0194571, 0.0215607, 0.0227661, 0.0293337, 0.0386705, 0.0527141, 0.0605134, 0.0766314, 0.0909172, 0.10443, 0.119432, 0.129841, 0.140835, 0.163997, 0.184647, 0.185006");
-            values ( \
-              "0.0178619, 0.13496, 0.135151, 0.130788, 0.122814, 0.108528, 0.0966189, 0.0633942, 0.0409258, 0.0262279, 0.0155378, 0.0106803, 0.00710104, 0.00298371, 0.00131389, 0.00130714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0091877");
-            index_3 ("0.0195256, 0.0229889, 0.0256139, 0.0557262, 0.0688903, 0.0805189, 0.0853213, 0.0948499, 0.123442, 0.135852, 0.150144, 0.164471, 0.172249, 0.186544, 0.198521, 0.208348, 0.220325, 0.237242, 0.25517, 0.268953, 0.296519, 0.34573, 0.404219");
-            values ( \
-              "0.0395531, 0.151786, 0.151642, 0.136396, 0.128982, 0.121394, 0.117654, 0.108661, 0.0742331, 0.0607981, 0.0474539, 0.036419, 0.0314076, 0.0236986, 0.0185856, 0.0151826, 0.0118121, 0.00823138, 0.0056079, 0.00415756, 0.00224559, 0.000676413, 0.000149151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0189487");
-            index_3 ("0.0222809, 0.0223009, 0.0531764, 0.0889055, 0.117559, 0.13723, 0.157524, 0.179598, 0.231119, 0.256091, 0.27488, 0.298781, 0.317347, 0.342102, 0.366777, 0.398168, 0.432411, 0.47121, 0.501917, 0.563333, 0.646858, 0.730382");
-            values ( \
-              "1e-22, 0.175618, 0.154458, 0.144543, 0.135798, 0.128825, 0.119713, 0.106896, 0.0734397, 0.0592643, 0.0497823, 0.0393935, 0.032618, 0.0251329, 0.0192516, 0.013604, 0.00921151, 0.00591624, 0.00414691, 0.00196996, 0.000684429, 0.00023334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0390798");
-            index_3 ("0.0245926, 0.0246126, 0.0991049, 0.200682, 0.253725, 0.305786, 0.317048, 0.362097, 0.4444, 0.480424, 0.519944, 0.571461, 0.586025, 0.617223, 0.651937, 0.709206, 0.73407, 0.778045, 0.840283, 0.90557, 0.955663, 1.03919, 1.12271, 1.20624, 1.37329");
-            values ( \
-              "1e-22, 0.183146, 0.157179, 0.14215, 0.132773, 0.120439, 0.117178, 0.102866, 0.0756054, 0.0647089, 0.0539176, 0.0417915, 0.0388169, 0.0330068, 0.0274196, 0.0200231, 0.0174304, 0.013583, 0.00948016, 0.00649237, 0.00483456, 0.0029324, 0.00177131, 0.00107084, 0.000389852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0805983");
-            index_3 ("0.0277978, 0.0278178, 0.137373, 0.281437, 0.389937, 0.469587, 0.542907, 0.607034, 0.690559, 0.806869, 0.932534, 0.988761, 1.05667, 1.1402, 1.21728, 1.289, 1.37253, 1.4137, 1.49605, 1.57329, 1.65682, 1.73365, 1.82154, 1.90507, 2.07212, 2.32269, 2.48974, 2.82384");
-            values ( \
-              "1e-22, 0.190346, 0.162405, 0.152195, 0.143935, 0.137113, 0.129524, 0.121297, 0.108571, 0.089244, 0.0692181, 0.0610619, 0.0520894, 0.0423956, 0.0348017, 0.0288113, 0.0229662, 0.0205284, 0.0163054, 0.0131259, 0.0103268, 0.00828036, 0.00642114, 0.00505122, 0.00310019, 0.00146681, 0.000891019, 0.000324438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0330524, 0.0346795, 0.0375809, 0.0387403, 0.0403752, 0.04221, 0.0447467, 0.0539487, 0.0587624, 0.0670141, 0.0731277, 0.0831897, 0.0913671, 0.0970724, 0.104027, 0.117122, 0.132717, 0.134607");
-            values ( \
-              "0.0328687, 0.0628254, 0.0945014, 0.101554, 0.10491, 0.104765, 0.102506, 0.0895347, 0.0788999, 0.0535979, 0.0390151, 0.0231159, 0.0149443, 0.0109195, 0.00739645, 0.00350098, 0.00139648, 0.00133564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00445486");
-            index_3 ("0.0309103, 0.0401024, 0.0416049, 0.0456362, 0.0520208, 0.0608755, 0.068645, 0.0758009, 0.0899945, 0.0997023, 0.113648, 0.126533, 0.140937, 0.149977, 0.168354, 0.182456, 0.202579");
-            values ( \
-              "0.00222341, 0.129671, 0.131539, 0.12986, 0.124524, 0.116287, 0.10774, 0.0966507, 0.0670801, 0.050176, 0.0320803, 0.0207424, 0.0124286, 0.00892284, 0.00450936, 0.00262693, 0.00129354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0091877");
-            index_3 ("0.031158, 0.0416656, 0.0435932, 0.0461219, 0.049353, 0.0744711, 0.0827833, 0.0971963, 0.104757, 0.11029, 0.121356, 0.136029, 0.146989, 0.160914, 0.176829, 0.186395, 0.201151, 0.213609, 0.224307, 0.236078, 0.252836, 0.270161, 0.283415, 0.309924, 0.358876, 0.416726");
-            values ( \
-              "0.00798016, 0.149514, 0.149831, 0.149076, 0.147542, 0.134587, 0.129898, 0.120477, 0.114133, 0.108598, 0.0956879, 0.0775335, 0.0651781, 0.0515269, 0.0385422, 0.0321768, 0.0240755, 0.018699, 0.0150026, 0.0117231, 0.0081955, 0.00565638, 0.00424372, 0.00235008, 0.000714459, 0.000160681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0189487");
-            index_3 ("0.031485, 0.0418737, 0.0433111, 0.0461809, 0.0491759, 0.104491, 0.131632, 0.152765, 0.17712, 0.180656, 0.199222, 0.240288, 0.267033, 0.283654, 0.31244, 0.328483, 0.355826, 0.377506, 0.392044, 0.411429, 0.447175, 0.46366, 0.488656, 0.521985, 0.588642, 0.672166, 0.755691");
-            values ( \
-              "0.00465414, 0.159974, 0.160596, 0.160482, 0.159735, 0.144529, 0.136275, 0.128828, 0.117598, 0.11557, 0.104252, 0.0774065, 0.0617735, 0.0530746, 0.0401286, 0.0341326, 0.0256408, 0.0202917, 0.017311, 0.0139614, 0.0092961, 0.00770851, 0.00579036, 0.00392964, 0.00174213, 0.000601613, 0.000209676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0390798");
-            index_3 ("0.0404902, 0.0405102, 0.101136, 0.16848, 0.23021, 0.269461, 0.309947, 0.354159, 0.472031, 0.5412, 0.601767, 0.626823, 0.676935, 0.723439, 0.782682, 0.851525, 0.930364, 0.993246, 1.07677, 1.1603, 1.2111");
-            values ( \
-              "1e-22, 0.187548, 0.159086, 0.149477, 0.139872, 0.132771, 0.123526, 0.110599, 0.0719232, 0.0524875, 0.0388146, 0.0340771, 0.0260542, 0.0201798, 0.0144646, 0.00971133, 0.00614472, 0.00423933, 0.00256538, 0.00155267, 0.00130652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0805983");
-            index_3 ("0.0447365, 0.0447565, 0.167629, 0.297193, 0.405679, 0.485358, 0.558592, 0.622776, 0.706301, 0.822611, 0.948275, 1.0045, 1.07241, 1.15594, 1.23302, 1.30474, 1.38827, 1.42944, 1.51178, 1.58903, 1.67255, 1.74938, 1.83727, 1.9208, 2.08785, 2.2549, 2.50547, 2.83957");
-            values ( \
-              "1e-22, 0.191368, 0.161396, 0.152192, 0.143931, 0.137115, 0.129535, 0.121293, 0.108577, 0.0892495, 0.069212, 0.0610686, 0.052083, 0.0424026, 0.0348092, 0.0288043, 0.0229739, 0.020521, 0.0163134, 0.0131183, 0.0103349, 0.00828915, 0.00643028, 0.00504245, 0.00309117, 0.00189039, 0.00090062, 0.000334353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0537994, 0.070328, 0.0831227, 0.0874432, 0.0880406, 0.0892354, 0.0908477, 0.0924085, 0.0945865, 0.0970307, 0.101787, 0.102556, 0.104092, 0.106596, 0.109653, 0.110177, 0.111226, 0.113322, 0.116815, 0.121599, 0.122728, 0.122926, 0.123323, 0.124118, 0.125551, 0.128419, 0.133761, 0.135327, 0.138459, 0.144723, 0.149394, 0.151141, 0.154636, 0.161626, 0.175295, 0.181423");
-            values ( \
-              "0.000706129, 0.0463943, 0.0746587, 0.0815697, 0.088526, 0.0878551, 0.0918633, 0.0867786, 0.0864835, 0.0771638, 0.0661369, 0.0600907, 0.0588453, 0.0482402, 0.0443979, 0.0397649, 0.0411133, 0.0335877, 0.0312458, 0.0212163, 0.0233943, 0.019729, 0.0226642, 0.0184563, 0.020304, 0.0143167, 0.013561, 0.00927527, 0.0108248, 0.00478659, 0.00657476, 0.00282536, 0.0052894, 0.000798502, 0.00269129, 0.00180311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00445486");
-            index_3 ("0.0659529, 0.07622, 0.0779789, 0.0797378, 0.0816983, 0.0826785, 0.0850955, 0.0857165, 0.0863375, 0.0875795, 0.0883276, 0.0890757, 0.0898678, 0.0906598, 0.0917819, 0.0929041, 0.0944002, 0.0951483, 0.0958814, 0.0980807, 0.101136, 0.103865, 0.106015, 0.108881, 0.111748, 0.113814, 0.117888, 0.125958, 0.12993, 0.13345, 0.1391, 0.140379, 0.142937, 0.14636, 0.150648, 0.152793, 0.156489, 0.158548, 0.16102, 0.163558, 0.166096, 0.169409, 0.174208, 0.177181, 0.178667, 0.183126, 0.185873, 0.191366, 0.194113, 0.198875");
-            values ( \
-              "0.0733923, 0.0828841, 0.0853351, 0.0883645, 0.0924332, 0.094729, 0.101135, 0.103808, 0.107068, 0.115349, 0.116214, 0.117419, 0.119066, 0.121095, 0.120922, 0.120599, 0.119934, 0.119501, 0.118952, 0.117067, 0.113983, 0.110952, 0.108277, 0.104366, 0.100059, 0.0964994, 0.0883426, 0.0710247, 0.0633606, 0.0570864, 0.0477131, 0.0459064, 0.04244, 0.0381072, 0.0331738, 0.0309135, 0.0273032, 0.0253828, 0.0232617, 0.0213756, 0.0196051, 0.0175076, 0.0146783, 0.0130508, 0.0123917, 0.0105722, 0.00959299, 0.00785607, 0.00709839, 0.00594404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0091877");
-            index_3 ("0.0562185, 0.0890414, 0.0911543, 0.0930765, 0.0963646, 0.120596, 0.137519, 0.148102, 0.173843, 0.198723, 0.224207, 0.238963, 0.251419, 0.273881, 0.290638, 0.321205, 0.347702, 0.373317");
-            values ( \
-              "0.00147469, 0.136687, 0.143187, 0.14393, 0.142978, 0.129877, 0.118511, 0.1086, 0.0775318, 0.0515304, 0.0321777, 0.0240758, 0.0187005, 0.0117255, 0.00819777, 0.00424587, 0.00235203, 0.00149533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0189487");
-            index_3 ("0.0437472, 0.104787, 0.136252, 0.164856, 0.189231, 0.197572, 0.218294, 0.240673, 0.28874, 0.314079, 0.343038, 0.363534, 0.374662, 0.391921, 0.414591, 0.433169, 0.455627, 0.487357, 0.520849, 0.546578, 0.598037, 0.681562, 0.765087");
-            values ( \
-              "0.00387867, 0.154956, 0.146236, 0.137694, 0.129323, 0.125901, 0.115602, 0.101834, 0.0708955, 0.0567584, 0.0430369, 0.0350622, 0.0312628, 0.0260746, 0.0204184, 0.0166615, 0.0129733, 0.00904364, 0.0061733, 0.0045864, 0.00248481, 0.00086165, 0.000296873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0390798");
-            index_3 ("0.0645005, 0.087395, 0.0896003, 0.0923739, 0.0950209, 0.161499, 0.231047, 0.255956, 0.304908, 0.320513, 0.338348, 0.362862, 0.411889, 0.502363, 0.554827, 0.614786, 0.648227, 0.666314, 0.702254, 0.748945, 0.789674, 0.832843, 0.894458, 0.921375, 0.957415, 1.00547, 1.08899, 1.17252, 1.25604, 1.42309");
-            values ( \
-              "0.0480973, 0.143099, 0.156566, 0.164459, 0.165026, 0.155902, 0.14574, 0.141831, 0.133238, 0.129996, 0.125865, 0.119363, 0.104085, 0.0741932, 0.0588307, 0.0439634, 0.0370949, 0.0337552, 0.0278763, 0.021587, 0.0172075, 0.0134732, 0.0094336, 0.00807441, 0.00654861, 0.00493913, 0.00299382, 0.00181098, 0.00109249, 0.000396832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0805983");
-            index_3 ("0.0648673, 0.0917808, 0.0942145, 0.0988573, 0.334897, 0.443384, 0.547473, 0.596297, 0.66048, 0.744005, 0.860315, 0.985978, 1.0422, 1.11012, 1.19364, 1.27072, 1.34244, 1.42597, 1.46714, 1.54948, 1.62673, 1.71025, 1.81637, 1.87497, 1.9585, 2.04202, 2.12554, 2.20907, 2.37612, 2.54317, 2.87727");
-            values ( \
-              "0.0478713, 0.167858, 0.168805, 0.168791, 0.152218, 0.143954, 0.134796, 0.129513, 0.121315, 0.108555, 0.0892275, 0.0692336, 0.0610478, 0.0521044, 0.0423819, 0.0347893, 0.0288244, 0.0229543, 0.0205407, 0.0162945, 0.0131377, 0.0103163, 0.00763682, 0.00641358, 0.00505919, 0.00393205, 0.00310753, 0.00240065, 0.0014605, 0.000885179, 0.000319541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105178, 0.120203, 0.123931, 0.147919, 0.161053, 0.169397, 0.178405, 0.185651, 0.192894, 0.201736, 0.210294, 0.213016, 0.216257, 0.221179, 0.226804, 0.232447, 0.236534, 0.245566, 0.250976, 0.261795, 0.279092, 0.299651");
-            values ( \
-              "0.00148592, 0.00887763, 0.0111306, 0.031851, 0.0419805, 0.0477581, 0.0527299, 0.0546969, 0.0503814, 0.035144, 0.0242218, 0.0243144, 0.0220437, 0.0171505, 0.0126103, 0.00923274, 0.00733204, 0.00437736, 0.00320831, 0.00168221, 0.000562643, 0.000139909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00445486");
-            index_3 ("0.109721, 0.119567, 0.12756, 0.136905, 0.164883, 0.177314, 0.187933, 0.197542, 0.206797, 0.21044, 0.213049, 0.216384, 0.223433, 0.22998, 0.237315, 0.24618, 0.254928, 0.262001, 0.270026, 0.274451, 0.283302, 0.292398, 0.297023, 0.306274, 0.324775, 0.335435");
-            values ( \
-              "0.00624288, 0.0113572, 0.0177495, 0.0274786, 0.0582224, 0.0709272, 0.0802383, 0.0866887, 0.0868405, 0.0832763, 0.0826975, 0.077329, 0.0633928, 0.0520991, 0.0414511, 0.0310191, 0.0231076, 0.0180441, 0.0135665, 0.0115638, 0.00835198, 0.00596625, 0.00502343, 0.00354492, 0.00171821, 0.00126665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0091877");
-            index_3 ("0.133249, 0.155951, 0.176461, 0.186982, 0.193996, 0.1991, 0.203888, 0.207148, 0.208777, 0.210407, 0.210946, 0.211484, 0.212023, 0.212782, 0.213444, 0.215207, 0.216089, 0.217852, 0.218733, 0.221615, 0.223587, 0.226622, 0.229991, 0.233359, 0.239058, 0.244642, 0.252011, 0.261838, 0.267519, 0.276113, 0.284967, 0.287616, 0.290177, 0.297859, 0.30443, 0.311001, 0.317692, 0.321854, 0.326015, 0.330176, 0.337043, 0.34391, 0.347862, 0.351814, 0.355766, 0.359717, 0.367621, 0.371573, 0.378257, 0.390965");
-            values ( \
-              "0.0555307, 0.0560021, 0.0834186, 0.0969507, 0.105574, 0.1116, 0.116819, 0.119989, 0.122884, 0.126654, 0.126596, 0.126679, 0.126904, 0.127459, 0.12817, 0.127804, 0.127549, 0.126895, 0.126496, 0.124794, 0.123478, 0.121269, 0.1186, 0.115704, 0.110066, 0.104085, 0.0955666, 0.0832618, 0.0765252, 0.066902, 0.0575209, 0.0548443, 0.0525293, 0.0459778, 0.0408425, 0.036139, 0.0317345, 0.029107, 0.0268871, 0.0247955, 0.0217062, 0.0188023, 0.0172152, 0.0158101, 0.0145195, 0.0134197, 0.0114114, 0.0105028, 0.0091631, 0.0068324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0189487");
-            index_3 ("0.118616, 0.156968, 0.210177, 0.21192, 0.213387, 0.21609, 0.24932, 0.269565, 0.282861, 0.308864, 0.390599, 0.413919, 0.442749, 0.468785, 0.485609, 0.507486, 0.542072, 0.577455, 0.618288, 0.65098, 0.716363, 0.799888, 0.883413");
-            values ( \
-              "0.0113134, 0.0619333, 0.142141, 0.147812, 0.14926, 0.149633, 0.140168, 0.133679, 0.128825, 0.116721, 0.0654326, 0.0529936, 0.0400568, 0.0307146, 0.0257374, 0.0203142, 0.0138846, 0.00927301, 0.0058168, 0.00398852, 0.00179002, 0.000626955, 0.000208352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0390798");
-            index_3 ("0.1191, 0.157803, 0.212365, 0.215769, 0.312979, 0.368449, 0.399722, 0.463411, 0.608403, 0.645112, 0.694059, 0.731499, 0.771905, 0.825781, 0.849063, 0.8889, 0.931139, 0.989895, 1.06295, 1.14648, 1.23, 1.39705, 1.5641");
-            values ( \
-              "0.00758077, 0.0651543, 0.158494, 0.160932, 0.14735, 0.138525, 0.132778, 0.117072, 0.070083, 0.0594612, 0.047018, 0.0389408, 0.0315373, 0.0235495, 0.0207169, 0.0165866, 0.013049, 0.00928988, 0.00606882, 0.00368586, 0.00223026, 0.000812404, 0.000294885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0805983");
-            index_3 ("0.146413, 0.191291, 0.214658, 0.222354, 0.229512, 0.240617, 0.411067, 0.535965, 0.619489, 0.681239, 0.753064, 0.836589, 1.05048, 1.20273, 1.28625, 1.36333, 1.43505, 1.51858, 1.64209, 1.71934, 1.86503, 2.05108, 2.21813, 2.38518, 2.52654");
-            values ( \
-              "0.0803713, 0.124461, 0.164656, 0.167559, 0.165791, 0.165528, 0.15339, 0.143924, 0.136766, 0.13042, 0.121292, 0.108586, 0.073516, 0.0520768, 0.0424093, 0.0348165, 0.0287983, 0.0229808, 0.0163203, 0.0131123, 0.00864045, 0.005037, 0.00308563, 0.00188482, 0.0013328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.179903, 0.241002, 0.257487, 0.265867, 0.276913, 0.280768, 0.288477, 0.297017, 0.316032, 0.331463, 0.338349, 0.352476, 0.365253, 0.377241, 0.388937, 0.400609, 0.401593, 0.407495, 0.415364, 0.422498, 0.426436, 0.434312, 0.439101, 0.445199, 0.451792, 0.461713, 0.467771, 0.478473, 0.492743, 0.506034, 0.514357, 0.524165, 0.52814");
-            values ( \
-              "0.000310121, 0.00137353, 0.00325623, 0.00433279, 0.00632614, 0.00717997, 0.00936112, 0.0124188, 0.0195447, 0.0241789, 0.0259143, 0.0292319, 0.0315831, 0.03323, 0.0333955, 0.0285067, 0.0274512, 0.0226998, 0.017312, 0.0135402, 0.0117312, 0.00859929, 0.007091, 0.00547869, 0.00411366, 0.00259035, 0.00195391, 0.00117319, 0.0005915, 0.000305618, 0.00137766, 0.00115396, 0.000878808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00445486");
-            index_3 ("0.184345, 0.242632, 0.264395, 0.27145, 0.280855, 0.290166, 0.307389, 0.322396, 0.340093, 0.361086, 0.379014, 0.395109, 0.41012, 0.424928, 0.439698, 0.453393, 0.466388, 0.473327, 0.479869, 0.488425, 0.49598, 0.506152, 0.508539, 0.512336, 0.519875, 0.527795, 0.543635, 0.55561");
-            values ( \
-              "0.000638096, 0.00247063, 0.00611427, 0.00764659, 0.0101198, 0.0131783, 0.0206958, 0.0274903, 0.0345329, 0.0418908, 0.0476875, 0.0519538, 0.0547986, 0.0537587, 0.0434889, 0.0320896, 0.0232161, 0.0192107, 0.015912, 0.012234, 0.0096232, 0.00686485, 0.00779683, 0.00782105, 0.00601305, 0.00446534, 0.00243065, 0.0016897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0091877");
-            index_3 ("0.192396, 0.25295, 0.253548, 0.254743, 0.257132, 0.261912, 0.270617, 0.275005, 0.28378, 0.299367, 0.305064, 0.316458, 0.332729, 0.357971, 0.36914, 0.39148, 0.396632, 0.406938, 0.419873, 0.440778, 0.460406, 0.480032, 0.481295, 0.486347, 0.493083, 0.504347, 0.506285, 0.51016, 0.515102, 0.519338, 0.52755, 0.537665, 0.539734, 0.543871, 0.552145, 0.56789, 0.572947, 0.574884, 0.578759, 0.586508, 0.602006, 0.612292, 0.615636, 0.622324, 0.635701, 0.662454, 0.67746");
-            values ( \
-              "0.0012793, 0.00531422, 0.00545791, 0.00576625, 0.00634477, 0.00746588, 0.00972216, 0.0109942, 0.0138551, 0.02014, 0.0228733, 0.0286434, 0.0369188, 0.0487683, 0.0539652, 0.0640245, 0.0663127, 0.0706457, 0.0758146, 0.0827218, 0.0858818, 0.0791665, 0.0779901, 0.0740912, 0.0686142, 0.0592686, 0.0577449, 0.0565064, 0.0530487, 0.0492493, 0.0424222, 0.0351291, 0.0337759, 0.0311837, 0.026529, 0.0192862, 0.0173987, 0.0167231, 0.015435, 0.0131374, 0.00945372, 0.00759457, 0.00706845, 0.00612636, 0.00458355, 0.00252723, 0.00198295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0189487");
-            index_3 ("0.252133, 0.291743, 0.300667, 0.312566, 0.327429, 0.363209, 0.41137, 0.447703, 0.478571, 0.50487, 0.505716, 0.507407, 0.51079, 0.516046, 0.533568, 0.555832, 0.583195, 0.604011, 0.629356, 0.658322, 0.678798, 0.689915, 0.707179, 0.729854, 0.748442, 0.770896, 0.80262, 0.816809, 0.836093, 0.861805, 0.913229, 0.996754, 1.08028");
-            values ( \
-              "0.0144165, 0.0200435, 0.024076, 0.0300154, 0.0379152, 0.0574221, 0.0841872, 0.103635, 0.117646, 0.125641, 0.126662, 0.127332, 0.126746, 0.124512, 0.115619, 0.101892, 0.0838535, 0.0709104, 0.0567479, 0.0430405, 0.0350605, 0.0312738, 0.0260744, 0.0204247, 0.0166649, 0.0129706, 0.00904789, 0.00770041, 0.00617758, 0.00458668, 0.00248944, 0.000860347, 0.000299315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0390798");
-            index_3 ("0.252788, 0.315836, 0.323856, 0.361744, 0.48962, 0.505525, 0.51323, 0.523014, 0.608801, 0.648823, 0.678229, 0.85155, 0.887042, 0.940275, 0.986974, 1.02138, 1.06587, 1.10249, 1.14662, 1.20897, 1.27468, 1.32515, 1.40868, 1.4922, 1.57572, 1.74277");
-            values ( \
-              "0.0114577, 0.0331991, 0.0374173, 0.0592427, 0.138963, 0.147809, 0.150028, 0.14957, 0.135476, 0.127083, 0.119351, 0.0640713, 0.0543721, 0.0418097, 0.0328584, 0.0273325, 0.0214297, 0.0174795, 0.013603, 0.00949453, 0.00648687, 0.00481364, 0.00292509, 0.00176145, 0.00107027, 0.000391757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0805983");
-            index_3 ("0.252853, 0.349759, 0.409758, 0.50559, 0.514766, 0.529336, 0.54348, 0.566453, 0.598119, 0.689563, 0.778249, 0.8625, 0.946024, 0.97566, 1.03454, 1.27292, 1.36535, 1.43026, 1.51378, 1.57571, 1.65127, 1.7348, 1.77456, 1.82687, 1.88665, 1.96127, 2.0448, 2.10329, 2.18681, 2.27034, 2.35386, 2.43739, 2.60444, 2.85501, 3.18911");
-            values ( \
-              "0.00647782, 0.0534393, 0.0923984, 0.158341, 0.161198, 0.161135, 0.159566, 0.158334, 0.155801, 0.149311, 0.142305, 0.134766, 0.125298, 0.121259, 0.112499, 0.0735205, 0.0599555, 0.0514438, 0.0418703, 0.0357393, 0.0292794, 0.0233732, 0.0209507, 0.0181401, 0.0153384, 0.012426, 0.0097773, 0.00827238, 0.00648673, 0.00509349, 0.00398208, 0.00312397, 0.00191182, 0.000905841, 0.000334326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.481986, 0.584913, 0.60833, 0.622491, 0.637748, 0.668263, 0.696135, 0.717248, 0.744319, 0.767036, 0.787542, 0.806835, 0.825604, 0.844353, 0.850887, 0.867515, 0.884238, 0.892854, 0.90374, 0.917071, 0.924128, 0.936117, 0.952103, 0.983812, 1.02097, 1.216, 1.23663");
-            values ( \
-              "8.95928e-05, 0.00142253, 0.00202967, 0.00253444, 0.00325707, 0.00566256, 0.00958428, 0.0127299, 0.0160139, 0.0182659, 0.0196557, 0.0206238, 0.0208707, 0.0196811, 0.0177823, 0.0119878, 0.00761868, 0.00592444, 0.0042097, 0.0026825, 0.00210386, 0.00136981, 0.000750362, 0.000192088, 5.05221e-05, 1.03648e-05, 0.000255359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00445486");
-            index_3 ("0.544937, 0.608168, 0.62246, 0.649915, 0.688622, 0.726005, 0.775702, 0.804609, 0.830764, 0.855298, 0.879098, 0.902864, 0.930351, 0.963558, 0.983807, 0.998809, 1.0098, 1.03169, 1.06088, 1.10479, 1.21584, 1.23199");
-            values ( \
-              "0.00305789, 0.00341307, 0.00416676, 0.0061992, 0.0112725, 0.0184309, 0.0261759, 0.0294015, 0.0319213, 0.0333901, 0.034121, 0.0309579, 0.0202002, 0.0102411, 0.00628745, 0.00424861, 0.0031653, 0.00171219, 0.000700425, 0.000159304, 2.64797e-05, 0.000388715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0091877");
-            index_3 ("0.544897, 0.609704, 0.651071, 0.671593, 0.712234, 0.743814, 0.783295, 0.828226, 0.866651, 0.901216, 0.933396, 0.964704, 0.995922, 1.03021, 1.05961, 1.08725, 1.10931, 1.14242, 1.15871, 1.18044, 1.216, 1.23625, 1.25886");
-            values ( \
-              "0.00497384, 0.00523533, 0.00887042, 0.0114914, 0.0188576, 0.0256444, 0.0332214, 0.0403625, 0.0458291, 0.0498666, 0.0527975, 0.0531327, 0.0458286, 0.0318422, 0.0214636, 0.0138834, 0.00956729, 0.00517961, 0.00379413, 0.00250204, 0.00116569, 0.0016873, 0.0011528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0189487");
-            index_3 ("0.544494, 0.636657, 0.684186, 0.706286, 0.805326, 0.909783, 0.961229, 1.00718, 1.05003, 1.09293, 1.14168, 1.21571, 1.22105, 1.24359, 1.27549, 1.29393, 1.3308, 1.35532, 1.39622, 1.45077, 1.53429, 1.61781");
-            values ( \
-              "0.00489951, 0.0099093, 0.0163861, 0.0204587, 0.0418442, 0.0619077, 0.0708829, 0.0775727, 0.0815582, 0.0779812, 0.0615943, 0.0343575, 0.0339148, 0.0269419, 0.0190988, 0.0155893, 0.0102638, 0.00776883, 0.00485073, 0.00253215, 0.000874209, 0.000305153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0390798");
-            index_3 ("0.608415, 0.712693, 1.03025, 1.09908, 1.161, 1.21609, 1.22804, 1.38102, 1.46524, 1.53068, 1.5767, 1.63529, 1.66844, 1.7665, 1.84325, 1.92678, 2.06327");
-            values ( \
-              "0.0172054, 0.0240468, 0.0955008, 0.109093, 0.118093, 0.11913, 0.117162, 0.0676576, 0.0454767, 0.0325013, 0.0253754, 0.018363, 0.0152396, 0.00865817, 0.00553163, 0.00335918, 0.00161791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0805983");
-            index_3 ("0.608121, 0.732276, 0.740069, 0.836784, 1.15647, 1.21579, 1.23024, 1.29801, 1.40205, 1.48558, 1.57411, 1.84329, 1.96981, 2.1153, 2.19085, 2.31413, 2.42618, 2.50082, 2.64285, 2.8099, 2.97695, 3.144, 3.25898");
-            values ( \
-              "0.0169275, 0.0298334, 0.0314866, 0.0539597, 0.135401, 0.148612, 0.149012, 0.143985, 0.134819, 0.125256, 0.112472, 0.0688061, 0.0514494, 0.0357348, 0.0292814, 0.0209534, 0.0153438, 0.012423, 0.00826844, 0.00508941, 0.00311978, 0.00190755, 0.00144989" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.00182455, 0.00186958, 0.00190332, 0.00192418, 0.00193566, 0.00194159", \
-            "0.00212812, 0.00217382, 0.0022148, 0.00224289, 0.00225911, 0.00226767", \
-            "0.00232801, 0.00234199, 0.0023635, 0.00238324, 0.00239653, 0.00240408", \
-            "0.0024919, 0.00247691, 0.00246514, 0.002462, 0.00246271, 0.00246527", \
-            "0.002624, 0.00259188, 0.00255573, 0.00252732, 0.00250883, 0.0025003", \
-            "0.00274711, 0.00268619, 0.0026374, 0.00259688, 0.00255994, 0.00253389" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.00235559, 0.00240039, 0.00243744, 0.00245818, 0.0024678, 0.00247202", \
-            "0.00247313, 0.00240049, 0.00235428, 0.0023334, 0.0023239, 0.00231765", \
-            "0.00257599, 0.00242944, 0.00231562, 0.00224068, 0.0021971, 0.00219349", \
-            "0.00298445, 0.00265595, 0.00242164, 0.00227347, 0.00219095, 0.00214497", \
-            "0.0031423, 0.00315201, 0.00278247, 0.00245914, 0.00227625, 0.00217836", \
-            "0.00295173, 0.00305307, 0.00313111, 0.00294106, 0.00252, 0.00229786" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0174343, 0.0182559, 0.0205668, 0.0263311, 0.0307552, 0.0322781, 0.0352932, 0.0366763, 0.0398544, 0.0475981, 0.051018, 0.0542445, 0.058788, 0.0618684, 0.064289, 0.0691302, 0.0770807, 0.0866575");
-            values ( \
-              "-0.0558781, -0.134078, -0.135177, -0.133568, -0.129986, -0.128051, -0.122336, -0.118169, -0.105896, -0.0538811, -0.0358942, -0.0237323, -0.0128033, -0.0083438, -0.00591766, -0.00288861, -0.000788834, -0.000145454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00445486");
-            index_3 ("0.0175623, 0.018983, 0.0204076, 0.0317051, 0.0388981, 0.0473967, 0.049893, 0.0531205, 0.0565098, 0.0710326, 0.0770158, 0.0830345, 0.0885036, 0.097711, 0.105607");
-            values ( \
-              "-0.0575176, -0.168802, -0.169083, -0.165132, -0.160354, -0.150325, -0.145336, -0.137459, -0.125496, -0.0537426, -0.0341781, -0.0210992, -0.0133797, -0.00604502, -0.00331843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0091877");
-            index_3 ("0.0182981, 0.0200179, 0.0356062, 0.0475897, 0.0579861, 0.062686, 0.0719905, 0.0806139, 0.0861597, 0.0940962, 0.109574, 0.1145, 0.121068, 0.126764, 0.133876, 0.142781, 0.152924, 0.161062, 0.177337, 0.189643");
-            values ( \
-              "-0.19233, -0.192769, -0.188839, -0.184339, -0.179266, -0.176404, -0.168952, -0.158232, -0.147976, -0.125401, -0.0753044, -0.0623061, -0.0476927, -0.0374678, -0.0274418, -0.0182906, -0.0114572, -0.00779981, -0.00347302, -0.00218068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0189487");
-            index_3 ("0.0184323, 0.0200344, 0.0517988, 0.0720586, 0.0931247, 0.104258, 0.122277, 0.130748, 0.139783, 0.147927, 0.158784, 0.192212, 0.203991, 0.211957, 0.224721, 0.235733, 0.250415, 0.261931, 0.274554, 0.291385, 0.325047, 0.370677, 0.426758");
-            values ( \
-              "-0.20651, -0.206951, -0.201486, -0.196981, -0.19125, -0.187541, -0.179757, -0.174762, -0.168111, -0.160089, -0.144859, -0.0846479, -0.0670892, -0.0568552, -0.0431087, -0.0336901, -0.0239637, -0.0182615, -0.0135113, -0.00895259, -0.00374687, -0.00103936, -0.000197155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0390798");
-            index_3 ("0.0184497, 0.0199704, 0.0849484, 0.12574, 0.164544, 0.21191, 0.226897, 0.256872, 0.266306, 0.285175, 0.311178, 0.361339, 0.382065, 0.409448, 0.431677, 0.449699, 0.471152, 0.501358, 0.532512, 0.556334, 0.60398, 0.668459, 0.732937, 0.797416");
-            values ( \
-              "-0.214087, -0.214614, -0.208235, -0.203312, -0.19777, -0.188866, -0.185163, -0.175337, -0.171192, -0.160245, -0.138365, -0.0909555, -0.074336, -0.0559505, -0.0438957, -0.0358692, -0.0280279, -0.0196108, -0.0135188, -0.0101213, -0.00556724, -0.00240729, -0.00102939, -0.000447593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0805983");
-            index_3 ("0.0183529, 0.0213806, 0.207377, 0.293243, 0.357722, 0.411174, 0.441404, 0.501863, 0.521385, 0.560427, 0.612426, 0.711583, 0.779641, 0.824837, 0.86805, 0.918168, 0.982647, 1.0243, 1.08739, 1.15186, 1.21634, 1.3453, 1.53874");
-            values ( \
-              "-0.217937, -0.218573, -0.208395, -0.20252, -0.197209, -0.191794, -0.188089, -0.178291, -0.17401, -0.162654, -0.140646, -0.0935783, -0.0671833, -0.0530577, -0.0419806, -0.0317293, -0.0218366, -0.0171146, -0.0117688, -0.00796898, -0.00537364, -0.00243149, -0.000738102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0281025, 0.0322952, 0.0363433, 0.0371569, 0.0375933, 0.0394826, 0.0434399, 0.0471343, 0.0495432, 0.0528745, 0.0552393, 0.0637157, 0.0673148, 0.0708122, 0.0742201, 0.0800989, 0.0845677");
-            values ( \
-              "-0.00158633, -0.0911866, -0.117131, -0.13101, -0.132797, -0.133856, -0.13143, -0.126953, -0.1219, -0.11107, -0.0991273, -0.0429177, -0.0273233, -0.0171761, -0.0107371, -0.00462549, -0.00266826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00445486");
-            index_3 ("0.0289587, 0.033958, 0.0371281, 0.0391525, 0.0467699, 0.0567082, 0.0619363, 0.067507, 0.0714164, 0.0854585, 0.0914261, 0.0973019, 0.102874, 0.112395, 0.117479");
-            values ( \
-              "-0.0144355, -0.12702, -0.16221, -0.167654, -0.164742, -0.15709, -0.149892, -0.137464, -0.123183, -0.0535733, -0.0340986, -0.0212928, -0.0133872, -0.00587909, -0.00416746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0091877");
-            index_3 ("0.0300326, 0.0339315, 0.0363272, 0.0375117, 0.0385022, 0.0395262, 0.0456819, 0.0587941, 0.0724432, 0.0771431, 0.0864476, 0.0906202, 0.0950709, 0.100617, 0.108553, 0.117234, 0.124031, 0.128957, 0.135524, 0.14122, 0.14833, 0.157234, 0.161273, 0.167375, 0.17551, 0.191782, 0.215841, 0.245205");
-            values ( \
-              "-0.025442, -0.144479, -0.166116, -0.188091, -0.191111, -0.191574, -0.190207, -0.185717, -0.179266, -0.176404, -0.168952, -0.164252, -0.158233, -0.147977, -0.125403, -0.0960845, -0.0753076, -0.0623084, -0.0476941, -0.0374709, -0.0274465, -0.018294, -0.0152033, -0.0114607, -0.007803, -0.00347528, -0.000939061, -0.000167859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0189487");
-            index_3 ("0.0314585, 0.0373328, 0.0390348, 0.0412921, 0.0832478, 0.114889, 0.126661, 0.137436, 0.156193, 0.163022, 0.170653, 0.197829, 0.220113, 0.234962, 0.242854, 0.252833, 0.266138, 0.27723, 0.288378, 0.303242, 0.332971, 0.377414, 0.431151");
-            values ( \
-              "-0.10975, -0.200413, -0.205847, -0.206099, -0.197847, -0.188935, -0.184498, -0.1794, -0.166539, -0.159567, -0.14926, -0.0999675, -0.0650721, -0.0474156, -0.0398521, -0.0318213, -0.0233703, -0.0179707, -0.0137736, -0.00960282, -0.00449245, -0.00131795, -0.000263174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0390798");
-            index_3 ("0.0248001, 0.0426892, 0.0996723, 0.133799, 0.168634, 0.200566, 0.241621, 0.271652, 0.281031, 0.299787, 0.325901, 0.376445, 0.39667, 0.423932, 0.446305, 0.4646, 0.485989, 0.516144, 0.547113, 0.570774, 0.618096, 0.682575, 0.747053, 0.811532");
-            values ( \
-              "-0.0140004, -0.214064, -0.208239, -0.204172, -0.199398, -0.194171, -0.18516, -0.175316, -0.17119, -0.160325, -0.138365, -0.0906286, -0.0744247, -0.0560937, -0.0439415, -0.0357962, -0.0279907, -0.0195965, -0.0135388, -0.0101566, -0.00561123, -0.00242637, -0.00103773, -0.000451088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0805983");
-            index_3 ("0.0317672, 0.0385512, 0.0416158, 0.237604, 0.308414, 0.370604, 0.456385, 0.481422, 0.536404, 0.600883, 0.737673, 0.785596, 0.818029, 0.869473, 0.913826, 0.972964, 1.01991, 1.0713, 1.13577, 1.20025, 1.32921, 1.36338");
-            values ( \
-              "-0.136383, -0.217159, -0.218376, -0.207442, -0.202523, -0.197413, -0.18811, -0.184544, -0.17404, -0.152947, -0.0889528, -0.0704187, -0.0596472, -0.0452512, -0.0354083, -0.0252588, -0.0191888, -0.0141722, -0.00963067, -0.00648802, -0.00293555, -0.00250708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0522087, 0.0551001, 0.0580438, 0.061732, 0.0675808, 0.075197, 0.0767114, 0.0797403, 0.0823794, 0.0843118, 0.0875654, 0.0879899, 0.0888388, 0.0902329, 0.0964775, 0.0985686, 0.0999894, 0.102111, 0.103931, 0.106358, 0.10843, 0.110684, 0.11369, 0.119663");
-            values ( \
-              "-0.0272838, -0.0328649, -0.0463525, -0.0591656, -0.0741166, -0.0924506, -0.0957399, -0.10169, -0.105639, -0.106921, -0.104611, -0.107226, -0.106336, -0.0998464, -0.0563609, -0.0443603, -0.0373263, -0.0285489, -0.0225165, -0.0162166, -0.0122065, -0.00892223, -0.00579984, -0.00236018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00445486");
-            index_3 ("0.0542213, 0.0579002, 0.0603982, 0.0641602, 0.071252, 0.0808743, 0.0874488, 0.0883753, 0.0898219, 0.093492, 0.0968845, 0.103069, 0.106379, 0.113822, 0.120418, 0.126381, 0.132218, 0.137816, 0.141016, 0.147416, 0.159104, 0.172735");
-            values ( \
-              "-0.0322054, -0.0550842, -0.0673052, -0.0818888, -0.104969, -0.134003, -0.151286, -0.156842, -0.15889, -0.15498, -0.149892, -0.135629, -0.123122, -0.0836314, -0.0535328, -0.0340817, -0.0213482, -0.0133938, -0.0102106, -0.00584042, -0.00192084, -0.000494416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0091877");
-            index_3 ("0.0555246, 0.0613372, 0.0631532, 0.0667411, 0.0738378, 0.087423, 0.0889451, 0.0913058, 0.0926613, 0.103005, 0.112256, 0.121544, 0.125954, 0.131996, 0.134672, 0.138768, 0.153038, 0.158826, 0.164606, 0.172312, 0.176366, 0.181493, 0.188329, 0.194128, 0.199953, 0.207718, 0.22325, 0.24622, 0.274011");
-            values ( \
-              "-0.0343527, -0.0795945, -0.0881992, -0.103391, -0.129609, -0.177066, -0.184619, -0.187318, -0.18612, -0.181659, -0.176421, -0.168953, -0.163985, -0.155308, -0.150254, -0.140426, -0.0937136, -0.0761339, -0.0609329, -0.0443668, -0.0373376, -0.0298638, -0.0219798, -0.0168603, -0.01289, -0.0089505, -0.00415662, -0.00121803, -0.000246487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0189487");
-            index_3 ("0.0570686, 0.065624, 0.0890375, 0.0911474, 0.0930006, 0.116409, 0.132482, 0.154086, 0.172112, 0.180473, 0.189391, 0.198414, 0.210444, 0.239941, 0.256681, 0.26854, 0.278047, 0.289054, 0.303731, 0.316399, 0.33562, 0.361248, 0.404652, 0.456181");
-            values ( \
-              "-0.0539895, -0.105498, -0.200855, -0.204022, -0.203065, -0.198285, -0.194267, -0.187538, -0.179757, -0.174829, -0.168298, -0.159325, -0.141772, -0.0880871, -0.0632684, -0.0491814, -0.0399086, -0.0311263, -0.0221008, -0.016375, -0.010301, -0.00540747, -0.00164513, -0.000365085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0390798");
-            index_3 ("0.0585683, 0.0889376, 0.0910693, 0.0928748, 0.134965, 0.183796, 0.212839, 0.239778, 0.276914, 0.314459, 0.327494, 0.342392, 0.361303, 0.397353, 0.419659, 0.441949, 0.471668, 0.487288, 0.507045, 0.533388, 0.563258, 0.578241, 0.608206, 0.668136, 0.732615, 0.797094");
-            values ( \
-              "-0.0779932, -0.209712, -0.213337, -0.212516, -0.208224, -0.202249, -0.198043, -0.193441, -0.185158, -0.172088, -0.165246, -0.15496, -0.138249, -0.103419, -0.0840033, -0.0672219, -0.0489371, -0.0411876, -0.0329467, -0.0242554, -0.0170239, -0.0142185, -0.0098738, -0.00458212, -0.00198137, -0.000841874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0805983");
-            index_3 ("0.0597175, 0.090772, 0.0926568, 0.168961, 0.282009, 0.352221, 0.405518, 0.436943, 0.491309, 0.516244, 0.571317, 0.635796, 0.772596, 0.820519, 0.852953, 0.904397, 0.948749, 1.00788, 1.05483, 1.10622, 1.1707, 1.23517, 1.36413, 1.49309, 1.62205");
-            values ( \
-              "-0.0934199, -0.218084, -0.217464, -0.213587, -0.206823, -0.201844, -0.197416, -0.194407, -0.188111, -0.184559, -0.174043, -0.152951, -0.0889533, -0.0704171, -0.059649, -0.0452495, -0.0354065, -0.0252615, -0.0191868, -0.0141699, -0.00963338, -0.00648555, -0.00293295, -0.00131596, -0.000585173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.107758, 0.120703, 0.122947, 0.124068, 0.126986, 0.129903, 0.131964, 0.136087, 0.139929, 0.14171, 0.145273, 0.148487, 0.151702, 0.153194, 0.154687, 0.156179, 0.157671, 0.159113, 0.160556, 0.161998, 0.163441, 0.16488, 0.166319, 0.167759, 0.169198, 0.169422, 0.169534, 0.16987, 0.170766, 0.172559, 0.174351, 0.178067, 0.178679, 0.179291, 0.179904, 0.181129, 0.181741, 0.182628, 0.183514, 0.184748, 0.185159, 0.185981, 0.186393, 0.187215, 0.188037, 0.18886, 0.189682, 0.190876, 0.191473, 0.193023");
-            values ( \
-              "-0.0323689, -0.0334145, -0.0359638, -0.0372039, -0.0402745, -0.0432822, -0.0453656, -0.049445, -0.0531424, -0.0548222, -0.0581163, -0.0609858, -0.0637669, -0.0646486, -0.0653684, -0.0659263, -0.0663223, -0.0665443, -0.0666122, -0.0665262, -0.0662862, -0.0655591, -0.0645452, -0.0632445, -0.0616572, -0.0613649, -0.061169, -0.0605059, -0.0581837, -0.0528533, -0.0470637, -0.0352081, -0.0333166, -0.0315069, -0.0298201, -0.0266103, -0.0250875, -0.0230074, -0.0209859, -0.018256, -0.0174407, -0.0159047, -0.0151841, -0.0139103, -0.0127142, -0.0115958, -0.0105551, -0.0092291, -0.00859232, -0.00702129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00445486");
-            index_3 ("0.109189, 0.122647, 0.124957, 0.127267, 0.134028, 0.140788, 0.146174, 0.15156, 0.156203, 0.160847, 0.16503, 0.169213, 0.171157, 0.173101, 0.175044, 0.176988, 0.178878, 0.180769, 0.182659, 0.18455, 0.186436, 0.188323, 0.190209, 0.192096, 0.192485, 0.192874, 0.193263, 0.194819, 0.203092, 0.20406, 0.205028, 0.206964, 0.209724, 0.210135, 0.210547, 0.210959, 0.21137, 0.211782, 0.212193, 0.212571, 0.213326, 0.214081, 0.214836, 0.216238, 0.217641, 0.218658, 0.219676, 0.220693, 0.222172, 0.223554");
-            values ( \
-              "-0.0431083, -0.0441122, -0.0475431, -0.0508638, -0.0595333, -0.0680894, -0.0748243, -0.0814872, -0.0868751, -0.0921483, -0.0968001, -0.101359, -0.102604, -0.103572, -0.104265, -0.104682, -0.104823, -0.104702, -0.104321, -0.103678, -0.102192, -0.100211, -0.097737, -0.0947692, -0.094067, -0.0931157, -0.0920574, -0.0867554, -0.0563078, -0.0530814, -0.0499605, -0.0441984, -0.0361518, -0.0347261, -0.0331433, -0.0332187, -0.0331632, -0.0329769, -0.0326597, -0.0322537, -0.0306947, -0.0288543, -0.0271286, -0.0243114, -0.0217218, -0.0200762, -0.01849, -0.016963, -0.0148502, -0.0129876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0091877");
-            index_3 ("0.105188, 0.116264, 0.134766, 0.148206, 0.172283, 0.184858, 0.196214, 0.206828, 0.213062, 0.21721, 0.222366, 0.22885, 0.238069, 0.249869, 0.257724, 0.26642, 0.271576, 0.281627, 0.287306, 0.298663, 0.319809, 0.34441");
-            values ( \
-              "-0.0218372, -0.0379641, -0.0695919, -0.0896047, -0.123497, -0.139437, -0.151873, -0.159547, -0.160797, -0.155494, -0.144527, -0.125359, -0.0942149, -0.0607713, -0.0439373, -0.0302067, -0.0240192, -0.0151728, -0.0116434, -0.00676877, -0.00224429, -0.000591691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0189487");
-            index_3 ("0.105097, 0.124819, 0.139515, 0.182961, 0.210213, 0.213871, 0.219365, 0.231102, 0.239144, 0.255229, 0.262574, 0.272369, 0.27745, 0.287613, 0.299947, 0.317772, 0.329174, 0.340547, 0.355712, 0.361574, 0.372553, 0.382511, 0.396438, 0.401919, 0.412879, 0.434801, 0.475728, 0.523316");
-            values ( \
-              "-0.0129428, -0.0563062, -0.0829251, -0.152103, -0.191299, -0.194525, -0.194148, -0.190261, -0.187549, -0.180726, -0.176696, -0.169946, -0.165669, -0.154027, -0.133859, -0.100543, -0.0814156, -0.0648887, -0.0469024, -0.0412885, -0.0322116, -0.0256383, -0.0184165, -0.0162046, -0.0124192, -0.00724333, -0.00238183, -0.000641377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0390798");
-            index_3 ("0.105012, 0.136232, 0.150845, 0.206346, 0.213004, 0.216565, 0.289104, 0.336296, 0.362071, 0.388324, 0.401459, 0.421688, 0.446348, 0.481905, 0.527366, 0.569323, 0.591207, 0.611087, 0.649835, 0.671726, 0.715509, 0.779987, 0.844466, 0.908945");
-            values ( \
-              "-0.0104177, -0.0797484, -0.106025, -0.198317, -0.208114, -0.208963, -0.19937, -0.191207, -0.185156, -0.176802, -0.171185, -0.159308, -0.13834, -0.103967, -0.06703, -0.0426409, -0.033314, -0.0264834, -0.0167313, -0.0128459, -0.00746694, -0.00323343, -0.00138968, -0.000598203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0805983");
-            index_3 ("0.105001, 0.152046, 0.210117, 0.213202, 0.216938, 0.220654, 0.288573, 0.371525, 0.428678, 0.501423, 0.576838, 0.641316, 0.656859, 0.687944, 0.747843, 0.811263, 0.867798, 0.911165, 0.944902, 0.991741, 1.03017, 1.07326, 1.13421, 1.17255, 1.24342, 1.3079, 1.37238, 1.43686, 1.56581, 1.69477");
-            values ( \
-              "-0.0132914, -0.110123, -0.210748, -0.214912, -0.21593, -0.215236, -0.211698, -0.206566, -0.202537, -0.196452, -0.188106, -0.177462, -0.174023, -0.165312, -0.140718, -0.109865, -0.0848799, -0.0685516, -0.0575876, -0.0447726, -0.0362102, -0.0283601, -0.0198841, -0.0158779, -0.0104065, -0.00703718, -0.00474311, -0.00319333, -0.00144177, -0.000649669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.194922, 0.225181, 0.232893, 0.240774, 0.258308, 0.282187, 0.293898, 0.304369, 0.314037, 0.323291, 0.332528, 0.339297, 0.347552, 0.355332, 0.363195, 0.366891, 0.371818, 0.381674, 0.394619, 0.410545, 0.505656, 0.515884");
-            values ( \
-              "-0.000353948, -0.0068723, -0.00897048, -0.0119974, -0.0210085, -0.0310765, -0.0354145, -0.0388496, -0.0414336, -0.0428018, -0.0409422, -0.0339006, -0.0219825, -0.0126655, -0.006601, -0.0047674, -0.00303215, -0.00112787, -0.000292434, -9.43963e-05, -1.08712e-05, -0.000469217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00445486");
-            index_3 ("0.23089, 0.257559, 0.261943, 0.265872, 0.2698, 0.273729, 0.277658, 0.28499, 0.292321, 0.300403, 0.304154, 0.308656, 0.313191, 0.317727, 0.324509, 0.331291, 0.337543, 0.343796, 0.346793, 0.34979, 0.352787, 0.355784, 0.358775, 0.361766, 0.364757, 0.367748, 0.36791, 0.368234, 0.369529, 0.370176, 0.370824, 0.372766, 0.373812, 0.375904, 0.378179, 0.380638, 0.382508, 0.384431, 0.386995, 0.38785, 0.390687, 0.39514, 0.396756, 0.398372, 0.399987, 0.401603, 0.403219, 0.404835, 0.407874, 0.410453");
-            values ( \
-              "-0.0256664, -0.0256753, -0.0285173, -0.0307882, -0.0330039, -0.0351643, -0.0372694, -0.04105, -0.0447128, -0.0486736, -0.0504817, -0.0526104, -0.054711, -0.0567672, -0.0596378, -0.0624543, -0.0650029, -0.0675055, -0.0674359, -0.067264, -0.0669899, -0.0666135, -0.066136, -0.0655566, -0.0648755, -0.0640924, -0.0640107, -0.0637504, -0.0624545, -0.0617346, -0.0609372, -0.0583685, -0.0568128, -0.0535967, -0.0499335, -0.045797, -0.0425329, -0.0390857, -0.034894, -0.0335485, -0.0293487, -0.023011, -0.0210108, -0.0191569, -0.0175199, -0.0159824, -0.0145443, -0.0132056, -0.010993, -0.00922455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0091877");
-            index_3 ("0.237545, 0.2707, 0.27582, 0.28094, 0.285902, 0.290864, 0.295827, 0.300789, 0.31144, 0.322091, 0.332743, 0.338743, 0.34614, 0.350007, 0.355412, 0.366221, 0.381148, 0.383467, 0.385786, 0.388106, 0.390425, 0.394463, 0.3985, 0.402538, 0.406575, 0.410611, 0.414647, 0.418684, 0.42272, 0.423173, 0.4234, 0.42408, 0.425893, 0.429521, 0.435589, 0.447142, 0.448564, 0.45283, 0.45659, 0.461981, 0.462525, 0.464701, 0.466877, 0.469053, 0.471229, 0.473404, 0.47558, 0.478993, 0.4807, 0.484964");
-            values ( \
-              "-0.035656, -0.0384623, -0.0418602, -0.0451684, -0.0482407, -0.0512612, -0.0542297, -0.0571464, -0.0632315, -0.0691854, -0.0750405, -0.0782697, -0.0821635, -0.0841481, -0.0868243, -0.0918872, -0.0986796, -0.0998777, -0.101145, -0.102481, -0.103887, -0.103461, -0.102852, -0.102059, -0.101082, -0.099922, -0.0985782, -0.0970509, -0.0953399, -0.0950398, -0.0948257, -0.0941058, -0.0916182, -0.0859158, -0.0754102, -0.0545502, -0.0522259, -0.0455867, -0.0402549, -0.032924, -0.032205, -0.0295925, -0.027174, -0.025066, -0.0230745, -0.0211996, -0.0194413, -0.0169985, -0.0158242, -0.0130274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0189487");
-            index_3 ("0.21361, 0.252665, 0.329288, 0.384598, 0.412617, 0.437719, 0.461002, 0.483618, 0.507556, 0.538112, 0.554731, 0.570819, 0.593376, 0.608613, 0.633163, 0.655853");
-            values ( \
-              "-0.0107118, -0.0288504, -0.0802304, -0.114114, -0.129666, -0.142102, -0.150498, -0.151912, -0.133268, -0.079181, -0.0564431, -0.0397452, -0.0236666, -0.016506, -0.00910053, -0.00550475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0390798");
-            index_3 ("0.271986, 0.337159, 0.365572, 0.395095, 0.410411, 0.421878, 0.444813, 0.466116, 0.485787, 0.495622, 0.506105, 0.509811, 0.513517, 0.51804, 0.522562, 0.531734, 0.540791, 0.549848, 0.554604, 0.559361, 0.568874, 0.587646, 0.608281, 0.612009, 0.617602, 0.623194, 0.626922, 0.634379, 0.648542, 0.662276, 0.671289, 0.689316, 0.706043, 0.719048, 0.730192, 0.733906, 0.741335, 0.748764, 0.756193, 0.763622, 0.775952, 0.785833, 0.795714, 0.799007, 0.805594, 0.812181, 0.818768, 0.825355, 0.842133, 0.855213");
-            values ( \
-              "-0.0849577, -0.0897969, -0.109261, -0.129225, -0.139468, -0.146771, -0.16103, -0.17386, -0.185353, -0.190971, -0.196752, -0.196511, -0.19619, -0.195692, -0.195075, -0.193389, -0.191567, -0.189591, -0.18841, -0.187167, -0.184497, -0.177936, -0.170928, -0.169303, -0.16606, -0.162398, -0.15977, -0.154135, -0.141821, -0.129339, -0.120857, -0.103206, -0.0886376, -0.0775206, -0.0693154, -0.0667357, -0.0618882, -0.0572992, -0.0529687, -0.0488966, -0.0427084, -0.0379437, -0.0337641, -0.0324787, -0.0302149, -0.0280697, -0.0260433, -0.0241356, -0.0198812, -0.016814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0805983");
-            index_3 ("0.252552, 0.300227, 0.444291, 0.505289, 0.509368, 0.514215, 0.518556, 0.5637, 0.634504, 0.707234, 0.771713, 0.804648, 0.848562, 0.862613, 0.890716, 0.946921, 0.997037, 1.05431, 1.08696, 1.12165, 1.16791, 1.20934, 1.25735, 1.32137, 1.36531, 1.38766, 1.43235, 1.49683, 1.56131, 1.62579, 1.69027, 1.75474, 1.8837");
-            values ( \
-              "-0.055976, -0.0658204, -0.168057, -0.208873, -0.210495, -0.210639, -0.210169, -0.207471, -0.202546, -0.196467, -0.189493, -0.184974, -0.177166, -0.17401, -0.166273, -0.143857, -0.119419, -0.0929317, -0.0795611, -0.0669203, -0.0525143, -0.0419472, -0.032082, -0.0221683, -0.0171471, -0.0150118, -0.0115176, -0.00777727, -0.00526342, -0.00352693, -0.00238761, -0.00158726, -0.00070956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.439227, 0.486933, 0.508323, 0.521965, 0.546339, 0.586761, 0.623263, 0.644107, 0.654849, 0.663735, 0.678965, 0.694065, 0.705378, 0.723137, 0.736889, 0.743023, 0.752952, 0.76619, 0.785205, 0.808811, 0.839336, 0.846551");
-            values ( \
-              "-0.000901073, -0.00235989, -0.0034576, -0.00452479, -0.00719858, -0.0145387, -0.0201813, -0.0229497, -0.0241784, -0.0250397, -0.0260405, -0.0255221, -0.0217906, -0.0111833, -0.00533187, -0.00371519, -0.00203616, -0.000897552, -0.000310141, -0.000145007, -7.1913e-05, -7.03918e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00445486");
-            index_3 ("0.450554, 0.497427, 0.517269, 0.535483, 0.544688, 0.562005, 0.587331, 0.617085, 0.640635, 0.665316, 0.687269, 0.707425, 0.726649, 0.745732, 0.760032, 0.785329, 0.799298, 0.806376, 0.818076, 0.833676, 0.857265, 0.88541, 0.920945, 1.16493");
-            values ( \
-              "-0.00233418, -0.00451207, -0.0062611, -0.00846347, -0.00983661, -0.0130734, -0.0189419, -0.0254595, -0.0302222, -0.0347719, -0.0383537, -0.0410328, -0.0426005, -0.0415439, -0.035158, -0.016752, -0.00944256, -0.0068886, -0.00397019, -0.00180626, -0.00046534, -0.000134675, -3.78998e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0091877");
-            index_3 ("0.454619, 0.513864, 0.537698, 0.557154, 0.582688, 0.617894, 0.650293, 0.688822, 0.721479, 0.750598, 0.777451, 0.803123, 0.828747, 0.848025, 0.870931, 0.88953, 0.900616, 0.909862, 0.919676, 0.932762, 0.958933, 0.993246, 1.03494, 1.15179");
-            values ( \
-              "-0.00294589, -0.00822761, -0.0116581, -0.0151044, -0.0209676, -0.0303851, -0.0384678, -0.0473198, -0.0541033, -0.0594563, -0.0634691, -0.0656926, -0.0632289, -0.0524825, -0.0338414, -0.0211143, -0.0153191, -0.0115925, -0.00850357, -0.00548148, -0.00211378, -0.000489198, -0.000110367, -3.97248e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0189487");
-            index_3 ("0.454342, 0.539647, 0.572405, 0.711293, 0.763849, 0.808633, 0.848643, 0.885558, 0.920941, 0.956249, 0.959976, 0.972753, 1.01043, 1.03496, 1.0556, 1.08177, 1.09625, 1.1128, 1.14589, 1.19863, 1.21593, 1.23069, 1.25067");
-            values ( \
-              "-0.00134289, -0.0146586, -0.0213713, -0.0585639, -0.0712867, -0.0812467, -0.0892511, -0.0951814, -0.0981198, -0.0923171, -0.0905509, -0.0825043, -0.0522059, -0.0351571, -0.0242083, -0.0144483, -0.0107183, -0.00752576, -0.00351759, -0.000871145, -0.0005819, -0.000956606, -0.000626012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0390798");
-            index_3 ("0.518485, 0.599554, 0.707849, 0.802735, 0.895075, 0.965853, 0.993837, 1.04544, 1.09516, 1.14479, 1.24658, 1.28812, 1.30954, 1.33973, 1.3708, 1.39455, 1.44206, 1.50148");
-            values ( \
-              "-0.0206291, -0.0305293, -0.061376, -0.0868816, -0.110214, -0.126387, -0.132048, -0.140467, -0.14312, -0.129078, -0.0566983, -0.0358447, -0.0280169, -0.0196087, -0.0135316, -0.0101375, -0.00558883, -0.00266375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0805983");
-            index_3 ("0.516554, 0.622379, 0.86221, 0.935534, 1.03825, 1.1258, 1.19028, 1.21563, 1.22743, 1.28012, 1.33142, 1.36005, 1.41731, 1.56071, 1.60943, 1.64247, 1.69313, 1.73669, 1.79477, 1.84279, 1.89517, 1.95964, 2.02412, 2.0886, 2.15308, 2.28204, 2.411");
-            values ( \
-              "-0.0164121, -0.0384612, -0.107304, -0.127607, -0.154822, -0.176126, -0.189876, -0.194517, -0.19417, -0.188111, -0.180038, -0.174029, -0.155813, -0.0891265, -0.0702705, -0.0593091, -0.0451951, -0.0355218, -0.0254871, -0.019255, -0.0141395, -0.00959721, -0.00647604, -0.00437243, -0.00293326, -0.00132071, -0.000591829" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.00163235, 0.0016497, 0.00166379, 0.00167298, 0.0016782, 0.00168095", \
-            "0.00182955, 0.00184023, 0.00185129, 0.00185986, 0.00186524, 0.00186822", \
-            "0.00194171, 0.0019447, 0.00194783, 0.00195262, 0.00195649, 0.00195895", \
-            "0.00200628, 0.00200441, 0.00200418, 0.00200486, 0.00200589, 0.00200705", \
-            "0.00204484, 0.00204137, 0.00203761, 0.00203312, 0.00203038, 0.00202918", \
-            "0.00206636, 0.00206208, 0.00205675, 0.00205071, 0.00204562, 0.00204219" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.00214035, 0.00216211, 0.0021785, 0.00218791, 0.00219253, 0.00219469", \
-            "0.00227971, 0.00227524, 0.0022727, 0.0022719, 0.0022715, 0.00227125", \
-            "0.00240814, 0.00235661, 0.00232251, 0.00230131, 0.00228806, 0.00228166", \
-            "0.00265743, 0.00251189, 0.00240706, 0.00234223, 0.00230613, 0.00228532", \
-            "0.00315947, 0.002843, 0.00260616, 0.00245216, 0.00236453, 0.00231703", \
-            "0.00364306, 0.00352548, 0.003016, 0.00269441, 0.00249541, 0.00238451" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "0.00378179, 0.00385141, 0.00390204, 0.00392942, 0.00394554, 0.00395341", \
-            "0.00364968, 0.00375368, 0.0038344, 0.0038913, 0.00392557, 0.0039438", \
-            "0.00356799, 0.00362705, 0.00374153, 0.00381856, 0.00388449, 0.00392025", \
-            "0.00364702, 0.00364559, 0.0036872, 0.00374224, 0.0037925, 0.00389099", \
-            "0.00410652, 0.00400898, 0.00393478, 0.00375428, 0.00383544, 0.00386599", \
-            "0.00521554, 0.00499213, 0.00472745, 0.00441893, 0.00414097, 0.00402124" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00445486, 0.0091877, 0.0189487, 0.0390798, 0.0805983");
-          values ( \
-            "-0.00064599, -0.000589684, -0.000554264, -0.000532471, -0.000521192, -0.000515588", \
-            "-0.000763234, -0.000673295, -0.00060167, -0.000555889, -0.000539385, -0.000522304", \
-            "-0.00090777, -0.000807576, -0.000703629, -0.000621434, -0.000569493, -0.000541073", \
-            "-0.000999716, -0.000909816, -0.000788726, -0.000696042, -0.000624386, -0.000572934", \
-            "-0.000803835, -0.000814255, -0.000819947, -0.000761551, -0.000686433, -0.000612197", \
-            "0.000160431, -0.000110756, -0.000354979, -0.000513917, -0.000577861, -0.00058349" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00265141;
-      rise_capacitance : 0.00265141;
-      rise_capacitance_range (0.00208791, 0.00265141);
-      fall_capacitance : 0.00264111;
-      fall_capacitance_range (0.00191082, 0.00264111);
-    }
-  }
-  cell (INVX2) {
-    area : 6.3492;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.0281849;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0524053;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00396457;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0281849;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.148382;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.0227065, 0.0320117, 0.0525671, 0.0994591, 0.208093, 0.46091", \
-            "0.0273767, 0.0365351, 0.0572335, 0.104318, 0.213053, 0.465937", \
-            "0.0390025, 0.0491527, 0.0695834, 0.116656, 0.225604, 0.47851", \
-            "0.0572703, 0.0735181, 0.100291, 0.14729, 0.256058, 0.508567", \
-            "0.0876398, 0.112099, 0.154044, 0.220514, 0.330392, 0.583773", \
-            "0.145287, 0.179437, 0.240985, 0.344221, 0.505333, 0.766674" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.0176245, 0.0309241, 0.0617958, 0.133514, 0.300534, 0.689779", \
-            "0.0178732, 0.0309618, 0.0617999, 0.133559, 0.300534, 0.689779", \
-            "0.0241772, 0.0340746, 0.062027, 0.133518, 0.300605, 0.689779", \
-            "0.0384378, 0.0509873, 0.073423, 0.13561, 0.30054, 0.68978", \
-            "0.0606903, 0.0798478, 0.111868, 0.166534, 0.306478, 0.689775", \
-            "0.0997061, 0.127122, 0.176453, 0.255697, 0.385079, 0.710367" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.0179586, 0.0245423, 0.0391741, 0.0726761, 0.15039, 0.331313", \
-            "0.0214247, 0.0281148, 0.0429062, 0.0765401, 0.154318, 0.335272", \
-            "0.0272614, 0.0365472, 0.0524133, 0.0861741, 0.164077, 0.345075", \
-            "0.0315865, 0.0458096, 0.070695, 0.110188, 0.187502, 0.368508", \
-            "0.0291929, 0.0508427, 0.0883883, 0.149919, 0.24615, 0.42715", \
-            "0.00686972, 0.0385442, 0.0940382, 0.187427, 0.336847, 0.568045" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.0109695, 0.0191338, 0.0381925, 0.0828059, 0.186668, 0.428449", \
-            "0.0115585, 0.0191819, 0.0382051, 0.0827089, 0.186668, 0.428449", \
-            "0.017955, 0.0244663, 0.0400327, 0.0827111, 0.18647, 0.42845", \
-            "0.0293831, 0.0396792, 0.0566212, 0.090532, 0.186634, 0.428457", \
-            "0.048835, 0.0640295, 0.0903722, 0.132858, 0.210651, 0.429541", \
-            "0.0855973, 0.107288, 0.145722, 0.210175, 0.312786, 0.492193" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0191063, 0.0197396, 0.0203793, 0.0214256, 0.0231362, 0.0256481, 0.0277979, 0.0302726, 0.0327114, 0.03607, 0.037649, 0.0390881, 0.0416884, 0.0450137, 0.0485686, 0.0524392, 0.0555788, 0.0581781, 0.0612074, 0.0652465, 0.0713594, 0.0755056, 0.083798, 0.0919869");
-            values ( \
-              "0.0662537, 0.180017, 0.185031, 0.186783, 0.186364, 0.178553, 0.169711, 0.155923, 0.136349, 0.101484, 0.0873922, 0.0764175, 0.0607218, 0.0459237, 0.0343316, 0.0252247, 0.0195679, 0.0158646, 0.0124016, 0.00888685, 0.00536941, 0.00379818, 0.00185497, 0.00102804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00503321");
-            index_3 ("0.0190792, 0.0212215, 0.0232712, 0.0260043, 0.0302077, 0.0393043, 0.0424803, 0.0517573, 0.0596759, 0.0675287, 0.0719504, 0.0776118, 0.0833096, 0.0888581, 0.0962562, 0.105416, 0.125005, 0.132712");
-            values ( \
-              "0.0197874, 0.246095, 0.249369, 0.245783, 0.235156, 0.20334, 0.186207, 0.124646, 0.0852614, 0.0582434, 0.0468687, 0.0352368, 0.0262481, 0.0196569, 0.0132453, 0.00811176, 0.00272216, 0.00227053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0117281");
-            index_3 ("0.0206887, 0.0222036, 0.0243926, 0.0280233, 0.0377713, 0.048111, 0.0580112, 0.0644275, 0.0849979, 0.0901835, 0.0989884, 0.109341, 0.119836, 0.129649, 0.136369, 0.147493, 0.161176, 0.173782, 0.18732, 0.197756, 0.218628, 0.246666");
-            values ( \
-              "0.270994, 0.287125, 0.292034, 0.289279, 0.274699, 0.257255, 0.237146, 0.219613, 0.147784, 0.131352, 0.107003, 0.0829931, 0.0633013, 0.0488373, 0.0406929, 0.0299074, 0.0203274, 0.0141271, 0.00955828, 0.007066, 0.00376019, 0.00175168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0273284");
-            index_3 ("0.0219387, 0.0219587, 0.0447717, 0.0700762, 0.086359, 0.107023, 0.126485, 0.153384, 0.179857, 0.202124, 0.216734, 0.235537, 0.24991, 0.265168, 0.285579, 0.304387, 0.329464, 0.349764, 0.372344, 0.402452, 0.462667, 0.541075, 0.623455");
-            values ( \
-              "1e-22, 0.341626, 0.302592, 0.283087, 0.269166, 0.247381, 0.219361, 0.173777, 0.132507, 0.103481, 0.087083, 0.0691362, 0.0576832, 0.0473771, 0.0361967, 0.0281573, 0.0199985, 0.0151317, 0.0110834, 0.00726233, 0.0029759, 0.000863992, 0.000235649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0636792");
-            index_3 ("0.0219258, 0.0219458, 0.0747944, 0.132099, 0.168954, 0.19384, 0.215727, 0.259761, 0.320107, 0.379401, 0.427686, 0.460525, 0.502699, 0.535266, 0.568997, 0.61419, 0.655617, 0.710854, 0.75641, 0.818289, 0.900669, 0.98305, 1.14781, 1.31257");
-            values ( \
-              "1e-22, 0.336498, 0.311432, 0.291318, 0.27707, 0.266117, 0.254847, 0.226553, 0.181091, 0.138655, 0.109035, 0.0916349, 0.0726106, 0.0603615, 0.0496263, 0.0379456, 0.0295792, 0.021073, 0.0158965, 0.0108183, 0.0063981, 0.00377146, 0.00130508, 0.000450199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.148382");
-            index_3 ("0.0264563, 0.0264763, 0.149467, 0.264408, 0.347593, 0.412835, 0.468622, 0.578622, 0.776147, 0.858528, 0.996491, 1.07887, 1.13799, 1.21572, 1.28863, 1.4114, 1.49217, 1.57455, 1.6378, 1.80416, 1.96892, 2.21606, 2.4632, 2.87511");
-            values ( \
-              "1e-22, 0.360242, 0.314616, 0.296913, 0.28298, 0.270664, 0.258234, 0.227037, 0.162358, 0.137247, 0.100932, 0.0830312, 0.071842, 0.0592052, 0.0491097, 0.0356623, 0.028835, 0.0230889, 0.0195209, 0.0123908, 0.00788141, 0.00402766, 0.00199377, 0.000671518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0310242, 0.032797, 0.0363018, 0.0377127, 0.0395605, 0.0407934, 0.0428471, 0.0454926, 0.0474946, 0.0531372, 0.0563797, 0.0607732, 0.0670014, 0.0725339, 0.0752878, 0.0846506, 0.0963542, 0.102328");
-            values ( \
-              "0.00303956, 0.0848289, 0.125523, 0.155377, 0.169852, 0.171746, 0.167997, 0.155284, 0.140171, 0.0852601, 0.0635628, 0.0439538, 0.0266131, 0.0170142, 0.0136111, 0.00630102, 0.00233367, 0.00185114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00503321");
-            index_3 ("0.0294189, 0.0412537, 0.0425584, 0.0451106, 0.049002, 0.0547202, 0.0569277, 0.0670205, 0.0725715, 0.0755204, 0.0794523, 0.0852726, 0.0915742, 0.096672, 0.104442, 0.115114, 0.122662, 0.132726, 0.150958, 0.172314");
-            values ( \
-              "0.016212, 0.237716, 0.238269, 0.234967, 0.224096, 0.202, 0.190735, 0.124126, 0.0949694, 0.0825688, 0.0684158, 0.0512642, 0.0375619, 0.0287966, 0.0192072, 0.0108495, 0.00721146, 0.00423623, 0.00135085, 0.00043957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0117281");
-            index_3 ("0.029408, 0.04332, 0.0441092, 0.0456876, 0.0477243, 0.050788, 0.0562935, 0.0585558, 0.0625041, 0.0667264, 0.0750123, 0.0754555, 0.081442, 0.0964462, 0.103274, 0.110556, 0.116841, 0.124717, 0.135033, 0.145156, 0.151806, 0.162469, 0.17444, 0.188288, 0.204322, 0.217131, 0.242749, 0.261259");
-            values ( \
-              "0.0152309, 0.289529, 0.281289, 0.28874, 0.278273, 0.282163, 0.265284, 0.269682, 0.254761, 0.25511, 0.228926, 0.231786, 0.214194, 0.160803, 0.13825, 0.116842, 0.100659, 0.0828056, 0.0635436, 0.0485714, 0.0405708, 0.030203, 0.0215634, 0.0144377, 0.00908831, 0.00626651, 0.00284101, 0.00186076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0273284");
-            index_3 ("0.0294494, 0.0443776, 0.0494954, 0.0849491, 0.103413, 0.12151, 0.13554, 0.174331, 0.196087, 0.214563, 0.239197, 0.263256, 0.278217, 0.300183, 0.321371, 0.349621, 0.373159, 0.412075, 0.463963, 0.540527, 0.622908");
-            values ( \
-              "0.0176808, 0.312036, 0.310464, 0.283618, 0.267712, 0.248668, 0.229277, 0.164863, 0.131645, 0.107179, 0.079933, 0.0592448, 0.0488716, 0.0365913, 0.0275689, 0.0187181, 0.0135479, 0.00788174, 0.00370653, 0.00111883, 0.000303967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0636792");
-            index_3 ("0.0362691, 0.0438212, 0.0461462, 0.0495052, 0.0544259, 0.0902837, 0.164798, 0.195112, 0.231226, 0.275259, 0.335606, 0.3949, 0.443182, 0.476021, 0.518195, 0.550763, 0.584493, 0.629684, 0.671109, 0.726343, 0.771898, 0.833771, 0.916152, 0.998532, 1.16329, 1.32806");
-            values ( \
-              "0.284126, 0.323376, 0.325035, 0.324917, 0.323434, 0.311449, 0.28486, 0.272564, 0.254846, 0.226555, 0.181093, 0.138654, 0.109038, 0.0916352, 0.0726129, 0.0603636, 0.0496262, 0.0379477, 0.0295815, 0.0210733, 0.0158986, 0.0108205, 0.00639777, 0.00377289, 0.00130623, 0.000451254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.148382");
-            index_3 ("0.0416017, 0.0416217, 0.16, 0.285811, 0.377866, 0.426945, 0.484278, 0.594274, 0.791798, 0.874179, 1.01214, 1.09452, 1.15364, 1.23136, 1.30428, 1.38666, 1.50782, 1.65345, 1.81979, 1.98455, 2.23169, 2.47288");
-            values ( \
-              "1e-22, 0.358525, 0.315382, 0.295993, 0.280411, 0.270981, 0.258231, 0.227033, 0.162363, 0.137242, 0.100939, 0.0830241, 0.0718499, 0.0591966, 0.0491198, 0.0396803, 0.0288254, 0.0195109, 0.0124026, 0.00789316, 0.0040162, 0.00205443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0542281, 0.057142, 0.0653519, 0.0753828, 0.0792314, 0.0827718, 0.086278, 0.0875053, 0.0885552, 0.0945672, 0.0985789, 0.104193, 0.111021, 0.118088, 0.126416, 0.136144");
-            values ( \
-              "0.0168921, 0.0234543, 0.0588834, 0.0953348, 0.106635, 0.11275, 0.109568, 0.102375, 0.101762, 0.0657427, 0.0474675, 0.0302112, 0.017404, 0.00979262, 0.00492431, 0.00278013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00503321");
-            index_3 ("0.0556199, 0.0612004, 0.0775754, 0.0833187, 0.0873969, 0.0883151, 0.0920805, 0.0942804, 0.0972136, 0.0976464, 0.104385, 0.113896, 0.122475, 0.124719, 0.125226, 0.126241, 0.128271, 0.132063, 0.137029, 0.138482, 0.141388, 0.146068, 0.15276, 0.15505, 0.155715, 0.157044, 0.159702, 0.165018, 0.175651, 0.195214, 0.218285");
-            values ( \
-              "0.0119005, 0.0531175, 0.144082, 0.171691, 0.186339, 0.19817, 0.203548, 0.195256, 0.17534, 0.175873, 0.127147, 0.0801063, 0.0521644, 0.0497236, 0.0454004, 0.0461861, 0.0387864, 0.0347911, 0.0241969, 0.0253914, 0.0189839, 0.0175381, 0.00967177, 0.0114185, 0.00805782, 0.0103961, 0.00622903, 0.0072778, 0.00172001, 0.00247095, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0117281");
-            index_3 ("0.0560129, 0.0710934, 0.0873946, 0.0883297, 0.0883476, 0.0894608, 0.0906098, 0.0929079, 0.0957624, 0.104511, 0.114154, 0.119271, 0.141175, 0.148592, 0.154127, 0.161506, 0.172536, 0.184029, 0.193294, 0.20316, 0.20861, 0.219511, 0.232016, 0.251068, 0.276471, 0.313168, 0.357872");
-            values ( \
-              "0.00278779, 0.129197, 0.23654, 0.25178, 0.250291, 0.259318, 0.264757, 0.267963, 0.265962, 0.251137, 0.229338, 0.214038, 0.137905, 0.11614, 0.101873, 0.0849252, 0.0639815, 0.0471727, 0.0366045, 0.0278272, 0.0238771, 0.0174847, 0.0122031, 0.00700294, 0.00322685, 0.000968158, 0.000205627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0273284");
-            index_3 ("0.0564362, 0.0856395, 0.087436, 0.0886257, 0.0900844, 0.0914921, 0.0943075, 0.0971157, 0.101298, 0.12345, 0.140626, 0.145359, 0.150768, 0.160394, 0.179859, 0.206754, 0.233224, 0.246693, 0.255498, 0.270105, 0.288905, 0.303273, 0.318531, 0.338941, 0.34521, 0.357747, 0.38282, 0.403114, 0.410637, 0.425683, 0.455775, 0.515958, 0.594326, 0.676707");
-            values ( \
-              "0.00381045, 0.250309, 0.263571, 0.27935, 0.292646, 0.299279, 0.303686, 0.303011, 0.300294, 0.283075, 0.26837, 0.26387, 0.258402, 0.247382, 0.219358, 0.17378, 0.132515, 0.11443, 0.103479, 0.0870845, 0.0691391, 0.0576893, 0.0473832, 0.0362011, 0.033311, 0.0281615, 0.0200032, 0.0151357, 0.01365, 0.011088, 0.00726796, 0.00297911, 0.000866283, 0.000235596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0636792");
-            index_3 ("0.0567047, 0.0925185, 0.0959821, 0.104129, 0.147278, 0.204425, 0.226183, 0.267155, 0.298819, 0.317208, 0.404275, 0.441432, 0.488748, 0.542824, 0.562284, 0.594137, 0.626719, 0.681638, 0.706159, 0.746803, 0.804738, 0.865077, 0.91131, 0.993691, 1.07607, 1.15845, 1.32321");
-            values ( \
-              "0.00244568, 0.31794, 0.321642, 0.319605, 0.304979, 0.284281, 0.275572, 0.25612, 0.236612, 0.223685, 0.158631, 0.133275, 0.104918, 0.0782551, 0.0702034, 0.0585535, 0.0484391, 0.0349377, 0.030138, 0.0235233, 0.0164259, 0.0113015, 0.00845997, 0.00499367, 0.00294325, 0.00173042, 0.000597358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.148382");
-            index_3 ("0.0708068, 0.0908734, 0.0968721, 0.241253, 0.366598, 0.464703, 0.522101, 0.604482, 0.829622, 0.971129, 1.04997, 1.13235, 1.22477, 1.3421, 1.42448, 1.54563, 1.62801, 1.69126, 1.77364, 1.8576, 2.02236, 2.26951, 2.51665, 2.92855");
-            values ( \
-              "0.215226, 0.317479, 0.329823, 0.308814, 0.28892, 0.271008, 0.258217, 0.235591, 0.162377, 0.120744, 0.100953, 0.0830116, 0.0661635, 0.0491326, 0.0396681, 0.0288135, 0.0231122, 0.019499, 0.0155958, 0.012415, 0.0079054, 0.00400446, 0.00201777, 0.000647331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.0983248, 0.105263, 0.115792, 0.120595, 0.124389, 0.142205, 0.15649, 0.162496, 0.168156, 0.173822, 0.181856, 0.186686, 0.192878, 0.200214, 0.205029, 0.210378, 0.21202, 0.213876, 0.23019, 0.241918");
-            values ( \
-              "0.00259699, 0.00348726, 0.00953384, 0.0137181, 0.018334, 0.0463471, 0.0623782, 0.0670961, 0.0702586, 0.067118, 0.0426141, 0.0308961, 0.0209869, 0.0131254, 0.0094933, 0.0065523, 0.00856739, 0.00928163, 0.00300941, 0.00105485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00503321");
-            index_3 ("0.105139, 0.119856, 0.12448, 0.129733, 0.144526, 0.16237, 0.170916, 0.178625, 0.185944, 0.193255, 0.202638, 0.210255, 0.212344, 0.214882, 0.22706, 0.231857, 0.238026, 0.248267, 0.254537, 0.261702, 0.276033, 0.285457");
-            values ( \
-              "0.00464372, 0.0199967, 0.0264517, 0.0362747, 0.0674315, 0.0994351, 0.112847, 0.122205, 0.125616, 0.111923, 0.0778923, 0.0572993, 0.0568174, 0.0537708, 0.0308048, 0.0240418, 0.0173986, 0.0100513, 0.00717771, 0.00485981, 0.00214385, 0.001441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0117281");
-            index_3 ("0.127628, 0.14706, 0.161063, 0.169909, 0.183427, 0.185986, 0.19025, 0.194986, 0.197634, 0.199674, 0.201715, 0.202671, 0.204582, 0.20675, 0.207963, 0.209176, 0.210389, 0.211754, 0.213119, 0.213816, 0.214512, 0.215905, 0.219317, 0.229164, 0.232011, 0.234683, 0.237612, 0.2408, 0.245374, 0.249347, 0.251191, 0.25488, 0.259109, 0.261493, 0.266263, 0.27123, 0.274339, 0.277448, 0.280557, 0.285578, 0.290599, 0.293514, 0.296429, 0.299344, 0.302259, 0.308089, 0.311004, 0.316013, 0.321022, 0.325561");
-            values ( \
-              "0.0826796, 0.085664, 0.11979, 0.14088, 0.171619, 0.176857, 0.185023, 0.193596, 0.197931, 0.200869, 0.203455, 0.203872, 0.20447, 0.204768, 0.204757, 0.204619, 0.204355, 0.203801, 0.20304, 0.202152, 0.201068, 0.198312, 0.188247, 0.153714, 0.144262, 0.135979, 0.127303, 0.118254, 0.105798, 0.0956568, 0.0915586, 0.0837351, 0.0753762, 0.0709505, 0.0627227, 0.0548973, 0.0502331, 0.0463173, 0.0426369, 0.0373342, 0.0323579, 0.0296187, 0.0271981, 0.0249766, 0.0230886, 0.019642, 0.0180834, 0.0157532, 0.0135638, 0.0117015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0273284");
-            index_3 ("0.142251, 0.176395, 0.190325, 0.194983, 0.202008, 0.207481, 0.210381, 0.211609, 0.212837, 0.214225, 0.215613, 0.219817, 0.22402, 0.228639, 0.232262, 0.238029, 0.242588, 0.247565, 0.252542, 0.257405, 0.262268, 0.267131, 0.272435, 0.277281, 0.287974, 0.298905, 0.312145, 0.318765, 0.325385, 0.338832, 0.347623, 0.354931, 0.362239, 0.366941, 0.376346, 0.384644, 0.390637, 0.395432, 0.403058, 0.410685, 0.415787, 0.42599, 0.437356, 0.443621, 0.449886, 0.456151, 0.468681, 0.474946, 0.485098, 0.502776");
-            values ( \
-              "0.157856, 0.176773, 0.216643, 0.229405, 0.247767, 0.261189, 0.275202, 0.276215, 0.277479, 0.279212, 0.281266, 0.278885, 0.275959, 0.272043, 0.268725, 0.263107, 0.258373, 0.252558, 0.246407, 0.240073, 0.23342, 0.226446, 0.218464, 0.210443, 0.191582, 0.173303, 0.152289, 0.142243, 0.132506, 0.114112, 0.102819, 0.0946215, 0.0868794, 0.0822292, 0.0733132, 0.065872, 0.0608563, 0.0571262, 0.0519707, 0.0471731, 0.0442818, 0.0387434, 0.0329567, 0.0302069, 0.0276862, 0.0255475, 0.0216512, 0.0198936, 0.0174074, 0.0134348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0636792");
-            index_3 ("0.105099, 0.225126, 0.308952, 0.33619, 0.36119, 0.405104, 0.465586, 0.524965, 0.572956, 0.605865, 0.648117, 0.68082, 0.714515, 0.759674, 0.801023, 0.856155, 0.901806, 0.963807, 1.04619, 1.12857, 1.29333, 1.45809");
-            values ( \
-              "0.000653221, 0.309812, 0.2793, 0.267585, 0.254855, 0.226643, 0.181085, 0.138595, 0.109147, 0.0917015, 0.0726307, 0.0603313, 0.0496138, 0.0379415, 0.0295899, 0.0210964, 0.015903, 0.0108142, 0.00639711, 0.00376943, 0.0013038, 0.000449198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.148382");
-            index_3 ("0.138625, 0.173208, 0.213832, 0.215978, 0.22027, 0.332581, 0.414962, 0.457959, 0.5071, 0.555646, 0.613423, 0.695804, 0.778685, 0.920956, 1.00334, 1.06246, 1.14129, 1.22367, 1.28279, 1.31611, 1.36053, 1.43344, 1.51582, 1.55619, 1.63695, 1.71933, 1.78258, 1.86496, 1.94894, 2.03132, 2.1137, 2.19608, 2.36084, 2.44322, 2.60799, 2.77275, 3.01989");
-            values ( \
-              "0.126432, 0.182631, 0.322337, 0.324771, 0.325525, 0.308819, 0.295975, 0.288918, 0.28038, 0.271091, 0.258218, 0.235598, 0.209138, 0.162379, 0.137227, 0.120743, 0.100957, 0.0830118, 0.0718659, 0.0661655, 0.0591807, 0.0491343, 0.0396653, 0.0356882, 0.0288127, 0.0231159, 0.0194975, 0.015599, 0.0124175, 0.00991191, 0.00790795, 0.00630392, 0.00400182, 0.0031876, 0.00202042, 0.00127987, 0.000644615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.228596, 0.251993, 0.253069, 0.259527, 0.268138, 0.273189, 0.28329, 0.303565, 0.314985, 0.320162, 0.330879, 0.340608, 0.349814, 0.358823, 0.367822, 0.375768, 0.38112, 0.386863, 0.396316, 0.401419, 0.40718, 0.416027, 0.426365, 0.434541, 0.450892, 0.475149, 0.505806, 0.517077, 0.532075, 0.546338");
-            values ( \
-              "0.0029861, 0.00366884, 0.00378451, 0.00477297, 0.00651668, 0.00788521, 0.011883, 0.025415, 0.0318568, 0.0341659, 0.0385528, 0.0412836, 0.0431471, 0.0433015, 0.0384626, 0.0260294, 0.0196954, 0.014948, 0.00929505, 0.00713183, 0.00521519, 0.00315256, 0.00174181, 0.00107871, 0.000406348, 0.000126986, 7.03572e-05, 0.00127497, 0.000750982, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00503321");
-            index_3 ("0.230987, 0.257116, 0.265455, 0.276478, 0.280318, 0.287998, 0.315862, 0.331216, 0.352195, 0.364953, 0.376929, 0.388663, 0.400369, 0.409005, 0.417988, 0.422571, 0.429952, 0.438017, 0.447524, 0.454284, 0.464308, 0.470156, 0.480105, 0.49337, 0.505684, 0.513693, 0.52309, 0.532112, 0.550155, 0.569091");
-            values ( \
-              "0.00605961, 0.00782506, 0.0101942, 0.0146341, 0.0165822, 0.021479, 0.0455881, 0.0564057, 0.0682018, 0.0737234, 0.0774661, 0.0772959, 0.0641867, 0.0488137, 0.0362806, 0.0311848, 0.0239556, 0.0176243, 0.0120195, 0.00904488, 0.00580919, 0.00448117, 0.00285896, 0.00154751, 0.000877942, 0.00288525, 0.00283707, 0.00148796, 0.000539094, 0.000166919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0117281");
-            index_3 ("0.232502, 0.267153, 0.283082, 0.293697, 0.328206, 0.347306, 0.37009, 0.389511, 0.40694, 0.423275, 0.439622, 0.472116, 0.489127, 0.505713, 0.510183, 0.515151, 0.526434, 0.53933, 0.548597, 0.562858, 0.581872, 0.60997");
-            values ( \
-              "0.00835725, 0.0160277, 0.0249725, 0.0331512, 0.0669145, 0.0837034, 0.101685, 0.116009, 0.126248, 0.132207, 0.124144, 0.072857, 0.0506861, 0.0340757, 0.0333793, 0.0309975, 0.0228587, 0.0157906, 0.0120969, 0.00799866, 0.00453507, 0.00208012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0273284");
-            index_3 ("0.252911, 0.290055, 0.303208, 0.38978, 0.421728, 0.448851, 0.473307, 0.497244, 0.505647, 0.512178, 0.534619, 0.556405, 0.570618, 0.595903, 0.609675, 0.626531, 0.649007, 0.661249, 0.675808, 0.693526, 0.718241, 0.749033, 0.79009, 0.861217, 0.943598");
-            values ( \
-              "0.0285679, 0.0364284, 0.0480764, 0.138203, 0.169866, 0.193349, 0.20802, 0.204484, 0.19564, 0.190382, 0.155074, 0.123148, 0.104877, 0.0774741, 0.0653204, 0.0527113, 0.0392751, 0.0333967, 0.0274837, 0.0216005, 0.0153826, 0.0100501, 0.00558854, 0.00189497, 0.000492994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0636792");
-            index_3 ("0.28836, 0.336334, 0.357875, 0.398031, 0.412901, 0.42777, 0.44264, 0.457509, 0.463808, 0.470108, 0.476407, 0.482706, 0.485155, 0.492502, 0.504425, 0.507903, 0.510031, 0.512159, 0.516787, 0.521667, 0.536894, 0.552683, 0.563004, 0.574263, 0.596525, 0.618531, 0.633438, 0.681647, 0.719563, 0.749219, 0.77846, 0.797439, 0.822079, 0.84084, 0.872482, 0.883347, 0.899645, 0.905077, 0.921938, 0.938799, 0.950095, 0.972686, 0.997784, 1.01159, 1.02539, 1.03919, 1.0668, 1.0806, 1.10338, 1.1468");
-            values ( \
-              "0.083039, 0.0850579, 0.109458, 0.157097, 0.17787, 0.200701, 0.225592, 0.252542, 0.2531, 0.2545, 0.256744, 0.259831, 0.261258, 0.266305, 0.277837, 0.284428, 0.285061, 0.285383, 0.285005, 0.283858, 0.277751, 0.270873, 0.266041, 0.26013, 0.247481, 0.233725, 0.22367, 0.186865, 0.159218, 0.138653, 0.119837, 0.108334, 0.0954624, 0.0864805, 0.0725101, 0.0679991, 0.061735, 0.059781, 0.0544097, 0.0494096, 0.0463909, 0.0406063, 0.0345749, 0.0317097, 0.0290808, 0.0268444, 0.0227686, 0.0209291, 0.0182843, 0.0136765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.148382");
-            index_3 ("0.281117, 0.347164, 0.506267, 0.512712, 0.521983, 0.529442, 0.583244, 0.692662, 0.738037, 0.820418, 0.87145, 0.939494, 1.11673, 1.19587, 1.25879, 1.33156, 1.40333, 1.48571, 1.58478, 1.65551, 1.73789, 1.78006, 1.86244, 1.94482, 2.00762, 2.09, 2.17113, 2.25352, 2.3359, 2.41828, 2.58304, 2.83018, 3.24208");
-            values ( \
-              "0.0603211, 0.101598, 0.308077, 0.313229, 0.314761, 0.31275, 0.304735, 0.28709, 0.279097, 0.262255, 0.249429, 0.229503, 0.171374, 0.146542, 0.128282, 0.109096, 0.0923236, 0.075671, 0.0591261, 0.0493664, 0.0398541, 0.0356924, 0.0286888, 0.0230176, 0.0194356, 0.0155514, 0.0124764, 0.00995656, 0.00794626, 0.00633191, 0.00401909, 0.00203144, 0.000646121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.534818, 0.598827, 0.608351, 0.627685, 0.661256, 0.697961, 0.719562, 0.737404, 0.753376, 0.768349, 0.782906, 0.797704, 0.818251, 0.825657, 0.833594, 0.841285, 0.851541, 0.863292, 0.871745, 0.888652, 0.915264, 0.947218, 1.21092, 1.21602, 1.22622, 1.23734");
-            values ( \
-              "0.00160539, 0.00205538, 0.00241364, 0.0033812, 0.00687888, 0.0156262, 0.0203876, 0.0232761, 0.0253994, 0.026496, 0.0270114, 0.0254788, 0.0135826, 0.0102756, 0.00763131, 0.00571199, 0.00378066, 0.00233147, 0.00162964, 0.000758282, 0.000217829, 6.82954e-05, 5.55457e-06, 1.51797e-05, 0.000533457, 0.000406755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00503321");
-            index_3 ("0.534503, 0.608332, 0.632659, 0.644945, 0.661325, 0.67351, 0.71747, 0.744488, 0.767097, 0.787518, 0.806713, 0.825385, 0.844035, 0.868177, 0.89115, 0.911456, 0.936097, 0.956175, 0.988192, 1.02624, 1.07678");
-            values ( \
-              "0.0029221, 0.00472422, 0.00691814, 0.00854751, 0.0115282, 0.0146865, 0.0295914, 0.0375257, 0.0426111, 0.0461167, 0.0482163, 0.0489856, 0.0454461, 0.0270426, 0.0145174, 0.00775673, 0.00337096, 0.00160052, 0.000431888, 0.000111236, 8.85767e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0117281");
-            index_3 ("0.534322, 0.596344, 0.632485, 0.64916, 0.689002, 0.752615, 0.789392, 0.82076, 0.849147, 0.875741, 0.901612, 0.927429, 0.984342, 1.01039, 1.04091, 1.06237, 1.09097, 1.11033");
-            values ( \
-              "0.00658108, 0.00704265, 0.0114946, 0.0144801, 0.0257426, 0.0515222, 0.0633353, 0.0714295, 0.0773207, 0.0812981, 0.0822575, 0.0718334, 0.0302984, 0.0181555, 0.00932128, 0.00567374, 0.00279899, 0.00195353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0273284");
-            index_3 ("0.533798, 0.62041, 0.645253, 0.676063, 0.708318, 0.795453, 0.844553, 0.911702, 0.952014, 0.989637, 1.02711, 1.06982, 1.13799, 1.16108, 1.18337, 1.21566, 1.22866, 1.26824, 1.28363, 1.3144, 1.37595, 1.38837");
-            values ( \
-              "0.00802794, 0.0147008, 0.0196497, 0.0278432, 0.0396993, 0.0771571, 0.0949546, 0.116678, 0.127343, 0.134008, 0.128959, 0.101334, 0.0544142, 0.0421578, 0.0323897, 0.0217313, 0.0202283, 0.0120875, 0.0097626, 0.00633356, 0.00253224, 0.00225212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0636792");
-            index_3 ("0.60806, 0.716119, 0.865723, 0.992052, 1.05579, 1.11324, 1.16868, 1.22786, 1.25212, 1.31034, 1.34784, 1.38804, 1.415, 1.45373, 1.48429, 1.53561, 1.59891, 1.6576, 1.69646, 1.76967, 1.85205, 1.93443, 2.09919, 2.18157");
-            values ( \
-              "0.0325904, 0.0482986, 0.114356, 0.167988, 0.191713, 0.207332, 0.206811, 0.181882, 0.162275, 0.123563, 0.101886, 0.0822737, 0.0705263, 0.0568241, 0.0472617, 0.0347835, 0.0236402, 0.016685, 0.0128606, 0.00808495, 0.00499605, 0.00272044, 0.000849793, 0.000720817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.148382");
-            index_3 ("0.608707, 0.724862, 0.827212, 1.07399, 1.13866, 1.21638, 1.23637, 1.25596, 1.31605, 1.37527, 1.45765, 1.6828, 1.76518, 1.82431, 1.90314, 1.98553, 2.07795, 2.12236, 2.19527, 2.27766, 2.31804, 2.3988, 2.48118, 2.54443, 2.62681, 2.71076, 2.79314, 2.9579, 3.20504, 3.45219, 3.78171");
-            values ( \
-              "0.03634, 0.0556372, 0.101748, 0.224074, 0.25447, 0.286105, 0.285765, 0.282906, 0.271486, 0.25814, 0.23566, 0.162398, 0.137213, 0.120738, 0.100959, 0.0830071, 0.0661684, 0.0591805, 0.0491378, 0.039664, 0.0356911, 0.0288096, 0.0231182, 0.0194946, 0.0156019, 0.0124216, 0.00990945, 0.0063011, 0.00319123, 0.00160439, 0.00064117" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.00298183, 0.00306307, 0.00312476, 0.00316038, 0.00317795, 0.00318597", \
-            "0.0037464, 0.00383097, 0.00391878, 0.00397966, 0.00401243, 0.00402796", \
-            "0.00428898, 0.00429867, 0.00433625, 0.00437958, 0.00440888, 0.00442421", \
-            "0.00468275, 0.00464666, 0.00459425, 0.00458263, 0.00459185, 0.00459401", \
-            "0.00496857, 0.00489653, 0.00482266, 0.00474814, 0.00470226, 0.00467993", \
-            "0.00524763, 0.00510018, 0.00498108, 0.00489317, 0.0048123, 0.00475145" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.0042652, 0.00436585, 0.00447753, 0.00454814, 0.00458357, 0.00459974", \
-            "0.0048135, 0.00464399, 0.00453012, 0.00447371, 0.00445993, 0.00445941", \
-            "0.00512776, 0.00475382, 0.00445965, 0.00427186, 0.00416917, 0.00415395", \
-            "0.00579695, 0.00531885, 0.00469108, 0.00430577, 0.00409858, 0.00400677", \
-            "0.00543321, 0.00555201, 0.00546592, 0.00466884, 0.00423896, 0.00403328", \
-            "0.00500017, 0.00524525, 0.00544372, 0.00549315, 0.00468562, 0.00422232" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.016981, 0.0174429, 0.0188416, 0.0211757, 0.0238181, 0.0249694, 0.0272705, 0.0299834, 0.0352679, 0.0373191, 0.0391328, 0.0423179, 0.0459415, 0.0490953");
-            values ( \
-              "-0.124143, -0.21303, -0.230116, -0.235993, -0.233125, -0.22928, -0.213202, -0.177655, -0.0763551, -0.0505756, -0.0345221, -0.0171299, -0.00746825, -0.00391429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00503321");
-            index_3 ("0.0171297, 0.018239, 0.019767, 0.021965, 0.0262144, 0.0300937, 0.0321009, 0.0350858, 0.0367873, 0.0385512, 0.0490269, 0.0534075, 0.0570754, 0.0610502, 0.0683299, 0.0724473");
-            values ( \
-              "-0.019984, -0.307646, -0.317402, -0.319942, -0.31565, -0.307039, -0.300418, -0.284894, -0.271891, -0.255592, -0.103773, -0.0619281, -0.0391782, -0.023415, -0.00874862, -0.00558071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0117281");
-            index_3 ("0.0172355, 0.0191902, 0.0204596, 0.0217083, 0.0253873, 0.0331791, 0.0407765, 0.0466175, 0.0529597, 0.0578628, 0.0596466, 0.0631139, 0.073875, 0.081415, 0.0846565, 0.0889702, 0.0927774, 0.0978536, 0.104317, 0.108312, 0.116304, 0.131555, 0.149315");
-            values ( \
-              "-0.0588113, -0.373879, -0.378071, -0.379011, -0.377358, -0.369754, -0.359404, -0.34862, -0.330285, -0.309492, -0.299806, -0.274925, -0.173355, -0.114899, -0.0950792, -0.073199, -0.0577853, -0.0417656, -0.0274163, -0.0210568, -0.012237, -0.00395638, -0.000992418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0273284");
-            index_3 ("0.0182344, 0.0193853, 0.0223735, 0.0423433, 0.0570284, 0.071575, 0.08023, 0.0932571, 0.100204, 0.108162, 0.12293, 0.137685, 0.15314, 0.163154, 0.17198, 0.187697, 0.199598, 0.211895, 0.221303, 0.240118, 0.267442");
-            values ( \
-              "-0.398484, -0.407656, -0.412495, -0.40292, -0.393562, -0.382085, -0.373556, -0.356189, -0.343305, -0.323609, -0.263869, -0.192665, -0.131017, -0.100047, -0.0780941, -0.0494766, -0.0346067, -0.0238579, -0.0178675, -0.00985282, -0.00445884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0636792");
-            index_3 ("0.0183012, 0.0199773, 0.0233558, 0.0724715, 0.0998677, 0.13563, 0.157949, 0.180618, 0.203889, 0.220912, 0.254196, 0.287312, 0.322268, 0.345032, 0.364763, 0.381071, 0.400085, 0.42696, 0.454812, 0.476131, 0.51877, 0.580841, 0.589596");
-            values ( \
-              "-0.413703, -0.425575, -0.429005, -0.416664, -0.408437, -0.39551, -0.385525, -0.372657, -0.354368, -0.334984, -0.272945, -0.199296, -0.135361, -0.103114, -0.0805999, -0.0654967, -0.0511818, -0.035747, -0.024609, -0.0184315, -0.0101136, -0.00408966, -0.00384814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.148382");
-            index_3 ("0.0181164, 0.019859, 0.0259253, 0.20618, 0.296061, 0.338728, 0.400799, 0.452413, 0.483341, 0.545196, 0.647503, 0.723641, 0.810721, 0.85605, 0.91649, 0.963031, 1.01444, 1.07651, 1.13858, 1.26272, 1.31239");
-            values ( \
-              "-0.421102, -0.433088, -0.43665, -0.414122, -0.399465, -0.390917, -0.375083, -0.356168, -0.340159, -0.290972, -0.191505, -0.131921, -0.0829646, -0.0645236, -0.0456923, -0.0348648, -0.025816, -0.0178645, -0.0122925, -0.00580275, -0.00489693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0267554, 0.0310634, 0.0328123, 0.0363529, 0.0372396, 0.0384854, 0.039589, 0.0413135, 0.0429019, 0.044074, 0.0490197, 0.0515816, 0.0530424, 0.056404, 0.0605265, 0.062956");
-            values ( \
-              "-0.00154746, -0.13964, -0.164646, -0.203166, -0.221517, -0.226537, -0.22481, -0.213699, -0.195131, -0.177551, -0.0815941, -0.0488644, -0.0359317, -0.0171618, -0.00663232, -0.00418938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00503321");
-            index_3 ("0.0286561, 0.0321734, 0.0375266, 0.0382349, 0.0404427, 0.043349, 0.0462654, 0.0494177, 0.0523262, 0.0550331, 0.0626432, 0.0668669, 0.0697701, 0.0752419, 0.0814847, 0.0846754");
-            values ( \
-              "-0.0516059, -0.206563, -0.302816, -0.309411, -0.31373, -0.309396, -0.300759, -0.283753, -0.259971, -0.226846, -0.11067, -0.0675991, -0.0472938, -0.0234084, -0.0101367, -0.0072671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0117281");
-            index_3 ("0.0287159, 0.0347548, 0.0379385, 0.0388145, 0.0405664, 0.0463582, 0.0578016, 0.0634514, 0.0672787, 0.0711587, 0.0739689, 0.0795893, 0.0885873, 0.0955156, 0.103249, 0.107245, 0.112573, 0.121416, 0.127596, 0.139957, 0.147779");
-            values ( \
-              "-0.0524696, -0.297409, -0.36417, -0.37069, -0.374838, -0.37105, -0.35481, -0.342256, -0.330314, -0.314539, -0.299745, -0.255936, -0.170098, -0.116533, -0.0734978, -0.0573397, -0.0407533, -0.0228665, -0.0151098, -0.00628345, -0.00415392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0273284");
-            index_3 ("0.0303324, 0.0346968, 0.0382538, 0.0396215, 0.040979, 0.0428617, 0.0524717, 0.0691756, 0.0817752, 0.0944505, 0.103185, 0.108221, 0.118294, 0.122674, 0.130145, 0.153418, 0.162727, 0.16971, 0.176723, 0.186059, 0.19431, 0.20531, 0.219286, 0.227926, 0.245206, 0.277761, 0.315693");
-            values ( \
-              "-0.126844, -0.324828, -0.399474, -0.407009, -0.409528, -0.409997, -0.405416, -0.39518, -0.385779, -0.373921, -0.362998, -0.35528, -0.335271, -0.323621, -0.296912, -0.18679, -0.148412, -0.123749, -0.102389, -0.0788, -0.0621818, -0.0449344, -0.0295157, -0.0226707, -0.0131974, -0.00434914, -0.00112697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0636792");
-            index_3 ("0.0235936, 0.0436823, 0.114388, 0.150403, 0.172467, 0.194877, 0.218403, 0.23543, 0.268713, 0.301829, 0.336785, 0.359548, 0.37928, 0.414602, 0.441475, 0.469325, 0.490642, 0.533277, 0.595348, 0.600826");
-            values ( \
-              "-0.0339878, -0.427873, -0.408424, -0.395405, -0.385524, -0.372826, -0.354372, -0.334984, -0.272947, -0.199297, -0.135362, -0.103115, -0.0806019, -0.0511822, -0.0357487, -0.0246113, -0.0184325, -0.0101159, -0.00408953, -0.00393837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.148382");
-            index_3 ("0.0324957, 0.0450223, 0.219032, 0.310678, 0.353344, 0.415415, 0.467029, 0.497957, 0.559812, 0.662119, 0.738256, 0.825336, 0.870665, 0.931104, 0.977645, 1.02905, 1.09112, 1.15319, 1.27733, 1.32445");
-            values ( \
-              "-0.417274, -0.436121, -0.414384, -0.399464, -0.390917, -0.375083, -0.356168, -0.340159, -0.290973, -0.191506, -0.131921, -0.0829649, -0.0645241, -0.045693, -0.0348652, -0.0258164, -0.0178649, -0.0122926, -0.0058028, -0.00494347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0489582, 0.0514138, 0.0519621, 0.0526931, 0.0539611, 0.0572408, 0.0595098, 0.0615456, 0.0651071, 0.068251, 0.0711269, 0.0738378, 0.0765338, 0.0795083, 0.0837107, 0.0851603, 0.0875979, 0.0876606, 0.0882622, 0.0916733, 0.0929684, 0.0946952, 0.096628");
-            values ( \
-              "-0.0324994, -0.0394316, -0.0396349, -0.0418393, -0.0488496, -0.0781591, -0.0916409, -0.101281, -0.11728, -0.130101, -0.140353, -0.146406, -0.142447, -0.119184, -0.0667827, -0.0521731, -0.0330132, -0.0345294, -0.0337668, -0.0188916, -0.0143227, -0.0098116, -0.00671047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00503321");
-            index_3 ("0.054145, 0.060533, 0.061485, 0.0628029, 0.0641208, 0.0654387, 0.0676025, 0.0694518, 0.0721608, 0.0747189, 0.0781504, 0.0792431, 0.0803357, 0.0812634, 0.0821911, 0.0831188, 0.0840465, 0.0849149, 0.0857833, 0.0866517, 0.08752, 0.0877844, 0.0880487, 0.088313, 0.0885773, 0.0891059, 0.0893702, 0.0898078, 0.0906829, 0.0911205, 0.0917984, 0.0928152, 0.093832, 0.0945098, 0.0958656, 0.0973321, 0.0985206, 0.099709, 0.100105, 0.101303, 0.102873, 0.103245, 0.103618, 0.104736, 0.105481, 0.106226, 0.106971, 0.107716, 0.109071, 0.110201");
-            values ( \
-              "-0.115268, -0.129777, -0.138354, -0.145193, -0.1526, -0.160574, -0.174708, -0.185822, -0.201182, -0.214764, -0.232629, -0.238761, -0.245245, -0.244052, -0.243127, -0.242469, -0.242078, -0.241954, -0.242065, -0.24241, -0.24299, -0.242736, -0.241889, -0.240642, -0.238715, -0.234223, -0.231656, -0.226767, -0.215579, -0.20928, -0.198595, -0.181428, -0.16516, -0.154785, -0.135119, -0.115475, -0.100375, -0.0878813, -0.0839736, -0.0732326, -0.060051, -0.057073, -0.0544699, -0.0472807, -0.0432238, -0.0394342, -0.0359116, -0.0326562, -0.0275115, -0.0234891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0117281");
-            index_3 ("0.0539406, 0.0582507, 0.0652744, 0.0768084, 0.0888363, 0.0901415, 0.0920246, 0.0957702, 0.100185, 0.102327, 0.106609, 0.10901, 0.11381, 0.121531, 0.129211, 0.132778, 0.137534, 0.140338, 0.144862, 0.150894, 0.152959, 0.157634, 0.162977, 0.173663, 0.190267, 0.210356");
-            values ( \
-              "-0.0717997, -0.124286, -0.191127, -0.273565, -0.351598, -0.356213, -0.355595, -0.349027, -0.337386, -0.330285, -0.312577, -0.29978, -0.263418, -0.18897, -0.125636, -0.102346, -0.0768832, -0.0646938, -0.0486587, -0.0328713, -0.0287293, -0.0211313, -0.014779, -0.00700833, -0.00197622, -0.000382939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0273284");
-            index_3 ("0.0552579, 0.0627589, 0.068729, 0.088952, 0.0910629, 0.0964988, 0.110539, 0.116932, 0.129607, 0.138341, 0.143378, 0.153453, 0.15783, 0.165302, 0.188574, 0.197884, 0.204866, 0.211879, 0.221215, 0.229465, 0.240465, 0.254439, 0.263077, 0.280355, 0.312689, 0.350373");
-            values ( \
-              "-0.0817707, -0.180808, -0.236547, -0.394194, -0.402347, -0.400251, -0.390777, -0.385793, -0.373908, -0.362999, -0.355282, -0.335263, -0.323623, -0.296912, -0.186793, -0.148415, -0.123753, -0.102392, -0.0788033, -0.0621858, -0.0449382, -0.0295192, -0.0226747, -0.0132005, -0.00439059, -0.00115095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0636792");
-            index_3 ("0.0563864, 0.0690292, 0.0895094, 0.0922868, 0.0949842, 0.112332, 0.142119, 0.164061, 0.17849, 0.207096, 0.216769, 0.227087, 0.238177, 0.260356, 0.270724, 0.287529, 0.339996, 0.360958, 0.376686, 0.392503, 0.41356, 0.419768, 0.432185, 0.457019, 0.478775, 0.488511, 0.507981, 0.546922, 0.608993, 0.671064, 0.733135");
-            values ( \
-              "-0.114597, -0.248481, -0.416411, -0.424901, -0.423881, -0.419492, -0.410809, -0.40356, -0.398238, -0.3859, -0.380798, -0.374749, -0.367225, -0.347584, -0.335016, -0.307371, -0.193057, -0.153397, -0.127905, -0.105804, -0.0814213, -0.075294, -0.0642311, -0.0463969, -0.0347168, -0.0304898, -0.0234279, -0.0136468, -0.00550454, -0.0021959, -0.000887167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.148382");
-            index_3 ("0.057078, 0.0871733, 0.092676, 0.0957488, 0.15578, 0.25435, 0.345538, 0.38867, 0.450741, 0.502356, 0.533283, 0.595139, 0.697446, 0.742353, 0.773582, 0.811161, 0.860662, 0.905991, 0.966429, 1.01297, 1.06437, 1.12644, 1.18852, 1.31266, 1.4368, 1.56094");
-            values ( \
-              "-0.142999, -0.409402, -0.435559, -0.434282, -0.427452, -0.414387, -0.399551, -0.390917, -0.375083, -0.356168, -0.340159, -0.290973, -0.191506, -0.15435, -0.131921, -0.108473, -0.0829652, -0.0645244, -0.0456935, -0.0348655, -0.0258167, -0.0178654, -0.0122927, -0.00580277, -0.00272552, -0.00127464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.0945396, 0.0968082, 0.100659, 0.106391, 0.108726, 0.115919, 0.120654, 0.126746, 0.132039, 0.13683, 0.141285, 0.145588, 0.149882, 0.156886, 0.160924, 0.163901, 0.166569, 0.168803, 0.17037, 0.173504, 0.179772, 0.187762, 0.210398, 0.214067, 0.220104");
-            values ( \
-              "-0.0116409, -0.0134924, -0.0151629, -0.0230693, -0.0280288, -0.0488599, -0.0584788, -0.0692248, -0.0777281, -0.0846279, -0.0896639, -0.0915014, -0.0834266, -0.0450617, -0.026495, -0.017052, -0.0111737, -0.00779524, -0.00602756, -0.00355769, -0.00117237, -0.00033669, -8.95128e-05, -0.00185569, -0.00108755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00503321");
-            index_3 ("0.093241, 0.108007, 0.111036, 0.118668, 0.123134, 0.137333, 0.150903, 0.156886, 0.162686, 0.168746, 0.181322, 0.186881, 0.191017, 0.194686, 0.197373, 0.202749, 0.210244, 0.214, 0.218596, 0.223118, 0.232163");
-            values ( \
-              "-0.00547783, -0.0380694, -0.0458193, -0.07292, -0.0857097, -0.119394, -0.146902, -0.155545, -0.157692, -0.140218, -0.0571466, -0.0327535, -0.0207892, -0.0137387, -0.0100509, -0.00522582, -0.00201025, -0.00429961, -0.00355289, -0.00155965, -0.000482289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0117281");
-            index_3 ("0.102355, 0.105407, 0.111081, 0.111967, 0.113738, 0.117281, 0.12072, 0.127211, 0.130641, 0.137502, 0.145255, 0.156916, 0.166979, 0.176074, 0.184567, 0.192903, 0.201222, 0.201894, 0.203239, 0.205929, 0.210523, 0.213683, 0.214495, 0.216119, 0.219367, 0.223763, 0.225196, 0.226067, 0.227229, 0.229552, 0.2342, 0.2381, 0.239357, 0.241871, 0.246899, 0.256955, 0.258211");
-            values ( \
-              "-0.0392031, -0.045123, -0.0563701, -0.0588938, -0.0638914, -0.0748467, -0.0877956, -0.112842, -0.123089, -0.143628, -0.165421, -0.197149, -0.222274, -0.242008, -0.254759, -0.252802, -0.2196, -0.214983, -0.205539, -0.185302, -0.150977, -0.133772, -0.128795, -0.118301, -0.0982236, -0.0753398, -0.0689749, -0.0653629, -0.0608038, -0.0525346, -0.0389749, -0.0302333, -0.0278514, -0.0236127, -0.0168917, -0.00841496, -0.00795081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0273284");
-            index_3 ("0.105181, 0.12073, 0.133165, 0.142442, 0.158698, 0.186943, 0.20185, 0.210297, 0.212887, 0.215351, 0.223569, 0.228835, 0.239369, 0.243291, 0.250775, 0.274029, 0.285671, 0.297341, 0.306675, 0.314922, 0.325917, 0.335575, 0.348537, 0.365819, 0.39801, 0.435561");
-            values ( \
-              "-0.0305642, -0.0959889, -0.142576, -0.174253, -0.226016, -0.310281, -0.349445, -0.36857, -0.373012, -0.373336, -0.363312, -0.355305, -0.334122, -0.323659, -0.296824, -0.186836, -0.139853, -0.1024, -0.0787931, -0.0621838, -0.0449567, -0.0336105, -0.0226689, -0.013205, -0.00440989, -0.00117097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0636792");
-            index_3 ("0.105099, 0.141643, 0.17342, 0.210215, 0.212872, 0.216967, 0.220073, 0.239825, 0.263362, 0.296429, 0.311694, 0.323049, 0.345757, 0.355599, 0.372418, 0.424864, 0.44583, 0.461565, 0.47738, 0.498435, 0.517056, 0.541885, 0.563646, 0.573382, 0.592856, 0.631802, 0.693873, 0.755944, 0.818015");
-            values ( \
-              "-0.0437791, -0.1786, -0.287246, -0.405101, -0.411761, -0.414502, -0.412806, -0.406651, -0.398249, -0.383588, -0.374914, -0.367225, -0.34701, -0.335018, -0.307341, -0.193071, -0.153401, -0.127899, -0.105803, -0.0814207, -0.0642336, -0.0464028, -0.0347185, -0.0304917, -0.0234274, -0.0136461, -0.00550356, -0.00219623, -0.00088658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.148382");
-            index_3 ("0.104965, 0.148213, 0.196848, 0.214596, 0.277204, 0.341046, 0.403117, 0.449297, 0.47359, 0.522175, 0.543513, 0.57907, 0.618177, 0.680248, 0.782205, 0.827219, 0.858611, 0.896149, 0.945612, 0.990856, 1.05118, 1.09784, 1.14935, 1.21142, 1.27349, 1.33556, 1.39763, 1.52177, 1.64591");
-            values ( \
-              "-0.040829, -0.206215, -0.37579, -0.430201, -0.42287, -0.414125, -0.40439, -0.395954, -0.390906, -0.378987, -0.37259, -0.359725, -0.340141, -0.290837, -0.191673, -0.154371, -0.131829, -0.108454, -0.0829336, -0.0645275, -0.0457571, -0.0348596, -0.0257926, -0.0178767, -0.0122727, -0.00849062, -0.00578491, -0.00270885, -0.00125868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.202278, 0.218152, 0.221518, 0.224883, 0.227172, 0.228317, 0.229462, 0.231751, 0.233907, 0.236063, 0.23822, 0.240376, 0.242996, 0.245616, 0.248236, 0.250856, 0.252034, 0.253212, 0.258167, 0.259975, 0.265398, 0.269863, 0.272096, 0.276322, 0.2823, 0.285975, 0.28965, 0.291407, 0.293164, 0.294921, 0.296678, 0.298432, 0.300186, 0.30194, 0.303694, 0.305016, 0.306338, 0.30766, 0.308981, 0.309647, 0.311644, 0.313412, 0.315647, 0.319364, 0.320632, 0.321055, 0.322746, 0.324438, 0.326617, 0.328906");
-            values ( \
-              "-0.00685346, -0.0074952, -0.00856186, -0.0097279, -0.0106799, -0.0112785, -0.0119206, -0.013336, -0.0149467, -0.0166338, -0.0183973, -0.020237, -0.0225752, -0.0250261, -0.0275897, -0.0302661, -0.0310841, -0.0318397, -0.0356614, -0.0369985, -0.0408532, -0.04384, -0.0452822, -0.0479074, -0.051439, -0.0535203, -0.0555336, -0.055819, -0.0558874, -0.055739, -0.0553736, -0.0547925, -0.0539954, -0.052982, -0.0517526, -0.0501593, -0.0482772, -0.0461062, -0.0436464, -0.0422542, -0.0375791, -0.0327686, -0.0272498, -0.0191383, -0.0167263, -0.0159844, -0.0134189, -0.0111671, -0.0087789, -0.00650398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00503321");
-            index_3 ("0.197896, 0.216808, 0.219184, 0.223937, 0.233444, 0.237354, 0.242569, 0.25978, 0.268118, 0.281809, 0.293479, 0.303924, 0.313575, 0.322827, 0.332061, 0.335041, 0.338117, 0.348129, 0.355137, 0.358352, 0.362964, 0.368106, 0.37213, 0.380179, 0.390243");
-            values ( \
-              "-0.00597484, -0.0121687, -0.0131471, -0.0155486, -0.0212942, -0.0245236, -0.0296775, -0.0509547, -0.0595954, -0.0727198, -0.0827557, -0.0907361, -0.0966541, -0.0996809, -0.0946485, -0.0884159, -0.0800284, -0.0476444, -0.0293063, -0.0229096, -0.0157327, -0.0101922, -0.00716049, -0.00339368, -0.0014956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0117281");
-            index_3 ("0.228582, 0.255537, 0.259969, 0.262089, 0.266327, 0.270507, 0.274688, 0.278869, 0.283049, 0.291238, 0.299427, 0.307616, 0.315979, 0.321384, 0.326789, 0.334158, 0.341526, 0.348333, 0.35514, 0.358413, 0.361686, 0.364959, 0.368232, 0.371499, 0.374765, 0.378031, 0.381297, 0.381625, 0.381789, 0.382282, 0.383596, 0.386223, 0.387492, 0.39003, 0.392808, 0.4009, 0.403182, 0.405464, 0.40693, 0.409862, 0.413003, 0.414679, 0.416355, 0.418031, 0.419707, 0.421382, 0.423058, 0.425868, 0.428677, 0.431261");
-            values ( \
-              "-0.0534928, -0.0540577, -0.0606525, -0.0637069, -0.0696239, -0.0750421, -0.0803097, -0.0854269, -0.0903936, -0.0996863, -0.108782, -0.11768, -0.126587, -0.132165, -0.137562, -0.144494, -0.15132, -0.157531, -0.16365, -0.163087, -0.162237, -0.161099, -0.159674, -0.157966, -0.155972, -0.153692, -0.151126, -0.150706, -0.150407, -0.149417, -0.146081, -0.138337, -0.134192, -0.125725, -0.116144, -0.0872034, -0.0798242, -0.0727955, -0.06858, -0.0603537, -0.0518415, -0.0477405, -0.0439385, -0.0406172, -0.0374738, -0.0345083, -0.0317208, -0.0275904, -0.0236728, -0.0202573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0273284");
-            index_3 ("0.208712, 0.23569, 0.243668, 0.249263, 0.253554, 0.262136, 0.268513, 0.281266, 0.294159, 0.317553, 0.330106, 0.355211, 0.358055, 0.363741, 0.373464, 0.381945, 0.398906, 0.403397, 0.41238, 0.423296, 0.442583, 0.461856, 0.46509, 0.469403, 0.477856, 0.488231, 0.490625, 0.495413, 0.502818, 0.506291, 0.510151, 0.515009, 0.517137, 0.51957, 0.524435, 0.531844, 0.542117, 0.54514, 0.551186, 0.563279, 0.587464, 0.588457");
-            values ( \
-              "-0.0171902, -0.0381648, -0.0460396, -0.0523466, -0.0573915, -0.0682498, -0.0773156, -0.0962734, -0.114014, -0.143897, -0.159398, -0.189314, -0.192607, -0.199075, -0.209884, -0.218935, -0.23569, -0.239689, -0.247032, -0.254062, -0.256528, -0.22701, -0.21743, -0.20328, -0.174486, -0.140099, -0.132728, -0.118519, -0.0986239, -0.0901399, -0.0835806, -0.0737337, -0.0692169, -0.0644998, -0.055982, -0.044982, -0.0330256, -0.0301491, -0.0250965, -0.0172832, -0.00790789, -0.0077494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0636792");
-            index_3 ("0.268642, 0.333267, 0.361219, 0.383021, 0.431714, 0.440622, 0.44953, 0.458438, 0.467346, 0.475364, 0.483381, 0.491399, 0.499416, 0.506043, 0.510317, 0.513929, 0.520489, 0.527983, 0.535367, 0.540737, 0.546107, 0.554324, 0.56254, 0.570756, 0.578972, 0.587188, 0.595404, 0.599515, 0.611847, 0.625022, 0.635076, 0.646402, 0.654676, 0.669091, 0.670907, 0.674538, 0.681802, 0.690591, 0.695749, 0.706064, 0.71467, 0.723276, 0.727976, 0.737376, 0.742076, 0.748753, 0.75543, 0.762107, 0.768784, 0.779138");
-            values ( \
-              "-0.162912, -0.174012, -0.211535, -0.240389, -0.303201, -0.313644, -0.32373, -0.333459, -0.342832, -0.350962, -0.358803, -0.366354, -0.373617, -0.379135, -0.37796, -0.376665, -0.373609, -0.368624, -0.362953, -0.358202, -0.352899, -0.34259, -0.331066, -0.318326, -0.304371, -0.2892, -0.272813, -0.263989, -0.235199, -0.206936, -0.186664, -0.165174, -0.150448, -0.126515, -0.123654, -0.118589, -0.108897, -0.0981089, -0.0921374, -0.0807663, -0.07193, -0.0640265, -0.0604064, -0.0536413, -0.0504964, -0.0463675, -0.0425139, -0.0389355, -0.0356324, -0.0310263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.148382");
-            index_3 ("0.252714, 0.302879, 0.401714, 0.482397, 0.505451, 0.516231, 0.531496, 0.612688, 0.661569, 0.71392, 0.749815, 0.811886, 0.850122, 0.901105, 0.975879, 1.02899, 1.05721, 1.10993, 1.15473, 1.19143, 1.23529, 1.29717, 1.33695, 1.41108, 1.47315, 1.53522, 1.59729, 1.72143, 1.84557");
-            values ( \
-              "-0.118091, -0.134927, -0.27622, -0.385827, -0.415427, -0.418042, -0.41672, -0.403838, -0.394762, -0.382875, -0.372606, -0.347333, -0.322654, -0.276351, -0.202929, -0.157768, -0.13704, -0.104133, -0.0816607, -0.0666383, -0.0519896, -0.0363143, -0.0288138, -0.0186011, -0.0128213, -0.00882412, -0.00605993, -0.00285241, -0.00133969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.416928, 0.47528, 0.483416, 0.499687, 0.509249, 0.528371, 0.538012, 0.56623, 0.585214, 0.600934, 0.61479, 0.627579, 0.639739, 0.651837, 0.660903, 0.676368, 0.683293, 0.689117, 0.69484, 0.705587, 0.714481, 0.732269, 0.790051, 0.813625");
-            values ( \
-              "-0.000311541, -0.00235604, -0.00279073, -0.00390003, -0.00473814, -0.00716867, -0.00954228, -0.0179295, -0.0229851, -0.0266014, -0.0293775, -0.0314379, -0.0325686, -0.0317828, -0.0267292, -0.012002, -0.00745373, -0.00487643, -0.00324672, -0.00166635, -0.00106957, -0.000589256, -0.000184188, -0.000125918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00503321");
-            index_3 ("0.424206, 0.483445, 0.501833, 0.5152, 0.528816, 0.544546, 0.585726, 0.60944, 0.623118, 0.638515, 0.646582, 0.662653, 0.677883, 0.69302, 0.704362, 0.722755, 0.729907, 0.734355, 0.743472, 0.749025, 0.760131, 0.778582, 0.800463, 0.828433, 0.867473, 1.05369");
-            values ( \
-              "-0.000957038, -0.00516465, -0.00739636, -0.00941583, -0.0121081, -0.0165138, -0.0337542, -0.0426235, -0.0473525, -0.0521865, -0.0544744, -0.0583716, -0.0606178, -0.0592381, -0.0501086, -0.0251353, -0.0175249, -0.013767, -0.00818774, -0.00592355, -0.00306017, -0.00105421, -0.000449539, -0.000226521, -0.000113988, -1.11387e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0117281");
-            index_3 ("0.435994, 0.498237, 0.51994, 0.538796, 0.567604, 0.576015, 0.60824, 0.619829, 0.638574, 0.678324, 0.70204, 0.72389, 0.744761, 0.765593, 0.781211, 0.799315, 0.814665, 0.823856, 0.831121, 0.839022, 0.849557, 0.870626, 0.898112, 0.931769, 0.974075, 1.09822");
-            values ( \
-              "-0.00274044, -0.0108343, -0.0157353, -0.0209334, -0.0322249, -0.0369766, -0.0535766, -0.0590898, -0.0679288, -0.0846837, -0.0931784, -0.0996515, -0.103154, -0.0996969, -0.0829519, -0.0540746, -0.033214, -0.0237654, -0.0180533, -0.0132062, -0.0084939, -0.00328244, -0.000808763, -0.000224127, -5.05813e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0273284");
-            index_3 ("0.446785, 0.498149, 0.524844, 0.556733, 0.572063, 0.602723, 0.67804, 0.723059, 0.761369, 0.795601, 0.827212, 0.857528, 0.887782, 0.891014, 0.958085, 0.975138, 0.990497, 1.0059, 1.01763, 1.04109, 1.075");
-            values ( \
-              "-0.00574184, -0.0149935, -0.0227372, -0.0341751, -0.0408285, -0.0569016, -0.0983164, -0.120174, -0.137003, -0.150395, -0.160256, -0.165104, -0.155375, -0.152309, -0.0558277, -0.0387287, -0.0271948, -0.0188316, -0.014091, -0.00763407, -0.00330896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0636792");
-            index_3 ("0.497348, 0.562355, 0.581644, 0.622553, 0.685202, 0.771373, 0.854982, 0.921571, 0.944723, 0.991662, 1.03682, 1.08197, 1.14749, 1.18482, 1.21574, 1.24777, 1.2816, 1.29963, 1.33571, 1.39778, 1.45985, 1.52192");
-            values ( \
-              "-0.0280952, -0.0424924, -0.0513557, -0.0730516, -0.109752, -0.156138, -0.197953, -0.227864, -0.237016, -0.251937, -0.255992, -0.228755, -0.135861, -0.091064, -0.0631849, -0.0428549, -0.0272981, -0.0213732, -0.0129673, -0.00522752, -0.00208651, -0.000841608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.148382");
-            index_3 ("0.552335, 0.655898, 0.847245, 0.962002, 1.01566, 1.09991, 1.16198, 1.2033, 1.2155, 1.22788, 1.26822, 1.2939, 1.32163, 1.3771, 1.45918, 1.51639, 1.5365, 1.56597, 1.59965, 1.64952, 1.69293, 1.75082, 1.79996, 1.82194, 1.86589, 1.92796, 1.99003, 2.0521, 2.11417, 2.23831, 2.36245");
-            values ( \
-              "-0.0743861, -0.0957573, -0.205871, -0.268463, -0.296277, -0.336847, -0.362736, -0.376569, -0.379787, -0.378189, -0.365332, -0.354728, -0.340152, -0.29696, -0.215869, -0.165437, -0.149868, -0.129133, -0.108301, -0.0826901, -0.0650038, -0.0467088, -0.0351361, -0.0309136, -0.0238787, -0.0164887, -0.0113639, -0.00781001, -0.00536856, -0.00252803, -0.00118914" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.00274232, 0.00277184, 0.00279839, 0.00281549, 0.00282441, 0.0028286", \
-            "0.00324378, 0.00325955, 0.00327984, 0.00329695, 0.00330734, 0.00331259", \
-            "0.00352902, 0.00353203, 0.00353964, 0.00354897, 0.00355694, 0.00356174", \
-            "0.00368553, 0.00368145, 0.00367774, 0.00367656, 0.00367815, 0.00368039", \
-            "0.00376877, 0.00376342, 0.00375551, 0.00374821, 0.00374246, 0.00373995", \
-            "0.0038151, 0.00380873, 0.00379891, 0.00378737, 0.0037762, 0.00376874" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.00384912, 0.00389516, 0.00393747, 0.00396334, 0.00397574, 0.00398118", \
-            "0.00423671, 0.00422367, 0.0042185, 0.00421907, 0.00421984, 0.00422003", \
-            "0.00458052, 0.00445031, 0.0043534, 0.00429492, 0.00426437, 0.00425063", \
-            "0.00519099, 0.00482348, 0.00455091, 0.00439628, 0.00431125, 0.00426791", \
-            "0.0063189, 0.00562763, 0.00498799, 0.00460892, 0.00440695, 0.00430974", \
-            "0.00651184, 0.00650903, 0.00605208, 0.00509119, 0.00464915, 0.00442204" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "0.00645718, 0.00663673, 0.00677758, 0.00685624, 0.00689592, 0.00691351", \
-            "0.00625224, 0.00642619, 0.0066242, 0.00678129, 0.00686289, 0.00690171", \
-            "0.00619876, 0.00627605, 0.00643631, 0.00662868, 0.00677594, 0.0068617", \
-            "0.00647169, 0.00643965, 0.00644364, 0.00650672, 0.0066681, 0.00665464", \
-            "0.00750661, 0.00731348, 0.007078, 0.00691013, 0.00645953, 0.00679379", \
-            "0.00998647, 0.00958449, 0.00897434, 0.00829946, 0.00759352, 0.00718922" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00503321, 0.0117281, 0.0273284, 0.0636792, 0.148382");
-          values ( \
-            "-0.00215553, -0.0020112, -0.00190761, -0.00184679, -0.00182313, -0.00181093", \
-            "-0.00240493, -0.00221399, -0.00203412, -0.00191913, -0.00186463, -0.0018394", \
-            "-0.0026152, -0.0024458, -0.00222977, -0.00203849, -0.00193063, -0.0018746", \
-            "-0.00264909, -0.00253364, -0.00243197, -0.00221084, -0.00200699, -0.00190883", \
-            "-0.0020414, -0.00220587, -0.00225295, -0.00221173, -0.00208733, -0.00198022", \
-            "0.000366294, -0.000252103, -0.000963648, -0.00151469, -0.00178562, -0.00185693" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00484476;
-      rise_capacitance : 0.00484476;
-      rise_capacitance_range (0.00367736, 0.00484476);
-      fall_capacitance : 0.00482274;
-      fall_capacitance_range (0.00336091, 0.00482274);
-    }
-  }
-  cell (INVX4) {
-    area : 10.2564;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.0563698;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.104811;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00792915;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0563698;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.276052;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.0230121, 0.029692, 0.0457138, 0.0862081, 0.191719, 0.469576", \
-            "0.0271658, 0.0337362, 0.0498178, 0.0905051, 0.196206, 0.474153", \
-            "0.0375744, 0.0456629, 0.0618328, 0.10247, 0.208343, 0.486368", \
-            "0.0534252, 0.0661213, 0.0900432, 0.132861, 0.238401, 0.51631", \
-            "0.0810443, 0.0993173, 0.13589, 0.200877, 0.313231, 0.590341", \
-            "0.135982, 0.160874, 0.212449, 0.310721, 0.479937, 0.771812" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.0143822, 0.0231198, 0.0463188, 0.107482, 0.268424, 0.6932", \
-            "0.01489, 0.0233736, 0.046369, 0.107468, 0.268475, 0.6932", \
-            "0.0216438, 0.0278385, 0.0475375, 0.107495, 0.268522, 0.6932", \
-            "0.0339352, 0.0436122, 0.062187, 0.111801, 0.268473, 0.693124", \
-            "0.0534159, 0.0681577, 0.0967602, 0.14779, 0.278745, 0.693185", \
-            "0.0894285, 0.109341, 0.151612, 0.229394, 0.362158, 0.7133" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.0175042, 0.0219854, 0.0327435, 0.0600632, 0.131434, 0.319341", \
-            "0.020701, 0.0252785, 0.0362284, 0.063714, 0.135165, 0.323131", \
-            "0.0253423, 0.0322022, 0.0454366, 0.0730395, 0.144803, 0.332881", \
-            "0.0276742, 0.0382987, 0.0589732, 0.0961083, 0.168506, 0.356606", \
-            "0.0225533, 0.0382226, 0.0696873, 0.127383, 0.225219, 0.414859", \
-            "-0.00395456, 0.0191594, 0.0655105, 0.151562, 0.30299, 0.554256" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.00864243, 0.0136917, 0.0272611, 0.0633706, 0.158793, 0.41074", \
-            "0.00937665, 0.0139671, 0.0273344, 0.0633715, 0.158944, 0.410716", \
-            "0.015145, 0.0199585, 0.0305435, 0.063601, 0.158768, 0.410597", \
-            "0.0249649, 0.0325056, 0.0472209, 0.0748784, 0.159638, 0.410619", \
-            "0.0424506, 0.0536188, 0.0758752, 0.115793, 0.18862, 0.412461", \
-            "0.0765125, 0.0924867, 0.124378, 0.184268, 0.288415, 0.478263" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0203604, 0.0222501, 0.0231879, 0.0241028, 0.0250062, 0.0268125, 0.028617, 0.0305786, 0.0323787, 0.0364702, 0.0376504, 0.0399903, 0.0432291, 0.0460742, 0.049336, 0.0514731, 0.0564377, 0.0639092, 0.0695695, 0.0818191");
-            values ( \
-              "0.00253236, 0.203668, 0.21058, 0.214499, 0.216212, 0.214786, 0.208046, 0.194929, 0.174601, 0.106539, 0.0915667, 0.0694983, 0.0491196, 0.0370463, 0.0273707, 0.0225522, 0.0145547, 0.00763378, 0.00474671, 0.00178937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00569859");
-            index_3 ("0.0219499, 0.0229038, 0.0238277, 0.0253133, 0.0277389, 0.0291632, 0.0311085, 0.0340171, 0.0372632, 0.0407696, 0.0465216, 0.0481181, 0.0523452, 0.0582606, 0.0622419, 0.0673338, 0.0717363, 0.0760628, 0.0818316, 0.0904504, 0.0965806, 0.108841, 0.113166");
-            values ( \
-              "0.10382, 0.326633, 0.340721, 0.350061, 0.357761, 0.355893, 0.349839, 0.336409, 0.312025, 0.269798, 0.183947, 0.164391, 0.123218, 0.0835277, 0.0648424, 0.0469127, 0.0354302, 0.02693, 0.0185783, 0.0107141, 0.00720058, 0.00314584, 0.0025773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.015034");
-            index_3 ("0.0222498, 0.0244784, 0.0262658, 0.0291521, 0.0320372, 0.039769, 0.0505602, 0.0586205, 0.0704203, 0.0811732, 0.0979321, 0.108521, 0.127479, 0.14905, 0.174024");
-            values ( \
-              "0.0434898, 0.443878, 0.463346, 0.475151, 0.477502, 0.45952, 0.415282, 0.363, 0.261058, 0.186059, 0.106871, 0.0742742, 0.0376581, 0.0169883, 0.00668054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0396624");
-            index_3 ("0.0221791, 0.0267321, 0.0296511, 0.0328713, 0.0372237, 0.0432236, 0.0607696, 0.0784631, 0.093018, 0.104163, 0.141291, 0.153011, 0.167906, 0.187766, 0.20131, 0.21966, 0.23731, 0.254322, 0.277003, 0.296317, 0.32821, 0.370734, 0.411289");
-            values ( \
-              "0.104099, 0.523997, 0.543491, 0.550265, 0.55007, 0.543942, 0.51592, 0.483259, 0.450229, 0.415379, 0.27549, 0.236183, 0.192286, 0.143531, 0.116727, 0.0873588, 0.0655452, 0.0495003, 0.0337206, 0.0242991, 0.0140389, 0.00653025, 0.00355072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.104637");
-            index_3 ("0.0241978, 0.0309449, 0.0348521, 0.0406046, 0.0567261, 0.12338, 0.156003, 0.184055, 0.229735, 0.345325, 0.387774, 0.417167, 0.464635, 0.514109, 0.554289, 0.591031, 0.640022, 0.680771, 0.73613, 0.809942, 0.892637, 0.975333, 1.14072");
-            values ( \
-              "0.539824, 0.577711, 0.586768, 0.58809, 0.579201, 0.534302, 0.509678, 0.485075, 0.430351, 0.255415, 0.201583, 0.169313, 0.12606, 0.0915233, 0.070009, 0.0546265, 0.0389703, 0.0293573, 0.0199407, 0.0117524, 0.00644979, 0.00353665, 0.00106007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.276052");
-            index_3 ("0.0296359, 0.0296559, 0.149738, 0.283317, 0.37725, 0.459946, 0.512053, 0.587387, 0.787279, 0.869974, 0.993545, 1.0568, 1.13949, 1.19915, 1.2787, 1.41059, 1.55177, 1.70695, 1.84415, 2.00954, 2.17493, 2.50572, 2.8365");
-            values ( \
-              "1e-22, 0.657649, 0.578493, 0.542955, 0.514881, 0.485348, 0.461897, 0.421358, 0.300877, 0.254155, 0.193377, 0.166597, 0.136422, 0.117642, 0.0959864, 0.0681069, 0.0468087, 0.0307748, 0.0211586, 0.0134145, 0.00847715, 0.00335206, 0.00129749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0334836, 0.0345107, 0.0375669, 0.0393315, 0.0423157, 0.0452779, 0.046635, 0.0520601, 0.0550235, 0.0597172, 0.0646395, 0.071986, 0.0837634, 0.0938208");
-            values ( \
-              "0.0526848, 0.0989283, 0.160315, 0.185295, 0.19901, 0.190206, 0.177965, 0.0959106, 0.0677255, 0.0414696, 0.0262522, 0.0136912, 0.00502151, 0.00222129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00569859");
-            index_3 ("0.0341116, 0.0361622, 0.0375172, 0.0402381, 0.042584, 0.0449705, 0.0469169, 0.0486705, 0.0526129, 0.0553894, 0.0612493, 0.0668888, 0.0716872, 0.0756814, 0.0794206, 0.0865567, 0.0903118, 0.0941984, 0.102284, 0.117209, 0.129544");
-            values ( \
-              "0.00320481, 0.197266, 0.251347, 0.312491, 0.334448, 0.340637, 0.337685, 0.331066, 0.303366, 0.269058, 0.182502, 0.123897, 0.0904023, 0.0698851, 0.055166, 0.0350209, 0.0276032, 0.0215441, 0.0128246, 0.00481709, 0.002269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.015034");
-            index_3 ("0.0370991, 0.0398222, 0.0420803, 0.0436999, 0.0467552, 0.0495544, 0.0537974, 0.0583797, 0.0615155, 0.0680023, 0.0723811, 0.083637, 0.0888911, 0.0944953, 0.0989272, 0.104836, 0.113097, 0.121748, 0.128688, 0.13704, 0.141674, 0.150941, 0.159485, 0.172602, 0.19009, 0.218179, 0.251886");
-            values ( \
-              "0.379536, 0.393003, 0.434768, 0.451558, 0.466022, 0.46731, 0.459556, 0.444688, 0.432451, 0.400585, 0.370445, 0.273753, 0.232626, 0.194652, 0.169015, 0.139243, 0.105482, 0.0783768, 0.061357, 0.0455109, 0.0384918, 0.0273569, 0.019958, 0.0122319, 0.00622126, 0.00192213, 0.000438161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0396624");
-            index_3 ("0.0391057, 0.0391257, 0.0652908, 0.0789856, 0.101085, 0.108676, 0.123859, 0.145924, 0.164553, 0.180572, 0.190933, 0.210383, 0.230343, 0.246555, 0.261994, 0.284546, 0.304262, 0.328242, 0.360216, 0.417475, 0.484827");
-            values ( \
-              "1e-22, 0.558002, 0.532847, 0.510143, 0.466938, 0.447773, 0.398605, 0.312923, 0.246589, 0.198465, 0.171051, 0.127629, 0.0934671, 0.0719239, 0.0558466, 0.038324, 0.0274089, 0.0181979, 0.0103744, 0.00352083, 0.000928551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.104637");
-            index_3 ("0.0409414, 0.0409614, 0.0842758, 0.155344, 0.190168, 0.209343, 0.239829, 0.257028, 0.334742, 0.367768, 0.409791, 0.457817, 0.475347, 0.504025, 0.532943, 0.581672, 0.6396, 0.691218, 0.745085, 0.786376, 0.868957, 0.951652, 1.00737");
-            values ( \
-              "1e-22, 0.628654, 0.571076, 0.521874, 0.493531, 0.474703, 0.437224, 0.412417, 0.292289, 0.245756, 0.193664, 0.144619, 0.129583, 0.107873, 0.0892931, 0.0644789, 0.0434399, 0.0303273, 0.0208446, 0.015587, 0.0085776, 0.00470426, 0.00382078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.276052");
-            index_3 ("0.0467006, 0.0467206, 0.1649, 0.291582, 0.392399, 0.492463, 0.602535, 0.802427, 0.93808, 1.07194, 1.15464, 1.29385, 1.43515, 1.5669, 1.72208, 1.85929, 2.02468, 2.27277, 2.42379");
-            values ( \
-              "1e-22, 0.677523, 0.578647, 0.544859, 0.515024, 0.477917, 0.421497, 0.300741, 0.226801, 0.166732, 0.136291, 0.0961154, 0.0664602, 0.0469346, 0.0308986, 0.0212806, 0.0135355, 0.00675217, 0.00535962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0558768, 0.059158, 0.0647374, 0.074782, 0.078213, 0.0813651, 0.0844447, 0.0917122, 0.0972163, 0.102195, 0.105524, 0.108631, 0.112828, 0.117503, 0.127612, 0.133626");
-            values ( \
-              "0.0197244, 0.0281248, 0.0610079, 0.107518, 0.119057, 0.127235, 0.126071, 0.0725705, 0.0441769, 0.028642, 0.0213129, 0.0163128, 0.0113802, 0.00768341, 0.00323453, 0.00209233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00569859");
-            index_3 ("0.057941, 0.0683512, 0.0760952, 0.0810577, 0.0873851, 0.0884071, 0.0893254, 0.0906839, 0.0931551, 0.101324, 0.105928, 0.113339, 0.118728, 0.12316, 0.132646, 0.144859, 0.153987, 0.166099");
-            values ( \
-              "0.0306088, 0.126511, 0.189267, 0.224614, 0.257327, 0.267037, 0.271728, 0.272179, 0.257047, 0.155637, 0.114983, 0.0712352, 0.0507325, 0.038265, 0.02094, 0.00957154, 0.00523548, 0.00251956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.015034");
-            index_3 ("0.0594872, 0.0665119, 0.074129, 0.0873893, 0.0900279, 0.0926642, 0.0952319, 0.0989574, 0.103813, 0.105498, 0.112716, 0.1262, 0.131214, 0.137976, 0.146992, 0.153185, 0.16173, 0.169729, 0.177472, 0.187795, 0.196821, 0.211712, 0.231567, 0.2602, 0.295073");
-            values ( \
-              "0.0152234, 0.139036, 0.22961, 0.373419, 0.411316, 0.428124, 0.432333, 0.427061, 0.40846, 0.39941, 0.347211, 0.234035, 0.199611, 0.160697, 0.119021, 0.0966092, 0.0718207, 0.0540238, 0.0408894, 0.0279521, 0.0200363, 0.0114792, 0.00527292, 0.0015841, 0.000333136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0396624");
-            index_3 ("0.0612574, 0.0727888, 0.0873904, 0.0900405, 0.0921399, 0.0944902, 0.0978383, 0.102227, 0.11009, 0.129223, 0.139908, 0.146156, 0.158653, 0.190067, 0.208924, 0.218225, 0.228854, 0.248102, 0.267091, 0.282452, 0.300023, 0.309738, 0.329168, 0.348853, 0.378953, 0.419086, 0.480626, 0.554951");
-            values ( \
-              "0.00780266, 0.240005, 0.439537, 0.488878, 0.510752, 0.523792, 0.530968, 0.530561, 0.520383, 0.486685, 0.463781, 0.447771, 0.408171, 0.288309, 0.225206, 0.198013, 0.169969, 0.127187, 0.094579, 0.0738249, 0.0553591, 0.0471115, 0.0339376, 0.0242992, 0.0144883, 0.00706165, 0.0021606, 0.000470264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.104637");
-            index_3 ("0.0626381, 0.0811449, 0.0904793, 0.0956648, 0.0996083, 0.104599, 0.109523, 0.129285, 0.192727, 0.213478, 0.250145, 0.278251, 0.294743, 0.372358, 0.405464, 0.447582, 0.495717, 0.517156, 0.541519, 0.570512, 0.608473, 0.641176, 0.677372, 0.728959, 0.782708, 0.823895, 0.906269, 0.988964, 1.07166, 1.23705");
-            values ( \
-              "0.031168, 0.376283, 0.528286, 0.569333, 0.578454, 0.580358, 0.578561, 0.5662, 0.522092, 0.505869, 0.471425, 0.436249, 0.412408, 0.29244, 0.245766, 0.193576, 0.144441, 0.126261, 0.108021, 0.0893773, 0.0693747, 0.0556351, 0.0434227, 0.0303184, 0.0208553, 0.0156097, 0.00860102, 0.00471997, 0.00258108, 0.000770824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.276052");
-            index_3 ("0.0814217, 0.0814417, 0.189758, 0.336264, 0.430197, 0.512892, 0.565, 0.640333, 0.840225, 0.92292, 1.04649, 1.10974, 1.19243, 1.2521, 1.33165, 1.46353, 1.60469, 1.75987, 1.89708, 2.06247, 2.31056, 2.55864, 2.88942");
-            values ( \
-              "1e-22, 0.650015, 0.581909, 0.542875, 0.514959, 0.485271, 0.461822, 0.421434, 0.300804, 0.25423, 0.193307, 0.166671, 0.136353, 0.117572, 0.0960565, 0.0681778, 0.0468795, 0.0308436, 0.0212259, 0.013481, 0.00680661, 0.00341696, 0.00136163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105255, 0.116754, 0.120492, 0.124794, 0.135181, 0.140698, 0.147434, 0.153286, 0.158642, 0.163708, 0.168739, 0.173765, 0.176684, 0.179011, 0.183665, 0.189348, 0.193056, 0.19844, 0.201023, 0.20473, 0.210371, 0.211767, 0.213721, 0.215345, 0.21781, 0.225502, 0.23315, 0.242925");
-            values ( \
-              "0.00302258, 0.0105121, 0.0136284, 0.0195421, 0.042577, 0.053012, 0.0626507, 0.0701513, 0.0750841, 0.0783818, 0.0763821, 0.0585817, 0.0443643, 0.0361007, 0.0246482, 0.0165579, 0.0127773, 0.00871296, 0.00728992, 0.00562677, 0.00377389, 0.00582352, 0.0069885, 0.00708447, 0.00670911, 0.00417184, 0.00223692, 0.000985394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00569859");
-            index_3 ("0.105123, 0.119825, 0.124968, 0.128786, 0.141619, 0.157049, 0.164484, 0.171209, 0.17755, 0.183894, 0.191769, 0.195906, 0.20493, 0.210239, 0.212251, 0.214397, 0.231657, 0.24017, 0.247059, 0.260838, 0.27878");
-            values ( \
-              "0.00322756, 0.0246963, 0.0352987, 0.0456516, 0.088894, 0.129613, 0.146618, 0.158464, 0.165113, 0.153754, 0.103451, 0.0823794, 0.0504822, 0.037859, 0.0387354, 0.0378356, 0.0158259, 0.00925001, 0.00591856, 0.0023007, 0.000612843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.015034");
-            index_3 ("0.109783, 0.122137, 0.12698, 0.175826, 0.186014, 0.19522, 0.204193, 0.213921, 0.226116, 0.241724, 0.2505, 0.269093, 0.289954, 0.319191, 0.328073");
-            values ( \
-              "0.0207403, 0.0429831, 0.0571665, 0.249468, 0.282226, 0.304254, 0.30136, 0.257825, 0.18438, 0.110568, 0.0817414, 0.0418602, 0.0190313, 0.0058025, 0.00494913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0396624");
-            index_3 ("0.118888, 0.136599, 0.142745, 0.190303, 0.212683, 0.215851, 0.218613, 0.222668, 0.228211, 0.236201, 0.24164, 0.254975, 0.276541, 0.291996, 0.304252, 0.31696, 0.333904, 0.345372, 0.357989, 0.374813, 0.391894, 0.401613, 0.421051, 0.440705, 0.45072, 0.47075, 0.51081, 0.57234, 0.64662");
-            values ( \
-              "0.0528858, 0.108533, 0.136118, 0.372696, 0.472571, 0.482549, 0.484096, 0.480839, 0.471264, 0.452682, 0.43725, 0.391479, 0.308106, 0.253283, 0.214763, 0.179481, 0.139546, 0.117096, 0.0960616, 0.0732249, 0.0553492, 0.0471032, 0.0339231, 0.0243013, 0.0204896, 0.0145027, 0.00708171, 0.00216477, 0.000474167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.104637");
-            index_3 ("0.125366, 0.152982, 0.213792, 0.219323, 0.224639, 0.234277, 0.255219, 0.296117, 0.323073, 0.343683, 0.382976, 0.436742, 0.489579, 0.532624, 0.561873, 0.599428, 0.628397, 0.658438, 0.698679, 0.735566, 0.784748, 0.825289, 0.880343, 0.953749, 1.03644, 1.11914, 1.28453");
-            values ( \
-              "0.0757123, 0.197224, 0.542712, 0.560843, 0.562185, 0.557372, 0.543029, 0.513094, 0.490243, 0.469132, 0.417733, 0.333874, 0.255631, 0.201137, 0.169039, 0.133998, 0.111429, 0.0915832, 0.0700561, 0.0546131, 0.0388806, 0.0293599, 0.0199901, 0.0117932, 0.00649608, 0.00353846, 0.00105006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.276052");
-            index_3 ("0.15135, 0.200655, 0.215027, 0.218279, 0.22308, 0.229407, 0.33796, 0.427519, 0.521469, 0.58792, 0.621466, 0.672749, 0.731686, 0.931426, 1.01412, 1.06705, 1.13762, 1.20089, 1.30351, 1.34336, 1.42306, 1.50575, 1.56414, 1.62033, 1.69526, 1.76794, 1.90555, 1.98825, 2.07094, 2.23633, 2.40172, 2.64981, 2.98059");
-            values ( \
-              "0.323928, 0.489736, 0.582187, 0.590326, 0.594474, 0.594718, 0.567124, 0.54287, 0.514955, 0.491714, 0.477872, 0.453564, 0.421317, 0.30081, 0.254217, 0.226749, 0.193336, 0.166686, 0.129834, 0.11755, 0.096005, 0.0774936, 0.0665148, 0.0573498, 0.0469616, 0.0386316, 0.0266093, 0.0212315, 0.016928, 0.0107402, 0.00680279, 0.0034216, 0.00136624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.196929, 0.247021, 0.260245, 0.269556, 0.273218, 0.280541, 0.288262, 0.305094, 0.311581, 0.316119, 0.325539, 0.334092, 0.342207, 0.350165, 0.358115, 0.363933, 0.369242, 0.374056, 0.377681, 0.385682, 0.393228, 0.401277, 0.408058, 0.413158, 0.421451, 0.432509, 0.454625, 0.505889, 0.516554, 0.528666");
-            values ( \
-              "0.000444032, 0.00251106, 0.00487326, 0.00693445, 0.00815266, 0.011175, 0.0164796, 0.0313759, 0.0360865, 0.038919, 0.0438182, 0.0469786, 0.0488221, 0.0490294, 0.0442618, 0.0316452, 0.021914, 0.0165722, 0.013425, 0.00859569, 0.00564503, 0.003541, 0.00239232, 0.00178407, 0.00111086, 0.000597816, 0.000192185, 7.08217e-05, 0.00135624, 0.00105585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00569859");
-            index_3 ("0.197077, 0.253277, 0.262644, 0.27335, 0.278298, 0.288194, 0.294246, 0.308602, 0.315567, 0.329558, 0.341561, 0.352497, 0.362806, 0.372886, 0.382949, 0.388543, 0.394935, 0.400578, 0.408413, 0.415491, 0.419166, 0.425321, 0.431556, 0.44114, 0.447316, 0.458662, 0.473792, 0.506014, 0.510925, 0.518476, 0.524078");
-            values ( \
-              "0.00106271, 0.00694511, 0.0108717, 0.0162625, 0.0195413, 0.028574, 0.0365633, 0.0573352, 0.0659994, 0.0807021, 0.0903102, 0.0973142, 0.101579, 0.102309, 0.0878415, 0.0697978, 0.0524798, 0.0415013, 0.0296149, 0.0216662, 0.0183635, 0.0138142, 0.0102707, 0.0063734, 0.00469797, 0.00266355, 0.00124428, 0.000278843, 0.00289374, 0.00399725, 0.00302355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.015034");
-            index_3 ("0.200466, 0.253371, 0.269267, 0.28034, 0.289434, 0.306485, 0.319979, 0.337149, 0.35692, 0.373888, 0.389176, 0.403468, 0.417636, 0.442697, 0.457885, 0.466795, 0.476977, 0.483919, 0.492888, 0.506108, 0.508631, 0.512798, 0.515363, 0.533162, 0.548765, 0.556644");
-            values ( \
-              "0.00273377, 0.0138009, 0.0244704, 0.0344047, 0.045018, 0.0730057, 0.0974588, 0.124061, 0.149644, 0.169728, 0.184316, 0.194114, 0.188662, 0.116109, 0.0789476, 0.0616404, 0.0455958, 0.0368223, 0.0276515, 0.0177627, 0.0193314, 0.0200895, 0.0194987, 0.0113236, 0.00622846, 0.0049401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0396624");
-            index_3 ("0.207905, 0.253274, 0.268248, 0.280422, 0.298959, 0.377977, 0.407114, 0.431851, 0.454083, 0.475365, 0.496601, 0.506011, 0.516374, 0.536073, 0.553062, 0.565646, 0.588916, 0.605651, 0.621375, 0.64234, 0.658078, 0.675695, 0.699183, 0.74616, 0.755668");
-            values ( \
-              "0.00678164, 0.022075, 0.0351633, 0.0482535, 0.0745806, 0.220319, 0.269928, 0.308082, 0.334138, 0.337807, 0.295435, 0.269898, 0.247876, 0.191493, 0.149335, 0.123345, 0.0855007, 0.0650869, 0.0502137, 0.0352683, 0.0269859, 0.0199887, 0.0133204, 0.00564797, 0.0050483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.104637");
-            index_3 ("0.253027, 0.306888, 0.347508, 0.446597, 0.490028, 0.505764, 0.513937, 0.526444, 0.563736, 0.580805, 0.71546, 0.777581, 0.819438, 0.842807, 0.886324, 0.923258, 0.954151, 0.990767, 1.04267, 1.09764, 1.1399, 1.2226, 1.3053, 1.34221");
-            values ( \
-              "0.0402105, 0.0998099, 0.179923, 0.391107, 0.476663, 0.502593, 0.509758, 0.507944, 0.471899, 0.45161, 0.251291, 0.176139, 0.136068, 0.117352, 0.0884129, 0.069096, 0.0560959, 0.0436552, 0.0304166, 0.0207455, 0.0154053, 0.00846952, 0.0046448, 0.0040668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.276052");
-            index_3 ("0.253497, 0.348967, 0.506234, 0.515655, 0.531672, 0.545971, 0.649979, 0.726758, 0.778329, 0.843899, 0.95434, 1.15222, 1.23492, 1.37048, 1.45317, 1.54788, 1.66582, 1.74852, 1.86454, 1.94724, 2.01124, 2.12337, 2.26495, 2.43034, 2.67843, 2.79311");
-            values ( \
-              "0.0201869, 0.188126, 0.559815, 0.572042, 0.576582, 0.570462, 0.542856, 0.520391, 0.503484, 0.477919, 0.42121, 0.301903, 0.255079, 0.188794, 0.155129, 0.122983, 0.0911687, 0.0734994, 0.0541054, 0.0433904, 0.0364987, 0.026929, 0.0182916, 0.0116055, 0.00586639, 0.00451106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.523401, 0.576676, 0.601861, 0.620751, 0.642099, 0.661051, 0.669092, 0.694256, 0.713649, 0.729655, 0.743983, 0.757413, 0.770471, 0.783513, 0.790012, 0.802913, 0.813686, 0.828111, 0.839137, 0.853616, 0.864684, 0.886819, 0.917038, 1.00528, 1.1904");
-            values ( \
-              "0.00145273, 0.00146771, 0.00212531, 0.00299199, 0.00463998, 0.00754436, 0.00960972, 0.0174312, 0.0226885, 0.0259836, 0.0282801, 0.0295782, 0.0300716, 0.0286343, 0.024683, 0.0139834, 0.00873511, 0.00493637, 0.00313338, 0.00172958, 0.00108569, 0.000408878, 0.000124217, 3.31284e-05, 1.28215e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00569859");
-            index_3 ("0.523448, 0.58126, 0.60835, 0.6305, 0.641056, 0.655131, 0.671073, 0.708412, 0.731876, 0.751418, 0.768966, 0.785431, 0.801437, 0.817423, 0.840282, 0.858555, 0.872706, 0.884106, 0.900558, 0.914127, 0.941264, 0.975532, 1.18603");
-            values ( \
-              "0.00333268, 0.00376689, 0.00556919, 0.00813099, 0.00989452, 0.0130541, 0.0187227, 0.0382831, 0.0490932, 0.0560674, 0.0608263, 0.0636773, 0.0647092, 0.0610206, 0.0326212, 0.017792, 0.0108837, 0.00713097, 0.00380807, 0.0022121, 0.000673421, 0.000170868, 4.53868e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.015034");
-            index_3 ("0.52283, 0.591454, 0.6082, 0.648093, 0.667092, 0.689421, 0.737309, 0.769021, 0.79597, 0.82041, 0.843358, 0.865663, 0.887937, 0.917118, 0.948144, 0.96372, 0.995486, 1.02702, 1.06785, 1.11568, 1.13025");
-            values ( \
-              "0.00654641, 0.00924067, 0.0117074, 0.0209017, 0.0282633, 0.0412646, 0.0758583, 0.0943387, 0.106555, 0.115061, 0.120601, 0.122486, 0.110404, 0.0663113, 0.0340743, 0.0233052, 0.00998452, 0.00401998, 0.00104871, 0.000231168, 0.000208087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0396624");
-            index_3 ("0.522267, 0.612814, 0.64131, 0.677167, 0.722932, 0.776116, 0.821554, 0.882065, 0.918579, 0.952637, 0.986149, 1.01957, 1.04703, 1.0917, 1.12268, 1.15465, 1.18044, 1.21574, 1.22719, 1.26294, 1.29526, 1.31628");
-            values ( \
-              "0.00997106, 0.0215818, 0.0307427, 0.0473346, 0.0808556, 0.123588, 0.153372, 0.187118, 0.203674, 0.215173, 0.211976, 0.174743, 0.135833, 0.0813854, 0.0536629, 0.0337986, 0.0225867, 0.0129754, 0.0145459, 0.00834241, 0.00470411, 0.00359225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.104637");
-            index_3 ("0.522211, 0.656139, 0.670383, 0.698871, 0.7331, 0.805058, 0.900211, 0.972228, 1.03323, 1.08797, 1.14026, 1.19245, 1.2345, 1.26254, 1.28535, 1.33098, 1.36003, 1.38557, 1.41648, 1.45749, 1.47039, 1.4962, 1.54782, 1.58588, 1.60011, 1.62856, 1.68547, 1.76816, 1.85086, 1.93355, 2.01625");
-            values ( \
-              "0.00638533, 0.0498681, 0.0573274, 0.0748448, 0.10071, 0.160896, 0.234786, 0.288552, 0.329763, 0.358408, 0.362964, 0.322777, 0.276125, 0.237854, 0.209188, 0.159317, 0.133038, 0.113059, 0.0924455, 0.0703351, 0.064501, 0.0541489, 0.0379136, 0.0291004, 0.0263693, 0.0216111, 0.0144454, 0.00793376, 0.00435523, 0.00237839, 0.00130702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.276052");
-            index_3 ("0.655322, 0.828232, 1.07651, 1.14247, 1.21615, 1.23601, 1.25791, 1.32495, 1.38009, 1.42139, 1.49055, 1.68961, 1.77231, 1.82673, 1.89929, 1.96146, 2.0622, 2.1705, 2.25319, 2.34585, 2.39263, 2.47533, 2.54156, 2.62426, 2.727, 2.8097, 2.97509, 3.05779, 3.22318, 3.47126, 3.80205");
-            values ( \
-              "0.0986316, 0.188854, 0.413267, 0.469869, 0.52573, 0.527027, 0.522589, 0.500011, 0.477937, 0.458649, 0.421239, 0.301127, 0.254465, 0.226243, 0.192017, 0.165931, 0.129823, 0.0988137, 0.079813, 0.0626042, 0.0553231, 0.0443393, 0.0371119, 0.0296533, 0.0224227, 0.0178885, 0.011354, 0.00903013, 0.00571512, 0.00288098, 0.00115309" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.00418004, 0.00427714, 0.00436481, 0.00441858, 0.00444357, 0.00445382", \
-            "0.00610886, 0.0062293, 0.0063872, 0.00650952, 0.00657422, 0.0066025", \
-            "0.0077514, 0.0077671, 0.00784371, 0.00795778, 0.00804009, 0.00808056", \
-            "0.00887147, 0.00879766, 0.00873994, 0.00870711, 0.00872217, 0.00874119", \
-            "0.00964445, 0.00951944, 0.00936421, 0.00919271, 0.00908311, 0.00903887", \
-            "0.0103174, 0.0100405, 0.00976668, 0.0095629, 0.00937764, 0.00923565" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.00720023, 0.00733894, 0.00752672, 0.00766136, 0.00772902, 0.00775752", \
-            "0.00930028, 0.0090859, 0.00899257, 0.00899034, 0.00898619, 0.00898264", \
-            "0.0105424, 0.00989171, 0.00926533, 0.00881458, 0.0085633, 0.00845017", \
-            "0.0114746, 0.011163, 0.00967168, 0.0086958, 0.00818689, 0.00795927", \
-            "0.0104667, 0.0106744, 0.0108676, 0.00947426, 0.00838009, 0.00788862", \
-            "0.00944994, 0.00990976, 0.0103662, 0.0106753, 0.00929472, 0.00820255" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0174226, 0.018821, 0.0204212, 0.0224088, 0.0237305, 0.0250534, 0.0269946, 0.028417, 0.0321583, 0.0338696, 0.0356663, 0.0379722, 0.0398327, 0.0423134, 0.0454105");
-            values ( \
-              "-0.0498361, -0.228808, -0.274684, -0.292422, -0.295671, -0.292614, -0.265034, -0.230228, -0.108004, -0.0706066, -0.0441774, -0.0237587, -0.0143779, -0.0072127, -0.00475703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00569859");
-            index_3 ("0.0186046, 0.0192431, 0.0199805, 0.021123, 0.0231239, 0.025365, 0.0274466, 0.0295272, 0.0328612, 0.0341243, 0.0417091, 0.0449164, 0.0476194, 0.0505558, 0.055962, 0.0581466");
-            values ( \
-              "-0.242625, -0.402368, -0.432562, -0.464516, -0.486963, -0.494196, -0.49216, -0.481374, -0.433367, -0.405111, -0.160679, -0.0954467, -0.0601331, -0.0358151, -0.0132964, -0.00983683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.015034");
-            index_3 ("0.0186408, 0.0203593, 0.0216795, 0.0235264, 0.0253145, 0.0278679, 0.0319404, 0.0375926, 0.0424438, 0.0447184, 0.0491938, 0.0512509, 0.0582234, 0.0653337, 0.0707118, 0.0761692, 0.0818049, 0.0919912, 0.0996354");
-            values ( \
-              "-0.0314082, -0.599212, -0.631515, -0.653749, -0.662856, -0.666511, -0.661378, -0.642792, -0.614965, -0.595112, -0.540542, -0.504939, -0.341461, -0.201474, -0.129271, -0.0805191, -0.0485134, -0.0186945, -0.00997332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0396624");
-            index_3 ("0.0196049, 0.0218896, 0.0247562, 0.0271193, 0.029406, 0.0328861, 0.0420375, 0.0575721, 0.0627874, 0.0676343, 0.0776101, 0.082646, 0.0890019, 0.0949074, 0.109444, 0.12344, 0.131848, 0.138525, 0.150517, 0.159821, 0.169588, 0.177084, 0.192075, 0.211527");
-            values ( \
-              "-0.521, -0.73021, -0.761113, -0.769603, -0.772269, -0.770914, -0.760119, -0.733741, -0.722218, -0.70985, -0.675632, -0.65122, -0.610721, -0.556675, -0.386505, -0.247776, -0.185054, -0.145324, -0.092813, -0.0647693, -0.0442885, -0.0329324, -0.0178698, -0.00892828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.104637");
-            index_3 ("0.0199569, 0.0242891, 0.0280185, 0.0317207, 0.0431662, 0.0899632, 0.114104, 0.138391, 0.155602, 0.164814, 0.183237, 0.192543, 0.206871, 0.252316, 0.270242, 0.283616, 0.297326, 0.315567, 0.331802, 0.353448, 0.38044, 0.397138, 0.430533, 0.491269, 0.552006, 0.612742");
-            values ( \
-              "-0.718101, -0.805367, -0.821137, -0.824292, -0.819955, -0.789904, -0.77066, -0.746622, -0.724224, -0.709501, -0.671116, -0.644476, -0.589101, -0.366767, -0.291742, -0.243818, -0.201476, -0.154878, -0.121899, -0.0877863, -0.057895, -0.0445863, -0.0261001, -0.00913433, -0.00319041, -0.00109233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.276052");
-            index_3 ("0.0199242, 0.0239824, 0.0284237, 0.0331327, 0.139081, 0.212718, 0.275854, 0.326852, 0.378653, 0.423974, 0.465346, 0.526082, 0.623986, 0.698488, 0.734942, 0.78303, 0.827066, 0.88578, 0.931286, 0.981571, 1.04231, 1.10304, 1.22452, 1.34599, 1.46746");
-            values ( \
-              "-0.738061, -0.823017, -0.842875, -0.846977, -0.822782, -0.802006, -0.781061, -0.760502, -0.733758, -0.701531, -0.658368, -0.557567, -0.367312, -0.251981, -0.207262, -0.158457, -0.123259, -0.0873948, -0.0665537, -0.0492117, -0.0340519, -0.0233459, -0.0109749, -0.00512648, -0.00237675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0289413, 0.0309341, 0.0332165, 0.0373946, 0.038195, 0.0389139, 0.040312, 0.0413055, 0.0463805, 0.0483035, 0.049991, 0.0521872, 0.0546013, 0.0573602, 0.0595639");
-            values ( \
-              "-0.0851749, -0.122393, -0.192246, -0.269708, -0.277175, -0.27929, -0.270836, -0.252205, -0.101385, -0.0626595, -0.0403438, -0.0224014, -0.0116866, -0.00542299, -0.00416701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00569859");
-            index_3 ("0.0301985, 0.0313244, 0.0335408, 0.0374235, 0.0392788, 0.0414288, 0.0435619, 0.0457041, 0.047689, 0.0552932, 0.0582882, 0.0605848, 0.0645882, 0.0691555, 0.0703365");
-            values ( \
-              "-0.18523, -0.212277, -0.31399, -0.441899, -0.472741, -0.482354, -0.476385, -0.453964, -0.415343, -0.171931, -0.106381, -0.0722323, -0.0357978, -0.0156146, -0.0134012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.015034");
-            index_3 ("0.0279565, 0.039906, 0.0415685, 0.0440955, 0.0496948, 0.0527551, 0.0577362, 0.0610222, 0.0635998, 0.0786158, 0.0849185, 0.09022, 0.0959378, 0.106398, 0.110888");
-            values ( \
-              "-0.0467317, -0.640565, -0.653532, -0.659365, -0.650071, -0.637704, -0.605576, -0.571598, -0.535887, -0.215518, -0.128545, -0.081139, -0.0485254, -0.0181896, -0.0131961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0396624");
-            index_3 ("0.0293751, 0.0388506, 0.0420224, 0.0436243, 0.0463775, 0.0495602, 0.0575955, 0.0766705, 0.0818816, 0.0918521, 0.0969876, 0.103258, 0.108013, 0.128371, 0.139432, 0.15233, 0.158959, 0.167798, 0.175011, 0.182941, 0.193514, 0.21466, 0.242661, 0.277214");
-            values ( \
-              "-0.0351071, -0.718887, -0.757889, -0.764529, -0.768614, -0.767732, -0.758047, -0.723059, -0.70988, -0.675639, -0.650724, -0.61067, -0.568496, -0.335349, -0.233432, -0.14758, -0.115494, -0.0824778, -0.0624018, -0.0458112, -0.0300675, -0.0123113, -0.00345207, -0.000646548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.104637");
-            index_3 ("0.0304233, 0.0390455, 0.043368, 0.0455916, 0.0490121, 0.0575386, 0.0873869, 0.134068, 0.153314, 0.172863, 0.191821, 0.206892, 0.235256, 0.27416, 0.294115, 0.324925, 0.347561, 0.36356, 0.394952, 0.413452, 0.450451, 0.511187, 0.571924");
-            values ( \
-              "-0.106812, -0.767392, -0.8142, -0.820066, -0.82253, -0.819832, -0.801662, -0.765624, -0.745882, -0.719945, -0.684879, -0.644426, -0.522115, -0.334662, -0.257601, -0.166953, -0.119695, -0.0940275, -0.0579321, -0.043359, -0.0238599, -0.00834049, -0.00291328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.276052");
-            index_3 ("0.0328731, 0.0394133, 0.0438322, 0.0478973, 0.0540157, 0.213534, 0.296188, 0.341213, 0.386888, 0.442125, 0.479695, 0.540432, 0.638309, 0.712834, 0.749284, 0.797369, 0.841397, 0.900102, 0.945618, 0.995912, 1.05665, 1.11738, 1.23886, 1.30108");
-            values ( \
-              "-0.490472, -0.796432, -0.837555, -0.845013, -0.846344, -0.806076, -0.778853, -0.760494, -0.737352, -0.698252, -0.65836, -0.557534, -0.367334, -0.251972, -0.207254, -0.158457, -0.123265, -0.0874004, -0.0665582, -0.0492129, -0.0340491, -0.0233475, -0.0109767, -0.00877448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.048965, 0.0519276, 0.0544026, 0.0572129, 0.0609315, 0.0666045, 0.0713116, 0.0735738, 0.0760866, 0.0809013, 0.0847386, 0.0874203, 0.0889789, 0.0949511, 0.0951166");
-            values ( \
-              "-0.00927063, -0.0433139, -0.050869, -0.0875473, -0.11911, -0.154309, -0.174014, -0.170311, -0.140765, -0.0598975, -0.0253578, -0.0133526, -0.0145088, -0.00474651, -0.00465953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00569859");
-            index_3 ("0.0511163, 0.0545153, 0.0562518, 0.0594893, 0.062781, 0.0693069, 0.0727737, 0.0759502, 0.0789563, 0.0819646, 0.0849796, 0.0874795, 0.0922249, 0.0943399, 0.0967099, 0.0996226, 0.101367, 0.104857, 0.109557");
-            values ( \
-              "-0.0234625, -0.0974671, -0.110902, -0.168754, -0.213292, -0.280568, -0.311204, -0.334808, -0.347614, -0.333756, -0.281816, -0.2167, -0.121504, -0.0872207, -0.0588749, -0.0355788, -0.0261465, -0.0137981, -0.00605491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.015034");
-            index_3 ("0.0528637, 0.0584474, 0.0649574, 0.0698484, 0.0793993, 0.0883493, 0.0891784, 0.0903628, 0.0927318, 0.0936738, 0.0984757, 0.103367, 0.108376, 0.113494, 0.119795, 0.125107, 0.130817, 0.134296, 0.141252, 0.150517");
-            values ( \
-              "-0.00242112, -0.191514, -0.31387, -0.383612, -0.502267, -0.595433, -0.601938, -0.605077, -0.596951, -0.590194, -0.535055, -0.434738, -0.315835, -0.215609, -0.128604, -0.0811183, -0.048551, -0.0353273, -0.0182521, -0.007862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0396624");
-            index_3 ("0.0530226, 0.0682328, 0.0726694, 0.076221, 0.0831508, 0.0873147, 0.0874898, 0.0878401, 0.0885406, 0.0899415, 0.0917296, 0.0943575, 0.0971164, 0.101331, 0.106744, 0.114699, 0.11664, 0.120521, 0.126948, 0.137362, 0.138031, 0.13937, 0.142048, 0.146113, 0.15111, 0.161104, 0.168344, 0.171567, 0.173011, 0.175897, 0.180993, 0.187574, 0.189325, 0.192826, 0.199464, 0.20879, 0.212966, 0.214859, 0.218645, 0.226216, 0.24136, 0.268561, 0.300826");
-            values ( \
-              "-0.01631, -0.418097, -0.488425, -0.542249, -0.642814, -0.699741, -0.705726, -0.713491, -0.725378, -0.73936, -0.747345, -0.750417, -0.748889, -0.743138, -0.733198, -0.715163, -0.709742, -0.698151, -0.673794, -0.615485, -0.610707, -0.600022, -0.575893, -0.532724, -0.473464, -0.357005, -0.283934, -0.255184, -0.243077, -0.220209, -0.18414, -0.145095, -0.136074, -0.119514, -0.0930231, -0.0648592, -0.0551674, -0.0512518, -0.0442, -0.0327657, -0.0176605, -0.00523353, -0.00112553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.104637");
-            index_3 ("0.0531543, 0.0758185, 0.0894429, 0.0924762, 0.0962182, 0.10021, 0.115923, 0.139526, 0.157877, 0.175318, 0.188527, 0.213557, 0.22613, 0.242052, 0.247075, 0.25712, 0.292717, 0.310898, 0.328564, 0.337532, 0.355469, 0.370257, 0.387598, 0.410719, 0.424616, 0.438853, 0.457836, 0.4958, 0.556537, 0.617273");
-            values ( \
-              "-0.0432482, -0.570548, -0.784145, -0.809621, -0.814703, -0.814873, -0.805986, -0.789903, -0.775613, -0.759889, -0.745887, -0.711161, -0.686842, -0.644704, -0.62736, -0.586036, -0.409423, -0.327502, -0.259658, -0.229705, -0.178231, -0.143764, -0.11111, -0.0780155, -0.0629674, -0.0504929, -0.0374689, -0.0202351, -0.00706847, -0.00246017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.276052");
-            index_3 ("0.0679209, 0.0873343, 0.0908595, 0.0926942, 0.0993833, 0.147845, 0.249883, 0.312345, 0.373082, 0.44351, 0.490417, 0.51514, 0.564585, 0.655063, 0.732522, 0.795069, 0.845905, 0.901298, 0.962035, 1.00033, 1.05625, 1.11699, 1.23846, 1.35994, 1.48141");
-            values ( \
-              "-0.753628, -0.775608, -0.82628, -0.835359, -0.843448, -0.833016, -0.805778, -0.785796, -0.762121, -0.72414, -0.686247, -0.658349, -0.578987, -0.400695, -0.273285, -0.195558, -0.147098, -0.106921, -0.0745461, -0.0593243, -0.0423472, -0.0292096, -0.0137958, -0.00648931, -0.00304915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.0950714, 0.100801, 0.101728, 0.102655, 0.103582, 0.104508, 0.105648, 0.106588, 0.107215, 0.107842, 0.108469, 0.109095, 0.110346, 0.111597, 0.112873, 0.114149, 0.115746, 0.117344, 0.119227, 0.120706, 0.122739, 0.124396, 0.125522, 0.1289, 0.136759, 0.137674, 0.138589, 0.139504, 0.140419, 0.141333, 0.142246, 0.143159, 0.144073, 0.144133, 0.144436, 0.144799, 0.145889, 0.149569, 0.150418, 0.151691, 0.153037, 0.154305, 0.155267, 0.155587, 0.156228, 0.156869, 0.15751, 0.158151, 0.159255, 0.160313");
-            values ( \
-              "-0.0103778, -0.0168238, -0.017773, -0.0188283, -0.0199897, -0.0212572, -0.0230926, -0.0249689, -0.0264289, -0.0280331, -0.0297815, -0.0316741, -0.035882, -0.0406642, -0.0467714, -0.0522213, -0.0579717, -0.0631512, -0.0685218, -0.0725336, -0.0777998, -0.0818864, -0.0844845, -0.0919686, -0.108182, -0.107686, -0.10695, -0.105974, -0.104758, -0.103305, -0.101612, -0.0996803, -0.0975092, -0.0972552, -0.0955199, -0.0930378, -0.0849255, -0.0550946, -0.0486688, -0.0403537, -0.0326822, -0.0260069, -0.0219633, -0.0207406, -0.0186055, -0.0166393, -0.0148422, -0.0132142, -0.0108574, -0.00877914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00569859");
-            index_3 ("0.0953002, 0.104147, 0.110458, 0.120833, 0.125641, 0.132359, 0.143486, 0.148412, 0.153179, 0.15814, 0.166138, 0.169922, 0.173819, 0.178114, 0.181269, 0.184874, 0.192085, 0.20126, 0.210341, 0.214587, 0.21997, 0.225031");
-            values ( \
-              "-0.00709237, -0.0393314, -0.0589573, -0.118803, -0.139793, -0.165769, -0.202134, -0.213802, -0.217565, -0.194286, -0.101651, -0.065841, -0.0400319, -0.0221809, -0.0142178, -0.00840245, -0.00272666, -0.000695796, -0.000363975, -0.0036316, -0.00337215, -0.00117486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.015034");
-            index_3 ("0.0998444, 0.109473, 0.113266, 0.117901, 0.12438, 0.130875, 0.138778, 0.148527, 0.156957, 0.164577, 0.171677, 0.17861, 0.186012, 0.196052, 0.200377, 0.205164, 0.210751, 0.212674, 0.221374, 0.226165");
-            values ( \
-              "-0.0545391, -0.0809315, -0.0983318, -0.124446, -0.173307, -0.212496, -0.254142, -0.301774, -0.340012, -0.370371, -0.391103, -0.391436, -0.33934, -0.199905, -0.148706, -0.103731, -0.0660613, -0.0616337, -0.0319089, -0.0206447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0396624");
-            index_3 ("0.105257, 0.119113, 0.14281, 0.161665, 0.176648, 0.189592, 0.201345, 0.212371, 0.216366, 0.219797, 0.229184, 0.247388, 0.256318, 0.265337, 0.274769, 0.279081, 0.287706, 0.298458, 0.318405, 0.343229, 0.372344");
-            values ( \
-              "-0.0478172, -0.158502, -0.3243, -0.435298, -0.518336, -0.583768, -0.633207, -0.663436, -0.652509, -0.635681, -0.559232, -0.347524, -0.260619, -0.190821, -0.135349, -0.116734, -0.0827519, -0.0543143, -0.0240634, -0.00919047, -0.00144672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.104637");
-            index_3 ("0.105138, 0.131444, 0.143468, 0.159941, 0.188911, 0.210254, 0.214565, 0.219645, 0.234761, 0.248817, 0.273653, 0.30118, 0.31113, 0.324396, 0.332713, 0.343801, 0.386097, 0.410975, 0.420955, 0.434091, 0.450997, 0.464935, 0.481412, 0.504682, 0.528909, 0.54747, 0.584593, 0.645329, 0.706066");
-            values ( \
-              "-0.0283707, -0.258168, -0.347797, -0.459071, -0.643642, -0.771536, -0.786509, -0.79304, -0.782392, -0.77066, -0.745891, -0.706651, -0.687116, -0.65333, -0.625465, -0.57892, -0.37055, -0.269059, -0.23495, -0.195497, -0.15315, -0.124714, -0.0973101, -0.0679871, -0.046698, -0.0348657, -0.0190925, -0.00666553, -0.00231969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.276052");
-            index_3 ("0.104957, 0.150094, 0.213975, 0.227087, 0.232894, 0.241628, 0.256288, 0.273895, 0.304027, 0.334941, 0.407668, 0.461536, 0.487044, 0.528553, 0.589289, 0.622384, 0.66651, 0.721289, 0.781917, 0.812113, 0.846932, 0.893358, 0.931531, 0.976293, 1.03598, 1.08448, 1.15855, 1.21928, 1.28002, 1.34076, 1.46223, 1.5837");
-            values ( \
-              "-0.0366403, -0.403864, -0.834465, -0.835497, -0.832114, -0.831739, -0.826367, -0.823515, -0.813773, -0.806594, -0.782146, -0.760547, -0.748352, -0.724176, -0.671635, -0.626691, -0.546067, -0.436469, -0.327549, -0.281121, -0.234122, -0.181618, -0.146559, -0.113339, -0.0796481, -0.0596492, -0.0381083, -0.0262518, -0.0180371, -0.0123836, -0.00581853, -0.00272945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.182536, 0.200231, 0.207756, 0.219875, 0.226005, 0.236157, 0.251774, 0.260915, 0.275599, 0.281997, 0.288116, 0.294225, 0.307393, 0.31315, 0.317249, 0.323406, 0.329973, 0.339871, 0.3525, 0.36839");
-            values ( \
-              "-0.00204362, -0.00313598, -0.00471121, -0.00829739, -0.0108683, -0.0173986, -0.0382596, -0.046864, -0.0586457, -0.0626461, -0.0647383, -0.0623906, -0.0227485, -0.0112521, -0.00668689, -0.00311251, -0.00140812, -0.00055158, -0.000286156, -0.000256095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00569859");
-            index_3 ("0.191447, 0.198174, 0.212728, 0.223238, 0.231228, 0.242128, 0.255465, 0.262592, 0.274623, 0.282381, 0.293765, 0.299246, 0.306961, 0.314683, 0.316035, 0.331072, 0.338004, 0.340961, 0.345531, 0.351625, 0.36193, 0.374061, 0.389383, 0.505764, 0.520948");
-            values ( \
-              "-0.00527779, -0.0060682, -0.0127765, -0.019713, -0.0265189, -0.0410836, -0.0699857, -0.0824029, -0.100951, -0.111695, -0.12544, -0.13071, -0.135117, -0.129246, -0.124614, -0.0501611, -0.0263418, -0.0195606, -0.0121696, -0.00624886, -0.00187928, -0.000622797, -0.000305568, -4.29773e-05, -0.000882977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.015034");
-            index_3 ("0.201177, 0.204833, 0.223173, 0.235617, 0.242142, 0.253002, 0.271345, 0.296451, 0.310229, 0.322598, 0.334039, 0.345025, 0.355989, 0.362363, 0.377814, 0.383359, 0.392846, 0.396664, 0.40276, 0.410888, 0.426637, 0.444928, 0.468154, 0.505739, 0.511805, 0.520686");
-            values ( \
-              "-0.0149409, -0.016212, -0.0330774, -0.0496681, -0.0603116, -0.0843201, -0.132442, -0.184026, -0.20883, -0.228793, -0.243429, -0.250452, -0.234347, -0.201776, -0.103248, -0.0756136, -0.0418131, -0.0325248, -0.0214795, -0.0119922, -0.00334757, -0.000791669, -0.000220608, -9.21773e-05, -0.00241485, -0.00228882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0396624");
-            index_3 ("0.207037, 0.252907, 0.298736, 0.340568, 0.361472, 0.380215, 0.397584, 0.414396, 0.431969, 0.468846, 0.484306, 0.495658, 0.505644, 0.508263, 0.530524, 0.543444");
-            values ( \
-              "-0.0074149, -0.102181, -0.224514, -0.320335, -0.363587, -0.398262, -0.422631, -0.428916, -0.380274, -0.154862, -0.0930532, -0.0619919, -0.0429573, -0.0423956, -0.0185502, -0.0110089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.104637");
-            index_3 ("0.219364, 0.253324, 0.263579, 0.328834, 0.416274, 0.449016, 0.478496, 0.506061, 0.515888, 0.53041, 0.541149, 0.593068, 0.62093, 0.640508, 0.671826, 0.688242, 0.711465, 0.726312, 0.75392, 0.790731, 0.825537");
-            values ( \
-              "-0.0506463, -0.116849, -0.141266, -0.320142, -0.538974, -0.61127, -0.666578, -0.698463, -0.689272, -0.656101, -0.6188, -0.369594, -0.257553, -0.196297, -0.124446, -0.0971073, -0.0679687, -0.0539605, -0.0349904, -0.0193074, -0.0120852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.276052");
-            index_3 ("0.252603, 0.29606, 0.399384, 0.478053, 0.505339, 0.515952, 0.528343, 0.565525, 0.667493, 0.728229, 0.770607, 0.806006, 0.866742, 0.964591, 1.01864, 1.07559, 1.12367, 1.16769, 1.22638, 1.27191, 1.32221, 1.38294, 1.44368, 1.56515, 1.68663, 1.8081");
-            values ( \
-              "-0.218563, -0.239278, -0.527774, -0.73564, -0.803552, -0.810479, -0.810285, -0.798495, -0.760566, -0.728214, -0.696275, -0.65839, -0.557451, -0.367325, -0.280511, -0.207214, -0.158491, -0.123303, -0.0873794, -0.0665943, -0.049244, -0.0340194, -0.0233778, -0.0110064, -0.00515751, -0.00240728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.411951, 0.462615, 0.472564, 0.483123, 0.495191, 0.507362, 0.530036, 0.545724, 0.561, 0.591826, 0.604232, 0.608457, 0.615684, 0.626619, 0.637555, 0.645732, 0.655868, 0.660671, 0.665329, 0.66886, 0.675922, 0.682829, 0.687409, 0.693451, 0.701506, 0.717616, 0.747561, 0.7896, 0.792399");
-            values ( \
-              "-0.000580093, -0.00178546, -0.00231343, -0.00293193, -0.0037534, -0.00482666, -0.00813064, -0.0138987, -0.0205199, -0.0300146, -0.0326826, -0.0338073, -0.0349179, -0.0362337, -0.0350443, -0.0292239, -0.0164841, -0.0115708, -0.00811212, -0.00615992, -0.00357277, -0.00227929, -0.00178557, -0.00138848, -0.00107683, -0.000740862, -0.000432716, -0.000178307, -0.00017246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00569859");
-            index_3 ("0.415913, 0.465382, 0.477495, 0.493934, 0.51272, 0.525515, 0.538508, 0.544698, 0.57485, 0.607482, 0.624123, 0.633744, 0.641168, 0.654315, 0.667374, 0.677161, 0.693594, 0.700144, 0.704486, 0.710968, 0.714654, 0.720761, 0.728904, 0.745191, 0.766799, 0.795681, 0.798189");
-            values ( \
-              "-0.00150969, -0.00437777, -0.00581125, -0.00816504, -0.0116061, -0.0150786, -0.0201588, -0.0238099, -0.0442987, -0.0624388, -0.0701928, -0.0740554, -0.0765843, -0.0795286, -0.0777313, -0.0654473, -0.0306823, -0.0205332, -0.0154714, -0.0100344, -0.00790241, -0.00539256, -0.00335272, -0.00155819, -0.00085302, -0.000509413, -0.000489523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.015034");
-            index_3 ("0.423366, 0.463704, 0.484023, 0.510965, 0.525635, 0.540582, 0.557128, 0.603382, 0.630694, 0.653531, 0.673808, 0.692422, 0.710171, 0.727811, 0.741031, 0.765055, 0.77823, 0.785384, 0.797451, 0.813541, 0.826608");
-            values ( \
-              "-0.00384061, -0.00836844, -0.0127937, -0.0208798, -0.0266311, -0.0340132, -0.0452879, -0.0879889, -0.110126, -0.126998, -0.140078, -0.150023, -0.155693, -0.151477, -0.126683, -0.0590529, -0.0331457, -0.0236568, -0.0129979, -0.00560745, -0.00326051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0396624");
-            index_3 ("0.461753, 0.516394, 0.539252, 0.560573, 0.602976, 0.639436, 0.689756, 0.708117, 0.729416, 0.759523, 0.787231, 0.813768, 0.840252, 0.844338, 0.857411, 0.886355, 0.900109, 0.915028, 0.927796, 0.943869, 0.965299, 1.00055, 1.04159, 1.15321");
-            values ( \
-              "-0.0172382, -0.0350604, -0.0483668, -0.0633897, -0.105224, -0.144828, -0.192991, -0.209044, -0.226509, -0.248925, -0.265426, -0.274015, -0.259979, -0.252647, -0.220296, -0.131422, -0.0957981, -0.0655912, -0.0462614, -0.0291801, -0.0150456, -0.00437972, -0.000947215, -7.88121e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.104637");
-            index_3 ("0.461222, 0.556009, 0.587533, 0.724471, 0.803625, 0.864286, 0.910961, 0.954162, 0.995865, 1.03747, 1.12399, 1.15659, 1.199, 1.21582, 1.24737, 1.27091, 1.31801, 1.32281");
-            values ( \
-              "-0.00551438, -0.0740179, -0.101812, -0.251173, -0.32952, -0.384591, -0.421872, -0.448711, -0.457072, -0.411211, -0.180026, -0.118022, -0.064668, -0.0504477, -0.0324913, -0.0223339, -0.0101926, -0.00966696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.276052");
-            index_3 ("0.521538, 0.613618, 0.840098, 0.957693, 1.05166, 1.08799, 1.14872, 1.189, 1.21569, 1.2314, 1.24674, 1.26232, 1.30304, 1.32219, 1.35117, 1.43295, 1.5106, 1.54122, 1.57622, 1.62187, 1.66128, 1.70314, 1.76276, 1.78867, 1.84049, 1.90122, 1.96196, 2.0227, 2.08343, 2.20491, 2.32638");
-            values ( \
-              "-0.077686, -0.138289, -0.391005, -0.515424, -0.608008, -0.640816, -0.690238, -0.716488, -0.72916, -0.723573, -0.713618, -0.700865, -0.658361, -0.631231, -0.581168, -0.419205, -0.287275, -0.244788, -0.202717, -0.157098, -0.125489, -0.0983978, -0.0689393, -0.0591052, -0.0431406, -0.0297847, -0.020438, -0.014077, -0.00960941, -0.00449643, -0.00209364" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.00408625, 0.00412174, 0.00416285, 0.00419224, 0.0042072, 0.00421359", \
-            "0.00552927, 0.00554956, 0.00558414, 0.00561963, 0.00564201, 0.00565258", \
-            "0.00645481, 0.00645991, 0.00647118, 0.00649058, 0.00650947, 0.00652068", \
-            "0.00696191, 0.00695887, 0.0069542, 0.00694915, 0.0069516, 0.0069564", \
-            "0.0072233, 0.00721704, 0.00720182, 0.00718386, 0.0071707, 0.00716566", \
-            "0.00735276, 0.00734449, 0.0073285, 0.00730665, 0.00728223, 0.00726554" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.00653934, 0.00660021, 0.00667636, 0.00673426, 0.00676417, 0.00677694", \
-            "0.00775362, 0.00775561, 0.00777286, 0.00779813, 0.0078141, 0.00782112", \
-            "0.00869516, 0.00849117, 0.00831715, 0.0082015, 0.00814992, 0.00812999", \
-            "0.0101207, 0.00942703, 0.00880941, 0.00844286, 0.00825603, 0.00816629", \
-            "0.0123086, 0.0115095, 0.00987393, 0.00893026, 0.00844385, 0.00822554", \
-            "0.0125944, 0.0126049, 0.0123031, 0.0100364, 0.00893901, 0.00842177" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "0.012522, 0.0128705, 0.0132327, 0.0134711, 0.0135846, 0.0136312", \
-            "0.0121874, 0.0124621, 0.0128847, 0.0132695, 0.0134925, 0.0135943", \
-            "0.0121138, 0.0122356, 0.0125069, 0.0129308, 0.013302, 0.0135064", \
-            "0.0126834, 0.012736, 0.0126367, 0.0128729, 0.0131471, 0.0133439", \
-            "0.0148291, 0.014512, 0.0140182, 0.0135707, 0.013281, 0.0133256", \
-            "0.0201208, 0.0193901, 0.0182349, 0.0166977, 0.0152487, 0.0141982" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00569859, 0.015034, 0.0396624, 0.104637, 0.276052");
-          values ( \
-            "-0.00433282, -0.00401357, -0.00368482, -0.00348781, -0.00339788, -0.00336159", \
-            "-0.00477434, -0.00443681, -0.00398825, -0.00364409, -0.0034886, -0.00341945", \
-            "-0.00510686, -0.00488084, -0.00443179, -0.00392625, -0.00362535, -0.00346528", \
-            "-0.00507249, -0.00503174, -0.00473838, -0.00427012, -0.00385229, -0.00355856", \
-            "-0.00344954, -0.00388116, -0.00422713, -0.00427662, -0.00402921, -0.00371249", \
-            "0.00144933, 0.000451683, -0.00107652, -0.00252285, -0.00326128, -0.00346602" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00939999;
-      rise_capacitance : 0.00939999;
-      rise_capacitance_range (0.00592231, 0.00939999);
-      fall_capacitance : 0.00933887;
-      fall_capacitance_range (0.00546896, 0.00933887);
-    }
-  }
-  cell (INVX8) {
-    area : 18.0708;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.11274;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.209621;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0158583;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.11274;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.514692;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.0277532, 0.0329867, 0.0461068, 0.0808715, 0.181369, 0.480023", \
-            "0.0310883, 0.0361554, 0.0492111, 0.0841542, 0.184865, 0.483645", \
-            "0.0408346, 0.0466767, 0.0600296, 0.0949912, 0.195803, 0.494778", \
-            "0.0559318, 0.0650666, 0.0853287, 0.12484, 0.225347, 0.523862", \
-            "0.0831098, 0.0956858, 0.125579, 0.186658, 0.299304, 0.597284", \
-            "0.138057, 0.154851, 0.195741, 0.285417, 0.457859, 0.777026" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.0145164, 0.0201304, 0.0368561, 0.0873991, 0.239391, 0.693476", \
-            "0.0148796, 0.0204508, 0.0370316, 0.0874379, 0.239396, 0.693476", \
-            "0.0201546, 0.0244944, 0.0388703, 0.0876937, 0.239364, 0.693476", \
-            "0.0317613, 0.0387278, 0.0545495, 0.094178, 0.239522, 0.693412", \
-            "0.0501918, 0.0603102, 0.0842663, 0.132509, 0.252957, 0.693471", \
-            "0.0856139, 0.0987444, 0.132231, 0.205001, 0.340911, 0.71377" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.0222888, 0.0257056, 0.0342347, 0.0564921, 0.120536, 0.31099", \
-            "0.0248355, 0.0282663, 0.0369202, 0.0593692, 0.123529, 0.314018", \
-            "0.0296158, 0.0343034, 0.0447472, 0.0679019, 0.132266, 0.322869", \
-            "0.0315059, 0.0386425, 0.0552, 0.0883265, 0.155431, 0.346131", \
-            "0.0255237, 0.0360492, 0.0607942, 0.112438, 0.208556, 0.403773", \
-            "-0.00286879, 0.0126962, 0.0493029, 0.126152, 0.274825, 0.541603" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.00894418, 0.0120235, 0.0212005, 0.0492253, 0.134375, 0.390015", \
-            "0.009206, 0.0122401, 0.0213232, 0.0492015, 0.134375, 0.389766", \
-            "0.0137754, 0.0169305, 0.0246249, 0.0499424, 0.134556, 0.390013", \
-            "0.0227175, 0.0277722, 0.0393991, 0.0633473, 0.136428, 0.389756", \
-            "0.0392172, 0.0467206, 0.0643334, 0.100587, 0.169754, 0.392351", \
-            "0.072183, 0.0830633, 0.108378, 0.161664, 0.264645, 0.461791" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0242891, 0.0252447, 0.0260012, 0.0289661, 0.0299715, 0.0313218, 0.0333094, 0.0356843, 0.0374445, 0.0421254, 0.0444585, 0.0477452, 0.050633, 0.0544319, 0.05756, 0.0621182, 0.0649369, 0.0732898, 0.089307, 0.0983359");
-            values ( \
-              "0.0181366, 0.145373, 0.163022, 0.18779, 0.192032, 0.195262, 0.19617, 0.188466, 0.174142, 0.0950922, 0.0694315, 0.047349, 0.0349883, 0.0243406, 0.0182634, 0.0124271, 0.00989954, 0.00529287, 0.00180221, 0.00136618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00645473");
-            index_3 ("0.0244667, 0.0293216, 0.03225, 0.0350173, 0.037761, 0.0406732, 0.0422575, 0.0509703, 0.0551457, 0.0610571, 0.0672078, 0.0753403, 0.0876703, 0.101533, 0.107143");
-            values ( \
-              "0.0982306, 0.380927, 0.414147, 0.424441, 0.42326, 0.406088, 0.388111, 0.19564, 0.137508, 0.0859156, 0.0542546, 0.0304545, 0.0134928, 0.00569478, 0.00487656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192884");
-            index_3 ("0.0261011, 0.0308505, 0.0335855, 0.0379549, 0.0401031, 0.0435769, 0.0485259, 0.0522972, 0.0563098, 0.0698558, 0.0790037, 0.091604, 0.105558, 0.120229, 0.142529, 0.15302");
-            values ( \
-              "0.119796, 0.612242, 0.657777, 0.70191, 0.708768, 0.70933, 0.691742, 0.66675, 0.622613, 0.38067, 0.257759, 0.14971, 0.0815146, 0.042896, 0.0162253, 0.0109833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0576385");
-            index_3 ("0.0266204, 0.0359135, 0.0418007, 0.0509282, 0.0572374, 0.0643018, 0.075637, 0.0869939, 0.0962974, 0.125749, 0.145812, 0.167253, 0.179212, 0.198498, 0.224238, 0.242933, 0.273385, 0.298049, 0.33315");
-            values ( \
-              "0.270032, 0.848335, 0.909284, 0.932463, 0.925019, 0.906555, 0.867753, 0.813411, 0.751554, 0.500048, 0.357909, 0.243241, 0.194402, 0.133649, 0.0798575, 0.0543273, 0.0289327, 0.0171148, 0.00880118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.172238");
-            index_3 ("0.0303947, 0.037087, 0.0464848, 0.0531047, 0.060393, 0.0743781, 0.122073, 0.154451, 0.187116, 0.212222, 0.226815, 0.29589, 0.325399, 0.363029, 0.406035, 0.421359, 0.446406, 0.472314, 0.515981, 0.567717, 0.613637, 0.661405, 0.697999, 0.771185, 0.854046, 1.01977");
-            values ( \
-              "0.915892, 0.93885, 1.02899, 1.04776, 1.05368, 1.04367, 0.981831, 0.933266, 0.871512, 0.807118, 0.76319, 0.540328, 0.453971, 0.357415, 0.266476, 0.239309, 0.199934, 0.165349, 0.119171, 0.0802035, 0.0559996, 0.0385506, 0.0288851, 0.0159316, 0.00807412, 0.00206303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.514692");
-            index_3 ("0.0342292, 0.0342492, 0.14569, 0.3366, 0.387453, 0.470314, 0.522319, 0.597632, 0.79717, 0.880032, 1.00048, 1.06445, 1.16828, 1.28977, 1.37263, 1.44607, 1.55233, 1.70989, 1.85172, 2.01744, 2.18317, 2.51461, 2.84606");
-            values ( \
-              "1e-22, 1.15535, 1.0763, 0.985542, 0.957416, 0.904558, 0.861825, 0.786709, 0.562354, 0.474571, 0.363591, 0.312471, 0.242466, 0.178181, 0.144054, 0.118386, 0.0891531, 0.0581652, 0.0394315, 0.0249252, 0.0156947, 0.00613903, 0.00232323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0371655, 0.0391882, 0.0419477, 0.0451062, 0.0471702, 0.0492379, 0.051593, 0.0556101, 0.0598619, 0.064736, 0.0705924, 0.0805412, 0.0925646, 0.102767");
-            values ( \
-              "0.0453948, 0.13333, 0.168227, 0.187825, 0.188441, 0.187467, 0.170027, 0.10202, 0.0584558, 0.0346811, 0.0200267, 0.00878352, 0.00371763, 0.00217285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00645473");
-            index_3 ("0.0382959, 0.039579, 0.040553, 0.0426319, 0.0447535, 0.0457834, 0.0478434, 0.04868, 0.0501026, 0.0515008, 0.0543093, 0.0567403, 0.0586219, 0.0627261, 0.0650523, 0.0686473, 0.0712813, 0.0748257, 0.0787334, 0.0815452, 0.0865254, 0.092874, 0.0986767, 0.105652, 0.122156, 0.131293");
-            values ( \
-              "0.107761, 0.255221, 0.293796, 0.342746, 0.377987, 0.39025, 0.40668, 0.410446, 0.413771, 0.413307, 0.401859, 0.374289, 0.336457, 0.235952, 0.190893, 0.141294, 0.114136, 0.0864591, 0.0645303, 0.052441, 0.036726, 0.0237821, 0.0162087, 0.0104831, 0.00380171, 0.00247235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192884");
-            index_3 ("0.0391081, 0.0419832, 0.0439864, 0.0502274, 0.0561207, 0.0593359, 0.0621876, 0.0672024, 0.0904163, 0.103478, 0.113824, 0.130617, 0.148005, 0.166442");
-            values ( \
-              "0.00557108, 0.478627, 0.56188, 0.672211, 0.701902, 0.698673, 0.686708, 0.654614, 0.284154, 0.16199, 0.103522, 0.049755, 0.0233093, 0.0109311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0576385");
-            index_3 ("0.0412156, 0.0455466, 0.0490543, 0.0528065, 0.0556121, 0.0612233, 0.0669295, 0.0745845, 0.0839265, 0.0899364, 0.102338, 0.116053, 0.133486, 0.145956, 0.156217, 0.167147, 0.181721, 0.192975, 0.208492, 0.220979, 0.231388, 0.24374, 0.26125, 0.279818, 0.294096, 0.322653, 0.373697, 0.434405");
-            values ( \
-              "0.241163, 0.718355, 0.808228, 0.867784, 0.895076, 0.923045, 0.9277, 0.916201, 0.888041, 0.865301, 0.805485, 0.704521, 0.551266, 0.451776, 0.380385, 0.314332, 0.240883, 0.195061, 0.144522, 0.112859, 0.0916363, 0.0712848, 0.0496724, 0.0338603, 0.0251158, 0.0136091, 0.00411863, 0.000922444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.172238");
-            index_3 ("0.0437771, 0.052244, 0.0568056, 0.060612, 0.0673663, 0.0755012, 0.0847929, 0.0949243, 0.136258, 0.168639, 0.20143, 0.225351, 0.240997, 0.310219, 0.344963, 0.377093, 0.419933, 0.435486, 0.460917, 0.48671, 0.530173, 0.549542, 0.5818, 0.627762, 0.675694, 0.71243, 0.785903, 0.868764, 1.03449");
-            values ( \
-              "0.776324, 0.937237, 0.993653, 1.02125, 1.04549, 1.05198, 1.04704, 1.03629, 0.981676, 0.933081, 0.871396, 0.810127, 0.763221, 0.539863, 0.439226, 0.357702, 0.267035, 0.239411, 0.199477, 0.165096, 0.119169, 0.102853, 0.0802685, 0.0560335, 0.0385253, 0.0288265, 0.0158656, 0.008035, 0.0020503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.514692");
-            index_3 ("0.0504774, 0.0504974, 0.160685, 0.300361, 0.401883, 0.502079, 0.612062, 0.8116, 0.911668, 1.01491, 1.16174, 1.22464, 1.38706, 1.49592, 1.64146, 1.78328, 1.94901, 2.11473, 2.28045, 2.61189, 2.86048");
-            values ( \
-              "1e-22, 1.19123, 1.07684, 1.01142, 0.95813, 0.891315, 0.787396, 0.561677, 0.457202, 0.362942, 0.25516, 0.218093, 0.143426, 0.107602, 0.0727106, 0.0493572, 0.0312084, 0.0196325, 0.0122817, 0.0046855, 0.00287254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0604696, 0.0658023, 0.0699594, 0.0746042, 0.0815752, 0.0845657, 0.0874695, 0.0887901, 0.0934168, 0.0977226, 0.102707, 0.109386, 0.11679, 0.128447, 0.141244, 0.143656");
-            values ( \
-              "0.0151178, 0.0408818, 0.0720141, 0.0967805, 0.126608, 0.132979, 0.135033, 0.133585, 0.0807253, 0.0502415, 0.0314133, 0.0175692, 0.00978872, 0.00425205, 0.00182234, 0.00170567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00645473");
-            index_3 ("0.0613357, 0.0656597, 0.0679339, 0.0690479, 0.0729159, 0.0761963, 0.0785475, 0.0830196, 0.0852148, 0.0860469, 0.0868443, 0.0874101, 0.088124, 0.0889476, 0.0896694, 0.0903635, 0.0917515, 0.0937544, 0.096895, 0.0975988, 0.0990064, 0.101822, 0.102861, 0.103438, 0.104208, 0.105747, 0.108375, 0.111911, 0.112833, 0.113364, 0.114071, 0.115486, 0.118316, 0.122336, 0.123652, 0.126286, 0.131554, 0.13635, 0.138747, 0.14354, 0.153126, 0.168957, 0.188076");
-            values ( \
-              "0.0241967, 0.0834983, 0.108455, 0.125632, 0.17489, 0.211683, 0.23786, 0.282061, 0.301097, 0.308086, 0.312792, 0.317241, 0.327035, 0.335229, 0.34005, 0.343553, 0.345415, 0.334767, 0.282366, 0.265954, 0.235698, 0.184556, 0.170077, 0.162446, 0.152835, 0.135345, 0.110422, 0.0845244, 0.0790418, 0.0760358, 0.0722035, 0.0651472, 0.053096, 0.0399293, 0.036468, 0.0304782, 0.0213798, 0.0156889, 0.0134913, 0.0100203, 0.00552836, 0.00204568, 0.000610298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192884");
-            index_3 ("0.0643297, 0.0693244, 0.0900656, 0.0930396, 0.0984581, 0.103818, 0.106156, 0.12405, 0.132833, 0.141994, 0.153608, 0.168609, 0.185845, 0.202578");
-            values ( \
-              "0.116336, 0.182161, 0.583623, 0.624523, 0.65132, 0.635903, 0.614764, 0.322106, 0.222191, 0.149656, 0.0903873, 0.047059, 0.0221849, 0.0137295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0576385");
-            index_3 ("0.0683953, 0.0763211, 0.0908517, 0.093021, 0.0968792, 0.100172, 0.103098, 0.108924, 0.114615, 0.123714, 0.1317, 0.13879, 0.151403, 0.168891, 0.186152, 0.201755, 0.211216, 0.226513, 0.232622, 0.242894, 0.256595, 0.269369, 0.2864, 0.304543, 0.314385, 0.334069, 0.373438, 0.396624");
-            values ( \
-              "0.137605, 0.386781, 0.759834, 0.804625, 0.858558, 0.884286, 0.897383, 0.905241, 0.898796, 0.873524, 0.841437, 0.804865, 0.714744, 0.559882, 0.42337, 0.324561, 0.27393, 0.206139, 0.183491, 0.150415, 0.114654, 0.0887678, 0.0626606, 0.0431274, 0.0351476, 0.0232558, 0.00970035, 0.00665416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.172238");
-            index_3 ("0.0694953, 0.085251, 0.0928691, 0.0965397, 0.101113, 0.106781, 0.111907, 0.119832, 0.129263, 0.141832, 0.172764, 0.211851, 0.220807, 0.239543, 0.274533, 0.32235, 0.369371, 0.407985, 0.43398, 0.475917, 0.493024, 0.519821, 0.555698, 0.588626, 0.63253, 0.668543, 0.681877, 0.708545, 0.761881, 0.844742, 0.927603, 1.01046, 1.09333");
-            values ( \
-              "0.124461, 0.663216, 0.887244, 0.950664, 0.99844, 1.02861, 1.04004, 1.04354, 1.03737, 1.02315, 0.981658, 0.921918, 0.90599, 0.86751, 0.773064, 0.617058, 0.472297, 0.371067, 0.312064, 0.232936, 0.206024, 0.169343, 0.129461, 0.100864, 0.0717952, 0.0542096, 0.0488579, 0.0396357, 0.0259104, 0.0131376, 0.00664001, 0.00335113, 0.00168955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.514692");
-            index_3 ("0.0880132, 0.0880332, 0.198304, 0.336953, 0.438474, 0.521336, 0.57334, 0.648653, 0.848191, 0.931052, 1.0515, 1.11547, 1.19833, 1.26123, 1.34079, 1.47052, 1.60334, 1.7609, 1.90273, 2.06845, 2.31703, 2.56562, 2.89706");
-            values ( \
-              "1e-22, 1.21054, 1.07586, 1.0109, 0.957663, 0.904313, 0.861587, 0.786948, 0.56212, 0.474806, 0.363368, 0.3127, 0.255582, 0.218508, 0.178402, 0.127203, 0.0893614, 0.0583713, 0.0396358, 0.0251275, 0.0126469, 0.00633645, 0.00251788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.112248, 0.122942, 0.129323, 0.144379, 0.15608, 0.165905, 0.170661, 0.175412, 0.179564, 0.183204, 0.189953, 0.198929, 0.210337, 0.212214, 0.216769, 0.234863, 0.245621, 0.248555");
-            values ( \
-              "0.0065239, 0.0116248, 0.0199186, 0.0575222, 0.0751464, 0.0828966, 0.0808092, 0.0638338, 0.0401456, 0.0280774, 0.0163593, 0.00831359, 0.0039118, 0.00557207, 0.0063277, 0.00272639, 0.00136018, 0.00124695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00645473");
-            index_3 ("0.114047, 0.123718, 0.128875, 0.149919, 0.164214, 0.170269, 0.175987, 0.181711, 0.190019, 0.195288, 0.202046, 0.210355, 0.212887, 0.215319, 0.229563, 0.242303, 0.252414, 0.26362");
-            values ( \
-              "0.0184655, 0.029621, 0.0423645, 0.13948, 0.185439, 0.19842, 0.207888, 0.198616, 0.121078, 0.0833995, 0.053396, 0.0313215, 0.032145, 0.0312229, 0.0171891, 0.00846257, 0.00468716, 0.0026802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192884");
-            index_3 ("0.116176, 0.129013, 0.135894, 0.14906, 0.162712, 0.182315, 0.190499, 0.198303, 0.206096, 0.210317, 0.228435, 0.241393, 0.254772, 0.269263, 0.28446, 0.301828, 0.302178");
-            values ( \
-              "0.0313156, 0.0769201, 0.113288, 0.210636, 0.298683, 0.408362, 0.440161, 0.450685, 0.390545, 0.336292, 0.183443, 0.10821, 0.061134, 0.0325785, 0.0168777, 0.00766089, 0.00758603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0576385");
-            index_3 ("0.12107, 0.129658, 0.137697, 0.143481, 0.149684, 0.181184, 0.188096, 0.201919, 0.207597, 0.210411, 0.21288, 0.215112, 0.217275, 0.2216, 0.22788, 0.230086, 0.234498, 0.243901, 0.258, 0.265153, 0.27239, 0.281055, 0.284957, 0.29016, 0.300566, 0.314129, 0.321402, 0.326004, 0.335208, 0.348508, 0.352323, 0.359953, 0.37206, 0.389379, 0.396992, 0.407224, 0.420867, 0.448153, 0.487908");
-            values ( \
-              "0.0692077, 0.108748, 0.161478, 0.206631, 0.259425, 0.538241, 0.597114, 0.708385, 0.749025, 0.767609, 0.787907, 0.801921, 0.810047, 0.815248, 0.80277, 0.793966, 0.770781, 0.703221, 0.579352, 0.51958, 0.462638, 0.400531, 0.374898, 0.342608, 0.284595, 0.221491, 0.193113, 0.17685, 0.147918, 0.113662, 0.105346, 0.0903879, 0.0706721, 0.0494284, 0.0422589, 0.0342022, 0.0257239, 0.0143418, 0.00654199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.172238");
-            index_3 ("0.131989, 0.160431, 0.213653, 0.218952, 0.224045, 0.232799, 0.2414, 0.252444, 0.285519, 0.312681, 0.330596, 0.365614, 0.419297, 0.448772, 0.477464, 0.507981, 0.548669, 0.573351, 0.612705, 0.647493, 0.678347, 0.710181, 0.755814, 0.802513, 0.838168, 0.909479, 0.99234, 1.0752, 1.15806");
-            values ( \
-              "0.155176, 0.386267, 0.943003, 0.984758, 1.00348, 1.0129, 1.00852, 0.996574, 0.949989, 0.904522, 0.867441, 0.773021, 0.598256, 0.506982, 0.426056, 0.350023, 0.265031, 0.222561, 0.167077, 0.128809, 0.101958, 0.0797699, 0.0558812, 0.0388004, 0.0292376, 0.0164383, 0.00828485, 0.00421398, 0.00209406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.514692");
-            index_3 ("0.141348, 0.181143, 0.217773, 0.223535, 0.230443, 0.239831, 0.248821, 0.275663, 0.456951, 0.528777, 0.602356, 0.628927, 0.680373, 0.739057, 0.938437, 1.0213, 1.07289, 1.14167, 1.20567, 1.30953, 1.35154, 1.43107, 1.51393, 1.56961, 1.62268, 1.69345, 1.76818, 1.85104, 1.91009, 1.99295, 2.07581, 2.24153, 2.40726, 2.65584, 2.98729");
-            values ( \
-              "0.301842, 0.629851, 1.0424, 1.07149, 1.08695, 1.09303, 1.09212, 1.08168, 0.996083, 0.957671, 0.911026, 0.890886, 0.846175, 0.786717, 0.562123, 0.474788, 0.424634, 0.363416, 0.312734, 0.242699, 0.218459, 0.178392, 0.14381, 0.12426, 0.10799, 0.0894071, 0.0730962, 0.0583996, 0.0497205, 0.0396505, 0.0315677, 0.0199881, 0.012634, 0.00635, 0.00253124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.228779, 0.257385, 0.266187, 0.276291, 0.281322, 0.28803, 0.293723, 0.305107, 0.314873, 0.32793, 0.335989, 0.343625, 0.351116, 0.358601, 0.368627, 0.374486, 0.383966, 0.393133, 0.399385, 0.409647, 0.418543, 0.438178, 0.505721, 0.511777, 0.520881, 0.526729");
-            values ( \
-              "0.00161325, 0.00382644, 0.00509367, 0.00761657, 0.00952587, 0.0131327, 0.0180067, 0.0301608, 0.0385779, 0.0467231, 0.0498367, 0.0519666, 0.0519765, 0.0476141, 0.0231924, 0.0157966, 0.00879914, 0.00520988, 0.00367049, 0.00206639, 0.00131832, 0.000514951, 8.01668e-05, 0.00124443, 0.00150259, 0.00120322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00645473");
-            index_3 ("0.227919, 0.260079, 0.269922, 0.281578, 0.295744, 0.31598, 0.328371, 0.338984, 0.348672, 0.357841, 0.366818, 0.375784, 0.38367, 0.393287, 0.401991, 0.416239, 0.427355, 0.439498, 0.451306, 0.505824, 0.514372, 0.523383");
-            values ( \
-              "0.00363152, 0.0108274, 0.0152289, 0.0233393, 0.0424414, 0.0845347, 0.10319, 0.116008, 0.123899, 0.12943, 0.12982, 0.115621, 0.0766578, 0.0457036, 0.0291401, 0.0142145, 0.00799687, 0.00442907, 0.00255844, 0.000302389, 0.00321201, 0.00370611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192884");
-            index_3 ("0.227739, 0.266508, 0.272986, 0.285483, 0.29938, 0.326053, 0.346632, 0.364883, 0.378363, 0.390985, 0.403372, 0.415728, 0.42614, 0.44024, 0.447585, 0.457378, 0.466759, 0.473816, 0.487929, 0.505612, 0.511407, 0.517636, 0.551407, 0.571088, 0.597664");
-            values ( \
-              "0.00609579, 0.0297497, 0.0364695, 0.0532871, 0.0836023, 0.165581, 0.213869, 0.247719, 0.268168, 0.281555, 0.280332, 0.227798, 0.165983, 0.105787, 0.0826713, 0.058558, 0.0417736, 0.0320961, 0.018594, 0.00940011, 0.0129051, 0.0144332, 0.00518177, 0.00216984, 0.0005806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0576385");
-            index_3 ("0.25289, 0.281166, 0.298319, 0.309428, 0.335754, 0.34926, 0.396755, 0.419267, 0.439475, 0.458575, 0.477656, 0.505626, 0.544315, 0.553156, 0.569108, 0.58784, 0.603377, 0.614759, 0.625895, 0.640742, 0.670437, 0.720385, 0.779947");
-            values ( \
-              "0.0712774, 0.075537, 0.115603, 0.149658, 0.244575, 0.288954, 0.431356, 0.491616, 0.535189, 0.552204, 0.495012, 0.344467, 0.188847, 0.159676, 0.116676, 0.0801865, 0.0583057, 0.0461306, 0.0366563, 0.0268776, 0.01419, 0.0044379, 0.00103144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.172238");
-            index_3 ("0.252925, 0.307904, 0.322299, 0.34663, 0.440926, 0.482288, 0.505662, 0.511871, 0.517655, 0.52567, 0.538606, 0.552185, 0.569789, 0.590092, 0.629217, 0.666933, 0.700237, 0.713027, 0.738609, 0.777491, 0.799112, 0.813271, 0.838175, 0.870554, 0.897058, 0.929906, 0.976313, 0.997281, 1.02597, 1.06422, 1.14072, 1.22358, 1.30644, 1.3893");
-            values ( \
-              "0.0540671, 0.171614, 0.220609, 0.311698, 0.675134, 0.82465, 0.895691, 0.909471, 0.916279, 0.913315, 0.895038, 0.867277, 0.823222, 0.763348, 0.635516, 0.517069, 0.422866, 0.389828, 0.329495, 0.251809, 0.215943, 0.194979, 0.162322, 0.127395, 0.10423, 0.0809259, 0.0563482, 0.0478524, 0.0382288, 0.0282096, 0.0151644, 0.00763734, 0.00388712, 0.00192834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.514692");
-            index_3 ("0.301766, 0.354305, 0.506201, 0.514897, 0.528917, 0.542629, 0.566168, 0.6875, 0.750667, 0.833528, 0.88557, 0.960862, 1.1604, 1.24326, 1.3637, 1.42767, 1.5315, 1.65299, 1.73585, 1.8447, 1.91553, 1.99023, 2.13205, 2.29778, 2.4635, 2.62922, 2.78604");
-            values ( \
-              "0.351742, 0.353994, 1.0159, 1.04265, 1.06361, 1.0607, 1.05051, 0.991659, 0.957682, 0.904314, 0.86156, 0.786951, 0.562117, 0.474813, 0.363368, 0.312709, 0.242697, 0.178409, 0.143832, 0.107984, 0.089371, 0.0730894, 0.0497299, 0.0315768, 0.019997, 0.0126427, 0.00866756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.485622, 0.58204, 0.608302, 0.629589, 0.641435, 0.657229, 0.668133, 0.675732, 0.698503, 0.717014, 0.732345, 0.746092, 0.758971, 0.77148, 0.783974, 0.789469, 0.79933, 0.810197, 0.817829, 0.828631, 0.835865, 0.846642, 0.855491, 0.861589, 0.873787, 0.898182, 0.933111, 1.037, 1.21597, 1.22885, 1.23438");
-            values ( \
-              "0.000151002, 0.00146251, 0.00212349, 0.0031908, 0.00409021, 0.00589638, 0.00819961, 0.0104197, 0.0183469, 0.023679, 0.0271355, 0.0294227, 0.0309033, 0.0313676, 0.0300327, 0.0264197, 0.0163792, 0.00971576, 0.00704457, 0.00466342, 0.00353481, 0.00233541, 0.00167577, 0.00133158, 0.000831466, 0.000319735, 9.45349e-05, 2.53788e-05, 1.1716e-05, 0.000475904, 0.000438858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00645473");
-            index_3 ("0.485386, 0.584797, 0.597635, 0.608236, 0.628757, 0.63751, 0.655015, 0.671753, 0.681554, 0.707931, 0.729263, 0.74696, 0.762825, 0.777677, 0.792089, 0.806483, 0.813424, 0.828467, 0.842705, 0.857706, 0.870065, 0.88134, 0.889761, 0.906603, 0.93768, 0.974856, 1.21591, 1.23373");
-            values ( \
-              "0.00048131, 0.00419564, 0.00511182, 0.00607424, 0.00844376, 0.0100135, 0.0141507, 0.0212935, 0.0276638, 0.0475664, 0.0613997, 0.0701226, 0.0763303, 0.0799803, 0.0815332, 0.0775087, 0.0667779, 0.0374612, 0.0215055, 0.0124258, 0.00772103, 0.00505122, 0.00364338, 0.0018649, 0.000511879, 0.000153783, 2.1407e-05, 0.000857393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192884");
-            index_3 ("0.485054, 0.592781, 0.608391, 0.624002, 0.642138, 0.648401, 0.660928, 0.682651, 0.731086, 0.759055, 0.782609, 0.803884, 0.823881, 0.843316, 0.862728, 0.890686, 0.90124, 0.912888, 0.92705, 0.938802, 0.948697, 0.960225, 0.975596, 1.00634, 1.04591, 1.21606, 1.23475");
-            values ( \
-              "0.000852285, 0.0120463, 0.0151043, 0.0189947, 0.0250165, 0.0277716, 0.034285, 0.0512647, 0.110208, 0.138174, 0.157057, 0.169331, 0.177665, 0.180217, 0.166652, 0.0911838, 0.0696278, 0.0509122, 0.0343183, 0.0242233, 0.0179435, 0.0125526, 0.00764276, 0.00260877, 0.000637048, 0.000117306, 0.00200629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0576385");
-            index_3 ("0.465368, 0.48422, 0.567081, 0.608357, 0.619716, 0.634861, 0.662945, 0.696777, 0.766478, 0.806552, 0.824279, 0.860697, 0.893557, 0.924281, 0.954282, 0.984198, 1.02631, 1.05342, 1.07784, 1.08907, 1.11151, 1.12817, 1.15459, 1.18983, 1.21603, 1.23414, 1.25589, 1.27051");
-            values ( \
-              "0.0031617, 0.00403013, 0.0177362, 0.0312897, 0.0361961, 0.0438281, 0.0623028, 0.0970218, 0.201049, 0.249725, 0.26831, 0.302555, 0.32838, 0.3463, 0.347147, 0.2915, 0.178694, 0.122129, 0.0831559, 0.0690743, 0.0466992, 0.034655, 0.0212737, 0.0106236, 0.00638055, 0.00920309, 0.00895593, 0.00676528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.172238");
-            index_3 ("0.546041, 0.654297, 0.674208, 0.713913, 0.797478, 0.859551, 0.943653, 0.980533, 1.01385, 1.066, 1.11531, 1.16453, 1.21598, 1.22869, 1.28488, 1.32331, 1.36535, 1.40223, 1.43758, 1.48471, 1.51743, 1.55432, 1.6035, 1.68636, 1.76922, 1.93494");
-            values ( \
-              "0.0302329, 0.0843943, 0.102974, 0.150097, 0.280228, 0.368846, 0.482986, 0.530195, 0.569981, 0.621522, 0.637686, 0.572521, 0.457781, 0.434624, 0.304584, 0.232765, 0.171503, 0.130446, 0.099815, 0.0689417, 0.0537219, 0.0402925, 0.0269729, 0.0139323, 0.00679176, 0.00160488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.514692");
-            index_3 ("0.608515, 0.728913, 0.859768, 1.08077, 1.14482, 1.21619, 1.23451, 1.2573, 1.32866, 1.38545, 1.46831, 1.69326, 1.77612, 1.833, 1.90884, 1.9917, 2.08863, 2.13587, 2.20702, 2.28988, 2.32669, 2.40033, 2.48319, 2.54789, 2.66174, 2.72372, 2.80659, 2.97231, 3.05517, 3.22089, 3.46948, 3.80092");
-            values ( \
-              "0.114892, 0.193046, 0.397747, 0.766515, 0.868429, 0.970145, 0.977258, 0.974025, 0.931511, 0.89096, 0.815497, 0.56422, 0.47644, 0.421263, 0.354679, 0.291227, 0.229463, 0.203653, 0.169749, 0.136715, 0.12415, 0.102068, 0.0817651, 0.068637, 0.0503735, 0.0425664, 0.0338836, 0.0214595, 0.0170947, 0.0108077, 0.00540009, 0.00214148" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.00503082, 0.00510869, 0.00519943, 0.00525785, 0.00528466, 0.00529471", \
-            "0.00860503, 0.00872343, 0.0089164, 0.00908809, 0.00917911, 0.0092156", \
-            "0.0127985, 0.0128544, 0.0130086, 0.0132492, 0.0134293, 0.0135126", \
-            "0.016156, 0.0161047, 0.0160418, 0.0160852, 0.0161748, 0.0162489", \
-            "0.0184235, 0.0182787, 0.0180299, 0.0177258, 0.01755, 0.0174794", \
-            "0.0201638, 0.0197853, 0.0192873, 0.0188506, 0.0184338, 0.0181219" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.00989951, 0.0100489, 0.0102839, 0.0104797, 0.0105761, 0.0106134", \
-            "0.015201, 0.0151724, 0.0152941, 0.0155625, 0.0157471, 0.0158253", \
-            "0.0201579, 0.0195755, 0.018811, 0.0181383, 0.017803, 0.0176705", \
-            "0.0235815, 0.0228897, 0.0203666, 0.0182341, 0.017107, 0.0166046", \
-            "0.0214505, 0.0216882, 0.0220669, 0.0198961, 0.0171159, 0.0159647", \
-            "0.0190066, 0.0196371, 0.0204651, 0.0211871, 0.0190519, 0.0164112" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0201959, 0.022577, 0.0244192, 0.027428, 0.0287979, 0.0304573, 0.0312069, 0.0324923, 0.0376633, 0.0390997, 0.0411022, 0.0442123, 0.0474662, 0.0511665, 0.0528008");
-            values ( \
-              "-0.00955933, -0.154033, -0.220827, -0.267152, -0.278665, -0.282851, -0.278587, -0.255936, -0.0875286, -0.0587265, -0.0332132, -0.0140428, -0.00616633, -0.0028072, -0.0024835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00645473");
-            index_3 ("0.021958, 0.0229899, 0.0252516, 0.026581, 0.0290992, 0.0314189, 0.0330259, 0.03382, 0.0348787, 0.0371663, 0.0438404, 0.0463299, 0.0491382, 0.0519364, 0.0561032, 0.0584262");
-            values ( \
-              "-0.0972165, -0.355499, -0.479807, -0.531583, -0.587803, -0.617169, -0.625039, -0.623221, -0.613409, -0.550821, -0.20095, -0.12099, -0.0667417, -0.0368775, -0.015578, -0.0107111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0192884");
-            index_3 ("0.0218871, 0.0261544, 0.0280643, 0.0318098, 0.0351991, 0.0384985, 0.0417976, 0.0450825, 0.0474409, 0.0514171, 0.060509, 0.0654442, 0.0702616, 0.0778127, 0.0826548");
-            values ( \
-              "-0.0123511, -0.802559, -0.902843, -1.00041, -1.04531, -1.0605, -1.05137, -1.00973, -0.952457, -0.796047, -0.349224, -0.202224, -0.114996, -0.0464685, -0.0268894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0576385");
-            index_3 ("0.0222555, 0.0296949, 0.0338319, 0.0375424, 0.0414892, 0.0452646, 0.0489423, 0.055596, 0.0610066, 0.0701617, 0.0757138, 0.0805671, 0.0893251, 0.10159, 0.105979, 0.116572, 0.124616, 0.129375, 0.138695, 0.144024, 0.154683, 0.173092");
-            values ( \
-              "-0.190815, -1.2001, -1.30857, -1.36132, -1.38837, -1.39735, -1.39631, -1.37982, -1.35512, -1.28874, -1.22476, -1.14685, -0.929358, -0.591282, -0.49177, -0.305054, -0.208037, -0.164824, -0.10341, -0.0789097, -0.0452688, -0.0168136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.172238");
-            index_3 ("0.0248752, 0.030427, 0.0339375, 0.0408485, 0.0469952, 0.0569594, 0.0667131, 0.0866827, 0.117416, 0.128078, 0.149236, 0.159866, 0.171204, 0.177666, 0.186282, 0.221604, 0.247378, 0.263923, 0.278609, 0.290807, 0.304757, 0.324511, 0.344928, 0.360546, 0.391784, 0.450349, 0.509575");
-            values ( \
-              "-0.891568, -1.3435, -1.4456, -1.53982, -1.56852, -1.57599, -1.56774, -1.53828, -1.47918, -1.45301, -1.38424, -1.33567, -1.26743, -1.21687, -1.13422, -0.736346, -0.498377, -0.3806, -0.296677, -0.240186, -0.187648, -0.131231, -0.0904847, -0.0677931, -0.0374066, -0.0109722, -0.00314912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.514692");
-            index_3 ("0.0254119, 0.0295522, 0.0355537, 0.0429331, 0.0479365, 0.0540641, 0.0590708, 0.0780219, 0.140574, 0.198458, 0.257666, 0.316892, 0.342482, 0.382049, 0.406588, 0.450034, 0.509259, 0.600555, 0.643016, 0.673404, 0.708039, 0.753849, 0.795549, 0.851149, 0.895393, 0.944196, 1.00342, 1.06265, 1.1811, 1.29955, 1.418");
-            values ( \
-              "-1.08559, -1.35289, -1.53066, -1.61604, -1.6387, -1.65055, -1.65332, -1.64941, -1.61776, -1.58466, -1.54547, -1.49717, -1.47155, -1.42306, -1.38493, -1.29089, -1.0838, -0.719399, -0.576893, -0.489121, -0.402755, -0.308227, -0.240391, -0.171105, -0.129767, -0.0955697, -0.0656788, -0.0447235, -0.0207385, -0.00955461, -0.00436772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0329575, 0.034036, 0.0351551, 0.0374316, 0.0401236, 0.0430557, 0.0444669, 0.0458771, 0.0506925, 0.0527729, 0.0546464, 0.0561392, 0.0582843, 0.0613984");
-            values ( \
-              "-0.0470184, -0.0975762, -0.110966, -0.20448, -0.253463, -0.27978, -0.271884, -0.253206, -0.0939614, -0.0528801, -0.0311896, -0.0204693, -0.0116267, -0.00672055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00645473");
-            index_3 ("0.0333382, 0.0375406, 0.039024, 0.0426007, 0.046042, 0.0464718, 0.0473314, 0.0502803, 0.0566819, 0.0593773, 0.0617086, 0.0643972, 0.067424, 0.0708833, 0.0737547");
-            values ( \
-              "-0.041013, -0.403571, -0.481957, -0.579977, -0.618898, -0.611903, -0.617766, -0.554808, -0.216986, -0.125887, -0.0770591, -0.0434141, -0.0231548, -0.0113326, -0.00893623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0192884");
-            index_3 ("0.0327242, 0.0430105, 0.0450312, 0.0479467, 0.051808, 0.0545272, 0.0562788, 0.0584914, 0.0614036, 0.0635325, 0.073857, 0.0780694, 0.0831989, 0.0881692, 0.0952419");
-            values ( \
-              "-0.0514884, -0.92503, -0.978322, -1.02768, -1.05308, -1.05005, -1.03689, -1.00472, -0.932171, -0.853863, -0.349392, -0.219766, -0.120899, -0.0669593, -0.0304994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0576385");
-            index_3 ("0.0374097, 0.040116, 0.0429869, 0.0472033, 0.050603, 0.0541398, 0.0594498, 0.0682634, 0.0775182, 0.085211, 0.0891469, 0.0940154, 0.0980372, 0.114837, 0.121024, 0.130931, 0.141247, 0.148821, 0.156567, 0.174669, 0.187048");
-            values ( \
-              "-0.540988, -0.994928, -1.16064, -1.2907, -1.34677, -1.37851, -1.39439, -1.38144, -1.33698, -1.27289, -1.22497, -1.14763, -1.05883, -0.595048, -0.458049, -0.291613, -0.177651, -0.121682, -0.0824258, -0.0319977, -0.0188142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.172238");
-            index_3 ("0.0404159, 0.054401, 0.0606422, 0.0645548, 0.0710464, 0.0803708, 0.100341, 0.131066, 0.141736, 0.162894, 0.173526, 0.184866, 0.191317, 0.199918, 0.235292, 0.261025, 0.277525, 0.292253, 0.304492, 0.318433, 0.338179, 0.358567, 0.374161, 0.405349, 0.463447, 0.522673");
-            values ( \
-              "-1.51795, -1.53336, -1.56604, -1.57358, -1.57519, -1.56762, -1.53833, -1.47919, -1.45302, -1.38423, -1.33566, -1.2674, -1.21693, -1.13444, -0.736038, -0.498467, -0.380956, -0.29675, -0.240072, -0.18759, -0.131208, -0.0905159, -0.0678487, -0.0374749, -0.0111301, -0.00319412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.514692");
-            index_3 ("0.0386859, 0.0422034, 0.0486033, 0.0562122, 0.0608389, 0.066609, 0.0745813, 0.0840164, 0.115859, 0.211984, 0.304728, 0.332134, 0.386946, 0.412937, 0.447591, 0.463603, 0.495628, 0.578931, 0.62527, 0.658184, 0.715876, 0.765409, 0.811995, 0.87122, 0.910925, 0.954961, 1.01368, 1.0729, 1.13213, 1.19135, 1.3098, 1.42826");
-            values ( \
-              "-1.01831, -1.28098, -1.51031, -1.61043, -1.6349, -1.64826, -1.65319, -1.6523, -1.63769, -1.58473, -1.51955, -1.49551, -1.43501, -1.39703, -1.33051, -1.29082, -1.18854, -0.851647, -0.678652, -0.571145, -0.415337, -0.311338, -0.235811, -0.163847, -0.127938, -0.0971057, -0.0668504, -0.0456418, -0.0312003, -0.0212026, -0.00980398, -0.00451635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0534173, 0.057166, 0.0597013, 0.0644569, 0.0711423, 0.073345, 0.0754196, 0.0774609, 0.0797308, 0.0835661, 0.0853619, 0.0874697, 0.0925872, 0.0972543, 0.0993004");
-            values ( \
-              "-0.000630578, -0.0409455, -0.0533751, -0.11597, -0.169239, -0.183427, -0.192221, -0.189483, -0.155233, -0.0726557, -0.047016, -0.0276302, -0.011194, -0.00465085, -0.00358076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00645473");
-            index_3 ("0.0565723, 0.0590244, 0.0616709, 0.0647379, 0.0694719, 0.0752845, 0.0805263, 0.0830564, 0.0858807, 0.0911955, 0.0939491, 0.0977163, 0.10228, 0.106364, 0.106817");
-            values ( \
-              "-0.0727862, -0.117091, -0.146086, -0.232665, -0.324737, -0.414012, -0.466993, -0.452698, -0.37275, -0.171166, -0.102432, -0.0487636, -0.0202373, -0.00933384, -0.00905017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0192884");
-            index_3 ("0.0596005, 0.0635345, 0.0649641, 0.069894, 0.0757548, 0.0805361, 0.0885718, 0.0904445, 0.0922346, 0.0940576, 0.0960067, 0.100391, 0.103525, 0.107523, 0.110077, 0.112926, 0.116318, 0.120438, 0.125131, 0.130136, 0.132648");
-            values ( \
-              "-0.227554, -0.294524, -0.335373, -0.512107, -0.670277, -0.783545, -0.941741, -0.954162, -0.95161, -0.924546, -0.88367, -0.700537, -0.538592, -0.36103, -0.274724, -0.199567, -0.13448, -0.0819226, -0.0461101, -0.0272945, -0.0212682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0576385");
-            index_3 ("0.0558127, 0.0838013, 0.0883747, 0.0920066, 0.096323, 0.10398, 0.111654, 0.118768, 0.128196, 0.13538, 0.147122, 0.15365, 0.160477, 0.167936, 0.178347, 0.185845, 0.197936, 0.21374, 0.234566, 0.261073");
-            values ( \
-              "-0.00674646, -1.09704, -1.23625, -1.31107, -1.3512, -1.36256, -1.33397, -1.27861, -1.14857, -0.97168, -0.641108, -0.488442, -0.360265, -0.253682, -0.151913, -0.103884, -0.0551793, -0.0259162, -0.00544956, -0.00314609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.172238");
-            index_3 ("0.0559765, 0.0899416, 0.0938554, 0.0991573, 0.10474, 0.10973, 0.123354, 0.134618, 0.162423, 0.176012, 0.197123, 0.208452, 0.221345, 0.245371, 0.27938, 0.291182, 0.303418, 0.319732, 0.343288, 0.356186, 0.381439, 0.395674, 0.424143, 0.477868, 0.537093");
-            values ( \
-              "-0.00833822, -1.41611, -1.49013, -1.53868, -1.55954, -1.56445, -1.55468, -1.53808, -1.48574, -1.45304, -1.38441, -1.3323, -1.25131, -1.01109, -0.639164, -0.533462, -0.438726, -0.334167, -0.222445, -0.176911, -0.111932, -0.0861854, -0.050404, -0.0168003, -0.00483313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.514692");
-            index_3 ("0.0698216, 0.0854349, 0.0946353, 0.103555, 0.109381, 0.116556, 0.131701, 0.188491, 0.246373, 0.305582, 0.364808, 0.390386, 0.429964, 0.454554, 0.497949, 0.557174, 0.648469, 0.690931, 0.721319, 0.755954, 0.801764, 0.843463, 0.899062, 0.943306, 0.992108, 1.05133, 1.11056, 1.22901, 1.34746, 1.46591");
-            values ( \
-              "-1.05529, -1.32025, -1.56591, -1.63178, -1.64496, -1.64965, -1.64627, -1.6177, -1.5846, -1.5454, -1.49724, -1.47156, -1.42306, -1.38485, -1.29088, -1.0838, -0.719403, -0.576894, -0.489121, -0.402757, -0.308228, -0.240392, -0.171108, -0.129768, -0.0955705, -0.0656809, -0.0447234, -0.020738, -0.00955388, -0.00436691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.107343, 0.116576, 0.118563, 0.120549, 0.121486, 0.122423, 0.12336, 0.124296, 0.125483, 0.12667, 0.127856, 0.129043, 0.130064, 0.131085, 0.132105, 0.133126, 0.13405, 0.13682, 0.140264, 0.141096, 0.141927, 0.142759, 0.143591, 0.144421, 0.145251, 0.146082, 0.146912, 0.147017, 0.147541, 0.148484, 0.152017, 0.152483, 0.152948, 0.153414, 0.153879, 0.154345, 0.15481, 0.15601, 0.15637, 0.15685, 0.15733, 0.157731, 0.158535, 0.158936, 0.159558, 0.160179, 0.1608, 0.161422, 0.1623, 0.162999");
-            values ( \
-              "-0.0331702, -0.0392098, -0.0498223, -0.0600665, -0.0639884, -0.0677576, -0.0713743, -0.0748384, -0.0788408, -0.0827092, -0.0864438, -0.0900444, -0.0930125, -0.0958964, -0.0986959, -0.101411, -0.103704, -0.110532, -0.118917, -0.11843, -0.117669, -0.116635, -0.115326, -0.113746, -0.111893, -0.109768, -0.107369, -0.10678, -0.10241, -0.0937203, -0.0582301, -0.053967, -0.0499433, -0.0462249, -0.0427022, -0.0393752, -0.0362439, -0.0289336, -0.0268786, -0.0243315, -0.0219992, -0.0203927, -0.0174609, -0.0161356, -0.0143592, -0.0127477, -0.0113012, -0.0100197, -0.00852532, -0.00741607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00645473");
-            index_3 ("0.107853, 0.117087, 0.117859, 0.120262, 0.122322, 0.124839, 0.126097, 0.129884, 0.133671, 0.135828, 0.139376, 0.14072, 0.142737, 0.143898, 0.145292, 0.146544, 0.147331, 0.148119, 0.148906, 0.149694, 0.150481, 0.151269, 0.151731, 0.152194, 0.152657, 0.15312, 0.153582, 0.154045, 0.154633, 0.155221, 0.155809, 0.156396, 0.157207, 0.158017, 0.159638, 0.16102, 0.16253, 0.163579, 0.164104, 0.165154, 0.167066, 0.16792, 0.168774, 0.169628, 0.170404, 0.17118, 0.171956, 0.172732, 0.173744, 0.174711");
-            values ( \
-              "-0.0789002, -0.0857775, -0.0918342, -0.115165, -0.134144, -0.156065, -0.1665, -0.191296, -0.215567, -0.227702, -0.246497, -0.25302, -0.262322, -0.267491, -0.273351, -0.278296, -0.281043, -0.283537, -0.285202, -0.286326, -0.286907, -0.286947, -0.286718, -0.286302, -0.285005, -0.283102, -0.280592, -0.277477, -0.272198, -0.26624, -0.259604, -0.25229, -0.240165, -0.227368, -0.199762, -0.174118, -0.144603, -0.126443, -0.118073, -0.102458, -0.0774329, -0.0670875, -0.0589395, -0.0515977, -0.0458649, -0.0406384, -0.0359181, -0.031704, -0.0270617, -0.0229136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0192884");
-            index_3 ("0.105206, 0.11411, 0.117213, 0.121017, 0.128572, 0.136121, 0.146933, 0.153989, 0.160371, 0.166326, 0.172121, 0.178236, 0.190113, 0.193925, 0.199248, 0.204191, 0.210322, 0.212747, 0.22382, 0.224052");
-            values ( \
-              "-0.0304316, -0.125754, -0.1462, -0.179694, -0.280339, -0.366461, -0.464559, -0.52167, -0.566661, -0.598236, -0.602825, -0.529215, -0.231362, -0.162188, -0.0946792, -0.0559357, -0.0285207, -0.0279274, -0.012892, -0.0126802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0576385");
-            index_3 ("0.113048, 0.1248, 0.143305, 0.152594, 0.172268, 0.183412, 0.193515, 0.203011, 0.210329, 0.212376, 0.216469, 0.239403, 0.251088, 0.260329, 0.267542, 0.275134, 0.280964, 0.292623, 0.299291");
-            values ( \
-              "-0.168978, -0.287799, -0.546205, -0.660927, -0.877417, -0.98555, -1.06848, -1.11605, -1.10221, -1.09236, -1.03383, -0.463879, -0.272354, -0.174498, -0.121651, -0.0830807, -0.0617029, -0.0333631, -0.0259151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.172238");
-            index_3 ("0.114388, 0.135509, 0.151188, 0.169728, 0.197174, 0.210256, 0.213657, 0.218036, 0.221039, 0.225043, 0.232291, 0.239541, 0.249863, 0.26057, 0.283852, 0.292343, 0.303663, 0.310224, 0.318971, 0.353826, 0.377143, 0.396808, 0.411207, 0.423088, 0.437112, 0.456921, 0.477545, 0.493345, 0.524946, 0.582672, 0.641897");
-            values ( \
-              "-0.112413, -0.475248, -0.711483, -0.960564, -1.2974, -1.4467, -1.47786, -1.50396, -1.51085, -1.51438, -1.51048, -1.49966, -1.479, -1.45296, -1.37519, -1.33576, -1.26767, -1.21632, -1.13215, -0.73919, -0.520319, -0.378105, -0.296149, -0.241083, -0.188112, -0.131426, -0.090277, -0.0674058, -0.0369184, -0.0110706, -0.00317781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.514692");
-            index_3 ("0.133062, 0.16109, 0.183233, 0.213209, 0.216288, 0.220155, 0.227109, 0.234767, 0.244308, 0.256535, 0.331144, 0.389322, 0.421424, 0.451294, 0.51052, 0.539666, 0.572977, 0.602399, 0.641629, 0.706431, 0.761577, 0.793696, 0.810689, 0.844209, 0.88765, 0.925066, 0.965232, 1.02241, 1.05842, 1.08062, 1.12503, 1.18425, 1.24348, 1.3027, 1.36193, 1.42115, 1.5396");
-            values ( \
-              "-0.793762, -0.879215, -1.18634, -1.57221, -1.59823, -1.61623, -1.6311, -1.63428, -1.63213, -1.62647, -1.58462, -1.5462, -1.52158, -1.49552, -1.42915, -1.38427, -1.31598, -1.2317, -1.08378, -0.818698, -0.620559, -0.522578, -0.475987, -0.393851, -0.305752, -0.244684, -0.191596, -0.134307, -0.10724, -0.0933317, -0.0703846, -0.0481716, -0.0328368, -0.0224175, -0.0152258, -0.0103981, -0.00482059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.204211, 0.218199, 0.224691, 0.231685, 0.241726, 0.252974, 0.263539, 0.271982, 0.278389, 0.284322, 0.290015, 0.295699, 0.297173, 0.307437, 0.31127, 0.313629, 0.317254, 0.320999, 0.323809, 0.329428, 0.339726, 0.352561, 0.394398, 0.493919, 0.505711, 0.513603, 0.526019");
-            values ( \
-              "-0.00433005, -0.00680451, -0.00822906, -0.0111506, -0.0176248, -0.0363722, -0.0499044, -0.0581489, -0.0634914, -0.0673617, -0.0695233, -0.0668191, -0.0631177, -0.0248134, -0.0153434, -0.0112915, -0.00705087, -0.00453301, -0.00332974, -0.00188305, -0.000806906, -0.000365301, -8.50165e-05, -1e-22, -3.26346e-05, -0.000451119, -0.000176054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00645473");
-            index_3 ("0.204856, 0.221091, 0.226823, 0.235225, 0.239477, 0.245793, 0.259553, 0.272771, 0.281275, 0.288897, 0.295961, 0.302729, 0.309487, 0.314585, 0.324008, 0.330193, 0.334939, 0.338451, 0.345475, 0.355311, 0.36738, 0.401149");
-            values ( \
-              "-0.00959443, -0.0190662, -0.0230336, -0.0315003, -0.0373402, -0.0502213, -0.0952305, -0.128066, -0.145669, -0.159019, -0.169327, -0.174783, -0.168087, -0.135402, -0.0624311, -0.0316507, -0.0183919, -0.0123648, -0.00542562, -0.00202651, -0.000860863, -0.000310672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0192884");
-            index_3 ("0.204602, 0.239526, 0.243961, 0.252832, 0.272764, 0.291449, 0.303146, 0.313646, 0.323379, 0.332716, 0.342037, 0.366071, 0.374548, 0.378724, 0.384291, 0.395426, 0.409523, 0.427038, 0.432796");
-            values ( \
-              "-0.00756513, -0.0725796, -0.0837581, -0.113064, -0.210263, -0.278518, -0.31605, -0.344983, -0.367287, -0.378116, -0.357942, -0.105568, -0.0547023, -0.0389345, -0.0243151, -0.00880695, -0.00242193, -0.000821782, -0.000767726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0576385");
-            index_3 ("0.219908, 0.236622, 0.252947, 0.262129, 0.294118, 0.30965, 0.330777, 0.348965, 0.365304, 0.380447, 0.395066, 0.41022, 0.432382, 0.442125, 0.45246, 0.458021, 0.465835, 0.475418, 0.488195, 0.505684, 0.514448, 0.557092");
-            values ( \
-              "-0.0630286, -0.104412, -0.159823, -0.199264, -0.37405, -0.446009, -0.535621, -0.606652, -0.66348, -0.704678, -0.718449, -0.646922, -0.368813, -0.261384, -0.174196, -0.138065, -0.0981697, -0.063714, -0.0345701, -0.0141884, -0.0144157, -0.00217155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.172238");
-            index_3 ("0.261382, 0.308992, 0.324689, 0.33917, 0.35365, 0.369584, 0.385518, 0.407741, 0.423464, 0.434579, 0.47115, 0.475061, 0.478972, 0.482882, 0.486793, 0.491553, 0.496312, 0.501072, 0.505831, 0.508719, 0.511607, 0.514286, 0.516965, 0.519645, 0.522324, 0.52489, 0.530022, 0.532588, 0.542792, 0.549594, 0.557851, 0.567562, 0.572418, 0.584644, 0.591253, 0.596813, 0.602372, 0.605997, 0.613247, 0.620868, 0.624863, 0.628858, 0.632358, 0.639357, 0.642857, 0.647804, 0.652752, 0.657699, 0.662647, 0.670412");
-            values ( \
-              "-0.455762, -0.494751, -0.578091, -0.652647, -0.725267, -0.802934, -0.879159, -0.982914, -1.05312, -1.09902, -1.23594, -1.24003, -1.24341, -1.24608, -1.24805, -1.24949, -1.24989, -1.24925, -1.24756, -1.23877, -1.2277, -1.20987, -1.19033, -1.16909, -1.14614, -1.12246, -1.07061, -1.04242, -0.920659, -0.843601, -0.754737, -0.657013, -0.610859, -0.504034, -0.451417, -0.41343, -0.377775, -0.356363, -0.31535, -0.274849, -0.255283, -0.23705, -0.22349, -0.198172, -0.186414, -0.171079, -0.156771, -0.143489, -0.131234, -0.113964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.514692");
-            index_3 ("0.25259, 0.299526, 0.346464, 0.399626, 0.505326, 0.515762, 0.532094, 0.61127, 0.643008, 0.683158, 0.719818, 0.779044, 0.805906, 0.833619, 0.927488, 0.974307, 0.990528, 1.02473, 1.05536, 1.10395, 1.12159, 1.15686, 1.21609, 1.2519, 1.30452, 1.36375, 1.42297, 1.4822, 1.60065, 1.7191");
-            values ( \
-              "-0.332651, -0.457477, -0.727337, -1.01637, -1.55692, -1.57866, -1.58816, -1.53364, -1.50765, -1.46845, -1.42311, -1.31381, -1.23725, -1.13684, -0.757928, -0.596238, -0.546723, -0.452971, -0.380584, -0.286332, -0.257793, -0.208246, -0.144273, -0.115439, -0.0829111, -0.0567436, -0.0387755, -0.0264212, -0.0122428, -0.0056578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.442045, 0.479458, 0.495441, 0.512373, 0.520955, 0.534937, 0.550987, 0.564733, 0.580379, 0.593459, 0.605184, 0.608325, 0.616113, 0.619125, 0.625148, 0.629293, 0.634819, 0.636902, 0.641069, 0.654777, 0.662297, 0.665845, 0.670576, 0.680037, 0.687311, 0.693569, 0.706085, 0.729318, 0.762389, 0.80947, 0.927921, 1.1056");
-            values ( \
-              "-0.00158426, -0.00257496, -0.00336066, -0.00480132, -0.00584238, -0.00834108, -0.0148696, -0.0221032, -0.0276231, -0.0318877, -0.034459, -0.0353534, -0.0366991, -0.0370956, -0.0376828, -0.0377161, -0.0370292, -0.0362875, -0.0339141, -0.0161161, -0.00892097, -0.00679312, -0.0048239, -0.00257494, -0.0018727, -0.001483, -0.0010471, -0.000634802, -0.000358198, -0.000119101, -1.005e-05, -1.71655e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00645473");
-            index_3 ("0.441718, 0.483224, 0.501595, 0.517023, 0.524814, 0.540109, 0.546342, 0.558809, 0.57385, 0.59396, 0.607171, 0.620681, 0.63318, 0.645073, 0.656947, 0.665834, 0.681409, 0.688954, 0.695358, 0.701387, 0.705837, 0.71298, 0.722503, 0.74155, 0.767956, 0.80506, 0.816315");
-            values ( \
-              "-0.00374565, -0.00745648, -0.0102219, -0.0139174, -0.0163684, -0.0232373, -0.0283821, -0.0405944, -0.0566776, -0.0728645, -0.0819274, -0.0899155, -0.095876, -0.0992918, -0.0968892, -0.0811436, -0.0345669, -0.0205154, -0.0130091, -0.00875311, -0.00673468, -0.00464556, -0.00307339, -0.00172274, -0.00100361, -0.000471659, -0.000404067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0192884");
-            index_3 ("0.440307, 0.492779, 0.503062, 0.52117, 0.529181, 0.545201, 0.557583, 0.587271, 0.60833, 0.619804, 0.639703, 0.657378, 0.673621, 0.689101, 0.704446, 0.715943, 0.73481, 0.7421, 0.746685, 0.753213, 0.756065, 0.76177, 0.773178, 0.792112, 0.81467, 0.841788");
-            values ( \
-              "-0.00610495, -0.020386, -0.0244273, -0.0334616, -0.038386, -0.0510774, -0.0661323, -0.116161, -0.147107, -0.162278, -0.186993, -0.205934, -0.220595, -0.229094, -0.224014, -0.188228, -0.0939313, -0.0655404, -0.0514651, -0.0359463, -0.0306692, -0.0222605, -0.0115386, -0.00398113, -0.00161271, -0.000834955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0576385");
-            index_3 ("0.437671, 0.514457, 0.545461, 0.556359, 0.578155, 0.621884, 0.643413, 0.678325, 0.707881, 0.734237, 0.758538, 0.781782, 0.804982, 0.808647, 0.819995, 0.844196, 0.861001, 0.868657, 0.883995, 0.893089, 0.911278, 0.941011, 0.97565, 1.01861, 1.13532");
-            values ( \
-              "-0.000350314, -0.053722, -0.0843363, -0.0979002, -0.131717, -0.224554, -0.266158, -0.327993, -0.375095, -0.412173, -0.439962, -0.454985, -0.435544, -0.423533, -0.371474, -0.225993, -0.142078, -0.112418, -0.0679734, -0.0496176, -0.0253781, -0.0073282, -0.00168478, -0.00035243, -9.72658e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.172238");
-            index_3 ("0.495752, 0.554307, 0.58729, 0.607993, 0.694952, 0.735715, 0.791902, 0.83986, 0.88279, 0.922481, 0.960734, 1.00031, 1.07139, 1.09681, 1.12958, 1.1456, 1.16305, 1.18632, 1.21582, 1.27848, 1.32171");
-            values ( \
-              "-0.0834506, -0.128405, -0.182607, -0.222571, -0.416674, -0.498612, -0.605006, -0.68878, -0.755609, -0.803996, -0.821385, -0.740399, -0.355575, -0.248118, -0.149136, -0.114721, -0.0856225, -0.0571343, -0.0335249, -0.0127466, -0.00546262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.514692");
-            index_3 ("0.551835, 0.63414, 0.750306, 0.841298, 0.945131, 1.02546, 1.10691, 1.14956, 1.20878, 1.21923, 1.23296, 1.2459, 1.28497, 1.32017, 1.43273, 1.48777, 1.54367, 1.58892, 1.62935, 1.68327, 1.72922, 1.79099, 1.85022, 1.90944, 1.96867, 2.02789, 2.14634, 2.26479");
-            values ( \
-              "-0.268599, -0.30131, -0.564487, -0.760951, -0.977113, -1.13461, -1.27788, -1.34139, -1.4036, -1.40938, -1.3978, -1.37711, -1.29074, -1.177, -0.728185, -0.546537, -0.400358, -0.307584, -0.241729, -0.17371, -0.130553, -0.0885844, -0.0606718, -0.0414607, -0.0282713, -0.0192665, -0.00892425, -0.00412834" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.00499308, 0.00501552, 0.00504905, 0.00507929, 0.00509498, 0.00510122", \
-            "0.0081603, 0.00817654, 0.00821254, 0.00825945, 0.00829172, 0.00830626", \
-            "0.0110915, 0.0110976, 0.0111123, 0.0111446, 0.0111815, 0.0112035", \
-            "0.0129232, 0.0129211, 0.0129183, 0.0129187, 0.0129304, 0.0129415", \
-            "0.0138812, 0.0138735, 0.0138576, 0.0138356, 0.0138165, 0.0138104", \
-            "0.0143466, 0.0143374, 0.0143166, 0.0142794, 0.0142327, 0.0141991" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.00951747, 0.00955078, 0.00962047, 0.00968805, 0.00972809, 0.00974488", \
-            "0.0133669, 0.0133854, 0.013428, 0.0134945, 0.0135482, 0.0135739", \
-            "0.0160766, 0.0159249, 0.0157488, 0.0156163, 0.0155657, 0.0155514", \
-            "0.0191737, 0.0182656, 0.0172262, 0.01651, 0.0161375, 0.0159764", \
-            "0.023972, 0.0228843, 0.019809, 0.017631, 0.0165024, 0.0160273", \
-            "0.0249483, 0.0249591, 0.0247226, 0.0203332, 0.0175596, 0.0163661" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "0.0243034, 0.0248695, 0.0257699, 0.0265514, 0.0269524, 0.0271046", \
-            "0.023965, 0.024331, 0.0251047, 0.0260776, 0.0267363, 0.0270063", \
-            "0.0240561, 0.0241359, 0.024534, 0.0254121, 0.0263304, 0.0268466", \
-            "0.0254096, 0.025254, 0.0250717, 0.0251962, 0.0258452, 0.0265716", \
-            "0.0298217, 0.0292653, 0.0282873, 0.0271958, 0.0264204, 0.0264899", \
-            "0.0406392, 0.0395407, 0.0373257, 0.0341625, 0.0305656, 0.0280952" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00645473, 0.0192884, 0.0576385, 0.172238, 0.514692");
-          values ( \
-            "-0.00886086, -0.00832622, -0.0074884, -0.00681413, -0.00649215, -0.00636633", \
-            "-0.00965433, -0.00915447, -0.00820438, -0.00721091, -0.00665936, -0.00644162", \
-            "-0.0101332, -0.00987078, -0.00904056, -0.0078458, -0.00696382, -0.00655784", \
-            "-0.00985714, -0.0099155, -0.00964117, -0.00856222, -0.00747936, -0.00678277", \
-            "-0.00651536, -0.00711482, -0.00810537, -0.00844939, -0.00771865, -0.00703283", \
-            "0.00341458, 0.00211782, -0.000656534, -0.00410739, -0.00622946, -0.00658925" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0186015;
-      rise_capacitance : 0.0186015;
-      rise_capacitance_range (0.00791672, 0.0186015);
-      fall_capacitance : 0.0184256;
-      fall_capacitance_range (0.00763413, 0.0184256);
-    }
-  }
-  cell (NAND2X1) {
-    area : 6.3492;
-    cell_footprint : "NAND2";
-    cell_leakage_power : 0.0140979;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0524053;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00170734;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00198282;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.000296227;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0140979;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A) + (!B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.078722;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0321168, 0.045757, 0.0731261, 0.128772, 0.242701, 0.476412", \
-            "0.0368292, 0.0505487, 0.078085, 0.133857, 0.247874, 0.48164", \
-            "0.0494465, 0.0629394, 0.0902557, 0.146121, 0.260221, 0.493965", \
-            "0.0744972, 0.0929646, 0.121551, 0.176792, 0.291151, 0.524986", \
-            "0.113688, 0.14275, 0.187474, 0.253053, 0.366503, 0.599946", \
-            "0.179891, 0.222953, 0.292485, 0.397215, 0.548432, 0.784159" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0313716, 0.051062, 0.0914196, 0.174173, 0.344022, 0.692739", \
-            "0.0313739, 0.0510618, 0.0914059, 0.174173, 0.344022, 0.692743", \
-            "0.0340405, 0.051599, 0.0914167, 0.174215, 0.344083, 0.692701", \
-            "0.0513713, 0.0649276, 0.0970049, 0.174345, 0.344079, 0.692743", \
-            "0.0824733, 0.10344, 0.136165, 0.196149, 0.347511, 0.692737", \
-            "0.13426, 0.166886, 0.21778, 0.293153, 0.4149, 0.710442" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0362116, 0.0511717, 0.0813524, 0.142901, 0.268983, 0.52766", \
-            "0.039116, 0.0543312, 0.0847589, 0.146472, 0.272647, 0.531377", \
-            "0.0472796, 0.0624418, 0.0931102, 0.155067, 0.281451, 0.540266", \
-            "0.0610835, 0.0816628, 0.114455, 0.176238, 0.302716, 0.561677", \
-            "0.0722632, 0.102622, 0.153197, 0.228972, 0.35623, 0.615157", \
-            "0.0709414, 0.115862, 0.189421, 0.304558, 0.47859, 0.747392" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0322099, 0.0518847, 0.092362, 0.175535, 0.346224, 0.696191", \
-            "0.0322094, 0.0518983, 0.0923623, 0.175535, 0.346224, 0.69626", \
-            "0.0345119, 0.052415, 0.0923694, 0.175533, 0.346087, 0.696269", \
-            "0.0498935, 0.066159, 0.0988846, 0.175946, 0.346134, 0.69627", \
-            "0.0771899, 0.100884, 0.137661, 0.202427, 0.351736, 0.696182", \
-            "0.123648, 0.156548, 0.210642, 0.295505, 0.430423, 0.722092" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0189381, 0.0203305, 0.0205371, 0.0214321, 0.0232838, 0.0270827, 0.0349018, 0.0396773, 0.0442023, 0.053459, 0.0574976, 0.0604744, 0.0644435, 0.0701311, 0.0725357, 0.0761989, 0.0810831, 0.0864323, 0.0893961, 0.0953237, 0.101804, 0.111713, 0.124925, 0.144414, 0.168075");
-            values ( \
-              "0.00647581, 0.10572, 0.105857, 0.105698, 0.104333, 0.100354, 0.0909216, 0.0840769, 0.0753621, 0.0502812, 0.0411675, 0.035738, 0.0294286, 0.0222191, 0.0197201, 0.0163783, 0.0127193, 0.00961254, 0.00821873, 0.00597021, 0.00420621, 0.00244369, 0.00114888, 0.000346884, 7.55673e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00443392");
-            index_3 ("0.0190335, 0.021294, 0.0231176, 0.045694, 0.0533284, 0.0603205, 0.0789445, 0.0879572, 0.101922, 0.114731, 0.135211, 0.158708, 0.176637, 0.185352");
-            values ( \
-              "0.0101756, 0.131373, 0.131716, 0.112859, 0.104678, 0.0949719, 0.0593481, 0.0457254, 0.0295511, 0.0193573, 0.00950963, 0.00407811, 0.00208221, 0.00164163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00910155");
-            index_3 ("0.0198759, 0.0226357, 0.0259279, 0.0292983, 0.0544755, 0.0674035, 0.0819378, 0.0893366, 0.0952396, 0.107046, 0.121361, 0.132439, 0.146539, 0.162654, 0.17244, 0.177775, 0.187445, 0.200073, 0.210901, 0.222871, 0.239912, 0.247435, 0.26248, 0.292571, 0.339491, 0.395502");
-            values ( \
-              "0.087915, 0.149904, 0.148743, 0.147132, 0.134504, 0.127315, 0.117987, 0.111948, 0.106225, 0.0928259, 0.075719, 0.0636767, 0.0503335, 0.0376547, 0.0313833, 0.0283349, 0.023463, 0.0182265, 0.0146328, 0.0114311, 0.00798677, 0.00682054, 0.00494895, 0.002551, 0.000841959, 0.000211444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0186828");
-            index_3 ("0.0212968, 0.0213168, 0.0526063, 0.0860888, 0.10806, 0.136387, 0.157039, 0.164202, 0.178528, 0.230167, 0.255306, 0.274068, 0.297952, 0.316495, 0.341217, 0.360784, 0.376589, 0.397661, 0.431896, 0.470663, 0.501321, 0.562638, 0.645411, 0.728184");
-            values ( \
-              "1e-22, 0.168097, 0.153026, 0.143679, 0.137044, 0.1273, 0.118012, 0.114098, 0.105582, 0.0724027, 0.0583225, 0.0489924, 0.0387742, 0.0321158, 0.0247596, 0.0200512, 0.0168734, 0.0133592, 0.00905522, 0.00582428, 0.00408721, 0.00194891, 0.000687646, 0.000238217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0383503");
-            index_3 ("0.0241786, 0.0241986, 0.0842151, 0.150679, 0.211177, 0.250414, 0.290894, 0.334164, 0.43557, 0.51977, 0.579496, 0.653654, 0.700188, 0.759479, 0.827498, 0.905266, 0.967204, 1.04998, 1.13275, 1.16515");
-            values ( \
-              "1e-22, 0.185292, 0.15831, 0.148698, 0.139058, 0.131869, 0.122483, 0.109691, 0.0759474, 0.0518637, 0.0384008, 0.0258255, 0.0199566, 0.0142612, 0.00959322, 0.00608751, 0.00420996, 0.00255213, 0.00154407, 0.00138688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.078722");
-            index_3 ("0.0272071, 0.0272271, 0.182506, 0.262411, 0.382101, 0.464874, 0.522818, 0.595051, 0.677824, 0.873461, 0.915037, 1.03721, 1.14462, 1.19389, 1.265, 1.34778, 1.38862, 1.47032, 1.5462, 1.68956, 1.73239, 1.87227, 2.03781, 2.20336, 2.3689, 2.69999");
-            values ( \
-              "1e-22, 0.183552, 0.158416, 0.152708, 0.14334, 0.136177, 0.130092, 0.120639, 0.107896, 0.0751224, 0.0686576, 0.0516214, 0.039365, 0.034708, 0.0285775, 0.022859, 0.0202938, 0.0162054, 0.0129361, 0.00850994, 0.00750223, 0.00494714, 0.0030015, 0.00180772, 0.00107815, 0.000362534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0315968, 0.037042, 0.0385409, 0.0396741, 0.0410649, 0.0484061, 0.056297, 0.0599125, 0.0689179, 0.0764126, 0.0856828, 0.0943797, 0.100361, 0.107678, 0.121533, 0.138084, 0.141338");
-            values ( \
-              "0.00649285, 0.0868709, 0.0980615, 0.100698, 0.10097, 0.0932588, 0.0819051, 0.0743567, 0.0499947, 0.034704, 0.0219921, 0.0141535, 0.010357, 0.0070191, 0.00331236, 0.00131309, 0.00121986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00443392");
-            index_3 ("0.0325841, 0.0374038, 0.0387894, 0.0395829, 0.0415465, 0.0438065, 0.0544291, 0.068701, 0.0754644, 0.0897009, 0.103123, 0.116998, 0.125724, 0.132765, 0.141739, 0.15663, 0.177449, 0.194263, 0.211526");
-            values ( \
-              "0.00361317, 0.113629, 0.124321, 0.126893, 0.128434, 0.127309, 0.118629, 0.10466, 0.0953561, 0.0678606, 0.0460064, 0.0298, 0.022424, 0.0176694, 0.0129767, 0.00765554, 0.00361649, 0.00192633, 0.00113067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00910155");
-            index_3 ("0.0341567, 0.0380614, 0.0390627, 0.0410654, 0.0423326, 0.0470586, 0.0726743, 0.0856315, 0.0962789, 0.107273, 0.110811, 0.117886, 0.145482, 0.159931, 0.169719, 0.185434, 0.191788, 0.201803, 0.215176, 0.227358, 0.243601, 0.261954, 0.271844, 0.291622, 0.331179, 0.35666");
-            values ( \
-              "0.0327637, 0.135121, 0.142483, 0.147756, 0.147834, 0.146021, 0.133015, 0.125749, 0.118865, 0.109798, 0.106197, 0.0984126, 0.0661139, 0.0522467, 0.0440098, 0.0329601, 0.0291983, 0.0240347, 0.0183949, 0.0143656, 0.0102534, 0.00697525, 0.00565319, 0.00369795, 0.00149694, 0.000970797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0186828");
-            index_3 ("0.0278246, 0.0459677, 0.112358, 0.132009, 0.152024, 0.17268, 0.179839, 0.194157, 0.245802, 0.270943, 0.289705, 0.313588, 0.332128, 0.356849, 0.376417, 0.392221, 0.413294, 0.447524, 0.48628, 0.516927, 0.578222, 0.660995, 0.743768");
-            values ( \
-              "0.0118086, 0.159, 0.140499, 0.134395, 0.127301, 0.11801, 0.114098, 0.105588, 0.0724041, 0.0583223, 0.0489932, 0.0387746, 0.0321167, 0.0247617, 0.020052, 0.016874, 0.0133603, 0.00905575, 0.00582523, 0.00408916, 0.00194976, 0.000688542, 0.000237936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0383503");
-            index_3 ("0.0362797, 0.044783, 0.122246, 0.187323, 0.226874, 0.266084, 0.306537, 0.321158, 0.349833, 0.451239, 0.498693, 0.535439, 0.582805, 0.619883, 0.669321, 0.705973, 0.735617, 0.775143, 0.84316, 0.92092, 0.98285, 1.06562, 1.1484, 1.23117, 1.39671");
-            values ( \
-              "0.159184, 0.165773, 0.155012, 0.145402, 0.139053, 0.131867, 0.122492, 0.118422, 0.109693, 0.0759492, 0.0617093, 0.0518656, 0.0409201, 0.0337347, 0.0258272, 0.0210865, 0.0178592, 0.014263, 0.00959248, 0.00608691, 0.00421178, 0.00255129, 0.0015455, 0.000931377, 0.000338306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.078722");
-            index_3 ("0.0400971, 0.0401171, 0.150687, 0.292514, 0.397946, 0.480719, 0.538642, 0.610889, 0.693662, 0.903158, 0.986308, 1.05305, 1.13582, 1.20972, 1.28084, 1.36361, 1.48615, 1.56203, 1.6448, 1.71967, 1.88809, 2.05363, 2.21918, 2.4675, 2.71582");
-            values ( \
-              "1e-22, 0.180327, 0.161862, 0.151598, 0.143397, 0.136118, 0.130035, 0.120697, 0.107837, 0.0729941, 0.0605917, 0.0516821, 0.0419951, 0.0346474, 0.0286386, 0.0227982, 0.0161446, 0.0129975, 0.0102161, 0.00821233, 0.00500915, 0.00306348, 0.0018697, 0.000880694, 0.000424644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0549201, 0.0707751, 0.0779105, 0.0836524, 0.0874537, 0.088634, 0.089524, 0.0913039, 0.0954578, 0.0980631, 0.108207, 0.115271, 0.119073, 0.12543, 0.131551, 0.136932, 0.141653, 0.147947, 0.155133, 0.166786, 0.182324, 0.188501");
-            values ( \
-              "0.00245411, 0.0466563, 0.0622649, 0.0734723, 0.0792737, 0.0847442, 0.086248, 0.0862173, 0.0800316, 0.0743792, 0.047241, 0.0335421, 0.0278731, 0.0203277, 0.0148899, 0.0112529, 0.00878051, 0.00624874, 0.00423677, 0.00222982, 0.000907047, 0.000706479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00443392");
-            index_3 ("0.0661641, 0.0785763, 0.080844, 0.0853793, 0.0864641, 0.0875489, 0.0882481, 0.0889472, 0.0898611, 0.0907749, 0.0924964, 0.0941863, 0.0950313, 0.0958763, 0.0966447, 0.0992939, 0.102161, 0.105831, 0.107705, 0.110102, 0.111562, 0.113187, 0.114813, 0.116438, 0.121314, 0.126779, 0.12863, 0.132331, 0.135101, 0.139294, 0.14233, 0.145248, 0.148166, 0.153781, 0.157147, 0.158642, 0.161631, 0.163624, 0.165617, 0.169603, 0.174095, 0.178035, 0.180477, 0.182105, 0.186989, 0.18974, 0.192491, 0.195242, 0.197993, 0.202768");
-            values ( \
-              "0.0754676, 0.0818629, 0.0882735, 0.10069, 0.106351, 0.112573, 0.113517, 0.114656, 0.116436, 0.118546, 0.117719, 0.116705, 0.116123, 0.115492, 0.114837, 0.112439, 0.109595, 0.105706, 0.103479, 0.100275, 0.0981504, 0.095527, 0.0927828, 0.0898447, 0.0805984, 0.0696255, 0.0660716, 0.0595529, 0.0550497, 0.0485418, 0.0441168, 0.0404639, 0.0370314, 0.0311108, 0.0277654, 0.0263812, 0.0238495, 0.0223778, 0.0209749, 0.0183755, 0.0158078, 0.0136909, 0.0124693, 0.0118046, 0.00997787, 0.00908042, 0.00824883, 0.0074831, 0.00678323, 0.00571137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00910155");
-            index_3 ("0.0618084, 0.0689225, 0.0800044, 0.0874106, 0.0891795, 0.0913417, 0.0933552, 0.0961654, 0.106113, 0.120637, 0.133281, 0.137873, 0.142918, 0.148474, 0.159585, 0.174547, 0.185663, 0.191725, 0.199808, 0.215973, 0.223002, 0.2309, 0.240605, 0.253269, 0.26417, 0.27612, 0.293142, 0.300641, 0.310736, 0.324197, 0.351117, 0.400849, 0.459626");
-            values ( \
-              "0.0318107, 0.0603139, 0.100401, 0.126162, 0.135526, 0.1414, 0.141606, 0.140341, 0.135263, 0.127303, 0.119378, 0.115913, 0.111635, 0.106224, 0.0936585, 0.0757757, 0.0636843, 0.0577126, 0.050302, 0.0375946, 0.0330088, 0.0283939, 0.0234958, 0.0182402, 0.0146219, 0.0114266, 0.00798769, 0.00682381, 0.00551364, 0.00413779, 0.00229213, 0.000698152, 0.000157476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0186828");
-            index_3 ("0.0625236, 0.0742403, 0.0892701, 0.09146, 0.0934753, 0.150613, 0.176372, 0.189913, 0.215844, 0.229378, 0.281199, 0.308756, 0.32756, 0.35149, 0.370114, 0.394944, 0.414387, 0.430096, 0.451042, 0.485388, 0.524337, 0.555173, 0.616846, 0.699619, 0.782392");
-            values ( \
-              "0.0296333, 0.085269, 0.148607, 0.155522, 0.156251, 0.14039, 0.132235, 0.127305, 0.115242, 0.107239, 0.0740173, 0.0583902, 0.0490254, 0.0387906, 0.0321032, 0.0247143, 0.0200477, 0.0168883, 0.0133843, 0.00906618, 0.00582002, 0.00407074, 0.00193604, 0.000679283, 0.000239082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0383503");
-            index_3 ("0.0632528, 0.0904577, 0.0919174, 0.0948367, 0.227061, 0.253442, 0.301742, 0.317663, 0.359104, 0.405594, 0.497682, 0.546279, 0.601818, 0.644195, 0.667195, 0.713196, 0.746199, 0.803001, 0.867918, 0.911777, 0.979289, 1.06206, 1.14483, 1.25055");
-            values ( \
-              "0.0353601, 0.161684, 0.163698, 0.164228, 0.145128, 0.140945, 0.132346, 0.128992, 0.118443, 0.103869, 0.0733424, 0.0590369, 0.0450414, 0.0362544, 0.0321044, 0.0250126, 0.0208323, 0.0151218, 0.0103725, 0.00803473, 0.00540014, 0.00328065, 0.00198512, 0.00117974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.078722");
-            index_3 ("0.080411, 0.080431, 0.21741, 0.402781, 0.501528, 0.610161, 0.725827, 0.968669, 1.09093, 1.2471, 1.40111, 1.52425, 1.59999, 1.74317, 1.92542, 2.25651, 2.3124");
-            values ( \
-              "1e-22, 0.184443, 0.159808, 0.146047, 0.13772, 0.12594, 0.108773, 0.0686808, 0.0516376, 0.0347009, 0.0228339, 0.0161485, 0.0129659, 0.00854788, 0.00499348, 0.00185082, 0.00168804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105144, 0.124213, 0.130236, 0.144608, 0.163053, 0.17175, 0.17961, 0.187052, 0.194494, 0.203826, 0.21026, 0.212919, 0.215727, 0.224648, 0.230417, 0.236639, 0.240946, 0.249268, 0.253935, 0.263269, 0.28119, 0.302047");
-            values ( \
-              "0.000343228, 0.011418, 0.0160393, 0.0283867, 0.0419813, 0.0475328, 0.051417, 0.0531186, 0.0486385, 0.0338701, 0.0260117, 0.0258005, 0.0238717, 0.0153562, 0.0113646, 0.00818995, 0.00650597, 0.00414659, 0.00321291, 0.00191166, 0.000650997, 0.000179446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00443392");
-            index_3 ("0.111929, 0.124565, 0.126669, 0.17786, 0.18859, 0.198297, 0.207723, 0.2105, 0.212954, 0.22951, 0.241066, 0.255279, 0.270721, 0.284787, 0.29282, 0.303714, 0.31824, 0.32988");
-            values ( \
-              "0.00828208, 0.0152458, 0.0170358, 0.0699668, 0.0789375, 0.0850908, 0.0849274, 0.0824191, 0.0818724, 0.0532375, 0.0375764, 0.0238006, 0.0140762, 0.00857245, 0.00643518, 0.00434596, 0.00253561, 0.00181695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00910155");
-            index_3 ("0.112485, 0.142416, 0.183388, 0.199562, 0.210318, 0.211929, 0.213428, 0.215756, 0.220411, 0.226707, 0.235318, 0.241248, 0.253109, 0.267373, 0.278448, 0.292542, 0.30865, 0.318447, 0.323786, 0.333452, 0.346073, 0.356889, 0.368857, 0.385891, 0.393408, 0.403535, 0.417039, 0.444046, 0.493794, 0.552584");
-            values ( \
-              "0.00286819, 0.0384557, 0.0915385, 0.110975, 0.121465, 0.124589, 0.126042, 0.125815, 0.123143, 0.11889, 0.111985, 0.10622, 0.0927719, 0.0757262, 0.0636733, 0.0503483, 0.0376591, 0.0313928, 0.0283294, 0.0234715, 0.018225, 0.0146344, 0.011443, 0.00798664, 0.00681988, 0.00550571, 0.00413794, 0.00227877, 0.000701124, 0.000151131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0186828");
-            index_3 ("0.135938, 0.160014, 0.182201, 0.184706, 0.187212, 0.192223, 0.194529, 0.196835, 0.199141, 0.201447, 0.204675, 0.205751, 0.210177, 0.210671, 0.211659, 0.212799, 0.214052, 0.216556, 0.219815, 0.238585, 0.253718, 0.262913, 0.281191, 0.28458, 0.293619, 0.298138, 0.30714, 0.322141, 0.334145, 0.350726, 0.364377, 0.39165, 0.395382, 0.406572, 0.421492, 0.436413, 0.454928, 0.464979, 0.473909, 0.482841, 0.494503, 0.506167, 0.51278, 0.522703, 0.52601, 0.537121, 0.548231, 0.556129, 0.571922, 0.592202");
-            values ( \
-              "0.0604352, 0.0662951, 0.0996747, 0.104896, 0.11107, 0.126277, 0.126759, 0.127623, 0.128868, 0.130495, 0.133412, 0.134551, 0.140719, 0.143959, 0.14502, 0.147091, 0.148044, 0.147732, 0.147079, 0.141679, 0.137017, 0.133979, 0.127474, 0.126405, 0.122418, 0.120238, 0.115435, 0.106764, 0.0992531, 0.088379, 0.0797299, 0.0631943, 0.0611475, 0.0552889, 0.0481666, 0.0417324, 0.034563, 0.0310202, 0.0283467, 0.0258468, 0.0228999, 0.0201364, 0.0186506, 0.0166158, 0.0159893, 0.0142028, 0.0125796, 0.0115449, 0.00968369, 0.00769399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0383503");
-            index_3 ("0.129296, 0.157591, 0.212289, 0.216414, 0.287946, 0.345899, 0.394167, 0.410169, 0.451541, 0.497709, 0.590114, 0.638729, 0.694289, 0.736616, 0.759589, 0.805534, 0.838608, 0.895533, 0.960591, 1.00422, 1.07138, 1.15415, 1.23692, 1.33416");
-            values ( \
-              "0.0320237, 0.0656103, 0.156951, 0.160074, 0.149889, 0.14094, 0.13235, 0.128977, 0.118443, 0.103977, 0.0733434, 0.0590329, 0.0450336, 0.0362571, 0.0321118, 0.025026, 0.0208355, 0.0151134, 0.0103581, 0.00803449, 0.00541128, 0.00328733, 0.00198932, 0.001247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.078722");
-            index_3 ("0.150649, 0.1997, 0.215509, 0.288384, 0.422474, 0.527903, 0.610675, 0.668598, 0.740845, 0.823618, 1.03311, 1.11626, 1.18301, 1.26578, 1.33968, 1.41079, 1.49356, 1.53441, 1.61609, 1.69198, 1.77475, 1.84961, 1.93524, 2.01802, 2.10079, 2.18356, 2.34911, 2.59743, 2.84574");
-            values ( \
-              "0.0946457, 0.140221, 0.166316, 0.161311, 0.151595, 0.143394, 0.136121, 0.130038, 0.120694, 0.10784, 0.0729972, 0.0605948, 0.0516798, 0.041998, 0.0346504, 0.0286365, 0.0228012, 0.020353, 0.0161476, 0.0129955, 0.010219, 0.00821527, 0.00639034, 0.00500707, 0.00391181, 0.00306124, 0.00186735, 0.000883279, 0.000422157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.224689, 0.256345, 0.263072, 0.274589, 0.288189, 0.314975, 0.321751, 0.335302, 0.345659, 0.366578, 0.37898, 0.3911, 0.403194, 0.410641, 0.419152, 0.425688, 0.436375, 0.442855, 0.450991, 0.461839, 0.4681, 0.477169, 0.48926, 0.505718, 0.51469, 0.525197, 0.535555, 0.556269");
-            values ( \
-              "0.0013875, 0.00352997, 0.00431131, 0.00616615, 0.00943701, 0.0186014, 0.0205843, 0.0242459, 0.0266429, 0.0305917, 0.032062, 0.0322356, 0.02733, 0.0217053, 0.016403, 0.0132731, 0.00898412, 0.00699107, 0.00501057, 0.00311468, 0.00236507, 0.00156797, 0.000882241, 0.000405317, 0.00131956, 0.0010613, 0.000425605, 0.000192315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00443392");
-            index_3 ("0.193823, 0.242377, 0.263294, 0.2682, 0.278011, 0.286324, 0.294789, 0.323712, 0.340241, 0.361623, 0.379864, 0.396242, 0.411529, 0.426633, 0.441703, 0.455901, 0.470336, 0.475784, 0.482517, 0.491292, 0.499058, 0.506311, 0.512458, 0.519172, 0.523006, 0.530673, 0.543837, 0.55517");
-            values ( \
-              "0.00108727, 0.00283088, 0.00624, 0.0072433, 0.00951842, 0.0119625, 0.0149845, 0.0274099, 0.0336885, 0.040998, 0.0466274, 0.0508314, 0.0535061, 0.0524073, 0.0423157, 0.0312026, 0.0219228, 0.0189336, 0.0156626, 0.0120496, 0.00947155, 0.00751238, 0.00778452, 0.00680006, 0.00578972, 0.00436827, 0.00270389, 0.00190819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00910155");
-            index_3 ("0.20512, 0.25371, 0.264449, 0.275162, 0.296589, 0.30461, 0.320654, 0.332455, 0.356059, 0.369041, 0.396681, 0.420128, 0.441114, 0.460879, 0.480641, 0.481974, 0.487306, 0.494416, 0.505195, 0.506446, 0.50895, 0.512998, 0.516287, 0.522867, 0.530148, 0.539329, 0.543746, 0.549636, 0.559028, 0.571364, 0.574777, 0.581603, 0.593705, 0.610842, 0.616761, 0.618491, 0.621952, 0.628874, 0.642718, 0.670406, 0.675668");
-            values ( \
-              "0.00221657, 0.00603968, 0.00860743, 0.0113988, 0.0189541, 0.022601, 0.0304775, 0.0363934, 0.047226, 0.0532111, 0.065276, 0.0747333, 0.0813876, 0.0844787, 0.077723, 0.0765733, 0.0725514, 0.0669144, 0.0582359, 0.0572712, 0.0568193, 0.0544502, 0.0515253, 0.045878, 0.0402817, 0.0339695, 0.0312642, 0.0279231, 0.0232448, 0.0181594, 0.0169565, 0.0147553, 0.0114966, 0.00801609, 0.00708213, 0.00682662, 0.00634866, 0.00548228, 0.00408098, 0.00221949, 0.00205646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0186828");
-            index_3 ("0.279948, 0.324189, 0.337599, 0.36418, 0.412111, 0.430246, 0.448381, 0.463788, 0.479195, 0.482591, 0.485987, 0.489383, 0.492779, 0.496175, 0.499571, 0.502967, 0.506363, 0.506622, 0.507138, 0.508172, 0.509205, 0.510239, 0.51335, 0.518578, 0.526181, 0.534437, 0.548901, 0.575076, 0.600415, 0.61818, 0.625506, 0.634892, 0.644278, 0.6622, 0.67436, 0.680547, 0.686734, 0.699108, 0.705295, 0.711482, 0.726133, 0.736275, 0.746793, 0.76257, 0.767829, 0.776393, 0.784957, 0.793522, 0.802086, 0.816905");
-            values ( \
-              "0.0330531, 0.0355301, 0.0427213, 0.0572343, 0.0839178, 0.093077, 0.101722, 0.110254, 0.119364, 0.120653, 0.121811, 0.12284, 0.123738, 0.124295, 0.124638, 0.124766, 0.12468, 0.124757, 0.125302, 0.12548, 0.125519, 0.125438, 0.124469, 0.122167, 0.118441, 0.113957, 0.105273, 0.0883405, 0.0724077, 0.0620926, 0.0579762, 0.0533033, 0.0488776, 0.0411871, 0.0362326, 0.0339454, 0.0318036, 0.0280793, 0.0263431, 0.0246909, 0.0211535, 0.0188399, 0.0166118, 0.0140224, 0.0132359, 0.0120629, 0.0109743, 0.00997009, 0.00905019, 0.00764143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0383503");
-            index_3 ("0.252756, 0.316057, 0.322343, 0.361073, 0.488759, 0.505493, 0.513047, 0.52093, 0.607522, 0.642735, 0.674259, 0.845699, 0.880628, 0.93372, 0.980642, 1.0146, 1.05856, 1.09456, 1.13844, 1.20038, 1.26588, 1.31621, 1.39898, 1.48175, 1.56453, 1.73007");
-            values ( \
-              "0.0101274, 0.0335203, 0.0367751, 0.0589129, 0.13788, 0.147057, 0.148962, 0.148626, 0.13422, 0.126749, 0.118443, 0.0634934, 0.0539286, 0.0413975, 0.0324208, 0.0269894, 0.0211778, 0.0173049, 0.0134612, 0.00939025, 0.0064052, 0.00474694, 0.00288637, 0.0017405, 0.00105792, 0.000387821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.078722");
-            index_3 ("0.252964, 0.349291, 0.408324, 0.505701, 0.515034, 0.530082, 0.544746, 0.562777, 0.59774, 0.647301, 0.750918, 0.833691, 0.891746, 0.963905, 1.04668, 1.25617, 1.33932, 1.40607, 1.48884, 1.56273, 1.63385, 1.71662, 1.75747, 1.83916, 1.91504, 1.99781, 2.07266, 2.15829, 2.24107, 2.40661, 2.57216, 2.82048, 3.06879");
-            values ( \
-              "0.0055501, 0.0533252, 0.0914361, 0.158064, 0.160562, 0.16032, 0.158722, 0.157804, 0.154989, 0.151575, 0.143415, 0.136111, 0.130021, 0.1207, 0.107836, 0.072999, 0.0605969, 0.051677, 0.0420006, 0.0346535, 0.028634, 0.022804, 0.0203505, 0.01615, 0.012993, 0.0102213, 0.0082173, 0.00639226, 0.00500551, 0.00305973, 0.00186592, 0.000884656, 0.000420939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.473613, 0.575738, 0.592022, 0.608306, 0.624024, 0.640121, 0.661584, 0.671671, 0.71373, 0.742389, 0.76637, 0.78795, 0.808211, 0.827904, 0.847574, 0.879205, 0.898137, 0.909625, 0.923205, 0.930254, 0.941947, 0.957538, 0.98872, 1.02646, 1.21598, 1.22827");
-            values ( \
-              "8.28297e-05, 0.00144118, 0.00181837, 0.00228201, 0.00288626, 0.00372278, 0.00537962, 0.00651811, 0.0119858, 0.0151638, 0.0173122, 0.0187196, 0.0196327, 0.0199186, 0.0187262, 0.00960227, 0.005734, 0.00405962, 0.00261607, 0.00207255, 0.00138787, 0.000791474, 0.000221936, 5.41565e-05, 1.0462e-05, 0.000148723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00443392");
-            index_3 ("0.485431, 0.585575, 0.608195, 0.630965, 0.642812, 0.666506, 0.683477, 0.739018, 0.769041, 0.784515, 0.803933, 0.830915, 0.856128, 0.880573, 0.904981, 0.933485, 0.954563, 0.967015, 0.991606, 1.01051, 1.02312, 1.04835, 1.08894, 1.13695, 1.21587, 1.2311, 1.25213, 1.26968");
-            values ( \
-              "0.000420581, 0.00276888, 0.00375831, 0.00508445, 0.00599239, 0.00839483, 0.0108382, 0.020174, 0.024475, 0.0263639, 0.0284379, 0.0308445, 0.032407, 0.0330177, 0.0300278, 0.019522, 0.0131025, 0.0100212, 0.00559484, 0.00344373, 0.00245457, 0.00118982, 0.000321554, 7.36058e-05, 2.46995e-05, 0.00061264, 0.000413694, 0.000113935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00910155");
-            index_3 ("0.48528, 0.603856, 0.634796, 0.658045, 0.681891, 0.780892, 0.826629, 0.865572, 0.900551, 0.933096, 0.964762, 0.996336, 1.06009, 1.08857, 1.11434, 1.14415, 1.17466, 1.21595, 1.2249, 1.23651");
-            values ( \
-              "0.000196303, 0.00533083, 0.00770955, 0.0101246, 0.0134309, 0.0322306, 0.0394456, 0.0448045, 0.0488717, 0.0516842, 0.0520703, 0.0448457, 0.0212246, 0.0136732, 0.00884714, 0.0051443, 0.00288649, 0.00125061, 0.00190694, 0.00177317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186828");
-            index_3 ("0.567148, 0.681714, 0.702019, 0.811399, 0.90745, 0.95903, 1.00508, 1.04801, 1.09099, 1.13979, 1.21571, 1.26935, 1.29807, 1.33088, 1.34835, 1.39054, 1.43876, 1.52154, 1.60431");
-            values ( \
-              "0.0066919, 0.0162761, 0.0198214, 0.0427038, 0.0608599, 0.0697224, 0.0763367, 0.0802604, 0.0767739, 0.0606627, 0.033295, 0.0197653, 0.0144156, 0.00995397, 0.00816443, 0.00503828, 0.00285133, 0.00100408, 0.000352485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0383503");
-            index_3 ("0.608252, 0.712102, 0.756659, 0.945339, 1.02634, 1.0949, 1.15654, 1.18623, 1.20058, 1.20777, 1.21592, 1.21768, 1.22056, 1.24211, 1.37442, 1.40226, 1.45793, 1.48858, 1.52283, 1.56849, 1.60194, 1.62661, 1.65951, 1.72532, 1.75684, 1.78951, 1.83307, 1.91585, 1.99862, 2.08139, 2.16417, 2.32971");
-            values ( \
-              "0.0176029, 0.0241275, 0.0335709, 0.0762852, 0.0941226, 0.107488, 0.116453, 0.118281, 0.117937, 0.117552, 0.116765, 0.116989, 0.11676, 0.110198, 0.0669894, 0.0590078, 0.0449926, 0.0384851, 0.0321485, 0.0250941, 0.0208503, 0.0181588, 0.0150671, 0.0102803, 0.00855824, 0.00707096, 0.00546777, 0.00332135, 0.00201055, 0.00121594, 0.00073354, 0.000266783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.078722");
-            index_3 ("0.608173, 0.730605, 0.737725, 0.834014, 1.1508, 1.21584, 1.2317, 1.29023, 1.39224, 1.47501, 1.55989, 1.79541, 1.94811, 2.09642, 2.16966, 2.25243, 2.33823, 2.46907, 2.61287, 2.77842, 2.94396, 3.10951, 3.21828");
-            values ( \
-              "0.016729, 0.0295877, 0.0310662, 0.0532546, 0.13345, 0.147776, 0.14791, 0.14344, 0.134255, 0.124556, 0.112053, 0.0730015, 0.0513224, 0.035168, 0.0288991, 0.0230238, 0.0181113, 0.0124605, 0.00819994, 0.00503231, 0.00307571, 0.00187513, 0.00144406" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.00195538, 0.00199209, 0.00202024, 0.00203796, 0.00204787, 0.00205303", \
-            "0.00222257, 0.00226115, 0.00229605, 0.0023203, 0.00233447, 0.00234201", \
-            "0.00238724, 0.00240471, 0.00242303, 0.00243995, 0.0024514, 0.00245792", \
-            "0.0025142, 0.00250662, 0.00250654, 0.00250667, 0.00250851, 0.00251064", \
-            "0.00262493, 0.00260708, 0.00257549, 0.00256047, 0.00254615, 0.00253962", \
-            "0.00270488, 0.00267312, 0.00264363, 0.00261324, 0.0025847, 0.00256539" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.00233434, 0.0023756, 0.00240785, 0.00242536, 0.00243277, 0.0024356", \
-            "0.00233797, 0.00229667, 0.00226722, 0.00225128, 0.00223358, 0.0022259", \
-            "0.0023593, 0.00227449, 0.00220967, 0.00215963, 0.00212899, 0.00211277", \
-            "0.0025623, 0.00238262, 0.0022456, 0.00216115, 0.00211234, 0.00208581", \
-            "0.00302509, 0.00278348, 0.00245196, 0.00225856, 0.00215519, 0.00210078", \
-            "0.00294144, 0.00299389, 0.00296763, 0.00254655, 0.00229619, 0.00216671" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0191256, 0.0209951, 0.0271631, 0.0342167, 0.0390146, 0.042286, 0.0462217, 0.0488548, 0.0540226, 0.0646103, 0.0718423, 0.077983, 0.0857169, 0.0948022, 0.105626, 0.117158");
-            values ( \
-              "-0.00230487, -0.0812416, -0.0826527, -0.0826517, -0.0816124, -0.0802029, -0.076988, -0.0735944, -0.0636987, -0.039928, -0.0267799, -0.0184247, -0.0111782, -0.00603593, -0.00282776, -0.0012379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00443392");
-            index_3 ("0.0197964, 0.0220038, 0.0270034, 0.0356147, 0.0432171, 0.0509068, 0.0557833, 0.0587625, 0.064721, 0.071213, 0.0761132, 0.0914017, 0.0958139, 0.103181, 0.109255, 0.11391, 0.121544, 0.130681, 0.138946, 0.142612, 0.149943, 0.164607, 0.187875, 0.215603");
-            values ( \
-              "-0.0396761, -0.10527, -0.105754, -0.105493, -0.104677, -0.103043, -0.101387, -0.0999609, -0.0957954, -0.0883755, -0.080383, -0.0529645, -0.0457918, -0.0352231, -0.0280056, -0.0233092, -0.0170766, -0.0116181, -0.00811858, -0.00691481, -0.0050043, -0.00254632, -0.00080455, -0.000184071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00910155");
-            index_3 ("0.0198101, 0.0234518, 0.0274545, 0.0474896, 0.0610882, 0.0748651, 0.0889435, 0.103128, 0.111174, 0.119859, 0.146518, 0.170261, 0.186599, 0.196929, 0.215561, 0.230117, 0.245453, 0.257231, 0.280788, 0.303816");
-            values ( \
-              "-0.0575268, -0.122724, -0.122973, -0.121743, -0.120178, -0.118032, -0.114531, -0.108172, -0.102179, -0.0933991, -0.0621455, -0.0388295, -0.0270856, -0.021325, -0.0136541, -0.0095243, -0.00649934, -0.00482254, -0.00260627, -0.00161607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0186828");
-            index_3 ("0.0209448, 0.0209648, 0.023782, 0.0335526, 0.0716585, 0.097443, 0.123657, 0.15052, 0.162008, 0.178528, 0.206886, 0.264188, 0.301777, 0.327976, 0.352218, 0.378762, 0.396228, 0.42966, 0.448032, 0.484775, 0.558262, 0.641109");
-            values ( \
-              "-1e-22, -0.134218, -0.13357, -0.133455, -0.131466, -0.129558, -0.12705, -0.123249, -0.120978, -0.116364, -0.102934, -0.0646941, -0.0435412, -0.0321952, -0.0239176, -0.0171541, -0.013677, -0.00880727, -0.00691363, -0.00417179, -0.00141589, -0.000383069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0383503");
-            index_3 ("0.0210296, 0.0210496, 0.171214, 0.223559, 0.27665, 0.33196, 0.388045, 0.518254, 0.579304, 0.641697, 0.678904, 0.736713, 0.824748, 0.921828, 1.06427");
-            values ( \
-              "-1e-22, -0.140224, -0.134753, -0.131942, -0.128023, -0.121097, -0.107308, -0.0617534, -0.0441973, -0.0305304, -0.0241555, -0.016682, -0.00923012, -0.00477955, -0.00195228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.078722");
-            index_3 ("0.0212345, 0.0212545, 0.236923, 0.428674, 0.535607, 0.647024, 0.703888, 0.768586, 0.988125, 1.13326, 1.23842, 1.32127, 1.40455, 1.54368, 1.63495, 1.75886, 1.92455, 2.09025, 2.2176");
-            values ( \
-              "-1e-22, -0.143327, -0.139268, -0.134534, -0.130562, -0.12362, -0.117544, -0.108118, -0.0685268, -0.0464884, -0.0342893, -0.0264255, -0.0202824, -0.0128398, -0.00944943, -0.00618729, -0.0034729, -0.00192551, -0.00150382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0285353, 0.0392827, 0.0524237, 0.0563255, 0.0591641, 0.0623551, 0.0675031, 0.0780117, 0.0853189, 0.0926254, 0.101769, 0.109495, 0.119165, 0.129479, 0.132537");
-            values ( \
-              "-0.00452782, -0.082852, -0.0816885, -0.0798993, -0.0775799, -0.0735973, -0.0637557, -0.0401275, -0.0268125, -0.0171374, -0.00942361, -0.00555366, -0.00283112, -0.00132558, -0.00121736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00443392");
-            index_3 ("0.0288726, 0.0403328, 0.056403, 0.0646711, 0.0723853, 0.0768976, 0.0807593, 0.0884828, 0.106852, 0.118817, 0.133679, 0.14443, 0.161338, 0.181209, 0.186821");
-            values ( \
-              "-0.00582047, -0.106028, -0.104702, -0.103045, -0.100149, -0.0970634, -0.0935408, -0.0828564, -0.0501893, -0.0328593, -0.0182605, -0.0116366, -0.00556532, -0.00225999, -0.00189299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00910155");
-            index_3 ("0.0293882, 0.0410922, 0.0720658, 0.0888708, 0.10268, 0.111225, 0.117664, 0.130541, 0.164664, 0.183699, 0.199606, 0.21054, 0.230018, 0.250618, 0.26311, 0.288094, 0.322712");
-            values ( \
-              "-0.00915416, -0.123122, -0.120579, -0.118036, -0.114723, -0.111256, -0.107754, -0.0970318, -0.057582, -0.0392917, -0.0276989, -0.0215156, -0.0134993, -0.0081018, -0.00591586, -0.00307693, -0.00127945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0186828");
-            index_3 ("0.0300799, 0.0406029, 0.111351, 0.137817, 0.16468, 0.176144, 0.192688, 0.221045, 0.278294, 0.315909, 0.342298, 0.366419, 0.392733, 0.410256, 0.44381, 0.499282, 0.573213, 0.65606");
-            values ( \
-              "-0.00512001, -0.134045, -0.129597, -0.127051, -0.12325, -0.120983, -0.116365, -0.102933, -0.0647305, -0.0435571, -0.0321318, -0.0239078, -0.0171942, -0.0137028, -0.00881047, -0.00415337, -0.00139582, -0.000379952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0383503");
-            index_3 ("0.0349168, 0.0384743, 0.0436435, 0.135102, 0.185446, 0.237791, 0.290882, 0.3177, 0.346192, 0.402277, 0.53249, 0.593536, 0.655925, 0.693133, 0.750945, 0.81135, 0.838973, 0.880571, 0.936036, 1.01888, 1.10173, 1.26742");
-            values ( \
-              "-0.111355, -0.14046, -0.139627, -0.136732, -0.134685, -0.131941, -0.128023, -0.125224, -0.121096, -0.107309, -0.0617518, -0.0441968, -0.0305321, -0.0241553, -0.0166828, -0.0111087, -0.00922983, -0.00696308, -0.00478118, -0.00263896, -0.00149173, -0.000469038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.078722");
-            index_3 ("0.0347136, 0.0381499, 0.0435142, 0.262465, 0.442463, 0.549421, 0.597644, 0.660774, 0.743621, 0.782577, 1.00118, 1.1433, 1.26474, 1.34759, 1.43414, 1.58804, 1.67638, 1.81022, 1.97592, 2.14161, 2.21295");
-            values ( \
-              "-0.114416, -0.14355, -0.142804, -0.138948, -0.134457, -0.130471, -0.128017, -0.12354, -0.114153, -0.108011, -0.0687587, -0.04709, -0.0329255, -0.025505, -0.0193678, -0.0116849, -0.00866317, -0.00549384, -0.00310144, -0.00174028, -0.0015158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0550687, 0.0589638, 0.0629879, 0.0743645, 0.0805344, 0.0859952, 0.0874046, 0.0885602, 0.0902175, 0.0941689, 0.0960267, 0.0997423, 0.110074, 0.117103, 0.123116, 0.127309, 0.131582, 0.138783, 0.143631, 0.150991, 0.160806, 0.176068, 0.194447");
-            values ( \
-              "-0.0107162, -0.0292527, -0.0395216, -0.0585166, -0.0676854, -0.0747176, -0.0760523, -0.0793232, -0.080031, -0.0761222, -0.0735788, -0.0669312, -0.0434713, -0.0298642, -0.0209082, -0.0160475, -0.0121728, -0.00750483, -0.00538533, -0.00322494, -0.00157288, -0.000473972, -9.80336e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00443392");
-            index_3 ("0.0492389, 0.0787431, 0.0905509, 0.103522, 0.111468, 0.114401, 0.120266, 0.138708, 0.150676, 0.160529, 0.172616, 0.178327, 0.190001, 0.211138, 0.227335");
-            values ( \
-              "-0.00286312, -0.0833767, -0.10508, -0.101285, -0.0963752, -0.0935046, -0.0859291, -0.0531263, -0.0351093, -0.0240571, -0.0146562, -0.0115006, -0.0069227, -0.00265877, -0.0013811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00910155");
-            index_3 ("0.0504928, 0.0813007, 0.0895981, 0.092547, 0.0954446, 0.100574, 0.122754, 0.136569, 0.144894, 0.151546, 0.164851, 0.202434, 0.218153, 0.234465, 0.244807, 0.263455, 0.278001, 0.293308, 0.305059, 0.328562, 0.356733");
-            values ( \
-              "-0.00455732, -0.101849, -0.120794, -0.122592, -0.121411, -0.121243, -0.118056, -0.114703, -0.111356, -0.107755, -0.0965883, -0.0534806, -0.0388279, -0.0271043, -0.0213321, -0.0136554, -0.00952591, -0.00650545, -0.0048313, -0.0026142, -0.00139371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0186828");
-            index_3 ("0.0510939, 0.0811016, 0.0895028, 0.0920218, 0.0944994, 0.111748, 0.145733, 0.18235, 0.193441, 0.209383, 0.226818, 0.255152, 0.311753, 0.327989, 0.3538, 0.380153, 0.401708, 0.421008, 0.446741, 0.46825, 0.49182, 0.523248, 0.586103, 0.668053, 0.7509");
-            values ( \
-              "-0.00110059, -0.111022, -0.131487, -0.133661, -0.132718, -0.131909, -0.129541, -0.125789, -0.124187, -0.121169, -0.116381, -0.102935, -0.0651607, -0.0553544, -0.0417892, -0.0307301, -0.0235796, -0.0185068, -0.0132886, -0.0099996, -0.00731639, -0.00479708, -0.00192943, -0.000557761, -0.000146337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0383503");
-            index_3 ("0.0514271, 0.0885724, 0.0901809, 0.0944149, 0.149381, 0.209677, 0.241759, 0.272166, 0.324181, 0.33183, 0.358057, 0.380586, 0.425644, 0.441204, 0.550546, 0.596799, 0.623611, 0.641487, 0.665321, 0.71299, 0.736565, 0.764014, 0.800612, 0.852144, 0.873736, 0.916922, 0.999769, 1.08262, 1.16546, 1.24831, 1.33116");
-            values ( \
-              "-0.0053561, -0.13673, -0.139793, -0.139193, -0.137456, -0.135125, -0.133619, -0.131944, -0.12816, -0.127413, -0.124467, -0.121104, -0.110652, -0.10575, -0.06708, -0.0526261, -0.0453138, -0.0408767, -0.0354885, -0.0264607, -0.0228046, -0.019126, -0.0150478, -0.0106685, -0.00922178, -0.00688327, -0.00384576, -0.00214638, -0.00118463, -0.000662023, -0.000360385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.078722");
-            index_3 ("0.0666477, 0.0909377, 0.353075, 0.476971, 0.58393, 0.632155, 0.695282, 0.77813, 0.817086, 1.03569, 1.17781, 1.29925, 1.38209, 1.46864, 1.62255, 1.71088, 1.84472, 2.01041, 2.17611, 2.2402");
-            values ( \
-              "-0.106502, -0.142886, -0.137732, -0.134463, -0.130478, -0.12801, -0.123546, -0.114147, -0.108017, -0.0687522, -0.0470834, -0.032933, -0.0254979, -0.0193606, -0.0116774, -0.0086718, -0.00550259, -0.00311019, -0.00174908, -0.00154467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.0973261, 0.134858, 0.149658, 0.15839, 0.166264, 0.17363, 0.181005, 0.189505, 0.200786, 0.208722, 0.210327, 0.21215, 0.214063, 0.219898, 0.224572, 0.23129, 0.236376, 0.246547, 0.251479");
-            values ( \
-              "-0.00084336, -0.0321196, -0.041913, -0.0472732, -0.0514916, -0.0540325, -0.0516234, -0.0401957, -0.0234765, -0.01502, -0.0136417, -0.0139857, -0.0131527, -0.00893357, -0.00649582, -0.00409022, -0.00285863, -0.0013586, -0.00105334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00443392");
-            index_3 ("0.105211, 0.131905, 0.165236, 0.176568, 0.186849, 0.196594, 0.206634, 0.210327, 0.212358, 0.230518, 0.237855, 0.249806, 0.258803, 0.266266, 0.276506, 0.283227, 0.296668, 0.311826");
-            values ( \
-              "-0.010138, -0.0385009, -0.0663031, -0.0745261, -0.0807549, -0.0831503, -0.0757891, -0.070577, -0.0695478, -0.0398981, -0.0304641, -0.0189354, -0.0130083, -0.0094373, -0.00603782, -0.00448353, -0.0024225, -0.0013449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00910155");
-            index_3 ("0.105158, 0.121666, 0.134238, 0.149232, 0.171692, 0.189652, 0.205278, 0.213545, 0.218208, 0.227813, 0.234302, 0.247281, 0.281446, 0.29585, 0.315476, 0.326969, 0.336937, 0.350227, 0.362007, 0.377822, 0.398907, 0.438013, 0.48364");
-            values ( \
-              "-0.00827643, -0.031452, -0.046847, -0.0622535, -0.0834797, -0.0990471, -0.110794, -0.115824, -0.115253, -0.111307, -0.107733, -0.0969507, -0.0574431, -0.0431593, -0.0281926, -0.0216139, -0.0170741, -0.0123594, -0.00922868, -0.00621148, -0.00360695, -0.00120383, -0.000318722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0186828");
-            index_3 ("0.105057, 0.130987, 0.14318, 0.170078, 0.202002, 0.210173, 0.214403, 0.219772, 0.223991, 0.228226, 0.254414, 0.265908, 0.281309, 0.308341, 0.315369, 0.323402, 0.34005, 0.390177, 0.404017, 0.429549, 0.451245, 0.466199, 0.485515, 0.500832, 0.520402, 0.547871, 0.576915, 0.599234, 0.643873, 0.723592, 0.806439");
-            values ( \
-              "-0.00568367, -0.0462116, -0.0612825, -0.0898009, -0.12045, -0.127587, -0.130222, -0.130485, -0.129741, -0.129612, -0.127034, -0.125668, -0.123277, -0.116763, -0.114209, -0.110713, -0.101375, -0.0677584, -0.0591228, -0.0450158, -0.0351437, -0.0294145, -0.0232026, -0.0191503, -0.014903, -0.0103902, -0.00707724, -0.00524342, -0.0028227, -0.000840907, -0.000234699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0383503");
-            index_3 ("0.124029, 0.149678, 0.189127, 0.21014, 0.214518, 0.220059, 0.225064, 0.235072, 0.302931, 0.343114, 0.377967, 0.407818, 0.461261, 0.467036, 0.490135, 0.523628, 0.608608, 0.649323, 0.710538, 0.742283, 0.771636, 0.809552, 0.839583, 0.878038, 0.932003, 0.989074, 1.03293, 1.11578, 1.19863, 1.28148, 1.44717");
-            values ( \
-              "-0.0654041, -0.0714475, -0.114313, -0.134772, -0.137682, -0.138137, -0.137528, -0.137469, -0.13462, -0.132646, -0.13045, -0.128021, -0.121475, -0.120432, -0.115539, -0.105784, -0.0755043, -0.0617974, -0.0441927, -0.0367059, -0.0307278, -0.0242436, -0.0200173, -0.015577, -0.0108598, -0.00739612, -0.00547897, -0.00305848, -0.00169942, -0.000942356, -0.00028878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.078722");
-            index_3 ("0.123888, 0.162822, 0.209832, 0.219433, 0.22429, 0.234005, 0.435756, 0.559651, 0.666611, 0.714835, 0.777963, 0.86081, 0.899767, 1.11837, 1.26049, 1.38193, 1.46477, 1.55131, 1.62237, 1.70522, 1.79355, 1.92738, 2.09307, 2.25877, 2.36092");
-            values ( \
-              "-0.0569909, -0.0886787, -0.138583, -0.142183, -0.141651, -0.141772, -0.13777, -0.134436, -0.130455, -0.128031, -0.123527, -0.114163, -0.108004, -0.0687561, -0.047086, -0.0329333, -0.0254978, -0.0193604, -0.0153397, -0.0116765, -0.00867452, -0.00550534, -0.00311283, -0.00175167, -0.00142462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.204471, 0.226084, 0.237514, 0.243712, 0.252959, 0.26066, 0.275484, 0.282187, 0.304604, 0.312575, 0.32513, 0.33669, 0.347802, 0.35937, 0.376331, 0.382251, 0.387286, 0.391484, 0.396462, 0.403669, 0.409438, 0.413397, 0.421315, 0.437152, 0.458551, 0.505696, 0.515819, 0.54043");
-            values ( \
-              "-0.00215531, -0.00504468, -0.00693595, -0.00862744, -0.0115799, -0.0146639, -0.0193909, -0.0213948, -0.0275604, -0.0295771, -0.0324882, -0.0346553, -0.0355243, -0.0314311, -0.0177283, -0.0135409, -0.0105545, -0.00848623, -0.00647547, -0.00430016, -0.00308163, -0.00244218, -0.00151432, -0.000544712, -0.000128401, -1.80465e-05, -0.000645987, -6.05353e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00443392");
-            index_3 ("0.180043, 0.219429, 0.234391, 0.251948, 0.28817, 0.321993, 0.340169, 0.356427, 0.371458, 0.386025, 0.401646, 0.429078, 0.44144, 0.455304, 0.468925, 0.477503, 0.493012");
-            values ( \
-              "-0.00238193, -0.00520903, -0.00869921, -0.0142886, -0.0294568, -0.0411385, -0.0468015, -0.0513809, -0.0546414, -0.0552676, -0.0470345, -0.0228101, -0.0148647, -0.00882045, -0.00510125, -0.00363433, -0.0018605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00910155");
-            index_3 ("0.207954, 0.252958, 0.295407, 0.331585, 0.356975, 0.38421, 0.401409, 0.415424, 0.426447, 0.446577, 0.469304, 0.505542, 0.506609, 0.531227, 0.540826, 0.558653, 0.567852, 0.583106, 0.603444, 0.632227");
-            values ( \
-              "-0.00157567, -0.0183325, -0.0379323, -0.0525248, -0.0620775, -0.0715977, -0.0769204, -0.0805016, -0.08236, -0.0802395, -0.0642329, -0.0339678, -0.0342808, -0.0200062, -0.0159103, -0.0102404, -0.0081528, -0.0055586, -0.00328463, -0.00169421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0186828");
-            index_3 ("0.266683, 0.332293, 0.347335, 0.362723, 0.378112, 0.397939, 0.417765, 0.426301, 0.443373, 0.451908, 0.459618, 0.467329, 0.475039, 0.482749, 0.488701, 0.494654, 0.500606, 0.506558, 0.509287, 0.512016, 0.514744, 0.517473, 0.52293, 0.52755, 0.532171, 0.542646, 0.550704, 0.56823, 0.589369, 0.598122, 0.603957, 0.615628, 0.624942, 0.644665, 0.650855, 0.657046, 0.663236, 0.669426, 0.675617, 0.681807, 0.688555, 0.697297, 0.705953, 0.718937, 0.723265, 0.730198, 0.737131, 0.744064, 0.750997, 0.761385");
-            values ( \
-              "-0.0535165, -0.0576955, -0.0642816, -0.0708694, -0.077306, -0.0853523, -0.093129, -0.096366, -0.10266, -0.105718, -0.10805, -0.110194, -0.112149, -0.113917, -0.114782, -0.115415, -0.115816, -0.115985, -0.115812, -0.115555, -0.114801, -0.113933, -0.111861, -0.1097, -0.107323, -0.101133, -0.0959104, -0.0842225, -0.0696532, -0.0639801, -0.0604387, -0.0537076, -0.0487045, -0.0387831, -0.036131, -0.0336233, -0.0312933, -0.0290854, -0.0269996, -0.0250358, -0.0230448, -0.0205634, -0.0182599, -0.015545, -0.0147157, -0.0134883, -0.0123431, -0.0112801, -0.0102992, -0.00897792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0383503");
-            index_3 ("0.208018, 0.253752, 0.404622, 0.485841, 0.509343, 0.514317, 0.609989, 0.653603, 0.688814, 0.72578, 0.852329, 0.901927, 0.973265, 1.04211, 1.08043, 1.13429, 1.23448, 1.31733, 1.35452");
-            values ( \
-              "-0.00946142, -0.0227791, -0.0929969, -0.125763, -0.134211, -0.134375, -0.128033, -0.122989, -0.116377, -0.105768, -0.0615214, -0.0469836, -0.0308255, -0.0199673, -0.0155518, -0.0108493, -0.00550181, -0.00307119, -0.0024587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.078722");
-            index_3 ("0.252807, 0.315082, 0.37678, 0.447918, 0.505544, 0.512011, 0.522431, 0.530032, 0.542202, 0.656853, 0.761169, 0.812517, 0.868101, 0.950948, 0.979515, 1.03638, 1.10108, 1.32062, 1.40346, 1.46575, 1.51081, 1.5709, 1.65375, 1.73704, 1.79333, 1.87618, 1.94677, 2.0087, 2.09128, 2.17412, 2.25697, 2.42266, 2.58836, 2.8369");
-            values ( \
-              "-0.0370782, -0.0539849, -0.0836478, -0.115437, -0.138958, -0.140201, -0.140211, -0.139894, -0.139796, -0.13731, -0.13449, -0.132723, -0.130511, -0.125765, -0.123564, -0.117603, -0.108057, -0.0686004, -0.0553324, -0.0465636, -0.040906, -0.0342128, -0.0265049, -0.0203641, -0.0169676, -0.0129211, -0.010205, -0.00829125, -0.00627108, -0.00471798, -0.00355605, -0.00200832, -0.00113212, -0.000471639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.410234, 0.455638, 0.486657, 0.511755, 0.533059, 0.539204, 0.547399, 0.562738, 0.608556, 0.636319, 0.659098, 0.686179, 0.697704, 0.715184, 0.732668, 0.752313, 0.762295, 0.771461, 0.783791, 0.794515, 0.816084, 0.839211, 0.866783, 0.889641");
-            values ( \
-              "-0.000434419, -0.000888498, -0.00172302, -0.00268169, -0.00381077, -0.00424546, -0.00489868, -0.00649974, -0.0125197, -0.01582, -0.0183088, -0.0208946, -0.0217983, -0.0227093, -0.0219011, -0.0152362, -0.0109268, -0.00776493, -0.00461593, -0.00284304, -0.000989409, -0.000384988, -4.85728e-05, -8.09865e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00443392");
-            index_3 ("0.418131, 0.467838, 0.495032, 0.50597, 0.527847, 0.54412, 0.560687, 0.580033, 0.636019, 0.670127, 0.698813, 0.724292, 0.74771, 0.770068, 0.792379, 0.807549, 0.832063, 0.849545, 0.869022, 0.881368, 0.890947, 0.910104, 0.931622");
-            values ( \
-              "-0.000860897, -0.00190575, -0.00320346, -0.00388869, -0.00536611, -0.00681869, -0.00867451, -0.0115535, -0.0208874, -0.0259337, -0.0298021, -0.0328417, -0.0351653, -0.0364222, -0.034411, -0.0283804, -0.0168386, -0.0103568, -0.00561247, -0.00372827, -0.00268444, -0.00134914, -0.000706135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00910155");
-            index_3 ("0.473009, 0.523937, 0.562499, 0.590856, 0.644678, 0.680121, 0.725111, 0.763378, 0.797571, 0.829131, 0.859449, 0.890784, 0.938904, 0.967111, 0.987135, 1.00441, 1.01557, 1.03657, 1.06457, 1.11465, 1.17385, 1.21603, 1.23893, 1.26767");
-            values ( \
-              "-0.00570791, -0.00717026, -0.0115744, -0.0161172, -0.0265649, -0.0327804, -0.0400808, -0.0456651, -0.0501599, -0.0534669, -0.0549105, -0.0493534, -0.0272211, -0.0164973, -0.0110956, -0.00771052, -0.00607012, -0.0038136, -0.00197865, -0.000526808, -9.85874e-05, -4.06544e-05, -0.000475893, -0.000261908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186828");
-            index_3 ("0.472385, 0.55143, 0.573831, 0.608073, 0.669699, 0.723185, 0.80385, 0.872715, 0.912425, 0.956475, 0.999309, 1.04569, 1.09559, 1.14097, 1.17975, 1.20799, 1.26917, 1.30643, 1.38095, 1.4638");
-            values ( \
-              "-0.00446129, -0.0125755, -0.0157918, -0.0217758, -0.0344161, -0.0443705, -0.058105, -0.0687048, -0.0740494, -0.0786026, -0.0787992, -0.0660046, -0.0444647, -0.0280943, -0.0178447, -0.0125923, -0.00610441, -0.00367715, -0.00120251, -0.00034369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0383503");
-            index_3 ("0.539958, 0.62443, 0.745041, 0.857215, 0.966266, 1.01908, 1.07352, 1.11193, 1.14935, 1.21184, 1.22035, 1.32923, 1.3805, 1.45464, 1.49669, 1.53306, 1.57003, 1.64556, 1.691, 1.77385, 1.8567, 1.93954, 2.10524");
-            values ( \
-              "-0.0244426, -0.0269672, -0.0512676, -0.0717469, -0.0901188, -0.0981788, -0.105475, -0.109498, -0.111527, -0.105011, -0.103232, -0.064837, -0.0493176, -0.0319418, -0.0245735, -0.0194809, -0.0153043, -0.00923127, -0.00677447, -0.00379238, -0.00210824, -0.00117156, -0.000359962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.078722");
-            index_3 ("0.540079, 0.645161, 0.745, 0.856422, 1.01569, 1.0891, 1.17789, 1.21567, 1.23042, 1.29302, 1.33904, 1.38752, 1.45215, 1.49494, 1.53883, 1.58827, 1.80722, 1.89007, 1.95271, 1.99816, 2.05876, 2.14161, 2.22321, 2.27824, 2.36109, 2.43306, 2.49675, 2.5796, 2.66245, 2.74529, 2.91099, 3.07668, 3.32522");
-            values ( \
-              "-0.0215052, -0.0324416, -0.0528536, -0.0743952, -0.103373, -0.115723, -0.129493, -0.13478, -0.134975, -0.13299, -0.131206, -0.128899, -0.124739, -0.120883, -0.115608, -0.108033, -0.068685, -0.0554044, -0.0465816, -0.0408747, -0.0341343, -0.0264399, -0.020422, -0.0170915, -0.0130123, -0.0102348, -0.00826694, -0.00624186, -0.00470061, -0.00353817, -0.00199701, -0.00112456, -0.000472258" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0016925, 0.0017106, 0.00172497, 0.00173423, 0.00173948, 0.00174225", \
-            "0.00188605, 0.00190003, 0.00191314, 0.00192262, 0.0019285, 0.00193173", \
-            "0.00199434, 0.0019981, 0.00200453, 0.00201081, 0.00201534, 0.00201812", \
-            "0.0020601, 0.00205832, 0.00205988, 0.00206061, 0.00206219, 0.00206359", \
-            "0.00209987, 0.00209686, 0.00209217, 0.00208789, 0.00208556, 0.00208478", \
-            "0.0021244, 0.00211927, 0.00211279, 0.00210616, 0.00210074, 0.0020973" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.00225416, 0.00226834, 0.00227845, 0.0022844, 0.00228841, 0.0022903", \
-            "0.00236156, 0.00235142, 0.00234475, 0.0023402, 0.0023372, 0.00233644", \
-            "0.0024538, 0.0024136, 0.00238153, 0.00235824, 0.00234614, 0.00233964", \
-            "0.00262826, 0.0025211, 0.0024384, 0.0023875, 0.00235765, 0.00233988", \
-            "0.00300301, 0.00276659, 0.00258962, 0.00247048, 0.00240187, 0.00236369", \
-            "0.00346923, 0.00335204, 0.00290701, 0.00265883, 0.00250375, 0.00241678" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0367038, 0.050301, 0.0777931, 0.133843, 0.248636, 0.484141", \
-            "0.0414677, 0.0551542, 0.0827549, 0.138875, 0.253714, 0.48924", \
-            "0.0539829, 0.0676546, 0.0952073, 0.151163, 0.266005, 0.501506", \
-            "0.0814658, 0.0985856, 0.126554, 0.182532, 0.297179, 0.532412", \
-            "0.124708, 0.151603, 0.194397, 0.258848, 0.372633, 0.607609", \
-            "0.197421, 0.237466, 0.303762, 0.405954, 0.555149, 0.791944" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0357763, 0.05561, 0.0962789, 0.17967, 0.350852, 0.702141", \
-            "0.0357906, 0.05561, 0.0962735, 0.179672, 0.350856, 0.702122", \
-            "0.0373954, 0.0558316, 0.0962792, 0.179698, 0.350855, 0.70211", \
-            "0.0538468, 0.0675902, 0.101008, 0.179739, 0.350864, 0.702139", \
-            "0.0860694, 0.10627, 0.138731, 0.200767, 0.35383, 0.702132", \
-            "0.137901, 0.170339, 0.22069, 0.295953, 0.420515, 0.718994" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0395653, 0.0544878, 0.0846445, 0.146166, 0.272241, 0.530918", \
-            "0.0421765, 0.0573578, 0.0877423, 0.149415, 0.275571, 0.534285", \
-            "0.0486558, 0.0640723, 0.0948238, 0.156779, 0.283181, 0.542111", \
-            "0.059596, 0.0788172, 0.111936, 0.17452, 0.301264, 0.560404", \
-            "0.0686811, 0.096636, 0.142055, 0.215519, 0.344852, 0.604582", \
-            "0.063332, 0.104273, 0.17097, 0.275604, 0.438305, 0.70921" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0322098, 0.0518889, 0.0923678, 0.175533, 0.346224, 0.69625", \
-            "0.0322105, 0.0519044, 0.0923678, 0.175535, 0.346224, 0.696241", \
-            "0.0336626, 0.0522501, 0.0923624, 0.175533, 0.346135, 0.69625", \
-            "0.0450626, 0.0616936, 0.0966838, 0.175956, 0.346111, 0.696182", \
-            "0.0690807, 0.0892578, 0.12518, 0.194011, 0.350341, 0.696239", \
-            "0.112468, 0.139403, 0.186317, 0.265219, 0.406982, 0.715422" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0185714, 0.0204431, 0.0218467, 0.0241812, 0.0273733, 0.0338198, 0.0442598, 0.0499499, 0.0528671, 0.0562928, 0.0619833, 0.0674529, 0.0711141, 0.0769341, 0.0803702, 0.086268, 0.0920759, 0.0985915, 0.106396, 0.115875, 0.123542, 0.138875, 0.160383, 0.187045");
-            values ( \
-              "0.000433582, 0.0828499, 0.083438, 0.083198, 0.0817005, 0.0779218, 0.0708254, 0.0659631, 0.0621491, 0.0553015, 0.0427313, 0.0334367, 0.0281819, 0.0212908, 0.01805, 0.0134601, 0.0100208, 0.00715955, 0.00474062, 0.00287996, 0.00191385, 0.00081296, 0.000234224, 4.94607e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00443392");
-            index_3 ("0.0194939, 0.0212249, 0.0233486, 0.034162, 0.0479123, 0.0578381, 0.066702, 0.0729097, 0.0889298, 0.101168, 0.115061, 0.131045, 0.141045, 0.152447, 0.176627, 0.19813, 0.201632");
-            values ( \
-              "0.072658, 0.112431, 0.112868, 0.108109, 0.100167, 0.0936066, 0.0862385, 0.0783321, 0.0520443, 0.0363225, 0.0234813, 0.0137737, 0.00977116, 0.00655407, 0.0027761, 0.00125412, 0.00119567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00910155");
-            index_3 ("0.0194696, 0.0224579, 0.0250247, 0.0445747, 0.0710415, 0.0853232, 0.0997615, 0.106414, 0.114017, 0.13882, 0.153709, 0.17035, 0.179292, 0.193832, 0.207351, 0.219345, 0.235336, 0.249631, 0.269199, 0.29529, 0.342525, 0.397881");
-            values ( \
-              "0.083361, 0.136213, 0.136403, 0.129311, 0.118157, 0.11112, 0.102036, 0.0967059, 0.0892368, 0.0626621, 0.049117, 0.0365491, 0.0310164, 0.0235002, 0.0180063, 0.0141643, 0.0102058, 0.00759391, 0.00505009, 0.00288426, 0.000973502, 0.000260514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0186828");
-            index_3 ("0.02103, 0.02105, 0.0538744, 0.0896874, 0.111072, 0.137551, 0.149272, 0.164901, 0.180935, 0.201349, 0.243411, 0.272835, 0.303018, 0.3153, 0.334331, 0.359706, 0.372495, 0.393275, 0.415065, 0.445434, 0.458071, 0.483346, 0.533894, 0.617133, 0.700373, 0.783612");
-            values ( \
-              "1e-22, 0.160368, 0.145808, 0.13707, 0.131439, 0.123636, 0.119608, 0.1133, 0.105518, 0.0937207, 0.0681151, 0.0526002, 0.0394477, 0.0348755, 0.0287161, 0.0220144, 0.0191585, 0.0153299, 0.0120186, 0.0085808, 0.00740991, 0.00559666, 0.00307347, 0.00111971, 0.000374191, 0.000160005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0383503");
-            index_3 ("0.0222189, 0.0222389, 0.0856842, 0.154017, 0.204455, 0.245614, 0.27772, 0.312528, 0.353894, 0.459474, 0.527546, 0.576457, 0.615933, 0.668567, 0.702512, 0.766978, 0.838625, 0.922613, 0.990515, 1.07375, 1.19548");
-            values ( \
-              "1e-22, 0.171291, 0.153821, 0.144679, 0.137357, 0.130676, 0.124476, 0.116243, 0.104414, 0.070636, 0.0518227, 0.0406725, 0.0331732, 0.0250085, 0.0207567, 0.014469, 0.00956219, 0.00587649, 0.00393357, 0.00238897, 0.00128391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.078722");
-            index_3 ("0.0274986, 0.0275186, 0.184467, 0.331383, 0.47128, 0.532821, 0.604302, 0.686015, 0.88337, 0.931481, 0.995628, 1.05833, 1.14091, 1.19486, 1.26679, 1.35003, 1.39558, 1.45861, 1.53064, 1.59782, 1.7175, 1.79038, 1.87362, 1.95685, 2.04009, 2.12333, 2.28981, 2.45629, 2.78924");
-            values ( \
-              "1e-22, 0.181604, 0.155687, 0.145365, 0.13408, 0.127911, 0.119069, 0.107079, 0.0748647, 0.0675189, 0.0584142, 0.0502802, 0.0409429, 0.0356315, 0.0294213, 0.0234919, 0.0206884, 0.0173863, 0.0141491, 0.011711, 0.00829467, 0.00667622, 0.0052638, 0.00408817, 0.00323017, 0.00249257, 0.00151408, 0.000915938, 0.000328492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0301501, 0.0394924, 0.0408319, 0.0425724, 0.0516739, 0.0607921, 0.0653244, 0.0711038, 0.0753132, 0.0844048, 0.0954686, 0.107575, 0.116076, 0.12271, 0.131289, 0.142729, 0.153412");
-            values ( \
-              "0.00323261, 0.0800615, 0.0813401, 0.0814044, 0.0763563, 0.0699262, 0.0659352, 0.0570372, 0.0470963, 0.0310033, 0.0182577, 0.0099247, 0.0064379, 0.00449853, 0.00285383, 0.00156428, 0.00095758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00443392");
-            index_3 ("0.0306292, 0.0401401, 0.0423819, 0.0496505, 0.0635022, 0.0733263, 0.0821901, 0.0884161, 0.104417, 0.116658, 0.130544, 0.146529, 0.156533, 0.167928, 0.192072, 0.213539, 0.216381");
-            values ( \
-              "0.00632155, 0.109616, 0.111065, 0.108093, 0.100105, 0.0936052, 0.0862391, 0.0783052, 0.0520472, 0.0363213, 0.023486, 0.013776, 0.00977091, 0.0065565, 0.00278002, 0.00125851, 0.00121091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00910155");
-            index_3 ("0.0310853, 0.0403146, 0.0416353, 0.0437582, 0.0549367, 0.0780547, 0.100284, 0.109689, 0.116321, 0.129585, 0.154409, 0.169273, 0.192449, 0.209459, 0.222942, 0.246887, 0.264127, 0.286757, 0.316931, 0.358516");
-            values ( \
-              "0.00707848, 0.13238, 0.134369, 0.135068, 0.131358, 0.121974, 0.111459, 0.105973, 0.10133, 0.0894364, 0.0626098, 0.049183, 0.0324205, 0.0235116, 0.017974, 0.0111283, 0.00773872, 0.00481536, 0.00254333, 0.00102408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0186828");
-            index_3 ("0.0315287, 0.040265, 0.0427105, 0.0446874, 0.0512364, 0.0813009, 0.115295, 0.138035, 0.164961, 0.180714, 0.196186, 0.216816, 0.259258, 0.285728, 0.313963, 0.332581, 0.360659, 0.384491, 0.405065, 0.427487, 0.459458, 0.479939, 0.518076, 0.568924, 0.652163, 0.735402");
-            values ( \
-              "0.00715132, 0.146895, 0.151067, 0.151243, 0.150032, 0.143014, 0.13449, 0.128255, 0.119586, 0.113217, 0.105715, 0.0938221, 0.0679901, 0.0539372, 0.0413117, 0.034309, 0.0256857, 0.0199721, 0.0159908, 0.0124509, 0.00873578, 0.00690331, 0.00447041, 0.00249645, 0.000861109, 0.000334773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0383503");
-            index_3 ("0.0372063, 0.0372263, 0.101353, 0.171102, 0.220134, 0.261255, 0.293476, 0.328207, 0.369689, 0.475541, 0.545087, 0.592843, 0.630258, 0.680144, 0.717194, 0.74719, 0.787184, 0.855879, 0.934668, 0.997451, 1.08069, 1.16393, 1.24717, 1.41365");
-            values ( \
-              "1e-22, 0.16782, 0.153787, 0.144451, 0.137358, 0.130684, 0.12446, 0.116243, 0.104379, 0.0705193, 0.0513635, 0.0405294, 0.0334129, 0.0255799, 0.0208757, 0.0176725, 0.0141034, 0.00948243, 0.00600679, 0.0041482, 0.00251999, 0.00152906, 0.000925997, 0.000340057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.078722");
-            index_3 ("0.0424629, 0.0424829, 0.166515, 0.305947, 0.40389, 0.483181, 0.556497, 0.620143, 0.703382, 0.915224, 1.00821, 1.07211, 1.15535, 1.21339, 1.28928, 1.37252, 1.46657, 1.60102, 1.74005, 1.90653, 2.073, 2.23948, 2.4892, 2.82215");
-            values ( \
-              "1e-22, 0.183354, 0.157996, 0.148346, 0.141033, 0.134417, 0.127016, 0.119084, 0.106819, 0.0723938, 0.0588376, 0.0505472, 0.0410684, 0.0353606, 0.0289156, 0.0230446, 0.0177518, 0.012121, 0.00811325, 0.00498487, 0.00305042, 0.00186206, 0.00089001, 0.000331992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0638049, 0.0742456, 0.0756289, 0.0769543, 0.0782796, 0.079605, 0.0809303, 0.0817673, 0.0826044, 0.0834414, 0.0846518, 0.0857718, 0.0871525, 0.0876265, 0.0882821, 0.0892277, 0.0898026, 0.0908402, 0.0915223, 0.092531, 0.0931849, 0.0951467, 0.0965144, 0.097882, 0.100592, 0.103301, 0.103823, 0.104605, 0.105648, 0.107213, 0.111218, 0.115109, 0.119373, 0.120958, 0.122495, 0.125522, 0.128149, 0.129463, 0.132052, 0.135457, 0.138181, 0.141714, 0.144339, 0.146635, 0.148931, 0.151335, 0.15374, 0.157202, 0.158933, 0.163432");
-            values ( \
-              "0.0423479, 0.0433086, 0.0458656, 0.0489378, 0.0524001, 0.0562525, 0.060495, 0.0606989, 0.0610647, 0.0615922, 0.0626411, 0.0639131, 0.0660877, 0.069806, 0.0707581, 0.0729163, 0.0737882, 0.0740763, 0.0741229, 0.0739976, 0.0737057, 0.0726406, 0.0716939, 0.0706813, 0.0684804, 0.0660208, 0.0656105, 0.0646861, 0.0631776, 0.0605192, 0.0521565, 0.0437829, 0.0360494, 0.0333662, 0.0310765, 0.0270457, 0.0239369, 0.022487, 0.0198323, 0.0166491, 0.014597, 0.0122709, 0.01071, 0.00945657, 0.00834943, 0.00740733, 0.0065532, 0.00549194, 0.00501907, 0.00396548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00443392");
-            index_3 ("0.0551935, 0.0708252, 0.0775908, 0.0874579, 0.0887829, 0.0897576, 0.0912876, 0.0932732, 0.0990667, 0.103106, 0.113321, 0.120257, 0.128963, 0.137372, 0.14338, 0.148115, 0.154297, 0.162267, 0.169095, 0.174363, 0.184823, 0.189524, 0.19664, 0.206886, 0.213464, 0.225734, 0.242095, 0.271587, 0.307301");
-            values ( \
-              "0.00129346, 0.0497938, 0.0681623, 0.0930458, 0.100828, 0.103381, 0.104788, 0.104754, 0.101683, 0.0990926, 0.0921781, 0.0861686, 0.0746972, 0.0598355, 0.050653, 0.0442056, 0.036876, 0.0286696, 0.023131, 0.0194236, 0.013662, 0.0116666, 0.00909484, 0.00636944, 0.00503628, 0.00325844, 0.00181058, 0.000559654, 0.000145152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00910155");
-            index_3 ("0.0568891, 0.068823, 0.0762976, 0.0818316, 0.0874236, 0.08887, 0.0906436, 0.0922817, 0.0952359, 0.103598, 0.111104, 0.124153, 0.131261, 0.138924, 0.15342, 0.15431, 0.159647, 0.165304, 0.171874, 0.181184, 0.192519, 0.198587, 0.206678, 0.22286, 0.229018, 0.233282, 0.238967, 0.248665, 0.26137, 0.264961, 0.272142, 0.284408, 0.301858, 0.307899, 0.313225, 0.320327, 0.33453, 0.362936, 0.413126, 0.473724");
-            values ( \
-              "0.00186455, 0.0530948, 0.0784667, 0.0968447, 0.114942, 0.12326, 0.128952, 0.130518, 0.130415, 0.127182, 0.124054, 0.118396, 0.115043, 0.111126, 0.101991, 0.10138, 0.0970196, 0.091608, 0.0846277, 0.0743107, 0.0626046, 0.0568416, 0.0496223, 0.0373072, 0.0333209, 0.0307757, 0.0276783, 0.02293, 0.0178789, 0.0166154, 0.0144157, 0.0112019, 0.007828, 0.00687947, 0.00616056, 0.00533733, 0.00393798, 0.00215088, 0.000650572, 0.000164508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0186828");
-            index_3 ("0.043742, 0.105608, 0.137557, 0.168324, 0.193763, 0.211022, 0.223725, 0.247412, 0.274356, 0.306293, 0.320835, 0.349918, 0.36548, 0.382913, 0.406157, 0.428648, 0.455359, 0.490974, 0.510993, 0.542045, 0.583447, 0.666252, 0.749491, 0.83273");
-            values ( \
-              "0.0079831, 0.146234, 0.138492, 0.130389, 0.12274, 0.116423, 0.110867, 0.0982648, 0.0815694, 0.0629279, 0.0553532, 0.0420422, 0.0360771, 0.0302295, 0.0237226, 0.0186552, 0.0139446, 0.00933884, 0.00746044, 0.0052487, 0.00326608, 0.00115904, 0.000424966, 0.00014122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0383503");
-            index_3 ("0.0643412, 0.0806063, 0.0895694, 0.0924801, 0.095298, 0.162078, 0.251064, 0.298702, 0.331788, 0.365943, 0.407469, 0.513275, 0.582868, 0.630594, 0.667956, 0.717772, 0.7549, 0.825028, 0.893646, 0.9723, 1.03495, 1.11819, 1.20143, 1.26841");
-            values ( \
-              "0.0593258, 0.110528, 0.150604, 0.158653, 0.159419, 0.150782, 0.138381, 0.130735, 0.124337, 0.116242, 0.104366, 0.0705199, 0.0513519, 0.0405264, 0.0334197, 0.0255947, 0.0208794, 0.0140944, 0.00948109, 0.00601081, 0.00415381, 0.0025239, 0.00153096, 0.00121171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.078722");
-            index_3 ("0.0647974, 0.0908437, 0.0977575, 0.333364, 0.441579, 0.52087, 0.594186, 0.657832, 0.736274, 0.9529, 1.11057, 1.24951, 1.32378, 1.45816, 1.57432, 1.64464, 1.77792, 1.9444, 2.11087, 2.27735, 2.40658");
-            values ( \
-              "0.0559987, 0.161726, 0.165162, 0.149085, 0.141048, 0.134403, 0.126996, 0.119107, 0.107547, 0.0723698, 0.0504747, 0.0354823, 0.0291869, 0.0201659, 0.0145901, 0.0118973, 0.00809024, 0.0049636, 0.00303033, 0.00184279, 0.00138866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105191, 0.121589, 0.129485, 0.152885, 0.168804, 0.178236, 0.194356, 0.202061, 0.209745, 0.211572, 0.213638, 0.215172, 0.21782, 0.225092, 0.225636, 0.226725, 0.228902, 0.232746, 0.237804, 0.239278, 0.242228, 0.247078, 0.254039, 0.256476, 0.257206, 0.258667, 0.261588, 0.26743, 0.279114, 0.299309, 0.323498");
-            values ( \
-              "0.00129816, 0.00819211, 0.0123587, 0.0283235, 0.0385177, 0.0440837, 0.051996, 0.0491943, 0.0352519, 0.0356134, 0.0331649, 0.0323421, 0.0279869, 0.0197175, 0.0201233, 0.0181594, 0.017234, 0.0133396, 0.0111877, 0.00943296, 0.00902982, 0.00613568, 0.00509629, 0.00354637, 0.00440191, 0.00309945, 0.00360312, 0.00175997, 0.00171242, 1e-22, 0.00060301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00443392");
-            index_3 ("0.105224, 0.126479, 0.133726, 0.168903, 0.182224, 0.193569, 0.203784, 0.207062, 0.21034, 0.211891, 0.213388, 0.219115, 0.235598, 0.247962, 0.261675, 0.269754, 0.277717, 0.287974, 0.299206, 0.307098, 0.322761, 0.343645, 0.355992");
-            values ( \
-              "0.000188405, 0.0148388, 0.0206375, 0.0538351, 0.0659097, 0.0749707, 0.0813004, 0.0826456, 0.0831807, 0.0845636, 0.084717, 0.079201, 0.0520233, 0.0361807, 0.0235772, 0.0179858, 0.0137595, 0.009668, 0.00656586, 0.00493085, 0.00281565, 0.0013309, 0.000944942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00910155");
-            index_3 ("0.120667, 0.139445, 0.148307, 0.186211, 0.195905, 0.203024, 0.213559, 0.21743, 0.221683, 0.234625, 0.24054, 0.247091, 0.260192, 0.273558, 0.285222, 0.291459, 0.299774, 0.316404, 0.32321, 0.330856, 0.340859, 0.353886, 0.365322, 0.377431, 0.39476, 0.402419, 0.412771, 0.426574, 0.45418, 0.504942, 0.564802");
-            values ( \
-              "0.0212239, 0.0314711, 0.0417718, 0.0879085, 0.0990865, 0.106751, 0.117323, 0.118199, 0.116216, 0.109533, 0.105899, 0.101351, 0.0895807, 0.0748196, 0.0625962, 0.0566929, 0.0493923, 0.0367258, 0.0324412, 0.0281009, 0.0232146, 0.0179262, 0.0142579, 0.0111701, 0.00777226, 0.00663325, 0.00534698, 0.00402113, 0.00219961, 0.000685154, 0.000147324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0186828");
-            index_3 ("0.12081, 0.143486, 0.148834, 0.210318, 0.211897, 0.215055, 0.219035, 0.22276, 0.229255, 0.235974, 0.248173, 0.261123, 0.286586, 0.287712, 0.303483, 0.316523, 0.339985, 0.36714, 0.399094, 0.413642, 0.442739, 0.458277, 0.475682, 0.498889, 0.521439, 0.548222, 0.583933, 0.60379, 0.634596, 0.67567, 0.757818, 0.841057, 0.924296");
-            values ( \
-              "0.0189124, 0.0404519, 0.0473141, 0.134834, 0.140171, 0.14149, 0.141942, 0.139725, 0.139402, 0.136424, 0.134541, 0.129758, 0.123365, 0.122348, 0.116555, 0.110873, 0.0983914, 0.0815717, 0.0629308, 0.0553441, 0.0420367, 0.0360807, 0.0302415, 0.0237366, 0.018654, 0.0139321, 0.00932405, 0.00746243, 0.00526548, 0.00328606, 0.00118048, 0.000429725, 0.000145735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0383503");
-            index_3 ("0.120821, 0.15652, 0.210278, 0.212801, 0.214232, 0.217094, 0.290233, 0.350507, 0.400089, 0.415877, 0.458642, 0.499616, 0.605562, 0.634882, 0.673311, 0.722409, 0.747277, 0.793655, 0.844413, 0.888136, 0.946432, 0.996182, 1.06358, 1.14682, 1.23006, 1.3133, 1.39654, 1.56302");
-            values ( \
-              "0.015725, 0.0616958, 0.147422, 0.153645, 0.154973, 0.155595, 0.146001, 0.13736, 0.12916, 0.126125, 0.116238, 0.104521, 0.0706245, 0.0620785, 0.0519079, 0.0406897, 0.0358236, 0.0280385, 0.021255, 0.0166654, 0.0119403, 0.00897291, 0.00606628, 0.00368484, 0.00224809, 0.00135289, 0.000829993, 0.000309097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.078722");
-            index_3 ("0.151758, 0.192757, 0.213393, 0.21533, 0.219206, 0.411101, 0.483141, 0.533576, 0.616815, 0.678342, 0.749832, 0.829909, 1.04493, 1.14092, 1.2037, 1.28639, 1.34048, 1.4126, 1.49584, 1.54117, 1.60378, 1.67534, 1.74279, 1.82602, 1.86288, 1.9366, 2.01984, 2.10308, 2.18632, 2.35279, 2.51927, 2.60251, 2.68575, 2.93547");
-            values ( \
-              "0.111252, 0.124899, 0.161966, 0.162991, 0.163165, 0.150089, 0.144879, 0.141039, 0.134054, 0.127885, 0.119097, 0.107308, 0.0723831, 0.0584179, 0.0503272, 0.0409199, 0.0355947, 0.0294278, 0.0234454, 0.0207136, 0.0173752, 0.0142108, 0.0117017, 0.00922175, 0.00826986, 0.00669084, 0.00522474, 0.00410799, 0.00319526, 0.00194791, 0.00118445, 0.000942238, 0.000718324, 0.000355987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.254763, 0.285709, 0.2895, 0.293291, 0.297083, 0.317179, 0.323163, 0.329147, 0.333816, 0.338485, 0.343155, 0.347824, 0.35577, 0.363716, 0.370798, 0.377879, 0.381088, 0.384297, 0.387506, 0.390715, 0.393675, 0.396635, 0.399596, 0.402556, 0.405512, 0.408467, 0.411423, 0.414379, 0.417771, 0.420315, 0.42435, 0.425696, 0.427446, 0.43316, 0.435465, 0.437309, 0.438231, 0.44192, 0.445608, 0.449969, 0.454397, 0.45737, 0.460912, 0.464453, 0.467116, 0.469779, 0.472442, 0.475105, 0.478715, 0.481527");
-            values ( \
-              "0.00698458, 0.00727836, 0.00809818, 0.00898656, 0.00994351, 0.0157688, 0.0173262, 0.0188069, 0.0198851, 0.0209326, 0.0219495, 0.0229357, 0.0244811, 0.0260624, 0.027502, 0.0289701, 0.0299916, 0.0311472, 0.0324367, 0.0338603, 0.0335741, 0.0331495, 0.0325864, 0.0318849, 0.0310465, 0.0300701, 0.0289556, 0.0277032, 0.0248515, 0.0228354, 0.0200711, 0.0192089, 0.0181696, 0.0149488, 0.0137342, 0.0128282, 0.0123963, 0.0108535, 0.00945273, 0.00800074, 0.00661012, 0.00578405, 0.00499708, 0.00429603, 0.00384386, 0.00342797, 0.00304837, 0.00270506, 0.00230423, 0.00201083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00443392");
-            index_3 ("0.262366, 0.30021, 0.304975, 0.30974, 0.315334, 0.320928, 0.326522, 0.336093, 0.345663, 0.351346, 0.362712, 0.373208, 0.382835, 0.387648, 0.420433, 0.422403, 0.423647, 0.426136, 0.428624, 0.431112, 0.4336, 0.434406, 0.435211, 0.438433, 0.44144, 0.444447, 0.45193, 0.456459, 0.460987, 0.465395, 0.471192, 0.47409, 0.480963, 0.485598, 0.487915, 0.492549, 0.497641, 0.50252, 0.504625, 0.505302, 0.505979, 0.506657, 0.508011, 0.509498, 0.511928, 0.515234, 0.51807, 0.520906, 0.524573, 0.530056");
-            values ( \
-              "0.0128005, 0.015162, 0.0168637, 0.0186796, 0.0209748, 0.0231084, 0.0251686, 0.0284699, 0.0316634, 0.0335086, 0.0370849, 0.0402444, 0.0430136, 0.0443545, 0.0530796, 0.0536897, 0.0541684, 0.0544563, 0.0544694, 0.0542078, 0.0536713, 0.0534388, 0.0531775, 0.051405, 0.0493421, 0.0471333, 0.0410476, 0.037181, 0.0336494, 0.0304033, 0.0264216, 0.0245532, 0.0204146, 0.0179103, 0.0167933, 0.0147077, 0.0126471, 0.0108555, 0.00995175, 0.0100764, 0.010157, 0.0101413, 0.0100825, 0.00997569, 0.0097061, 0.00894543, 0.00814771, 0.00740715, 0.0065603, 0.00537619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00910155");
-            index_3 ("0.194549, 0.25343, 0.274219, 0.294036, 0.305852, 0.373312, 0.401983, 0.426183, 0.447759, 0.467878, 0.486852, 0.506167, 0.509227, 0.512097, 0.531228, 0.544598, 0.555311, 0.569594, 0.579526, 0.598452, 0.618062, 0.624879, 0.641053, 0.659538, 0.696507, 0.696707");
-            values ( \
-              "0.00143657, 0.00567813, 0.0104465, 0.0165875, 0.0212788, 0.051192, 0.0631344, 0.0724705, 0.0793784, 0.0834848, 0.0786254, 0.063235, 0.0623442, 0.0603356, 0.0439841, 0.0345974, 0.0283349, 0.0214891, 0.017674, 0.0120689, 0.00803899, 0.00697928, 0.00498725, 0.00337134, 0.00148966, 0.00148566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0186828");
-            index_3 ("0.25286, 0.291886, 0.299185, 0.328791, 0.451795, 0.483257, 0.511678, 0.535037, 0.551198, 0.615676, 0.645709, 0.680379, 0.70604, 0.751219, 0.784287, 0.828232, 0.906801, 1.07328, 1.15652");
-            values ( \
-              "0.0153677, 0.0191187, 0.0220997, 0.036214, 0.100188, 0.113871, 0.123099, 0.113586, 0.105706, 0.0666936, 0.051034, 0.0364534, 0.0280296, 0.0172409, 0.0119151, 0.00717698, 0.00273675, 0.00012483, 0.000392172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0383503");
-            index_3 ("0.252845, 0.315055, 0.36176, 0.490201, 0.505582, 0.513405, 0.523692, 0.573597, 0.642451, 0.676383, 0.713961, 0.843146, 0.928194, 0.991908, 1.02566, 1.07005, 1.15056, 1.21323, 1.25423, 1.33115, 1.41439, 1.49763, 1.55614");
-            values ( \
-              "0.01258, 0.0318343, 0.0574119, 0.134903, 0.14329, 0.145288, 0.144901, 0.137362, 0.125405, 0.117614, 0.107153, 0.0663378, 0.0443941, 0.0320151, 0.0266968, 0.0209678, 0.0133101, 0.00930034, 0.00730661, 0.00465286, 0.00285699, 0.00170563, 0.00139988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.078722");
-            index_3 ("0.252932, 0.348033, 0.408612, 0.505669, 0.514954, 0.532619, 0.547242, 0.565495, 0.69905, 0.840161, 0.901706, 0.973185, 1.05241, 1.26828, 1.36268, 1.42606, 1.5093, 1.56469, 1.63854, 1.72178, 1.7651, 1.82405, 1.89142, 1.96119, 2.04443, 2.09333, 2.17657, 2.25981, 2.42628, 2.59276, 2.84248, 3.17544");
-            values ( \
-              "0.00820177, 0.0514053, 0.089728, 0.155048, 0.157683, 0.157532, 0.15588, 0.155041, 0.145484, 0.134094, 0.127902, 0.119082, 0.107453, 0.072385, 0.0586352, 0.050448, 0.0409665, 0.0355162, 0.029221, 0.0232801, 0.0206769, 0.0175302, 0.0145032, 0.0118736, 0.00934951, 0.00810569, 0.00636758, 0.00497923, 0.00304605, 0.00185853, 0.000891752, 0.000333787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.478567, 0.577191, 0.608401, 0.6278, 0.647736, 0.671457, 0.680643, 0.726333, 0.757061, 0.782698, 0.805581, 0.826326, 0.833346, 0.839554, 0.845377, 0.854626, 0.864268, 0.887092, 0.895257, 0.911755, 0.922396, 0.934557, 0.950678, 0.962344, 0.985675, 1.01963, 1.06125, 1.21607, 1.23217, 1.25545");
-            values ( \
-              "0.000213123, 0.0013648, 0.00204691, 0.00264577, 0.00350555, 0.00505982, 0.00592396, 0.0111541, 0.0141644, 0.0162182, 0.0177628, 0.019531, 0.0203972, 0.0209298, 0.0211276, 0.0208866, 0.0194203, 0.0118729, 0.00962146, 0.00605227, 0.00438406, 0.00293667, 0.00171087, 0.00112039, 0.000469222, 0.000113062, 4.17119e-05, 6.64813e-06, 0.00033785, 0.000168108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00443392");
-            index_3 ("0.478129, 0.587244, 0.608149, 0.637007, 0.647034, 0.667089, 0.694044, 0.749089, 0.786044, 0.817325, 0.845388, 0.871073, 0.883606, 0.895054, 0.911865, 0.919451, 0.947414, 0.965813, 0.979347, 0.991133, 1.00685, 1.0223, 1.03133, 1.04939, 1.0855, 1.13015, 1.21582, 1.23516, 1.25988, 1.28187");
-            values ( \
-              "0.000237209, 0.00269123, 0.00349185, 0.0049529, 0.005634, 0.00731728, 0.0106441, 0.0192328, 0.0239417, 0.0271743, 0.0297091, 0.0322149, 0.0336414, 0.0341824, 0.0324758, 0.0301759, 0.0194603, 0.0136617, 0.0101705, 0.00774061, 0.0052313, 0.003504, 0.00275772, 0.00166396, 0.000549195, 0.000130959, 2.77869e-05, 0.000522693, 0.000334807, 6.97608e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00910155");
-            index_3 ("0.54308, 0.608176, 0.627678, 0.653681, 0.66945, 0.700988, 0.771547, 0.816108, 0.83574, 0.875873, 0.944744, 0.956932, 0.975965, 0.999057, 1.04872, 1.07441, 1.09907, 1.11016, 1.13234, 1.15357, 1.16584, 1.19038, 1.21585, 1.23371, 1.27427, 1.31442, 1.37953");
-            values ( \
-              "0.00522537, 0.00537269, 0.00669967, 0.00892921, 0.0106549, 0.0152139, 0.0284294, 0.0354411, 0.0382355, 0.0435509, 0.0514887, 0.0526569, 0.052915, 0.0478789, 0.0285977, 0.0200101, 0.0135929, 0.0113482, 0.00764638, 0.00518754, 0.00416748, 0.00255026, 0.00157558, 0.00186817, 0.000814014, 0.000404856, 4.41634e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186828");
-            index_3 ("0.542896, 0.632604, 0.661501, 0.690689, 0.733193, 0.828414, 0.914604, 0.967082, 1.01381, 1.05717, 1.0984, 1.12293, 1.21184, 1.24173, 1.25772, 1.29165, 1.30918, 1.34424, 1.36536, 1.39812, 1.4418, 1.52504, 1.60828, 1.69152");
-            values ( \
-              "0.00545218, 0.00955012, 0.0127549, 0.0168867, 0.0248542, 0.044113, 0.059793, 0.0685827, 0.0752678, 0.0797403, 0.0774193, 0.0700716, 0.0372985, 0.0287706, 0.0243818, 0.0169481, 0.0139982, 0.00945607, 0.00746564, 0.00515596, 0.00310866, 0.0011096, 0.000395815, 0.000143881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0383503");
-            index_3 ("0.608254, 0.756739, 0.949338, 1.03144, 1.1009, 1.16326, 1.21593, 1.22287, 1.23677, 1.38421, 1.41242, 1.46884, 1.49941, 1.53356, 1.5791, 1.61363, 1.63913, 1.67314, 1.74116, 1.77121, 1.8025, 1.84422, 1.92746, 2.0107, 2.09394, 2.26042, 2.34366");
-            values ( \
-              "0.0138166, 0.0325326, 0.0751736, 0.0929024, 0.106229, 0.11514, 0.116561, 0.115862, 0.1126, 0.0663374, 0.058405, 0.0444829, 0.0381246, 0.0319181, 0.0249683, 0.0206783, 0.0179507, 0.0148017, 0.0100231, 0.008405, 0.00701015, 0.00551742, 0.00333757, 0.00204693, 0.00122018, 0.000440043, 0.000287671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.078722");
-            index_3 ("0.608147, 0.729529, 0.735985, 0.885785, 1.15357, 1.21582, 1.23083, 1.25036, 1.29634, 1.40002, 1.51271, 1.5713, 1.80766, 1.89641, 1.96189, 2.04513, 2.1111, 2.18498, 2.26822, 2.31308, 2.41167, 2.48751, 2.57075, 2.63225, 2.71549, 2.79873, 2.9652, 3.13168, 3.3814, 3.71435");
-            values ( \
-              "0.0178995, 0.0288179, 0.0301281, 0.0648005, 0.131893, 0.145488, 0.145816, 0.144664, 0.141093, 0.132167, 0.119101, 0.1106, 0.07239, 0.0594158, 0.0508769, 0.0413452, 0.0348735, 0.0286681, 0.0228365, 0.0201721, 0.0153158, 0.0123227, 0.00971221, 0.00811154, 0.00637928, 0.00498105, 0.00304524, 0.001856, 0.000898035, 0.000339805" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.00187725, 0.00190175, 0.00192044, 0.00193217, 0.00193879, 0.00194224", \
-            "0.00219899, 0.00222994, 0.00225738, 0.00227633, 0.00228741, 0.00229329", \
-            "0.00239066, 0.00240985, 0.00243162, 0.00244953, 0.00246105, 0.00246748", \
-            "0.0025341, 0.00254027, 0.00254994, 0.002561, 0.00256996, 0.00257564", \
-            "0.00266614, 0.0026455, 0.0026268, 0.00261562, 0.0026119, 0.00261155", \
-            "0.00275304, 0.00272912, 0.00270532, 0.00267807, 0.00265414, 0.00263941" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.00249249, 0.00254239, 0.00258051, 0.0026014, 0.00261123, 0.00261525", \
-            "0.00251808, 0.00253161, 0.00254042, 0.00254195, 0.0025397, 0.00253676", \
-            "0.00256777, 0.00250449, 0.00244808, 0.0024088, 0.00238562, 0.00237299", \
-            "0.00270401, 0.00253992, 0.00241715, 0.00233416, 0.00228842, 0.00226236", \
-            "0.00295495, 0.00285489, 0.00257986, 0.0024104, 0.00231557, 0.00226352", \
-            "0.00284175, 0.00288132, 0.0028926, 0.00266452, 0.00242369, 0.0023129" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0218287, 0.0257569, 0.0328429, 0.0422606, 0.0455225, 0.0495543, 0.0521883, 0.0573562, 0.0679442, 0.075177, 0.0813189, 0.0890549, 0.0981438, 0.108977, 0.121358, 0.121979");
-            values ( \
-              "-0.0174882, -0.0816916, -0.082756, -0.0816885, -0.0802666, -0.0769941, -0.0735874, -0.0637026, -0.0399211, -0.0267724, -0.0184251, -0.0111703, -0.0060283, -0.00282795, -0.0011159, -0.00109784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00443392");
-            index_3 ("0.0235917, 0.0253127, 0.0275857, 0.0313651, 0.0389238, 0.0465298, 0.0542027, 0.0580734, 0.0620731, 0.0699883, 0.0747834, 0.0794342, 0.0950242, 0.0997877, 0.106658, 0.111929, 0.118957, 0.124699, 0.131968, 0.141491, 0.144733, 0.152551, 0.161486, 0.179356, 0.190387");
-            values ( \
-              "-0.0808548, -0.105178, -0.105605, -0.105675, -0.10549, -0.104606, -0.103023, -0.101797, -0.0999774, -0.093972, -0.0879898, -0.0803904, -0.0524498, -0.0447687, -0.0350231, -0.0287046, -0.0217267, -0.0171843, -0.0126675, -0.00837635, -0.00727494, -0.00516157, -0.00345978, -0.00148864, -0.0010225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00910155");
-            index_3 ("0.0239199, 0.0267249, 0.0291037, 0.0508281, 0.0642762, 0.0781368, 0.0919516, 0.10034, 0.106931, 0.120113, 0.15437, 0.173093, 0.187431, 0.199431, 0.209923, 0.223911, 0.234995, 0.247213, 0.263503, 0.296082, 0.317767");
-            values ( \
-              "-0.111248, -0.122849, -0.122896, -0.121601, -0.120235, -0.118054, -0.114704, -0.111326, -0.107754, -0.0967129, -0.0571126, -0.0391863, -0.0286201, -0.0217001, -0.0169299, -0.0120333, -0.00914772, -0.00674356, -0.00445019, -0.0018477, -0.00118908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0186828");
-            index_3 ("0.0241777, 0.0292178, 0.0748787, 0.10066, 0.126879, 0.153682, 0.164327, 0.181746, 0.21008, 0.266684, 0.308731, 0.335085, 0.356642, 0.375947, 0.401687, 0.423198, 0.446778, 0.478218, 0.541097, 0.577203");
-            values ( \
-              "-0.133588, -0.133659, -0.131411, -0.129562, -0.12706, -0.123274, -0.121164, -0.116381, -0.102933, -0.065157, -0.0417864, -0.0307273, -0.0235768, -0.0185034, -0.013285, -0.00999653, -0.00731323, -0.00479417, -0.00192749, -0.00132256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0383503");
-            index_3 ("0.0241717, 0.0266433, 0.123998, 0.174342, 0.226687, 0.279778, 0.306596, 0.335088, 0.391174, 0.521381, 0.582434, 0.644829, 0.682035, 0.739843, 0.800255, 0.827884, 0.869497, 0.92498, 1.00647, 1.08795, 1.25092");
-            values ( \
-              "-0.13964, -0.139683, -0.136729, -0.134687, -0.131942, -0.128023, -0.125223, -0.121097, -0.107308, -0.0617535, -0.0441966, -0.0305301, -0.0241544, -0.0166823, -0.0111082, -0.00922901, -0.00696182, -0.00477934, -0.00266536, -0.00152149, -0.000487673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.078722");
-            index_3 ("0.0241224, 0.0265816, 0.224801, 0.327235, 0.431543, 0.538499, 0.58944, 0.64985, 0.731336, 0.771635, 0.99029, 1.13238, 1.2538, 1.33528, 1.42594, 1.49604, 1.57753, 1.66511, 1.79676, 1.95973, 2.1227, 2.20797");
-            values ( \
-              "-0.142743, -0.142843, -0.139501, -0.137278, -0.134468, -0.130483, -0.127846, -0.123551, -0.114333, -0.108025, -0.0687334, -0.04707, -0.0329456, -0.0255962, -0.0191772, -0.0152614, -0.0116492, -0.00869526, -0.00556416, -0.00318108, -0.00181218, -0.00152441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0366437, 0.0460893, 0.0555034, 0.0593791, 0.0622948, 0.0654347, 0.0705845, 0.0811005, 0.0884015, 0.0956957, 0.104865, 0.112584, 0.122239, 0.132538, 0.134439");
-            values ( \
-              "-0.0821452, -0.082708, -0.0816579, -0.0799138, -0.0775274, -0.0735952, -0.0637501, -0.0401078, -0.0268074, -0.0171464, -0.00941203, -0.00554935, -0.00283175, -0.00132821, -0.00126116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00443392");
-            index_3 ("0.037042, 0.0398873, 0.0429321, 0.0485491, 0.0599311, 0.0733866, 0.0837416, 0.0921077, 0.113987, 0.121873, 0.136374, 0.149892, 0.163674, 0.173282, 0.192498, 0.194202");
-            values ( \
-              "-0.0936933, -0.103398, -0.105509, -0.105712, -0.104707, -0.101073, -0.0935024, -0.0818004, -0.0436331, -0.0328093, -0.0184957, -0.0104517, -0.00572727, -0.00372739, -0.00149146, -0.00144861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00910155");
-            index_3 ("0.0376085, 0.0404035, 0.042219, 0.0444293, 0.0645311, 0.0780865, 0.0918397, 0.105655, 0.114043, 0.120634, 0.133815, 0.168071, 0.186795, 0.201135, 0.213132, 0.22362, 0.237603, 0.248689, 0.260906, 0.277195, 0.309772, 0.333474");
-            values ( \
-              "-0.112189, -0.12088, -0.12237, -0.122789, -0.121631, -0.120199, -0.118054, -0.114705, -0.111326, -0.107755, -0.0967148, -0.0571159, -0.0391882, -0.02862, -0.0217015, -0.0169326, -0.0120368, -0.00915001, -0.00674539, -0.00445153, -0.00184838, -0.00112683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0186828");
-            index_3 ("0.0378943, 0.0415076, 0.0430557, 0.0458642, 0.0887204, 0.114252, 0.140719, 0.167581, 0.179047, 0.19559, 0.223947, 0.281196, 0.318812, 0.345204, 0.369324, 0.395637, 0.413162, 0.44672, 0.465216, 0.502206, 0.576175, 0.657661");
-            values ( \
-              "-0.123635, -0.132687, -0.133319, -0.133582, -0.131386, -0.129594, -0.12705, -0.12325, -0.120983, -0.116365, -0.102933, -0.0647299, -0.0435561, -0.03213, -0.0239065, -0.0171938, -0.0137017, -0.00880916, -0.00690052, -0.00415182, -0.00139463, -0.000389923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0383503");
-            index_3 ("0.0380216, 0.0418709, 0.0471076, 0.137964, 0.188857, 0.240654, 0.293745, 0.320563, 0.349055, 0.40514, 0.53535, 0.596399, 0.658792, 0.695999, 0.753808, 0.814217, 0.841843, 0.883449, 0.938924, 1.02041, 1.1019, 1.26487");
-            values ( \
-              "-0.129933, -0.138916, -0.139619, -0.13675, -0.134649, -0.131942, -0.128023, -0.125224, -0.121097, -0.107308, -0.0617527, -0.0441968, -0.030531, -0.0241548, -0.0166825, -0.0111084, -0.00922939, -0.0069624, -0.00478019, -0.00266569, -0.00152182, -0.000487839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.078722");
-            index_3 ("0.0378537, 0.0417855, 0.047173, 0.264306, 0.445377, 0.552333, 0.603272, 0.663684, 0.74517, 0.785469, 1.00413, 1.14621, 1.26763, 1.34911, 1.43977, 1.50987, 1.59136, 1.67893, 1.81058, 1.97355, 2.13652, 2.23576");
-            values ( \
-              "-0.133184, -0.142145, -0.142808, -0.13899, -0.134476, -0.130491, -0.127839, -0.123558, -0.114327, -0.108031, -0.0687306, -0.0470682, -0.032947, -0.0255956, -0.0191767, -0.0152621, -0.0116489, -0.00869534, -0.00556409, -0.00318084, -0.00181181, -0.00147713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0610787, 0.0639837, 0.0665888, 0.0702986, 0.0766466, 0.082229, 0.0874516, 0.0892825, 0.0918519, 0.0953841, 0.0974569, 0.101602, 0.110338, 0.118499, 0.124591, 0.132986, 0.139971, 0.143764, 0.152737, 0.162991, 0.169721");
-            values ( \
-              "-0.012451, -0.0411817, -0.0485481, -0.0563072, -0.0663355, -0.0728594, -0.0760771, -0.0788571, -0.0792816, -0.0763385, -0.0735873, -0.0659947, -0.0459653, -0.029932, -0.0208525, -0.0121933, -0.00762962, -0.0058859, -0.00315088, -0.00148819, -0.00102942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00443392");
-            index_3 ("0.0603657, 0.0700758, 0.0805046, 0.0874249, 0.0890443, 0.090658, 0.0922284, 0.0953693, 0.09991, 0.103781, 0.107778, 0.116012, 0.120485, 0.125138, 0.140716, 0.145467, 0.152354, 0.157639, 0.164686, 0.170397, 0.177625, 0.187166, 0.19041, 0.198247, 0.207204, 0.225118, 0.250746, 0.282239");
-            values ( \
-              "-0.0106791, -0.0716401, -0.0906299, -0.0981796, -0.101931, -0.103603, -0.104163, -0.104082, -0.103024, -0.101798, -0.0999759, -0.0936412, -0.0879961, -0.0803949, -0.0524736, -0.0448101, -0.0350363, -0.0287001, -0.0217061, -0.0171903, -0.0126941, -0.00838751, -0.0072841, -0.00516376, -0.00345772, -0.00148429, -0.000398106, -6.90558e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00910155");
-            index_3 ("0.0644751, 0.0695992, 0.0752239, 0.0894166, 0.0920877, 0.0943668, 0.110727, 0.131405, 0.138561, 0.152745, 0.160793, 0.169476, 0.196134, 0.219876, 0.236214, 0.246543, 0.265172, 0.279725, 0.295054, 0.33037, 0.351346");
-            values ( \
-              "-0.0480476, -0.0799747, -0.0951069, -0.118855, -0.121465, -0.121801, -0.120169, -0.116585, -0.114531, -0.108171, -0.102179, -0.0934001, -0.0621479, -0.0388318, -0.0270874, -0.0213272, -0.0136564, -0.00952664, -0.00650215, -0.00260884, -0.00170257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0186828");
-            index_3 ("0.0647504, 0.0738324, 0.0791478, 0.0830666, 0.0874327, 0.0888341, 0.0911266, 0.0932829, 0.0962319, 0.121685, 0.147466, 0.178532, 0.195149, 0.211166, 0.228552, 0.256886, 0.313493, 0.329727, 0.35049, 0.355535, 0.365624, 0.381886, 0.403443, 0.422747, 0.448485, 0.469991, 0.493563, 0.524992, 0.587849, 0.669335, 0.750821");
-            values ( \
-              "-0.048985, -0.0993, -0.111054, -0.118168, -0.123945, -0.128711, -0.131942, -0.132761, -0.132848, -0.131417, -0.129563, -0.126485, -0.124191, -0.121158, -0.116381, -0.102934, -0.065156, -0.0553511, -0.0441899, -0.0417881, -0.0372048, -0.0307296, -0.0235789, -0.0185054, -0.0132866, -0.00999849, -0.00731551, -0.00479628, -0.00192917, -0.000562618, -0.000152045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0383503");
-            index_3 ("0.0664814, 0.0802786, 0.0895485, 0.0960266, 0.202123, 0.273778, 0.326864, 0.380322, 0.408989, 0.442655, 0.568488, 0.629598, 0.69062, 0.72858, 0.797131, 0.851086, 0.908119, 1.03343, 1.12451");
-            values ( \
-              "-0.081285, -0.118786, -0.135575, -0.139254, -0.135529, -0.131924, -0.128021, -0.121472, -0.115585, -0.10579, -0.0617594, -0.0441895, -0.0307394, -0.0242464, -0.0155722, -0.0108572, -0.00739627, -0.00309049, -0.00190664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.078722");
-            index_3 ("0.0665436, 0.0883986, 0.0938703, 0.271981, 0.374415, 0.478723, 0.58568, 0.636621, 0.697031, 0.778517, 0.818815, 1.03747, 1.09967, 1.17955, 1.23599, 1.30097, 1.38246, 1.47312, 1.54322, 1.6247, 1.71227, 1.84392, 2.00689, 2.16986, 2.33283, 2.57729");
-            values ( \
-              "-0.0841937, -0.137631, -0.142545, -0.139506, -0.137282, -0.134472, -0.130486, -0.127844, -0.123553, -0.114334, -0.108024, -0.0687405, -0.0585963, -0.0470784, -0.0400195, -0.0329366, -0.0256064, -0.0191879, -0.0152514, -0.0116601, -0.00868428, -0.00555294, -0.00316959, -0.00180049, -0.00101876, -0.000449159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.114564, 0.120638, 0.129052, 0.136631, 0.150422, 0.157887, 0.164766, 0.171509, 0.178238, 0.183524, 0.199483, 0.202351, 0.21033, 0.211146, 0.212778, 0.218145, 0.221909, 0.230039, 0.240878, 0.247552");
-            values ( \
-              "-0.0124693, -0.0195563, -0.030587, -0.0376545, -0.0493843, -0.0547804, -0.0581266, -0.0574733, -0.0523401, -0.045469, -0.0226051, -0.019342, -0.0120482, -0.0124741, -0.0117795, -0.00827572, -0.00639833, -0.00363782, -0.00163953, -0.00112969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00443392");
-            index_3 ("0.114427, 0.12384, 0.130748, 0.138672, 0.151076, 0.164549, 0.174605, 0.183988, 0.193263, 0.202527, 0.210321, 0.212455, 0.229007, 0.235543, 0.246818, 0.253196, 0.2617, 0.269621, 0.28252, 0.299718, 0.308446");
-            values ( \
-              "-0.0049176, -0.0290374, -0.0402421, -0.050071, -0.0631596, -0.0756581, -0.0831761, -0.0868732, -0.0854876, -0.0767285, -0.0653965, -0.0635029, -0.0373704, -0.0292724, -0.018659, -0.0143153, -0.00993158, -0.00703873, -0.00397085, -0.00177645, -0.00132492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00910155");
-            index_3 ("0.118833, 0.129876, 0.139469, 0.164259, 0.171348, 0.185527, 0.192031, 0.200703, 0.202666, 0.210278, 0.213078, 0.217083, 0.226503, 0.231787, 0.242357, 0.247997, 0.277461, 0.289936, 0.297176, 0.308457, 0.32135, 0.335061, 0.344898, 0.358877, 0.364741, 0.376469, 0.399925, 0.439533, 0.486235");
-            values ( \
-              "-0.0159241, -0.0435274, -0.0588115, -0.0874114, -0.0938655, -0.105022, -0.108508, -0.11157, -0.112144, -0.113325, -0.114744, -0.114521, -0.110684, -0.10777, -0.0993394, -0.0934268, -0.0589877, -0.0462963, -0.0398192, -0.0311931, -0.0232169, -0.016808, -0.0132546, -0.00936801, -0.00810694, -0.00602715, -0.00328087, -0.00107202, -0.000274792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0186828");
-            index_3 ("0.125315, 0.137784, 0.152178, 0.170696, 0.189209, 0.214755, 0.220496, 0.252852, 0.279185, 0.295904, 0.307734, 0.331393, 0.338516, 0.395342, 0.420494, 0.431904, 0.449606, 0.469838, 0.48856, 0.510477, 0.5397, 0.558157, 0.58648, 0.624243, 0.699771, 0.781257");
-            values ( \
-              "-0.0491571, -0.0587955, -0.0813313, -0.100431, -0.117886, -0.129627, -0.130203, -0.127054, -0.12342, -0.119832, -0.116378, -0.105666, -0.101369, -0.0634517, -0.0488512, -0.0430757, -0.0351579, -0.0275901, -0.0218974, -0.0165929, -0.0113228, -0.00888182, -0.00609, -0.00363063, -0.00117372, -0.000336928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0383503");
-            index_3 ("0.125146, 0.14855, 0.170879, 0.18199, 0.199934, 0.210201, 0.213511, 0.219537, 0.22468, 0.267529, 0.310102, 0.35331, 0.412709, 0.437873, 0.46173, 0.509444, 0.548277, 0.635505, 0.686812, 0.710978, 0.737228, 0.767611, 0.807145, 0.839974, 0.877774, 0.93124, 0.986435, 1.02865, 1.11014, 1.19162, 1.27311, 1.43608");
-            values ( \
-              "-0.0392306, -0.0788099, -0.106261, -0.116959, -0.129745, -0.134289, -0.13687, -0.13811, -0.137634, -0.136095, -0.134249, -0.131949, -0.127438, -0.124637, -0.121105, -0.109866, -0.0967722, -0.065825, -0.0501046, -0.0437115, -0.0374959, -0.0312229, -0.0243812, -0.0197719, -0.0154609, -0.0108036, -0.00745046, -0.00559272, -0.0031458, -0.00177485, -0.000985809, -0.00030463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.078722");
-            index_3 ("0.138043, 0.221311, 0.454544, 0.604529, 0.665795, 0.777205, 0.834047, 0.898767, 1.11831, 1.25979, 1.38084, 1.55357, 1.70502, 1.92363, 2.20054");
-            values ( \
-              "-0.097181, -0.14211, -0.137277, -0.132952, -0.130489, -0.123547, -0.117621, -0.108043, -0.068605, -0.0470511, -0.0329629, -0.0191576, -0.011644, -0.00556628, -0.00237441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.228062, 0.242775, 0.250104, 0.254295, 0.273928, 0.29876, 0.310658, 0.321478, 0.331997, 0.342495, 0.347862, 0.35398, 0.372366, 0.382222, 0.393715, 0.402306, 0.40797, 0.419299, 0.438135, 0.460365, 0.488348, 0.505654, 0.515226, 0.52744");
-            values ( \
-              "-0.0019728, -0.00860893, -0.0103716, -0.0120811, -0.0211466, -0.030693, -0.0347417, -0.0369844, -0.0371255, -0.0363854, -0.0345223, -0.0308882, -0.0165723, -0.0105664, -0.00587187, -0.00367759, -0.00267521, -0.00137225, -0.00040726, -0.000102735, -2.96052e-05, -2.10096e-05, -0.000411257, -0.000215977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00443392");
-            index_3 ("0.238706, 0.252977, 0.263334, 0.273847, 0.290233, 0.320129, 0.33555, 0.349524, 0.362855, 0.376186, 0.381539, 0.391419, 0.409323, 0.421229, 0.432373, 0.447371, 0.457059, 0.473484, 0.495384, 0.505714, 0.513105, 0.531052, 0.547882");
-            values ( \
-              "-0.0146274, -0.0154853, -0.0203615, -0.0265323, -0.0348378, -0.0485405, -0.0549176, -0.0593148, -0.0604796, -0.057777, -0.0549713, -0.0470445, -0.0305464, -0.0212409, -0.0145164, -0.00831694, -0.00570339, -0.00292674, -0.0011024, -0.000706003, -0.00117009, -0.000519993, -0.000276529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00910155");
-            index_3 ("0.246157, 0.271161, 0.290148, 0.315811, 0.354359, 0.375581, 0.39486, 0.41345, 0.431979, 0.436257, 0.450923, 0.479438, 0.497292, 0.505667, 0.510368, 0.521896, 0.530292, 0.544804, 0.554596, 0.569032, 0.588279, 0.626773, 0.67326");
-            values ( \
-              "-0.0219645, -0.0282784, -0.0399969, -0.0536963, -0.0726613, -0.0817201, -0.0878133, -0.0890619, -0.0821238, -0.0792784, -0.067487, -0.0429224, -0.0301828, -0.0252441, -0.0236575, -0.0181781, -0.0148593, -0.010393, -0.00815203, -0.00567425, -0.00345661, -0.00118, -0.00029938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0186828");
-            index_3 ("0.252935, 0.290214, 0.315545, 0.354051, 0.372366, 0.407672, 0.438943, 0.468393, 0.497643, 0.512172, 0.530758, 0.593484, 0.617763, 0.654569, 0.689274, 0.708834, 0.736295, 0.765306, 0.787598, 0.832181, 0.876529");
-            values ( \
-              "-0.0270975, -0.0426436, -0.0578873, -0.0786096, -0.0876594, -0.10293, -0.112251, -0.116142, -0.114028, -0.110427, -0.0999737, -0.0584951, -0.0451033, -0.0294231, -0.0191479, -0.0148938, -0.0103935, -0.00708282, -0.00524305, -0.00283054, -0.00172235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0383503");
-            index_3 ("0.267495, 0.305466, 0.372145, 0.436515, 0.452472, 0.469493, 0.492394, 0.512999, 0.523314, 0.544409, 0.597553, 0.650992, 0.664493, 0.679923, 0.713369, 0.811901, 0.839027, 0.889299, 0.932048, 0.961391, 0.999296, 1.02931, 1.06777, 1.12174, 1.17883, 1.2227, 1.30419, 1.38568, 1.46716, 1.63013");
-            values ( \
-              "-0.0522562, -0.0540534, -0.0916332, -0.119038, -0.123579, -0.127415, -0.131059, -0.13342, -0.13326, -0.131973, -0.128053, -0.121446, -0.118968, -0.115527, -0.105781, -0.0707976, -0.0618069, -0.04704, -0.036699, -0.0307239, -0.024242, -0.0200187, -0.0155777, -0.0108597, -0.00739515, -0.00547775, -0.00308886, -0.00173416, -0.000971462, -0.000303846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.078722");
-            index_3 ("0.26736, 0.31977, 0.37333, 0.405683, 0.438101, 0.498887, 0.511196, 0.518057, 0.697588, 0.831891, 0.908298, 0.968711, 1.0502, 1.0905, 1.30915, 1.37134, 1.45123, 1.50767, 1.57265, 1.65414, 1.74479, 1.81489, 1.89637, 1.98394, 2.11558, 2.27855, 2.44152, 2.6045, 2.84895");
-            values ( \
-              "-0.0442604, -0.0639152, -0.0942945, -0.110254, -0.122956, -0.137802, -0.139792, -0.140096, -0.135973, -0.13159, -0.12785, -0.123547, -0.114339, -0.10802, -0.0687433, -0.0585947, -0.0470807, -0.0400213, -0.0329356, -0.025608, -0.0191895, -0.0152509, -0.0116614, -0.00868411, -0.00555275, -0.00316927, -0.00180012, -0.00101837, -0.000449621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.501676, 0.534843, 0.549641, 0.576749, 0.59414, 0.622572, 0.633828, 0.653455, 0.671252, 0.70535, 0.722213, 0.72598, 0.754975, 0.7671, 0.773823, 0.783351, 0.794841, 0.803966, 0.822215, 0.84983, 0.883568, 0.991366, 1.21588, 1.2404");
-            values ( \
-              "-0.00353071, -0.00404762, -0.00524966, -0.00873492, -0.0118906, -0.016604, -0.0184095, -0.0212466, -0.0223719, -0.0232788, -0.0214265, -0.0205105, -0.00991007, -0.00642211, -0.00495312, -0.00336234, -0.00208641, -0.00141522, -0.000630054, -0.000184591, -6.32381e-05, -1.71199e-05, -2.28531e-06, -0.000106364" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00443392");
-            index_3 ("0.509475, 0.55412, 0.56384, 0.58328, 0.616504, 0.642128, 0.66543, 0.687751, 0.69486, 0.703915, 0.712267, 0.733299, 0.741955, 0.753857, 0.774351, 0.782077, 0.808209, 0.815709, 0.828167, 0.841939, 0.853776, 0.866076, 0.875533, 0.894448, 0.928856, 0.96915, 1.02071, 1.21592, 1.23888");
-            values ( \
-              "-0.00585896, -0.00819045, -0.00946397, -0.0128559, -0.0200814, -0.0254121, -0.0300901, -0.0342502, -0.0353238, -0.0364533, -0.0372662, -0.0387125, -0.0389694, -0.038868, -0.0349916, -0.031984, -0.0194782, -0.0162321, -0.0116836, -0.00787051, -0.00549373, -0.00372989, -0.00274315, -0.00144623, -0.00039194, -9.48795e-05, -2.82951e-05, -8.5697e-06, -0.000187146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00910155");
-            index_3 ("0.518782, 0.570989, 0.586549, 0.60823, 0.625854, 0.677504, 0.716464, 0.7494, 0.778974, 0.8067, 0.834111, 0.861481, 0.906916, 0.920579, 0.936313, 0.949389, 0.966824, 0.984888, 0.994617, 1.01407, 1.05299, 1.10488, 1.16826, 1.2159, 1.23919");
-            values ( \
-              "-0.0091265, -0.0134136, -0.0162998, -0.0212039, -0.025659, -0.0377557, -0.0463465, -0.0530681, -0.057897, -0.0602449, -0.0594795, -0.0512946, -0.0291216, -0.0233112, -0.0176274, -0.0137952, -0.00977968, -0.00674582, -0.005481, -0.0035999, -0.00142531, -0.000375436, -6.09653e-05, -3.15063e-05, -0.000289999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186828");
-            index_3 ("0.53748, 0.588742, 0.620635, 0.700911, 0.795834, 0.842019, 0.883487, 0.922574, 0.961667, 0.968146, 0.988876, 1.04324, 1.08575, 1.11319, 1.15098, 1.19711, 1.21575, 1.25546, 1.28358, 1.33802, 1.41951");
-            values ( \
-              "-0.0178266, -0.0193785, -0.0265264, -0.0466779, -0.0682055, -0.0776168, -0.084576, -0.0875552, -0.0826963, -0.0808897, -0.0734962, -0.0499753, -0.0334264, -0.0248874, -0.0160908, -0.00908367, -0.00715978, -0.00454704, -0.00312491, -0.00142264, -0.000422815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0383503");
-            index_3 ("0.537518, 0.607528, 0.708912, 0.802471, 0.916719, 0.984169, 1.04631, 1.10709, 1.16776, 1.22578, 1.30291, 1.36424, 1.41551, 1.45616, 1.48995, 1.52752, 1.58081, 1.63535, 1.677, 1.75848, 1.83997, 1.8892");
-            values ( \
-              "-0.0140925, -0.0253881, -0.0506391, -0.0728236, -0.0969693, -0.107962, -0.114005, -0.113618, -0.103642, -0.0864914, -0.0599762, -0.0427471, -0.0314938, -0.0244407, -0.0197002, -0.0154126, -0.0107961, -0.00747965, -0.00562471, -0.00317499, -0.00178042, -0.00130876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.078722");
-            index_3 ("0.607954, 0.717851, 0.84019, 0.921676, 0.984245, 1.05069, 1.10171, 1.18319, 1.22657, 1.31713, 1.39269, 1.42851, 1.48526, 1.55008, 1.76961, 1.9111, 2.03215, 2.11363, 2.20487, 2.27483, 2.35632, 2.44352, 2.57491, 2.73788, 2.90086, 2.99378");
-            values ( \
-              "-0.0509403, -0.0539275, -0.0832737, -0.100845, -0.112475, -0.122211, -0.127521, -0.132575, -0.133872, -0.130474, -0.126303, -0.123536, -0.117643, -0.108034, -0.068608, -0.0470535, -0.0329628, -0.0256169, -0.0191582, -0.0152463, -0.0116441, -0.00869588, -0.00556805, -0.00318164, -0.00181079, -0.00149857" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.00168631, 0.00169452, 0.00170113, 0.00170547, 0.00170794, 0.00170926", \
-            "0.00188746, 0.00189441, 0.00190092, 0.00190571, 0.00190865, 0.00191026", \
-            "0.00199591, 0.00199741, 0.00200078, 0.00200403, 0.00200639, 0.00200782", \
-            "0.0020571, 0.00205657, 0.0020569, 0.00205729, 0.0020581, 0.00205882", \
-            "0.00209401, 0.00209167, 0.0020878, 0.00208452, 0.00208252, 0.00208162", \
-            "0.00211506, 0.00211133, 0.00210647, 0.00210148, 0.00209724, 0.00209452" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.00220274, 0.00221527, 0.00222582, 0.00223281, 0.00223679, 0.00223881", \
-            "0.00232138, 0.00231933, 0.00231957, 0.00232047, 0.00232123, 0.00232169", \
-            "0.00241164, 0.00238498, 0.00235996, 0.00234514, 0.00233625, 0.00233183", \
-            "0.00257119, 0.00249015, 0.00242192, 0.00237704, 0.00234937, 0.00233263", \
-            "0.00288186, 0.00272421, 0.00257353, 0.0024635, 0.00239619, 0.00235866", \
-            "0.00338868, 0.00323943, 0.00286403, 0.00265321, 0.00250211, 0.00241319" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.0039729, 0.00403113, 0.00406851, 0.00409233, 0.00410562, 0.00411212", \
-            "0.00385953, 0.00393952, 0.00401873, 0.00406259, 0.00410223, 0.00411666", \
-            "0.00376457, 0.00385191, 0.0039368, 0.00401362, 0.00406337, 0.00409572", \
-            "0.00379782, 0.00381384, 0.00389666, 0.00390088, 0.00400296, 0.00404745", \
-            "0.00417916, 0.0041297, 0.00404715, 0.00395866, 0.004016, 0.00405271", \
-            "0.00520924, 0.00500976, 0.0047604, 0.0044976, 0.0042715, 0.0041817" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "-0.000436944, -0.000396865, -0.000368872, -0.000352777, -0.000344077, -0.000339855", \
-            "-0.000556142, -0.000481986, -0.000421912, -0.000382727, -0.000362746, -0.000351757", \
-            "-0.000703885, -0.000599523, -0.000513928, -0.000441869, -0.000390024, -0.000365684", \
-            "-0.00080415, -0.000728271, -0.000602276, -0.000511867, -0.000442599, -0.000398073", \
-            "-0.000651057, -0.000610298, -0.000654064, -0.000580545, -0.000499304, -0.00043944", \
-            "0.000134318, -6.95175e-05, -0.000257384, -0.000382361, -0.000435353, -0.00043529" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "0.00474029, 0.00476411, 0.00478194, 0.00479282, 0.004796, 0.00479708", \
-            "0.00465659, 0.00470093, 0.00474358, 0.00477078, 0.00478275, 0.0047885", \
-            "0.00456122, 0.00462889, 0.00468603, 0.00472669, 0.00475915, 0.00477314", \
-            "0.00458632, 0.00461214, 0.00466172, 0.00469185, 0.00471964, 0.00469955", \
-            "0.00498366, 0.00491505, 0.00483823, 0.00478629, 0.00476457, 0.00476706", \
-            "0.00599238, 0.00580842, 0.00556645, 0.00529627, 0.00504949, 0.00492584" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00443392, 0.00910155, 0.0186828, 0.0383503, 0.078722");
-          values ( \
-            "-0.000399215, -0.000360448, -0.000335759, -0.00032115, -0.000313767, -0.0003099", \
-            "-0.000509133, -0.000436226, -0.000381255, -0.000346579, -0.000327489, -0.000317693", \
-            "-0.000682262, -0.000581607, -0.000484709, -0.000406581, -0.000366567, -0.000336133", \
-            "-0.000809328, -0.000732748, -0.000623684, -0.000515545, -0.000426031, -0.000376892", \
-            "-0.000775417, -0.000761702, -0.000708333, -0.00061932, -0.000515006, -0.00042878", \
-            "-0.000110446, -0.000283902, -0.000426934, -0.000493331, -0.000491089, -0.000444642" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00263524;
-      rise_capacitance : 0.00263524;
-      rise_capacitance_range (0.00199922, 0.00263524);
-      fall_capacitance : 0.00262853;
-      fall_capacitance_range (0.00179156, 0.00262853);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0018731, 0.00216192, 0.00231311, 0.00238412, 0.00241464, 0.00242809" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00220323, 0.00212359, 0.00202377, 0.00194094, 0.00190995, 0.00189785" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00144574, 0.00158468, 0.0016677, 0.00171838, 0.00174687, 0.0017602" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00200547, 0.00221307, 0.00233715, 0.00238574, 0.0023967, 0.00239971" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00262703, -0.00262801, -0.00263109, -0.00263379, -0.00263564, -0.00263879" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00262703, 0.00262801, 0.00263109, 0.00265346, 0.00263564, 0.00264023" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00263069;
-      rise_capacitance : 0.00263069;
-      rise_capacitance_range (0.00216979, 0.00263069);
-      fall_capacitance : 0.00260138;
-      fall_capacitance_range (0.00196576, 0.00260138);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00188049, 0.00223185, 0.00241829, 0.00250753, 0.00254687, 0.00256298" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00249582, 0.00247142, 0.00234139, 0.002253, 0.00221259, 0.00219829" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00165056, 0.00184341, 0.0019548, 0.00201742, 0.00205101, 0.00206693" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00218237, 0.00239651, 0.00252012, 0.00257274, 0.00258747, 0.00259552" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00246397, -0.00246638, -0.00247182, -0.00245896, -0.00246786, -0.00245769" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00246847, 0.00247397, 0.00247683, 0.00245896, 0.0024734, 0.00247244" \
-          );
-        }
-      }
-    }
-  }
-  cell (NOR2X1) {
-    area : 6.3492;
-    cell_footprint : "NOR2";
-    cell_leakage_power : 0.0111099;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00184036;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0124311;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0262038;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00396457;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0111099;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A * !B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0435441;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.0658107, 0.0857829, 0.121753, 0.186936, 0.305465, 0.521335", \
-            "0.0706565, 0.0907395, 0.126869, 0.192208, 0.310855, 0.526826", \
-            "0.0823827, 0.102423, 0.138595, 0.204087, 0.322917, 0.539036", \
-            "0.108184, 0.128608, 0.164686, 0.230143, 0.349119, 0.565461", \
-            "0.152711, 0.180439, 0.223937, 0.292077, 0.411278, 0.627772", \
-            "0.22976, 0.270231, 0.331229, 0.421853, 0.559154, 0.777961" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.0615642, 0.0894604, 0.14023, 0.232571, 0.40076, 0.70709", \
-            "0.0615681, 0.0894693, 0.140236, 0.232571, 0.400733, 0.707092", \
-            "0.0616545, 0.0895014, 0.140229, 0.23256, 0.400735, 0.70709", \
-            "0.067235, 0.0926962, 0.140875, 0.232557, 0.400657, 0.70709", \
-            "0.0929115, 0.117079, 0.158714, 0.241134, 0.401748, 0.707107", \
-            "0.143953, 0.172831, 0.220225, 0.299185, 0.4392, 0.719666" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.0319021, 0.0401307, 0.0545858, 0.0803093, 0.126595, 0.210494", \
-            "0.0356447, 0.04388, 0.0583859, 0.0841281, 0.130374, 0.214338", \
-            "0.0454303, 0.0535616, 0.0680492, 0.0938403, 0.140177, 0.224101", \
-            "0.0617602, 0.0731608, 0.0910092, 0.117384, 0.163941, 0.247848", \
-            "0.0762056, 0.0941451, 0.121626, 0.162569, 0.221159, 0.306848", \
-            "0.0760907, 0.103053, 0.144951, 0.207826, 0.298655, 0.425947" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.0279172, 0.0376597, 0.0556442, 0.0886034, 0.149157, 0.259379", \
-            "0.0276603, 0.0375661, 0.0556091, 0.0886062, 0.148992, 0.259379", \
-            "0.0297725, 0.0385813, 0.0556394, 0.0885311, 0.148995, 0.259438", \
-            "0.0449188, 0.0537941, 0.0673524, 0.0941559, 0.149936, 0.259681", \
-            "0.0718535, 0.0853178, 0.105633, 0.134787, 0.178821, 0.271086", \
-            "0.116492, 0.13729, 0.16842, 0.213621, 0.276678, 0.365715" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0338113, 0.0354989, 0.0378655, 0.0417037, 0.0474069, 0.0493065, 0.0531058, 0.0570407, 0.064821, 0.0654317, 0.0705669, 0.0733985, 0.0776726, 0.0826252, 0.0922066, 0.0961179, 0.103405, 0.113017, 0.125223, 0.135726, 0.145124, 0.152421, 0.165812, 0.181376, 0.195071, 0.213224, 0.237429, 0.269111");
-            values ( \
-              "0.0441534, 0.0481203, 0.0505339, 0.0508308, 0.0515073, 0.050657, 0.0506268, 0.0493728, 0.0480793, 0.0476339, 0.0460965, 0.044926, 0.0426079, 0.0386661, 0.0299027, 0.0271825, 0.022707, 0.0181199, 0.0135976, 0.0105754, 0.00841278, 0.00702042, 0.00500496, 0.00335465, 0.00233982, 0.00145091, 0.00075283, 0.000330314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00393872");
-            index_3 ("0.0341537, 0.0366975, 0.0401851, 0.0515336, 0.0572028, 0.0685883, 0.0692564, 0.0808048, 0.0933592, 0.09722, 0.104942, 0.119932, 0.13164, 0.144257, 0.161776, 0.178413, 0.197742, 0.2135, 0.226818, 0.254995, 0.271722, 0.30485, 0.349021, 0.406471");
-            values ( \
-              "0.0518054, 0.0596934, 0.06242, 0.0629277, 0.0616752, 0.0605383, 0.0601133, 0.0580063, 0.0547991, 0.0533558, 0.0493092, 0.0392758, 0.0325597, 0.0265804, 0.019932, 0.0148749, 0.0104946, 0.00778024, 0.00603443, 0.00346612, 0.00249615, 0.0012804, 0.000490334, 0.000133114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00718205");
-            index_3 ("0.0340603, 0.0384713, 0.0429228, 0.0460277, 0.0529717, 0.0711918, 0.0906757, 0.109189, 0.129338, 0.139615, 0.151169, 0.173658, 0.195856, 0.21508, 0.237073, 0.272364, 0.294858, 0.313446, 0.347368, 0.373946, 0.424124, 0.467776, 0.508936");
-            values ( \
-              "0.0477152, 0.0696773, 0.0714265, 0.0717905, 0.0717534, 0.070211, 0.0680924, 0.0657954, 0.0623835, 0.0596636, 0.055428, 0.0455606, 0.0369623, 0.0303436, 0.0238057, 0.0156335, 0.0117776, 0.00925831, 0.00589998, 0.00410979, 0.0020607, 0.0011076, 0.000694387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0130961");
-            index_3 ("0.034044, 0.0412421, 0.050364, 0.0579302, 0.0655135, 0.0806802, 0.0963092, 0.160549, 0.188012, 0.207604, 0.231116, 0.284759, 0.326005, 0.369069, 0.405705, 0.425533, 0.461071, 0.498166, 0.51386, 0.543571, 0.585409, 0.629983, 0.664288, 0.732897, 0.816223, 0.834095");
-            values ( \
-              "0.0542561, 0.0768363, 0.0781894, 0.0777767, 0.0776168, 0.0764976, 0.075743, 0.0710375, 0.0683917, 0.0655928, 0.0607991, 0.0468497, 0.036689, 0.0274885, 0.021003, 0.0180509, 0.0136159, 0.0100413, 0.00880696, 0.00684682, 0.00476637, 0.00323717, 0.00239446, 0.00128369, 0.000593579, 0.000543431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0238801");
-            index_3 ("0.0377353, 0.0377553, 0.114661, 0.196011, 0.254014, 0.302172, 0.335349, 0.376636, 0.449842, 0.537846, 0.610422, 0.671643, 0.704314, 0.763549, 0.8259, 0.852371, 0.901844, 0.971542, 1.01703, 1.10225, 1.18558, 1.2689, 1.36878");
-            values ( \
-              "1e-22, 0.0871907, 0.0800889, 0.0769372, 0.0742838, 0.0716176, 0.0689568, 0.0642619, 0.0533953, 0.0397949, 0.0298828, 0.0228808, 0.0197161, 0.0148962, 0.010969, 0.00961619, 0.00747531, 0.00521408, 0.00411248, 0.00262566, 0.0016849, 0.00107107, 0.000688802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0435441");
-            index_3 ("0.0422053, 0.0422253, 0.176102, 0.32276, 0.447945, 0.529063, 0.611955, 0.641491, 0.699694, 0.93235, 1.01294, 1.07908, 1.1624, 1.22975, 1.30047, 1.38379, 1.50094, 1.57532, 1.65865, 1.72954, 1.81137, 1.97802, 2.14467, 2.31132, 2.47797, 2.72795");
-            values ( \
-              "1e-22, 0.0932057, 0.0820118, 0.0788117, 0.0755546, 0.0727851, 0.068387, 0.0663702, 0.0617537, 0.0409205, 0.0341892, 0.0292288, 0.0236282, 0.0197746, 0.0163502, 0.012893, 0.00919116, 0.00743408, 0.00575402, 0.00464788, 0.00362573, 0.00217017, 0.00128963, 0.000760091, 0.000442751, 0.000244886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.048297, 0.0508694, 0.0532713, 0.0571438, 0.0629633, 0.0647703, 0.0683843, 0.0725045, 0.0802852, 0.0888629, 0.0931371, 0.0980895, 0.107671, 0.111582, 0.11887, 0.128481, 0.140686, 0.151189, 0.160587, 0.167883, 0.181273, 0.196834, 0.210528, 0.228675, 0.252871, 0.285624");
-            values ( \
-              "0.0132823, 0.0473234, 0.0497322, 0.0507553, 0.0512721, 0.050753, 0.0505134, 0.0494797, 0.0479683, 0.0449342, 0.0426003, 0.038674, 0.0298959, 0.0271812, 0.0227057, 0.0181216, 0.0135992, 0.0105748, 0.00841376, 0.00702049, 0.00500507, 0.00335477, 0.00234071, 0.00145184, 0.00075293, 0.000316402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00393872");
-            index_3 ("0.048264, 0.0522312, 0.0557599, 0.0614568, 0.0671556, 0.0841979, 0.0964097, 0.107331, 0.117143, 0.13374, 0.148936, 0.167504, 0.177767, 0.194297, 0.212526, 0.227333, 0.238372, 0.256517, 0.274412, 0.297277, 0.327765, 0.377538, 0.436569");
-            values ( \
-              "0.0125167, 0.0590454, 0.0617217, 0.062739, 0.06266, 0.0603247, 0.0580195, 0.0553912, 0.0512373, 0.0404062, 0.031738, 0.0235168, 0.0197951, 0.014812, 0.010651, 0.00805726, 0.00652931, 0.00458974, 0.00322125, 0.00204298, 0.00108793, 0.000363416, 9.14186e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00718205");
-            index_3 ("0.0481709, 0.0541421, 0.0590112, 0.0636038, 0.0686911, 0.0777041, 0.106347, 0.124904, 0.145057, 0.152955, 0.166882, 0.189231, 0.211745, 0.230734, 0.252295, 0.288291, 0.310896, 0.329289, 0.35873, 0.387972, 0.421642, 0.448517, 0.502266, 0.534558");
-            values ( \
-              "0.0177471, 0.0688752, 0.0713825, 0.0717613, 0.0717176, 0.0710375, 0.0680958, 0.0657946, 0.0623847, 0.0603718, 0.0554649, 0.0456039, 0.0369065, 0.030366, 0.0239427, 0.0155928, 0.0117295, 0.00924414, 0.00625683, 0.00420211, 0.00265318, 0.00182221, 0.000836767, 0.000594206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0130961");
-            index_3 ("0.0513016, 0.0513216, 0.112296, 0.14328, 0.14517, 0.176536, 0.203992, 0.210529, 0.223604, 0.247103, 0.300744, 0.341991, 0.385057, 0.421692, 0.441518, 0.477055, 0.514151, 0.529846, 0.559557, 0.601394, 0.645964, 0.680266, 0.74887, 0.832196, 0.838345");
-            values ( \
-              "1e-22, 0.0790729, 0.0757331, 0.0734776, 0.0734434, 0.0710382, 0.0683917, 0.0675606, 0.0655901, 0.0607997, 0.0468496, 0.0366886, 0.0274878, 0.0210033, 0.0180513, 0.0136164, 0.0100416, 0.00880683, 0.00684707, 0.00476629, 0.00323719, 0.00239477, 0.00128372, 0.000593786, 0.000570019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0238801");
-            index_3 ("0.0512442, 0.0660459, 0.0741668, 0.0777431, 0.0848958, 0.113981, 0.133688, 0.157896, 0.24794, 0.314041, 0.359322, 0.392594, 0.457574, 0.558604, 0.627959, 0.687979, 0.719622, 0.778524, 0.841832, 0.918256, 0.987755, 1.03281, 1.11702, 1.20035, 1.28367, 1.45032, 1.61698");
-            values ( \
-              "0.0788164, 0.0820066, 0.0818707, 0.0819631, 0.0815666, 0.0807028, 0.0798537, 0.0790851, 0.0753765, 0.0719314, 0.0682289, 0.0641883, 0.0546304, 0.0390701, 0.0297217, 0.0228026, 0.019746, 0.0149383, 0.0109429, 0.00743146, 0.00523249, 0.00409278, 0.00261957, 0.00171802, 0.0010555, 0.000413858, 0.00015301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0435441");
-            index_3 ("0.0600271, 0.0600471, 0.175651, 0.240923, 0.339108, 0.463857, 0.545411, 0.628737, 0.657842, 0.716051, 0.948701, 1.0293, 1.09543, 1.17875, 1.2461, 1.31681, 1.40014, 1.5173, 1.59167, 1.675, 1.82773, 1.99438, 2.16103, 2.49434, 2.74432");
-            values ( \
-              "1e-22, 0.0966934, 0.0823264, 0.0810825, 0.0788471, 0.0755979, 0.0728144, 0.0683306, 0.0663975, 0.0617264, 0.0408988, 0.0341689, 0.0292484, 0.0236089, 0.0197572, 0.0163675, 0.0128761, 0.00917488, 0.00744988, 0.00573837, 0.0036125, 0.00215737, 0.00127723, 0.000431085, 0.000256023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0797834, 0.0899295, 0.0951319, 0.0975403, 0.101925, 0.108571, 0.11206, 0.119742, 0.12611, 0.132656, 0.146053, 0.152757, 0.160418, 0.175306, 0.187747, 0.200552, 0.210995, 0.226834, 0.235914, 0.25386, 0.284855, 0.317192");
-            values ( \
-              "0.000543408, 0.0415207, 0.0473691, 0.0488635, 0.0499774, 0.0496648, 0.049041, 0.0471873, 0.0449378, 0.0410287, 0.029115, 0.0246384, 0.0204573, 0.0144809, 0.01073, 0.00786443, 0.00604853, 0.00404437, 0.00319791, 0.00200015, 0.00087256, 0.000372853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00393872");
-            index_3 ("0.080249, 0.092371, 0.0947098, 0.0985001, 0.104266, 0.110024, 0.119084, 0.121646, 0.126772, 0.133638, 0.145727, 0.159904, 0.174082, 0.181284, 0.197022, 0.204815, 0.215204, 0.231679, 0.249358, 0.263691, 0.275925, 0.294972, 0.312159, 0.333598, 0.362183, 0.411657, 0.46989");
-            values ( \
-              "0.00459933, 0.0539671, 0.0577879, 0.0602112, 0.0622963, 0.0614643, 0.0609425, 0.0598812, 0.059663, 0.0576912, 0.0553703, 0.0479681, 0.0383196, 0.0343183, 0.0266392, 0.0234941, 0.0197307, 0.0147778, 0.0107335, 0.00819616, 0.00649195, 0.00448293, 0.00319136, 0.00208305, 0.00115644, 0.000389803, 0.000100352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00718205");
-            index_3 ("0.0806398, 0.0921655, 0.0966701, 0.101398, 0.105925, 0.113881, 0.12418, 0.147621, 0.162178, 0.182327, 0.192603, 0.204158, 0.226646, 0.248843, 0.268067, 0.290059, 0.312854, 0.325348, 0.347841, 0.366428, 0.3815, 0.400345, 0.426918, 0.455255, 0.477068, 0.520693, 0.597878, 0.681204");
-            values ( \
-              "0.000273644, 0.06135, 0.0675944, 0.0704217, 0.0711827, 0.0710719, 0.0701781, 0.0676317, 0.0657957, 0.0623845, 0.0596632, 0.0554297, 0.0455602, 0.0369646, 0.0303432, 0.0238055, 0.018194, 0.0156356, 0.0117798, 0.0092583, 0.00759547, 0.00590205, 0.00410978, 0.00279115, 0.00206301, 0.00110779, 0.00033349, 8.90023e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0130961");
-            index_3 ("0.0806175, 0.0940992, 0.0968233, 0.10206, 0.106726, 0.112796, 0.130873, 0.190609, 0.213863, 0.238947, 0.24785, 0.265166, 0.284431, 0.337594, 0.379245, 0.42312, 0.459194, 0.478529, 0.513905, 0.551459, 0.567508, 0.597092, 0.638832, 0.683028, 0.717001, 0.784946, 0.868272, 0.887361");
-            values ( \
-              "0.000202899, 0.0697906, 0.0733666, 0.076722, 0.0775248, 0.0776878, 0.0768015, 0.0728466, 0.0710307, 0.0686615, 0.0675527, 0.0648601, 0.0607629, 0.0469828, 0.0367135, 0.0273476, 0.0209701, 0.0180912, 0.0136645, 0.010039, 0.00878452, 0.00683114, 0.00476459, 0.00324727, 0.00240444, 0.00130154, 0.000597968, 0.000543767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0238801");
-            index_3 ("0.0931409, 0.0931609, 0.161984, 0.250643, 0.307553, 0.351142, 0.366758, 0.396894, 0.430172, 0.503357, 0.591228, 0.665529, 0.725553, 0.757198, 0.816098, 0.865801, 0.906615, 0.955827, 1.02532, 1.09845, 1.15459, 1.23792, 1.32125, 1.4879, 1.65455");
-            values ( \
-              "1e-22, 0.0921964, 0.0802514, 0.0768327, 0.0742862, 0.071906, 0.0708064, 0.0681762, 0.064241, 0.0533908, 0.0398163, 0.0296823, 0.0228432, 0.0197763, 0.0149678, 0.0117374, 0.00957933, 0.00745923, 0.00520542, 0.00355856, 0.00264377, 0.00169403, 0.00107945, 0.000437557, 0.000176473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0435441");
-            index_3 ("0.0962544, 0.0962744, 0.227371, 0.406148, 0.541358, 0.582806, 0.665703, 0.753436, 1.01296, 1.13282, 1.28349, 1.35421, 1.47658, 1.62906, 1.71238, 1.94841, 2.28172, 2.3322");
-            values ( \
-              "1e-22, 0.0937307, 0.0821042, 0.0780675, 0.0742931, 0.0727497, 0.068422, 0.0617887, 0.0386154, 0.0291943, 0.0198092, 0.0163161, 0.0115503, 0.00740027, 0.00578804, 0.00282997, 0.00101407, 0.000933126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.153516, 0.160684, 0.169682, 0.178478, 0.184062, 0.194218, 0.203839, 0.210265, 0.2133, 0.22186, 0.234676, 0.238093, 0.250025, 0.269619, 0.277149, 0.279171, 0.283215, 0.291303, 0.302549, 0.306189, 0.313469, 0.328029, 0.337331, 0.34086, 0.347918, 0.362033, 0.430705, 0.48003");
-            values ( \
-              "0.00128876, 0.0204386, 0.0287825, 0.0342878, 0.0367539, 0.0398521, 0.0409763, 0.0409947, 0.0417731, 0.0392507, 0.0283463, 0.0259977, 0.0194529, 0.0122346, 0.0104596, 0.00970605, 0.00904342, 0.00716042, 0.00562041, 0.00487446, 0.00428877, 0.00270915, 0.00236417, 0.0019003, 0.00182504, 0.00102741, 2.56842e-05, 0.000168716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00393872");
-            index_3 ("0.154434, 0.163122, 0.175101, 0.186773, 0.194337, 0.221022, 0.226313, 0.23369, 0.245884, 0.264158, 0.279312, 0.294645, 0.323653, 0.356729, 0.380167, 0.398465, 0.433056, 0.463152, 0.478922");
-            values ( \
-              "0.00467927, 0.0280028, 0.0394401, 0.0465755, 0.0496461, 0.0567134, 0.0563811, 0.0547757, 0.0490911, 0.0369266, 0.028831, 0.0226158, 0.0136589, 0.00737988, 0.00469444, 0.00326307, 0.00164128, 0.000878117, 0.000697489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00718205");
-            index_3 ("0.154976, 0.166935, 0.176314, 0.189157, 0.19627, 0.210278, 0.219168, 0.223511, 0.230367, 0.24968, 0.269154, 0.279278, 0.343459, 0.381704, 0.417078, 0.4539, 0.48436, 0.512995, 0.545538, 0.571319, 0.62288, 0.639754");
-            values ( \
-              "0.00657147, 0.0367085, 0.0459809, 0.0547233, 0.0580175, 0.0626774, 0.0673194, 0.0680136, 0.0680165, 0.0658144, 0.0625832, 0.0598988, 0.0343534, 0.0226897, 0.0148344, 0.00925647, 0.00618163, 0.00418403, 0.00268243, 0.00187393, 0.000888985, 0.000754394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0130961");
-            index_3 ("0.154971, 0.172317, 0.180854, 0.196209, 0.210188, 0.217856, 0.221724, 0.229459, 0.249029, 0.300431, 0.323813, 0.335248, 0.352531, 0.371829, 0.424995, 0.466643, 0.510511, 0.546588, 0.565927, 0.601302, 0.638852, 0.654896, 0.68448, 0.726217, 0.770405, 0.804371, 0.872302, 0.955628, 1.12228");
-            values ( \
-              "0.00871477, 0.0456754, 0.0535995, 0.0632652, 0.0689265, 0.0734385, 0.0749595, 0.0759669, 0.0749227, 0.0711634, 0.0689192, 0.0675565, 0.0648633, 0.0607661, 0.0469806, 0.0367123, 0.0273482, 0.0209719, 0.0180922, 0.0136655, 0.0100403, 0.0087846, 0.00683253, 0.00476447, 0.00324728, 0.00240569, 0.00130144, 0.000598828, 0.000125458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0238801");
-            index_3 ("0.165384, 0.220386, 0.229464, 0.283235, 0.398134, 0.45451, 0.494679, 0.564524, 0.68386, 0.759896, 0.859023, 0.91784, 0.98603, 1.02671, 1.10658, 1.19978, 1.27512, 1.44177, 1.46781");
-            values ( \
-              "0.0522034, 0.078722, 0.0807001, 0.0790597, 0.0741533, 0.0708155, 0.0671163, 0.0574777, 0.0391085, 0.0288603, 0.0185176, 0.0139704, 0.00997874, 0.00812556, 0.00537006, 0.00330552, 0.00221259, 0.000901991, 0.000843028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0435441");
-            index_3 ("0.174957, 0.219821, 0.223597, 0.238978, 0.464366, 0.589522, 0.670669, 0.75359, 0.841301, 1.10082, 1.22068, 1.37135, 1.44206, 1.56444, 1.64254, 1.80024, 2.03627, 2.36957, 2.41746");
-            values ( \
-              "0.0792883, 0.0816534, 0.0829926, 0.0836935, 0.0788068, 0.0755448, 0.0727729, 0.0683981, 0.061769, 0.0386283, 0.0292062, 0.0197996, 0.016326, 0.0115593, 0.00921669, 0.00577988, 0.00283623, 0.0010198, 0.000942964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.29756, 0.337554, 0.352501, 0.378529, 0.392586, 0.405633, 0.418689, 0.420152, 0.425167, 0.431853, 0.440053, 0.450986, 0.462486, 0.470575, 0.484393, 0.489328, 0.496583, 0.505755, 0.506829, 0.508976, 0.510921, 0.514335, 0.525563, 0.540535, 0.553046, 0.559988, 0.573873, 0.601643, 0.642138, 0.691332");
-            values ( \
-              "2.34887e-06, 0.015439, 0.0196442, 0.0262555, 0.0290516, 0.0305475, 0.0281191, 0.0274036, 0.0253699, 0.0227979, 0.0200875, 0.0168643, 0.0139369, 0.0120248, 0.00915279, 0.00826866, 0.00707577, 0.00576201, 0.00598386, 0.00592837, 0.00568093, 0.00539408, 0.0041664, 0.00281377, 0.00203177, 0.00169595, 0.00117152, 0.000544694, 0.000163209, 3.54462e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00393872");
-            index_3 ("0.310612, 0.353501, 0.368619, 0.398765, 0.416544, 0.433305, 0.450066, 0.47009, 0.489483, 0.505685, 0.510514, 0.53438, 0.547227, 0.564357, 0.572374, 0.585915, 0.603968, 0.616433, 0.63484, 0.659383, 0.708469, 0.767203");
-            values ( \
-              "0.0087332, 0.0242676, 0.0292126, 0.0381215, 0.0416154, 0.0430196, 0.0399607, 0.0321826, 0.0253152, 0.0204017, 0.019709, 0.0134844, 0.0106606, 0.00771572, 0.00662054, 0.00509565, 0.0035688, 0.00279062, 0.00193386, 0.0011707, 0.000398626, 0.000101123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00718205");
-            index_3 ("0.310813, 0.330272, 0.370018, 0.402826, 0.429503, 0.45363, 0.476877, 0.500116, 0.505738, 0.512429, 0.56161, 0.600213, 0.63341, 0.666028, 0.700487, 0.725579, 0.757122, 0.799178, 0.848525");
-            values ( \
-              "0.00816646, 0.0184295, 0.0336423, 0.0452059, 0.0518685, 0.0552828, 0.0560158, 0.0525901, 0.0507448, 0.0494284, 0.0315111, 0.0204486, 0.013646, 0.00896129, 0.005667, 0.00402713, 0.0026124, 0.00143746, 0.000780963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0130961");
-            index_3 ("0.326624, 0.35741, 0.393851, 0.421268, 0.438176, 0.471993, 0.48344, 0.497468, 0.505664, 0.510818, 0.516132, 0.521604, 0.529029, 0.536865, 0.544964, 0.561163, 0.581541, 0.618068, 0.661698, 0.677374, 0.679411, 0.691631, 0.707925, 0.740512, 0.765844, 0.793156, 0.829572, 0.844592, 0.848259, 0.855592, 0.898735, 0.954785, 0.98787, 1.05404, 1.13737, 1.22069, 1.30402");
-            values ( \
-              "0.0305328, 0.0311224, 0.0460405, 0.0552295, 0.0594922, 0.0652125, 0.0664916, 0.0677038, 0.0682036, 0.0693677, 0.0695563, 0.0702117, 0.0690594, 0.068773, 0.0673572, 0.0652348, 0.0606117, 0.051391, 0.0401167, 0.0365794, 0.0359735, 0.0332254, 0.0298649, 0.023549, 0.0194652, 0.0157187, 0.0117939, 0.0103202, 0.0101208, 0.00941283, 0.00651736, 0.00399048, 0.003087, 0.00161031, 0.000828736, 0.000297022, 0.000220732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0238801");
-            index_3 ("0.326354, 0.354014, 0.387519, 0.427741, 0.457193, 0.513264, 0.524674, 0.534258, 0.604664, 0.661901, 0.691598, 0.751014, 0.910035, 1.00885, 1.07133, 1.11062, 1.1763, 1.25385, 1.32288, 1.39421, 1.44878, 1.53211, 1.61544, 1.78209, 1.94874");
-            values ( \
-              "0.0310536, 0.0311614, 0.0457513, 0.0603867, 0.0676743, 0.0764882, 0.0775989, 0.0774841, 0.0742705, 0.0710141, 0.0684053, 0.0609216, 0.0366859, 0.0242728, 0.0182572, 0.0151441, 0.0109736, 0.00741848, 0.00518788, 0.00357968, 0.00268365, 0.00171824, 0.00109643, 0.000445002, 0.000180009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0435441");
-            index_3 ("0.345705, 0.400797, 0.420289, 0.455095, 0.47812, 0.527392, 0.750154, 0.825709, 0.880488, 0.963814, 1.05114, 1.31065, 1.43051, 1.51383, 1.58119, 1.6519, 1.77427, 1.85235, 1.92673, 2.01006, 2.10821, 2.24607, 2.41273, 2.57938, 2.82936, 3.07933");
-            values ( \
-              "0.0499846, 0.052541, 0.0600867, 0.0697318, 0.074554, 0.0820675, 0.0769254, 0.0747504, 0.0727363, 0.0684075, 0.0617939, 0.0386198, 0.0291994, 0.0236587, 0.0198036, 0.016322, 0.0115567, 0.00921991, 0.00740698, 0.0057822, 0.00430855, 0.00283666, 0.00170359, 0.0010205, 0.000469181, 0.000218686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.637221, 0.670185, 0.68534, 0.699057, 0.720992, 0.766445, 0.793768, 0.816862, 0.837637, 0.858482, 0.879349, 0.882181, 0.897993, 0.933191, 0.96117, 0.987464, 1.01968, 1.03895, 1.07051, 1.11258, 1.16731, 1.21584, 1.2393, 1.29694");
-            values ( \
-              "0.00129751, 0.00342462, 0.00394318, 0.0049253, 0.00701365, 0.0128023, 0.0156697, 0.0180512, 0.0193044, 0.0180144, 0.0151957, 0.0153273, 0.0136919, 0.00909881, 0.00592205, 0.00373204, 0.00198897, 0.00132931, 0.000658655, 0.000282752, 3.28868e-05, 5.48125e-05, 0.000223066, 3.89668e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00393872");
-            index_3 ("0.63736, 0.678249, 0.70927, 0.715074, 0.790903, 0.824379, 0.852764, 0.878261, 0.903222, 0.928142, 0.945499, 0.988744, 1.01297, 1.04175, 1.05752, 1.07748, 1.11355, 1.13979, 1.16979, 1.21594, 1.23807, 1.29171, 1.34508");
-            values ( \
-              "0.00172184, 0.00521568, 0.00754809, 0.00818943, 0.0190509, 0.0233251, 0.0267041, 0.028898, 0.0279808, 0.0241049, 0.0217295, 0.0142763, 0.0105932, 0.00706178, 0.00555778, 0.00403938, 0.00218285, 0.00139089, 0.000785163, 0.000331499, 0.000538454, 0.000174366, 9.24556e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00718205");
-            index_3 ("0.6626, 0.708745, 0.730333, 0.813962, 0.870449, 0.906528, 0.939055, 0.971034, 1.00585, 1.08298, 1.11168, 1.14017, 1.18401, 1.21579, 1.22387, 1.26657, 1.301, 1.36986, 1.4514");
-            values ( \
-              "0.00862401, 0.00936081, 0.0121018, 0.0253419, 0.0334794, 0.038246, 0.0410857, 0.0400339, 0.0344267, 0.0197328, 0.0149152, 0.0109602, 0.00654778, 0.00438445, 0.00435683, 0.00256831, 0.00158129, 0.000562216, 0.000151458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130961");
-            index_3 ("0.662663, 0.708456, 0.734617, 0.882429, 0.93767, 0.985477, 1.02981, 1.07418, 1.12247, 1.21584, 1.29893, 1.33793, 1.39277, 1.45374, 1.52694, 1.60502, 1.65786");
-            values ( \
-              "0.00960463, 0.0109961, 0.0143342, 0.0385755, 0.0467157, 0.0519921, 0.0543339, 0.0523246, 0.0451626, 0.0280102, 0.0155812, 0.0113435, 0.00716849, 0.00422852, 0.00222488, 0.00108852, 0.000715566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0238801");
-            index_3 ("0.691981, 0.796843, 0.898695, 0.959462, 1.03469, 1.10238, 1.16725, 1.2329, 1.4284, 1.52487, 1.60924, 1.68169, 1.76083, 1.85875, 2.00121, 2.16786, 2.41784");
-            values ( \
-              "0.0152311, 0.0257671, 0.043452, 0.0528525, 0.0614454, 0.0655752, 0.0670381, 0.0643041, 0.0347154, 0.0230153, 0.0155918, 0.0109186, 0.00730536, 0.00437655, 0.00202821, 0.000796584, 0.000269504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0435441");
-            index_3 ("0.691995, 0.769724, 0.93983, 0.985159, 1.03244, 1.06905, 1.12832, 1.17831, 1.23666, 1.25874, 1.38604, 1.46936, 1.55676, 1.81627, 1.93613, 2.01946, 2.08679, 2.1575, 2.27988, 2.35799, 2.43236, 2.51568, 2.61381, 2.75167, 2.91832, 3.08497, 3.25162, 3.58493");
-            values ( \
-              "0.0182753, 0.0220965, 0.0518212, 0.0586667, 0.0643892, 0.0679826, 0.0723637, 0.0750369, 0.0769978, 0.0768997, 0.0727766, 0.068377, 0.0617675, 0.0386208, 0.0291983, 0.0236594, 0.0198083, 0.0163187, 0.011552, 0.00922441, 0.00740163, 0.0057874, 0.00430258, 0.00283068, 0.0016975, 0.00101435, 0.000604399, 0.000212543" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00201718, 0.00202217, 0.00202644, 0.00202956, 0.0020316, 0.00203284", \
-            "0.00229965, 0.00230748, 0.00231504, 0.00232112, 0.00232537, 0.00232806", \
-            "0.00244172, 0.00244685, 0.00245325, 0.0024595, 0.00246448, 0.00246791", \
-            "0.00253984, 0.00253985, 0.00253596, 0.00253407, 0.0025336, 0.0025338", \
-            "0.0026369, 0.00262297, 0.00260787, 0.00259125, 0.00257841, 0.00257079", \
-            "0.0027061, 0.00269202, 0.00267502, 0.002655, 0.00263165, 0.00261066" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00245631, 0.00246059, 0.00246538, 0.00246953, 0.00247253, 0.00247446", \
-            "0.00240658, 0.00239149, 0.00238121, 0.00237838, 0.00237993, 0.00237948", \
-            "0.00239871, 0.00236097, 0.00232447, 0.00229288, 0.00226822, 0.00225281", \
-            "0.00250466, 0.00242824, 0.00235928, 0.00229759, 0.00225236, 0.0022218", \
-            "0.00273848, 0.00270901, 0.00253201, 0.0024148, 0.00232238, 0.00225452", \
-            "0.00265121, 0.00267423, 0.0027008, 0.00268503, 0.0024897, 0.00236771" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0131448, 0.0173165, 0.0197172, 0.0225017, 0.0294374, 0.0348938, 0.0437912, 0.0480259, 0.0522691, 0.064231, 0.0683206, 0.0753473, 0.0813245");
-            values ( \
-              "-0.00908159, -0.0842084, -0.0783317, -0.0758285, -0.0764337, -0.0805467, -0.0932453, -0.090209, -0.0764251, -0.0250355, -0.0158551, -0.00709465, -0.00410582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00393872");
-            index_3 ("0.0157127, 0.0284318, 0.0341596, 0.0406676, 0.048923, 0.0511485, 0.0536549, 0.0586677, 0.0612462, 0.0805338, 0.0864796, 0.0961822, 0.104672, 0.110821");
-            values ( \
-              "-0.118038, -0.106004, -0.10712, -0.110108, -0.119735, -0.121881, -0.122652, -0.11893, -0.11371, -0.0376662, -0.0237207, -0.0107004, -0.00530497, -0.00339556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00718205");
-            index_3 ("0.01562, 0.0249249, 0.0437784, 0.0531046, 0.0621783, 0.0709902, 0.0799658, 0.0823623, 0.0900214, 0.108107, 0.117578, 0.12731, 0.136328, 0.14159, 0.152115, 0.164875");
-            values ( \
-              "-0.141296, -0.13674, -0.137804, -0.139548, -0.14539, -0.148397, -0.139629, -0.135613, -0.113866, -0.0548273, -0.0344863, -0.0208513, -0.0128726, -0.00968307, -0.00540944, -0.00297324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0130961");
-            index_3 ("0.0155724, 0.0171524, 0.018679, 0.0215671, 0.0261038, 0.0303099, 0.0375225, 0.069066, 0.0807845, 0.087891, 0.102104, 0.116738, 0.119507, 0.123198, 0.130581, 0.155943, 0.167186, 0.179947, 0.186016, 0.198156, 0.208414, 0.225484, 0.248245, 0.267282");
-            values ( \
-              "-0.126661, -0.156589, -0.159516, -0.16123, -0.163096, -0.163898, -0.164272, -0.163016, -0.164253, -0.166294, -0.166211, -0.155168, -0.151932, -0.146531, -0.132149, -0.0740277, -0.0541213, -0.0369798, -0.0306579, -0.0208129, -0.014942, -0.00851659, -0.00387584, -0.00232876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0238801");
-            index_3 ("0.0156233, 0.0170726, 0.0190047, 0.0224409, 0.0278611, 0.0337571, 0.0395121, 0.110133, 0.145017, 0.156085, 0.170543, 0.183269, 0.20872, 0.249153, 0.27442, 0.300047, 0.313748, 0.333102, 0.353531, 0.369239, 0.400657, 0.442266");
-            values ( \
-              "-0.138963, -0.16824, -0.174417, -0.179229, -0.183286, -0.184908, -0.185165, -0.179672, -0.179458, -0.177335, -0.171871, -0.164531, -0.137864, -0.080677, -0.0536229, -0.0342713, -0.0267192, -0.0186149, -0.0126711, -0.0093807, -0.00504479, -0.00231695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0435441");
-            index_3 ("0.0156951, 0.0170649, 0.0204259, 0.0280731, 0.0340381, 0.0405965, 0.0711334, 0.176506, 0.218057, 0.25966, 0.278459, 0.297864, 0.317849, 0.344495, 0.411473, 0.447725, 0.473308, 0.49632, 0.523074, 0.558746, 0.583099, 0.620481, 0.6702, 0.719918, 0.769636, 0.869072");
-            values ( \
-              "-0.146086, -0.175228, -0.186641, -0.196566, -0.198955, -0.199617, -0.198294, -0.190295, -0.188048, -0.183522, -0.178771, -0.172558, -0.163639, -0.145938, -0.0899707, -0.065282, -0.0512267, -0.0408623, -0.0311768, -0.02145, -0.0165767, -0.0110941, -0.00639562, -0.00366829, -0.00210564, -0.000697281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0228009, 0.0342902, 0.0372536, 0.0383478, 0.0394017, 0.0415095, 0.0429025, 0.0443385, 0.0468307, 0.0492493, 0.0538388, 0.0581238, 0.0623652, 0.0665996, 0.0729977, 0.0760613, 0.0785275, 0.0826866, 0.0857045, 0.0896883, 0.0923085, 0.0975489, 0.106947, 0.116699");
-            values ( \
-              "-0.00238572, -0.0653467, -0.0796393, -0.082184, -0.080518, -0.0784586, -0.0781046, -0.0780901, -0.0790093, -0.080961, -0.0881427, -0.0937213, -0.0899463, -0.076696, -0.044761, -0.0327997, -0.0251455, -0.0158043, -0.0111714, -0.00707348, -0.00524875, -0.00289763, -0.00101712, -0.000466834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00393872");
-            index_3 ("0.0231812, 0.0373987, 0.0428193, 0.0493968, 0.0558873, 0.0679531, 0.0740303, 0.0775847, 0.0965686, 0.101981, 0.110789, 0.118379, 0.120492");
-            values ( \
-              "-0.00263089, -0.112467, -0.108387, -0.107969, -0.110997, -0.123235, -0.117086, -0.107631, -0.0329248, -0.0214949, -0.0104415, -0.00556574, -0.00489248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00718205");
-            index_3 ("0.0236352, 0.0346918, 0.0382007, 0.0417992, 0.0448448, 0.048797, 0.0581783, 0.0675062, 0.0765716, 0.0853825, 0.0946996, 0.0967523, 0.0994894, 0.104413, 0.112406, 0.122498, 0.131969, 0.137203, 0.1417, 0.150719, 0.155981, 0.166506, 0.185369, 0.207602, 0.235738");
-            values ( \
-              "-0.00107629, -0.115964, -0.142965, -0.140129, -0.13869, -0.137938, -0.137911, -0.139728, -0.145354, -0.148454, -0.139231, -0.13562, -0.129259, -0.113868, -0.0852918, -0.0548277, -0.0344867, -0.0263827, -0.0208526, -0.0128734, -0.00968254, -0.00540964, -0.00178656, -0.000517463, -0.00013552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0130961");
-            index_3 ("0.0241495, 0.0351043, 0.0385331, 0.0482165, 0.080379, 0.0880066, 0.102341, 0.116539, 0.1312, 0.137733, 0.1452, 0.163419, 0.182554, 0.191629, 0.200019, 0.211205, 0.219591, 0.229068, 0.241703, 0.266974, 0.284935");
-            values ( \
-              "-0.0029144, -0.138363, -0.167812, -0.165104, -0.163028, -0.163154, -0.165919, -0.166381, -0.155059, -0.146406, -0.131799, -0.0887396, -0.0527239, -0.0402474, -0.0311134, -0.0218044, -0.0166339, -0.0122201, -0.00803243, -0.00331489, -0.00207045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0238801");
-            index_3 ("0.0245716, 0.0373336, 0.0400824, 0.0617264, 0.124591, 0.155489, 0.16931, 0.179155, 0.192282, 0.208612, 0.226212, 0.261554, 0.282751, 0.299572, 0.31425, 0.3245, 0.345002, 0.371993, 0.393462, 0.436399, 0.486118, 0.535836");
-            values ( \
-              "-0.00797178, -0.180291, -0.186134, -0.184983, -0.179679, -0.179764, -0.177789, -0.174474, -0.168004, -0.155309, -0.133289, -0.0830151, -0.0592533, -0.0444607, -0.0343168, -0.0285106, -0.0194594, -0.0116981, -0.00772665, -0.00321848, -0.00114602, -0.000418375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0435441");
-            index_3 ("0.0249942, 0.039033, 0.0546953, 0.232644, 0.274248, 0.312453, 0.332435, 0.359078, 0.426066, 0.462304, 0.510907, 0.573384, 0.635004, 0.684722, 0.748162");
-            values ( \
-              "-0.0250211, -0.198568, -0.19994, -0.188046, -0.183524, -0.172557, -0.16364, -0.145942, -0.0899661, -0.0652867, -0.0408627, -0.0214386, -0.0111018, -0.00640021, -0.0036613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0437542, 0.063794, 0.078989, 0.0845345, 0.0891851, 0.0903709, 0.0927425, 0.0945063, 0.096858, 0.0987018, 0.10116, 0.108593, 0.113286, 0.118039, 0.121552, 0.126168, 0.129532, 0.136259, 0.145904, 0.149158");
-            values ( \
-              "-0.000739173, -0.0380669, -0.06433, -0.0759833, -0.0915139, -0.093235, -0.0949504, -0.0946367, -0.0921621, -0.0881795, -0.0791091, -0.0424658, -0.02593, -0.0152178, -0.0101244, -0.00596735, -0.00407432, -0.00190538, -0.000706521, -0.000640128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00393872");
-            index_3 ("0.043802, 0.0719942, 0.0889796, 0.0933573, 0.100359, 0.103182, 0.108961, 0.112264, 0.124061, 0.131844, 0.137091, 0.144384, 0.149977, 0.157434, 0.169851, 0.173792");
-            values ( \
-              "-0.000804276, -0.0721089, -0.111594, -0.114815, -0.122378, -0.123103, -0.117934, -0.109559, -0.0580691, -0.032763, -0.0216646, -0.0119117, -0.00750181, -0.00400298, -0.00137415, -0.00112909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00718205");
-            index_3 ("0.0437638, 0.0787055, 0.0892409, 0.0913706, 0.0934784, 0.101272, 0.111817, 0.12061, 0.129938, 0.134922, 0.152658, 0.164441, 0.172452, 0.183709, 0.196588, 0.211306, 0.222512");
-            values ( \
-              "-0.00120014, -0.111101, -0.139369, -0.140761, -0.139441, -0.139727, -0.145701, -0.148443, -0.139279, -0.128723, -0.0689954, -0.0395528, -0.0263419, -0.0144988, -0.00721904, -0.00312502, -0.00193732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0130961");
-            index_3 ("0.0437345, 0.0874533, 0.0896869, 0.0929135, 0.0944256, 0.100963, 0.112905, 0.121129, 0.127501, 0.140813, 0.14628, 0.15172, 0.162599, 0.166392, 0.173901, 0.182948, 0.204421, 0.212814, 0.228348, 0.236604, 0.244002, 0.258637, 0.267072, 0.283941, 0.314652, 0.318949");
-            values ( \
-              "-0.00321506, -0.159752, -0.16515, -0.166528, -0.165202, -0.164088, -0.163143, -0.163064, -0.163734, -0.166919, -0.167094, -0.165674, -0.158964, -0.155141, -0.144724, -0.125909, -0.0764212, -0.0607525, -0.0384269, -0.0297865, -0.0235926, -0.0147021, -0.0111442, -0.00632149, -0.00207512, -0.00189491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0238801");
-            index_3 ("0.0438221, 0.0885599, 0.0907201, 0.106216, 0.159879, 0.184051, 0.207985, 0.224729, 0.233205, 0.246067, 0.298707, 0.31316, 0.33243, 0.345882, 0.366601, 0.38442, 0.405789, 0.434281, 0.483999, 0.533717, 0.583436");
-            values ( \
-              "-0.000723469, -0.183918, -0.186822, -0.184385, -0.17957, -0.179842, -0.177258, -0.169823, -0.164577, -0.153331, -0.08092, -0.0643832, -0.0464696, -0.0367146, -0.0252172, -0.0180674, -0.0120585, -0.00691423, -0.00244146, -0.00087925, -0.000319437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0435441");
-            index_3 ("0.0589646, 0.0771653, 0.0905598, 0.226538, 0.281863, 0.310174, 0.338804, 0.354468, 0.385796, 0.469975, 0.515289, 0.560425, 0.618666, 0.681565, 0.731283, 0.780089");
-            values ( \
-              "-0.114204, -0.150587, -0.201187, -0.190252, -0.187158, -0.182994, -0.175652, -0.169883, -0.152511, -0.0836906, -0.0553768, -0.0354817, -0.0193289, -0.0098473, -0.00566751, -0.00380071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.0901771, 0.124188, 0.130937, 0.15055, 0.159555, 0.166932, 0.173097, 0.178714, 0.184534, 0.193746, 0.198537, 0.201145, 0.204622, 0.207793, 0.210324, 0.211629, 0.212974, 0.21746, 0.221092, 0.228357, 0.239906, 0.255498, 0.309888");
-            values ( \
-              "-0.000597965, -0.0209626, -0.0259804, -0.0394195, -0.0471219, -0.0581159, -0.0682089, -0.0703095, -0.0629363, -0.03267, -0.0204661, -0.0155645, -0.0106146, -0.00742501, -0.00558784, -0.00575418, -0.00533617, -0.00316055, -0.00209195, -0.000948598, -0.000321013, -0.000136652, -3.16173e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00393872");
-            index_3 ("0.108872, 0.128902, 0.132049, 0.136246, 0.15202, 0.158709, 0.1614, 0.163707, 0.16832, 0.169574, 0.172081, 0.174589, 0.177097, 0.179022, 0.180947, 0.182184, 0.18342, 0.184657, 0.185893, 0.187651, 0.189408, 0.191165, 0.192922, 0.194676, 0.19643, 0.198183, 0.199937, 0.200274, 0.200946, 0.201955, 0.207112, 0.209242, 0.210281, 0.210368, 0.210629, 0.210889, 0.211324, 0.213929, 0.215458, 0.216631, 0.21862, 0.220184, 0.221227, 0.222271, 0.223314, 0.224357, 0.2254, 0.226443, 0.228894, 0.230916");
-            values ( \
-              "-0.0332206, -0.0339916, -0.0371837, -0.0411721, -0.0555514, -0.0617988, -0.0645346, -0.0669821, -0.0721303, -0.0737136, -0.0774501, -0.0814849, -0.085818, -0.0899249, -0.0944126, -0.0969487, -0.0992861, -0.101425, -0.103365, -0.102599, -0.101573, -0.100285, -0.0987373, -0.0969322, -0.0948674, -0.0925429, -0.0899585, -0.0892769, -0.0873541, -0.0836954, -0.0639716, -0.0559961, -0.0522852, -0.0520187, -0.0516678, -0.0511817, -0.0500366, -0.0415079, -0.0370665, -0.0339366, -0.0290011, -0.0253538, -0.023236, -0.0212921, -0.0196347, -0.0180764, -0.0166173, -0.0152574, -0.0125024, -0.0104148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00718205");
-            index_3 ("0.111016, 0.133434, 0.13824, 0.143045, 0.14785, 0.161552, 0.172847, 0.17919, 0.185533, 0.188253, 0.190974, 0.193695, 0.196415, 0.198811, 0.201207, 0.203603, 0.205999, 0.207133, 0.208267, 0.209401, 0.210535, 0.211103, 0.212808, 0.213371, 0.213935, 0.214498, 0.215061, 0.216645, 0.218228, 0.219795, 0.221362, 0.222928, 0.225153, 0.2291, 0.232228, 0.234589, 0.238544, 0.24045, 0.242355, 0.244391, 0.246426, 0.250479, 0.253465, 0.2551, 0.256736, 0.260006, 0.261642, 0.263277, 0.267399, 0.271365");
-            values ( \
-              "-0.0441541, -0.0490155, -0.0549836, -0.0605912, -0.0661229, -0.0816139, -0.0946596, -0.102673, -0.111108, -0.115369, -0.119891, -0.124671, -0.129711, -0.13314, -0.136327, -0.139274, -0.14198, -0.142398, -0.142674, -0.142809, -0.142802, -0.142746, -0.142363, -0.141931, -0.141381, -0.140715, -0.139931, -0.13682, -0.133351, -0.129565, -0.125432, -0.12095, -0.113988, -0.0993295, -0.0882669, -0.0803615, -0.0677519, -0.0619575, -0.0565742, -0.0515507, -0.0468462, -0.0385395, -0.0328047, -0.0300646, -0.0275476, -0.0233742, -0.0214783, -0.0197096, -0.0158919, -0.0125476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0130961");
-            index_3 ("0.112951, 0.135643, 0.14508, 0.153393, 0.163369, 0.17426, 0.178961, 0.183662, 0.188362, 0.193063, 0.195278, 0.197494, 0.199709, 0.201924, 0.203667, 0.20541, 0.209861, 0.210785, 0.212633, 0.21441, 0.217124, 0.219672, 0.223053, 0.224743, 0.228124, 0.231652, 0.233489, 0.237163, 0.240704, 0.244246, 0.247787, 0.251844, 0.253391, 0.255968, 0.259062, 0.261124, 0.265249, 0.268457, 0.27763, 0.284509, 0.291081, 0.295856, 0.302222, 0.309565, 0.31507, 0.318127, 0.321185, 0.327299, 0.333413, 0.34355");
-            values ( \
-              "-0.0563036, -0.0611459, -0.0745597, -0.0859853, -0.0992791, -0.11339, -0.120686, -0.12871, -0.13746, -0.146938, -0.14722, -0.147915, -0.149021, -0.150539, -0.152024, -0.153763, -0.159958, -0.163346, -0.163924, -0.164668, -0.165143, -0.16577, -0.167127, -0.167188, -0.167014, -0.166406, -0.165922, -0.164624, -0.162701, -0.16054, -0.158142, -0.155103, -0.153405, -0.149697, -0.14453, -0.140807, -0.132699, -0.125762, -0.103245, -0.0876765, -0.0739507, -0.0644245, -0.053998, -0.0436842, -0.036506, -0.0331807, -0.0301271, -0.025024, -0.02063, -0.014744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0238801");
-            index_3 ("0.10514, 0.125151, 0.1638, 0.210256, 0.214089, 0.217709, 0.221323, 0.24511, 0.261737, 0.269093, 0.28106, 0.29313, 0.318276, 0.324318, 0.332373, 0.346807, 0.369469, 0.383811, 0.398171, 0.417318, 0.424896, 0.439195, 0.451823, 0.469572, 0.476623, 0.490724, 0.518928, 0.568646, 0.618364, 0.668083");
-            values ( \
-              "-0.0326529, -0.0533348, -0.112937, -0.17979, -0.182204, -0.182442, -0.181609, -0.179735, -0.17936, -0.179937, -0.179403, -0.176772, -0.164609, -0.159904, -0.151999, -0.133189, -0.099901, -0.0808794, -0.0644462, -0.0466213, -0.0408633, -0.0316664, -0.025149, -0.0180429, -0.015812, -0.0120922, -0.00697432, -0.0024636, -0.000886241, -0.000322678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0435441");
-            index_3 ("0.105195, 0.214242, 0.311608, 0.367382, 0.381156, 0.416526, 0.439523, 0.483908, 0.526654, 0.580332, 0.625015, 0.67045, 0.704289, 0.739012, 0.76555, 0.815268, 0.885368");
-            values ( \
-              "-0.0301525, -0.198178, -0.190258, -0.187119, -0.185501, -0.17785, -0.169893, -0.142703, -0.106035, -0.0669465, -0.0436349, -0.0275414, -0.019254, -0.013305, -0.00999834, -0.00574357, -0.00302293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.196091, 0.211782, 0.220442, 0.221872, 0.224732, 0.230453, 0.240231, 0.253262, 0.259867, 0.273076, 0.284652, 0.302735, 0.317434, 0.329364, 0.339088, 0.347767, 0.356466, 0.363021, 0.366442, 0.367269, 0.37174, 0.375401, 0.376472, 0.378616, 0.382902, 0.386726, 0.388716, 0.392695, 0.400653, 0.41298, 0.419018");
-            values ( \
-              "-0.00202782, -0.00364546, -0.00473963, -0.00493997, -0.00535488, -0.00622336, -0.00786018, -0.0104954, -0.0122316, -0.0159743, -0.0190964, -0.023943, -0.0288972, -0.0362943, -0.0438463, -0.0456254, -0.0435937, -0.0346531, -0.0296351, -0.0279702, -0.021033, -0.0162316, -0.0149094, -0.0126589, -0.00885948, -0.00641869, -0.00536814, -0.00379865, -0.00180384, -0.000587831, -0.000425661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00393872");
-            index_3 ("0.197356, 0.217836, 0.23336, 0.253422, 0.266591, 0.316382, 0.333335, 0.359358, 0.370182, 0.381017, 0.406472, 0.415139, 0.425923, 0.434476, 0.441233");
-            values ( \
-              "-0.00327301, -0.00643613, -0.00976218, -0.0151223, -0.0197036, -0.0382826, -0.0453347, -0.0644075, -0.0665397, -0.062823, -0.0215591, -0.0125614, -0.00609152, -0.00331583, -0.00230322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00718205");
-            index_3 ("0.196562, 0.230526, 0.250169, 0.261361, 0.358037, 0.390463, 0.404437, 0.418756, 0.450981, 0.463911, 0.47309, 0.478969, 0.49058");
-            values ( \
-              "-0.00450453, -0.0121608, -0.0187134, -0.0231244, -0.0683701, -0.0913695, -0.0937284, -0.0864703, -0.031476, -0.0176336, -0.0113498, -0.00848397, -0.00465547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0130961");
-            index_3 ("0.201018, 0.229142, 0.263397, 0.390712, 0.426395, 0.435594, 0.454081, 0.472564, 0.501608, 0.521697, 0.548601, 0.57688, 0.580807");
-            values ( \
-              "-0.00674734, -0.014486, -0.0294139, -0.0979827, -0.121827, -0.126235, -0.12897, -0.115768, -0.0645894, -0.037049, -0.0157114, -0.00616029, -0.00562883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0238801");
-            index_3 ("0.215423, 0.257635, 0.281508, 0.325173, 0.374806, 0.445491, 0.486224, 0.499887, 0.505705, 0.510887, 0.52404, 0.531482, 0.539988, 0.553619, 0.576353, 0.590635, 0.60494, 0.624013, 0.631679, 0.646137, 0.658723, 0.67643, 0.683438, 0.697453, 0.725484, 0.775202, 0.824921, 0.874639");
-            values ( \
-              "-0.00969471, -0.0310685, -0.0444974, -0.0718685, -0.101307, -0.141887, -0.166738, -0.172212, -0.17336, -0.172494, -0.165254, -0.159539, -0.151091, -0.133139, -0.0997995, -0.0808309, -0.0644645, -0.0467271, -0.0408645, -0.0316008, -0.0250958, -0.0180372, -0.0158007, -0.0121214, -0.00700121, -0.00248636, -0.000881525, -0.000333803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0435441");
-            index_3 ("0.215041, 0.280449, 0.348226, 0.425725, 0.505582, 0.512031, 0.5596, 0.592818, 0.619519, 0.646112, 0.690038, 0.733772, 0.769579, 0.786312, 0.804161, 0.831259, 0.853795, 0.877427, 0.911089, 0.925689, 0.954888, 1.00461, 1.05432, 1.10404, 1.15376, 1.2532");
-            values ( \
-              "-0.00813369, -0.0476681, -0.0926256, -0.142203, -0.189836, -0.190605, -0.188018, -0.184993, -0.179026, -0.169785, -0.143197, -0.105526, -0.0781781, -0.0673171, -0.0570116, -0.0437758, -0.0349346, -0.0274272, -0.0192077, -0.0164736, -0.0120097, -0.00694496, -0.00396979, -0.00229357, -0.00129845, -0.000425366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.420975, 0.488243, 0.502491, 0.527756, 0.545239, 0.565958, 0.600218, 0.637172, 0.647677, 0.661683, 0.671338, 0.699557, 0.713227, 0.726774, 0.741707, 0.747677, 0.759723, 0.763799, 0.771973, 0.776884, 0.786705, 0.802846, 0.822381, 0.831178");
-            values ( \
-              "-0.000192685, -0.00212021, -0.00268727, -0.00387587, -0.00489207, -0.00646673, -0.0100446, -0.0140763, -0.0153369, -0.0172369, -0.0191072, -0.0278159, -0.0289864, -0.0285526, -0.0206901, -0.0159674, -0.00860321, -0.00677072, -0.00407035, -0.00296614, -0.00153193, -0.000499378, -0.000171001, -0.000139602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00393872");
-            index_3 ("0.42847, 0.470009, 0.491088, 0.507432, 0.528056, 0.537838, 0.557402, 0.578384, 0.66087, 0.688456, 0.711184, 0.730041, 0.747084, 0.764064, 0.776783, 0.782861, 0.790591, 0.800186, 0.812776, 0.819942, 0.831968, 0.848003, 0.869794, 0.897, 0.93116, 1.13003");
-            values ( \
-              "-0.00095136, -0.00231285, -0.00340208, -0.00443011, -0.00591245, -0.006709, -0.00853152, -0.0110445, -0.0233354, -0.0280105, -0.0343979, -0.0409166, -0.0421933, -0.0414476, -0.0340075, -0.0298446, -0.0229983, -0.0158921, -0.00902401, -0.00638035, -0.0034517, -0.00145218, -0.000400841, -0.000142861, -4.53944e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00718205");
-            index_3 ("0.469307, 0.529829, 0.553334, 0.580846, 0.725239, 0.753126, 0.776978, 0.798972, 0.820991, 0.837544, 0.857609, 0.873619, 0.883259, 0.895164, 0.902507, 0.917193, 0.94465, 0.975777, 1.01534, 1.1645");
-            values ( \
-              "-0.00515951, -0.00851813, -0.0112691, -0.0152157, -0.0425173, -0.0503588, -0.0579402, -0.0595712, -0.0577706, -0.0472682, -0.0302518, -0.0188555, -0.0136124, -0.00891399, -0.00682126, -0.00382073, -0.00116645, -0.000284839, -9.39197e-05, -7.80838e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130961");
-            index_3 ("0.468831, 0.526374, 0.58055, 0.608386, 0.691583, 0.780838, 0.847015, 0.875957, 0.904914, 0.924107, 0.97063, 0.987126, 1.0012, 1.01896, 1.04264, 1.06748");
-            values ( \
-              "-0.00589926, -0.010501, -0.0190646, -0.0247075, -0.0434835, -0.0623181, -0.0804437, -0.0824677, -0.0787636, -0.0660645, -0.0286957, -0.0196002, -0.0138104, -0.00869947, -0.00448715, -0.00246241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0238801");
-            index_3 ("0.46841, 0.549995, 0.586307, 0.62215, 0.717392, 0.861148, 0.9241, 0.958044, 0.979292, 0.98577, 0.998727, 1.02488, 1.08377, 1.11374, 1.14214, 1.16274, 1.18891, 1.21585, 1.27439, 1.3153, 1.36502");
-            values ( \
-              "-0.00441529, -0.0166687, -0.023758, -0.0318594, -0.0558205, -0.088995, -0.105374, -0.111453, -0.113041, -0.113041, -0.112192, -0.104193, -0.0588164, -0.0385234, -0.0246344, -0.0172952, -0.0108758, -0.00663649, -0.00237818, -0.00106621, -0.000379112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0435441");
-            index_3 ("0.51789, 0.580381, 0.650401, 0.725011, 0.8282, 1.03387, 1.08359, 1.09678, 1.11437, 1.13965, 1.18937, 1.2686, 1.30046, 1.33661, 1.35648, 1.39624, 1.43707, 1.46621, 1.51593, 1.56565, 1.61537, 1.7148");
-            values ( \
-              "-0.0250067, -0.0251896, -0.0423491, -0.0626459, -0.0891819, -0.139332, -0.149804, -0.151362, -0.152603, -0.152573, -0.13676, -0.077546, -0.0578168, -0.0406477, -0.0332693, -0.0219364, -0.0142266, -0.0103796, -0.00597888, -0.00343051, -0.00196763, -0.000651437" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00174545, 0.00174943, 0.001753, 0.0017557, 0.00175751, 0.00175862", \
-            "0.00191976, 0.001922, 0.00192453, 0.00192682, 0.00192855, 0.00192969", \
-            "0.00200833, 0.00200901, 0.00201003, 0.0020113, 0.00201251, 0.00201346", \
-            "0.00205638, 0.00205667, 0.00205697, 0.00205738, 0.00205793, 0.0020585", \
-            "0.0020891, 0.00208921, 0.00208934, 0.0020895, 0.00208968, 0.0020899", \
-            "0.0021151, 0.00211535, 0.00211563, 0.00211593, 0.00211618, 0.00211639" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00228055, 0.00228464, 0.00228776, 0.00228974, 0.00229085, 0.00229144", \
-            "0.0023601, 0.00236342, 0.00236567, 0.00236686, 0.00236734, 0.00236748", \
-            "0.00241037, 0.00241673, 0.00242113, 0.00242362, 0.00242479, 0.00242525", \
-            "0.00248283, 0.0024701, 0.00245969, 0.0024518, 0.00244642, 0.00244317", \
-            "0.00267104, 0.00259201, 0.0025313, 0.00249009, 0.00246472, 0.0024484", \
-            "0.00312712, 0.00293115, 0.00271177, 0.00258556, 0.00250884, 0.00246224" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.0542147, 0.0745938, 0.110897, 0.176296, 0.29496, 0.510915", \
-            "0.0570942, 0.0775997, 0.114187, 0.179911, 0.29884, 0.51497", \
-            "0.0671463, 0.0875119, 0.124175, 0.190154, 0.30928, 0.525716", \
-            "0.0949247, 0.115345, 0.151093, 0.216419, 0.335562, 0.551734", \
-            "0.141716, 0.172555, 0.218025, 0.284193, 0.402279, 0.617966", \
-            "0.221249, 0.265278, 0.332105, 0.430357, 0.569911, 0.78347" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.0615111, 0.0894737, 0.140234, 0.232571, 0.40076, 0.707002", \
-            "0.0614284, 0.0894424, 0.140232, 0.232567, 0.40076, 0.707004", \
-            "0.061024, 0.0892737, 0.140195, 0.232502, 0.400739, 0.707002", \
-            "0.072842, 0.095589, 0.141423, 0.23249, 0.40066, 0.707117", \
-            "0.105044, 0.131777, 0.170848, 0.245783, 0.40204, 0.707105", \
-            "0.154949, 0.192473, 0.249092, 0.331215, 0.459956, 0.725146" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.0259094, 0.0337319, 0.0477137, 0.072967, 0.118831, 0.202349", \
-            "0.029721, 0.0376623, 0.0517724, 0.0770873, 0.123062, 0.206622", \
-            "0.0386501, 0.0472398, 0.0614552, 0.0869443, 0.133004, 0.216404", \
-            "0.0490305, 0.0627263, 0.0824559, 0.110508, 0.156568, 0.24019", \
-            "0.0540002, 0.0752443, 0.106308, 0.150985, 0.212369, 0.299199", \
-            "0.0404379, 0.0721542, 0.119319, 0.187491, 0.283201, 0.414667" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.0199589, 0.0298943, 0.0480908, 0.0812597, 0.141804, 0.252091", \
-            "0.0199447, 0.0298928, 0.0480779, 0.0812561, 0.141738, 0.252425", \
-            "0.0242692, 0.0323088, 0.0486777, 0.0812375, 0.141774, 0.252135", \
-            "0.0394348, 0.048863, 0.0629322, 0.088723, 0.143166, 0.252114", \
-            "0.064987, 0.0793959, 0.100655, 0.130528, 0.174361, 0.264938", \
-            "0.109539, 0.130883, 0.162685, 0.208574, 0.272874, 0.361504" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0221682, 0.024795, 0.0268464, 0.0293113, 0.0325359, 0.0368354, 0.0513653, 0.0574875, 0.0618103, 0.0703092, 0.0756895, 0.0827217, 0.0880222, 0.0950894, 0.0988214, 0.106669, 0.114416, 0.124447, 0.132618, 0.143512, 0.151669, 0.158396, 0.167365, 0.182447, 0.199881, 0.213761, 0.241521, 0.26679");
-            values ( \
-              "0.00166598, 0.0577428, 0.0560533, 0.0544877, 0.0530759, 0.0517246, 0.0483507, 0.0466197, 0.0449357, 0.0395543, 0.0343568, 0.0284405, 0.0248816, 0.0209994, 0.0192407, 0.0160222, 0.0133746, 0.0104777, 0.00858809, 0.00657228, 0.0053192, 0.00448016, 0.00358655, 0.00238571, 0.00150692, 0.00106956, 0.000472274, 0.000281334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00393872");
-            index_3 ("0.024117, 0.024137, 0.0424217, 0.0460398, 0.0517284, 0.0576403, 0.0693259, 0.0702428, 0.0821815, 0.088578, 0.0958905, 0.110067, 0.117283, 0.133051, 0.140737, 0.150985, 0.167522, 0.185826, 0.200698, 0.217549, 0.229476, 0.247576, 0.270757, 0.301664, 0.351445, 0.41061");
-            values ( \
-              "1e-22, 0.0675624, 0.0629669, 0.0617285, 0.0614768, 0.0599285, 0.0583857, 0.0578731, 0.0547902, 0.0522026, 0.0479434, 0.0383444, 0.0343061, 0.026616, 0.0235146, 0.0198047, 0.0148107, 0.010641, 0.00803415, 0.00582227, 0.00461717, 0.00322286, 0.00203015, 0.00107483, 0.000355654, 9.21517e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00718205");
-            index_3 ("0.0238767, 0.0280833, 0.0306068, 0.0376104, 0.0903455, 0.114297, 0.118481, 0.12685, 0.140308, 0.162684, 0.185138, 0.204173, 0.225818, 0.248915, 0.261683, 0.284269, 0.302702, 0.33228, 0.361461, 0.395041, 0.421825, 0.475394, 0.516991");
-            values ( \
-              "0.0467012, 0.0764507, 0.0747484, 0.0726558, 0.0668299, 0.0632792, 0.0623845, 0.060234, 0.0554568, 0.0455938, 0.0369165, 0.0303595, 0.023914, 0.0182117, 0.0155992, 0.0117373, 0.00924455, 0.00624655, 0.00419779, 0.00265352, 0.0018257, 0.000840029, 0.00052656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0130961");
-            index_3 ("0.0265622, 0.0265822, 0.0700354, 0.117296, 0.149921, 0.177325, 0.197095, 0.220489, 0.27412, 0.315377, 0.358465, 0.395087, 0.414904, 0.450439, 0.479697, 0.503256, 0.532968, 0.574811, 0.619397, 0.653714, 0.722347, 0.80618, 0.823455");
-            values ( \
-              "1e-22, 0.0857387, 0.0765631, 0.0735253, 0.0710302, 0.0684051, 0.065579, 0.0607912, 0.046858, 0.0366942, 0.027488, 0.0209964, 0.0180474, 0.0136127, 0.0107149, 0.00880814, 0.00684213, 0.00476816, 0.00323865, 0.00239054, 0.00128548, 0.000587365, 0.000539335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0238801");
-            index_3 ("0.0265158, 0.0265358, 0.104063, 0.188694, 0.243416, 0.291631, 0.324635, 0.366038, 0.439245, 0.527251, 0.599809, 0.661045, 0.693729, 0.75297, 0.815315, 0.84178, 0.891259, 0.960967, 1.03489, 1.09174, 1.17557, 1.2594, 1.42707, 1.59474");
-            values ( \
-              "1e-22, 0.0859298, 0.0800144, 0.0767463, 0.0742901, 0.0716073, 0.0689613, 0.064268, 0.0534008, 0.0397884, 0.0298793, 0.0228855, 0.0197186, 0.0148985, 0.010972, 0.00961201, 0.00747846, 0.00520969, 0.00354618, 0.00262787, 0.00167599, 0.00106791, 0.000431484, 0.000174101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0435441");
-            index_3 ("0.0304309, 0.0304509, 0.165682, 0.340971, 0.443492, 0.503841, 0.547529, 0.605779, 0.681402, 0.948825, 1.06879, 1.21782, 1.28908, 1.41233, 1.49116, 1.64912, 1.746, 1.88366, 2.05132, 2.21899, 2.33538");
-            values ( \
-              "1e-22, 0.0913948, 0.0820691, 0.0781059, 0.0753321, 0.073362, 0.0714666, 0.0681035, 0.0624135, 0.038618, 0.0291903, 0.019875, 0.0163649, 0.0115586, 0.00919675, 0.00576278, 0.00431026, 0.00283851, 0.0016981, 0.00101256, 0.000827179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0370093, 0.0388806, 0.0405513, 0.0473675, 0.0513528, 0.0669485, 0.0775065, 0.0973242, 0.111591, 0.130617, 0.153241, 0.174713, 0.188747, 0.20973, 0.246735");
-            values ( \
-              "0.0244044, 0.0589448, 0.0600169, 0.0542118, 0.0521834, 0.0478086, 0.043864, 0.0276873, 0.0195545, 0.0125089, 0.00721143, 0.00418123, 0.00290247, 0.00167564, 0.000692892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00393872");
-            index_3 ("0.0371496, 0.0403501, 0.0475769, 0.0544606, 0.0832751, 0.0992959, 0.133696, 0.158287, 0.18268, 0.209296, 0.238306, 0.259072, 0.283441, 0.33419");
-            values ( \
-              "0.0160409, 0.0727212, 0.0663471, 0.0634055, 0.0580256, 0.05351, 0.0328113, 0.0220342, 0.0144239, 0.0088495, 0.00507954, 0.00334683, 0.00206034, 0.000799005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00718205");
-            index_3 ("0.0402847, 0.0403047, 0.064882, 0.0743031, 0.104469, 0.1123, 0.127961, 0.132451, 0.141431, 0.154278, 0.17669, 0.18756, 0.212718, 0.225407, 0.23991, 0.262911, 0.283919, 0.298147, 0.321585, 0.350394, 0.377007, 0.405498, 0.427446, 0.471341, 0.526878");
-            values ( \
-              "1e-22, 0.0853637, 0.0709955, 0.0702936, 0.0668065, 0.0657999, 0.063332, 0.0623881, 0.0600511, 0.0554512, 0.045581, 0.0412745, 0.0321089, 0.0280771, 0.023879, 0.0182085, 0.0140774, 0.0117524, 0.00866875, 0.00590791, 0.00411156, 0.00278664, 0.00205549, 0.00109942, 0.000546807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0130961");
-            index_3 ("0.0419308, 0.0419508, 0.08361, 0.0999792, 0.130954, 0.164219, 0.191085, 0.212465, 0.234786, 0.288298, 0.329655, 0.372941, 0.409423, 0.429117, 0.464611, 0.493937, 0.517624, 0.547302, 0.589115, 0.616481, 0.667807, 0.736242, 0.820075, 0.830382");
-            values ( \
-              "1e-22, 0.0898663, 0.0767576, 0.0755305, 0.073679, 0.0710224, 0.0684735, 0.0654048, 0.0607761, 0.0468974, 0.0367067, 0.0274591, 0.0209841, 0.0180544, 0.0136219, 0.0107244, 0.00880711, 0.00683563, 0.00477212, 0.00375433, 0.00239106, 0.00129408, 0.000585804, 0.000557042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0238801");
-            index_3 ("0.043296, 0.043316, 0.118576, 0.199937, 0.25794, 0.306098, 0.339273, 0.380561, 0.453768, 0.541771, 0.614347, 0.67557, 0.708241, 0.767476, 0.816593, 0.856299, 0.905773, 0.975473, 1.04937, 1.10619, 1.19002, 1.27386, 1.44152, 1.60919");
-            values ( \
-              "1e-22, 0.090877, 0.0800395, 0.0768878, 0.0742853, 0.071616, 0.0689554, 0.0642633, 0.0533967, 0.0397933, 0.0298813, 0.022882, 0.0197169, 0.014897, 0.0117159, 0.00961502, 0.00747613, 0.00521293, 0.00354956, 0.00262634, 0.00167912, 0.00106582, 0.00042917, 0.000171705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0435441");
-            index_3 ("0.0455437, 0.0455637, 0.171823, 0.355619, 0.458121, 0.518437, 0.56227, 0.620715, 0.695468, 0.963478, 1.08345, 1.23243, 1.3037, 1.42697, 1.50583, 1.66378, 1.7606, 1.89822, 2.06589, 2.23355, 2.34781");
-            values ( \
-              "1e-22, 0.0924852, 0.0822391, 0.0780993, 0.0753372, 0.0733596, 0.0714594, 0.0680869, 0.062463, 0.0386187, 0.0291912, 0.019874, 0.0163693, 0.0115628, 0.00919216, 0.00575822, 0.00431725, 0.00284611, 0.00170576, 0.0010204, 0.00083861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0565304, 0.0778286, 0.0874157, 0.0886107, 0.0910345, 0.113203, 0.132862, 0.147137, 0.163409, 0.176163, 0.192421, 0.216405, 0.248697, 0.288759");
-            values ( \
-              "0.000927419, 0.0356083, 0.0459821, 0.0521544, 0.0536101, 0.0437944, 0.0276667, 0.0195459, 0.0133649, 0.00983929, 0.00657343, 0.00356843, 0.00152953, 0.000524443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00393872");
-            index_3 ("0.0639922, 0.0703655, 0.0918045, 0.098385, 0.104396, 0.118769, 0.134164, 0.166457, 0.189553, 0.215962, 0.237058, 0.252948, 0.269944, 0.298087, 0.317181, 0.342639, 0.383772");
-            values ( \
-              "0.0133123, 0.031234, 0.0664296, 0.0628794, 0.0609959, 0.0580278, 0.0537723, 0.0342938, 0.0236456, 0.0149973, 0.0102335, 0.00757199, 0.00546662, 0.00314063, 0.00214861, 0.00127777, 0.000570296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00718205");
-            index_3 ("0.0668588, 0.0746087, 0.079718, 0.0873799, 0.0891604, 0.0915499, 0.0966805, 0.102146, 0.109731, 0.128418, 0.147244, 0.16095, 0.167866, 0.181698, 0.189714, 0.21464, 0.229989, 0.246361, 0.257474, 0.272291, 0.296772, 0.307453, 0.324053, 0.346187, 0.360755, 0.368688, 0.384553, 0.412406, 0.429963, 0.441194, 0.463656, 0.508581, 0.586116, 0.669949");
-            values ( \
-              "0.0229058, 0.0438434, 0.053038, 0.0654233, 0.0732036, 0.0763404, 0.0735177, 0.0715752, 0.0702592, 0.068175, 0.0658768, 0.0637555, 0.0623842, 0.0585252, 0.055388, 0.0446733, 0.038586, 0.0326907, 0.0291032, 0.0247051, 0.0185401, 0.0162991, 0.0132555, 0.00997115, 0.00824238, 0.00742631, 0.00600445, 0.00410949, 0.00323269, 0.0027742, 0.00202961, 0.00107018, 0.000318251, 8.56096e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0130961");
-            index_3 ("0.0688502, 0.0902427, 0.0917532, 0.0975236, 0.10495, 0.112546, 0.167337, 0.199954, 0.232852, 0.249213, 0.278365, 0.351508, 0.402661, 0.441908, 0.459686, 0.487442, 0.524449, 0.570525, 0.608509, 0.642558, 0.692645, 0.759428, 0.843261, 0.866886");
-            values ( \
-              "0.0277698, 0.0824896, 0.0827786, 0.0798278, 0.0779172, 0.0771357, 0.0735253, 0.0710355, 0.067755, 0.0652056, 0.0588452, 0.0398996, 0.0286286, 0.0214935, 0.0187849, 0.0151065, 0.0111723, 0.00760339, 0.00548867, 0.00408945, 0.00263816, 0.00144004, 0.000663518, 0.000589711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0238801");
-            index_3 ("0.057338, 0.0960822, 0.101711, 0.112744, 0.235831, 0.29389, 0.338762, 0.381768, 0.416508, 0.489697, 0.577617, 0.651285, 0.711748, 0.764506, 0.802789, 0.865748, 0.892687, 0.941993, 1.01156, 1.08497, 1.14135, 1.22519, 1.30902, 1.47669, 1.64435");
-            values ( \
-              "0.0020545, 0.0846639, 0.0827336, 0.0815781, 0.0768823, 0.0742863, 0.0718253, 0.0683228, 0.0642449, 0.0533922, 0.0398086, 0.029755, 0.0228577, 0.0179367, 0.0149425, 0.0109712, 0.00959215, 0.00746595, 0.00520794, 0.00355511, 0.00263796, 0.00168533, 0.00107104, 0.000431733, 0.000173186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0435441");
-            index_3 ("0.075623, 0.0948103, 0.0999517, 0.113712, 0.265053, 0.44909, 0.554703, 0.598384, 0.656624, 0.732291, 0.999681, 1.11964, 1.26867, 1.33993, 1.46317, 1.54199, 1.69995, 1.79681, 1.93444, 2.1021, 2.26977, 2.39674");
-            values ( \
-              "0.0745603, 0.0889943, 0.0856984, 0.0841831, 0.0810124, 0.0766249, 0.0733446, 0.0714523, 0.0681189, 0.062424, 0.0386096, 0.0291828, 0.0198819, 0.0163594, 0.011554, 0.00920251, 0.00576772, 0.00430919, 0.00283799, 0.00169775, 0.00101249, 0.000810534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.112578, 0.126677, 0.134245, 0.148978, 0.165459, 0.189719, 0.200021, 0.210255, 0.210344, 0.211588, 0.221757, 0.228147, 0.238359, 0.249762, 0.267563, 0.276272, 0.287885, 0.295107, 0.306985, 0.321926, 0.33154, 0.350347, 0.375424, 0.394259");
-            values ( \
-              "0.00276929, 0.00826636, 0.0117846, 0.0199422, 0.0273699, 0.0365831, 0.0387844, 0.0377439, 0.0386299, 0.0380547, 0.0287711, 0.0242268, 0.0189967, 0.0145443, 0.00948589, 0.0076545, 0.00573575, 0.00475198, 0.00349829, 0.00238837, 0.00183283, 0.00110924, 0.000580045, 0.000383774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00393872");
-            index_3 ("0.112943, 0.137336, 0.144008, 0.154505, 0.16504, 0.178195, 0.194107, 0.20793, 0.210286, 0.212544, 0.216047, 0.225015, 0.234137, 0.248157, 0.261438, 0.272218, 0.283739, 0.294092, 0.307563, 0.318204, 0.332391, 0.346496, 0.352526, 0.364586, 0.38425, 0.39335, 0.406658, 0.424402, 0.45989, 0.514727, 0.58125");
-            values ( \
-              "0.00226324, 0.0165385, 0.0210128, 0.027503, 0.0335427, 0.0406809, 0.0485594, 0.0539521, 0.0546467, 0.0572665, 0.0574989, 0.0532488, 0.0480606, 0.0383882, 0.0312276, 0.0262329, 0.0217382, 0.0182243, 0.0143699, 0.0118597, 0.00910388, 0.00696819, 0.00620518, 0.00490964, 0.00332337, 0.00277712, 0.00213154, 0.00149179, 0.000708281, 0.000204749, 3.99183e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00718205");
-            index_3 ("0.113528, 0.138614, 0.161913, 0.171423, 0.187737, 0.196871, 0.203558, 0.210245, 0.213411, 0.216909, 0.22096, 0.228299, 0.24116, 0.247943, 0.25622, 0.272774, 0.288716, 0.302443, 0.313815, 0.324404, 0.341334, 0.347368, 0.359437, 0.383574, 0.396394, 0.403132, 0.416609, 0.438663, 0.445242, 0.458402, 0.484722, 0.504114, 0.511336, 0.52578, 0.554668, 0.612444, 0.690795, 0.774629");
-            values ( \
-              "0.000626438, 0.0199986, 0.0364687, 0.0427897, 0.0531763, 0.0585756, 0.0623108, 0.0657855, 0.0691392, 0.0696744, 0.0683507, 0.0669451, 0.0650851, 0.0639881, 0.0623962, 0.0575848, 0.0508587, 0.0448357, 0.0403293, 0.0363385, 0.0305377, 0.0286309, 0.0250516, 0.0188913, 0.0161875, 0.0148983, 0.0125705, 0.00945216, 0.0086727, 0.00729183, 0.0051077, 0.00392711, 0.00355586, 0.00292031, 0.00195021, 0.000839353, 0.000243523, 6.71661e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0130961");
-            index_3 ("0.121787, 0.131173, 0.216156, 0.228878, 0.287032, 0.309942, 0.32179, 0.345488, 0.434639, 0.498899, 0.551691, 0.586351, 0.616822, 0.671626, 0.713094, 0.789316, 0.855443, 0.924808");
-            values ( \
-              "0.0116546, 0.0164857, 0.0780599, 0.0754282, 0.0711148, 0.0689632, 0.0675577, 0.0636577, 0.0410864, 0.0269823, 0.018202, 0.0138317, 0.0107735, 0.00679891, 0.0047461, 0.00244247, 0.00133998, 0.000742526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0238801");
-            index_3 ("0.131233, 0.216072, 0.232955, 0.34143, 0.417706, 0.440934, 0.487388, 0.537354, 0.653519, 0.745921, 0.845002, 0.902883, 0.952066, 1.01471, 1.09357, 1.18496, 1.25852, 1.42619, 1.45241");
-            values ( \
-              "0.0188172, 0.0828268, 0.0804284, 0.0761243, 0.0723576, 0.0708199, 0.0664083, 0.0595635, 0.0415247, 0.0289105, 0.0185593, 0.0140661, 0.0110475, 0.00806225, 0.00535573, 0.00332685, 0.00225112, 0.000913549, 0.000853783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0435441");
-            index_3 ("0.130636, 0.175529, 0.1836, 0.199741, 0.209741, 0.213032, 0.218857, 0.223902, 0.241349, 0.256492, 0.286778, 0.338047, 0.352096, 0.380194, 0.450095, 0.532315, 0.589553, 0.656546, 0.74038, 0.768999, 0.826236, 0.896982, 1.04642, 1.05986, 1.08674, 1.1405, 1.20661, 1.29044, 1.31236, 1.35618, 1.4273, 1.51114, 1.55033, 1.6287, 1.70298, 1.78682, 1.84352, 1.85703, 1.88406, 1.93812, 2.02195, 2.10579, 2.18962, 2.27345, 2.35729, 2.52495, 2.60879, 2.69262, 2.77645, 2.86029");
-            values ( \
-              "0.0156668, 0.0542129, 0.0608645, 0.0732648, 0.0805804, 0.0847624, 0.0855522, 0.083889, 0.0833111, 0.0830436, 0.0823839, 0.0813278, 0.0809959, 0.0803996, 0.0787908, 0.0767324, 0.0751112, 0.0727562, 0.0683609, 0.0663332, 0.061873, 0.0556177, 0.0420777, 0.040964, 0.0386055, 0.0342294, 0.0291833, 0.0236391, 0.0222887, 0.019876, 0.0163364, 0.0129425, 0.0115409, 0.00922772, 0.00738678, 0.00578592, 0.00485148, 0.00469075, 0.00429534, 0.00367633, 0.0028225, 0.0022104, 0.00168363, 0.00132624, 0.000999268, 0.00058996, 0.000479288, 0.000345873, 0.000290328, 0.000200595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.235595, 0.266924, 0.271997, 0.282143, 0.291836, 0.3131, 0.321848, 0.339705, 0.360408, 0.378353, 0.394682, 0.410026, 0.425391, 0.440787, 0.455898, 0.468586, 0.477008, 0.484553, 0.494614, 0.505786, 0.510805, 0.515253, 0.522658, 0.537469, 0.549986, 0.556881, 0.570671, 0.598252, 0.6388, 0.687844");
-            values ( \
-              "0.00182672, 0.00314069, 0.00365609, 0.00492069, 0.00660135, 0.0118472, 0.0137652, 0.0172445, 0.0203862, 0.0229355, 0.0246951, 0.0259597, 0.0246218, 0.0172616, 0.0124821, 0.00949551, 0.00787751, 0.00664394, 0.00530136, 0.00402583, 0.00494504, 0.00493165, 0.00414865, 0.0028118, 0.00203135, 0.00169735, 0.00117718, 0.000548712, 0.000165808, 3.45671e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00393872");
-            index_3 ("0.235004, 0.273126, 0.283278, 0.295988, 0.325089, 0.357923, 0.383502, 0.405568, 0.425512, 0.444314, 0.463115, 0.46548, 0.47494, 0.487552, 0.505767, 0.5105, 0.515685, 0.529737, 0.541592, 0.558926, 0.573489, 0.584133, 0.603635, 0.616057, 0.62409, 0.640157, 0.672289, 0.710342");
-            values ( \
-              "0.0021415, 0.00530408, 0.00695739, 0.00966038, 0.0179056, 0.0252683, 0.0302299, 0.0341079, 0.0369952, 0.038453, 0.0339592, 0.0325019, 0.0280233, 0.0230383, 0.0174577, 0.0179685, 0.017232, 0.0134987, 0.0108793, 0.0078315, 0.00593718, 0.00482188, 0.00327942, 0.00256248, 0.00218654, 0.0015808, 0.000813044, 0.000407796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00718205");
-            index_3 ("0.25287, 0.28854, 0.301407, 0.343519, 0.385309, 0.417732, 0.445611, 0.470835, 0.495268, 0.505607, 0.512253, 0.533158, 0.55882, 0.596183, 0.626487, 0.647512, 0.668863, 0.680955, 0.70514, 0.729621, 0.766947, 0.816715, 0.857989");
-            values ( \
-              "0.00786089, 0.00999961, 0.0132775, 0.025724, 0.0361248, 0.0435723, 0.049279, 0.0532301, 0.0527817, 0.04874, 0.0482514, 0.039745, 0.0306465, 0.0201096, 0.013904, 0.0106233, 0.00804257, 0.00685066, 0.0049406, 0.00354099, 0.00211492, 0.00103266, 0.000645595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0130961");
-            index_3 ("0.260986, 0.29554, 0.358699, 0.425136, 0.467026, 0.510285, 0.553832, 0.573107, 0.667919, 0.71179, 0.767199, 0.802573, 0.832101, 0.88575, 0.927483, 1.00561, 1.07352, 1.15735, 1.32502");
-            values ( \
-              "0.0136481, 0.0136531, 0.0327045, 0.0509718, 0.0615292, 0.0709556, 0.0648528, 0.0607727, 0.0367059, 0.0273436, 0.0180947, 0.0136679, 0.0107311, 0.0068343, 0.00476383, 0.0024074, 0.00130131, 0.000596943, 0.000124422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0238801");
-            index_3 ("0.279451, 0.325339, 0.394622, 0.445805, 0.505427, 0.515484, 0.527102, 0.538903, 0.562504, 0.618876, 0.654904, 0.689014, 0.718399, 0.791508, 0.875659, 0.939242, 1.00591, 1.05551, 1.08975, 1.14953, 1.16731, 1.20286, 1.27396, 1.34102, 1.37749, 1.45044, 1.53427, 1.61811, 1.70194, 1.78577, 1.95344");
-            values ( \
-              "0.0229616, 0.0238923, 0.0454639, 0.0606673, 0.0767037, 0.0780687, 0.0775372, 0.0766949, 0.0759285, 0.0730994, 0.0708199, 0.0677592, 0.0642395, 0.0533981, 0.0403705, 0.0315213, 0.0236442, 0.0188587, 0.0160495, 0.0119805, 0.0109812, 0.00918272, 0.00638142, 0.00451003, 0.00372115, 0.00253369, 0.0016103, 0.00103114, 0.00064932, 0.000418472, 0.000170744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0435441");
-            index_3 ("0.280106, 0.342981, 0.433618, 0.467629, 0.505568, 0.515289, 0.532075, 0.547586, 0.566389, 0.598315, 0.630999, 0.664605, 0.731816, 0.765769, 0.816913, 0.870919, 0.954753, 0.983364, 1.04059, 1.11135, 1.26079, 1.3011, 1.35484, 1.42096, 1.5048, 1.52672, 1.57057, 1.64168, 1.72551, 1.76469, 1.84304, 1.91732, 2.00116, 2.05787, 2.09842, 2.1525, 2.23633, 2.32017, 2.404, 2.48783, 2.57167, 2.73933, 2.907, 3.07467");
-            values ( \
-              "0.0195023, 0.0303797, 0.0594801, 0.0698918, 0.0808692, 0.0824294, 0.0818886, 0.0812428, 0.0811468, 0.0801939, 0.0796557, 0.0787047, 0.0771825, 0.0761505, 0.0747475, 0.0727176, 0.0683908, 0.0663169, 0.0618854, 0.0556113, 0.0420775, 0.0386165, 0.0342203, 0.0291954, 0.0236287, 0.0223005, 0.0198634, 0.0163476, 0.0129306, 0.0115525, 0.00921735, 0.00739855, 0.00577531, 0.00486264, 0.00430505, 0.00366676, 0.00283167, 0.00220117, 0.00169257, 0.00131732, 0.00100796, 0.000598403, 0.000354064, 0.000208537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.541688, 0.608286, 0.658098, 0.699046, 0.745214, 0.777045, 0.80435, 0.829296, 0.852873, 0.875854, 0.898807, 0.941043, 0.970156, 0.99754, 1.04148, 1.09339, 1.10497");
-            values ( \
-              "0.00124775, 0.00142007, 0.00296488, 0.00608964, 0.0110067, 0.0134345, 0.0150754, 0.0161022, 0.0168624, 0.0170295, 0.0150288, 0.00678608, 0.00382709, 0.00212348, 0.000784176, 0.000223172, 0.000202894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00393872");
-            index_3 ("0.54171, 0.608424, 0.64323, 0.666566, 0.686964, 0.735712, 0.769539, 0.808648, 0.842562, 0.873526, 0.902639, 0.931004, 0.959306, 0.97888, 1.00078, 1.01915, 1.03205, 1.05594, 1.09131, 1.11281, 1.13481, 1.18012, 1.21614, 1.23738, 1.26184, 1.28575, 1.28929");
-            values ( \
-              "0.00202463, 0.00222809, 0.00352319, 0.00488366, 0.00664177, 0.0127095, 0.0164946, 0.0197795, 0.0220732, 0.023729, 0.0249365, 0.0251629, 0.0208909, 0.0160887, 0.0119908, 0.00924416, 0.00756155, 0.00513357, 0.00277759, 0.00190477, 0.00126332, 0.000535607, 0.000281876, 0.000950826, 0.000752031, 0.000362321, 0.000351941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00718205");
-            index_3 ("0.541195, 0.620549, 0.645454, 0.675899, 0.708258, 0.757179, 0.806315, 0.85662, 0.900428, 0.940003, 0.976989, 1.01349, 1.07829, 1.11936, 1.16049, 1.2077, 1.21577, 1.22582, 1.23819, 1.27264, 1.30295, 1.36248, 1.38234");
-            values ( \
-              "0.0027183, 0.00380133, 0.00513659, 0.00741415, 0.0110547, 0.0179135, 0.023381, 0.0278845, 0.0313129, 0.0339891, 0.0358478, 0.0351647, 0.0218024, 0.0145055, 0.00907239, 0.00505369, 0.00455919, 0.00505459, 0.00468806, 0.00287597, 0.00188467, 0.000785763, 0.000645065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130961");
-            index_3 ("0.541115, 0.640939, 0.667336, 0.700111, 0.713952, 0.778614, 0.837071, 0.917769, 0.986961, 1.0383, 1.08637, 1.13445, 1.21578, 1.23183, 1.28424, 1.32365, 1.35435, 1.39055, 1.43881, 1.46224, 1.48928, 1.52535, 1.59747, 1.68131, 1.68449");
-            values ( \
-              "0.00298905, 0.00645932, 0.00851136, 0.0118909, 0.0136639, 0.0227679, 0.0294975, 0.0376844, 0.0440216, 0.0479808, 0.0501251, 0.0455991, 0.0299926, 0.0283533, 0.0194871, 0.0142475, 0.01111, 0.00820805, 0.00541384, 0.00442271, 0.00349641, 0.00254651, 0.00132048, 0.000606953, 0.000594442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0238801");
-            index_3 ("0.607786, 0.71656, 0.835796, 0.948523, 1.03236, 1.11013, 1.16662, 1.19943, 1.21546, 1.2288, 1.24239, 1.43441, 1.46885, 1.53467, 1.60045, 1.65354, 1.69092, 1.73308, 1.77891, 1.84314, 1.92043, 2.00427, 2.0881, 2.25577, 2.42343");
-            values ( \
-              "0.0112218, 0.0157083, 0.0315575, 0.0446105, 0.0537355, 0.061229, 0.0655969, 0.0667862, 0.0665318, 0.066031, 0.0642863, 0.0354006, 0.0308157, 0.0231495, 0.0170978, 0.0132356, 0.0110116, 0.00891726, 0.00705536, 0.00506249, 0.00338133, 0.00215639, 0.00137971, 0.000559625, 0.000227408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0435441");
-            index_3 ("0.608119, 0.711634, 0.889573, 0.98107, 1.06899, 1.12628, 1.18418, 1.21579, 1.23568, 1.32835, 1.37629, 1.4214, 1.48155, 1.54855, 1.82181, 1.87593, 1.94184, 2.02568, 2.0904, 2.16178, 2.24561, 2.28521, 2.36439, 2.43838, 2.52222, 2.57854, 2.61871, 2.67226, 2.7561, 2.83993, 2.92376, 3.0076, 3.09143, 3.17526, 3.34293, 3.59443");
-            values ( \
-              "0.013846, 0.0164562, 0.0397069, 0.0511047, 0.0616692, 0.0681322, 0.0742935, 0.077335, 0.0776198, 0.0749845, 0.0733614, 0.0714072, 0.0679251, 0.0628977, 0.0386043, 0.0341728, 0.0291737, 0.0236041, 0.019897, 0.0163705, 0.0129428, 0.0115567, 0.00919116, 0.00739095, 0.00576193, 0.00486483, 0.00431339, 0.0036699, 0.00284365, 0.00220083, 0.00170206, 0.0013148, 0.00101599, 0.000783599, 0.000466232, 0.000214967" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00187206, 0.00190937, 0.00193879, 0.00196006, 0.00197386, 0.00198219", \
-            "0.00218884, 0.00223536, 0.00227919, 0.00231306, 0.00233629, 0.00235078", \
-            "0.00241278, 0.00243876, 0.00247014, 0.00249909, 0.00252196, 0.00253756", \
-            "0.0026202, 0.00261123, 0.00261167, 0.00261658, 0.00262252, 0.002629", \
-            "0.00280731, 0.00277484, 0.0027432, 0.00271109, 0.00269244, 0.00268298", \
-            "0.00295635, 0.00290022, 0.00285188, 0.00281083, 0.00277288, 0.00274039" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00250306, 0.00253591, 0.0025667, 0.00258801, 0.00260047, 0.00260725", \
-            "0.00272304, 0.00266716, 0.00261976, 0.00259282, 0.00258073, 0.00257385", \
-            "0.00287697, 0.00274158, 0.00262507, 0.00253758, 0.00247725, 0.0024447", \
-            "0.00324442, 0.00296993, 0.00274287, 0.00257797, 0.00246974, 0.00240225", \
-            "0.00339152, 0.00341583, 0.00317059, 0.00283315, 0.00261009, 0.00246924", \
-            "0.00316052, 0.00325403, 0.00333402, 0.00334991, 0.00299302, 0.0026897" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0181557, 0.0188523, 0.0212589, 0.0257587, 0.0313328, 0.0356116, 0.0383527, 0.0401813, 0.0437412, 0.0514591, 0.0550117, 0.0585884, 0.0624902, 0.0696381, 0.0724019");
-            values ( \
-              "-0.0955554, -0.128483, -0.12978, -0.128936, -0.125151, -0.119207, -0.112363, -0.106261, -0.0884339, -0.0413714, -0.0270393, -0.0171874, -0.0102679, -0.00381644, -0.00287024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00393872");
-            index_3 ("0.0179703, 0.0194606, 0.0219099, 0.0252433, 0.0319679, 0.0366014, 0.0407409, 0.0461841, 0.050044, 0.0540967, 0.0573817, 0.0621234, 0.0681953, 0.0737955, 0.0793681, 0.0845533, 0.0874898, 0.0933626, 0.104609, 0.117619");
-            values ( \
-              "-0.0317948, -0.159011, -0.159467, -0.158809, -0.155957, -0.152887, -0.149249, -0.141641, -0.133381, -0.120393, -0.104568, -0.0784965, -0.0506169, -0.0321917, -0.0199784, -0.0125996, -0.00966593, -0.00559265, -0.00178202, -0.000445145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00718205");
-            index_3 ("0.0179014, 0.0201754, 0.0223009, 0.038169, 0.0550936, 0.0631119, 0.069891, 0.074718, 0.0810746, 0.0937358, 0.0981437, 0.10668, 0.115412, 0.124547, 0.130054, 0.141068, 0.159244, 0.180776");
-            values ( \
-              "-0.0452994, -0.182554, -0.1826, -0.177685, -0.168183, -0.160363, -0.150498, -0.140079, -0.119122, -0.071748, -0.0583811, -0.0380306, -0.0238905, -0.0143879, -0.0105338, -0.00550998, -0.00172277, -0.000403879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0130961");
-            index_3 ("0.0189278, 0.0194689, 0.0221225, 0.042908, 0.0676783, 0.0805199, 0.0886053, 0.0938415, 0.104314, 0.108003, 0.115303, 0.124161, 0.136962, 0.153319, 0.168423, 0.176312, 0.183466, 0.197415, 0.205297, 0.221062, 0.251323, 0.286569");
-            values ( \
-              "-0.197155, -0.197898, -0.198504, -0.194141, -0.186371, -0.180851, -0.17639, -0.172868, -0.163683, -0.159434, -0.148236, -0.128805, -0.0967729, -0.0624216, -0.0397084, -0.0309963, -0.0246472, -0.0155681, -0.0119452, -0.00694447, -0.00220794, -0.000552699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0238801");
-            index_3 ("0.0189976, 0.021212, 0.034498, 0.0705243, 0.114863, 0.126378, 0.148973, 0.15905, 0.169798, 0.18341, 0.202458, 0.223066, 0.239775, 0.251934, 0.268147, 0.28144, 0.298067, 0.319952, 0.345091, 0.36537, 0.40593, 0.455067, 0.504204");
-            values ( \
-              "-0.207038, -0.208455, -0.207119, -0.201347, -0.191906, -0.188764, -0.180925, -0.176215, -0.170005, -0.158983, -0.134885, -0.103865, -0.0810831, -0.0668096, -0.0508275, -0.0402596, -0.0297957, -0.0197282, -0.0122139, -0.00821392, -0.00355508, -0.001238, -0.000428928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0435441");
-            index_3 ("0.0190745, 0.0202062, 0.0240364, 0.0743022, 0.130651, 0.170003, 0.204118, 0.221439, 0.251235, 0.26464, 0.291449, 0.317254, 0.345241, 0.384816, 0.410196, 0.435519, 0.469283, 0.482271, 0.506608, 0.528777, 0.571993, 0.596425, 0.64529, 0.694426, 0.743563, 0.841837");
-            values ( \
-              "-0.212971, -0.214011, -0.214408, -0.210138, -0.204261, -0.199374, -0.194368, -0.191385, -0.185138, -0.181689, -0.172736, -0.15962, -0.138314, -0.104, -0.0841052, -0.0670052, -0.0484721, -0.042621, -0.033329, -0.0264777, -0.0167185, -0.0128425, -0.0074478, -0.00428534, -0.00245003, -0.000797633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0280637, 0.0370199, 0.0377035, 0.0398678, 0.0436801, 0.0480048, 0.0512473, 0.0547251, 0.0572043, 0.0660458, 0.0697978, 0.0734337, 0.0770103, 0.0832072, 0.0834855");
-            values ( \
-              "-0.00327625, -0.122943, -0.127292, -0.128744, -0.127012, -0.122692, -0.116745, -0.106396, -0.0949405, -0.0410709, -0.0261484, -0.0164639, -0.0102639, -0.00438358, -0.0042733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00393872");
-            index_3 ("0.0286668, 0.0369857, 0.0383302, 0.0409099, 0.0511045, 0.0558581, 0.0607465, 0.0659598, 0.0696315, 0.0827854, 0.0883755, 0.0938552, 0.0991073, 0.108121, 0.113071");
-            values ( \
-              "-0.000197623, -0.150641, -0.157442, -0.158319, -0.152983, -0.148594, -0.141678, -0.129792, -0.116247, -0.0504975, -0.0321347, -0.0201088, -0.0126085, -0.00548887, -0.00383334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00718205");
-            index_3 ("0.0296867, 0.0367241, 0.0383055, 0.0405993, 0.0536947, 0.0698275, 0.0778571, 0.0839698, 0.0905648, 0.113124, 0.122085, 0.131009, 0.139289, 0.153331, 0.163263");
-            values ( \
-              "-0.00454834, -0.169496, -0.180298, -0.181595, -0.17732, -0.168148, -0.160358, -0.151661, -0.137051, -0.0576993, -0.0367033, -0.0227774, -0.0143817, -0.00640753, -0.00397914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0130961");
-            index_3 ("0.0310909, 0.0359246, 0.036989, 0.038019, 0.0388448, 0.0396507, 0.0412626, 0.0497904, 0.0679236, 0.0824447, 0.0950034, 0.10387, 0.108612, 0.118096, 0.122768, 0.130046, 0.138927, 0.151726, 0.159916, 0.168086, 0.178981, 0.183189, 0.191074, 0.198228, 0.208236, 0.212178, 0.220061, 0.235828, 0.265658, 0.300371");
-            values ( \
-              "-0.0287771, -0.166549, -0.188706, -0.195252, -0.196758, -0.197412, -0.197698, -0.196071, -0.191242, -0.186311, -0.181032, -0.176084, -0.172864, -0.164715, -0.159426, -0.14829, -0.1288, -0.096787, -0.078326, -0.0624164, -0.0451433, -0.0397048, -0.0310049, -0.0246482, -0.0177256, -0.0155675, -0.011949, -0.00694209, -0.00225526, -0.000577404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0238801");
-            index_3 ("0.0325368, 0.0380083, 0.0393433, 0.0413414, 0.0650107, 0.0967126, 0.118818, 0.141273, 0.163819, 0.174636, 0.186174, 0.195119, 0.205255, 0.239977, 0.267984, 0.294346, 0.308746, 0.325204, 0.339314, 0.353483, 0.372374, 0.410158, 0.459295, 0.508432");
-            values ( \
-              "-0.110134, -0.204402, -0.207293, -0.208028, -0.204769, -0.199231, -0.194557, -0.188769, -0.180942, -0.175861, -0.169011, -0.161989, -0.15134, -0.100825, -0.0654895, -0.0416335, -0.0321302, -0.0236782, -0.0181345, -0.0138415, -0.00958892, -0.00442906, -0.00154807, -0.000533646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0435441");
-            index_3 ("0.0247385, 0.0455406, 0.145442, 0.184826, 0.224767, 0.266168, 0.285877, 0.310043, 0.354739, 0.395596, 0.42564, 0.450837, 0.494645, 0.514583, 0.538523, 0.572211, 0.607033, 0.633673, 0.68281, 0.731947, 0.781084, 0.879358");
-            values ( \
-              "-0.0259721, -0.213996, -0.204262, -0.199376, -0.193399, -0.185099, -0.179847, -0.171153, -0.143153, -0.107623, -0.083929, -0.0668905, -0.0438523, -0.0358847, -0.0280568, -0.0195999, -0.0134953, -0.0101116, -0.00581376, -0.00335254, -0.00190223, -0.000613807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0531644, 0.0603713, 0.0623438, 0.0694676, 0.0784691, 0.0823576, 0.086077, 0.087447, 0.088534, 0.0897131, 0.0909758, 0.100634, 0.104435, 0.108915, 0.113393, 0.11651, 0.122745, 0.125858");
-            values ( \
-              "-0.00261361, -0.0514036, -0.0573509, -0.0760008, -0.0963721, -0.103144, -0.106515, -0.105442, -0.108304, -0.105657, -0.101115, -0.0430223, -0.0273476, -0.0154513, -0.00852238, -0.00557643, -0.00224496, -0.00163464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00393872");
-            index_3 ("0.0538827, 0.0631323, 0.0747357, 0.0807557, 0.0874141, 0.0885401, 0.0901607, 0.0924602, 0.0958078, 0.0979589, 0.101019, 0.106333, 0.111324, 0.117805, 0.123088, 0.128407, 0.134192, 0.137717, 0.144767, 0.155928, 0.16917");
-            values ( \
-              "-0.0037569, -0.0729764, -0.109456, -0.126317, -0.142568, -0.148143, -0.149294, -0.14669, -0.141586, -0.13741, -0.129679, -0.108276, -0.0809789, -0.0507916, -0.0332065, -0.0211003, -0.0126218, -0.00917308, -0.00471112, -0.0015177, -0.000363165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00718205");
-            index_3 ("0.0548438, 0.066786, 0.0792624, 0.0874169, 0.0887322, 0.0901783, 0.0946741, 0.102592, 0.110272, 0.11302, 0.118517, 0.121401, 0.127169, 0.138682, 0.143465, 0.148241, 0.15461, 0.157217, 0.162094, 0.166285, 0.174455, 0.179026, 0.188167, 0.205677, 0.226035");
-            values ( \
-              "-0.0108333, -0.0975334, -0.141172, -0.167241, -0.174276, -0.176416, -0.174582, -0.16985, -0.163455, -0.160324, -0.152716, -0.147475, -0.132697, -0.0890689, -0.0723451, -0.057838, -0.042024, -0.0367743, -0.0284033, -0.0226432, -0.0143836, -0.0111077, -0.00653708, -0.00215671, -0.000564357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0130961");
-            index_3 ("0.0561311, 0.0653246, 0.0765124, 0.0874126, 0.0881291, 0.089009, 0.0914454, 0.100097, 0.115696, 0.130647, 0.143552, 0.149843, 0.156554, 0.161163, 0.16714, 0.174294, 0.18724, 0.195296, 0.203342, 0.214071, 0.218471, 0.226702, 0.233763, 0.247523, 0.255216, 0.270601, 0.300112, 0.334355");
-            values ( \
-              "-0.00303367, -0.0996954, -0.143983, -0.183946, -0.190678, -0.193728, -0.194338, -0.192161, -0.187129, -0.180842, -0.173261, -0.168142, -0.161336, -0.155289, -0.144955, -0.128724, -0.0963722, -0.0782347, -0.0625613, -0.045495, -0.039775, -0.0307253, -0.0244937, -0.0155663, -0.0120277, -0.00708419, -0.00233793, -0.000612006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0238801");
-            index_3 ("0.0574273, 0.069058, 0.0900418, 0.0945053, 0.102092, 0.139296, 0.154258, 0.176185, 0.191761, 0.199962, 0.216365, 0.224651, 0.237333, 0.252801, 0.275099, 0.289366, 0.303603, 0.322586, 0.329914, 0.343644, 0.356109, 0.373545, 0.380411, 0.394142, 0.421605, 0.470742, 0.519879, 0.569016");
-            values ( \
-              "-0.027286, -0.120736, -0.205953, -0.205736, -0.204505, -0.197792, -0.194556, -0.18893, -0.18383, -0.180585, -0.17236, -0.166819, -0.155224, -0.134811, -0.101309, -0.0819746, -0.0653228, -0.0472486, -0.0415538, -0.0324559, -0.0258025, -0.0185566, -0.0162991, -0.0125111, -0.00727164, -0.00254273, -0.00089146, -0.000301027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0435441");
-            index_3 ("0.0585946, 0.0742786, 0.0884265, 0.0910666, 0.138186, 0.181208, 0.220121, 0.259164, 0.286218, 0.301435, 0.331868, 0.345335, 0.367903, 0.395354, 0.434977, 0.460328, 0.48563, 0.519367, 0.532386, 0.556778, 0.578932, 0.609916, 0.622116, 0.646515, 0.695314, 0.744451, 0.793588, 0.891862");
-            values ( \
-              "-0.0535194, -0.146614, -0.211082, -0.212964, -0.208763, -0.20417, -0.199365, -0.193569, -0.188519, -0.185118, -0.176371, -0.171169, -0.159278, -0.13834, -0.103958, -0.0841134, -0.0670252, -0.0484811, -0.0426362, -0.0333058, -0.0264776, -0.0190434, -0.0167267, -0.0128404, -0.00746382, -0.00428322, -0.00246008, -0.000805586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105128, 0.114645, 0.122642, 0.128807, 0.140631, 0.154124, 0.160115, 0.16593, 0.171987, 0.18148, 0.186076, 0.190854, 0.194455, 0.199302, 0.203252, 0.210244, 0.213904, 0.222638, 0.231411");
-            values ( \
-              "-0.0112874, -0.0224467, -0.0340016, -0.0405971, -0.0519393, -0.0630903, -0.0665746, -0.0674606, -0.0605857, -0.0325652, -0.0210914, -0.012722, -0.00843519, -0.00476741, -0.00293373, -0.00117559, -0.00245305, -0.000767133, -0.000264597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00393872");
-            index_3 ("0.105196, 0.114244, 0.124155, 0.136498, 0.15123, 0.159974, 0.167892, 0.175287, 0.182494, 0.190068, 0.201966, 0.210312, 0.2124, 0.216327, 0.221228, 0.229356, 0.240195, 0.240367");
-            values ( \
-              "-0.00410441, -0.0272757, -0.0432426, -0.0593689, -0.0765175, -0.0858632, -0.0932297, -0.0983353, -0.0988455, -0.0875615, -0.0466287, -0.0251072, -0.0237555, -0.017419, -0.0112702, -0.00532765, -0.00179008, -0.0017722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00718205");
-            index_3 ("0.105169, 0.118296, 0.133296, 0.153337, 0.166258, 0.177456, 0.187601, 0.197092, 0.206408, 0.212943, 0.224303, 0.232587, 0.241701, 0.246338, 0.25252, 0.257504, 0.262958, 0.270229, 0.284771, 0.304045, 0.327741");
-            values ( \
-              "-0.0010342, -0.0384208, -0.0636325, -0.0916858, -0.108693, -0.122161, -0.13273, -0.139492, -0.138617, -0.130258, -0.0877942, -0.0604275, -0.0383024, -0.03002, -0.0214472, -0.0162668, -0.0119832, -0.00789631, -0.00325174, -0.00092894, -0.000171068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0130961");
-            index_3 ("0.105128, 0.129008, 0.13508, 0.161239, 0.18757, 0.202296, 0.212893, 0.215616, 0.223754, 0.228935, 0.239296, 0.243096, 0.250393, 0.259253, 0.272054, 0.280242, 0.28841, 0.299302, 0.303512, 0.311401, 0.318553, 0.328558, 0.332498, 0.340376, 0.356132, 0.38558, 0.419853");
-            values ( \
-              "-0.00365654, -0.0612712, -0.0720386, -0.112768, -0.150676, -0.169581, -0.181231, -0.180979, -0.176398, -0.172843, -0.16382, -0.159429, -0.148245, -0.128811, -0.0967737, -0.0783406, -0.062437, -0.0451363, -0.0397239, -0.0309953, -0.0246585, -0.0177197, -0.0155789, -0.0119466, -0.00695488, -0.00229017, -0.00060876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0238801");
-            index_3 ("0.105156, 0.141438, 0.166016, 0.183515, 0.20248, 0.210272, 0.213627, 0.217466, 0.221878, 0.230488, 0.251987, 0.261729, 0.281212, 0.292577, 0.309634, 0.321057, 0.337941, 0.354099, 0.370513, 0.387483, 0.398654, 0.41306, 0.425264, 0.438504, 0.457281, 0.465408, 0.481664, 0.514175, 0.563312, 0.612449");
-            values ( \
-              "-0.00564596, -0.0864662, -0.127218, -0.155176, -0.184127, -0.195447, -0.199073, -0.199414, -0.19815, -0.196602, -0.191453, -0.188758, -0.182181, -0.17716, -0.16689, -0.156652, -0.134629, -0.11018, -0.0869865, -0.0665762, -0.0552493, -0.0430074, -0.0345763, -0.0271176, -0.019031, -0.0163108, -0.0119241, -0.00621372, -0.0021751, -0.000755177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0435441");
-            index_3 ("0.105035, 0.140105, 0.188771, 0.212001, 0.214229, 0.296993, 0.345272, 0.385443, 0.403897, 0.423582, 0.444557, 0.472525, 0.530189, 0.554007, 0.58381, 0.621395, 0.639048, 0.674353, 0.69723, 0.722728, 0.756727, 0.805864, 0.855, 0.904137, 1.00241");
-            values ( \
-              "-0.00257641, -0.0868773, -0.170114, -0.208611, -0.209783, -0.200488, -0.193394, -0.185457, -0.180566, -0.174007, -0.164354, -0.145086, -0.0958253, -0.0780782, -0.0593521, -0.0410715, -0.0343472, -0.0237333, -0.0186139, -0.0141594, -0.00975712, -0.00562528, -0.00322562, -0.00184708, -0.000603137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.221298, 0.240646, 0.242443, 0.244241, 0.246039, 0.249478, 0.252918, 0.25323, 0.253854, 0.257599, 0.261345, 0.264669, 0.268819, 0.270894, 0.2778, 0.281252, 0.284705, 0.290609, 0.296512, 0.304478, 0.309592, 0.316967, 0.319325, 0.321683, 0.324042, 0.3264, 0.328754, 0.331108, 0.333462, 0.335816, 0.335895, 0.336052, 0.337313, 0.338258, 0.339518, 0.340778, 0.343299, 0.345819, 0.347121, 0.350049, 0.352019, 0.355166, 0.358089, 0.359484, 0.360879, 0.362209, 0.363539, 0.364868, 0.366198, 0.368623");
-            values ( \
-              "-0.0107197, -0.0114519, -0.012229, -0.0130462, -0.0139034, -0.0156548, -0.0175528, -0.0176518, -0.0179049, -0.0198196, -0.0215804, -0.0230477, -0.0248036, -0.0256589, -0.0283732, -0.0296854, -0.0309677, -0.0330909, -0.0351266, -0.037697, -0.0392837, -0.0414848, -0.0414858, -0.0414296, -0.0413163, -0.0411459, -0.0409188, -0.0406347, -0.0402938, -0.0398959, -0.039856, -0.0397011, -0.038778, -0.0379626, -0.0366543, -0.0352633, -0.0321557, -0.0288725, -0.0271084, -0.0229234, -0.0203546, -0.0165699, -0.0132567, -0.0119586, -0.0107552, -0.00971144, -0.00874369, -0.00785192, -0.00703612, -0.00572354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00393872");
-            index_3 ("0.215389, 0.224767, 0.24171, 0.276994, 0.297702, 0.315073, 0.328075, 0.340094, 0.351633, 0.363151, 0.364285, 0.390229, 0.400614, 0.410341, 0.418176, 0.433847, 0.452177, 0.505658, 0.517942, 0.53308");
-            values ( \
-              "-0.00693903, -0.0091031, -0.0151208, -0.0349798, -0.0447888, -0.0521948, -0.0570814, -0.0606998, -0.0624756, -0.0589967, -0.0579356, -0.0204993, -0.0108527, -0.00567263, -0.00324505, -0.000912697, -0.000207751, -3.41277e-05, -0.000665215, -0.000190691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00718205");
-            index_3 ("0.238148, 0.266876, 0.271552, 0.276227, 0.280626, 0.289422, 0.293821, 0.303368, 0.31769, 0.327867, 0.334519, 0.338001, 0.342878, 0.349381, 0.356737, 0.366125, 0.370317, 0.374509, 0.378158, 0.381807, 0.385456, 0.389105, 0.392753, 0.396401, 0.400049, 0.403697, 0.404042, 0.404387, 0.404732, 0.405768, 0.407494, 0.408874, 0.411635, 0.414397, 0.417895, 0.425554, 0.428052, 0.430552, 0.432162, 0.435382, 0.43881, 0.440629, 0.442447, 0.444266, 0.446084, 0.447903, 0.449721, 0.452902, 0.456084, 0.45902");
-            values ( \
-              "-0.0322525, -0.0339064, -0.0369723, -0.0399316, -0.0425566, -0.0476463, -0.0501111, -0.0552779, -0.0628172, -0.0680144, -0.0713065, -0.0729858, -0.0752554, -0.0781287, -0.0813111, -0.0852885, -0.0873618, -0.0896645, -0.0893746, -0.0889363, -0.0883495, -0.0876141, -0.0867306, -0.0856986, -0.0845183, -0.0831895, -0.082992, -0.0826981, -0.0823682, -0.0811267, -0.0787631, -0.0767418, -0.0722892, -0.0676967, -0.0616432, -0.048005, -0.0439842, -0.0401512, -0.0378441, -0.0333395, -0.0287042, -0.0264687, -0.0243952, -0.0225843, -0.0208683, -0.019247, -0.0177205, -0.0153603, -0.0131255, -0.0111745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0130961");
-            index_3 ("0.213705, 0.308869, 0.359123, 0.382704, 0.403873, 0.423503, 0.44249, 0.461434, 0.490964, 0.503339, 0.5226, 0.533685, 0.546164, 0.555829, 0.575157, 0.607331, 0.645819");
-            values ( \
-              "-0.000441883, -0.0645241, -0.0938864, -0.106303, -0.11642, -0.123408, -0.125546, -0.113524, -0.063885, -0.0459406, -0.026682, -0.0185418, -0.0122518, -0.00883254, -0.00446972, -0.00129629, -0.000263025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0238801");
-            index_3 ("0.213402, 0.353441, 0.406368, 0.437395, 0.465258, 0.4912, 0.505676, 0.511019, 0.516422, 0.522952, 0.532813, 0.567249, 0.581234, 0.595206, 0.613836, 0.623639, 0.636037, 0.652568, 0.666588, 0.680671, 0.699447, 0.737, 0.786137, 0.835274");
-            values ( \
-              "-0.000827629, -0.09735, -0.130039, -0.14751, -0.161046, -0.170023, -0.171665, -0.170407, -0.166927, -0.161432, -0.150964, -0.100775, -0.0818682, -0.0655252, -0.0476804, -0.0401215, -0.0320861, -0.0236384, -0.0181085, -0.0138425, -0.00963396, -0.00445448, -0.00157423, -0.000525722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0435441");
-            index_3 ("0.212812, 0.400505, 0.470783, 0.512116, 0.525203, 0.5384, 0.55054, 0.552001, 0.58025, 0.614408, 0.637271, 0.682015, 0.722525, 0.778314, 0.821932, 0.865668, 0.899387, 0.934325, 1.0102, 1.10848, 1.20675");
-            values ( \
-              "-0.000530427, -0.133329, -0.178157, -0.200837, -0.1963, -0.19669, -0.192686, -0.193881, -0.188517, -0.179862, -0.170745, -0.143516, -0.107477, -0.0663232, -0.0434134, -0.0284966, -0.0191945, -0.0130645, -0.00538137, -0.00147681, -0.000190515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.440039, 0.462846, 0.484133, 0.503032, 0.517857, 0.532988, 0.553032, 0.579489, 0.62355, 0.655426, 0.665125, 0.680752, 0.696259, 0.707879, 0.726013, 0.740224, 0.755848, 0.768675, 0.786715");
-            values ( \
-              "-0.000995927, -0.00149273, -0.00230528, -0.00330112, -0.00431547, -0.00569266, -0.00847633, -0.013402, -0.0197627, -0.0235439, -0.0244114, -0.025373, -0.0248322, -0.0212334, -0.0109873, -0.00522052, -0.00208624, -0.000949118, -0.000352205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00393872");
-            index_3 ("0.462284, 0.499259, 0.515923, 0.533858, 0.558488, 0.584123, 0.60623, 0.63474, 0.65877, 0.680137, 0.699783, 0.718527, 0.737129, 0.751068, 0.775631, 0.789338, 0.796194, 0.807638, 0.822896, 0.845908, 0.873358, 0.908209, 1.15389");
-            values ( \
-              "-0.00313097, -0.00454462, -0.00600052, -0.00795924, -0.0119063, -0.0176228, -0.022194, -0.0274842, -0.0315802, -0.0347931, -0.0372188, -0.0386202, -0.0376971, -0.0319633, -0.0152262, -0.00850528, -0.00620047, -0.00355507, -0.00159817, -0.000427908, -0.000122949, -4.8359e-05, -1.23711e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00718205");
-            index_3 ("0.462342, 0.512682, 0.548776, 0.579297, 0.635819, 0.67101, 0.700799, 0.727354, 0.75183, 0.775221, 0.798571, 0.816064, 0.853669, 0.871769, 0.88939, 0.909527, 0.919461");
-            values ( \
-              "-0.00272131, -0.00755114, -0.0126921, -0.0192331, -0.0329585, -0.0405462, -0.0463798, -0.0509838, -0.0544338, -0.0563719, -0.0545031, -0.0455591, -0.0181218, -0.00989859, -0.00517704, -0.00231026, -0.00173502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130961");
-            index_3 ("0.462181, 0.536477, 0.561578, 0.575466, 0.678556, 0.723287, 0.761327, 0.795376, 0.826806, 0.856898, 0.886933, 0.890527, 0.902026, 0.935343, 0.959011, 0.979737, 0.990294, 1.0055, 1.02577, 1.0658, 1.11181, 1.21009");
-            values ( \
-              "-0.00101935, -0.0131364, -0.017771, -0.0207889, -0.0475673, -0.0579446, -0.0660223, -0.0724464, -0.077269, -0.079796, -0.0760415, -0.0745258, -0.0678957, -0.0413157, -0.0253805, -0.015571, -0.0119585, -0.00805101, -0.00459801, -0.00127948, -0.0002601, -2.45973e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0238801");
-            index_3 ("0.510755, 0.557435, 0.600855, 0.663488, 0.738929, 0.788066, 0.846604, 0.891157, 0.932201, 0.971617, 1.01095, 1.01356, 1.02402, 1.07075, 1.10116, 1.12978, 1.1504, 1.17641, 1.21581, 1.22527, 1.24834, 1.26912, 1.30786, 1.357");
-            values ( \
-              "-0.0176633, -0.0191798, -0.02928, -0.0470283, -0.0668039, -0.0788654, -0.0922003, -0.101226, -0.107858, -0.110829, -0.10311, -0.101774, -0.095312, -0.0587062, -0.0384332, -0.0245211, -0.0172917, -0.010924, -0.00517509, -0.00499226, -0.00317758, -0.00213879, -0.000926423, -0.000347291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0435441");
-            index_3 ("0.51009, 0.588595, 0.603503, 0.772011, 0.868746, 0.947542, 1.02239, 1.07153, 1.0876, 1.10844, 1.12893, 1.16992, 1.18347, 1.28045, 1.32981, 1.35765, 1.39477, 1.43449, 1.46592, 1.51506, 1.56419, 1.57796");
-            values ( \
-              "-0.011728, -0.0282346, -0.0320208, -0.0792061, -0.104591, -0.123899, -0.139932, -0.148003, -0.149816, -0.151153, -0.150889, -0.140622, -0.132925, -0.0635512, -0.0392348, -0.0295129, -0.0199079, -0.0130036, -0.00921533, -0.00530857, -0.00304414, -0.00267917" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00175246, 0.00176683, 0.00177962, 0.00178925, 0.00179564, 0.00179957", \
-            "0.00196235, 0.00197118, 0.00198079, 0.00198927, 0.00199554, 0.00199966", \
-            "0.0020874, 0.00209, 0.00209319, 0.00209785, 0.00210221, 0.00210556", \
-            "0.00216007, 0.00215938, 0.00215977, 0.00216031, 0.00216144, 0.00216294", \
-            "0.00220093, 0.00219866, 0.00219613, 0.00219326, 0.00219107, 0.00218977", \
-            "0.00222228, 0.00221957, 0.0022163, 0.00221224, 0.00220827, 0.002205" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00220003, 0.00221886, 0.00223475, 0.0022457, 0.00225225, 0.00225585", \
-            "0.00230693, 0.0023107, 0.00231443, 0.00231753, 0.00231952, 0.00232052", \
-            "0.00236648, 0.00233876, 0.00231598, 0.00230127, 0.00229514, 0.00228984", \
-            "0.00250414, 0.00242011, 0.00235316, 0.0023077, 0.00227734, 0.00225788", \
-            "0.00287419, 0.00267429, 0.00251281, 0.00239816, 0.00232421, 0.00227863", \
-            "0.00373492, 0.00330293, 0.00289351, 0.00263838, 0.0024666, 0.00235893" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00518828, 0.00521275, 0.00523371, 0.00524884, 0.00525837, 0.00526418", \
-            "0.00512887, 0.00516258, 0.00519646, 0.00522439, 0.00524429, 0.00525702", \
-            "0.00506465, 0.00508993, 0.00513268, 0.00517256, 0.00520731, 0.00523253", \
-            "0.00501208, 0.00504022, 0.00506481, 0.00511465, 0.00516391, 0.00519735", \
-            "0.00500002, 0.00502228, 0.00503215, 0.00505021, 0.00509854, 0.00514227", \
-            "0.00544665, 0.00537437, 0.00529229, 0.00524328, 0.0051791, 0.00517826" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.000513875, 0.000515241, 0.000518819, 0.000519465, 0.000520129, 0.000519269", \
-            "0.000436154, 0.000455625, 0.000456985, 0.00046679, 0.000474225, 0.000467255", \
-            "0.000342015, 0.000359459, 0.00038006, 0.000395808, 0.000418204, 0.00042462", \
-            "0.000248033, 0.000289809, 0.000316329, 0.000347407, 0.000368121, 0.000391836", \
-            "0.000291583, 0.000293642, 0.000309563, 0.000330213, 0.000340644, 0.000360929", \
-            "0.000872387, 0.000787726, 0.000693875, 0.00058855, 0.000511179, 0.000462784" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "0.00401679, 0.00407175, 0.00411372, 0.00414402, 0.00416288, 0.00417387", \
-            "0.00388798, 0.00396376, 0.00403501, 0.00408943, 0.00412891, 0.0041538", \
-            "0.00377748, 0.00384318, 0.00392579, 0.0040015, 0.00406438, 0.00411999", \
-            "0.00380881, 0.00382231, 0.00385584, 0.00391223, 0.00398403, 0.00401905", \
-            "0.00417253, 0.00412536, 0.00407571, 0.00398793, 0.00401574, 0.00403462", \
-            "0.00510871, 0.00497525, 0.00479373, 0.00462526, 0.00441498, 0.0042568" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00393872, 0.00718205, 0.0130961, 0.0238801, 0.0435441");
-          values ( \
-            "-0.000497183, -0.000453024, -0.000418183, -0.000395881, -0.000382074, -0.000374042", \
-            "-0.000562567, -0.000491781, -0.000428403, -0.000384149, -0.000358826, -0.000339059", \
-            "-0.000684407, -0.000594721, -0.000502227, -0.000420931, -0.000367071, -0.000326945", \
-            "-0.000806579, -0.000721148, -0.000613064, -0.000507911, -0.000424614, -0.000358824", \
-            "-0.000713622, -0.000720768, -0.000669054, -0.00060695, -0.000515566, -0.000422613", \
-            "5.91458e-05, -0.000108295, -0.000272403, -0.000380492, -0.000418868, -0.000396918" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00256276;
-      rise_capacitance : 0.0025472;
-      rise_capacitance_range (0.00216254, 0.0025472);
-      fall_capacitance : 0.00256276;
-      fall_capacitance_range (0.00202907, 0.00256276);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00195797, 0.00224819, 0.00242717, 0.00252269, 0.00257126, 0.00259422" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00238058, 0.00234292, 0.00226838, 0.00221943, 0.00219213, 0.00218489" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00174358, 0.00190336, 0.00197791, 0.00201448, 0.00203373, 0.00204793" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00224726, 0.00237083, 0.00248162, 0.00259783, 0.00264935, 0.00265901" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00198709, -0.00212244, -0.00222664, -0.00226396, -0.00228119, -0.00228912" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00228776, 0.00228763, 0.00228855, 0.0022939, 0.00229274, 0.00229572" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00285795;
-      rise_capacitance : 0.00285795;
-      rise_capacitance_range (0.00169881, 0.00285795);
-      fall_capacitance : 0.0028379;
-      fall_capacitance_range (0.00175214, 0.0028379);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00146809, 0.00160546, 0.0016688, 0.00169842, 0.00171255, 0.0017191" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00186918, 0.00191005, 0.00191383, 0.00191586, 0.00191683, 0.00191721" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00168349, 0.00183304, 0.00189404, 0.0019236, 0.001938, 0.00194496" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00184015, 0.0018163, 0.00180771, 0.00179954, 0.00179604, 0.00179473" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00105461, -0.00105242, -0.00106666, -0.00105264, -0.00105527, -0.00105483" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00107076, 0.00106595, 0.00107321, 0.00106407, 0.00106811, 0.00106326" \
-          );
-        }
-      }
-    }
-  }
-  cell (OAI21XL) {
-    area : 8.3028;
-    cell_footprint : "OAI21";
-    cell_leakage_power : 0.080006;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.198457;
-      when : "(A0 * A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00198282;
-      when : "(A0 * A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * !A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.22282;
-      when : "(A0 * !A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * !A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00198282;
-      when : "(A0 * !A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.209048;
-      when : "(!A0 * A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00198282;
-      when : "(!A0 * A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * !A1 * B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00328181;
-      when : "(!A0 * !A1 * B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * !A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.000493209;
-      when : "(!A0 * !A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.080006;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A0 * !A1) + (!B0)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.041559;
-      timing () {
-        related_pin : "A0";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0694919, 0.0890481, 0.124034, 0.186796, 0.299813, 0.503649", \
-            "0.0731249, 0.092844, 0.127974, 0.190863, 0.303975, 0.507887", \
-            "0.0833497, 0.102922, 0.138178, 0.201245, 0.314521, 0.518593", \
-            "0.11131, 0.130586, 0.165426, 0.228301, 0.34151, 0.545632", \
-            "0.167221, 0.193454, 0.233894, 0.296425, 0.408559, 0.611653", \
-            "0.258275, 0.296162, 0.356247, 0.445881, 0.576166, 0.777575" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0810368, 0.108467, 0.157891, 0.247051, 0.407847, 0.698233", \
-            "0.0810188, 0.10846, 0.157883, 0.247021, 0.407905, 0.698233", \
-            "0.0807755, 0.108397, 0.157901, 0.247087, 0.407901, 0.698257", \
-            "0.0874909, 0.111678, 0.158221, 0.24703, 0.407963, 0.698259", \
-            "0.122173, 0.145776, 0.18342, 0.258511, 0.408941, 0.698278", \
-            "0.179532, 0.213453, 0.264521, 0.34189, 0.465512, 0.717082" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0472462, 0.0593197, 0.0809122, 0.119705, 0.189586, 0.315762", \
-            "0.0506057, 0.0628744, 0.0846448, 0.123571, 0.193546, 0.319783", \
-            "0.0571315, 0.0696697, 0.0917299, 0.130921, 0.201101, 0.327358", \
-            "0.0692149, 0.0839383, 0.107686, 0.14717, 0.218103, 0.344474", \
-            "0.0805172, 0.101561, 0.13376, 0.18231, 0.257869, 0.385286", \
-            "0.076384, 0.107669, 0.155133, 0.225267, 0.326707, 0.476123" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0387777, 0.0549052, 0.0841099, 0.136897, 0.232344, 0.404628", \
-            "0.0387611, 0.0549044, 0.0841095, 0.136897, 0.232341, 0.404628", \
-            "0.039288, 0.0549963, 0.0840952, 0.136933, 0.232349, 0.404628", \
-            "0.0486812, 0.0623241, 0.088163, 0.137979, 0.232336, 0.404623", \
-            "0.0731173, 0.0888107, 0.114816, 0.159374, 0.24295, 0.406834", \
-            "0.119124, 0.139692, 0.172289, 0.224585, 0.308014, 0.45114" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0206951, 0.0230744, 0.028698, 0.0367539, 0.0651995, 0.0768312, 0.085122, 0.0986578, 0.114807, 0.132475, 0.144435, 0.155463, 0.16963, 0.186659, 0.21195, 0.227344, 0.253308, 0.287927, 0.335087, 0.393711");
-            values ( \
-              "0.0263819, 0.0391155, 0.0366654, 0.0353549, 0.0332309, 0.0321357, 0.0307984, 0.0263951, 0.0194481, 0.0138228, 0.0107486, 0.00853013, 0.00626869, 0.00428935, 0.00240212, 0.00168528, 0.00091691, 0.000426177, 0.000106822, 4.96016e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0203875, 0.0240288, 0.028135, 0.0343756, 0.0853623, 0.0966429, 0.108359, 0.122329, 0.147809, 0.15847, 0.18015, 0.203663, 0.21883, 0.239815, 0.268414, 0.284856, 0.307846, 0.338501, 0.393629, 0.401726");
-            values ( \
-              "0.0248027, 0.0524528, 0.0501339, 0.0484462, 0.0442233, 0.0429723, 0.0409442, 0.036785, 0.0265195, 0.0228614, 0.0165421, 0.0112987, 0.0087589, 0.00609696, 0.00367125, 0.00275968, 0.00183003, 0.00102046, 0.000363961, 0.000329133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0218219, 0.0218419, 0.0635409, 0.108171, 0.13162, 0.141181, 0.152107, 0.165337, 0.220496, 0.253097, 0.274361, 0.291208, 0.31367, 0.344531, 0.394238, 0.430867, 0.460141, 0.518691, 0.559634");
-            values ( \
-              "1e-22, 0.0633752, 0.0583861, 0.0552993, 0.0529517, 0.0516268, 0.0496517, 0.0464808, 0.0292554, 0.0209482, 0.0164498, 0.0135365, 0.0104106, 0.00707059, 0.00374547, 0.00233564, 0.00164873, 0.000702134, 0.000539435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0237054, 0.0237254, 0.0707337, 0.0877782, 0.131174, 0.157656, 0.190113, 0.202916, 0.217668, 0.233622, 0.26553, 0.301927, 0.344741, 0.364104, 0.40283, 0.424196, 0.448124, 0.480027, 0.503292, 0.520563, 0.54359, 0.589646, 0.61973, 0.666489, 0.728833, 0.811936, 0.895039, 1.06124");
-            values ( \
-              "1e-22, 0.0777017, 0.0682219, 0.0675504, 0.0652206, 0.0636357, 0.0612648, 0.0600201, 0.0582445, 0.0558744, 0.0496624, 0.0412998, 0.0319716, 0.0281789, 0.0214377, 0.0183309, 0.0152882, 0.0119347, 0.00988804, 0.00860062, 0.00714859, 0.00484199, 0.00376249, 0.00253075, 0.00149332, 0.000697254, 0.000352592, 9.29727e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0231052, 0.0231252, 0.102876, 0.186789, 0.298362, 0.3616, 0.436269, 0.603745, 0.702404, 0.762696, 0.82173, 0.886837, 0.965481, 1.02042, 1.13105, 1.29726, 1.32733");
-            values ( \
-              "1e-22, 0.0806326, 0.0747804, 0.0719392, 0.0669835, 0.0620242, 0.0526897, 0.0290851, 0.0187489, 0.0140895, 0.0105479, 0.00760461, 0.00510297, 0.00380707, 0.00212078, 0.000863672, 0.000797452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0257343, 0.0257543, 0.161157, 0.306765, 0.435376, 0.511483, 0.550157, 0.622646, 0.748756, 0.908191, 0.986396, 1.05161, 1.13472, 1.19912, 1.26916, 1.35226, 1.46716, 1.5407, 1.62381, 1.77476, 1.94096, 2.10717, 2.43958, 2.68889");
-            values ( \
-              "1e-22, 0.0865872, 0.0787721, 0.0758105, 0.0725876, 0.0700784, 0.0682624, 0.0642367, 0.0542795, 0.0397762, 0.0332664, 0.028479, 0.0228529, 0.0192052, 0.0159171, 0.0124388, 0.00886371, 0.00722416, 0.00551095, 0.00345637, 0.0020435, 0.00119484, 0.000386121, 0.000261793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0325439, 0.0407972, 0.0458441, 0.0566633, 0.0910761, 0.099526, 0.112643, 0.129614, 0.155033, 0.175963, 0.200547, 0.216357, 0.24015, 0.27198, 0.301691");
-            values ( \
-              "0.00685105, 0.0403026, 0.0371623, 0.0349982, 0.0321778, 0.0307697, 0.0265159, 0.0192324, 0.011618, 0.00750619, 0.00432671, 0.00302267, 0.00174342, 0.000829805, 0.000565516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0329255, 0.0402929, 0.0455127, 0.049805, 0.056837, 0.0950573, 0.110665, 0.122321, 0.128038, 0.136756, 0.162465, 0.17291, 0.19448, 0.209988, 0.223268, 0.233514, 0.254244, 0.282434, 0.29914, 0.322506, 0.353661, 0.408863, 0.418109");
-            values ( \
-              "0.00277904, 0.0550198, 0.050836, 0.0491122, 0.0478099, 0.0446628, 0.0430275, 0.0410165, 0.0396029, 0.0367818, 0.0264352, 0.0228698, 0.0165622, 0.012889, 0.0103953, 0.00872564, 0.00610157, 0.00370283, 0.0027637, 0.00181918, 0.00100987, 0.000355112, 0.000316955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0370648, 0.0370848, 0.0660013, 0.0964094, 0.107646, 0.122771, 0.145508, 0.156193, 0.167591, 0.178176, 0.19229, 0.213808, 0.232814, 0.256477, 0.283521, 0.294412, 0.306687, 0.322978, 0.344212, 0.363054, 0.382757, 0.411051, 0.440828, 0.463719, 0.509499, 0.592438, 0.675541");
-            values ( \
-              "1e-22, 0.0669229, 0.0592086, 0.0572554, 0.0564414, 0.0552332, 0.0530548, 0.0515616, 0.0494702, 0.0469518, 0.0427741, 0.0357604, 0.0299053, 0.0236164, 0.0174737, 0.0154569, 0.0134004, 0.0110893, 0.00850924, 0.00673709, 0.00530627, 0.00365099, 0.00249171, 0.00189216, 0.000997968, 0.000333393, 7.72409e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0390952, 0.0391152, 0.0830326, 0.102397, 0.156835, 0.203154, 0.220964, 0.244711, 0.26877, 0.359477, 0.379137, 0.418458, 0.435017, 0.461592, 0.485038, 0.515879, 0.541301, 0.571955, 0.615381, 0.644057, 0.698082, 0.770115, 0.853218, 1.01942");
-            values ( \
-              "1e-22, 0.0805929, 0.0683774, 0.067502, 0.0645811, 0.0614012, 0.0596444, 0.0564535, 0.0520801, 0.0319703, 0.0280609, 0.0213073, 0.0188531, 0.0154521, 0.0128461, 0.0100787, 0.00821, 0.00635049, 0.00444045, 0.0034645, 0.00218621, 0.00118669, 0.000546386, 0.000116515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0407099, 0.0407299, 0.111843, 0.201593, 0.237504, 0.30908, 0.348614, 0.387098, 0.436922, 0.569649, 0.600636, 0.662609, 0.69943, 0.740434, 0.795108, 0.829111, 0.887643, 0.954537, 1.01528, 1.1085, 1.1916, 1.27471, 1.44091, 1.60712");
-            values ( \
-              "1e-22, 0.0869335, 0.0749252, 0.0719003, 0.070506, 0.0672191, 0.0645406, 0.0609213, 0.0547041, 0.0354113, 0.0312998, 0.0240431, 0.0203879, 0.0168554, 0.0129556, 0.0109714, 0.00818988, 0.00581717, 0.00425407, 0.00261468, 0.00168023, 0.00108116, 0.000446529, 0.000185702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0445275, 0.0445475, 0.175936, 0.321564, 0.407599, 0.450176, 0.506947, 0.564954, 0.637446, 0.717723, 0.92299, 1.00119, 1.06641, 1.14951, 1.21392, 1.28396, 1.36706, 1.48194, 1.55549, 1.63859, 1.70837, 1.78953, 1.87264, 2.03884, 2.20505, 2.45436, 2.70367");
-            values ( \
-              "1e-22, 0.0915562, 0.0788292, 0.0757538, 0.0736804, 0.0725314, 0.0707305, 0.0683187, 0.0641808, 0.0581285, 0.0398318, 0.0333221, 0.0284243, 0.0229083, 0.01926, 0.0158625, 0.0124935, 0.00891872, 0.00717001, 0.00556568, 0.00450134, 0.00351063, 0.00272401, 0.00162765, 0.000971115, 0.000439871, 0.000208197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0559363, 0.0733047, 0.0810441, 0.0874309, 0.0889436, 0.0897379, 0.0911823, 0.0955222, 0.0994487, 0.103657, 0.12707, 0.139068, 0.147268, 0.164808, 0.176474, 0.182442, 0.194379, 0.20545, 0.219675, 0.236642, 0.245037, 0.261826, 0.277295, 0.303356, 0.338103, 0.385269, 0.443931");
-            values ( \
-              "0.000733432, 0.0216728, 0.0284399, 0.0333118, 0.0370377, 0.0375181, 0.0373134, 0.0357369, 0.0348883, 0.0343395, 0.0321174, 0.0299085, 0.0269524, 0.0194461, 0.0155153, 0.0138254, 0.0107598, 0.00853128, 0.00626172, 0.00429065, 0.0035921, 0.00240896, 0.00168706, 0.000915686, 0.00042464, 0.000106044, 4.97256e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0617578, 0.0764781, 0.0873894, 0.0902632, 0.0972363, 0.105714, 0.141079, 0.146612, 0.157678, 0.163566, 0.175342, 0.198162, 0.211603, 0.229949, 0.245494, 0.258871, 0.269199, 0.285118, 0.299088, 0.313094, 0.333333, 0.354738, 0.371215, 0.404168, 0.463726, 0.534525");
-            values ( \
-              "0.0147838, 0.0332519, 0.0452274, 0.0509485, 0.0483369, 0.0468791, 0.0436338, 0.0429717, 0.0410829, 0.0395873, 0.0356294, 0.0263883, 0.0218575, 0.0165441, 0.0129303, 0.0103656, 0.0087212, 0.00661628, 0.00518705, 0.00406164, 0.00281334, 0.00191801, 0.00143371, 0.000771491, 0.000243759, 5.36905e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0618875, 0.0724708, 0.0783721, 0.0815621, 0.0873895, 0.0892935, 0.091903, 0.0941953, 0.0967498, 0.100897, 0.107224, 0.11384, 0.124641, 0.135825, 0.159417, 0.165269, 0.173073, 0.181887, 0.199514, 0.206787, 0.219626, 0.235079, 0.255479, 0.269368, 0.271891, 0.287032, 0.303461, 0.324693, 0.341373, 0.363613, 0.380818, 0.385512, 0.394901, 0.413678, 0.444613, 0.455562, 0.459168, 0.466379, 0.480801, 0.509644, 0.567332, 0.650435, 0.733538");
-            values ( \
-              "0.0132167, 0.0347826, 0.0440647, 0.0487296, 0.0565282, 0.0614355, 0.0636737, 0.0621211, 0.0609897, 0.0599363, 0.0590267, 0.0585089, 0.0577461, 0.0569924, 0.05516, 0.0546565, 0.0539226, 0.0529577, 0.0502525, 0.0486483, 0.0453743, 0.0404249, 0.0338124, 0.0297476, 0.0289668, 0.0248573, 0.0209189, 0.0164541, 0.0135691, 0.0104407, 0.00842244, 0.00799484, 0.00707642, 0.00563035, 0.00375469, 0.00330548, 0.00311796, 0.00287945, 0.00235934, 0.0016527, 0.000732111, 0.00024812, 5.5999e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.0619136, 0.0769194, 0.0873601, 0.0897432, 0.0919032, 0.0969968, 0.102338, 0.109469, 0.181543, 0.226056, 0.244953, 0.28216, 0.311077, 0.395329, 0.414797, 0.453734, 0.498269, 0.529633, 0.559538, 0.595141, 0.642611, 0.663173, 0.713634, 0.771304, 0.854407, 0.93751, 1.10372");
-            values ( \
-              "0.0128382, 0.0483063, 0.0656646, 0.0736927, 0.0737001, 0.0710363, 0.0696854, 0.0689483, 0.0652349, 0.0624117, 0.0608753, 0.0562297, 0.0507215, 0.0319901, 0.0281151, 0.0214127, 0.015366, 0.0119926, 0.00944187, 0.00706065, 0.00477193, 0.00398917, 0.0026323, 0.00157532, 0.000776972, 0.000350356, 7.15707e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0619191, 0.0829685, 0.0916182, 0.0983553, 0.104643, 0.111829, 0.237613, 0.297394, 0.344451, 0.358288, 0.38596, 0.423128, 0.473166, 0.605673, 0.636652, 0.698611, 0.735454, 0.776485, 0.831193, 0.873498, 0.923596, 0.990393, 1.05128, 1.08242, 1.14472, 1.22782, 1.31092, 1.39402, 1.47713, 1.64333");
-            values ( \
-              "0.0142791, 0.0644585, 0.0813505, 0.0777774, 0.0766465, 0.0760927, 0.0718901, 0.0695207, 0.067256, 0.0664227, 0.0644345, 0.0609189, 0.0546776, 0.0354104, 0.0313029, 0.0240445, 0.0203869, 0.0168524, 0.0129531, 0.0105252, 0.00819409, 0.0058213, 0.00425362, 0.00361932, 0.00261107, 0.00167962, 0.00107905, 0.000692652, 0.000445056, 0.000184495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0787716, 0.0787916, 0.226119, 0.328764, 0.458163, 0.541266, 0.605042, 0.673688, 0.754735, 0.985276, 1.10262, 1.18572, 1.2502, 1.32022, 1.44159, 1.51812, 1.59168, 1.67478, 1.77166, 1.9089, 2.07511, 2.24131, 2.39831");
-            values ( \
-              "1e-22, 0.0883121, 0.0785659, 0.0764062, 0.0732911, 0.0708156, 0.0681436, 0.0641681, 0.0580763, 0.0376118, 0.0284214, 0.0229144, 0.0192617, 0.0158574, 0.0111884, 0.00892424, 0.00716717, 0.00557023, 0.00414984, 0.00271979, 0.00162348, 0.000966952, 0.000726705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105171, 0.127497, 0.131071, 0.137367, 0.140794, 0.147648, 0.155098, 0.168204, 0.175179, 0.189128, 0.190833, 0.194243, 0.199591, 0.204303, 0.210287, 0.213293, 0.216457, 0.220268, 0.227856, 0.230849, 0.234839, 0.243245, 0.253848, 0.26274, 0.265791, 0.271894, 0.284099, 0.292439, 0.299216, 0.308251, 0.321505, 0.325095, 0.325876, 0.327439, 0.330565, 0.336816, 0.347147, 0.361635, 0.367456, 0.379098, 0.402382, 0.445559, 0.496182, 0.560231");
-            values ( \
-              "0.000270868, 0.00670097, 0.00773614, 0.00980281, 0.0110343, 0.0135215, 0.0161447, 0.0203647, 0.0225439, 0.0266422, 0.0271296, 0.0280317, 0.0294262, 0.030517, 0.031767, 0.0328628, 0.0328318, 0.031852, 0.0300653, 0.0291055, 0.0276422, 0.0239345, 0.0195133, 0.0164702, 0.0155351, 0.0137326, 0.0106912, 0.0089711, 0.00776026, 0.00638757, 0.00474489, 0.00439747, 0.00430778, 0.00417121, 0.00387899, 0.00337897, 0.00266301, 0.00191822, 0.00167086, 0.00128677, 0.000738589, 0.00025894, 6.87176e-05, 2.09208e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.115454, 0.131684, 0.173563, 0.18589, 0.213665, 0.218799, 0.221597, 0.235696, 0.243805, 0.252639, 0.26825, 0.293185, 0.313324, 0.330055, 0.341958, 0.359596, 0.378866, 0.401796, 0.422185, 0.435688, 0.461186, 0.495183, 0.553829, 0.62528");
-            values ( \
-              "0.00639249, 0.010929, 0.0300985, 0.035307, 0.0457804, 0.0456548, 0.0448537, 0.0429801, 0.0416861, 0.0396053, 0.0340961, 0.0242554, 0.0180648, 0.0139218, 0.011431, 0.00849453, 0.00608717, 0.00405821, 0.00285658, 0.00220385, 0.00138432, 0.00076997, 0.000214205, 7.82383e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.113822, 0.137708, 0.164749, 0.174413, 0.192268, 0.202258, 0.214394, 0.219457, 0.224574, 0.234508, 0.244988, 0.251469, 0.260111, 0.270608, 0.291604, 0.303391, 0.319108, 0.352612, 0.366174, 0.388518, 0.412447, 0.421014, 0.43338, 0.449013, 0.469289, 0.486307, 0.50643, 0.535038, 0.545072, 0.548069, 0.566052, 0.590029, 0.637983, 0.721086, 0.804189");
-            values ( \
-              "0.00424788, 0.0170061, 0.032835, 0.0382421, 0.0477416, 0.0527035, 0.0584131, 0.0584134, 0.0571184, 0.0563398, 0.055381, 0.0548773, 0.05409, 0.0529545, 0.0495909, 0.0467382, 0.0419953, 0.0312269, 0.0274084, 0.0217078, 0.0166891, 0.0150866, 0.0130586, 0.0108751, 0.00845315, 0.00684722, 0.00535347, 0.00367928, 0.0032759, 0.00311516, 0.00247154, 0.00184336, 0.000947796, 0.000311894, 7.62494e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.131325, 0.155989, 0.185174, 0.214035, 0.219023, 0.222835, 0.23443, 0.261145, 0.292816, 0.31838, 0.333394, 0.36342, 0.372593, 0.39094, 0.416974, 0.473994, 0.503592, 0.543055, 0.559414, 0.563164, 0.570664, 0.609206, 0.648688, 0.696374, 0.739756, 0.754891, 0.759375, 0.768341, 0.822139, 0.89387, 0.976973, 1.14318");
-            values ( \
-              "0.0283288, 0.0321155, 0.0515967, 0.0689115, 0.0691703, 0.068031, 0.0671501, 0.0657246, 0.0638694, 0.0621297, 0.0608674, 0.057311, 0.0558493, 0.0525247, 0.0468388, 0.0339421, 0.0280034, 0.0213071, 0.018808, 0.0183966, 0.0172842, 0.0128289, 0.00935051, 0.00631343, 0.00447271, 0.00384856, 0.00379997, 0.00343633, 0.00216221, 0.0012224, 0.000520046, 8.80208e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.131293, 0.15712, 0.184045, 0.215047, 0.225305, 0.231764, 0.244683, 0.26424, 0.32723, 0.37258, 0.412343, 0.446708, 0.508207, 0.531897, 0.556646, 0.694218, 0.725284, 0.787418, 0.823969, 0.864672, 0.918942, 0.961971, 1.01293, 1.08088, 1.13995, 1.1702, 1.2307, 1.3138, 1.39691, 1.48001, 1.56311, 1.72932");
-            values ( \
-              "0.0281125, 0.0360644, 0.0560759, 0.0773028, 0.0754664, 0.0751399, 0.0746128, 0.0740404, 0.071846, 0.070068, 0.0683102, 0.0664364, 0.061295, 0.058581, 0.0553878, 0.0353957, 0.0312914, 0.0240059, 0.0203833, 0.0168761, 0.0130026, 0.0105278, 0.00816037, 0.00576114, 0.00424947, 0.00363328, 0.00264587, 0.0017026, 0.00109341, 0.000702313, 0.000450836, 0.000186741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.131802, 0.217096, 0.225997, 0.243401, 0.423345, 0.547128, 0.630231, 0.694009, 0.762654, 0.843648, 1.07424, 1.19158, 1.33916, 1.40918, 1.53055, 1.68064, 1.76374, 1.99784, 2.32522");
-            values ( \
-              "0.0238892, 0.082378, 0.0805473, 0.0799332, 0.0762961, 0.0732777, 0.0708291, 0.0681564, 0.0641554, 0.0580934, 0.0376022, 0.0284125, 0.0192698, 0.0158502, 0.0111816, 0.00716071, 0.00557679, 0.00271513, 0.000988966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.190514, 0.253573, 0.269241, 0.279063, 0.294921, 0.303033, 0.333433, 0.354441, 0.379779, 0.420724, 0.438106, 0.455062, 0.471938, 0.475734, 0.476671, 0.482294, 0.504787, 0.50631, 0.509355, 0.513371, 0.524252, 0.531945, 0.542277, 0.55779, 0.57552, 0.586172, 0.603136, 0.625753, 0.645962");
-            values ( \
-              "0.000358753, 0.00178614, 0.00289048, 0.00367794, 0.00536165, 0.00648242, 0.011256, 0.0139041, 0.0167981, 0.0212274, 0.0235256, 0.0224606, 0.0150821, 0.015026, 0.0144288, 0.0129791, 0.00833498, 0.00821034, 0.00854032, 0.00842964, 0.00673498, 0.00572123, 0.00453506, 0.00321157, 0.00213861, 0.00167999, 0.00114011, 0.000672496, 0.000469275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.196187, 0.252826, 0.283434, 0.30066, 0.369459, 0.423848, 0.466824, 0.487212, 0.505562, 0.510182, 0.515209, 0.547252, 0.577369, 0.605381, 0.640014, 0.679076, 0.713133, 0.732285");
-            values ( \
-              "0.000679339, 0.00277218, 0.00607775, 0.00874377, 0.0216632, 0.0298926, 0.035239, 0.0309288, 0.0240669, 0.0239118, 0.0228366, 0.0140118, 0.00851685, 0.00524065, 0.00282565, 0.00140637, 0.000744294, 0.000580155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.201501, 0.253272, 0.280694, 0.3057, 0.377932, 0.429826, 0.459971, 0.487111, 0.506009, 0.51306, 0.524937, 0.574185, 0.601896, 0.639394, 0.657195, 0.696603, 0.722366, 0.752403, 0.809317, 0.859356, 0.875417");
-            values ( \
-              "0.00118362, 0.0038827, 0.00761625, 0.0124612, 0.0291142, 0.0395026, 0.044815, 0.0486931, 0.0491424, 0.0487777, 0.0456771, 0.0300794, 0.0227097, 0.0149363, 0.0121163, 0.0074907, 0.00542296, 0.00372238, 0.00176705, 0.000931248, 0.000802158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.252911, 0.294337, 0.374564, 0.431173, 0.474931, 0.49913, 0.510504, 0.512552, 0.524844, 0.5493, 0.576029, 0.588479, 0.608669, 0.684961, 0.699723, 0.719406, 0.758772, 0.775266, 0.801736, 0.825212, 0.864578, 0.881565, 0.912202, 0.95561, 0.984249, 1.03818, 1.11009, 1.1932, 1.3594");
-            values ( \
-              "0.00974641, 0.0123872, 0.0334579, 0.0474397, 0.0572801, 0.0620059, 0.0639721, 0.0640409, 0.0628492, 0.0608631, 0.05779, 0.0558266, 0.052181, 0.0350288, 0.0319704, 0.0280529, 0.0212981, 0.0188486, 0.0154668, 0.0128492, 0.00938148, 0.00821137, 0.00634697, 0.00444391, 0.00346335, 0.00218635, 0.00119187, 0.000545251, 0.000114398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.252775, 0.31265, 0.398923, 0.437502, 0.505512, 0.515675, 0.528639, 0.54182, 0.568182, 0.659447, 0.687534, 0.717492, 0.743658, 0.778545, 0.90859, 0.93946, 1.0012, 1.03842, 1.07988, 1.13515, 1.16835, 1.19283, 1.22548, 1.29076, 1.35402, 1.38632, 1.45092, 1.53403, 1.61713, 1.78334, 1.94954");
-            values ( \
-              "0.00867054, 0.019023, 0.0441282, 0.054861, 0.0722145, 0.0730409, 0.0724747, 0.0717105, 0.0709378, 0.0666273, 0.0645481, 0.0618307, 0.05893, 0.0543529, 0.0354008, 0.0313394, 0.0240701, 0.0203742, 0.0168065, 0.0129039, 0.0109444, 0.00969307, 0.00825131, 0.00588913, 0.00424798, 0.00361365, 0.00255565, 0.00166217, 0.00104957, 0.000426495, 0.000170485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.252753, 0.33734, 0.447193, 0.496892, 0.512181, 0.521835, 0.529574, 0.545052, 0.562278, 0.596157, 0.742846, 0.794883, 0.864513, 0.947616, 1.0323, 1.10165, 1.26125, 1.34039, 1.40526, 1.48837, 1.551, 1.62149, 1.7046, 1.7436, 1.82159, 1.89464, 1.97774, 2.04665, 2.12649, 2.20959, 2.29269, 2.4589, 2.6251, 2.79131, 3.04062");
-            values ( \
-              "0.0075258, 0.0278647, 0.0616512, 0.0757932, 0.0792232, 0.0790154, 0.0784198, 0.0782955, 0.0778025, 0.0771967, 0.0737898, 0.0723602, 0.0700021, 0.0659508, 0.0600723, 0.0541995, 0.0398328, 0.0332431, 0.0283882, 0.0228658, 0.0193126, 0.0159054, 0.0125094, 0.011204, 0.00887046, 0.00716216, 0.00553891, 0.00448913, 0.003513, 0.00274677, 0.00209443, 0.00124232, 0.000733364, 0.000430361, 0.000220132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.495156, 0.589885, 0.656298, 0.681221, 0.706471, 0.763792, 0.798845, 0.866353, 0.915375, 0.932051, 0.982865, 1.02148, 1.05853, 1.08703, 1.15234, 1.21598, 1.22154");
-            values ( \
-              "0.000474276, 0.00116882, 0.00250286, 0.00347012, 0.00496639, 0.00893564, 0.0107575, 0.0135702, 0.0165977, 0.0152406, 0.00679896, 0.00338546, 0.00161538, 0.000894326, 0.000205844, 4.28546e-05, 0.000353234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.494746, 0.598396, 0.627595, 0.666795, 0.716025, 0.759549, 0.784083, 0.829697, 0.904084, 0.935873, 0.947529, 0.95659, 0.965345, 0.979779, 0.995445, 1.02473, 1.05736, 1.0765, 1.09168, 1.10744, 1.12594, 1.15378, 1.16617, 1.18222, 1.2158, 1.22815, 1.24304, 1.25718, 1.28546, 1.33501, 1.41298");
-            values ( \
-              "0.00065028, 0.00206104, 0.00281624, 0.00432294, 0.00788325, 0.0120278, 0.0139388, 0.0168792, 0.0208696, 0.023076, 0.0240463, 0.0244232, 0.0242141, 0.0224306, 0.0190157, 0.0134593, 0.0087125, 0.00657363, 0.00521995, 0.0040741, 0.00301689, 0.00189111, 0.00153621, 0.00117148, 0.000659549, 0.00115996, 0.0011135, 0.000778632, 0.000509754, 0.000176421, 5.84582e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.494796, 0.612531, 0.637573, 0.670662, 0.713595, 0.745964, 0.799396, 0.818881, 0.850606, 0.921731, 0.964418, 1.00788, 1.022, 1.04044, 1.11253, 1.14158, 1.18539, 1.21603, 1.21981, 1.22567, 1.25936, 1.2818, 1.32458, 1.37347, 1.43267");
-            values ( \
-              "0.000752587, 0.00355967, 0.00457485, 0.00637051, 0.0100221, 0.0137479, 0.0192064, 0.0208054, 0.0233274, 0.028349, 0.0311082, 0.0342415, 0.0347798, 0.033605, 0.0197216, 0.0148698, 0.00927615, 0.00648634, 0.00681718, 0.00683597, 0.00453166, 0.00339875, 0.00197499, 0.0010291, 0.00052211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.494413, 0.63352, 0.684378, 0.71145, 0.781231, 0.871506, 0.941045, 1.00053, 1.05381, 1.10325, 1.1505, 1.21343, 1.22907, 1.27107, 1.31483, 1.33736, 1.3762, 1.42114, 1.45824, 1.48828, 1.51784, 1.55724, 1.63606, 1.71916, 1.80226, 1.88537");
-            values ( \
-              "0.000592502, 0.00600077, 0.00951328, 0.0121534, 0.0207445, 0.0298581, 0.0360992, 0.0410686, 0.0449781, 0.0477291, 0.0441035, 0.0325283, 0.0308797, 0.0231723, 0.0167969, 0.0141388, 0.0103827, 0.00721959, 0.00530659, 0.00412635, 0.00321928, 0.00230397, 0.00115024, 0.000552096, 0.000265151, 0.000129889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.607939, 0.736502, 0.835877, 0.95115, 1.03977, 1.1154, 1.18383, 1.21561, 1.23217, 1.27109, 1.30653, 1.43374, 1.46728, 1.53436, 1.59359, 1.63374, 1.7011, 1.73135, 1.78003, 1.84953, 1.92149, 1.97659, 2.05969, 2.2259, 2.3921, 2.4752");
-            values ( \
-              "0.010681, 0.0172919, 0.0296569, 0.0421892, 0.0512201, 0.0581003, 0.0629079, 0.0635458, 0.0627306, 0.0584803, 0.0537747, 0.0352101, 0.0308392, 0.0230761, 0.0176077, 0.0145942, 0.010504, 0.00899017, 0.00706936, 0.00489956, 0.00337119, 0.00256486, 0.00161364, 0.000652669, 0.000256933, 0.000201318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.607955, 0.70525, 0.915636, 1.06459, 1.13244, 1.21563, 1.23636, 1.32575, 1.38547, 1.46857, 1.55323, 1.6226, 1.80858, 1.86134, 1.92621, 2.00931, 2.07195, 2.14244, 2.22554, 2.26454, 2.34254, 2.41559, 2.49869, 2.56759, 2.64741, 2.73052, 2.81362, 2.89672, 2.97983, 3.06293, 3.14603, 3.31224, 3.56155");
-            values ( \
-              "0.0153384, 0.0154181, 0.0414581, 0.0589318, 0.066306, 0.0744671, 0.074501, 0.0720727, 0.0699957, 0.0659565, 0.06008, 0.054193, 0.0375867, 0.0332486, 0.0283828, 0.022871, 0.0193173, 0.0159012, 0.0125137, 0.0112001, 0.00887444, 0.00715842, 0.00554266, 0.0044923, 0.00351597, 0.00274422, 0.0020972, 0.00164341, 0.00124493, 0.00098416, 0.00073585, 0.000432734, 0.000217927" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00194783, 0.00196448, 0.0019791, 0.00199012, 0.00199749, 0.00200206", \
-            "0.00220284, 0.00222858, 0.00225356, 0.00227356, 0.0022877, 0.00229675", \
-            "0.00236209, 0.00238319, 0.00240622, 0.00242677, 0.0024434, 0.00245481", \
-            "0.0025017, 0.00251082, 0.0025234, 0.00253735, 0.00254968, 0.00256194", \
-            "0.00264468, 0.00263672, 0.00263049, 0.00262841, 0.00262962, 0.00263246", \
-            "0.00276362, 0.00274993, 0.00273301, 0.00271146, 0.00269015, 0.0026747" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00245806, 0.00248198, 0.00250119, 0.002511, 0.00251556, 0.00251772", \
-            "0.00254429, 0.00255575, 0.00255719, 0.00255048, 0.00254659, 0.00254048", \
-            "0.00263041, 0.002588, 0.0025477, 0.0025133, 0.00248794, 0.0024716", \
-            "0.00267739, 0.00259301, 0.00251723, 0.00245513, 0.00240979, 0.00237579", \
-            "0.00289067, 0.00278984, 0.0026051, 0.002474, 0.00238924, 0.00233449", \
-            "0.00275329, 0.00277551, 0.00279015, 0.00271131, 0.00250735, 0.00239264" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0251639, 0.0282685, 0.0293678, 0.0334907, 0.0378352, 0.0421669, 0.0459729, 0.0490734, 0.054724, 0.0578527, 0.0608852, 0.0669502, 0.0761443, 0.0831009, 0.0889259, 0.0941132, 0.0977452, 0.102451, 0.105716, 0.110069, 0.117342, 0.125662, 0.132272, 0.145494, 0.155888");
-            values ( \
-              "-0.00950231, -0.0678009, -0.068232, -0.0690254, -0.0693793, -0.0694034, -0.0690946, -0.0685902, -0.0666794, -0.0643697, -0.0613903, -0.0524652, -0.0377813, -0.0282383, -0.0216271, -0.0168348, -0.0140438, -0.0110353, -0.0093146, -0.0074019, -0.0049934, -0.00317903, -0.00220626, -0.00102964, -0.00065423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0250312, 0.0307498, 0.0408712, 0.0506127, 0.0609582, 0.0668761, 0.0738183, 0.0789248, 0.102463, 0.115107, 0.127964, 0.143969, 0.157604, 0.174827, 0.193198, 0.19613");
-            values ( \
-              "-0.0349161, -0.088095, -0.0885686, -0.0880241, -0.0862098, -0.0840905, -0.079669, -0.0744188, -0.0417316, -0.0277546, -0.0176057, -0.00966541, -0.00567902, -0.00287126, -0.00134166, -0.00124139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0250217, 0.0293839, 0.0378048, 0.0607808, 0.0757832, 0.088204, 0.0960667, 0.101747, 0.113107, 0.138655, 0.158316, 0.179066, 0.19781, 0.207597, 0.22762, 0.264493, 0.28539");
-            values ( \
-              "-0.0120825, -0.104524, -0.10496, -0.103659, -0.101663, -0.0986683, -0.0952891, -0.0919428, -0.081911, -0.0539843, -0.0358843, -0.0222127, -0.0140102, -0.0109329, -0.0065126, -0.00241559, -0.00153754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0254711, 0.0310569, 0.0320843, 0.0664151, 0.0969228, 0.106545, 0.126867, 0.139554, 0.148973, 0.167812, 0.20885, 0.241355, 0.260218, 0.273159, 0.289957, 0.304494, 0.320062, 0.342249, 0.371344, 0.410136, 0.467161, 0.524185");
-            values ( \
-              "-0.0473694, -0.117099, -0.1173, -0.115969, -0.113417, -0.112295, -0.108963, -0.105502, -0.101953, -0.0910428, -0.060362, -0.0397114, -0.0304416, -0.0251736, -0.0195213, -0.0156006, -0.0122141, -0.00855072, -0.00533234, -0.00277137, -0.00099966, -0.000361989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0272167, 0.0312349, 0.0768767, 0.127174, 0.161909, 0.197186, 0.234071, 0.271519, 0.347865, 0.398471, 0.441909, 0.498033, 0.563317, 0.603535, 0.657159, 0.73994");
-            values ( \
-              "-0.12436, -0.125524, -0.1242, -0.121714, -0.119196, -0.115469, -0.108485, -0.0948218, -0.0590894, -0.0397662, -0.0274723, -0.0164979, -0.00889123, -0.0060367, -0.00357864, -0.00181748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0272788, 0.0319832, 0.084775, 0.142887, 0.213223, 0.261813, 0.33238, 0.350437, 0.387736, 0.444761, 0.56428, 0.654145, 0.696041, 0.754419, 0.802098, 0.841667, 0.887853, 0.944877, 1.03046, 1.08749, 1.14451, 1.25856, 1.37261, 1.54368");
-            values ( \
-              "-0.129457, -0.13069, -0.12963, -0.128025, -0.125541, -0.12339, -0.118836, -0.117138, -0.11252, -0.100638, -0.0670996, -0.0452979, -0.0371238, -0.0277568, -0.0216757, -0.0175951, -0.0137392, -0.010044, -0.00626041, -0.00455773, -0.00329293, -0.00172165, -0.000896282, -0.000346309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.040299, 0.0415558, 0.0461546, 0.0517829, 0.0573906, 0.0639561, 0.0687766, 0.0726575, 0.0792007, 0.0937228, 0.103064, 0.112912, 0.123411, 0.134597, 0.147293, 0.16044");
-            values ( \
-              "-0.0580255, -0.0660799, -0.0687084, -0.0693788, -0.0693574, -0.0683635, -0.0664769, -0.0636682, -0.0552966, -0.0325884, -0.0214072, -0.0131901, -0.00764105, -0.00416635, -0.00207616, -0.000999451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0406827, 0.0424883, 0.0467455, 0.0528746, 0.0647928, 0.0768599, 0.081041, 0.0893178, 0.125576, 0.136861, 0.151147, 0.169542, 0.193889, 0.20201");
-            values ( \
-              "-0.0700868, -0.0862687, -0.088133, -0.0885708, -0.0880394, -0.0857244, -0.0840923, -0.0785356, -0.0314011, -0.021309, -0.0126089, -0.00621314, -0.00234247, -0.00184191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0407599, 0.0437326, 0.0465862, 0.0521882, 0.075181, 0.0901485, 0.102569, 0.110453, 0.116112, 0.127431, 0.152999, 0.172534, 0.193531, 0.212097, 0.22191, 0.241978, 0.279007, 0.299221");
-            values ( \
-              "-0.0673363, -0.103744, -0.104721, -0.104936, -0.103669, -0.101656, -0.0986744, -0.0952807, -0.0919415, -0.0819561, -0.0540065, -0.0360016, -0.0221601, -0.0140394, -0.0109473, -0.00651324, -0.00240472, -0.00155592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0415686, 0.0449159, 0.0514194, 0.080909, 0.100819, 0.121039, 0.141361, 0.154048, 0.163467, 0.182306, 0.223344, 0.25585, 0.287651, 0.304449, 0.318986, 0.334554, 0.35674, 0.38583, 0.424618, 0.481642, 0.538667");
-            values ( \
-              "-0.113712, -0.116657, -0.117215, -0.115911, -0.11445, -0.112298, -0.10896, -0.105502, -0.101953, -0.0910427, -0.0603624, -0.0397112, -0.0251744, -0.019522, -0.0156011, -0.0122146, -0.00855128, -0.00533305, -0.00277192, -0.000999937, -0.000362012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0417168, 0.044845, 0.0521959, 0.10824, 0.141704, 0.176449, 0.211725, 0.229566, 0.24861, 0.286076, 0.362494, 0.41303, 0.456422, 0.485979, 0.51259, 0.548072, 0.577872, 0.61066, 0.654377, 0.711401, 0.768425, 0.789104");
-            values ( \
-              "-0.122077, -0.124865, -0.125469, -0.123392, -0.121652, -0.119194, -0.11547, -0.11271, -0.108485, -0.0948135, -0.0590498, -0.0397593, -0.027479, -0.0210459, -0.0164944, -0.0118483, -0.00888913, -0.00648795, -0.00425703, -0.00238378, -0.00137132, -0.00123278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0418513, 0.0448463, 0.0477471, 0.0518134, 0.157498, 0.214522, 0.276423, 0.333447, 0.34699, 0.365047, 0.402347, 0.459371, 0.57889, 0.611731, 0.668756, 0.710651, 0.769028, 0.816707, 0.856276, 0.902462, 0.959486, 0.996643, 1.04507, 1.10209, 1.15912, 1.27316, 1.38721, 1.55829");
-            values ( \
-              "-0.127233, -0.129964, -0.130543, -0.130647, -0.127971, -0.126057, -0.12339, -0.11993, -0.118836, -0.117139, -0.112519, -0.100638, -0.0670993, -0.058538, -0.0452976, -0.0371243, -0.0277573, -0.0216754, -0.0175949, -0.0137397, -0.0100437, -0.00818736, -0.00626023, -0.00455821, -0.00329266, -0.00172135, -0.000895955, -0.000346669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0674654, 0.0704739, 0.0729578, 0.0819782, 0.0861248, 0.0874557, 0.0894159, 0.0906237, 0.0928897, 0.0951165, 0.0995702, 0.10345, 0.106923, 0.113093, 0.122136, 0.129715, 0.135107, 0.139621, 0.143106, 0.14975, 0.157296, 0.163886, 0.17252, 0.184031, 0.202603, 0.224713");
-            values ( \
-              "-0.00780499, -0.0441258, -0.0497449, -0.0607429, -0.0638362, -0.0644668, -0.0673893, -0.0679997, -0.068567, -0.0684352, -0.0672233, -0.064785, -0.0613511, -0.0523412, -0.0378791, -0.0275359, -0.0214847, -0.0172867, -0.0145368, -0.0103418, -0.0069478, -0.00486397, -0.00303627, -0.00158142, -0.000508517, -0.000123774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0684446, 0.0720805, 0.0753509, 0.0873979, 0.0890691, 0.0913595, 0.093254, 0.0970938, 0.105131, 0.113196, 0.121983, 0.130149, 0.148522, 0.161366, 0.172818, 0.185605, 0.192059, 0.205282, 0.213367, 0.229538, 0.25139");
-            values ( \
-              "-0.0170445, -0.0605271, -0.0682914, -0.0824135, -0.0857966, -0.0873626, -0.087869, -0.0879728, -0.0867711, -0.0842783, -0.078098, -0.0680198, -0.0422628, -0.0279544, -0.0187004, -0.0116356, -0.00909312, -0.00542989, -0.00394418, -0.00203356, -0.000862736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0682905, 0.0741539, 0.0787894, 0.0873821, 0.0904806, 0.0948279, 0.100824, 0.110359, 0.122604, 0.135406, 0.144162, 0.148699, 0.157772, 0.188122, 0.208148, 0.21882, 0.226473, 0.236371, 0.244419, 0.254321, 0.268285, 0.283062, 0.294421, 0.317138, 0.358296, 0.407186");
-            values ( \
-              "-0.00867326, -0.0780488, -0.0865227, -0.0972214, -0.10284, -0.104399, -0.104224, -0.103392, -0.101709, -0.0984865, -0.0947271, -0.0919328, -0.084271, -0.0513881, -0.0336394, -0.0263554, -0.0219665, -0.0172581, -0.0141326, -0.0109934, -0.00766693, -0.00522425, -0.00386993, -0.00209207, -0.000612816, -0.000132942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.0681494, 0.0768093, 0.0916428, 0.094628, 0.0981505, 0.11224, 0.133653, 0.161667, 0.174598, 0.185074, 0.196294, 0.218347, 0.263446, 0.293579, 0.319441, 0.336941, 0.352656, 0.37361, 0.391404, 0.415399, 0.447392, 0.504417, 0.517002");
-            values ( \
-              "-0.0127516, -0.0932987, -0.115546, -0.116511, -0.116658, -0.115999, -0.114437, -0.111195, -0.108797, -0.106073, -0.101954, -0.0887683, -0.0553041, -0.0371477, -0.025599, -0.0196373, -0.015409, -0.011065, -0.00830773, -0.00563172, -0.00331157, -0.00119268, -0.00102566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0727293, 0.0805217, 0.0895933, 0.0964195, 0.158771, 0.215983, 0.23819, 0.257744, 0.281666, 0.318991, 0.394847, 0.445928, 0.489673, 0.519121, 0.545485, 0.580637, 0.610769, 0.651386, 0.705542, 0.762567, 0.819591, 0.93364");
-            values ( \
-              "-0.10601, -0.106569, -0.122012, -0.125045, -0.122523, -0.118634, -0.116334, -0.113547, -0.108505, -0.0948655, -0.0593569, -0.039813, -0.0274323, -0.0210309, -0.0165201, -0.0119043, -0.00890351, -0.00602142, -0.00355076, -0.00198113, -0.00114365, -0.000373719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.072888, 0.0899195, 0.0971377, 0.190688, 0.253447, 0.309625, 0.380186, 0.398235, 0.435546, 0.49257, 0.612089, 0.701954, 0.74385, 0.802228, 0.849906, 0.889473, 0.935658, 0.992683, 1.07826, 1.13528, 1.19231, 1.30636, 1.4204, 1.59148");
-            values ( \
-              "-0.103408, -0.12715, -0.13033, -0.127976, -0.125835, -0.12339, -0.118836, -0.11714, -0.11252, -0.100638, -0.0670997, -0.0452981, -0.0371245, -0.0277574, -0.0216757, -0.0175952, -0.0137399, -0.010044, -0.00626056, -0.00455843, -0.00329284, -0.00172145, -0.00089601, -0.00034668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.121858, 0.134116, 0.146562, 0.159286, 0.167075, 0.174379, 0.181665, 0.188946, 0.210316, 0.223648, 0.23087, 0.244272, 0.259896, 0.271761");
-            values ( \
-              "-0.0030749, -0.0286711, -0.0388383, -0.0477971, -0.052133, -0.0543197, -0.0525252, -0.0462205, -0.0223395, -0.0124404, -0.00855973, -0.00416305, -0.00174127, -0.000983004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.126627, 0.133742, 0.138183, 0.146011, 0.158866, 0.169788, 0.179655, 0.189043, 0.198431, 0.201337, 0.214528, 0.2264, 0.235608, 0.246215, 0.253897, 0.260618, 0.270484, 0.279012, 0.289244, 0.302887, 0.328024, 0.357431");
-            values ( \
-              "-0.015117, -0.0349821, -0.0408261, -0.0488162, -0.0603276, -0.0684707, -0.0738387, -0.0758641, -0.0731114, -0.0709763, -0.0573238, -0.0413087, -0.0307769, -0.0213607, -0.0161708, -0.0125856, -0.00864114, -0.00620502, -0.00415215, -0.00238362, -0.000799143, -0.000202916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.12666, 0.136604, 0.144151, 0.154542, 0.16885, 0.176996, 0.186141, 0.199704, 0.210327, 0.212911, 0.218078, 0.222027, 0.226192, 0.233002, 0.266558, 0.274293, 0.285921, 0.295385, 0.302723, 0.316529, 0.322603, 0.332255, 0.346024, 0.364052, 0.388088, 0.426478, 0.47213");
-            values ( \
-              "-0.00598887, -0.0451709, -0.0556706, -0.0664982, -0.0801349, -0.0861517, -0.0913812, -0.0958326, -0.0965712, -0.0973699, -0.0964918, -0.0945129, -0.0919363, -0.086459, -0.0504479, -0.0430852, -0.0334403, -0.0269313, -0.022637, -0.0161542, -0.0138837, -0.0108725, -0.00761507, -0.00475519, -0.00247529, -0.000806792, -0.000198633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.13659, 0.154534, 0.160778, 0.16689, 0.173002, 0.179113, 0.184888, 0.190662, 0.193148, 0.195634, 0.19812, 0.200606, 0.208064, 0.213852, 0.214911, 0.218088, 0.220364, 0.22264, 0.226084, 0.231861, 0.236491, 0.241121, 0.243992, 0.252604, 0.268257, 0.274325, 0.276025, 0.279425, 0.286226, 0.295375, 0.298424, 0.337182, 0.340561, 0.347321, 0.35408, 0.358396, 0.367028, 0.374668, 0.382426, 0.389075, 0.397941, 0.402374, 0.415973, 0.426897, 0.437821, 0.447571, 0.453679, 0.459788, 0.465896, 0.476432");
-            values ( \
-              "-0.0706266, -0.0741091, -0.0811181, -0.087639, -0.0920892, -0.0970241, -0.102132, -0.107672, -0.107902, -0.108237, -0.108677, -0.109222, -0.111575, -0.113676, -0.113679, -0.113621, -0.113515, -0.113357, -0.112983, -0.112263, -0.111599, -0.110858, -0.110298, -0.108368, -0.103747, -0.101876, -0.1012, -0.0994493, -0.0953882, -0.0890924, -0.0869376, -0.0580296, -0.0555751, -0.0511615, -0.0469615, -0.0444546, -0.0395755, -0.0354064, -0.0315759, -0.0287253, -0.0252894, -0.0236927, -0.0192979, -0.0160761, -0.0136193, -0.0117209, -0.0106537, -0.00966948, -0.00876827, -0.0073933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.138343, 0.163407, 0.184339, 0.205262, 0.218492, 0.274881, 0.314117, 0.341085, 0.360121, 0.397587, 0.474005, 0.524539, 0.567929, 0.624095, 0.689371, 0.729518, 0.783048, 0.864706");
-            values ( \
-              "-0.0756384, -0.0896642, -0.108327, -0.118989, -0.12347, -0.120209, -0.116654, -0.112712, -0.108482, -0.0948169, -0.0590496, -0.0397596, -0.0274809, -0.0164952, -0.00888987, -0.00603962, -0.00358558, -0.00184545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.142888, 0.179566, 0.19883, 0.210114, 0.212463, 0.215595, 0.219402, 0.269049, 0.327983, 0.387985, 0.44501, 0.458501, 0.476489, 0.513886, 0.570911, 0.585176, 0.690432, 0.723266, 0.780291, 0.822198, 0.844094, 0.880578, 0.928252, 0.96781, 1.014, 1.07102, 1.10818, 1.1566, 1.21362, 1.27065, 1.32767, 1.3847, 1.49874, 1.61279, 1.66982");
-            values ( \
-              "-0.0947244, -0.109329, -0.121553, -0.125857, -0.128253, -0.129125, -0.129377, -0.127993, -0.125997, -0.123404, -0.119914, -0.118829, -0.117159, -0.112512, -0.100648, -0.0968613, -0.0670959, -0.0585455, -0.0452955, -0.0371271, -0.0333253, -0.0277595, -0.0216731, -0.0175938, -0.0137428, -0.0100423, -0.00818619, -0.00625914, -0.00456025, -0.00329137, -0.00239761, -0.00171996, -0.000894543, -0.000462767, -0.000348128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.243092, 0.257042, 0.265164, 0.274625, 0.285524, 0.309825, 0.322094, 0.333388, 0.344514, 0.355622, 0.358333, 0.367628, 0.387127, 0.398914, 0.408925, 0.422131, 0.432074, 0.44533, 0.467697, 0.494067, 0.505718, 0.513599, 0.532959");
-            values ( \
-              "-0.00049814, -0.010664, -0.013283, -0.0177374, -0.0218734, -0.0299358, -0.0334231, -0.0353577, -0.0346696, -0.0329895, -0.0321871, -0.0277601, -0.0157369, -0.0100631, -0.00662334, -0.00366395, -0.00230469, -0.0012034, -0.000358066, -8.6305e-05, -5.13822e-05, -0.000363067, -0.000123636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.246265, 0.261631, 0.269444, 0.280307, 0.287164, 0.300293, 0.325735, 0.340745, 0.354434, 0.367759, 0.381048, 0.382476, 0.388185, 0.41727, 0.427523, 0.440336, 0.447812, 0.454848, 0.464782, 0.469048, 0.477579, 0.494641, 0.505728, 0.513414, 0.531532, 0.548926, 0.576662");
-            values ( \
-              "-0.00233425, -0.0159635, -0.0193349, -0.0251489, -0.0283708, -0.03403, -0.0441823, -0.0495243, -0.0528933, -0.0528454, -0.0492153, -0.0486004, -0.0454943, -0.024418, -0.0182156, -0.0121843, -0.00950783, -0.00747152, -0.00525098, -0.00450755, -0.00329764, -0.00171507, -0.00111987, -0.0013224, -0.00065815, -0.00034774, -8.04888e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.257534, 0.273149, 0.300622, 0.349882, 0.369021, 0.386561, 0.403632, 0.420655, 0.432994, 0.47069, 0.481539, 0.496004, 0.505652, 0.510298, 0.526621, 0.53665, 0.554119, 0.574084, 0.607976");
-            values ( \
-              "-0.022001, -0.02507, -0.0403794, -0.0626879, -0.0698125, -0.0746118, -0.0744935, -0.0676945, -0.0593453, -0.0315861, -0.0251746, -0.0181655, -0.0144915, -0.0135941, -0.00910642, -0.00702122, -0.00445212, -0.00260114, -0.00106574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.26237, 0.277623, 0.32006, 0.356028, 0.385199, 0.410955, 0.435061, 0.458958, 0.482833, 0.510167, 0.532528, 0.560005, 0.576117, 0.59453, 0.620966, 0.635542, 0.664138, 0.680311, 0.712656, 0.769681, 0.826705, 0.88373");
-            values ( \
-              "-0.0292195, -0.0309752, -0.0548963, -0.0724891, -0.0848193, -0.0931562, -0.0969897, -0.0950985, -0.084873, -0.0679911, -0.0523735, -0.0362773, -0.0288143, -0.0218876, -0.0145731, -0.0115833, -0.00731627, -0.00562124, -0.00328164, -0.00118463, -0.000430149, -0.00015059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.269279, 0.304514, 0.347421, 0.396377, 0.437062, 0.474339, 0.510626, 0.520895, 0.547472, 0.566293, 0.588265, 0.657172, 0.710163, 0.737467, 0.758681, 0.786024, 0.808751, 0.835391, 0.873079, 0.912427, 0.94259, 0.999614, 1.05664, 1.11366, 1.22771");
-            values ( \
-              "-0.035804, -0.0494663, -0.0730707, -0.0955414, -0.108134, -0.113816, -0.11513, -0.114102, -0.108532, -0.102494, -0.0932192, -0.0608444, -0.0403055, -0.0320237, -0.0266177, -0.0208158, -0.0169095, -0.0131952, -0.00920857, -0.00631858, -0.00471968, -0.00266989, -0.00151164, -0.000847545, -0.000266547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.28346, 0.33242, 0.359488, 0.386015, 0.428275, 0.455583, 0.516783, 0.535584, 0.553761, 0.572212, 0.576746, 0.585813, 0.599082, 0.612936, 0.638309, 0.702639, 0.773911, 0.862749, 0.912033, 0.963041, 0.993504, 1.01257, 1.07263, 1.12858, 1.19011, 1.28472, 1.29614, 1.31899, 1.42171, 1.53576, 1.59278, 1.6498, 1.70683, 1.76385, 1.82088");
-            values ( \
-              "-0.059399, -0.0676598, -0.0821453, -0.0949186, -0.111132, -0.11804, -0.126606, -0.124804, -0.1248, -0.123339, -0.123593, -0.122702, -0.122404, -0.121127, -0.119777, -0.112716, -0.0970478, -0.0714508, -0.0583734, -0.0467463, -0.0402268, -0.0366917, -0.0271549, -0.0202826, -0.0145808, -0.00863918, -0.00836146, -0.00713237, -0.00395868, -0.00201755, -0.00167281, -0.000996106, -0.000931941, -0.000461508, -0.000544191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.514454, 0.542574, 0.56435, 0.581076, 0.613549, 0.635214, 0.665637, 0.684336, 0.702763, 0.72118, 0.739567, 0.742096, 0.778998, 0.795935, 0.814351, 0.824058, 0.836999, 0.862883, 0.895865, 0.895911");
-            values ( \
-              "-0.000911125, -0.00462459, -0.00617098, -0.00832234, -0.0134129, -0.016506, -0.0203991, -0.0211627, -0.0210818, -0.0211957, -0.0192285, -0.018721, -0.00816477, -0.00476467, -0.00249772, -0.00175772, -0.00108453, -0.000384885, -0.000104881, -0.000104838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.529902, 0.563931, 0.574786, 0.64544, 0.669556, 0.69378, 0.715746, 0.737042, 0.758208, 0.780666, 0.815324, 0.836921, 0.855006, 0.870242, 0.879837, 0.899026, 0.933548, 0.94863");
-            values ( \
-              "-0.00630473, -0.0085124, -0.00980578, -0.0229224, -0.0271313, -0.0309059, -0.0329276, -0.0331866, -0.0332297, -0.0290775, -0.0160651, -0.00952355, -0.00584323, -0.00377889, -0.0028802, -0.00157149, -0.000509248, -0.000360045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.54163, 0.590294, 0.670452, 0.705849, 0.731898, 0.744083, 0.763187, 0.779076, 0.788956, 0.808716, 0.814629, 0.826455, 0.842715, 0.882018, 0.906041, 0.929691, 0.947076, 0.960481, 0.981121, 1.00864, 1.0569, 1.11385, 1.17088, 1.2159, 1.23663");
-            values ( \
-              "-0.0110192, -0.0150785, -0.0323414, -0.0394117, -0.0442117, -0.0461433, -0.048395, -0.0493836, -0.0496642, -0.049234, -0.0485963, -0.0462777, -0.0407658, -0.0241931, -0.0159286, -0.0100825, -0.00701758, -0.0052756, -0.00335423, -0.00178499, -0.000504441, -0.000115991, -2.37617e-05, -2.23205e-05, -0.000220007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.541422, 0.57599, 0.60068, 0.680226, 0.713869, 0.759354, 0.798308, 0.833374, 0.866609, 0.899843, 0.906611, 0.925058, 0.984283, 1.00315, 1.03753, 1.05611, 1.08087, 1.10566, 1.11907, 1.1459, 1.19956, 1.21599, 1.23039, 1.24632");
-            values ( \
-              "-0.0114489, -0.015088, -0.0195562, -0.0381896, -0.0455564, -0.0551734, -0.062667, -0.068071, -0.069934, -0.066216, -0.0645254, -0.0582281, -0.0341017, -0.0274424, -0.0177248, -0.0137736, -0.00967997, -0.0067275, -0.00550137, -0.00363985, -0.00149246, -0.00114536, -0.00121522, -0.000974315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.568387, 0.632048, 0.69844, 0.748114, 0.83554, 0.868515, 0.887379, 0.925107, 0.934612, 0.953624, 0.980004, 1.02512, 1.05691, 1.12858, 1.1573, 1.1857, 1.21577, 1.24299, 1.26261, 1.30186, 1.32818, 1.3579, 1.39752, 1.45455, 1.51157, 1.5686, 1.68264");
-            values ( \
-              "-0.024295, -0.0287491, -0.0451131, -0.0564809, -0.0750495, -0.0812748, -0.0844923, -0.0897459, -0.0906416, -0.0919069, -0.0915045, -0.0834377, -0.0734778, -0.0482032, -0.0391294, -0.0313156, -0.0243516, -0.0195807, -0.0163159, -0.0112907, -0.00876791, -0.00659945, -0.00448827, -0.00253835, -0.00143597, -0.000806224, -0.000254595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.58784, 0.661084, 0.75834, 0.861471, 0.909393, 0.943255, 1.00028, 1.0466, 1.08389, 1.14092, 1.17303, 1.22415, 1.35593, 1.44293, 1.52429, 1.59819, 1.63699, 1.73365, 1.77054, 1.87676, 1.99081, 2.07978");
-            values ( \
-              "-0.035694, -0.0373245, -0.0611791, -0.0837485, -0.0928616, -0.0986918, -0.106146, -0.109895, -0.111276, -0.109739, -0.106403, -0.0970595, -0.060017, -0.0404293, -0.0270194, -0.0184682, -0.0149168, -0.00877357, -0.00714917, -0.00392042, -0.00203226, -0.00125725" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00173926, 0.00174292, 0.0017462, 0.00174871, 0.00175042, 0.00175149", \
-            "0.00190526, 0.00190895, 0.00191263, 0.00191574, 0.00191801, 0.00191949", \
-            "0.00199243, 0.00199425, 0.00199643, 0.00199872, 0.00200063, 0.00200202", \
-            "0.00204785, 0.0020471, 0.0020468, 0.00204708, 0.00204764, 0.00204826", \
-            "0.00207756, 0.00207578, 0.00207379, 0.0020719, 0.00207049, 0.00206971", \
-            "0.00209463, 0.00209266, 0.00209003, 0.00208704, 0.00208412, 0.00208174" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00216362, 0.00217051, 0.00217709, 0.00218233, 0.00218599, 0.0021883", \
-            "0.00219573, 0.00219744, 0.00219976, 0.00220188, 0.00220335, 0.0022042", \
-            "0.00220254, 0.00219138, 0.00218122, 0.00217311, 0.00216919, 0.00216591", \
-            "0.00224969, 0.00221798, 0.00218779, 0.00216221, 0.00214325, 0.00213013", \
-            "0.00243293, 0.00235568, 0.00228023, 0.00221767, 0.00217157, 0.00214137", \
-            "0.00295213, 0.00266382, 0.00251263, 0.00237505, 0.0022688, 0.00219654" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A1";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0841355, 0.103654, 0.138582, 0.201303, 0.3143, 0.518118", \
-            "0.0891241, 0.108717, 0.143756, 0.206566, 0.319624, 0.523486", \
-            "0.100894, 0.120553, 0.155693, 0.218633, 0.331812, 0.53576", \
-            "0.127175, 0.146802, 0.18196, 0.24497, 0.358313, 0.562502", \
-            "0.178374, 0.20296, 0.242937, 0.307073, 0.420579, 0.624946", \
-            "0.266654, 0.30162, 0.356235, 0.439869, 0.568774, 0.775125" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0810711, 0.108468, 0.157902, 0.247051, 0.407846, 0.698231", \
-            "0.0810665, 0.108471, 0.157902, 0.247051, 0.407852, 0.698231", \
-            "0.0810747, 0.108495, 0.157883, 0.247048, 0.407846, 0.698231", \
-            "0.0843742, 0.110153, 0.158112, 0.24703, 0.407864, 0.698269", \
-            "0.108215, 0.131471, 0.173131, 0.254319, 0.408784, 0.69822", \
-            "0.162108, 0.189159, 0.234157, 0.309944, 0.444997, 0.71116" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0561974, 0.0679926, 0.0888006, 0.125774, 0.191918, 0.31087", \
-            "0.0595244, 0.0713577, 0.0921942, 0.129203, 0.195368, 0.314332", \
-            "0.0667681, 0.0787027, 0.0996663, 0.136777, 0.203019, 0.322052", \
-            "0.0826096, 0.0956945, 0.117408, 0.154766, 0.221269, 0.340329", \
-            "0.102906, 0.121073, 0.149806, 0.194432, 0.264596, 0.384566", \
-            "0.11226, 0.139263, 0.181741, 0.246235, 0.341707, 0.4833" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0473496, 0.0622107, 0.089193, 0.138166, 0.226828, 0.387255", \
-            "0.0473344, 0.0621623, 0.0891814, 0.138166, 0.226828, 0.387254", \
-            "0.0472854, 0.0620798, 0.0890725, 0.13816, 0.226732, 0.387054", \
-            "0.0548911, 0.0677143, 0.0923832, 0.139069, 0.22673, 0.387051", \
-            "0.079826, 0.0943466, 0.118482, 0.159734, 0.237482, 0.389599", \
-            "0.126097, 0.145736, 0.177584, 0.227103, 0.305183, 0.437184" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0322576, 0.0367851, 0.0402479, 0.0458659, 0.0514807, 0.0569872, 0.0681265, 0.0685636, 0.0856508, 0.0917198, 0.103719, 0.111907, 0.118664, 0.129465, 0.141125, 0.147091, 0.159022, 0.170107, 0.184352, 0.201307, 0.209697, 0.226478, 0.241982, 0.268109, 0.302945, 0.35017, 0.408926");
-            values ( \
-              "0.00137974, 0.033022, 0.0343735, 0.0349001, 0.0351524, 0.03469, 0.0342512, 0.0340857, 0.0327269, 0.0321145, 0.0299085, 0.0269563, 0.0239048, 0.0194428, 0.0155143, 0.0138254, 0.0107608, 0.00852964, 0.00625761, 0.00428892, 0.00359121, 0.00240871, 0.00168548, 0.000913309, 0.000422772, 0.000105162, 4.9555e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0322596, 0.0378435, 0.0423995, 0.0498043, 0.0588142, 0.0867143, 0.110822, 0.12251, 0.128198, 0.136917, 0.162633, 0.173071, 0.194639, 0.21015, 0.223436, 0.233688, 0.249715, 0.263805, 0.282591, 0.307107, 0.322702, 0.353891, 0.409143, 0.474098, 0.55622");
-            values ( \
-              "0.00490891, 0.0454471, 0.0471266, 0.0477509, 0.0474511, 0.0454549, 0.0430716, 0.0410106, 0.0396017, 0.0367817, 0.0264326, 0.0228698, 0.0165617, 0.0128892, 0.0103932, 0.00872381, 0.00663621, 0.00519455, 0.0037034, 0.00238609, 0.00181751, 0.00100895, 0.000353802, 8.74175e-05, 2.86958e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0322165, 0.0394399, 0.042664, 0.0459328, 0.0513056, 0.0671821, 0.0996855, 0.111756, 0.145497, 0.155223, 0.17104, 0.181926, 0.236141, 0.251545, 0.267878, 0.289008, 0.305504, 0.327499, 0.344982, 0.359278, 0.378338, 0.409021, 0.444779, 0.473203, 0.530051, 0.612683, 0.695314");
-            values ( \
-              "0.0127279, 0.0576253, 0.0587899, 0.0593521, 0.0596831, 0.0591173, 0.0570376, 0.056115, 0.0530382, 0.0517018, 0.0486848, 0.04591, 0.0289377, 0.0247616, 0.0209074, 0.0164149, 0.0135626, 0.0105116, 0.00840639, 0.00704191, 0.00562384, 0.00372463, 0.00234772, 0.00168755, 0.000728691, 0.000274733, 3.89757e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0352471, 0.0421396, 0.0472596, 0.0521263, 0.0686816, 0.085239, 0.102277, 0.137983, 0.172155, 0.204594, 0.217448, 0.232184, 0.248121, 0.279994, 0.316423, 0.35924, 0.378604, 0.417331, 0.438694, 0.462618, 0.494516, 0.517787, 0.535062, 0.558096, 0.604164, 0.634226, 0.680951, 0.74325, 0.825882, 0.908514, 0.991146, 1.07378");
-            values ( \
-              "0.0651134, 0.0680236, 0.0690681, 0.0694765, 0.0690738, 0.0682218, 0.0675516, 0.0656525, 0.0636364, 0.0612657, 0.060016, 0.0582428, 0.0558739, 0.0496705, 0.0413008, 0.0319712, 0.0281791, 0.0214369, 0.0183307, 0.0152885, 0.0119359, 0.00988807, 0.00860033, 0.00714844, 0.004841, 0.00376237, 0.00253135, 0.00149459, 0.000700815, 0.00035613, 0.000155038, 9.46376e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0387845, 0.0388045, 0.1174, 0.201306, 0.312873, 0.376154, 0.450563, 0.617589, 0.7172, 0.77764, 0.836279, 0.900622, 0.979742, 1.03511, 1.14699, 1.31225, 1.33993");
-            values ( \
-              "1e-22, 0.0829155, 0.0747942, 0.0719527, 0.0669849, 0.0620195, 0.0527229, 0.0291676, 0.0187236, 0.0140597, 0.0105456, 0.0076325, 0.00510984, 0.00380284, 0.00210386, 0.000860906, 0.000799889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0435938, 0.0436138, 0.17298, 0.350902, 0.421545, 0.484286, 0.525988, 0.60862, 0.694646, 0.763109, 0.949077, 1.0667, 1.1707, 1.21344, 1.28356, 1.36619, 1.40507, 1.48284, 1.55598, 1.63861, 1.69471, 1.73496, 1.87126, 2.03652, 2.20178, 2.36705, 2.69758");
-            values ( \
-              "1e-22, 0.0908608, 0.0789297, 0.0751003, 0.0733388, 0.071529, 0.0699769, 0.0660052, 0.0600392, 0.0541595, 0.0375439, 0.0283397, 0.021612, 0.0193097, 0.0158289, 0.0125535, 0.0111545, 0.00892481, 0.00711632, 0.00559753, 0.00466252, 0.00412104, 0.00269846, 0.00160336, 0.000945639, 0.000552263, 0.000177592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0496938, 0.0523215, 0.0558118, 0.0608376, 0.0651559, 0.0688587, 0.0781504, 0.101708, 0.107314, 0.118527, 0.127859, 0.145276, 0.156642, 0.162468, 0.174122, 0.185691, 0.20016, 0.216895, 0.241576, 0.257515, 0.284292, 0.319994, 0.367194, 0.426331");
-            values ( \
-              "0.0265198, 0.0327749, 0.0340435, 0.0349268, 0.0350038, 0.0349499, 0.0344931, 0.0326924, 0.0321094, 0.0301228, 0.0268053, 0.0193635, 0.0155182, 0.0139006, 0.0108554, 0.00851494, 0.00621307, 0.0042755, 0.0024236, 0.00167541, 0.000888245, 0.00042021, 8.88381e-05, 6.04018e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0496851, 0.0534823, 0.0580552, 0.0615406, 0.0654748, 0.0728672, 0.080295, 0.0958675, 0.110034, 0.126918, 0.137981, 0.143873, 0.155656, 0.178456, 0.187178, 0.210265, 0.225808, 0.239176, 0.249498, 0.270092, 0.279416, 0.293423, 0.313666, 0.335084, 0.351572, 0.384549, 0.444134, 0.514963");
-            values ( \
-              "0.0290065, 0.0450944, 0.0468966, 0.0474243, 0.0476381, 0.047476, 0.0470784, 0.0459478, 0.0447442, 0.0429691, 0.0410853, 0.0395846, 0.035628, 0.0263944, 0.0234308, 0.0165396, 0.0129302, 0.0103644, 0.00872299, 0.00611875, 0.00518398, 0.00406083, 0.00281099, 0.0019158, 0.0014331, 0.000769614, 0.000244037, 5.27695e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0496423, 0.0552479, 0.0600744, 0.0651588, 0.0724292, 0.0830826, 0.115527, 0.138536, 0.161337, 0.171068, 0.197748, 0.251981, 0.283728, 0.321337, 0.343318, 0.375124, 0.424867, 0.460597, 0.488993, 0.545785, 0.583082");
-            values ( \
-              "0.0334133, 0.0572097, 0.0590729, 0.0595978, 0.0595691, 0.0591116, 0.0570355, 0.0552387, 0.0530411, 0.0517009, 0.0459155, 0.0289377, 0.0209055, 0.0135638, 0.0105145, 0.00704144, 0.00372437, 0.00234843, 0.0016887, 0.000729813, 0.000573921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.052842, 0.052862, 0.101112, 0.152552, 0.188006, 0.220441, 0.233307, 0.248039, 0.263972, 0.295837, 0.332274, 0.375091, 0.394455, 0.433183, 0.454545, 0.478467, 0.510364, 0.533637, 0.550913, 0.573948, 0.620018, 0.650075, 0.69679, 0.759077, 0.841709, 0.924341, 1.0896");
-            values ( \
-              "1e-22, 0.0739727, 0.0682739, 0.0657213, 0.0636346, 0.0612678, 0.060017, 0.0582406, 0.0558757, 0.0496706, 0.0412993, 0.0319728, 0.0281775, 0.0214385, 0.0183323, 0.0152901, 0.0119347, 0.00988965, 0.00860177, 0.007147, 0.00484225, 0.00376379, 0.00253293, 0.00149358, 0.000702291, 0.000354881, 9.33377e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0528461, 0.0528661, 0.133462, 0.217369, 0.277068, 0.328912, 0.356105, 0.392363, 0.423816, 0.465754, 0.540478, 0.589251, 0.667685, 0.715752, 0.772485, 0.834189, 0.861002, 0.903833, 0.948196, 1.01011, 1.08065, 1.16328, 1.24591, 1.41118, 1.57644, 1.65907");
-            values ( \
-              "1e-22, 0.0790469, 0.0747466, 0.0719041, 0.0695207, 0.066985, 0.0651779, 0.0620065, 0.0585062, 0.0528466, 0.0417352, 0.0348445, 0.025169, 0.0203076, 0.0155751, 0.0115716, 0.0101015, 0.00815023, 0.00653808, 0.00471936, 0.00326768, 0.00213922, 0.00134992, 0.000549395, 0.00021839, 0.000169585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0566958, 0.0567158, 0.186468, 0.337084, 0.460145, 0.54179, 0.624422, 0.710448, 0.778911, 0.938507, 1.01762, 1.0825, 1.16514, 1.22924, 1.29936, 1.38199, 1.42088, 1.49864, 1.57177, 1.65441, 1.72392, 1.80443, 1.88706, 1.96969, 2.13495, 2.30022, 2.46548, 2.71338");
-            values ( \
-              "1e-22, 0.0875527, 0.0789182, 0.0757631, 0.0726962, 0.0700338, 0.0659481, 0.0599819, 0.0542171, 0.0398218, 0.0332352, 0.0283983, 0.0228859, 0.0192511, 0.0158875, 0.0124948, 0.0112132, 0.00886625, 0.007175, 0.00553894, 0.00447782, 0.00349395, 0.00275693, 0.0020843, 0.00123499, 0.000726118, 0.000422181, 0.000235899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0863008, 0.0951274, 0.0985919, 0.10445, 0.109899, 0.118738, 0.132752, 0.144369, 0.152783, 0.165994, 0.18278, 0.208398, 0.229192, 0.241572, 0.253824, 0.269839, 0.293474, 0.324876, 0.358372, 0.369885");
-            values ( \
-              "0.0301089, 0.0321688, 0.0337047, 0.0346502, 0.0346892, 0.0342731, 0.0332209, 0.032147, 0.0307757, 0.0264884, 0.0192804, 0.0116, 0.00751558, 0.00568479, 0.00432818, 0.00300955, 0.00174241, 0.000838053, 0.000399782, 0.0003281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0831171, 0.0898268, 0.0950536, 0.0979875, 0.102764, 0.107407, 0.115958, 0.121085, 0.132774, 0.155645, 0.164298, 0.180467, 0.192375, 0.214857, 0.229975, 0.248792, 0.263652, 0.275377, 0.291011, 0.313863, 0.327643, 0.349553, 0.375426, 0.396016, 0.437196, 0.499161, 0.574908");
-            values ( \
-              "0.00462547, 0.0372961, 0.0434104, 0.0454, 0.0468364, 0.047261, 0.04714, 0.0468287, 0.0459794, 0.0439612, 0.0429711, 0.0398983, 0.0358186, 0.0267522, 0.021654, 0.0162681, 0.0128236, 0.0105793, 0.00811765, 0.00546748, 0.00428887, 0.00289259, 0.00182034, 0.00125486, 0.000576714, 0.000170207, 3.66028e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0831855, 0.0918788, 0.098938, 0.103285, 0.109772, 0.118688, 0.131286, 0.175898, 0.198642, 0.209228, 0.231698, 0.296948, 0.338535, 0.370237, 0.395727, 0.412237, 0.441907, 0.476967, 0.535545, 0.611896, 0.62653");
-            values ( \
-              "0.0090173, 0.0494252, 0.0571711, 0.058699, 0.059361, 0.0591525, 0.0584704, 0.0552498, 0.0530376, 0.0515762, 0.0468479, 0.0268303, 0.0171061, 0.0118968, 0.00867267, 0.0070813, 0.00487316, 0.00310783, 0.00143871, 0.000516428, 0.000449338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.087343, 0.0982648, 0.104088, 0.113478, 0.155633, 0.22551, 0.257889, 0.285753, 0.301476, 0.332921, 0.412597, 0.470725, 0.515921, 0.547755, 0.588445, 0.657791, 0.68758, 0.733889, 0.795635, 0.878267, 0.908165");
-            values ( \
-              "0.0561542, 0.0658374, 0.0685184, 0.0691781, 0.0674801, 0.0636347, 0.0612727, 0.0582124, 0.0558757, 0.049763, 0.0319731, 0.0214336, 0.0152971, 0.011944, 0.00860092, 0.00483226, 0.00376471, 0.00254277, 0.00150488, 0.000709426, 0.000635064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0890243, 0.105763, 0.111199, 0.11642, 0.143699, 0.199161, 0.288711, 0.366514, 0.393633, 0.429791, 0.461689, 0.504219, 0.634563, 0.671277, 0.735379, 0.770817, 0.831244, 0.889912, 0.954309, 1.03339, 1.08872, 1.2005, 1.36576, 1.53102, 1.69629");
-            values ( \
-              "0.0750278, 0.0756611, 0.0761646, 0.0762398, 0.0755625, 0.073815, 0.0705878, 0.0669828, 0.065181, 0.0620221, 0.0584707, 0.0527184, 0.033801, 0.0291599, 0.0220063, 0.0187275, 0.0140639, 0.0105477, 0.00763231, 0.00510806, 0.00380498, 0.00210695, 0.000863076, 0.000346947, 0.000133892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0976729, 0.0976929, 0.229309, 0.404551, 0.475195, 0.537938, 0.579638, 0.66227, 0.748296, 0.816759, 1.00273, 1.12035, 1.20298, 1.26709, 1.33721, 1.41984, 1.45872, 1.53648, 1.60962, 1.69225, 1.76176, 1.84225, 1.92489, 2.09015, 2.25541, 2.50331, 2.75121");
-            values ( \
-              "1e-22, 0.0912625, 0.0788352, 0.0750621, 0.0733026, 0.0714936, 0.0700118, 0.0659708, 0.0600061, 0.0541925, 0.0375766, 0.0283724, 0.022912, 0.0192774, 0.0158617, 0.012521, 0.0111873, 0.00889233, 0.0071492, 0.00556494, 0.00450361, 0.00351964, 0.00273167, 0.00163654, 0.000978828, 0.000447374, 0.000210863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.153587, 0.163472, 0.17016, 0.174709, 0.179214, 0.187847, 0.194297, 0.20783, 0.210307, 0.212626, 0.220307, 0.224342, 0.232345, 0.24327, 0.248174, 0.270151, 0.277281, 0.287655, 0.299512, 0.310723, 0.325082, 0.341916, 0.350235, 0.366872, 0.382546, 0.408915, 0.444074, 0.491303, 0.550152");
-            values ( \
-              "0.000275068, 0.0154626, 0.0193815, 0.0215773, 0.0234795, 0.0262145, 0.0277705, 0.0298478, 0.0301456, 0.0308366, 0.032341, 0.0324733, 0.032064, 0.0301885, 0.0286357, 0.0194148, 0.0169481, 0.0138502, 0.0107839, 0.00852423, 0.00623648, 0.00428426, 0.0036045, 0.00241586, 0.00168225, 0.00090376, 0.000423231, 9.80442e-05, 5.47307e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.152904, 0.173007, 0.173875, 0.175612, 0.179084, 0.184949, 0.19557, 0.203648, 0.21028, 0.213811, 0.218355, 0.220264, 0.224081, 0.22986, 0.235892, 0.247957, 0.251955, 0.259952, 0.268901, 0.284719, 0.288351, 0.295614, 0.308955, 0.31331, 0.313899, 0.315078, 0.317436, 0.322151, 0.331582, 0.349946, 0.354242, 0.355145, 0.356951, 0.367786, 0.382233, 0.392417, 0.394854, 0.399729, 0.409478, 0.428977, 0.447033, 0.456428, 0.475217, 0.512796, 0.571116, 0.642339");
-            values ( \
-              "0.00437113, 0.0281353, 0.028651, 0.0297841, 0.0316598, 0.0345008, 0.0381437, 0.0401874, 0.0413856, 0.0427738, 0.0440387, 0.0445225, 0.0450691, 0.0449704, 0.0446275, 0.0434422, 0.0429835, 0.0416804, 0.0396281, 0.0339891, 0.0324597, 0.0295558, 0.0244419, 0.0231182, 0.0228264, 0.0225076, 0.0216919, 0.0202837, 0.0175231, 0.0131167, 0.0122012, 0.0120661, 0.0116755, 0.00975033, 0.00765156, 0.00638964, 0.00615691, 0.00562624, 0.00476916, 0.00334135, 0.002417, 0.00206863, 0.00144829, 0.000739257, 0.000215881, 7.03328e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.15299, 0.169143, 0.178435, 0.19427, 0.218924, 0.224583, 0.230528, 0.241124, 0.26374, 0.286533, 0.306905, 0.322446, 0.377185, 0.409017, 0.446474, 0.468325, 0.500377, 0.550121, 0.585594, 0.61374, 0.670033, 0.701841");
-            values ( \
-              "0.00014414, 0.0312835, 0.0390764, 0.0472896, 0.0561675, 0.0574419, 0.0574671, 0.057003, 0.0552565, 0.0530259, 0.0498088, 0.0460573, 0.028933, 0.0208927, 0.0135701, 0.0105453, 0.00703275, 0.00371773, 0.00235104, 0.00170273, 0.000736295, 0.000601531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.161762, 0.191619, 0.21013, 0.220868, 0.225679, 0.234568, 0.277773, 0.32433, 0.350024, 0.376202, 0.409377, 0.500447, 0.559502, 0.602454, 0.625933, 0.665305, 0.712935, 0.756346, 0.784989, 0.838936, 0.910864, 0.993496, 1.15876");
-            values ( \
-              "0.0338441, 0.053768, 0.0611321, 0.0669332, 0.0676544, 0.0678515, 0.0657105, 0.0629005, 0.060866, 0.0578635, 0.052183, 0.0319657, 0.0212923, 0.0154624, 0.0128537, 0.00938543, 0.00635073, 0.00443893, 0.00346681, 0.00218972, 0.00118739, 0.000551533, 0.000120038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.162026, 0.181632, 0.193488, 0.219085, 0.22363, 0.231873, 0.252887, 0.287347, 0.344225, 0.40548, 0.442167, 0.463708, 0.50679, 0.528599, 0.562019, 0.695582, 0.742718, 0.805567, 0.834346, 0.880231, 0.932672, 0.978723, 1.03331, 1.10608, 1.14422, 1.18389, 1.23678, 1.31941, 1.48468, 1.64994, 1.81521");
-            values ( \
-              "0.0325689, 0.0520017, 0.0600384, 0.0727491, 0.0745405, 0.0754457, 0.0749662, 0.0738482, 0.0718644, 0.0694118, 0.0676676, 0.0664286, 0.063096, 0.0609017, 0.0569318, 0.0375268, 0.0311951, 0.0239007, 0.0209739, 0.0170109, 0.0131767, 0.0105078, 0.00799099, 0.00554387, 0.00450654, 0.00366615, 0.00282658, 0.00178108, 0.000723498, 0.000285371, 0.000104588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.17309, 0.212198, 0.220137, 0.223918, 0.231478, 0.241889, 0.256227, 0.417332, 0.545682, 0.599026, 0.667785, 0.750417, 0.836503, 0.904926, 1.09089, 1.20852, 1.29115, 1.35525, 1.42537, 1.508, 1.54688, 1.62464, 1.69778, 1.78041, 1.84992, 1.93041, 2.01304, 2.09567, 2.1783, 2.34357, 2.59146, 2.83936");
-            values ( \
-              "0.071883, 0.0734041, 0.0783888, 0.0794946, 0.0802888, 0.0802844, 0.0800309, 0.076765, 0.0737636, 0.0723146, 0.0700189, 0.0659645, 0.059993, 0.0542025, 0.0375865, 0.0283824, 0.0229023, 0.0192676, 0.0158717, 0.0125112, 0.0111974, 0.00888268, 0.00715921, 0.00555526, 0.00449414, 0.0035103, 0.00274126, 0.00210049, 0.00164602, 0.00098822, 0.000438138, 0.000220038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.299108, 0.336748, 0.362549, 0.384591, 0.397848, 0.415156, 0.431204, 0.44705, 0.462179, 0.486294, 0.505664, 0.509689, 0.536999, 0.548701, 0.559055, 0.570234, 0.586329, 0.597133, 0.617663, 0.645035, 0.692322, 0.74908");
-            values ( \
-              "0.000299515, 0.0100376, 0.0151337, 0.0191628, 0.0213412, 0.0235897, 0.0248447, 0.0243118, 0.021129, 0.01476, 0.0105529, 0.0101803, 0.00601025, 0.00464208, 0.00368508, 0.00285883, 0.00197978, 0.00154573, 0.000964605, 0.000508971, 0.000154081, 4.07701e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.312902, 0.327428, 0.360666, 0.391984, 0.41538, 0.436191, 0.455859, 0.475475, 0.493708, 0.540321, 0.558743, 0.579888, 0.597128, 0.613342, 0.62485, 0.647315, 0.672242, 0.692156, 0.731985, 0.793565, 0.868504");
-            values ( \
-              "0.00817627, 0.0111813, 0.0199844, 0.0277165, 0.032377, 0.035116, 0.0363169, 0.035362, 0.0310856, 0.0177842, 0.0132629, 0.00937669, 0.00696568, 0.00525941, 0.00430018, 0.00286618, 0.00183489, 0.00128409, 0.000604718, 0.000181529, 3.82548e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.312916, 0.333679, 0.377591, 0.413615, 0.443123, 0.469968, 0.495929, 0.505788, 0.512474, 0.524326, 0.54065, 0.565289, 0.593428, 0.632632, 0.659135, 0.674808, 0.701217, 0.732888, 0.761415, 0.792102, 0.81579, 0.863166, 0.916739");
-            values ( \
-              "0.00828637, 0.0161784, 0.0301859, 0.0402971, 0.0458334, 0.0485163, 0.0494776, 0.0492008, 0.049173, 0.0479025, 0.0435011, 0.0354812, 0.0271668, 0.0178288, 0.0131562, 0.0109162, 0.00790691, 0.00532127, 0.0036924, 0.00249505, 0.00183863, 0.00097871, 0.000540077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.329297, 0.36841, 0.399255, 0.422128, 0.445997, 0.486002, 0.523178, 0.533961, 0.559874, 0.599093, 0.630556, 0.710213, 0.768334, 0.813538, 0.845377, 0.886048, 0.95536, 0.985171, 1.0315, 1.09328, 1.17592, 1.20804");
-            values ( \
-              "0.027037, 0.0316148, 0.0426657, 0.0493568, 0.0546334, 0.0600198, 0.063271, 0.063002, 0.0608984, 0.055876, 0.0497586, 0.0319788, 0.0214399, 0.0153014, 0.0119398, 0.00860565, 0.00483788, 0.00376905, 0.00254618, 0.00150119, 0.000712522, 0.000572863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.329097, 0.372901, 0.415944, 0.430985, 0.461065, 0.512513, 0.522591, 0.530666, 0.612501, 0.657749, 0.704361, 0.738237, 0.78883, 0.920766, 1.01365, 1.09165, 1.14644, 1.1886, 1.23853, 1.30509, 1.36633, 1.46029, 1.54292, 1.62555, 1.79082, 1.95608");
-            values ( \
-              "0.0270937, 0.0364547, 0.0526763, 0.0569985, 0.0637344, 0.0713966, 0.0725959, 0.0727216, 0.0695237, 0.0673518, 0.0641572, 0.0609187, 0.0546012, 0.0354132, 0.0240524, 0.0168486, 0.012943, 0.0105245, 0.00820066, 0.0058341, 0.00425519, 0.00260499, 0.00167931, 0.00108235, 0.000448968, 0.000187283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.347491, 0.420928, 0.456024, 0.478574, 0.513988, 0.527551, 0.540373, 0.558046, 0.575868, 0.666998, 0.77366, 0.836797, 0.878091, 0.96068, 1.04683, 1.11521, 1.30118, 1.4188, 1.50143, 1.56554, 1.63566, 1.71829, 1.75717, 1.83492, 1.90805, 1.99068, 2.08702, 2.14068, 2.22331, 2.30594, 2.38858, 2.55384, 2.80174, 3.04963");
-            values ( \
-              "0.0444686, 0.0574831, 0.0668702, 0.0713936, 0.0772457, 0.0788141, 0.0787021, 0.0781517, 0.0779188, 0.0759341, 0.0733036, 0.0714818, 0.0700111, 0.0659736, 0.0599981, 0.0541948, 0.0375817, 0.0283779, 0.0229073, 0.0192718, 0.0158674, 0.0125155, 0.0111934, 0.00888718, 0.00715543, 0.00555949, 0.0041604, 0.00351408, 0.00273778, 0.00210415, 0.00164254, 0.000984758, 0.000441597, 0.000216667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.642004, 0.70882, 0.733879, 0.788921, 0.849879, 0.874554, 0.897897, 0.926774, 0.998386, 1.0301, 1.05795, 1.08646, 1.10488, 1.12593, 1.16803, 1.2099");
-            values ( \
-              "0.0015918, 0.00425273, 0.00595444, 0.0105207, 0.014989, 0.0165187, 0.0168354, 0.0147051, 0.00615744, 0.00365367, 0.00219732, 0.00126719, 0.000889652, 0.000572851, 0.000237862, 9.85808e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.642125, 0.683355, 0.707583, 0.720123, 0.745202, 0.787939, 0.810385, 0.84861, 0.880899, 0.909642, 0.936948, 0.962429, 0.971523, 1.00912, 1.04482, 1.05648, 1.07706, 1.09836, 1.12477, 1.15917, 1.16896, 1.20813, 1.21592, 1.2258, 1.23893, 1.27396, 1.30979, 1.37391, 1.45654");
-            values ( \
-              "0.00214415, 0.00467311, 0.00603067, 0.0069355, 0.00944928, 0.0141648, 0.0164603, 0.0202778, 0.0232957, 0.0255674, 0.0259397, 0.0235959, 0.0224117, 0.016157, 0.0107364, 0.00926993, 0.00699318, 0.00512514, 0.00340963, 0.00194746, 0.00165696, 0.000846371, 0.000776757, 0.000864453, 0.000829375, 0.000438045, 0.000243842, 6.66484e-05, 2.34778e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.667985, 0.730188, 0.843849, 0.889939, 0.929074, 0.96433, 0.998581, 1.02964, 1.04472, 1.10216, 1.14137, 1.17216, 1.20456, 1.21606, 1.28018, 1.30988, 1.34948, 1.42869, 1.43788");
-            values ( \
-              "0.00768922, 0.0101774, 0.0247776, 0.0303358, 0.0346015, 0.0372064, 0.0371492, 0.0337224, 0.0313513, 0.0207348, 0.0143716, 0.0103912, 0.00719318, 0.00636315, 0.00313148, 0.00214307, 0.00128097, 0.000419916, 0.00038838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.668076, 0.73487, 0.893544, 0.951384, 1.00153, 1.04814, 1.09431, 1.13528, 1.16055, 1.21616, 1.29441, 1.31304, 1.3503, 1.37893, 1.41578, 1.46491, 1.48999, 1.52913, 1.58131, 1.66395, 1.706");
-            values ( \
-              "0.00892019, 0.0128571, 0.0359074, 0.0434213, 0.048078, 0.0502489, 0.0491836, 0.0446204, 0.0405859, 0.0306218, 0.0182924, 0.0158791, 0.0118755, 0.00944787, 0.00699794, 0.0046389, 0.00376238, 0.00270565, 0.0017259, 0.000832986, 0.000612469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.667902, 0.735807, 0.79969, 0.903362, 0.965082, 1.0417, 1.11077, 1.17696, 1.19647, 1.22948, 1.25983, 1.31689, 1.42592, 1.51936, 1.59604, 1.64989, 1.71086, 1.74538, 1.81443, 1.87175, 1.95995, 2.04258, 2.12521, 2.22385");
-            values ( \
-              "0.00964907, 0.0147588, 0.0243648, 0.0411669, 0.0500857, 0.0580175, 0.061925, 0.0632623, 0.062948, 0.0618492, 0.0590899, 0.0513296, 0.0353921, 0.0239765, 0.016897, 0.0130517, 0.00966115, 0.00813169, 0.00570174, 0.00424263, 0.00267649, 0.00173231, 0.00110999, 0.000720952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.699565, 0.795086, 0.939196, 0.971728, 1.0151, 1.06805, 1.15068, 1.17686, 1.21575, 1.23648, 1.25761, 1.32102, 1.37453, 1.43405, 1.49431, 1.57217, 1.62036, 1.7798, 1.85811, 1.92329, 2.00592, 2.07154, 2.14127, 2.22391, 2.26217, 2.33871, 2.41227, 2.4949, 2.59236, 2.64678, 2.72941, 2.81204, 2.89467, 3.05993, 3.30783, 3.55573");
-            values ( \
-              "0.018713, 0.0251203, 0.0495776, 0.0544448, 0.0599328, 0.0651677, 0.0707321, 0.0719769, 0.0733948, 0.0739593, 0.0739324, 0.0721068, 0.070368, 0.0677073, 0.0641839, 0.058318, 0.054231, 0.0398283, 0.0333113, 0.028423, 0.0229307, 0.0192151, 0.015843, 0.0124907, 0.0111947, 0.00891822, 0.0071732, 0.00557251, 0.00415426, 0.00350448, 0.0027263, 0.00209946, 0.00163449, 0.000978718, 0.000443048, 0.000212788" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00199098, 0.00199229, 0.00199347, 0.00199437, 0.00199498, 0.00199537", \
-            "0.00235966, 0.00236319, 0.00236661, 0.00236942, 0.00237143, 0.00237273", \
-            "0.0025533, 0.0025582, 0.00256367, 0.00256875, 0.00257273, 0.00257545", \
-            "0.00268079, 0.0026838, 0.0026856, 0.00269006, 0.002694, 0.00269702", \
-            "0.00277283, 0.00277047, 0.00276861, 0.00276785, 0.00276821, 0.00276916", \
-            "0.00286689, 0.00285825, 0.00284548, 0.00282993, 0.00281517, 0.00280415" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00265165, 0.00265616, 0.0026604, 0.00266379, 0.00266615, 0.00266766", \
-            "0.00268307, 0.00268965, 0.00269651, 0.00270243, 0.00270679, 0.00270965", \
-            "0.00264557, 0.00263437, 0.00262366, 0.00261532, 0.00260951, 0.00260578", \
-            "0.00262184, 0.00259088, 0.00256183, 0.00253237, 0.00250911, 0.00249403", \
-            "0.00281244, 0.00270668, 0.00260646, 0.00253796, 0.00248344, 0.00244406", \
-            "0.00276582, 0.00277889, 0.00278499, 0.00270801, 0.00257148, 0.00249754" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0212723, 0.0243526, 0.0311406, 0.0354305, 0.0481665, 0.0562644, 0.0638114, 0.0708525, 0.0781594, 0.0920283, 0.103641, 0.114175, 0.129428, 0.137804, 0.148972, 0.159602");
-            values ( \
-              "-0.00394589, -0.046644, -0.0451552, -0.0450877, -0.0468334, -0.0491484, -0.0540938, -0.056454, -0.0497322, -0.0304025, -0.0176055, -0.0101338, -0.00435136, -0.00272696, -0.00145167, -0.000908854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0230554, 0.0253047, 0.0339097, 0.0553908, 0.0657773, 0.0756337, 0.0850662, 0.095786, 0.115403, 0.125514, 0.141432, 0.152979, 0.162141, 0.172971, 0.198589, 0.202719");
-            values ( \
-              "-0.0592959, -0.0659753, -0.0647094, -0.0665807, -0.0686809, -0.0737032, -0.0757053, -0.0655608, -0.0385673, -0.027219, -0.0148318, -0.00929844, -0.00634967, -0.00404936, -0.00136055, -0.00121714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0229752, 0.0265124, 0.0383566, 0.0678317, 0.08233, 0.0963965, 0.110121, 0.12466, 0.156549, 0.169157, 0.192831, 0.209776, 0.222956, 0.23772, 0.272235, 0.286339");
-            values ( \
-              "-0.0579533, -0.0857153, -0.0855156, -0.0868886, -0.0882168, -0.0921738, -0.0930639, -0.0816301, -0.0454984, -0.0338943, -0.0184229, -0.0116383, -0.00797662, -0.00524472, -0.00189995, -0.00143344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.023732, 0.0266093, 0.0314311, 0.111631, 0.133283, 0.15482, 0.180893, 0.227275, 0.249243, 0.271961, 0.30868, 0.339715, 0.380891, 0.424812, 0.442714");
-            values ( \
-              "-0.0973258, -0.102439, -0.104133, -0.104785, -0.106927, -0.106105, -0.0906395, -0.0528599, -0.0381456, -0.0265952, -0.0142516, -0.00818548, -0.00385957, -0.00177792, -0.0015382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.024063, 0.0270762, 0.0313025, 0.0353909, 0.0504728, 0.0939185, 0.164155, 0.190553, 0.199561, 0.217216, 0.235137, 0.270979, 0.338472, 0.373615, 0.392836, 0.415784, 0.446382, 0.477452, 0.499786, 0.548288, 0.577332, 0.633441, 0.68955, 0.745659, 0.857878");
-            values ( \
-              "-0.10791, -0.11497, -0.117847, -0.118786, -0.119383, -0.11878, -0.117123, -0.117099, -0.117474, -0.116964, -0.114209, -0.101551, -0.0658267, -0.0492237, -0.0414758, -0.0334872, -0.0248147, -0.0181367, -0.0143941, -0.00860583, -0.00629335, -0.00337579, -0.00180234, -0.000966915, -0.000284279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0243009, 0.0271439, 0.0330704, 0.0427426, 0.053628, 0.140529, 0.318573, 0.342784, 0.379898, 0.436007, 0.446473, 0.565522, 0.621631, 0.652481, 0.687065, 0.733178, 0.769078, 0.811151, 0.867249, 0.918178, 0.996062, 1.05217, 1.10828, 1.2205, 1.44494");
-            values ( \
-              "-0.114819, -0.122865, -0.127886, -0.129596, -0.129871, -0.128364, -0.123949, -0.123038, -0.119496, -0.107677, -0.104762, -0.0666702, -0.0508215, -0.0433509, -0.0360028, -0.0278149, -0.0226113, -0.0176426, -0.0125459, -0.0091799, -0.0056491, -0.00396792, -0.0027777, -0.00136126, -0.000329387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0372174, 0.0524051, 0.0564089, 0.0702439, 0.0777886, 0.0848295, 0.0926839, 0.105604, 0.116086, 0.127086, 0.141363, 0.153843, 0.164245, 0.172598");
-            values ( \
-              "-0.0489642, -0.0455104, -0.0459515, -0.0491531, -0.0541027, -0.0564484, -0.0490829, -0.030929, -0.0190197, -0.0107306, -0.00487374, -0.00243444, -0.0013597, -0.000965498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0372476, 0.0570917, 0.0682029, 0.0716055, 0.0757278, 0.0797212, 0.0933443, 0.0989486, 0.108273, 0.130738, 0.141287, 0.148769, 0.155185, 0.160667, 0.167007, 0.176108, 0.186672, 0.194973, 0.211575, 0.231331");
-            values ( \
-              "-0.0660185, -0.0652303, -0.066446, -0.0669745, -0.0677813, -0.0688978, -0.0754675, -0.0752594, -0.0674811, -0.0367727, -0.0254019, -0.0191618, -0.0149085, -0.0119746, -0.00923627, -0.0063304, -0.00408278, -0.00287732, -0.00141835, -0.000694756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0383582, 0.0621249, 0.07734, 0.0891818, 0.0963579, 0.110418, 0.124138, 0.138619, 0.170956, 0.183196, 0.197341, 0.206749, 0.214694, 0.223899, 0.237033, 0.251605, 0.262941, 0.285612, 0.315524");
-            values ( \
-              "-0.0911011, -0.0859297, -0.0866429, -0.0874532, -0.0883819, -0.0921213, -0.0931164, -0.0816734, -0.0451113, -0.033887, -0.023728, -0.0184958, -0.0149233, -0.0115734, -0.00799089, -0.0052948, -0.00382503, -0.00196842, -0.00090079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.037871, 0.0410998, 0.0450447, 0.125825, 0.147476, 0.169013, 0.195087, 0.241467, 0.263436, 0.286155, 0.322871, 0.353906, 0.395082, 0.439002, 0.445311");
-            values ( \
-              "-0.0903325, -0.101596, -0.104686, -0.104798, -0.106913, -0.10612, -0.090614, -0.052832, -0.0381829, -0.0266361, -0.0142956, -0.00822943, -0.00390508, -0.00173121, -0.00164668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0381048, 0.0394397, 0.0432229, 0.0454244, 0.0485227, 0.0558497, 0.107985, 0.178221, 0.20467, 0.213627, 0.231283, 0.249204, 0.285046, 0.352544, 0.387697, 0.406903, 0.429834, 0.460408, 0.491564, 0.513961, 0.54787, 0.562357, 0.591332, 0.647441, 0.703551, 0.75966, 0.871878");
-            values ( \
-              "-0.10167, -0.108654, -0.117065, -0.118572, -0.119263, -0.119443, -0.118785, -0.117127, -0.117095, -0.117478, -0.11696, -0.114213, -0.101547, -0.0658209, -0.0492203, -0.0414787, -0.0334956, -0.0248219, -0.0181258, -0.0143804, -0.0100324, -0.00860821, -0.00629564, -0.00338076, -0.00180129, -0.00097005, -0.000286876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0382016, 0.0458451, 0.0527544, 0.0675406, 0.154426, 0.332475, 0.357022, 0.3938, 0.449909, 0.460375, 0.579424, 0.635534, 0.666382, 0.700965, 0.747076, 0.782979, 0.825057, 0.88116, 0.932081, 1.00995, 1.06606, 1.12217, 1.23439, 1.45882");
-            values ( \
-              "-0.109273, -0.128162, -0.129604, -0.129875, -0.128364, -0.12395, -0.12302, -0.119494, -0.107678, -0.104761, -0.0666692, -0.0508219, -0.0433511, -0.0360031, -0.0278156, -0.0226116, -0.0176425, -0.0125448, -0.00917952, -0.0056492, -0.00396865, -0.00277755, -0.00136098, -0.000329001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.060059, 0.071105, 0.0763513, 0.0897195, 0.103012, 0.110528, 0.117565, 0.125418, 0.138188, 0.15161, 0.162376, 0.176713, 0.18965, 0.204435, 0.205209");
-            values ( \
-              "-0.0042583, -0.0309778, -0.0361849, -0.0461076, -0.0494485, -0.0541032, -0.0565076, -0.0490851, -0.0311264, -0.0165213, -0.00934941, -0.0042244, -0.00205004, -0.000882308, -0.000859692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0630594, 0.0684861, 0.0800844, 0.0898671, 0.109139, 0.127255, 0.131793, 0.140871, 0.159147, 0.174634, 0.187268, 0.204242, 0.217402, 0.244165, 0.251347");
-            values ( \
-              "-0.0116949, -0.0401512, -0.0558276, -0.0650233, -0.0679623, -0.0757169, -0.0752287, -0.0677952, -0.0423968, -0.024956, -0.0153542, -0.00770938, -0.00445935, -0.00141814, -0.00115402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.064088, 0.0704216, 0.07774, 0.0907772, 0.0957418, 0.114816, 0.127322, 0.133298, 0.147582, 0.156003, 0.163028, 0.171454, 0.201571, 0.219554, 0.230646, 0.239802, 0.256578, 0.269798, 0.28472, 0.296365, 0.319655, 0.351726");
-            values ( \
-              "-0.0237683, -0.0564764, -0.069644, -0.0852737, -0.0863423, -0.0869284, -0.0879909, -0.0892288, -0.0934328, -0.0929393, -0.089296, -0.081573, -0.0474554, -0.0311111, -0.0234603, -0.0184147, -0.0116366, -0.00802027, -0.00526173, -0.00376518, -0.00190233, -0.000797942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.0670704, 0.0920916, 0.0980855, 0.158873, 0.180525, 0.202061, 0.228135, 0.274512, 0.296483, 0.319203, 0.355915, 0.386951, 0.428125, 0.472043, 0.4836");
-            values ( \
-              "-0.069903, -0.103944, -0.104775, -0.104792, -0.106915, -0.106124, -0.0906252, -0.0528461, -0.0381713, -0.0266247, -0.0142855, -0.00821898, -0.00389476, -0.00174207, -0.00158722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0703374, 0.0874998, 0.0890257, 0.0939413, 0.0962743, 0.100124, 0.105385, 0.106451, 0.108584, 0.112849, 0.118575, 0.129221, 0.141219, 0.165214, 0.176201, 0.193764, 0.211439, 0.246789, 0.282197, 0.282585, 0.30664, 0.320896, 0.401427, 0.439106, 0.477377, 0.501671, 0.545654, 0.579991, 0.616853, 0.64529, 0.7014, 0.762239");
-            values ( \
-              "-0.109813, -0.111367, -0.116373, -0.119787, -0.118574, -0.120199, -0.118634, -0.120054, -0.118664, -0.119932, -0.11854, -0.119665, -0.11814, -0.118862, -0.11729, -0.118117, -0.116474, -0.117803, -0.114197, -0.114573, -0.10672, -0.100224, -0.0580658, -0.0418441, -0.0291696, -0.0229212, -0.0146121, -0.0101509, -0.00685332, -0.00504427, -0.00269505, -0.00165154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0704876, 0.0874213, 0.0919861, 0.100877, 0.184421, 0.373841, 0.389796, 0.427042, 0.462733, 0.493394, 0.62181, 0.699794, 0.803987, 0.883526, 0.979212, 1.10813, 1.20103");
-            values ( \
-              "-0.117661, -0.120869, -0.128016, -0.12981, -0.128436, -0.123683, -0.123063, -0.11941, -0.112862, -0.104727, -0.0638923, -0.043308, -0.0242555, -0.0151551, -0.00842956, -0.00374839, -0.00239374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.118927, 0.126205, 0.136492, 0.147853, 0.169174, 0.179169, 0.187781, 0.195422, 0.203792, 0.220603, 0.226256, 0.232401, 0.237725, 0.242938, 0.249888, 0.254935, 0.261137, 0.269406, 0.285945, 0.3065, 0.33243, 0.417828");
-            values ( \
-              "-0.00642995, -0.0149656, -0.0191233, -0.0255233, -0.0362347, -0.0416641, -0.048836, -0.0528666, -0.0469393, -0.0263833, -0.020175, -0.0147815, -0.0111409, -0.00839947, -0.00570585, -0.00430032, -0.00304357, -0.00191597, -0.000733868, -0.000248259, -8.27426e-05, -1.6229e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.119096, 0.128827, 0.164901, 0.19046, 0.201053, 0.205774, 0.211045, 0.21517, 0.217745, 0.251112, 0.265551, 0.277852, 0.287712, 0.299193, 0.308446, 0.319379");
-            values ( \
-              "-0.00591492, -0.0229943, -0.0476469, -0.0625077, -0.0701635, -0.072605, -0.0737004, -0.0720747, -0.0697176, -0.0272855, -0.0157604, -0.00961376, -0.00637873, -0.00396184, -0.00268434, -0.00181467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.12208, 0.13224, 0.166222, 0.176046, 0.193046, 0.208385, 0.215706, 0.222541, 0.227809, 0.236184, 0.249813, 0.278386, 0.301133, 0.318479, 0.336381, 0.349342, 0.363225, 0.373951, 0.395403, 0.422557");
-            values ( \
-              "-0.0169094, -0.0330479, -0.0628211, -0.0698676, -0.0795078, -0.0859797, -0.0899909, -0.092476, -0.0936208, -0.0926047, -0.0826695, -0.0499896, -0.0293018, -0.0186434, -0.0114546, -0.00792225, -0.00535081, -0.00395633, -0.00209614, -0.00106809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.129469, 0.150198, 0.160396, 0.167045, 0.180345, 0.193532, 0.213525, 0.216427, 0.222229, 0.238333, 0.254152, 0.259982, 0.270726, 0.281412, 0.302785, 0.341393, 0.358794, 0.375944, 0.383402, 0.395545, 0.411668, 0.426329, 0.445877, 0.461969, 0.484146, 0.513715, 0.568875, 0.624985, 0.681094");
-            values ( \
-              "-0.0514979, -0.0591599, -0.0701637, -0.0763995, -0.0872744, -0.0954896, -0.103678, -0.104375, -0.104652, -0.10492, -0.106234, -0.107213, -0.107621, -0.105571, -0.0941343, -0.0623705, -0.0492652, -0.03823, -0.0340457, -0.0280533, -0.0214639, -0.0167342, -0.0118975, -0.00893602, -0.00600919, -0.00349617, -0.00117266, -0.00040444, -0.000141445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.129951, 0.154687, 0.17413, 0.18381, 0.203169, 0.213897, 0.219393, 0.291027, 0.32637, 0.361779, 0.386277, 0.40048, 0.481178, 0.519987, 0.55686, 0.581215, 0.600967, 0.625283, 0.659599, 0.69638, 0.724746, 0.780855, 0.836965, 0.863269");
-            values ( \
-              "-0.054878, -0.0725909, -0.0935192, -0.101763, -0.113249, -0.117771, -0.118805, -0.117108, -0.117167, -0.114832, -0.106689, -0.100232, -0.057992, -0.0413559, -0.0291935, -0.022935, -0.0187803, -0.0146006, -0.0101529, -0.00686187, -0.00504701, -0.00270319, -0.00144299, -0.00113017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.136101, 0.168214, 0.194829, 0.212149, 0.215818, 0.224344, 0.308496, 0.469311, 0.500331, 0.519023, 0.543946, 0.572922, 0.701315, 0.779314, 0.838737, 0.883529, 0.92223, 0.963019, 1.01913, 1.05874, 1.13163, 1.18774, 1.24385, 1.35606, 1.37689");
-            values ( \
-              "-0.0827184, -0.0952943, -0.11856, -0.127837, -0.128894, -0.129193, -0.127374, -0.123061, -0.120277, -0.11753, -0.112467, -0.104728, -0.0638996, -0.0433059, -0.0313497, -0.0242504, -0.019334, -0.0151599, -0.0107392, -0.00843134, -0.00535305, -0.00374422, -0.00263508, -0.00129527, -0.00120468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.236255, 0.255324, 0.268588, 0.279282, 0.327797, 0.343041, 0.355758, 0.366652, 0.377362, 0.388017, 0.404194, 0.421621, 0.430287, 0.444712, 0.457562, 0.474694, 0.49881, 0.509782");
-            values ( \
-              "-6.35539e-05, -0.00809856, -0.00969591, -0.0118669, -0.0233175, -0.0277197, -0.0336191, -0.0375123, -0.035376, -0.0304096, -0.0181664, -0.00871558, -0.00577076, -0.00280194, -0.00144399, -0.000615192, -0.000150003, -0.000307261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.236145, 0.265884, 0.2743, 0.341348, 0.358977, 0.373925, 0.387006, 0.399975, 0.412908, 0.433682, 0.445595, 0.459762, 0.476583, 0.497984, 0.505654, 0.526601, 0.535125");
-            values ( \
-              "-0.00181981, -0.0135643, -0.0156061, -0.0367093, -0.0430855, -0.050932, -0.0562073, -0.0523839, -0.0442051, -0.026008, -0.0177682, -0.0107653, -0.00565424, -0.00239627, -0.00178, -0.000980502, -0.000798745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.252956, 0.275514, 0.283065, 0.321723, 0.362651, 0.38408, 0.402697, 0.419459, 0.437029, 0.439761, 0.449086, 0.479737, 0.490096, 0.497083, 0.505693, 0.518728, 0.52629, 0.53988, 0.545677, 0.553888, 0.564835, 0.58673, 0.625024, 0.670792, 0.726901");
-            values ( \
-              "-0.0197952, -0.021344, -0.0239224, -0.0397237, -0.0551304, -0.0634918, -0.0728474, -0.0785225, -0.0715747, -0.0698467, -0.0624674, -0.0359796, -0.0284858, -0.024093, -0.0194129, -0.0142013, -0.0115381, -0.00787411, -0.00669137, -0.005309, -0.00389082, -0.00205301, -0.000629727, -0.000163209, -4.75275e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.259996, 0.295769, 0.313248, 0.342874, 0.394982, 0.447285, 0.470548, 0.495944, 0.511105, 0.541011, 0.568181, 0.579941, 0.595621, 0.619286, 0.632856, 0.65977, 0.67541, 0.70669, 0.762168, 0.818278, 0.874387");
-            values ( \
-              "-0.0289702, -0.0351666, -0.0438795, -0.0572719, -0.0785384, -0.0967995, -0.100485, -0.0898479, -0.0793649, -0.0551139, -0.037091, -0.0308468, -0.0238766, -0.0160036, -0.0126412, -0.00784117, -0.00592031, -0.00332734, -0.00111296, -0.000381133, -0.000138232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.266856, 0.313842, 0.349055, 0.368236, 0.401114, 0.415722, 0.443312, 0.481531, 0.49361, 0.517526, 0.525621, 0.539215, 0.553097, 0.58086, 0.611716, 0.660293, 0.688983, 0.70673, 0.734437, 0.766101, 0.793309, 0.812856, 0.849962, 0.866019, 0.889422, 0.920625, 0.976734, 1.03284, 1.08895, 1.20117");
-            values ( \
-              "-0.038393, -0.0497823, -0.0678633, -0.0767775, -0.0908426, -0.0961662, -0.104797, -0.112319, -0.114333, -0.11739, -0.11735, -0.116542, -0.114139, -0.105233, -0.0897468, -0.0638346, -0.0503078, -0.0430199, -0.0332476, -0.0243717, -0.0185185, -0.0151404, -0.0102125, -0.00861207, -0.00670566, -0.00478641, -0.00255688, -0.00137123, -0.000731373, -0.000214651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.266568, 0.299366, 0.385743, 0.429833, 0.456811, 0.517833, 0.536569, 0.5547, 0.637212, 0.698515, 0.765087, 0.940292, 1.00561, 1.1053, 1.198, 1.29528, 1.4075, 1.50784");
-            values ( \
-              "-0.0453279, -0.0459351, -0.0915011, -0.109235, -0.117427, -0.127466, -0.125975, -0.126211, -0.124149, -0.119622, -0.104899, -0.050668, -0.03587, -0.0202468, -0.0115049, -0.00624622, -0.00300364, -0.00157062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.516732, 0.543281, 0.556388, 0.570777, 0.599555, 0.66319, 0.675475, 0.699962, 0.720241, 0.737632, 0.754101, 0.770544, 0.80656, 0.820974, 0.831616, 0.842174, 0.849655, 0.864618, 0.890985, 0.92281, 1.0186, 1.13082, 1.21591, 1.23481");
-            values ( \
-              "-0.0021649, -0.00369758, -0.00414932, -0.00491773, -0.00681421, -0.0131076, -0.0144319, -0.0173253, -0.0210414, -0.0237144, -0.0235603, -0.0216531, -0.00883255, -0.00528224, -0.00352139, -0.00228983, -0.00171158, -0.000893052, -0.000295014, -7.51151e-05, -1.03082e-05, -1e-22, -2e-22, -6.85528e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.516794, 0.549344, 0.579883, 0.608241, 0.683523, 0.720293, 0.730692, 0.752643, 0.763423, 0.775782, 0.786954, 0.804899, 0.839857, 0.856942, 0.869946, 0.883448, 0.900209, 0.913885, 0.941236, 0.977523, 1.02295, 1.21591, 1.23352");
-            values ( \
-              "-0.00284295, -0.00579145, -0.00799591, -0.010984, -0.0212506, -0.0267224, -0.0287371, -0.0339619, -0.0356835, -0.0364111, -0.0361097, -0.0326089, -0.01722, -0.0109738, -0.00753076, -0.00493565, -0.00288536, -0.00184117, -0.000691521, -0.000198244, -5.32775e-05, -8.06903e-06, -0.000110281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.533855, 0.573453, 0.590884, 0.608315, 0.727988, 0.761369, 0.789858, 0.814916, 0.839255, 0.863476, 0.91551, 0.941905, 0.958532, 0.97361, 0.998693, 1.03214, 1.04523");
-            values ( \
-              "-0.00951105, -0.010441, -0.0123692, -0.0147563, -0.0350457, -0.0411559, -0.0479348, -0.0530026, -0.0517072, -0.045312, -0.0203196, -0.0118494, -0.00803506, -0.00563595, -0.00304322, -0.00134198, -0.00104722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.535453, 0.573031, 0.590702, 0.615263, 0.683735, 0.818268, 0.854421, 0.886821, 0.918807, 0.950702, 1.00537, 1.03888, 1.06389, 1.07907, 1.10022, 1.1264, 1.16129, 1.21604, 1.223");
-            values ( \
-              "-0.0111677, -0.0132495, -0.0156644, -0.0195911, -0.0332618, -0.0592685, -0.0676654, -0.0736122, -0.0700849, -0.0593443, -0.0334358, -0.0212757, -0.0146833, -0.0116799, -0.00822279, -0.00531714, -0.0029646, -0.00100043, -0.000942755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.549096, 0.608027, 0.638914, 0.703214, 0.784924, 0.949538, 0.971319, 0.993464, 1.03688, 1.04631, 1.07865, 1.14959, 1.18372, 1.2157, 1.24746, 1.27222, 1.30704, 1.3454, 1.37516, 1.43127, 1.48738, 1.54349, 1.65571");
-            values ( \
-              "-0.0170693, -0.0216926, -0.0276458, -0.0420237, -0.059238, -0.0915439, -0.0948992, -0.0959598, -0.0891536, -0.0863581, -0.0744363, -0.0459921, -0.0344882, -0.0257072, -0.0189638, -0.014706, -0.0101677, -0.00676779, -0.00490728, -0.00263094, -0.00140889, -0.000757851, -0.000225916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.577723, 0.658146, 0.760811, 0.867385, 0.950954, 1.00706, 1.05763, 1.09105, 1.14716, 1.17327, 1.22334, 1.36578, 1.42786, 1.48756, 1.53375, 1.5726, 1.61336, 1.69689, 1.73325, 1.83785, 1.95007, 2.06229, 2.23061");
-            values ( \
-              "-0.0318527, -0.0347868, -0.0586468, -0.0814678, -0.0971847, -0.105706, -0.11165, -0.114728, -0.116264, -0.114081, -0.104805, -0.0596651, -0.043631, -0.0316437, -0.0242137, -0.0192808, -0.0151924, -0.00903162, -0.00720468, -0.00370986, -0.00179735, -0.000858678, -0.000358116" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00179302, 0.00179469, 0.00179622, 0.00179741, 0.00179823, 0.00179875", \
-            "0.00200751, 0.00200902, 0.0020106, 0.00201197, 0.00201299, 0.00201367", \
-            "0.00211816, 0.00211892, 0.00211991, 0.00212096, 0.00212188, 0.00212255", \
-            "0.00217822, 0.00217843, 0.00217876, 0.00217924, 0.0021798, 0.00218031", \
-            "0.00221574, 0.00221583, 0.00221593, 0.00221608, 0.00221628, 0.00221653", \
-            "0.00224368, 0.00224388, 0.00224411, 0.00224435, 0.00224457, 0.00224476" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00234688, 0.00234964, 0.00235227, 0.00235436, 0.00235582, 0.00235675", \
-            "0.00246858, 0.00247091, 0.00247319, 0.00247504, 0.00247633, 0.00247715", \
-            "0.00253208, 0.00253543, 0.00253845, 0.00254076, 0.00254229, 0.00254322", \
-            "0.00259723, 0.00259006, 0.00258219, 0.00257666, 0.00257306, 0.00257073", \
-            "0.00275252, 0.00270526, 0.0026604, 0.00262495, 0.00259952, 0.00258242", \
-            "0.0030989, 0.00295595, 0.00283902, 0.00273436, 0.0026576, 0.002607" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(A0 & A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A0 * A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0399684, 0.0524104, 0.0744763, 0.113935, 0.184916, 0.312897", \
-            "0.0441549, 0.0566948, 0.0789091, 0.11852, 0.189617, 0.317718", \
-            "0.0558912, 0.0679879, 0.0901954, 0.129866, 0.201077, 0.329227", \
-            "0.0822585, 0.0975812, 0.119967, 0.159248, 0.230279, 0.358408", \
-            "0.123231, 0.146876, 0.181774, 0.231193, 0.302752, 0.429833", \
-            "0.191347, 0.225592, 0.277875, 0.354297, 0.461113, 0.606577" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0420062, 0.0600265, 0.0925705, 0.151346, 0.257507, 0.449289", \
-            "0.0420073, 0.0600249, 0.0925705, 0.151346, 0.257507, 0.449274", \
-            "0.0436956, 0.0603227, 0.0925708, 0.151345, 0.257511, 0.449276", \
-            "0.0608193, 0.0731332, 0.0991882, 0.152549, 0.257534, 0.449275", \
-            "0.0967592, 0.114534, 0.140708, 0.182297, 0.269918, 0.449904", \
-            "0.153844, 0.181641, 0.222949, 0.281275, 0.362045, 0.505422" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0324354, 0.0419137, 0.058818, 0.0891655, 0.14383, 0.242504", \
-            "0.035967, 0.045547, 0.0625618, 0.0930008, 0.14773, 0.246423", \
-            "0.0451033, 0.0547283, 0.0718391, 0.102396, 0.157239, 0.256009", \
-            "0.059016, 0.0732597, 0.0943977, 0.125433, 0.180321, 0.279154", \
-            "0.0698776, 0.0915425, 0.124094, 0.17111, 0.237007, 0.336302", \
-            "0.0671043, 0.0987334, 0.146969, 0.217962, 0.319121, 0.459417" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0293168, 0.0417241, 0.0642047, 0.104828, 0.178127, 0.31074", \
-            "0.0293225, 0.0417184, 0.0642057, 0.104827, 0.178127, 0.310677", \
-            "0.0322453, 0.0430611, 0.0642912, 0.104763, 0.178166, 0.310677", \
-            "0.0484067, 0.0589228, 0.0753081, 0.109644, 0.178578, 0.310731", \
-            "0.0767048, 0.092173, 0.115243, 0.149198, 0.203827, 0.318599", \
-            "0.1265, 0.148297, 0.181886, 0.231748, 0.303196, 0.405091" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0205643, 0.0217319, 0.0231836, 0.0328052, 0.0475183, 0.0541463, 0.0683939, 0.0755962, 0.0872892, 0.0971704, 0.111423, 0.120499, 0.132701, 0.158687, 0.160595");
-            values ( \
-              "0.0533299, 0.0802582, 0.0805308, 0.0741964, 0.0622742, 0.0548371, 0.0350252, 0.0271811, 0.0175739, 0.0119685, 0.0067053, 0.00456884, 0.00273852, 0.000872733, 0.000844792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0201509, 0.0226598, 0.0247608, 0.0283008, 0.0335315, 0.0356084, 0.0393208, 0.0510283, 0.0600229, 0.0681188, 0.0859718, 0.0941707, 0.104867, 0.115704, 0.120962, 0.12933, 0.139423, 0.148564, 0.160751, 0.171624, 0.189497, 0.213327, 0.234289");
-            values ( \
-              "0.0119413, 0.100304, 0.100394, 0.0980654, 0.0954868, 0.0938161, 0.0919744, 0.0846015, 0.0780141, 0.0706976, 0.0495325, 0.0407193, 0.0311439, 0.0232338, 0.0201084, 0.0158291, 0.0117416, 0.00892538, 0.00613252, 0.00437936, 0.00249512, 0.00113263, 0.000645037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0201189, 0.0237939, 0.026528, 0.0440211, 0.0686092, 0.0814087, 0.0968533, 0.123403, 0.148768, 0.164874, 0.175084, 0.190264, 0.202859, 0.225586, 0.242733, 0.265517, 0.295895, 0.335864");
-            values ( \
-              "0.0219171, 0.116433, 0.116365, 0.108749, 0.0976449, 0.0910912, 0.0807653, 0.0578262, 0.0387143, 0.0290992, 0.0241191, 0.0180174, 0.014032, 0.00883516, 0.00617081, 0.00381362, 0.00195618, 0.000844563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0215532, 0.0254002, 0.0587881, 0.0878724, 0.123621, 0.136404, 0.145737, 0.207155, 0.229076, 0.25413, 0.272079, 0.296691, 0.316259, 0.332199, 0.351637, 0.379071, 0.408047, 0.430311, 0.474837, 0.538627, 0.602417");
-            values ( \
-              "0.110421, 0.128221, 0.119064, 0.110615, 0.0988581, 0.0935612, 0.0890974, 0.0547656, 0.0439689, 0.0334234, 0.027202, 0.0202381, 0.0158646, 0.01297, 0.0100976, 0.00703866, 0.00480218, 0.0035647, 0.00192871, 0.000771103, 0.000304279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0235612, 0.0235812, 0.0687124, 0.154606, 0.190635, 0.217894, 0.265758, 0.353352, 0.415272, 0.464293, 0.498664, 0.540631, 0.611697, 0.696743, 0.824323, 0.838066");
-            values ( \
-              "1e-22, 0.145565, 0.128445, 0.11321, 0.105866, 0.0991289, 0.0839824, 0.0537962, 0.0363662, 0.0259103, 0.0201766, 0.0147385, 0.00848937, 0.00432887, 0.00152136, 0.00143461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0261542, 0.0261742, 0.125841, 0.238092, 0.286117, 0.320556, 0.384346, 0.440373, 0.582254, 0.663859, 0.748382, 0.792137, 0.834928, 0.905098, 0.991112, 1.09502, 1.17188, 1.23567, 1.36325, 1.49083, 1.52003");
-            values ( \
-              "1e-22, 0.151655, 0.130248, 0.118649, 0.113237, 0.108942, 0.0993145, 0.0887442, 0.0596276, 0.0448814, 0.0323879, 0.0271393, 0.0226711, 0.0167589, 0.0114455, 0.00713797, 0.00504156, 0.00372193, 0.00204214, 0.00111339, 0.00102283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0305316, 0.0403805, 0.0422924, 0.0446469, 0.0532162, 0.0642162, 0.0689446, 0.0811572, 0.090332, 0.102754, 0.11424, 0.121487, 0.129603, 0.139078, 0.15008, 0.176474, 0.178113");
-            values ( \
-              "0.00211397, 0.0769006, 0.0771516, 0.0760512, 0.0698747, 0.0603878, 0.0548477, 0.0375866, 0.0272357, 0.0171539, 0.01094, 0.00815416, 0.00583145, 0.00390125, 0.00245045, 0.000760554, 0.00074005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.030934, 0.0423615, 0.0431691, 0.0447842, 0.0474363, 0.0504827, 0.0560168, 0.0581457, 0.0620486, 0.0662389, 0.0744523, 0.0748859, 0.0844252, 0.101718, 0.113372, 0.130024, 0.145939, 0.157357, 0.170552, 0.197021, 0.217023, 0.234192");
-            values ( \
-              "0.00824927, 0.10096, 0.0948122, 0.100216, 0.0927668, 0.0970579, 0.087643, 0.0924208, 0.0838775, 0.0872621, 0.0753155, 0.0780299, 0.0691134, 0.0485361, 0.036646, 0.0235802, 0.015056, 0.0107414, 0.00720011, 0.0031368, 0.00165741, 0.00104944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0314018, 0.0420754, 0.0467904, 0.0590459, 0.0836343, 0.0970893, 0.111878, 0.138426, 0.163792, 0.179899, 0.190105, 0.205283, 0.217878, 0.240601, 0.257744, 0.28925, 0.316581, 0.350781");
-            values ( \
-              "0.0041509, 0.114822, 0.113964, 0.10872, 0.0976527, 0.090709, 0.0807659, 0.0578292, 0.038716, 0.0290998, 0.0241216, 0.0180201, 0.0140339, 0.00883737, 0.00617255, 0.00317449, 0.00174612, 0.000913741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0375175, 0.0375375, 0.0643353, 0.114541, 0.135613, 0.143149, 0.160959, 0.222376, 0.244297, 0.269349, 0.280015, 0.297009, 0.31191, 0.336789, 0.347414, 0.36685, 0.394281, 0.423247, 0.445501, 0.490008, 0.553798, 0.617588");
-            values ( \
-              "1e-22, 0.13371, 0.121712, 0.107127, 0.100081, 0.0971709, 0.0890976, 0.0547662, 0.0439705, 0.0334246, 0.0296214, 0.024243, 0.0202395, 0.0148444, 0.0129712, 0.0100992, 0.00703971, 0.00480354, 0.00356648, 0.00192994, 0.000771842, 0.000304332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0391447, 0.0391647, 0.084017, 0.16991, 0.205939, 0.21868, 0.249153, 0.28106, 0.353175, 0.389294, 0.430574, 0.453817, 0.479594, 0.513964, 0.555929, 0.579616, 0.626989, 0.660528, 0.712026, 0.775816, 0.839606, 0.903396, 1.03098");
-            values ( \
-              "1e-22, 0.147317, 0.128394, 0.113206, 0.10587, 0.102884, 0.0945079, 0.0839832, 0.058793, 0.0475056, 0.0363671, 0.031057, 0.025911, 0.0201772, 0.0147394, 0.0123013, 0.00849035, 0.00652264, 0.0043297, 0.00257265, 0.00152171, 0.000899868, 0.000313411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0417199, 0.0417399, 0.119209, 0.269594, 0.332644, 0.354783, 0.408131, 0.464224, 0.589107, 0.650908, 0.714698, 0.76291, 0.815129, 0.878919, 0.935214, 1.01894, 1.08273, 1.18575, 1.31333, 1.44091, 1.56849, 1.75986");
-            values ( \
-              "1e-22, 0.155891, 0.132417, 0.116877, 0.109375, 0.106348, 0.0978023, 0.0870293, 0.0612842, 0.0497043, 0.0392397, 0.0324958, 0.0262731, 0.0200583, 0.015715, 0.0108317, 0.00811041, 0.0050527, 0.00278963, 0.00153335, 0.000840868, 0.000339552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.064055, 0.0767325, 0.0780684, 0.0796271, 0.0811858, 0.0827806, 0.0843753, 0.0859701, 0.0875648, 0.087966, 0.0887682, 0.0891694, 0.0895705, 0.0899716, 0.0903727, 0.0915695, 0.093192, 0.0944369, 0.095845, 0.096549, 0.0989338, 0.101257, 0.102784, 0.104311, 0.106368, 0.109544, 0.114884, 0.116749, 0.119236, 0.121723, 0.12612, 0.129539, 0.130272, 0.132579, 0.134886, 0.136376, 0.140845, 0.143072, 0.145299, 0.147811, 0.150324, 0.153675, 0.157547, 0.15911, 0.162237, 0.163279, 0.167449, 0.171618, 0.176451, 0.180459");
-            values ( \
-              "0.0423762, 0.0458496, 0.0480031, 0.05027, 0.0524089, 0.0548891, 0.0575224, 0.060309, 0.0632488, 0.0651866, 0.0670174, 0.067592, 0.0680263, 0.0683203, 0.068474, 0.0680989, 0.0673678, 0.0665979, 0.0655648, 0.0650009, 0.0628255, 0.0605377, 0.0589419, 0.0572739, 0.0548725, 0.0503868, 0.0424857, 0.0398441, 0.0366287, 0.0336409, 0.0288223, 0.0253827, 0.0246919, 0.0227496, 0.0209185, 0.0198125, 0.0167035, 0.0152742, 0.0139407, 0.0126695, 0.0114871, 0.010082, 0.00857026, 0.0079939, 0.00699401, 0.00668962, 0.00566937, 0.00477491, 0.00390897, 0.00325724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0661564, 0.0773244, 0.0785935, 0.0798627, 0.0811319, 0.083018, 0.0848687, 0.0870365, 0.0874778, 0.0879192, 0.0883606, 0.0893352, 0.0899614, 0.0912138, 0.0920515, 0.0933121, 0.0949782, 0.0974773, 0.0995035, 0.103083, 0.107181, 0.111279, 0.112631, 0.114432, 0.117674, 0.12127, 0.126662, 0.132507, 0.136552, 0.1406, 0.147965, 0.151802, 0.153995, 0.155641, 0.160577, 0.166993, 0.170779, 0.173524, 0.175719, 0.179088, 0.182456, 0.184712, 0.189222, 0.194209, 0.19967, 0.205133, 0.207864, 0.210596, 0.21518, 0.223901");
-            values ( \
-              "0.0573877, 0.0684953, 0.0689202, 0.0696229, 0.0706033, 0.0725734, 0.0751029, 0.0792359, 0.0847559, 0.0852816, 0.0860879, 0.0885786, 0.0895557, 0.0898937, 0.0899756, 0.0898811, 0.0891677, 0.087736, 0.0864778, 0.0841128, 0.081226, 0.0781477, 0.0772855, 0.075737, 0.0727199, 0.0689464, 0.062772, 0.0554206, 0.0506681, 0.0462004, 0.0387143, 0.0350415, 0.0330829, 0.0317455, 0.0279547, 0.0235515, 0.0211388, 0.0195049, 0.0182851, 0.0166527, 0.0151319, 0.014213, 0.0124516, 0.0106226, 0.00894883, 0.00762527, 0.00702334, 0.00646132, 0.00564036, 0.00420901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0607296, 0.06804, 0.0749764, 0.0834067, 0.0874082, 0.0893072, 0.0914574, 0.0936111, 0.0958287, 0.113079, 0.120416, 0.133194, 0.137939, 0.143035, 0.148662, 0.159915, 0.1752, 0.18648, 0.192523, 0.20058, 0.216695, 0.224115, 0.23241, 0.242051, 0.254651, 0.265313, 0.27738, 0.294518, 0.302101, 0.312337, 0.325986, 0.353283, 0.403274, 0.462415");
-            values ( \
-              "0.0238147, 0.0456922, 0.0644443, 0.0862851, 0.0959086, 0.10462, 0.108924, 0.109176, 0.108678, 0.101122, 0.0976153, 0.0911356, 0.0882741, 0.0849248, 0.0807685, 0.0712858, 0.0578358, 0.0487308, 0.04427, 0.0387122, 0.0290944, 0.0254077, 0.0217246, 0.0180251, 0.0140375, 0.0113231, 0.00883773, 0.00617445, 0.00526942, 0.0042487, 0.00317736, 0.00174971, 0.000529847, 0.00011941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.0611697, 0.0723245, 0.0873833, 0.0888338, 0.09046, 0.0919751, 0.0950054, 0.12667, 0.151383, 0.172409, 0.180217, 0.188584, 0.197796, 0.216219, 0.259209, 0.281143, 0.306211, 0.324121, 0.33381, 0.348721, 0.368299, 0.384257, 0.403686, 0.431111, 0.443377, 0.46005, 0.482281, 0.526742, 0.590532, 0.654322");
-            values ( \
-              "0.0192733, 0.063001, 0.107665, 0.116377, 0.12146, 0.122873, 0.123379, 0.114522, 0.107099, 0.100122, 0.0970801, 0.0935055, 0.0890996, 0.0790636, 0.0547692, 0.0439649, 0.0334157, 0.0272071, 0.0242538, 0.020245, 0.0158687, 0.0129704, 0.0100987, 0.00704073, 0.0059921, 0.00480586, 0.00356897, 0.00193292, 0.000772762, 0.000304971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0617331, 0.0776107, 0.0873668, 0.0895478, 0.0908656, 0.0935011, 0.100012, 0.188774, 0.206904, 0.24297, 0.255329, 0.286125, 0.405609, 0.426202, 0.467387, 0.490789, 0.516744, 0.551351, 0.575236, 0.616139, 0.662886, 0.697425, 0.750403, 0.814193, 0.877983, 0.941772, 1.06935");
-            values ( \
-              "0.0205032, 0.083883, 0.115144, 0.128196, 0.130851, 0.13275, 0.132, 0.116562, 0.113203, 0.105857, 0.102963, 0.0945096, 0.0538052, 0.0475265, 0.036408, 0.0310587, 0.0258796, 0.0201165, 0.0168387, 0.0123447, 0.00856377, 0.00652754, 0.00428149, 0.00254402, 0.00150443, 0.000889873, 0.000310021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0626552, 0.0893188, 0.0913172, 0.0931539, 0.0968272, 0.242759, 0.307049, 0.392054, 0.445564, 0.502421, 0.626548, 0.688307, 0.752097, 0.800343, 0.852607, 0.916397, 0.972626, 1.05633, 1.12012, 1.22317, 1.28696, 1.35075, 1.47833, 1.60591, 1.79728");
-            values ( \
-              "0.0256079, 0.129933, 0.13637, 0.137885, 0.138479, 0.123744, 0.116877, 0.106371, 0.0978026, 0.0868747, 0.0612835, 0.049711, 0.0392454, 0.0324963, 0.0262685, 0.0200548, 0.0157167, 0.010834, 0.00811233, 0.00505314, 0.00375581, 0.00278991, 0.00153355, 0.000841016, 0.000339488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.104354, 0.11509, 0.127017, 0.141047, 0.168076, 0.174678, 0.185341, 0.19176, 0.196321, 0.205133, 0.210368, 0.211982, 0.225665, 0.234508, 0.243541, 0.254699, 0.269284, 0.285319, 0.299256, 0.311756");
-            values ( \
-              "0.00341432, 0.00624483, 0.0118569, 0.0213203, 0.0360468, 0.0391012, 0.0430398, 0.0443002, 0.0439845, 0.0392242, 0.0338462, 0.0341906, 0.0221179, 0.0158077, 0.0110688, 0.00703707, 0.00381667, 0.00192339, 0.00105018, 0.00067975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.105241, 0.126128, 0.131594, 0.148333, 0.166227, 0.180071, 0.191946, 0.202778, 0.210357, 0.213273, 0.21811, 0.222444, 0.224511, 0.228645, 0.233186, 0.238665, 0.239354, 0.240734, 0.243492, 0.247434, 0.253602, 0.261478, 0.263328, 0.267027, 0.274027, 0.283281, 0.286196, 0.292026, 0.303686, 0.312102, 0.315245, 0.32153, 0.334099, 0.359239, 0.373476");
-            values ( \
-              "0.00247727, 0.0149086, 0.0188803, 0.0325593, 0.0459857, 0.0556213, 0.0626429, 0.0668113, 0.0669255, 0.0692847, 0.0628246, 0.0589831, 0.0549418, 0.0514261, 0.0449901, 0.0406454, 0.038806, 0.038559, 0.0349733, 0.0326129, 0.0267525, 0.0225097, 0.0203759, 0.0193432, 0.0148679, 0.0122251, 0.0102157, 0.00952424, 0.00576802, 0.00536683, 0.00387457, 0.00413451, 0.00190419, 0.00157295, 0.000917183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.105206, 0.132992, 0.173096, 0.195232, 0.201199, 0.210322, 0.211631, 0.213986, 0.215808, 0.218734, 0.223275, 0.225122, 0.228817, 0.236206, 0.239925, 0.247363, 0.257154, 0.27525, 0.279027, 0.279723, 0.281114, 0.283897, 0.289401, 0.299424, 0.312211, 0.315474, 0.321999, 0.332024, 0.345419, 0.349452, 0.357517, 0.373648, 0.38728, 0.393418, 0.405696, 0.430251, 0.473986, 0.527692");
-            values ( \
-              "0.00120907, 0.0236969, 0.0617995, 0.08131, 0.0858307, 0.0917745, 0.0958257, 0.0951813, 0.0961534, 0.0934817, 0.0923799, 0.0900932, 0.0891026, 0.0829922, 0.0813335, 0.0740454, 0.0664824, 0.0499511, 0.048378, 0.0467203, 0.0467646, 0.0436398, 0.0408869, 0.033395, 0.0274871, 0.0248196, 0.0229781, 0.0179398, 0.0147225, 0.0125298, 0.0116543, 0.00740787, 0.00653273, 0.00471366, 0.00460795, 0.001836, 0.00141591, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.113304, 0.125595, 0.137367, 0.149959, 0.191481, 0.200762, 0.210546, 0.214528, 0.219961, 0.221726, 0.225256, 0.245432, 0.263039, 0.274294, 0.287157, 0.299087, 0.325849, 0.343353, 0.360298, 0.369497, 0.385347, 0.405557, 0.410592, 0.420661, 0.436771, 0.458219, 0.464704, 0.477672, 0.503609, 0.524993, 0.532856, 0.548581, 0.580031, 0.642932, 0.671072");
-            values ( \
-              "0.0119114, 0.0196, 0.030656, 0.0439157, 0.0899235, 0.099641, 0.109244, 0.113431, 0.114256, 0.11348, 0.112341, 0.106221, 0.100279, 0.0958503, 0.0900008, 0.0836929, 0.0683198, 0.0584702, 0.0496892, 0.0452527, 0.0382097, 0.0304528, 0.0287293, 0.0255282, 0.0210175, 0.0161102, 0.0148459, 0.0125963, 0.0089884, 0.00679355, 0.0061192, 0.00497443, 0.00324863, 0.00132813, 0.00097229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.125488, 0.147394, 0.210275, 0.211851, 0.215329, 0.218736, 0.262019, 0.306574, 0.335475, 0.376863, 0.392038, 0.485982, 0.514935, 0.559547, 0.581481, 0.606694, 0.640313, 0.665491, 0.708633, 0.757938, 0.788333, 0.835136, 0.89754, 0.96133, 1.02512, 1.1527");
-            values ( \
-              "0.031545, 0.0441098, 0.120815, 0.124659, 0.127305, 0.127213, 0.119707, 0.111475, 0.105439, 0.0944852, 0.0896794, 0.0571377, 0.048089, 0.036056, 0.0310554, 0.0260134, 0.0203697, 0.0168924, 0.0121709, 0.0082699, 0.00651213, 0.00448939, 0.00269929, 0.00159788, 0.000944216, 0.000328588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.125387, 0.159959, 0.215942, 0.33301, 0.393456, 0.449657, 0.491155, 0.535669, 0.599459, 0.744515, 0.782443, 0.846233, 0.890682, 0.937833, 1.0007, 1.03791, 1.10148, 1.15162, 1.21541, 1.31358, 1.37737, 1.44116, 1.56874, 1.69632, 1.88769");
-            values ( \
-              "0.0249988, 0.0615853, 0.135472, 0.123714, 0.117294, 0.110722, 0.105069, 0.0978194, 0.0854685, 0.0559189, 0.0490155, 0.0386544, 0.0324767, 0.0268145, 0.0205672, 0.0175187, 0.0132543, 0.0105898, 0.00792618, 0.00504846, 0.00375329, 0.00278706, 0.00153174, 0.000839784, 0.000339731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.195635, 0.249307, 0.265941, 0.2762, 0.294332, 0.306753, 0.324372, 0.343849, 0.360722, 0.376125, 0.390687, 0.405102, 0.419495, 0.431369, 0.45206, 0.47059, 0.488727, 0.505717, 0.510241, 0.515396, 0.530968, 0.543231, 0.543339");
-            values ( \
-              "5.34262e-06, 0.00289411, 0.00459343, 0.00613857, 0.0103143, 0.0138801, 0.0182411, 0.0217258, 0.0244076, 0.0260871, 0.0272994, 0.0267306, 0.0221775, 0.0167448, 0.00961063, 0.00529566, 0.00275211, 0.00148435, 0.00216072, 0.00212395, 0.00106461, 0.000625022, 0.000623108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.200296, 0.25452, 0.263869, 0.276336, 0.283494, 0.29781, 0.308248, 0.329124, 0.338615, 0.357599, 0.381658, 0.399758, 0.416766, 0.433791, 0.450725, 0.467314, 0.47897, 0.488692, 0.4962, 0.505698, 0.508875, 0.515228, 0.521871, 0.533859, 0.541294, 0.555343, 0.574074, 0.607813, 0.647897");
-            values ( \
-              "0.000302864, 0.00488185, 0.00622846, 0.00851776, 0.0102783, 0.0146461, 0.0184815, 0.0249822, 0.0275412, 0.0321844, 0.0373289, 0.0404187, 0.0421615, 0.0406382, 0.0327511, 0.0242603, 0.0189595, 0.0150791, 0.0125028, 0.00969637, 0.0100869, 0.00910661, 0.00732496, 0.00505485, 0.00400964, 0.00257875, 0.00141094, 0.000424713, 9.89488e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.209197, 0.25301, 0.27288, 0.284432, 0.295244, 0.338109, 0.388769, 0.412938, 0.434715, 0.455309, 0.4759, 0.505747, 0.510619, 0.533745, 0.545345, 0.560812, 0.571331, 0.584853, 0.602883, 0.615143, 0.634001, 0.659144, 0.707367, 0.763807");
-            values ( \
-              "0.00206345, 0.00644847, 0.0102969, 0.0133312, 0.0168674, 0.0331272, 0.0492083, 0.0559275, 0.060609, 0.0626855, 0.0579903, 0.0414843, 0.0402343, 0.0272292, 0.0219208, 0.0161986, 0.0131329, 0.0099773, 0.00686157, 0.0053114, 0.00356662, 0.00205655, 0.000665031, 0.000157278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.214463, 0.252619, 0.277272, 0.293449, 0.426882, 0.456732, 0.483689, 0.505356, 0.509758, 0.518561, 0.571142, 0.618247, 0.645645, 0.685551, 0.715649, 0.743056, 0.794104, 0.838451, 0.883878");
-            values ( \
-              "0.00332678, 0.00822285, 0.013971, 0.01915, 0.0720613, 0.0817192, 0.0879266, 0.0884407, 0.0884207, 0.0846745, 0.0547652, 0.0333875, 0.0242954, 0.0148367, 0.0100863, 0.0070499, 0.00356679, 0.00194713, 0.0011071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.25301, 0.29527, 0.336037, 0.436091, 0.480113, 0.505747, 0.511999, 0.517862, 0.540454, 0.586271, 0.618653, 0.694838, 0.756736, 0.792742, 0.820746, 0.858086, 0.88457, 0.934383, 0.985484, 1.04414, 1.09106, 1.15485, 1.21864, 1.28243, 1.41001");
-            values ( \
-              "0.0172644, 0.0219678, 0.0393938, 0.0848339, 0.103385, 0.112343, 0.113688, 0.113335, 0.108767, 0.097727, 0.0875491, 0.0608268, 0.0419983, 0.0330338, 0.0271834, 0.0207514, 0.0170313, 0.0116697, 0.00779644, 0.00490311, 0.00337011, 0.00198782, 0.00118526, 0.000689661, 0.000235705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.253028, 0.316818, 0.367623, 0.47065, 0.505764, 0.513386, 0.523302, 0.531985, 0.549351, 0.616613, 0.679708, 0.72592, 0.755108, 0.813484, 0.88309, 0.962542, 0.997784, 1.06157, 1.10989, 1.16224, 1.22603, 1.25884, 1.31319, 1.36579, 1.42958, 1.46891, 1.5327, 1.59649, 1.66028, 1.78786, 1.91544, 2.10681");
-            values ( \
-              "0.0145225, 0.0323856, 0.0563884, 0.108526, 0.125128, 0.127133, 0.12695, 0.125701, 0.124093, 0.116878, 0.109359, 0.102712, 0.0978036, 0.0865661, 0.0720694, 0.0561733, 0.0497238, 0.0392568, 0.0324972, 0.0262592, 0.0200475, 0.0173987, 0.0137128, 0.0108384, 0.00811582, 0.00678089, 0.00505371, 0.00375605, 0.00279027, 0.0015338, 0.000841205, 0.000339408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.45493, 0.558405, 0.597489, 0.621582, 0.648099, 0.667431, 0.716078, 0.747909, 0.774848, 0.79943, 0.822826, 0.845858, 0.868873, 0.928178, 0.946992, 0.979972, 0.99472, 1.00629");
-            values ( \
-              "0.000296811, 0.00120709, 0.00195027, 0.0027089, 0.00404303, 0.00561756, 0.0108354, 0.0136042, 0.0152944, 0.0163424, 0.0168923, 0.0169091, 0.0154157, 0.00511076, 0.00319681, 0.00127761, 0.000824426, 0.000625264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.456782, 0.565917, 0.600306, 0.63146, 0.649524, 0.674107, 0.735382, 0.772682, 0.804561, 0.833702, 0.861341, 0.888461, 0.915551, 0.955929, 0.986467, 1.00977, 1.03503, 1.06308, 1.09513, 1.1449, 1.17753");
-            values ( \
-              "0.000457648, 0.00211822, 0.00316722, 0.00468611, 0.00596847, 0.00850425, 0.0169048, 0.020802, 0.0233138, 0.0248995, 0.0259119, 0.0259622, 0.0232239, 0.0135766, 0.00783067, 0.00482255, 0.00271081, 0.00137399, 0.000587603, 0.000134482, 8.566e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.520466, 0.608373, 0.642049, 0.656851, 0.676588, 0.750769, 0.791666, 0.810895, 0.850265, 0.886038, 0.919731, 0.95277, 0.985744, 1.03529, 1.06693, 1.08087, 1.09681, 1.11807, 1.13189, 1.14729, 1.16781, 1.20887, 1.21604, 1.22552, 1.23963, 1.25107, 1.27396, 1.30973");
-            values ( \
-              "0.00368448, 0.00509482, 0.00742707, 0.00885177, 0.0112554, 0.0231571, 0.0284195, 0.0303976, 0.034167, 0.0367306, 0.0385467, 0.038414, 0.0332754, 0.0198949, 0.0128336, 0.0103587, 0.00799279, 0.00557274, 0.00432047, 0.00325721, 0.00223838, 0.000941884, 0.000849998, 0.00138827, 0.00125792, 0.000876195, 0.000573985, 0.000230699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.52003, 0.59655, 0.657734, 0.697712, 0.788518, 0.843722, 0.91755, 0.962156, 1.00396, 1.04544, 1.08686, 1.18949, 1.21572, 1.21895, 1.27983, 1.32122, 1.36852, 1.38053");
-            values ( \
-              "0.00579299, 0.0061956, 0.0114281, 0.0170928, 0.0328006, 0.0404248, 0.0492229, 0.0535091, 0.0561069, 0.054599, 0.0454654, 0.0186095, 0.0138404, 0.0141494, 0.00656166, 0.00379549, 0.00196129, 0.0017413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.52012, 0.624707, 0.657725, 0.694011, 0.706581, 0.780881, 0.844671, 0.941238, 0.96991, 1.02308, 1.04301, 1.06913, 1.12218, 1.17522, 1.18346, 1.2242, 1.26835, 1.29411, 1.32748, 1.35163, 1.3789, 1.41505, 1.44813, 1.49223, 1.52723, 1.56593, 1.61754, 1.68133, 1.74512, 1.80891, 1.93649");
-            values ( \
-              "0.00553936, 0.0103109, 0.013827, 0.018892, 0.0210013, 0.0348635, 0.0455387, 0.0606616, 0.064863, 0.0719684, 0.0742747, 0.076887, 0.079337, 0.0735004, 0.0716474, 0.0620251, 0.0481806, 0.0409305, 0.0327518, 0.0276898, 0.0227686, 0.0174201, 0.0135673, 0.00963552, 0.00731794, 0.00538846, 0.00355573, 0.00210691, 0.00124774, 0.000734732, 0.000254643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.583759, 0.6946, 0.75616, 0.81995, 0.970796, 1.02336, 1.06371, 1.1275, 1.14297, 1.1739, 1.19483, 1.21576, 1.21698, 1.21934, 1.2278, 1.2541, 1.28677, 1.35056, 1.4671, 1.49559, 1.53357, 1.59736, 1.64173, 1.68878, 1.75151, 1.7889, 1.81627, 1.85278, 1.90284, 1.96663, 2.00083, 2.06462, 2.12841, 2.1922, 2.25599, 2.31978, 2.38357, 2.44736, 2.51115, 2.63873");
-            values ( \
-              "0.0166879, 0.0211743, 0.0322111, 0.0443466, 0.0721643, 0.0815802, 0.0884998, 0.0982947, 0.100398, 0.104062, 0.106058, 0.107447, 0.107896, 0.108131, 0.107151, 0.103267, 0.097799, 0.0854681, 0.0614075, 0.0559073, 0.0490083, 0.0386362, 0.032471, 0.0268207, 0.0205936, 0.0175183, 0.015548, 0.0132436, 0.0105777, 0.00792425, 0.00677164, 0.00505255, 0.0037495, 0.00279099, 0.00206274, 0.00153553, 0.00113024, 0.000843454, 0.000617431, 0.000336239" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00172332, 0.0017443, 0.00176281, 0.00177674, 0.00178608, 0.00179187", \
-            "0.00198799, 0.00201043, 0.00203259, 0.00205075, 0.00206358, 0.00207178", \
-            "0.00213851, 0.00214781, 0.00216011, 0.00217256, 0.00218263, 0.00218961", \
-            "0.00225546, 0.00224737, 0.00224206, 0.00224294, 0.00224351, 0.00224512", \
-            "0.00236402, 0.00234481, 0.00232271, 0.0023028, 0.00228832, 0.00227992", \
-            "0.00245464, 0.0024247, 0.00239553, 0.00236811, 0.00234135, 0.00231853" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00218487, 0.0022042, 0.00222329, 0.0022375, 0.00224612, 0.00225078", \
-            "0.00221676, 0.00218538, 0.00216014, 0.00214265, 0.00213217, 0.00212688", \
-            "0.0022749, 0.00220403, 0.00214027, 0.00209013, 0.00205518, 0.00204186", \
-            "0.00254747, 0.00238478, 0.00224591, 0.00213888, 0.00206801, 0.00202302", \
-            "0.0030122, 0.00290061, 0.00258023, 0.00234412, 0.00218593, 0.00208476", \
-            "0.00289898, 0.00294891, 0.00298994, 0.00286891, 0.0024909, 0.00226218" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0174452, 0.018782, 0.0223229, 0.0267131, 0.0330008, 0.0399223, 0.0456064, 0.0497527, 0.0635223, 0.070681, 0.0767923, 0.0867326, 0.0990536, 0.100882");
-            values ( \
-              "-0.0293422, -0.0873006, -0.0884137, -0.0887917, -0.088342, -0.0856984, -0.0793242, -0.0710043, -0.0334037, -0.0203887, -0.0129807, -0.00602245, -0.00221731, -0.00200323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.018026, 0.0193803, 0.0243413, 0.0367553, 0.0430433, 0.0508659, 0.0561361, 0.0634072, 0.0851661, 0.0961066, 0.106021, 0.115297, 0.131851, 0.133563");
-            values ( \
-              "-0.0948752, -0.113047, -0.113517, -0.112548, -0.110885, -0.107012, -0.102118, -0.0904167, -0.0384398, -0.0221425, -0.0130222, -0.00777332, -0.00298424, -0.00279158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0180564, 0.02022, 0.0230444, 0.0372158, 0.0558985, 0.0663382, 0.0766684, 0.0878948, 0.120914, 0.138863, 0.149488, 0.170678, 0.195079, 0.195326");
-            values ( \
-              "-0.100111, -0.134847, -0.134874, -0.133709, -0.129986, -0.126164, -0.119613, -0.106398, -0.0458626, -0.0252822, -0.0174234, -0.00804504, -0.00317586, -0.00315662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0185106, 0.0185306, 0.0337576, 0.0491358, 0.0645466, 0.0805361, 0.0967623, 0.104412, 0.113636, 0.131964, 0.139459, 0.163202, 0.179389, 0.190922, 0.197383, 0.210306, 0.217553, 0.229845, 0.243894, 0.255498, 0.267247, 0.282912, 0.314243, 0.361291, 0.414645");
-            values ( \
-              "-1e-22, -0.151101, -0.149969, -0.14838, -0.146283, -0.143334, -0.139132, -0.136464, -0.132122, -0.117895, -0.108792, -0.076739, -0.0575021, -0.0460482, -0.0404751, -0.0309485, -0.0265439, -0.0203316, -0.0148785, -0.0114466, -0.00876641, -0.00611058, -0.00286277, -0.000840031, -0.000193134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0185969, 0.0195982, 0.0703997, 0.0965371, 0.123784, 0.151418, 0.179261, 0.185657, 0.192967, 0.211204, 0.231693, 0.272989, 0.305859, 0.322347, 0.336497, 0.358901, 0.383015, 0.413851, 0.424376, 0.449552, 0.478324, 0.531678, 0.585032, 0.638387");
-            values ( \
-              "-0.161746, -0.161772, -0.157954, -0.15542, -0.152079, -0.147554, -0.140606, -0.138369, -0.135429, -0.125401, -0.109372, -0.0748889, -0.0522347, -0.0430471, -0.0362586, -0.0273951, -0.0200883, -0.0133238, -0.0115793, -0.00825382, -0.00556208, -0.00259264, -0.00120018, -0.000555331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0185629, 0.0195113, 0.10867, 0.201772, 0.256228, 0.300215, 0.338642, 0.35435, 0.382534, 0.448132, 0.486092, 0.519324, 0.559048, 0.59972, 0.63788, 0.68876, 0.718809, 0.752786, 0.798088, 0.851442, 0.904796, 1.01151, 1.11821");
-            values ( \
-              "-0.168269, -0.168358, -0.163804, -0.15739, -0.151896, -0.145194, -0.135653, -0.12999, -0.117235, -0.0842402, -0.0671216, -0.0542054, -0.0413838, -0.0309604, -0.0234082, -0.0159182, -0.0126435, -0.0097302, -0.00682521, -0.00446567, -0.00292062, -0.00124203, -0.000527378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0287143, 0.0338022, 0.0363763, 0.0371125, 0.0375819, 0.0383162, 0.0394116, 0.0408883, 0.0427764, 0.0452647, 0.0496504, 0.0497359, 0.0541559, 0.0588311, 0.0639535, 0.0715727, 0.0786123, 0.0868038, 0.0916561, 0.099132, 0.104509, 0.111679, 0.122988");
-            values ( \
-              "-0.00959856, -0.0669249, -0.0775935, -0.0908732, -0.0858094, -0.0913031, -0.0861845, -0.0914708, -0.0862468, -0.0912168, -0.0851736, -0.0878582, -0.0856393, -0.0808395, -0.0712335, -0.0486761, -0.0313139, -0.0175799, -0.0122285, -0.00682172, -0.00443686, -0.0026298, -0.000931985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0292927, 0.0335391, 0.0377682, 0.0396444, 0.0510643, 0.0590861, 0.0637698, 0.0724674, 0.0777136, 0.100184, 0.111109, 0.118345, 0.131923, 0.145018");
-            values ( \
-              "-0.00518163, -0.0839664, -0.113478, -0.113604, -0.1125, -0.110244, -0.107942, -0.0996144, -0.0904051, -0.0371315, -0.0213457, -0.0144968, -0.00682697, -0.00336791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0297644, 0.0342179, 0.0372549, 0.0400329, 0.055351, 0.0708855, 0.0807943, 0.091102, 0.102328, 0.135576, 0.151409, 0.161738, 0.181342, 0.203945, 0.208843");
-            values ( \
-              "-0.0104768, -0.10366, -0.132972, -0.134855, -0.133157, -0.129845, -0.126169, -0.119611, -0.106404, -0.0455249, -0.0269879, -0.0188307, -0.0092451, -0.00393385, -0.00345187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0303487, 0.0367574, 0.0377177, 0.0386672, 0.0396202, 0.0635641, 0.078968, 0.0949644, 0.106524, 0.11119, 0.119389, 0.128063, 0.146391, 0.1538, 0.178027, 0.194079, 0.200579, 0.211422, 0.223814, 0.2337, 0.245282, 0.260725, 0.270667, 0.280829, 0.294379, 0.321478, 0.367305, 0.42066");
-            values ( \
-              "-0.0367898, -0.142344, -0.149565, -0.150563, -0.150744, -0.14839, -0.146274, -0.143333, -0.140563, -0.139137, -0.136242, -0.132121, -0.117897, -0.108906, -0.0762273, -0.0572212, -0.0505455, -0.0407955, -0.0315589, -0.0255787, -0.0198882, -0.0140901, -0.0112536, -0.00893598, -0.00654766, -0.00342895, -0.00104947, -0.000241198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0311353, 0.0382706, 0.0423365, 0.0849437, 0.111073, 0.138328, 0.165962, 0.193805, 0.200201, 0.20751, 0.225748, 0.246237, 0.287531, 0.320402, 0.336891, 0.351041, 0.373443, 0.397554, 0.42839, 0.438915, 0.464089, 0.49286, 0.546214, 0.599568, 0.652923");
-            values ( \
-              "-0.071487, -0.160844, -0.161338, -0.157955, -0.155421, -0.152078, -0.147553, -0.140607, -0.138369, -0.135429, -0.125401, -0.109372, -0.0748903, -0.0522354, -0.043047, -0.0362588, -0.0273959, -0.0200896, -0.0133246, -0.0115801, -0.00825458, -0.00556271, -0.00259295, -0.00120032, -0.000555401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0321063, 0.0393079, 0.0407074, 0.0420556, 0.123259, 0.186503, 0.24005, 0.264614, 0.313234, 0.337023, 0.362397, 0.382006, 0.475768, 0.53155, 0.598326, 0.658226, 0.700502, 0.733598, 0.811927, 0.918636, 0.968773");
-            values ( \
-              "-0.114049, -0.1695, -0.167674, -0.168078, -0.163806, -0.159719, -0.155245, -0.152639, -0.14553, -0.140298, -0.132488, -0.124402, -0.0780792, -0.0550059, -0.0347283, -0.0224346, -0.0162942, -0.0126487, -0.00687498, -0.00294053, -0.00214571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0537068, 0.0566977, 0.0605757, 0.0646056, 0.0787186, 0.084031, 0.0874618, 0.0881824, 0.0888394, 0.0915853, 0.093506, 0.098602, 0.106979, 0.114635, 0.119651, 0.125564, 0.128859, 0.133497, 0.142178, 0.149723, 0.157699");
-            values ( \
-              "-0.021448, -0.0268697, -0.0370454, -0.0450299, -0.0691463, -0.0772286, -0.0813259, -0.0846288, -0.0852852, -0.0830701, -0.0807367, -0.0710399, -0.0467949, -0.0287358, -0.0202173, -0.0130712, -0.0101652, -0.00709142, -0.00357449, -0.00193233, -0.00112888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0546696, 0.0589766, 0.0619431, 0.0633545, 0.0661774, 0.0745684, 0.0855834, 0.0874415, 0.0887598, 0.0904046, 0.0920304, 0.0951895, 0.0992649, 0.100909, 0.104196, 0.10692, 0.110551, 0.112381, 0.125441, 0.131007, 0.134164, 0.13912, 0.144785, 0.14773, 0.151176, 0.155597, 0.161727, 0.164279, 0.169382, 0.179589, 0.197194, 0.217919");
-            values ( \
-              "-0.0238311, -0.0414616, -0.0506473, -0.0542771, -0.0611182, -0.0796323, -0.102368, -0.105842, -0.110553, -0.111707, -0.110757, -0.109764, -0.107408, -0.10617, -0.103163, -0.0998868, -0.0940861, -0.0903841, -0.0570191, -0.0445609, -0.0384063, -0.0301215, -0.0224962, -0.019269, -0.0160303, -0.0125999, -0.00896286, -0.00777439, -0.00583154, -0.00321968, -0.00106662, -0.000270856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0556839, 0.0615268, 0.0632779, 0.0667801, 0.0735977, 0.0874396, 0.0884586, 0.0899497, 0.0911385, 0.0935161, 0.100581, 0.10565, 0.115378, 0.121066, 0.125871, 0.13548, 0.137076, 0.140268, 0.156526, 0.162341, 0.171496, 0.175078, 0.180928, 0.188685, 0.195676, 0.204997, 0.212673, 0.221112, 0.232364, 0.254868, 0.284314, 0.320669");
-            values ( \
-              "-0.0291403, -0.0577368, -0.063532, -0.0740905, -0.0919304, -0.126144, -0.131519, -0.133569, -0.132915, -0.1327, -0.131185, -0.129799, -0.126358, -0.123138, -0.11962, -0.108856, -0.106407, -0.100651, -0.0685329, -0.0581219, -0.0439376, -0.0391658, -0.0322976, -0.024769, -0.0193962, -0.0138724, -0.0104745, -0.00767714, -0.00503285, -0.00204769, -0.000584806, -0.000108751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.055756, 0.0647637, 0.0889024, 0.0913788, 0.0936515, 0.0977691, 0.114086, 0.137894, 0.146144, 0.162487, 0.170607, 0.179269, 0.185301, 0.218128, 0.236472, 0.25492, 0.268432, 0.283213, 0.30723, 0.321601, 0.338025, 0.370872, 0.390337");
-            values ( \
-              "-0.0261796, -0.0756148, -0.147677, -0.149888, -0.14869, -0.148661, -0.146228, -0.141501, -0.13916, -0.132511, -0.127437, -0.12001, -0.113198, -0.0697249, -0.0495917, -0.0341972, -0.0256851, -0.0186104, -0.0108282, -0.00780789, -0.00533457, -0.0024004, -0.00170436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0557777, 0.069125, 0.0899448, 0.0910643, 0.0933034, 0.114648, 0.146483, 0.173012, 0.185915, 0.199234, 0.211943, 0.226467, 0.236305, 0.247944, 0.260792, 0.286489, 0.319243, 0.343203, 0.359726, 0.383631, 0.403609, 0.420397, 0.439312, 0.466123, 0.493708, 0.514792, 0.55696, 0.610315, 0.663669, 0.717023");
-            values ( \
-              "-0.0284912, -0.0956784, -0.160734, -0.160185, -0.160191, -0.158429, -0.155366, -0.152156, -0.150225, -0.147908, -0.145206, -0.141325, -0.137981, -0.132924, -0.125415, -0.104959, -0.0775625, -0.0600651, -0.0497851, -0.0374184, -0.0291703, -0.023558, -0.0184208, -0.0128884, -0.00890648, -0.00668803, -0.00370415, -0.00172175, -0.000794424, -0.000368327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0555779, 0.075015, 0.0905309, 0.0934106, 0.158349, 0.203863, 0.27514, 0.299704, 0.348324, 0.372112, 0.397486, 0.417097, 0.510855, 0.566639, 0.598956, 0.633427, 0.661608, 0.693302, 0.73556, 0.768675, 0.802263, 0.847046, 0.900401, 0.953755, 1.06046, 1.16717");
-            values ( \
-              "-0.034572, -0.119482, -0.16785, -0.16716, -0.163791, -0.160975, -0.155245, -0.152639, -0.14553, -0.140299, -0.132488, -0.124401, -0.0780808, -0.0550063, -0.0442465, -0.0347257, -0.0283369, -0.022437, -0.0162983, -0.01265, -0.00976515, -0.00687343, -0.00450236, -0.00293987, -0.00124864, -0.000528597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.101176, 0.109187, 0.116766, 0.125396, 0.137976, 0.147993, 0.164348, 0.171536, 0.178603, 0.186415, 0.196094, 0.19928, 0.205738, 0.210478, 0.21245, 0.222492, 0.225936, 0.232825, 0.237133");
-            values ( \
-              "-0.00875594, -0.0124659, -0.0183541, -0.0266523, -0.0351702, -0.0425549, -0.0526433, -0.0554239, -0.0548836, -0.0449183, -0.0272519, -0.02261, -0.0147505, -0.0105751, -0.0110177, -0.00532641, -0.00405863, -0.00231497, -0.00178967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.0979289, 0.116494, 0.12903, 0.158733, 0.169083, 0.178414, 0.187132, 0.195812, 0.204479, 0.210296, 0.212439, 0.224183, 0.232105, 0.238713, 0.245762, 0.249014, 0.25379, 0.260159, 0.272896, 0.28145");
-            values ( \
-              "-0.000657355, -0.023393, -0.0374334, -0.0636847, -0.0719841, -0.07858, -0.0824655, -0.0796433, -0.0652362, -0.053286, -0.0512016, -0.0297122, -0.0197042, -0.013822, -0.00933583, -0.00779212, -0.00596073, -0.00414882, -0.00194078, -0.00133044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.110167, 0.121191, 0.124376, 0.128592, 0.134545, 0.143614, 0.155434, 0.163931, 0.175212, 0.181691, 0.188169, 0.194029, 0.199889, 0.202574, 0.205259, 0.207943, 0.210628, 0.210752, 0.210999, 0.211247, 0.211742, 0.212485, 0.213997, 0.21527, 0.218259, 0.219977, 0.221438, 0.224848, 0.22777, 0.231667, 0.2393, 0.244128, 0.247813, 0.251965, 0.254041, 0.256331, 0.259085, 0.26115, 0.263903, 0.266656, 0.270266, 0.275872, 0.277869, 0.279865, 0.281596, 0.285059, 0.289241, 0.29414, 0.29659, 0.30043");
-            values ( \
-              "-0.0309015, -0.0334002, -0.0373726, -0.0429786, -0.0498815, -0.0597442, -0.0722942, -0.0811295, -0.0924543, -0.0983286, -0.1038, -0.109754, -0.11622, -0.117444, -0.118063, -0.118077, -0.117487, -0.117579, -0.11826, -0.118271, -0.118197, -0.117882, -0.116569, -0.115211, -0.111592, -0.109241, -0.106992, -0.100894, -0.095331, -0.0876385, -0.0720722, -0.0632723, -0.0569831, -0.0503416, -0.0471976, -0.0439299, -0.0400786, -0.0373422, -0.0341997, -0.0312511, -0.0277447, -0.0226974, -0.0210692, -0.0195546, -0.0184372, -0.016351, -0.0141187, -0.0118439, -0.0108326, -0.00940893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.105119, 0.12293, 0.136828, 0.178073, 0.214334, 0.22035, 0.225363, 0.230502, 0.237975, 0.247406, 0.259284, 0.27843, 0.300771, 0.320098, 0.338653, 0.353271, 0.370807, 0.386751, 0.392239, 0.39384, 0.397043, 0.403448, 0.416258, 0.487592, 0.540947");
-            values ( \
-              "-0.0113517, -0.040185, -0.0589203, -0.107276, -0.145637, -0.140159, -0.142113, -0.137982, -0.137596, -0.131178, -0.124996, -0.10247, -0.071463, -0.0499308, -0.0342115, -0.0249607, -0.0168389, -0.0126301, -0.0101824, -0.0108535, -0.00906169, -0.00883495, -0.0056357, -0.000487571, -0.000781116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.105165, 0.131005, 0.143551, 0.171651, 0.191979, 0.210281, 0.213787, 0.218534, 0.222663, 0.230887, 0.253503, 0.266491, 0.285484, 0.313262, 0.320225, 0.328182, 0.345255, 0.405968, 0.430589, 0.438464, 0.449661, 0.462458, 0.486538, 0.493666, 0.507923, 0.536437, 0.554466, 0.574738, 0.601766, 0.65512, 0.708475, 0.761829, 0.815184");
-            values ( \
-              "-0.00983347, -0.0543031, -0.0717934, -0.107547, -0.13244, -0.153732, -0.156664, -0.156911, -0.156092, -0.155479, -0.152743, -0.150866, -0.147532, -0.140657, -0.138193, -0.134926, -0.125437, -0.0758624, -0.0581864, -0.0532295, -0.0467377, -0.0401152, -0.0297496, -0.0271921, -0.0226511, -0.0155572, -0.0122351, -0.00932239, -0.00644741, -0.0030083, -0.00139569, -0.000644022, -0.000297787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.104954, 0.14131, 0.155094, 0.21007, 0.213934, 0.220262, 0.226238, 0.257113, 0.335899, 0.386255, 0.415561, 0.434342, 0.471905, 0.488468, 0.516624, 0.582274, 0.646833, 0.693098, 0.733819, 0.772027, 0.822972, 0.85295, 0.886854, 0.932059, 0.985413, 1.03877, 1.14548, 1.25219");
-            values ( \
-              "-0.0105804, -0.07177, -0.0906701, -0.161702, -0.164946, -0.165323, -0.164522, -0.163043, -0.157439, -0.152394, -0.148426, -0.145195, -0.135928, -0.129992, -0.117251, -0.0842315, -0.0566075, -0.0414031, -0.0309665, -0.0234048, -0.0159056, -0.0126427, -0.00973538, -0.00683194, -0.00447231, -0.00292278, -0.0012422, -0.000526685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.196233, 0.228173, 0.234188, 0.240683, 0.252916, 0.257796, 0.279676, 0.310231, 0.322703, 0.334172, 0.345153, 0.356109, 0.376954, 0.382083, 0.386718, 0.392898, 0.397985, 0.405887, 0.416422, 0.433412, 0.453623, 0.505654, 0.514005, 0.52773");
-            values ( \
-              "-0.000469526, -0.00601418, -0.0071975, -0.00870276, -0.012445, -0.0142917, -0.0211612, -0.0296742, -0.0326738, -0.034981, -0.0360351, -0.0331727, -0.0153161, -0.0116974, -0.00903481, -0.00627088, -0.00457867, -0.00277378, -0.00137362, -0.000395381, -0.000108717, -2.6799e-05, -0.000626511, -0.00026184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.204781, 0.229289, 0.247258, 0.283426, 0.312737, 0.329488, 0.344437, 0.358221, 0.371479, 0.385249, 0.412436, 0.421936, 0.427021, 0.436163, 0.44845, 0.458623, 0.478968, 0.505716, 0.508708, 0.510962");
-            values ( \
-              "-0.00294748, -0.00852173, -0.0139009, -0.0286912, -0.0392337, -0.0447477, -0.0492212, -0.052498, -0.0537706, -0.0479501, -0.0207068, -0.0138512, -0.0110111, -0.00713255, -0.00390661, -0.00231549, -0.000734925, -0.00015418, -0.00113339, -0.00117517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.204614, 0.25295, 0.281913, 0.302361, 0.337609, 0.358363, 0.376962, 0.394167, 0.410806, 0.42858, 0.452868, 0.463353, 0.474824, 0.490852, 0.505687, 0.509196, 0.524878, 0.535142, 0.555669, 0.589515");
-            values ( \
-              "-0.00139343, -0.0194576, -0.0335465, -0.0425702, -0.0571533, -0.0650673, -0.0714069, -0.0759237, -0.0767556, -0.0659975, -0.0387006, -0.028814, -0.0202331, -0.0118556, -0.00713619, -0.00753121, -0.00438589, -0.00292623, -0.00133121, -0.000264369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.228761, 0.252957, 0.274834, 0.302877, 0.373686, 0.400193, 0.424005, 0.446115, 0.467873, 0.489574, 0.525653, 0.539553, 0.558257, 0.569117, 0.579355, 0.598976, 0.60982, 0.631507, 0.670342");
-            values ( \
-              "-0.0216702, -0.0226297, -0.0334104, -0.0483728, -0.0810354, -0.0919118, -0.100839, -0.106319, -0.104892, -0.087344, -0.0498517, -0.0378138, -0.0254794, -0.0201347, -0.0160471, -0.0103039, -0.0080479, -0.00483979, -0.00192979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.228728, 0.264052, 0.332004, 0.383776, 0.424124, 0.458761, 0.489907, 0.50568, 0.511806, 0.522367, 0.533076, 0.547356, 0.566872, 0.618555, 0.639631, 0.655625, 0.676951, 0.693513, 0.724298, 0.753651, 0.763797, 0.786718, 0.812913, 0.865305, 0.912943");
-            values ( \
-              "-0.0164196, -0.0304942, -0.0669644, -0.0930092, -0.112179, -0.127343, -0.13858, -0.142476, -0.142418, -0.139204, -0.134966, -0.127292, -0.112637, -0.0699559, -0.0555005, -0.0461209, -0.0356149, -0.0289714, -0.019498, -0.0131992, -0.0115198, -0.0084734, -0.00591533, -0.00280687, -0.00145648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.252725, 0.306175, 0.371575, 0.439992, 0.505461, 0.513424, 0.555967, 0.579814, 0.607139, 0.639197, 0.68985, 0.714177, 0.792194, 0.837769, 0.86553, 0.907115, 0.941874, 0.971072, 1.00398, 1.05062, 1.09859, 1.13526, 1.18861, 1.24197, 1.34868, 1.45539");
-            values ( \
-              "-0.0438948, -0.0556605, -0.0914995, -0.127151, -0.158488, -0.159427, -0.156009, -0.153704, -0.150383, -0.14518, -0.13145, -0.120861, -0.0818838, -0.0619565, -0.051687, -0.0388537, -0.0302879, -0.0244631, -0.0191313, -0.0133845, -0.00925107, -0.00694806, -0.00454853, -0.00297319, -0.00126384, -0.000535986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.442943, 0.489937, 0.497802, 0.513532, 0.528017, 0.554542, 0.602968, 0.618921, 0.654543, 0.675145, 0.693941, 0.711795, 0.72952, 0.742799, 0.759066, 0.768341, 0.781096, 0.791886, 0.799337, 0.814239, 0.837613, 0.865726, 0.901663, 0.952475, 1.00583, 1.05918, 1.11254, 1.16589, 1.21596, 1.23679");
-            values ( \
-              "-0.00112644, -0.00218535, -0.00249094, -0.00325046, -0.00408346, -0.00625336, -0.0121903, -0.0140383, -0.0179051, -0.0198614, -0.0213951, -0.0222826, -0.0216492, -0.0176775, -0.011003, -0.00773864, -0.00447209, -0.00273427, -0.00202519, -0.000937654, -0.000386468, -8.80168e-05, -0.000102838, -1e-22, -5.78997e-05, -1e-22, -4.79425e-05, -1e-22, -4.35875e-05, -0.000166999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.442665, 0.498143, 0.527875, 0.538948, 0.561095, 0.622566, 0.655296, 0.682595, 0.706746, 0.724784, 0.737203, 0.749889, 0.770697, 0.776725, 0.814922, 0.828893, 0.839119, 0.850348, 0.859097, 0.876595, 0.906087, 0.941304, 1.21593, 1.23015");
-            values ( \
-              "-0.00137387, -0.00369043, -0.00584838, -0.00687876, -0.0093986, -0.0190284, -0.0238714, -0.0275884, -0.0305376, -0.0324292, -0.0334562, -0.0340916, -0.0328327, -0.030984, -0.0128955, -0.00808809, -0.0055694, -0.00365634, -0.00260873, -0.00127857, -0.000349915, -9.00042e-05, -5.97411e-06, -0.000312771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.442562, 0.511786, 0.531854, 0.549955, 0.568317, 0.652609, 0.692149, 0.725389, 0.755085, 0.782301, 0.808295, 0.834234, 0.843678, 0.879759, 0.900778, 0.913662, 0.923931, 0.935367, 0.950616, 0.981113, 1.02017, 1.06823, 1.21601, 1.23591");
-            values ( \
-              "-0.00109303, -0.00624316, -0.00827317, -0.010429, -0.0130701, -0.0286667, -0.0354834, -0.0407354, -0.0449337, -0.0481057, -0.0497906, -0.04698, -0.0431311, -0.0233903, -0.0142152, -0.0101142, -0.0076284, -0.00551498, -0.00350615, -0.00130905, -0.000324291, -7.38976e-05, -4.32465e-06, -0.000392022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.495645, 0.559035, 0.580564, 0.608174, 0.630768, 0.696463, 0.74611, 0.788186, 0.825934, 0.860638, 0.893951, 0.928228, 0.942866, 0.976231, 0.999516, 1.02316, 1.0398, 1.05352, 1.06754, 1.08623, 1.12362, 1.17697, 1.21584, 1.23551, 1.2623");
-            values ( \
-              "-0.0111677, -0.0141654, -0.0177649, -0.0232323, -0.028169, -0.0415337, -0.0507818, -0.0579895, -0.0637715, -0.0680784, -0.069932, -0.0634927, -0.0562702, -0.037401, -0.0259983, -0.0171946, -0.0125745, -0.00963173, -0.00728729, -0.00495798, -0.00217174, -0.000582195, -0.000243483, -0.000679193, -0.000397184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.495557, 0.555752, 0.569432, 0.60921, 0.708803, 0.759379, 0.845045, 0.878081, 0.927092, 0.972294, 1.01608, 1.06267, 1.12624, 1.15362, 1.18302, 1.21581, 1.26151, 1.27668, 1.30703, 1.36039, 1.41374, 1.4671");
-            values ( \
-              "-0.0117856, -0.0157499, -0.0180758, -0.0257824, -0.0478736, -0.0582666, -0.0748197, -0.0807116, -0.0886538, -0.0942853, -0.0954276, -0.0819863, -0.0484917, -0.0363134, -0.0258575, -0.0173703, -0.00997701, -0.00813976, -0.00535495, -0.00250226, -0.00115129, -0.000539173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.495166, 0.588226, 0.642097, 0.791398, 0.876164, 0.970343, 1.00265, 1.06705, 1.08913, 1.1269, 1.18025, 1.19673, 1.23013, 1.28425, 1.32636, 1.3514, 1.37862, 1.4149, 1.4444, 1.47895, 1.52501, 1.5633, 1.62171, 1.67507, 1.72842, 1.78178, 1.83513, 1.94184");
-            values ( \
-              "-0.00872019, -0.0234546, -0.0349531, -0.0685877, -0.0864296, -0.105055, -0.110978, -0.12148, -0.124449, -0.128071, -0.126335, -0.122493, -0.10961, -0.0825055, -0.063905, -0.0543428, -0.0452451, -0.035076, -0.0283566, -0.0219803, -0.0154918, -0.0115522, -0.00733287, -0.00480816, -0.003138, -0.00205073, -0.00133229, -0.0005631" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00161615, 0.00163002, 0.0016425, 0.00165205, 0.0016587, 0.00166286", \
-            "0.00180777, 0.00181724, 0.00182725, 0.00183595, 0.00184237, 0.00184662", \
-            "0.0019169, 0.00191896, 0.00192183, 0.00192622, 0.00193033, 0.00193347", \
-            "0.00198243, 0.00198012, 0.00197911, 0.00197878, 0.00197913, 0.00198006", \
-            "0.00202223, 0.00201879, 0.00201391, 0.00200926, 0.00200562, 0.0020034", \
-            "0.00204748, 0.00204327, 0.00203786, 0.00203163, 0.00202535, 0.00201996" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00206462, 0.00207537, 0.00208436, 0.00209022, 0.00209309, 0.00209543", \
-            "0.00216354, 0.00215678, 0.00215198, 0.00214876, 0.00214658, 0.00214523", \
-            "0.00224824, 0.00221843, 0.00219562, 0.00217376, 0.00215957, 0.00215167", \
-            "0.00241402, 0.00233675, 0.00227012, 0.00221978, 0.00218607, 0.00216376", \
-            "0.00275085, 0.00259495, 0.00245151, 0.00233778, 0.00225792, 0.00220759", \
-            "0.00326091, 0.00312528, 0.00281254, 0.00258588, 0.00241887, 0.00230507" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(A0 & ~A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A0 * !A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0400578, 0.0524989, 0.0745625, 0.114018, 0.184995, 0.313018", \
-            "0.0443063, 0.0568738, 0.0790803, 0.118687, 0.189765, 0.317857", \
-            "0.0559984, 0.068164, 0.0904312, 0.130095, 0.201288, 0.329423", \
-            "0.0822576, 0.0975629, 0.120033, 0.159419, 0.230488, 0.358632", \
-            "0.122729, 0.146504, 0.181553, 0.2311, 0.302793, 0.430014", \
-            "0.188904, 0.223411, 0.276112, 0.353182, 0.460472, 0.606271" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0421221, 0.0601671, 0.0927304, 0.151516, 0.257681, 0.44945", \
-            "0.0421063, 0.0601577, 0.0927337, 0.151517, 0.257682, 0.44945", \
-            "0.0436353, 0.0603572, 0.0927097, 0.151511, 0.257679, 0.449456", \
-            "0.0606646, 0.0729745, 0.099168, 0.152668, 0.25768, 0.449473", \
-            "0.0971365, 0.114855, 0.140816, 0.182275, 0.269946, 0.450055", \
-            "0.156389, 0.18386, 0.224846, 0.282496, 0.362712, 0.505634" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0400297, 0.0521455, 0.0737538, 0.112569, 0.182462, 0.308612", \
-            "0.0432015, 0.0554868, 0.0771888, 0.116172, 0.186156, 0.312361", \
-            "0.0517196, 0.06405, 0.0859525, 0.125048, 0.195212, 0.321503", \
-            "0.067737, 0.083681, 0.107803, 0.146129, 0.217136, 0.343578", \
-            "0.0822211, 0.106506, 0.143131, 0.195868, 0.271716, 0.398005", \
-            "0.0857701, 0.120796, 0.174341, 0.253803, 0.367431, 0.526414" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0387565, 0.0549192, 0.084138, 0.136897, 0.232344, 0.404649", \
-            "0.0387731, 0.0549136, 0.0841032, 0.136894, 0.232344, 0.404649", \
-            "0.0403124, 0.0552935, 0.0840948, 0.136933, 0.232253, 0.404509", \
-            "0.0557061, 0.0686765, 0.0918368, 0.13826, 0.232256, 0.404482", \
-            "0.0851271, 0.103193, 0.130744, 0.17289, 0.249253, 0.407031", \
-            "0.136693, 0.161689, 0.200562, 0.258866, 0.344322, 0.47597" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0206731, 0.0218989, 0.0233325, 0.0257562, 0.0297588, 0.0307698, 0.0327918, 0.0360469, 0.0413812, 0.0476443, 0.0542862, 0.0630938, 0.0683136, 0.0740008, 0.0806368, 0.0882596, 0.0912584, 0.0958506, 0.101973, 0.109519, 0.113709, 0.122089, 0.129496, 0.1409, 0.156106, 0.180997, 0.210745");
-            values ( \
-              "0.0459477, 0.081324, 0.0813214, 0.0792499, 0.0766912, 0.0755072, 0.0743348, 0.0715354, 0.0677317, 0.0621537, 0.0546866, 0.0420618, 0.0351483, 0.0287957, 0.0226396, 0.0169913, 0.0151644, 0.0126841, 0.00994988, 0.00733812, 0.00619259, 0.00436605, 0.00320998, 0.0019874, 0.00103286, 0.000317557, 7.874e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0207385, 0.022685, 0.0510087, 0.060021, 0.0680161, 0.0923204, 0.108527, 0.119892, 0.134322, 0.146363, 0.166772, 0.194462, 0.219128, 0.220925");
-            values ( \
-              "0.0429331, 0.101805, 0.0843669, 0.0778716, 0.0706831, 0.0424828, 0.028222, 0.0207196, 0.0137014, 0.00960637, 0.00514868, 0.0021773, 0.000969562, 0.000934619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0216561, 0.0238798, 0.0265908, 0.0271284, 0.0282036, 0.030354, 0.0569117, 0.0686745, 0.0814902, 0.086068, 0.0912394, 0.0969528, 0.123559, 0.134865, 0.149056, 0.165274, 0.172677, 0.180968, 0.19068, 0.203373, 0.214152, 0.226285, 0.243529, 0.251163, 0.266432, 0.296969, 0.344418, 0.401055");
-            values ( \
-              "0.111152, 0.117499, 0.11698, 0.11627, 0.115971, 0.11476, 0.102972, 0.0975452, 0.0909855, 0.0882538, 0.084864, 0.0806378, 0.0576566, 0.0485561, 0.0385248, 0.0289156, 0.0252705, 0.0216224, 0.0179309, 0.0139546, 0.0112424, 0.00877523, 0.00612922, 0.00522913, 0.00378941, 0.00194505, 0.000641631, 0.000160009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0216883, 0.0256308, 0.0995457, 0.12817, 0.146, 0.207513, 0.229529, 0.265327, 0.297267, 0.322277, 0.35247, 0.379993, 0.409051, 0.476025, 0.509057");
-            values ( \
-              "0.103842, 0.129091, 0.10705, 0.0970949, 0.0890036, 0.0546449, 0.043838, 0.0295243, 0.020182, 0.0147916, 0.0100584, 0.00701163, 0.00478483, 0.00192319, 0.00150542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0234873, 0.0235073, 0.0687395, 0.154666, 0.190709, 0.217987, 0.265869, 0.353588, 0.415663, 0.464634, 0.498976, 0.541237, 0.612811, 0.697059, 0.760934, 0.824809, 0.855655");
-            values ( \
-              "1e-22, 0.144989, 0.128393, 0.113168, 0.105819, 0.0990721, 0.0839123, 0.0537166, 0.0362875, 0.0258752, 0.0201619, 0.0147038, 0.00844369, 0.00433866, 0.00257898, 0.0015261, 0.00133114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0259558, 0.0259758, 0.103868, 0.254265, 0.317328, 0.339485, 0.392841, 0.448909, 0.573918, 0.635809, 0.699684, 0.747884, 0.8001, 0.863975, 0.920389, 1.00423, 1.06811, 1.17121, 1.29896, 1.42671, 1.55446, 1.74609");
-            values ( \
-              "1e-22, 0.1544, 0.132407, 0.116851, 0.109346, 0.106315, 0.0977634, 0.0869938, 0.0612376, 0.049655, 0.0391933, 0.0324633, 0.0262518, 0.0200396, 0.0156962, 0.0108172, 0.00809878, 0.005046, 0.00278549, 0.00153091, 0.000839492, 0.000339029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0301728, 0.0424757, 0.0456432, 0.0581014, 0.0670637, 0.0831639, 0.0910764, 0.0955107, 0.103119, 0.110681, 0.116778, 0.124382, 0.13705, 0.14434, 0.155566, 0.170533, 0.189147");
-            values ( \
-              "0.00889841, 0.0780082, 0.0759358, 0.0660642, 0.0573477, 0.0351544, 0.0266057, 0.0226304, 0.0169878, 0.0127005, 0.00996918, 0.0073391, 0.00434941, 0.00321392, 0.00200591, 0.00105088, 0.000508205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0306243, 0.0433424, 0.0460345, 0.0663914, 0.0777595, 0.0846078, 0.104006, 0.113068, 0.117496, 0.126352, 0.133137, 0.138531, 0.145642, 0.155028, 0.163992, 0.175944, 0.187414, 0.206195, 0.231236, 0.26587, 0.308307");
-            values ( \
-              "0.0120162, 0.0985221, 0.0970775, 0.084104, 0.0756443, 0.0689654, 0.0460044, 0.0369928, 0.0330802, 0.0261732, 0.0217879, 0.0187368, 0.0152752, 0.0116089, 0.00889084, 0.00616464, 0.0043351, 0.00241125, 0.00106413, 0.000311913, 6.7545e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0374496, 0.0374696, 0.0568926, 0.0832798, 0.091242, 0.0973072, 0.109438, 0.147205, 0.161676, 0.178684, 0.187751, 0.198636, 0.21315, 0.225399, 0.243792, 0.259868, 0.279355, 0.305338, 0.352063, 0.406896");
-            values ( \
-              "1e-22, 0.125589, 0.109721, 0.0978364, 0.093872, 0.090578, 0.0827248, 0.0507305, 0.0401829, 0.0298448, 0.0253002, 0.0206007, 0.0155143, 0.0121561, 0.00835674, 0.00598441, 0.00397891, 0.00226689, 0.000767701, 0.000199898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0371719, 0.0371919, 0.10386, 0.114701, 0.138971, 0.151654, 0.161147, 0.222663, 0.244661, 0.2698, 0.287757, 0.312437, 0.33208, 0.348101, 0.367599, 0.395123, 0.424191, 0.446523, 0.491188, 0.555064, 0.618939");
-            values ( \
-              "1e-22, 0.129361, 0.110355, 0.107055, 0.0988004, 0.0935462, 0.0890044, 0.0546449, 0.0438465, 0.033312, 0.0271213, 0.0201774, 0.0158131, 0.0129227, 0.0100615, 0.00701321, 0.00478529, 0.00355284, 0.00192259, 0.000769658, 0.000307194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0391753, 0.0391953, 0.0882172, 0.170064, 0.207912, 0.232765, 0.282446, 0.36895, 0.430908, 0.48016, 0.514702, 0.5565, 0.627285, 0.713617, 0.777492, 0.841367, 0.872359");
-            values ( \
-              "1e-22, 0.145984, 0.127647, 0.113169, 0.10541, 0.0992364, 0.0834953, 0.0537238, 0.036322, 0.0258492, 0.0201109, 0.0147173, 0.00850519, 0.00429831, 0.00255473, 0.00151174, 0.00131769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0417727, 0.0417927, 0.119298, 0.269714, 0.332777, 0.35493, 0.40829, 0.464373, 0.589366, 0.651256, 0.715131, 0.763331, 0.815546, 0.879422, 0.935832, 1.01967, 1.08355, 1.18665, 1.3144, 1.44215, 1.5699, 1.76153");
-            values ( \
-              "1e-22, 0.155783, 0.132388, 0.116851, 0.109347, 0.106316, 0.0977637, 0.0869908, 0.061238, 0.0496554, 0.0391938, 0.0324637, 0.0262521, 0.0200398, 0.0156967, 0.0108177, 0.00809911, 0.00504629, 0.00278564, 0.00153098, 0.000839523, 0.000339072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0593742, 0.0780463, 0.0874612, 0.0880139, 0.0891194, 0.0911913, 0.0924625, 0.0937409, 0.0962976, 0.0997318, 0.105831, 0.106379, 0.113171, 0.114298, 0.11655, 0.120085, 0.124348, 0.12502, 0.126364, 0.129052, 0.133789, 0.14066, 0.142281, 0.142578, 0.143171, 0.144356, 0.146614, 0.151131, 0.157715, 0.159605, 0.163384, 0.169391, 0.177997, 0.181794, 0.189388, 0.204575, 0.218281");
-            values ( \
-              "0.016729, 0.0485818, 0.061848, 0.0671282, 0.0667114, 0.0700687, 0.067072, 0.0685728, 0.064178, 0.063416, 0.0543535, 0.0559382, 0.0437406, 0.0445304, 0.0389434, 0.0367358, 0.0293964, 0.0311027, 0.0273159, 0.0270916, 0.0205696, 0.0179933, 0.0146096, 0.0167961, 0.0141162, 0.0157397, 0.0122196, 0.0123275, 0.00744511, 0.00909714, 0.00569282, 0.00646691, 0.00259474, 0.00431251, 0.00118538, 0.00232453, 0.000962935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0663387, 0.0787794, 0.0812877, 0.0825418, 0.0831702, 0.0837986, 0.084427, 0.0850554, 0.0862503, 0.0873789, 0.0875689, 0.0879491, 0.0886643, 0.0891633, 0.0899119, 0.0909458, 0.0917852, 0.0932694, 0.0942612, 0.0952531, 0.09915, 0.105397, 0.111558, 0.112878, 0.11464, 0.117917, 0.120645, 0.123372, 0.128827, 0.132822, 0.138894, 0.144509, 0.150626, 0.154872, 0.159064, 0.161841, 0.164618, 0.167395, 0.174291, 0.176773, 0.178428, 0.181737, 0.185623, 0.190086, 0.194951, 0.200219, 0.204256, 0.208293, 0.216977, 0.224921");
-            values ( \
-              "0.0618087, 0.0636508, 0.071007, 0.0751963, 0.0753892, 0.0757185, 0.0761843, 0.0767865, 0.0783082, 0.0803954, 0.0839861, 0.0850144, 0.0878207, 0.0891355, 0.0903479, 0.0905239, 0.0905489, 0.0903342, 0.0898822, 0.0893811, 0.0869368, 0.082648, 0.0780452, 0.0771956, 0.075677, 0.0726222, 0.0697801, 0.0667777, 0.0603421, 0.0552743, 0.0482648, 0.0423069, 0.0361825, 0.0325136, 0.0291833, 0.0271319, 0.0252012, 0.0233913, 0.0192649, 0.0178832, 0.0170888, 0.0155788, 0.0139644, 0.0122607, 0.0105462, 0.00893715, 0.00794274, 0.00703731, 0.00542586, 0.00413032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.060206, 0.0689726, 0.0755795, 0.0874225, 0.0892283, 0.0917252, 0.093808, 0.0960548, 0.112601, 0.120652, 0.133419, 0.138331, 0.143368, 0.148932, 0.160058, 0.175517, 0.186838, 0.201047, 0.217286, 0.22465, 0.232897, 0.242622, 0.255329, 0.266135, 0.278257, 0.295488, 0.303107, 0.313388, 0.327096, 0.354511, 0.404798, 0.464278");
-            values ( \
-              "0.009156, 0.0488059, 0.0667812, 0.0970618, 0.105312, 0.109946, 0.109683, 0.108914, 0.101363, 0.0975425, 0.0910321, 0.0880807, 0.0847592, 0.0806401, 0.0712638, 0.0576759, 0.0485608, 0.0385171, 0.0288992, 0.0252737, 0.0216435, 0.0179438, 0.0139613, 0.0112417, 0.00877658, 0.00613194, 0.00523414, 0.00422178, 0.00315914, 0.00174163, 0.000528377, 0.00011976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.0607989, 0.0730144, 0.0873974, 0.0895401, 0.0922093, 0.0945064, 0.0979724, 0.14016, 0.151612, 0.175918, 0.188708, 0.19806, 0.259572, 0.281585, 0.306744, 0.324655, 0.349322, 0.368977, 0.385024, 0.404513, 0.43203, 0.461069, 0.483376, 0.527992, 0.591867, 0.655742");
-            values ( \
-              "0.00931403, 0.0658178, 0.108515, 0.12085, 0.123872, 0.123764, 0.122858, 0.110536, 0.10706, 0.0987876, 0.0934828, 0.089004, 0.054646, 0.0438411, 0.0333001, 0.027126, 0.0201841, 0.0158161, 0.0129212, 0.0100611, 0.00701389, 0.00478763, 0.00355547, 0.00192572, 0.000770659, 0.000307854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0617721, 0.0782918, 0.0899914, 0.0938222, 0.207324, 0.243379, 0.255923, 0.286585, 0.406215, 0.426882, 0.468217, 0.491512, 0.517359, 0.551822, 0.576026, 0.617491, 0.664881, 0.698608, 0.750393, 0.814268, 0.878143, 0.942018, 1.06977");
-            values ( \
-              "0.0141392, 0.086192, 0.129826, 0.133285, 0.113167, 0.10581, 0.102875, 0.0944459, 0.0537215, 0.0474348, 0.0363093, 0.0310031, 0.02586, 0.0201318, 0.016817, 0.0122827, 0.00848226, 0.0065101, 0.00431481, 0.00256478, 0.00151756, 0.000897997, 0.000313215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0624512, 0.0891656, 0.0911222, 0.0928738, 0.0963769, 0.107187, 0.242781, 0.307173, 0.391978, 0.445708, 0.503529, 0.626804, 0.688598, 0.752473, 0.800751, 0.853072, 0.916947, 0.973209, 1.00437, 1.057, 1.12087, 1.22406, 1.28793, 1.35181, 1.47956, 1.60731, 1.79893");
-            values ( \
-              "0.0212771, 0.130713, 0.137123, 0.138494, 0.138857, 0.1374, 0.123721, 0.11685, 0.106369, 0.0977644, 0.0866384, 0.0612362, 0.04967, 0.0392064, 0.0324645, 0.0262413, 0.0200313, 0.0157, 0.0136908, 0.0108226, 0.00810296, 0.00504678, 0.00375084, 0.00278596, 0.00153121, 0.000839693, 0.000338995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105231, 0.127788, 0.141343, 0.168128, 0.174697, 0.185325, 0.191726, 0.196307, 0.205077, 0.210347, 0.21195, 0.225685, 0.234629, 0.24382, 0.255074, 0.269906, 0.286331, 0.300619, 0.313876");
-            values ( \
-              "0.00173688, 0.0124686, 0.0216545, 0.0362411, 0.0392681, 0.0431652, 0.0443973, 0.0440489, 0.0392326, 0.0337793, 0.0341137, 0.0219365, 0.0156274, 0.0109009, 0.00693605, 0.00375433, 0.00188087, 0.00102198, 0.00064646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.10521, 0.133004, 0.148693, 0.166384, 0.180121, 0.187655, 0.200495, 0.207251, 0.210326, 0.211771, 0.213165, 0.21504, 0.232586, 0.240968, 0.250738, 0.260658, 0.266167, 0.276109, 0.286587, 0.299416, 0.311203, 0.323799, 0.333498, 0.352898, 0.387149, 0.427963");
-            values ( \
-              "0.000346516, 0.0201623, 0.0331054, 0.0463852, 0.0559541, 0.0605566, 0.0664198, 0.0674332, 0.0669038, 0.0682652, 0.0680443, 0.0666118, 0.046079, 0.0376119, 0.029273, 0.0223887, 0.0192245, 0.0144638, 0.0106289, 0.00722589, 0.0050229, 0.00340791, 0.00252364, 0.00134891, 0.000412202, 8.77551e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.130397, 0.153654, 0.173302, 0.178924, 0.184546, 0.192041, 0.198277, 0.20223, 0.203694, 0.206622, 0.208518, 0.210415, 0.211797, 0.213179, 0.214562, 0.215936, 0.217311, 0.220697, 0.224159, 0.228767, 0.232221, 0.236155, 0.240089, 0.247403, 0.254717, 0.262872, 0.271027, 0.277893, 0.285806, 0.289904, 0.294913, 0.299922, 0.306307, 0.3095, 0.315128, 0.319186, 0.322432, 0.327484, 0.332536, 0.339283, 0.342656, 0.349089, 0.352147, 0.358265, 0.362343, 0.3705, 0.374578, 0.381395, 0.388212, 0.394355");
-            values ( \
-              "0.0430888, 0.0436014, 0.0623407, 0.0674783, 0.072468, 0.0788624, 0.0839415, 0.086844, 0.0878255, 0.0896391, 0.0914474, 0.0935342, 0.0958891, 0.0958316, 0.0956439, 0.0953281, 0.0948837, 0.0931676, 0.0912173, 0.0884168, 0.0861645, 0.0833093, 0.0803257, 0.0744031, 0.0681055, 0.0608186, 0.0540704, 0.0486797, 0.0427435, 0.0398095, 0.0366376, 0.0336356, 0.0300972, 0.0284002, 0.0255259, 0.0235842, 0.0221324, 0.0201603, 0.0183211, 0.0161294, 0.0150791, 0.0131606, 0.0123284, 0.0108428, 0.0100173, 0.00851049, 0.00782923, 0.00683617, 0.00590082, 0.00510738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.116811, 0.125492, 0.138744, 0.183824, 0.214579, 0.220525, 0.224746, 0.250464, 0.264902, 0.287372, 0.349432, 0.362664, 0.380306, 0.404114, 0.417754, 0.441348, 0.460428, 0.4734, 0.490695, 0.521221, 0.535281, 0.556309, 0.584348, 0.640425, 0.666799");
-            values ( \
-              "0.0141903, 0.0196908, 0.0321973, 0.0818678, 0.113695, 0.114317, 0.112565, 0.104599, 0.0995879, 0.0899772, 0.0553134, 0.0486108, 0.040436, 0.0310408, 0.0265124, 0.0199714, 0.015748, 0.0133763, 0.010734, 0.00718158, 0.00597291, 0.00452339, 0.00311526, 0.00140937, 0.00106306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.125532, 0.148631, 0.214918, 0.218074, 0.296526, 0.335898, 0.37095, 0.39005, 0.480583, 0.522451, 0.566479, 0.588056, 0.622222, 0.661516, 0.696518, 0.743187, 0.787864, 0.860683, 0.924558, 0.988433, 1.02453");
-            values ( \
-              "0.026219, 0.0455298, 0.127662, 0.127479, 0.113447, 0.105393, 0.0963759, 0.0904148, 0.0589777, 0.0460152, 0.0344978, 0.0297636, 0.0233501, 0.0174697, 0.0134154, 0.00933493, 0.00657506, 0.00367375, 0.00218238, 0.00128899, 0.00109648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.125748, 0.218004, 0.229513, 0.397931, 0.464232, 0.528107, 0.553608, 0.726059, 0.807699, 0.871574, 0.911117, 0.978985, 1.03447, 1.07868, 1.1353, 1.23932, 1.31624, 1.44399, 1.57174, 1.64425");
-            values ( \
-              "0.0216708, 0.135885, 0.134231, 0.116856, 0.108911, 0.0992665, 0.0946575, 0.0595856, 0.0448416, 0.0351311, 0.0300136, 0.0226584, 0.017861, 0.014728, 0.0114477, 0.00714448, 0.00502198, 0.00277186, 0.00152312, 0.00125405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.20394, 0.243407, 0.248952, 0.25264, 0.257038, 0.262064, 0.270493, 0.275249, 0.284763, 0.294513, 0.304439, 0.316792, 0.323926, 0.33522, 0.343461, 0.360466, 0.375911, 0.39052, 0.404975, 0.419408, 0.421106, 0.431296, 0.442014, 0.446126, 0.452244, 0.460402, 0.466297, 0.470755, 0.476698, 0.488584, 0.497222, 0.502391, 0.506005, 0.510344, 0.515368, 0.520375, 0.530387, 0.542248, 0.5458");
-            values ( \
-              "0.000888399, 0.00262933, 0.00306777, 0.00338426, 0.00380342, 0.00432999, 0.00541249, 0.00615901, 0.00802333, 0.0105492, 0.0133358, 0.0164551, 0.0179854, 0.0202412, 0.0216342, 0.0242545, 0.0260418, 0.02717, 0.0267137, 0.0220694, 0.0211859, 0.0167329, 0.0127295, 0.0113791, 0.00952872, 0.00741311, 0.00611874, 0.00527245, 0.00430762, 0.00279602, 0.00204175, 0.00167309, 0.00146959, 0.00216104, 0.00213498, 0.00170789, 0.00111001, 0.000670605, 0.000603421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.200242, 0.254329, 0.262847, 0.274204, 0.282582, 0.299338, 0.323643, 0.33798, 0.36122, 0.38123, 0.399336, 0.416372, 0.433426, 0.450385, 0.467032, 0.481441, 0.496194, 0.505646, 0.508864, 0.5153, 0.521951, 0.534095, 0.541626, 0.555866, 0.574853, 0.608954, 0.649501");
-            values ( \
-              "4.46652e-05, 0.00515358, 0.00636492, 0.00837077, 0.0103021, 0.0153774, 0.0234286, 0.0274209, 0.0330091, 0.0372734, 0.0403235, 0.0421149, 0.0405539, 0.0326962, 0.0241401, 0.0177067, 0.0123849, 0.00961605, 0.010007, 0.00901146, 0.00725039, 0.00500356, 0.00396369, 0.00254526, 0.00139079, 0.000418728, 9.88382e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.214027, 0.253381, 0.263591, 0.265063, 0.268007, 0.273896, 0.285674, 0.295351, 0.314705, 0.323628, 0.341475, 0.360627, 0.388902, 0.41308, 0.434865, 0.455469, 0.47607, 0.477425, 0.482842, 0.490065, 0.501414, 0.506118, 0.511068, 0.517337, 0.523054, 0.534488, 0.538001, 0.545027, 0.559079, 0.569094, 0.571801, 0.577214, 0.588042, 0.607323, 0.613988, 0.620648, 0.629528, 0.647289, 0.68281, 0.687416");
-            values ( \
-              "0.00268591, 0.00678437, 0.00860224, 0.00886851, 0.00947657, 0.010763, 0.0138593, 0.0169819, 0.0244648, 0.0279775, 0.0341995, 0.0405133, 0.0491948, 0.055899, 0.0605904, 0.0626447, 0.0579377, 0.0571287, 0.0543556, 0.0503857, 0.0439131, 0.0412849, 0.0399805, 0.036661, 0.0331068, 0.0269056, 0.0252402, 0.0221145, 0.0168402, 0.0138255, 0.0130871, 0.0117525, 0.00941723, 0.00631408, 0.00548667, 0.00477539, 0.00397271, 0.00271445, 0.00123828, 0.00115996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.221363, 0.253456, 0.2707, 0.27917, 0.29611, 0.312972, 0.346852, 0.392733, 0.412964, 0.427671, 0.457494, 0.484433, 0.506192, 0.510501, 0.519119, 0.528053, 0.553719, 0.571974, 0.58144, 0.594061, 0.619304, 0.629824, 0.637014, 0.6466, 0.661627, 0.681342, 0.68673, 0.697505, 0.716965, 0.744456, 0.753955, 0.762289, 0.773401, 0.795624, 0.840071, 0.875726");
-            values ( \
-              "0.00427348, 0.00855297, 0.0123151, 0.0145735, 0.0200151, 0.0267431, 0.0409633, 0.0590455, 0.0667236, 0.0721335, 0.0817729, 0.0879522, 0.0884183, 0.0883927, 0.084712, 0.079376, 0.0646194, 0.0546477, 0.049848, 0.0438049, 0.0332532, 0.0295075, 0.0271317, 0.0242444, 0.0201996, 0.0158364, 0.0147854, 0.0129199, 0.0100461, 0.00702248, 0.00618384, 0.0055423, 0.00480082, 0.00355548, 0.00194269, 0.00128614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.253008, 0.296623, 0.336661, 0.436211, 0.480173, 0.505745, 0.512008, 0.517889, 0.540481, 0.586336, 0.618729, 0.694984, 0.757091, 0.793072, 0.821064, 0.858387, 0.885016, 0.935105, 0.986212, 1.04483, 1.0917, 1.15558, 1.21945, 1.28333, 1.41108");
-            values ( \
-              "0.0153532, 0.0226638, 0.0397869, 0.0850182, 0.103538, 0.112456, 0.113739, 0.113343, 0.108728, 0.0976684, 0.0874792, 0.0607507, 0.0419026, 0.0329718, 0.0271424, 0.0207316, 0.0170028, 0.0116333, 0.0077778, 0.00489706, 0.00336957, 0.00198864, 0.00118595, 0.00069081, 0.000236582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.253357, 0.3188, 0.495201, 0.506094, 0.513625, 0.524504, 0.533193, 0.643973, 0.683332, 0.747207, 0.803243, 0.902944, 0.980211, 1.02686, 1.09074, 1.15533, 1.19815, 1.25363, 1.29784, 1.35446, 1.41833, 1.46946, 1.5354, 1.66315, 1.7909, 1.87604");
-            values ( \
-              "0.012448, 0.0333052, 0.12027, 0.125304, 0.127224, 0.126899, 0.125594, 0.113792, 0.108925, 0.0992725, 0.0887085, 0.0680354, 0.0529747, 0.0448369, 0.0351365, 0.0270928, 0.0226623, 0.0178572, 0.0147246, 0.0114516, 0.00857293, 0.00679288, 0.00501882, 0.00276866, 0.00151993, 0.00106131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.455105, 0.556413, 0.587414, 0.60834, 0.626335, 0.650329, 0.663797, 0.711857, 0.744513, 0.772018, 0.797005, 0.820705, 0.843986, 0.867245, 0.897123, 0.927062, 0.945662, 0.960471, 0.979405, 0.99465, 1.02514, 1.06818, 1.21601, 1.21756");
-            values ( \
-              "0.000372972, 0.00131635, 0.00188885, 0.00245566, 0.00313584, 0.00449252, 0.0056277, 0.010529, 0.0132935, 0.0150156, 0.0161071, 0.0166958, 0.0167499, 0.0153017, 0.00951457, 0.00505271, 0.00318728, 0.00213669, 0.00125517, 0.000801173, 0.000299078, 6.96506e-05, 1.24568e-05, 3.62254e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.457484, 0.559855, 0.596505, 0.62514, 0.65847, 0.73183, 0.764209, 0.781046, 0.802104, 0.831592, 0.85956, 0.886893, 0.914194, 0.951204, 0.986289, 1.00488, 1.0315, 1.05028, 1.07175, 1.11468, 1.16381");
-            values ( \
-              "0.00057042, 0.00217573, 0.00326779, 0.00458799, 0.00709588, 0.0164269, 0.0199556, 0.0214277, 0.0229408, 0.0246802, 0.0256449, 0.025829, 0.0230523, 0.0142552, 0.00766041, 0.00522487, 0.00287281, 0.00184076, 0.00107967, 0.00032285, 9.23966e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.456991, 0.572994, 0.60813, 0.64149, 0.68959, 0.745836, 0.788927, 0.848, 0.884032, 0.917929, 0.951134, 0.984271, 1.06673, 1.09477, 1.13026, 1.17022, 1.2158, 1.22442");
-            values ( \
-              "0.000611059, 0.00376713, 0.00543159, 0.00776971, 0.0134798, 0.0224717, 0.028036, 0.0338782, 0.0364776, 0.0383413, 0.0382409, 0.0331573, 0.0125789, 0.00808081, 0.00435329, 0.00210507, 0.000786886, 0.000950473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.520759, 0.593214, 0.637959, 0.667188, 0.808465, 0.866639, 0.916335, 0.961126, 1.00305, 1.04463, 1.08614, 1.1891, 1.2158, 1.27081, 1.29386, 1.33427, 1.38815, 1.3896");
-            values ( \
-              "0.00631195, 0.00635105, 0.00974166, 0.0129034, 0.0356571, 0.0432248, 0.0490438, 0.0533142, 0.0559853, 0.0544582, 0.0453872, 0.0185592, 0.0137209, 0.00742614, 0.00547727, 0.0031938, 0.0015051, 0.0014845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.520758, 0.623029, 0.652693, 0.691246, 0.731095, 0.779356, 0.843231, 0.940297, 0.969282, 1.02134, 1.03921, 1.06849, 1.12161, 1.17471, 1.18289, 1.21587, 1.22572, 1.27017, 1.30553, 1.34526, 1.36622, 1.40814, 1.42582, 1.44654, 1.47418, 1.5223, 1.54284, 1.57165, 1.61008, 1.67395, 1.73783, 1.80171, 1.86558, 1.92946");
-            values ( \
-              "0.00568191, 0.010526, 0.0135777, 0.0187174, 0.0256157, 0.034613, 0.0453061, 0.0605151, 0.0647638, 0.0717338, 0.0738265, 0.0767928, 0.0792537, 0.0734173, 0.0715744, 0.063453, 0.0613493, 0.0474769, 0.0378369, 0.0288732, 0.0248818, 0.0182919, 0.0160308, 0.013707, 0.011084, 0.00759981, 0.00646867, 0.00515189, 0.0037854, 0.00224973, 0.00132843, 0.000787744, 0.000461977, 0.000275478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.584472, 0.695216, 0.755536, 0.819411, 0.970404, 1.02154, 1.06349, 1.12736, 1.14264, 1.17319, 1.19447, 1.21575, 1.217, 1.21948, 1.22813, 1.25468, 1.28648, 1.35007, 1.46697, 1.49532, 1.53312, 1.59699, 1.64169, 1.68918, 1.7525, 1.78922, 1.81611, 1.85197, 1.9024, 1.96628, 2.00116, 2.06503, 2.12891, 2.19278, 2.25666, 2.32053, 2.38441, 2.44828, 2.51216, 2.63991");
-            values ( \
-              "0.0168641, 0.0214814, 0.0321621, 0.0442789, 0.0721268, 0.0812941, 0.0884901, 0.0982943, 0.100368, 0.103992, 0.106024, 0.107427, 0.10788, 0.108104, 0.107045, 0.103096, 0.0977577, 0.0854708, 0.0613485, 0.0558817, 0.0490218, 0.0386427, 0.0324406, 0.0267509, 0.0204913, 0.0174835, 0.0155522, 0.0132875, 0.0105982, 0.0079387, 0.00676474, 0.00504648, 0.0037452, 0.00278707, 0.00206023, 0.00153306, 0.00112893, 0.000841921, 0.000616861, 0.0003361" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00171925, 0.00174021, 0.0017587, 0.00177263, 0.00178196, 0.00178773", \
-            "0.00198148, 0.00200405, 0.0020263, 0.00204451, 0.00205736, 0.00206558", \
-            "0.00212749, 0.00213779, 0.00215098, 0.00216409, 0.00217461, 0.00218186", \
-            "0.00224025, 0.0022362, 0.00223188, 0.00223132, 0.00223318, 0.00223564", \
-            "0.0023424, 0.00232429, 0.00230435, 0.00228892, 0.00227512, 0.00226838", \
-            "0.00242439, 0.00239934, 0.00237414, 0.0023486, 0.00232379, 0.00230353" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00214549, 0.00216762, 0.00218861, 0.00220411, 0.00221366, 0.00221896", \
-            "0.00215083, 0.00212683, 0.00210669, 0.00209243, 0.00208245, 0.00207557", \
-            "0.00218692, 0.00212826, 0.00207411, 0.00203117, 0.00200318, 0.00198029", \
-            "0.00239792, 0.00226133, 0.00214746, 0.00206188, 0.00200338, 0.00196496", \
-            "0.00296462, 0.0027157, 0.00242233, 0.00222432, 0.00209465, 0.00201182", \
-            "0.00294743, 0.00298757, 0.00298301, 0.00268342, 0.00234743, 0.00215596" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0186663, 0.0204488, 0.0305988, 0.0418073, 0.047584, 0.0516541, 0.0567623, 0.0677042, 0.0769014, 0.0859, 0.0911455, 0.0984731, 0.11008, 0.124868, 0.137952, 0.143561");
-            values ( \
-              "-0.018751, -0.0686628, -0.0694497, -0.0686676, -0.0664824, -0.063496, -0.0572501, -0.0394814, -0.0269436, -0.0176518, -0.0135709, -0.0093094, -0.00499747, -0.0022213, -0.00104961, -0.000841036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0187131, 0.0212239, 0.0294275, 0.0434648, 0.0515071, 0.0595703, 0.0643317, 0.0683589, 0.0764134, 0.0904748, 0.103565, 0.117981, 0.13288, 0.148843, 0.165433, 0.184392, 0.187634");
-            values ( \
-              "-0.023591, -0.0885527, -0.0886137, -0.0880363, -0.0868039, -0.0842478, -0.0813946, -0.0780995, -0.0681795, -0.0480525, -0.0321122, -0.0195177, -0.0112407, -0.00605783, -0.0031351, -0.00142918, -0.00130924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0189352, 0.0222648, 0.0440404, 0.0614985, 0.0721222, 0.0856765, 0.0946052, 0.10806, 0.135576, 0.154677, 0.174008, 0.198193, 0.218687, 0.244466, 0.271964, 0.276713");
-            values ( \
-              "-0.0472847, -0.105307, -0.10444, -0.102754, -0.100975, -0.0968228, -0.0919504, -0.0796935, -0.0498936, -0.0332237, -0.0211368, -0.0115939, -0.00682444, -0.00346695, -0.00162321, -0.00154596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0199945, 0.0200145, 0.0239006, 0.0789896, 0.099381, 0.119702, 0.132386, 0.141809, 0.160654, 0.201687, 0.234212, 0.265973, 0.297333, 0.335074, 0.364141, 0.402898, 0.44408");
-            values ( \
-              "-1e-22, -0.118886, -0.11758, -0.114505, -0.112302, -0.108957, -0.105503, -0.101954, -0.0910387, -0.0603618, -0.0397008, -0.0251819, -0.0156002, -0.00855261, -0.00533596, -0.00277483, -0.00151476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0201476, 0.0201676, 0.0242449, 0.0865934, 0.121087, 0.154803, 0.190081, 0.207273, 0.226965, 0.264412, 0.340752, 0.391362, 0.4348, 0.464342, 0.490917, 0.526349, 0.556194, 0.596405, 0.650021, 0.710004, 0.760722");
-            values ( \
-              "-1e-22, -0.127239, -0.125817, -0.123428, -0.121579, -0.11919, -0.115463, -0.112834, -0.10848, -0.0948289, -0.0590888, -0.0397635, -0.0274776, -0.0210412, -0.0164957, -0.0118608, -0.00888888, -0.00603434, -0.00358371, -0.00193241, -0.00121758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0201058, 0.0201258, 0.02411, 0.0315456, 0.135679, 0.193972, 0.254621, 0.316181, 0.347291, 0.380503, 0.440487, 0.582042, 0.670968, 0.717845, 0.771419, 0.849548, 0.892023, 0.951833, 1.02227, 1.08225, 1.14223, 1.2622, 1.31748");
-            values ( \
-              "-1e-22, -0.132381, -0.130961, -0.130593, -0.127937, -0.126044, -0.123389, -0.119551, -0.116739, -0.112497, -0.0998989, -0.0604995, -0.0404194, -0.0321942, -0.0244609, -0.0162147, -0.0129307, -0.00927423, -0.00627254, -0.00451234, -0.00318253, -0.00160114, -0.00132288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0286318, 0.0381716, 0.0556354, 0.0599851, 0.0642136, 0.0674545, 0.0865637, 0.0957473, 0.105247, 0.116362, 0.126369, 0.139245, 0.152979, 0.154896");
-            values ( \
-              "-0.00205262, -0.0700418, -0.0685966, -0.067257, -0.0645912, -0.0613595, -0.0323395, -0.0213906, -0.0133713, -0.00749508, -0.00435659, -0.00214215, -0.000987475, -0.000919027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0289415, 0.0399218, 0.0429237, 0.0574285, 0.0654692, 0.0735288, 0.0784012, 0.0823209, 0.0901603, 0.10685, 0.120529, 0.131712, 0.139644, 0.146725, 0.162691, 0.179772, 0.199292, 0.204695");
-            values ( \
-              "-0.0122613, -0.0893232, -0.0887944, -0.0880031, -0.0867806, -0.0842732, -0.081322, -0.0780978, -0.0684913, -0.0448592, -0.0290777, -0.0196885, -0.0147148, -0.0112831, -0.00607931, -0.0030918, -0.00136683, -0.00117635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0294248, 0.0395488, 0.0400027, 0.0409105, 0.0427261, 0.0460279, 0.0508806, 0.0581506, 0.0702864, 0.070526, 0.0804305, 0.0873256, 0.0954105, 0.108153, 0.116534, 0.146312, 0.162354, 0.171339, 0.180982, 0.19384, 0.205013, 0.213051, 0.228061, 0.243758, 0.256105, 0.2808, 0.322416, 0.37233");
-            values ( \
-              "-0.0099147, -0.105984, -0.106249, -0.105499, -0.105535, -0.104771, -0.105006, -0.104211, -0.103639, -0.103378, -0.102052, -0.100743, -0.0985193, -0.092385, -0.0854893, -0.0532454, -0.0382603, -0.0313331, -0.0250542, -0.0183618, -0.0139235, -0.0113581, -0.00770837, -0.00512819, -0.00369775, -0.0018871, -0.000541612, -0.000113188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0297573, 0.0399038, 0.0472837, 0.0735538, 0.0934637, 0.113684, 0.132338, 0.138472, 0.156108, 0.175024, 0.216022, 0.248758, 0.260661, 0.279837, 0.29695, 0.311862, 0.327338, 0.349451, 0.378267, 0.416689, 0.476672, 0.536655");
-            values ( \
-              "-0.0106993, -0.118585, -0.117177, -0.115917, -0.114447, -0.112298, -0.109302, -0.107864, -0.10198, -0.0909659, -0.0603516, -0.0395793, -0.0334997, -0.0253371, -0.019571, -0.0155508, -0.012179, -0.00854872, -0.00535792, -0.00279317, -0.000954695, -0.000315444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0296397, 0.0410453, 0.0466004, 0.100886, 0.134361, 0.169096, 0.204373, 0.221579, 0.241258, 0.278705, 0.355046, 0.405654, 0.449091, 0.478632, 0.505207, 0.540639, 0.570481, 0.610688, 0.664297, 0.724281, 0.7775");
-            values ( \
-              "-0.0188525, -0.126477, -0.12549, -0.123402, -0.121641, -0.119193, -0.115466, -0.112829, -0.108483, -0.0948261, -0.0590903, -0.0397657, -0.0274765, -0.0210435, -0.0164978, -0.0118596, -0.00889091, -0.00603644, -0.00358283, -0.00193422, -0.00118139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0340312, 0.0381289, 0.0459683, 0.208391, 0.269039, 0.310098, 0.36171, 0.394922, 0.454905, 0.596461, 0.685386, 0.732261, 0.785833, 0.863966, 0.906441, 0.966248, 1.03668, 1.09666, 1.15664, 1.27661, 1.33087");
-            values ( \
-              "-0.100329, -0.133211, -0.130725, -0.126021, -0.123387, -0.12104, -0.116741, -0.112495, -0.0999013, -0.0604977, -0.0404178, -0.0321962, -0.02446, -0.0162134, -0.0129322, -0.00927314, -0.00627165, -0.00451388, -0.00318147, -0.00160001, -0.00132689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0541427, 0.059521, 0.0633983, 0.0768415, 0.0873895, 0.088547, 0.0896561, 0.0931799, 0.0953838, 0.0993476, 0.101445, 0.105639, 0.117153, 0.124012, 0.129557, 0.134301, 0.137995, 0.144248, 0.151663, 0.158303, 0.165223, 0.170526, 0.181133, 0.200577, 0.223646");
-            values ( \
-              "-0.0028548, -0.0261106, -0.0339362, -0.0525672, -0.0651001, -0.068571, -0.0691161, -0.0676883, -0.0665085, -0.0635968, -0.0613965, -0.0555525, -0.0370901, -0.0278165, -0.0215108, -0.0171382, -0.0142531, -0.0103431, -0.00699728, -0.00488809, -0.00335787, -0.00250876, -0.00137712, -0.000414763, -9.17409e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0541352, 0.0635598, 0.0665445, 0.0729434, 0.087408, 0.0890602, 0.0913679, 0.0922576, 0.09952, 0.107581, 0.11242, 0.116372, 0.124277, 0.141097, 0.146312, 0.154996, 0.164511, 0.172208, 0.180293, 0.185984, 0.195548, 0.205289, 0.212837, 0.227933, 0.255057, 0.28727");
-            values ( \
-              "-0.00232421, -0.0430331, -0.0493272, -0.0604939, -0.0835967, -0.0880127, -0.0887513, -0.0881896, -0.0867758, -0.0842798, -0.0813454, -0.0780986, -0.0683984, -0.0446058, -0.0380612, -0.0286774, -0.0205966, -0.0155516, -0.0114974, -0.0092541, -0.00637245, -0.00435299, -0.00322771, -0.00174016, -0.000519117, -0.000111821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0541841, 0.0675364, 0.0893019, 0.0917639, 0.123288, 0.129732, 0.1425, 0.150516, 0.184747, 0.203253, 0.219169, 0.239946, 0.264016, 0.292091, 0.323903");
-            values ( \
-              "-0.00227267, -0.0601635, -0.104346, -0.105326, -0.100367, -0.0984975, -0.0923862, -0.0858168, -0.0491283, -0.0330939, -0.02285, -0.0137162, -0.00739753, -0.00352774, -0.00145526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.05406, 0.0697724, 0.0873918, 0.0882252, 0.0898919, 0.0921486, 0.0939565, 0.0967981, 0.101503, 0.107883, 0.11777, 0.127808, 0.147779, 0.148033, 0.162115, 0.179229, 0.19045, 0.212502, 0.2576, 0.287731, 0.313591, 0.346801, 0.385541, 0.441502, 0.483232");
-            values ( \
-              "-0.000474219, -0.0726156, -0.110664, -0.11929, -0.116051, -0.118503, -0.115442, -0.117868, -0.114974, -0.117198, -0.113952, -0.115719, -0.111071, -0.112306, -0.110133, -0.10607, -0.101958, -0.0887661, -0.0553026, -0.037146, -0.0256069, -0.0154056, -0.0083039, -0.00332124, -0.00178498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0542084, 0.0731074, 0.0889224, 0.0908726, 0.0924395, 0.0984296, 0.12266, 0.161542, 0.203827, 0.238382, 0.261079, 0.276, 0.305841, 0.376245, 0.425273, 0.446999, 0.471037, 0.503088, 0.521828, 0.54384, 0.569045, 0.604244, 0.618535, 0.647117, 0.704281, 0.764265, 0.824248, 0.944214");
-            values ( \
-              "-0.00234749, -0.085385, -0.124553, -0.126335, -0.125315, -0.124837, -0.124016, -0.122118, -0.119163, -0.115664, -0.111912, -0.108496, -0.0981138, -0.0650693, -0.044957, -0.0376334, -0.0306726, -0.0230902, -0.01949, -0.0159212, -0.0125742, -0.00899311, -0.0078432, -0.00595571, -0.00337436, -0.00185098, -0.00100853, -0.000298693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0660155, 0.0909472, 0.0982463, 0.185162, 0.304103, 0.365663, 0.407616, 0.430001, 0.474772, 0.618436, 0.712565, 0.79662, 0.883857, 0.930021, 0.990005, 1.06941, 1.1294, 1.30177");
-            values ( \
-              "-0.0968052, -0.131638, -0.13021, -0.128014, -0.123384, -0.119546, -0.115541, -0.112485, -0.103694, -0.0639102, -0.041978, -0.0277464, -0.017596, -0.0137342, -0.00988212, -0.00637559, -0.00455663, -0.00176332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.10345, 0.110207, 0.11525, 0.12962, 0.143581, 0.15472, 0.172985, 0.181111, 0.18941, 0.202229, 0.210393, 0.212342, 0.220775, 0.225528, 0.23199, 0.240241, 0.244529, 0.251708, 0.261281, 0.277136");
-            values ( \
-              "-0.0079435, -0.0103998, -0.0133997, -0.0241054, -0.0316345, -0.0382211, -0.0469869, -0.0487238, -0.0450112, -0.0300325, -0.0214556, -0.0216268, -0.0147775, -0.011542, -0.0082499, -0.00529246, -0.00419055, -0.00282893, -0.00165927, -0.000696058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.105213, 0.120692, 0.132252, 0.144381, 0.166696, 0.178331, 0.18887, 0.198901, 0.210329, 0.212163, 0.228739, 0.240486, 0.250601, 0.257501, 0.263467, 0.269889, 0.28305, 0.291067, 0.307102, 0.329835");
-            values ( \
-              "-0.010379, -0.0219889, -0.0325121, -0.0413943, -0.0567438, -0.0639539, -0.0693551, -0.0708304, -0.0615246, -0.0613788, -0.0392618, -0.0267258, -0.0187002, -0.0145201, -0.0116122, -0.00907483, -0.00543033, -0.0039645, -0.00204861, -0.00082098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.105213, 0.120817, 0.132594, 0.143202, 0.167974, 0.184948, 0.199633, 0.210329, 0.213002, 0.218349, 0.222172, 0.226271, 0.233076, 0.241096, 0.252735, 0.258852, 0.259817, 0.261747, 0.265608, 0.271113, 0.287811, 0.288972, 0.291293, 0.295936, 0.303683, 0.313813, 0.316622, 0.322239, 0.332047, 0.34594, 0.350712, 0.352103, 0.354885, 0.360449, 0.371578, 0.393835, 0.43381, 0.481723");
-            values ( \
-              "-0.00618293, -0.0263824, -0.0385105, -0.0480623, -0.0684157, -0.081408, -0.0913259, -0.0967935, -0.100302, -0.0950389, -0.0954701, -0.0911156, -0.0871989, -0.0774755, -0.0658088, -0.0579515, -0.0579127, -0.0550246, -0.0519115, -0.0456676, -0.0316752, -0.031751, -0.0291896, -0.0271274, -0.0216804, -0.0178047, -0.0156556, -0.0145488, -0.0104507, -0.0081571, -0.00625061, -0.00704604, -0.00554002, -0.00577961, -0.00338469, -0.00267426, -0.000126716, -0.000679001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.128297, 0.159846, 0.17031, 0.178681, 0.184129, 0.189576, 0.192331, 0.197841, 0.200596, 0.203149, 0.205703, 0.208256, 0.210809, 0.211369, 0.212009, 0.232048, 0.238728, 0.242544, 0.244864, 0.251822, 0.256461, 0.2611, 0.265739, 0.270378, 0.273549, 0.278304, 0.28306, 0.289401, 0.295115, 0.297659, 0.307832, 0.333482, 0.33902, 0.344559, 0.350027, 0.355495, 0.360963, 0.366431, 0.379461, 0.384075, 0.387151, 0.396379, 0.409673, 0.419283, 0.424462, 0.429642, 0.44, 0.450359, 0.463877, 0.476485");
-            values ( \
-              "-0.0672307, -0.0689323, -0.0783407, -0.0855522, -0.0930956, -0.0997791, -0.102832, -0.108277, -0.11067, -0.111883, -0.112603, -0.11283, -0.112563, -0.114207, -0.114537, -0.11216, -0.111167, -0.110523, -0.110055, -0.10849, -0.107313, -0.106028, -0.104635, -0.103135, -0.101895, -0.0994355, -0.0967406, -0.0928155, -0.0889591, -0.0871565, -0.0794885, -0.0599709, -0.0561182, -0.0524245, -0.0489339, -0.0455982, -0.0424175, -0.0393917, -0.0326992, -0.0304716, -0.0291848, -0.0255583, -0.0210104, -0.0179573, -0.0165145, -0.0151879, -0.0129873, -0.0110436, -0.00893451, -0.00713994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.105135, 0.136544, 0.148934, 0.172365, 0.200612, 0.213945, 0.218811, 0.223798, 0.233153, 0.242239, 0.25257, 0.287012, 0.32158, 0.332352, 0.343843, 0.359184, 0.389868, 0.400108, 0.459737, 0.476679, 0.510565, 0.530252, 0.552003, 0.581005, 0.60482, 0.61417, 0.632871, 0.670273, 0.700613, 0.716088, 0.747037, 0.80702, 0.867003, 0.926987, 0.98697, 1.04695");
-            values ( \
-              "-0.00297893, -0.0497917, -0.0633003, -0.0865891, -0.112665, -0.123558, -0.123657, -0.123006, -0.122814, -0.122116, -0.12169, -0.119255, -0.115576, -0.114013, -0.11199, -0.1085, -0.0977533, -0.0932004, -0.064933, -0.0574942, -0.0441994, -0.0376098, -0.0312653, -0.0242166, -0.0195315, -0.0179252, -0.0150844, -0.0105829, -0.007925, -0.00683775, -0.00506025, -0.00278513, -0.0015194, -0.000834092, -0.000450086, -0.000249966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.105106, 0.148191, 0.211761, 0.327215, 0.412846, 0.465768, 0.513111, 0.539533, 0.584063, 0.696537, 0.788035, 0.864657, 0.922917, 0.983994, 1.08509, 1.2131, 1.39274");
-            values ( \
-              "-0.00454048, -0.0649322, -0.129823, -0.125978, -0.121994, -0.118121, -0.112502, -0.107764, -0.0968908, -0.0652201, -0.0434973, -0.0299463, -0.0221672, -0.0160643, -0.00925729, -0.00452959, -0.00164405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.199958, 0.222056, 0.227076, 0.237114, 0.245204, 0.248742, 0.253294, 0.26794, 0.288133, 0.306264, 0.321686, 0.335427, 0.348085, 0.360286, 0.373277, 0.383388, 0.391251, 0.399161, 0.407886, 0.41211, 0.420557, 0.431409, 0.439287, 0.455044, 0.478248, 0.506031, 0.516625, 0.518167");
-            values ( \
-              "-0.00186461, -0.00410316, -0.0047051, -0.00630669, -0.00788006, -0.00874032, -0.00994317, -0.0141769, -0.0193126, -0.0235587, -0.0269356, -0.0296533, -0.0316633, -0.0322735, -0.0276103, -0.020763, -0.0158565, -0.0116346, -0.0080102, -0.00670766, -0.00445791, -0.00264131, -0.0018516, -0.000773766, -0.000258586, -2.38401e-05, -0.000718671, -0.000685113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.205964, 0.221309, 0.230329, 0.239503, 0.248719, 0.257348, 0.284648, 0.302834, 0.324501, 0.343024, 0.359582, 0.374889, 0.389785, 0.40605, 0.425351, 0.438102, 0.450581, 0.458335, 0.465517, 0.475866, 0.480762, 0.490553, 0.505893, 0.514814, 0.534942, 0.535844");
-            values ( \
-              "-0.00335133, -0.0056643, -0.00723926, -0.00925243, -0.0115946, -0.0143089, -0.0237851, -0.0292984, -0.0355079, -0.0404344, -0.0444114, -0.0472151, -0.0473851, -0.0391846, -0.0245811, -0.0170392, -0.0112472, -0.00864728, -0.00667182, -0.00459253, -0.00380824, -0.00265751, -0.00144484, -0.00210284, -0.000935126, -0.000913938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.218926, 0.24259, 0.252883, 0.265697, 0.290461, 0.352111, 0.395944, 0.415212, 0.434309, 0.455937, 0.484138, 0.490943, 0.50562, 0.509503, 0.53075, 0.545662, 0.562005, 0.574869, 0.600595, 0.622343");
-            values ( \
-              "-0.00870241, -0.0127751, -0.0158446, -0.0205573, -0.030707, -0.051575, -0.0641071, -0.0674953, -0.0656766, -0.0519192, -0.0310246, -0.0269606, -0.0194117, -0.0190974, -0.0114041, -0.00777753, -0.00507972, -0.00361527, -0.00178613, -0.00112054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.212784, 0.25384, 0.262915, 0.272596, 0.303315, 0.335187, 0.358372, 0.393059, 0.422848, 0.449653, 0.474906, 0.500785, 0.506576, 0.51064, 0.514646, 0.518873, 0.531329, 0.539336, 0.554008, 0.57294, 0.578314, 0.583267, 0.58987, 0.602293, 0.618337, 0.62272, 0.631486, 0.647372, 0.669797, 0.679803, 0.68433, 0.693384, 0.711491, 0.747706, 0.756077");
-            values ( \
-              "-0.00703215, -0.0184666, -0.0218351, -0.0257395, -0.0390812, -0.0514003, -0.0598855, -0.0722469, -0.0818405, -0.089198, -0.0924253, -0.0846228, -0.0812132, -0.0797366, -0.0769796, -0.0736214, -0.0642783, -0.0585422, -0.0487096, -0.0377643, -0.0350215, -0.0326461, -0.029692, -0.0247195, -0.0193929, -0.0181275, -0.0158358, -0.0123302, -0.00860697, -0.0073286, -0.00680723, -0.00588415, -0.00437081, -0.00237798, -0.00215802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.239158, 0.270978, 0.325119, 0.403913, 0.445481, 0.472631, 0.505487, 0.513453, 0.530855, 0.549208, 0.561302, 0.58015, 0.602094, 0.670996, 0.691214, 0.731256, 0.751309, 0.772518, 0.799855, 0.82257, 0.84921, 0.886898, 0.926243, 0.956403, 1.01639, 1.07637, 1.13635, 1.25632");
-            values ( \
-              "-0.0243499, -0.0277415, -0.0515242, -0.0828349, -0.098033, -0.107104, -0.116422, -0.116823, -0.114569, -0.111334, -0.108501, -0.102502, -0.0932077, -0.060851, -0.0523372, -0.0379409, -0.0320189, -0.0266191, -0.020814, -0.0169096, -0.013199, -0.00920771, -0.00631779, -0.00472241, -0.00258621, -0.00142164, -0.000769069, -0.000225421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.252627, 0.314249, 0.371962, 0.427488, 0.505364, 0.514063, 0.569219, 0.589102, 0.619316, 0.650657, 0.71064, 0.723645, 0.740984, 0.775663, 0.914137, 0.952299, 1.00079, 1.03643, 1.07524, 1.12678, 1.17351, 1.23349, 1.28611, 1.35646, 1.41645, 1.47643, 1.53641, 1.5964, 1.71636, 1.83633");
-            values ( \
-              "-0.0322507, -0.0488218, -0.0738397, -0.0965269, -0.125594, -0.126517, -0.124349, -0.12337, -0.121736, -0.119546, -0.113202, -0.11113, -0.107869, -0.0996706, -0.0611094, -0.051758, -0.0413418, -0.0347962, -0.0286597, -0.0219598, -0.0171629, -0.0124056, -0.00929192, -0.00629291, -0.00449525, -0.00320173, -0.00228054, -0.0016196, -0.000816704, -0.000410969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.42429, 0.494439, 0.514771, 0.535499, 0.54599, 0.564286, 0.626038, 0.646222, 0.671476, 0.693712, 0.714003, 0.733283, 0.75252, 0.774738, 0.776658, 0.780496, 0.786786, 0.797432, 0.812048, 0.820786, 0.82538, 0.852943, 0.880883, 0.914723, 0.957723, 1.01771, 1.07769, 1.08752");
-            values ( \
-              "-0.000187743, -0.00203032, -0.00276879, -0.00380046, -0.00446146, -0.00592628, -0.0123273, -0.0142763, -0.0165702, -0.0184007, -0.0198125, -0.0206417, -0.0196756, -0.0132807, -0.0123412, -0.0113608, -0.00920173, -0.00648156, -0.00380078, -0.00288388, -0.00227352, -0.000721736, -0.00033178, -1.26466e-05, -0.000106873, -1e-22, -8.37145e-05, -6.99968e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.4289, 0.503779, 0.523545, 0.547449, 0.559556, 0.578728, 0.637325, 0.672813, 0.702522, 0.7288, 0.752874, 0.775824, 0.798722, 0.842475, 0.858664, 0.867782, 0.880691, 0.896284, 0.908669, 0.933439, 0.970872, 1.01655, 1.2159, 1.23194, 1.25372");
-            values ( \
-              "-0.000284754, -0.00346954, -0.00462654, -0.0064055, -0.00752313, -0.00975013, -0.0176156, -0.0219873, -0.0253816, -0.0280679, -0.0301386, -0.0312505, -0.0292382, -0.0132165, -0.0085902, -0.00663109, -0.00449195, -0.00278113, -0.00188316, -0.000814515, -0.000216968, -5.00263e-05, -4.77494e-06, -0.000367963, -0.000179214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.44115, 0.489047, 0.512187, 0.518369, 0.530732, 0.554632, 0.566061, 0.587318, 0.608532, 0.635456, 0.666226, 0.678844, 0.695668, 0.713569, 0.750199, 0.782702, 0.812633, 0.841335, 0.869965, 0.871011, 0.877289, 0.88566, 0.902402, 0.916928, 0.926227, 0.940338, 0.944308, 0.952247, 0.968125, 0.98202, 0.988665, 1.00195, 1.02853, 1.07418, 1.12779, 1.18778, 1.21625, 1.23517, 1.2598");
-            values ( \
-              "-0.00158866, -0.00373311, -0.00533942, -0.00581786, -0.0068423, -0.0091279, -0.0104465, -0.0132613, -0.0166373, -0.0209997, -0.0256834, -0.027534, -0.0299327, -0.0323947, -0.0371259, -0.040895, -0.043713, -0.0449661, -0.0405797, -0.0402784, -0.0380221, -0.0347133, -0.0276982, -0.021925, -0.0185895, -0.0141931, -0.0131246, -0.0111629, -0.00796695, -0.00586522, -0.0050601, -0.00373589, -0.00198483, -0.000594909, -0.000141261, -3.11961e-05, -2.3884e-05, -0.00049244, -0.000291928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.488654, 0.538361, 0.564335, 0.604588, 0.679745, 0.718825, 0.773596, 0.820208, 0.861902, 0.900425, 0.937743, 0.977729, 1.01682, 1.04849, 1.07984, 1.11346, 1.147, 1.1672, 1.20758, 1.21596, 1.22622, 1.25323, 1.28783");
-            values ( \
-              "-0.00904806, -0.00940833, -0.0124347, -0.0183715, -0.0315016, -0.0377588, -0.0459784, -0.0524026, -0.0575539, -0.0612555, -0.0619769, -0.052611, -0.0368439, -0.0254948, -0.0168219, -0.0104012, -0.00625518, -0.00465407, -0.00234456, -0.00213434, -0.00245507, -0.00158132, -0.00103193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.488415, 0.565307, 0.599398, 0.731502, 0.788579, 0.88239, 0.920638, 0.97538, 1.02616, 1.07643, 1.13303, 1.21549, 1.2175, 1.25933, 1.28959, 1.32173, 1.36458, 1.38616, 1.41954, 1.46405, 1.52404, 1.57681");
-            values ( \
-              "-0.00703764, -0.0145148, -0.0195434, -0.0431642, -0.0525369, -0.0669624, -0.0723703, -0.0792687, -0.0836913, -0.0814403, -0.0648163, -0.0365676, -0.0367982, -0.0257165, -0.0195789, -0.0145552, -0.00967867, -0.00787813, -0.00571368, -0.00369188, -0.00202233, -0.00121648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.548152, 0.651133, 0.736484, 0.823674, 0.930043, 1.02607, 1.06152, 1.1343, 1.15989, 1.20288, 1.21568, 1.22837, 1.2456, 1.27418, 1.37905, 1.41111, 1.4711, 1.51077, 1.56873, 1.61689, 1.65739, 1.70335, 1.76333, 1.7972, 1.84181, 1.90128, 1.96126, 2.08122, 2.20119, 2.32116");
-            values ( \
-              "-0.0239816, -0.0303033, -0.0462822, -0.0614393, -0.0789384, -0.093568, -0.0985047, -0.107072, -0.10919, -0.11031, -0.109672, -0.108014, -0.104211, -0.0968623, -0.0672109, -0.0588267, -0.04492, -0.037192, -0.0278682, -0.0217174, -0.0175424, -0.0137086, -0.00986169, -0.00818563, -0.0063942, -0.00458679, -0.00326203, -0.00164876, -0.000829917, -0.000416091" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00160536, 0.00162161, 0.00163613, 0.00164718, 0.00165463, 0.00165927", \
-            "0.00179619, 0.00180873, 0.00182139, 0.00183198, 0.00183971, 0.0018448", \
-            "0.00190781, 0.00191112, 0.00191674, 0.00192293, 0.00192826, 0.00193216", \
-            "0.00197893, 0.00197655, 0.00197651, 0.00197643, 0.00197758, 0.00197913", \
-            "0.00202402, 0.00201973, 0.00201395, 0.00200869, 0.00200489, 0.00200285", \
-            "0.00205358, 0.00204825, 0.00204147, 0.0020339, 0.00202646, 0.00202035" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00206986, 0.00208052, 0.00208874, 0.00209415, 0.00209853, 0.00210102", \
-            "0.00217489, 0.00216596, 0.00215931, 0.00215464, 0.00215118, 0.00215014", \
-            "0.00226116, 0.00223239, 0.0022031, 0.00217973, 0.00216473, 0.00215624", \
-            "0.00242034, 0.00234269, 0.00227428, 0.00222392, 0.00218909, 0.00216628", \
-            "0.00275078, 0.00259575, 0.00245279, 0.00233874, 0.00225819, 0.00220864", \
-            "0.00325157, 0.00312112, 0.00281108, 0.00258484, 0.00241837, 0.00230499" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(~A0 & A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A0 * A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0408629, 0.0533528, 0.0754825, 0.115014, 0.186096, 0.314162", \
-            "0.0450943, 0.0576949, 0.0799615, 0.119656, 0.190848, 0.318984", \
-            "0.0567934, 0.0691187, 0.0912973, 0.131007, 0.202341, 0.330532", \
-            "0.083437, 0.0985684, 0.120931, 0.160395, 0.231561, 0.35978", \
-            "0.124963, 0.148398, 0.183077, 0.232333, 0.303869, 0.431154", \
-            "0.19306, 0.227176, 0.279372, 0.355749, 0.462253, 0.607898" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0596459, 0.0784805, 0.111748, 0.170839, 0.276981, 0.468565", \
-            "0.0596488, 0.0784889, 0.111741, 0.170838, 0.276981, 0.468577", \
-            "0.061231, 0.0787254, 0.111733, 0.170837, 0.276983, 0.468575", \
-            "0.0781437, 0.0914216, 0.1183, 0.172022, 0.276967, 0.468575", \
-            "0.123599, 0.137805, 0.160027, 0.20165, 0.289316, 0.46918", \
-            "0.197386, 0.219073, 0.253376, 0.305221, 0.381381, 0.52511" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0501587, 0.062091, 0.0830195, 0.120085, 0.186293, 0.305298", \
-            "0.0535443, 0.065533, 0.0865456, 0.123697, 0.189978, 0.309027", \
-            "0.0621905, 0.0741425, 0.0952989, 0.132553, 0.198955, 0.318081", \
-            "0.0822507, 0.0957782, 0.11708, 0.154271, 0.220728, 0.339588", \
-            "0.105701, 0.125952, 0.157444, 0.204793, 0.274725, 0.393744", \
-            "0.120428, 0.149911, 0.196633, 0.267767, 0.372247, 0.521203" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0473366, 0.0621879, 0.0891922, 0.138166, 0.226828, 0.387112", \
-            "0.0473082, 0.0621906, 0.0891869, 0.138033, 0.226828, 0.387112", \
-            "0.0471947, 0.0618332, 0.0891261, 0.138149, 0.226826, 0.387157", \
-            "0.0595746, 0.0710767, 0.0942015, 0.139382, 0.226718, 0.387251", \
-            "0.0892575, 0.105549, 0.130914, 0.16992, 0.242449, 0.389776", \
-            "0.14042, 0.163903, 0.200333, 0.25434, 0.334846, 0.458497" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0207001, 0.0219469, 0.0234021, 0.0328316, 0.0415861, 0.0511257, 0.0622068, 0.0668463, 0.0703647, 0.0746615, 0.0791625, 0.0832936, 0.0948108, 0.1006, 0.132054, 0.149938, 0.175158, 0.189078, 0.210424, 0.238885, 0.278346, 0.326618");
-            values ( \
-              "0.0448628, 0.0802596, 0.0804159, 0.0739428, 0.0651488, 0.0515816, 0.0328265, 0.0260236, 0.0220749, 0.0184496, 0.0159184, 0.0147413, 0.0140992, 0.0134614, 0.00735328, 0.00484779, 0.0026275, 0.00186357, 0.00108901, 0.000566289, 0.000157206, 7.5675e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0201623, 0.0228358, 0.0249367, 0.028483, 0.0338566, 0.0358032, 0.0395262, 0.0513189, 0.0609593, 0.0679324, 0.0779381, 0.0848284, 0.0873285, 0.0938004, 0.0984697, 0.102404, 0.112425, 0.118273, 0.126071, 0.148823, 0.157307, 0.167875, 0.186268, 0.195085, 0.21272, 0.225564, 0.240018, 0.259289, 0.297832, 0.327523");
-            values ( \
-              "0.00509611, 0.100246, 0.100309, 0.0979069, 0.0952713, 0.0934905, 0.0915833, 0.0821396, 0.0714288, 0.0622432, 0.046703, 0.0371791, 0.0343579, 0.0285063, 0.0259626, 0.0246879, 0.0237099, 0.0227443, 0.0209083, 0.0145271, 0.0124249, 0.0101634, 0.00708488, 0.00593625, 0.0041456, 0.00318623, 0.0023674, 0.00158393, 0.00068039, 0.000402708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0216711, 0.0239609, 0.0267088, 0.0272344, 0.0282856, 0.0442081, 0.055753, 0.0625872, 0.0690172, 0.0820639, 0.08928, 0.0956818, 0.107111, 0.117841, 0.122671, 0.126662, 0.13071, 0.135959, 0.142138, 0.1481, 0.156087, 0.196544, 0.21023, 0.218779, 0.235876, 0.2537, 0.263511, 0.278394, 0.299397, 0.307725, 0.324382, 0.357695, 0.41622, 0.481528");
-            values ( \
-              "0.105631, 0.116432, 0.116245, 0.115626, 0.115419, 0.10854, 0.10307, 0.0993108, 0.0953264, 0.0858784, 0.0795122, 0.0724903, 0.0588032, 0.0475843, 0.0436219, 0.0411176, 0.0393885, 0.0381551, 0.0373696, 0.0363036, 0.0343442, 0.0211717, 0.0174667, 0.0154435, 0.0119727, 0.00915417, 0.00786699, 0.00625154, 0.00448358, 0.00394142, 0.00301842, 0.00176273, 0.000634601, 0.000204812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0215748, 0.0255942, 0.0590032, 0.0829505, 0.0997751, 0.121101, 0.1317, 0.167298, 0.174835, 0.183184, 0.201189, 0.210914, 0.264068, 0.29079, 0.313873, 0.331779, 0.363009, 0.399689, 0.432333, 0.466242, 0.544141, 0.609449, 0.626772");
-            values ( \
-              "0.100249, 0.128169, 0.118908, 0.111509, 0.105471, 0.0960697, 0.0899593, 0.0632924, 0.0587815, 0.0553351, 0.0524317, 0.0502457, 0.0332541, 0.0258442, 0.0205988, 0.017171, 0.0123902, 0.00836042, 0.00583795, 0.00401937, 0.0016585, 0.000774203, 0.000693774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.023635, 0.023655, 0.068955, 0.11817, 0.155112, 0.193772, 0.207975, 0.250201, 0.263699, 0.274121, 0.282826, 0.304836, 0.320174, 0.393557, 0.441688, 0.468666, 0.499895, 0.541533, 0.580388, 0.635394, 0.682004, 0.737032, 0.80234, 0.867649, 0.998265, 1.12888");
-            values ( \
-              "1e-22, 0.144589, 0.128398, 0.119695, 0.112138, 0.102251, 0.0971188, 0.0782815, 0.0731044, 0.0703124, 0.0695915, 0.0663809, 0.0628718, 0.043668, 0.0329813, 0.0279581, 0.0229289, 0.0174084, 0.013416, 0.00917855, 0.00658618, 0.00445014, 0.00278432, 0.00170878, 0.000646704, 0.000239918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0262224, 0.0262424, 0.12813, 0.192387, 0.254793, 0.28914, 0.32079, 0.3552, 0.411279, 0.422793, 0.451431, 0.484068, 0.597176, 0.667921, 0.733229, 0.7701, 0.823356, 0.88422, 0.943388, 1.01329, 1.06298, 1.12829, 1.22374, 1.35436, 1.48497, 1.6809, 1.87682");
-            values ( \
-              "1e-22, 0.150899, 0.130043, 0.123371, 0.116226, 0.111728, 0.106701, 0.0993447, 0.0857802, 0.0837655, 0.0816682, 0.0774472, 0.0570223, 0.0453453, 0.0360118, 0.0314294, 0.0256502, 0.020155, 0.0158544, 0.0118652, 0.00962674, 0.00728015, 0.00482261, 0.00272551, 0.00153374, 0.000647428, 0.000269767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0303389, 0.041388, 0.0428905, 0.0457176, 0.0499254, 0.0567771, 0.067176, 0.0764347, 0.0832245, 0.0885506, 0.0930321, 0.0972225, 0.100832, 0.105382, 0.114999, 0.147784, 0.165197, 0.184471, 0.204478, 0.240151, 0.280186");
-            values ( \
-              "0.00362444, 0.0773069, 0.0768986, 0.0752933, 0.0718565, 0.0644412, 0.0496893, 0.0337425, 0.0241908, 0.0191688, 0.0163184, 0.0149726, 0.0144322, 0.014378, 0.0135287, 0.00720172, 0.00479525, 0.00300715, 0.00183142, 0.000728483, 0.000393933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0307939, 0.0434292, 0.046159, 0.0507576, 0.0554342, 0.058461, 0.0645146, 0.0709854, 0.075906, 0.0806515, 0.095676, 0.101509, 0.105159, 0.110026, 0.114681, 0.118718, 0.128723, 0.130623, 0.13822, 0.16577, 0.178029, 0.191104, 0.199191, 0.212489, 0.223891, 0.240006, 0.246393, 0.259169, 0.28472, 0.329466, 0.381567");
-            values ( \
-              "0.013534, 0.0977274, 0.0965418, 0.093833, 0.0907038, 0.088529, 0.083553, 0.0768835, 0.0713833, 0.0655048, 0.0425933, 0.0351767, 0.0314686, 0.0276652, 0.0254145, 0.024471, 0.0235059, 0.0232156, 0.0216088, 0.014026, 0.0111485, 0.00865406, 0.00738139, 0.00566234, 0.00449187, 0.00322935, 0.00283114, 0.00217687, 0.00126626, 0.000465737, 0.000137316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0374097, 0.0374297, 0.055348, 0.0671964, 0.0795295, 0.0981545, 0.107949, 0.124174, 0.133334, 0.140997, 0.144993, 0.149801, 0.162581, 0.171148, 0.198189, 0.227073, 0.240569, 0.258539, 0.275127, 0.297243, 0.334314, 0.360354, 0.412435, 0.444475");
-            values ( \
-              "1e-22, 0.124916, 0.110286, 0.104896, 0.0983004, 0.0851218, 0.0756738, 0.0565642, 0.0473009, 0.041579, 0.0397082, 0.0384181, 0.0364729, 0.034355, 0.0253889, 0.0170256, 0.0139967, 0.0106738, 0.00828917, 0.005883, 0.00326971, 0.00216323, 0.000883903, 0.000686766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0371852, 0.0435667, 0.0478429, 0.0742601, 0.101985, 0.115025, 0.137868, 0.147343, 0.18255, 0.190096, 0.198442, 0.216405, 0.226115, 0.279395, 0.30607, 0.346833, 0.378254, 0.414994, 0.447608, 0.481404, 0.55901, 0.624318, 0.631628");
-            values ( \
-              "0.125997, 0.126917, 0.126247, 0.118866, 0.110256, 0.105493, 0.0953812, 0.0897177, 0.0633109, 0.0587595, 0.0553505, 0.0524544, 0.0502435, 0.0332168, 0.0258533, 0.0172207, 0.0124053, 0.00836963, 0.00582282, 0.00401008, 0.00165269, 0.000791051, 0.000756957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0391597, 0.0391797, 0.084242, 0.15087, 0.170398, 0.209059, 0.223263, 0.26547, 0.278974, 0.289399, 0.309339, 0.320121, 0.335454, 0.408841, 0.456967, 0.494361, 0.515183, 0.556827, 0.595664, 0.650662, 0.697273, 0.752306, 0.817614, 0.882922, 1.01354, 1.14416");
-            values ( \
-              "1e-22, 0.146069, 0.128358, 0.116229, 0.112134, 0.102248, 0.097115, 0.078292, 0.0731056, 0.0703167, 0.0681564, 0.0663789, 0.062876, 0.0436666, 0.0329853, 0.0261748, 0.022931, 0.0174054, 0.0134191, 0.00918181, 0.00658503, 0.00444861, 0.00278655, 0.001707, 0.000644829, 0.000238015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0418065, 0.0418265, 0.125822, 0.235302, 0.270182, 0.313255, 0.337246, 0.358756, 0.427943, 0.443455, 0.455069, 0.470476, 0.48575, 0.504722, 0.606022, 0.686833, 0.752141, 0.793151, 0.834391, 0.881489, 0.960199, 0.994582, 1.05372, 1.11903, 1.17418, 1.24407, 1.37469, 1.50531, 1.63592, 1.89716");
-            values ( \
-              "1e-22, 0.15402, 0.13176, 0.120305, 0.116201, 0.110458, 0.106517, 0.102091, 0.0855445, 0.0831168, 0.0825379, 0.0812604, 0.0795107, 0.0765354, 0.0581618, 0.0448069, 0.0355323, 0.0305207, 0.0260704, 0.0216832, 0.0157688, 0.0136672, 0.0106831, 0.00807702, 0.00637785, 0.00471599, 0.0026621, 0.0014953, 0.000836685, 0.000258297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.058342, 0.0631203, 0.0814424, 0.0875185, 0.0882034, 0.0895732, 0.0918313, 0.0934887, 0.0940811, 0.0952659, 0.0976355, 0.100583, 0.103971, 0.10794, 0.108467, 0.10952, 0.111627, 0.114966, 0.1192, 0.120167, 0.122101, 0.125969, 0.130476, 0.134762, 0.138377, 0.142702, 0.146954, 0.152377, 0.159878, 0.169292, 0.174066, 0.174782, 0.176214, 0.179079, 0.184383, 0.194912, 0.202077, 0.203743, 0.207076, 0.213741, 0.22649, 0.237407, 0.241089, 0.248454, 0.263184, 0.291725, 0.30491");
-            values ( \
-              "0.0154477, 0.0221646, 0.0531395, 0.0606734, 0.0665196, 0.0654576, 0.0679385, 0.0636172, 0.0654302, 0.0614946, 0.0607404, 0.0541884, 0.0516611, 0.0426543, 0.0441705, 0.0398864, 0.0386004, 0.0306093, 0.027034, 0.0234636, 0.0237446, 0.017847, 0.0174985, 0.013687, 0.0156553, 0.0131526, 0.0153219, 0.0122932, 0.0133419, 0.00901532, 0.0104258, 0.00792232, 0.00998381, 0.00711614, 0.00851703, 0.00460844, 0.00604037, 0.0035359, 0.00548583, 0.00254476, 0.00386079, 0.000929027, 0.00304521, 0.00044071, 0.00224466, 1e-22, 0.000439432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0671779, 0.0796518, 0.081518, 0.0837933, 0.0849309, 0.0860686, 0.086827, 0.0875854, 0.0887543, 0.090088, 0.0910735, 0.0919165, 0.0924311, 0.0934603, 0.0950069, 0.0965082, 0.0994653, 0.103781, 0.112407, 0.114539, 0.118812, 0.122729, 0.127135, 0.12961, 0.132086, 0.136795, 0.139781, 0.142615, 0.143875, 0.146395, 0.147655, 0.149958, 0.152261, 0.154283, 0.156304, 0.161367, 0.166363, 0.172837, 0.181366, 0.195872, 0.208177, 0.217135, 0.225122, 0.233109, 0.240201, 0.244081, 0.25184, 0.2596, 0.267359, 0.282787");
-            values ( \
-              "0.0655461, 0.0657695, 0.0724455, 0.0756864, 0.0769414, 0.0779528, 0.0808782, 0.0852864, 0.0867406, 0.0893816, 0.0895716, 0.0896141, 0.0895857, 0.0894051, 0.0886117, 0.0875861, 0.0852088, 0.0811278, 0.0720226, 0.0695521, 0.0638277, 0.058034, 0.0507469, 0.0469524, 0.0433847, 0.0372753, 0.0336645, 0.0307493, 0.0296719, 0.0278204, 0.0270464, 0.0259005, 0.0250775, 0.0247204, 0.0244142, 0.0239509, 0.0233322, 0.0220767, 0.0199545, 0.0158869, 0.0125877, 0.0106696, 0.00916081, 0.00784203, 0.00680413, 0.00625416, 0.00532638, 0.00458041, 0.003921, 0.0028429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0604337, 0.0687285, 0.0734788, 0.0794408, 0.0874117, 0.0892206, 0.091457, 0.0935201, 0.0961201, 0.105847, 0.108138, 0.112719, 0.12093, 0.135011, 0.137218, 0.141632, 0.148021, 0.1584, 0.16912, 0.174576, 0.176125, 0.179223, 0.183326, 0.189154, 0.194987, 0.201195, 0.207988, 0.218772, 0.232, 0.249006, 0.255718, 0.261648, 0.269553, 0.281426, 0.296929, 0.30038, 0.307282, 0.321085, 0.347152, 0.356156, 0.365409, 0.377748, 0.402425, 0.451779, 0.517088, 0.582396");
-            values ( \
-              "0.0137355, 0.0475196, 0.0604561, 0.0761226, 0.0962081, 0.104518, 0.109015, 0.109166, 0.108483, 0.104004, 0.102736, 0.100371, 0.0953351, 0.0850928, 0.0833151, 0.079061, 0.0720041, 0.0595414, 0.0481621, 0.0436322, 0.0425656, 0.0407981, 0.0391639, 0.0379637, 0.0372815, 0.0360721, 0.0343502, 0.0309036, 0.0264081, 0.0210036, 0.0191373, 0.0175871, 0.0157009, 0.0131725, 0.0104441, 0.00990239, 0.0089249, 0.00720353, 0.00478427, 0.0041445, 0.00358131, 0.00295549, 0.00197836, 0.00086893, 0.000265595, 9.03381e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.0609351, 0.0728463, 0.087362, 0.0892712, 0.0918871, 0.0929409, 0.094346, 0.0971561, 0.1278, 0.149539, 0.16522, 0.174679, 0.186429, 0.218484, 0.229481, 0.236186, 0.25244, 0.262238, 0.271412, 0.318516, 0.343801, 0.363388, 0.389504, 0.414327, 0.445992, 0.481988, 0.523193, 0.555989, 0.621297, 0.686605, 0.817222");
-            values ( \
-              "0.0123519, 0.0646989, 0.107536, 0.1195, 0.123164, 0.123359, 0.123332, 0.122793, 0.113917, 0.106389, 0.0999076, 0.0953602, 0.0881441, 0.0639101, 0.0576107, 0.0549292, 0.0525366, 0.0504522, 0.0478029, 0.0325596, 0.025618, 0.0211297, 0.0161999, 0.0124968, 0.00890695, 0.00598396, 0.00379965, 0.00264124, 0.00122224, 0.000576844, 0.000128624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0615964, 0.0779793, 0.0873227, 0.0897758, 0.0935821, 0.100347, 0.115773, 0.179315, 0.207383, 0.242915, 0.264688, 0.311572, 0.321464, 0.331966, 0.341627, 0.356042, 0.372437, 0.445831, 0.493184, 0.520906, 0.552865, 0.595477, 0.632053, 0.652691, 0.686361, 0.733569, 0.790466, 0.855775, 0.921083, 1.0517, 1.18232");
-            values ( \
-              "0.0159858, 0.0851812, 0.115117, 0.129041, 0.132746, 0.131943, 0.129309, 0.117916, 0.112126, 0.103136, 0.0952775, 0.0745734, 0.0713669, 0.0696682, 0.0688623, 0.0665443, 0.0628781, 0.0436658, 0.0331362, 0.0279583, 0.0228193, 0.0172197, 0.0134637, 0.0116826, 0.00925464, 0.00662456, 0.00441846, 0.00275359, 0.00170052, 0.000647705, 0.000244494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0625477, 0.08917, 0.0911077, 0.0963291, 0.242905, 0.307525, 0.339209, 0.383337, 0.450995, 0.475191, 0.502902, 0.535253, 0.65482, 0.70306, 0.768368, 0.818146, 0.856601, 0.907876, 0.973184, 1.04549, 1.09978, 1.16509, 1.23078, 1.33388, 1.4645, 1.59511, 1.92165");
-            values ( \
-              "0.0224382, 0.129709, 0.136188, 0.138501, 0.123605, 0.116211, 0.112081, 0.104821, 0.0887441, 0.0838075, 0.0817698, 0.0776864, 0.0561685, 0.048086, 0.0383669, 0.0319652, 0.0276376, 0.0226279, 0.0173941, 0.0129145, 0.0102833, 0.00778489, 0.00587498, 0.0037553, 0.00211776, 0.00119023, 0.000282313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105251, 0.119864, 0.127694, 0.141345, 0.15649, 0.168337, 0.17672, 0.182741, 0.188686, 0.193608, 0.199047, 0.210367, 0.21264, 0.215629, 0.218515, 0.222324, 0.226387, 0.229979, 0.234582, 0.235008, 0.243528, 0.27527, 0.289702, 0.298038, 0.31276, 0.327275, 0.345891, 0.370711, 0.408001, 0.45238");
-            values ( \
-              "0.00228402, 0.00836411, 0.0122791, 0.0214889, 0.0299538, 0.0357252, 0.0385957, 0.039393, 0.0390496, 0.0380224, 0.0347905, 0.0241298, 0.025164, 0.0210439, 0.0200883, 0.0162671, 0.0161173, 0.0142452, 0.0152086, 0.0145886, 0.0136361, 0.00750307, 0.00537815, 0.00441314, 0.00309658, 0.00217622, 0.00137881, 0.000729627, 0.000274494, 7.63248e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.10522, 0.124233, 0.132906, 0.135971, 0.148652, 0.166452, 0.178797, 0.183275, 0.188043, 0.192343, 0.200942, 0.203788, 0.209451, 0.210335, 0.212105, 0.214339, 0.221675, 0.229398, 0.231942, 0.235449, 0.240125, 0.244275, 0.248322, 0.252993, 0.257972, 0.264666, 0.273077, 0.281929, 0.293018, 0.299642, 0.308473, 0.324583, 0.331755, 0.343591, 0.359372, 0.370656, 0.381715, 0.396461, 0.425953, 0.472231, 0.528508");
-            values ( \
-              "0.00109189, 0.0136875, 0.0199343, 0.0225989, 0.0328501, 0.0460969, 0.054446, 0.0569247, 0.059321, 0.0606946, 0.0621178, 0.0617236, 0.0597991, 0.0591378, 0.0596595, 0.0576853, 0.0465221, 0.0360626, 0.0332975, 0.0300332, 0.0268989, 0.0251011, 0.0244069, 0.0240036, 0.023446, 0.0221449, 0.019992, 0.0174173, 0.0143701, 0.0127229, 0.0107616, 0.00785484, 0.00681585, 0.00537623, 0.00389874, 0.00309227, 0.0024637, 0.00181744, 0.000965564, 0.000341389, 8.77122e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.132285, 0.159197, 0.180822, 0.190402, 0.195754, 0.200759, 0.203979, 0.21042, 0.212128, 0.212982, 0.213836, 0.214689, 0.215543, 0.219324, 0.221588, 0.2246, 0.228649, 0.233472, 0.237989, 0.242703, 0.24577, 0.248838, 0.251906, 0.256506, 0.261106, 0.266692, 0.269299, 0.27032, 0.272362, 0.273383, 0.278283, 0.284944, 0.290773, 0.294996, 0.299219, 0.309743, 0.31959, 0.32695, 0.339186, 0.353826, 0.3607, 0.367574, 0.372156, 0.381321, 0.395019, 0.404076, 0.41192, 0.419764, 0.43611, 0.450744");
-            values ( \
-              "0.0456692, 0.0486651, 0.0689147, 0.0774295, 0.0809206, 0.0844364, 0.0868274, 0.0919115, 0.092544, 0.0926453, 0.0926529, 0.092567, 0.0923875, 0.0902492, 0.0886901, 0.0863937, 0.0829737, 0.0781885, 0.0732888, 0.0677787, 0.0638002, 0.0600999, 0.056616, 0.0517976, 0.0474658, 0.0429059, 0.041178, 0.0406509, 0.0397546, 0.0393853, 0.0383449, 0.0373428, 0.0362819, 0.0352863, 0.0341784, 0.0309288, 0.0275289, 0.0251117, 0.0213294, 0.0172469, 0.0156126, 0.0141424, 0.0132334, 0.0115595, 0.00936388, 0.00806627, 0.00717371, 0.00636054, 0.00494986, 0.00383231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.115576, 0.125508, 0.138316, 0.150895, 0.191859, 0.214548, 0.219913, 0.222034, 0.243017, 0.265854, 0.276447, 0.303336, 0.310644, 0.318199, 0.326521, 0.330491, 0.343855, 0.353439, 0.362582, 0.39085, 0.409763, 0.434975, 0.454431, 0.480372, 0.505438, 0.516091, 0.537397, 0.573205, 0.597842, 0.614077, 0.646548, 0.711489, 0.755449");
-            values ( \
-              "0.0133398, 0.0195784, 0.0316114, 0.0449509, 0.0903269, 0.11325, 0.113914, 0.11297, 0.105491, 0.0953949, 0.0889596, 0.068366, 0.0632387, 0.0586997, 0.0553193, 0.0545926, 0.0525453, 0.0504226, 0.0478163, 0.0384625, 0.0325069, 0.0256263, 0.0211667, 0.0162271, 0.0124826, 0.0111669, 0.00886387, 0.00599579, 0.004558, 0.00382652, 0.0026426, 0.00125233, 0.000791162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.125368, 0.148146, 0.210067, 0.212254, 0.213775, 0.216228, 0.254132, 0.278796, 0.298112, 0.334408, 0.350912, 0.394786, 0.408092, 0.418162, 0.426954, 0.447966, 0.464374, 0.536429, 0.586159, 0.61167, 0.641464, 0.681189, 0.712243, 0.748925, 0.797835, 0.830353, 0.863701, 0.908165, 0.973474, 1.03878, 1.1694, 1.30002");
-            values ( \
-              "0.0277864, 0.0449744, 0.120544, 0.125934, 0.1271, 0.12744, 0.120937, 0.116218, 0.112104, 0.102922, 0.0971418, 0.0776061, 0.0726857, 0.070133, 0.0694929, 0.0663782, 0.0625641, 0.0437074, 0.0326697, 0.0279472, 0.0231345, 0.0178025, 0.0144485, 0.0112399, 0.00799584, 0.00633948, 0.00500249, 0.00365409, 0.00225098, 0.00141191, 0.000546298, 0.000215203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.125647, 0.160901, 0.21326, 0.216645, 0.335698, 0.385294, 0.423909, 0.465199, 0.475346, 0.558155, 0.572897, 0.584823, 0.600627, 0.616113, 0.657643, 0.73614, 0.801911, 0.867219, 0.920634, 0.978172, 1.04348, 1.10396, 1.13703, 1.19303, 1.25833, 1.29396, 1.35599, 1.4213, 1.55192, 1.68254, 1.81315, 2.00908");
-            values ( \
-              "0.0225889, 0.0623186, 0.13299, 0.135412, 0.123305, 0.117806, 0.112946, 0.106524, 0.104554, 0.0851389, 0.0829347, 0.0824618, 0.0810137, 0.07919, 0.072104, 0.0577832, 0.0468117, 0.0372328, 0.0305729, 0.0245078, 0.0189112, 0.0147573, 0.0128899, 0.0101667, 0.00771686, 0.00660477, 0.00507668, 0.00380231, 0.00214072, 0.00119948, 0.000669025, 0.000294032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.202573, 0.243706, 0.258431, 0.268961, 0.27569, 0.289147, 0.316245, 0.340335, 0.351081, 0.361265, 0.378022, 0.386421, 0.405019, 0.419152, 0.424123, 0.429281, 0.434471, 0.441187, 0.447956, 0.456986, 0.484324, 0.500454, 0.505903, 0.510986, 0.522008, 0.532143, 0.545179, 0.55389, 0.565469, 0.580908, 0.611787, 0.63288");
-            values ( \
-              "0.000796175, 0.00256821, 0.0038554, 0.00509533, 0.00614756, 0.00897421, 0.0162985, 0.0209927, 0.0224037, 0.023167, 0.0232135, 0.022147, 0.0172485, 0.0139684, 0.0134347, 0.0132586, 0.0135809, 0.0136495, 0.0132355, 0.0121606, 0.00791142, 0.00573789, 0.00513213, 0.00539217, 0.00411223, 0.00318321, 0.00231903, 0.00187103, 0.00140772, 0.000964809, 0.000428295, 0.000283627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.200178, 0.254503, 0.263549, 0.27561, 0.283068, 0.297985, 0.307908, 0.327756, 0.338434, 0.361743, 0.382112, 0.401328, 0.410926, 0.42112, 0.436064, 0.447599, 0.451414, 0.456193, 0.462175, 0.468084, 0.475426, 0.488744, 0.505733, 0.510469, 0.515657, 0.521558, 0.531883, 0.544758, 0.561395, 0.583391, 0.594876, 0.614358, 0.640335, 0.686872, 0.741448");
-            values ( \
-              "0.000133896, 0.00504125, 0.00633511, 0.00850884, 0.0102853, 0.0147711, 0.0183705, 0.024536, 0.0273798, 0.0328627, 0.0361987, 0.0369707, 0.0359478, 0.0330158, 0.0275298, 0.0238079, 0.0232368, 0.0229593, 0.0230685, 0.0228742, 0.022059, 0.0193695, 0.0152925, 0.0152701, 0.0141824, 0.0124971, 0.0101756, 0.00791463, 0.00567567, 0.00361132, 0.00285992, 0.0019143, 0.00109716, 0.000388775, 0.000102258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.212697, 0.253353, 0.264939, 0.273737, 0.285467, 0.295513, 0.323814, 0.340234, 0.360844, 0.389196, 0.413666, 0.436365, 0.446902, 0.459306, 0.484431, 0.487113, 0.492477, 0.49759, 0.50609, 0.51011, 0.514622, 0.546623, 0.564075, 0.56856, 0.577531, 0.595472, 0.609376, 0.620668, 0.635723, 0.660566, 0.669251, 0.677689, 0.688941, 0.711443, 0.756449, 0.775934");
-            values ( \
-              "0.00246164, 0.00664763, 0.0087062, 0.0105922, 0.013673, 0.0169332, 0.0279717, 0.0336988, 0.0404682, 0.0489792, 0.0548339, 0.0569986, 0.056163, 0.0521929, 0.040387, 0.0393703, 0.0381471, 0.0377829, 0.0372598, 0.0378301, 0.0370514, 0.0257837, 0.0203765, 0.01913, 0.0168368, 0.0129204, 0.0104977, 0.00884148, 0.00699709, 0.00473895, 0.00412586, 0.0036123, 0.00303097, 0.00210573, 0.00100085, 0.000794072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.218595, 0.252586, 0.277992, 0.294608, 0.42704, 0.457103, 0.484868, 0.509013, 0.537103, 0.545973, 0.569515, 0.5806, 0.655394, 0.675114, 0.72075, 0.757329, 0.793513, 0.835036, 0.868144, 0.933453, 0.976119");
-            values ( \
-              "0.0039373, 0.00841377, 0.0143426, 0.0196746, 0.0719078, 0.0807503, 0.084416, 0.0795331, 0.0598749, 0.0559493, 0.0516069, 0.0485908, 0.0256232, 0.0211067, 0.0131801, 0.0089401, 0.00598771, 0.00378831, 0.00262831, 0.00121241, 0.000796596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.253019, 0.296223, 0.336545, 0.436346, 0.48037, 0.505756, 0.512201, 0.518274, 0.541097, 0.556848, 0.57606, 0.619472, 0.629241, 0.640255, 0.648581, 0.668736, 0.757219, 0.806213, 0.831832, 0.861495, 0.901046, 0.944737, 0.969428, 1.01881, 1.06169, 1.12729, 1.1926, 1.2579, 1.38852, 1.51914");
-            values ( \
-              "0.0159816, 0.022395, 0.0396171, 0.0849105, 0.103299, 0.111725, 0.112914, 0.112202, 0.106715, 0.102214, 0.095048, 0.0756982, 0.0722748, 0.0698775, 0.0693381, 0.0662312, 0.0435457, 0.0326991, 0.0279443, 0.0231482, 0.0178457, 0.0132979, 0.0112226, 0.00794109, 0.005869, 0.00367243, 0.00227742, 0.00141338, 0.000541134, 0.000207481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.252833, 0.317843, 0.368067, 0.474683, 0.50557, 0.513096, 0.522601, 0.531146, 0.591155, 0.616936, 0.668499, 0.705137, 0.774023, 0.790093, 0.801573, 0.817138, 0.832488, 0.851468, 0.987187, 1.03294, 1.09825, 1.13987, 1.18189, 1.22871, 1.28994, 1.34094, 1.40021, 1.46552, 1.5443, 1.59113, 1.65644, 1.72175, 1.85236, 1.98298, 2.24421");
-            values ( \
-              "0.0130433, 0.0330126, 0.0566851, 0.11054, 0.125048, 0.127093, 0.126923, 0.125695, 0.119256, 0.1162, 0.109152, 0.102176, 0.0856851, 0.0831644, 0.0825599, 0.0812865, 0.0795108, 0.0765423, 0.0522297, 0.0449083, 0.0356127, 0.0305211, 0.0259924, 0.0216449, 0.0168807, 0.0136871, 0.0106972, 0.00808369, 0.00578624, 0.00470747, 0.00355883, 0.00265626, 0.00149101, 0.000833288, 0.000255702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.462142, 0.554087, 0.558867, 0.58755, 0.607748, 0.626413, 0.644644, 0.660763, 0.699593, 0.71385, 0.742365, 0.746306, 0.754187, 0.76995, 0.774245, 0.782836, 0.800018, 0.803779, 0.808793, 0.838965, 0.853005, 0.862957, 0.871918, 0.879037, 0.886694, 0.898862, 0.91132, 0.965039, 0.991358, 1.00918, 1.02156, 1.04363, 1.05402, 1.07005, 1.09141, 1.13413, 1.21613, 1.23325, 1.27553");
-            values ( \
-              "0.000465587, 0.0012267, 0.00129771, 0.00182422, 0.00235238, 0.00304203, 0.00399244, 0.00519876, 0.00922836, 0.0105974, 0.0130441, 0.0132029, 0.0137401, 0.0143213, 0.0144146, 0.0144991, 0.0142284, 0.014062, 0.0136637, 0.00991471, 0.00873099, 0.00853903, 0.00904222, 0.0100165, 0.0106217, 0.0108824, 0.0102572, 0.00568426, 0.0038134, 0.002836, 0.00229856, 0.00150562, 0.00123515, 0.000900656, 0.000599119, 0.000220362, 2.86968e-05, 0.000290711, 6.94145e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.465967, 0.562086, 0.587591, 0.627501, 0.665757, 0.733177, 0.771146, 0.803953, 0.835385, 0.848604, 0.88202, 0.890741, 0.901309, 0.926828, 0.939595, 1.04146, 1.09779, 1.15464, 1.2058, 1.21604, 1.2218");
-            values ( \
-              "0.00077565, 0.00214811, 0.00286668, 0.00461092, 0.00769507, 0.0165813, 0.0204371, 0.0224183, 0.0223747, 0.0214239, 0.0165388, 0.0156999, 0.0154456, 0.0180069, 0.0179542, 0.00616944, 0.00250253, 0.000925897, 0.000314603, 0.000280328, 0.000473307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.465519, 0.574818, 0.60808, 0.63456, 0.661996, 0.690636, 0.75969, 0.786219, 0.809534, 0.84959, 0.887278, 0.901843, 0.94641, 0.957575, 0.964917, 0.972106, 0.983826, 0.994082, 1.00879, 1.01699, 1.07496, 1.10692, 1.14158, 1.16084, 1.18817, 1.21575, 1.22553, 1.23621, 1.24748, 1.27, 1.30362, 1.36686, 1.43217");
-            values ( \
-              "0.000928775, 0.0037176, 0.00529103, 0.00707115, 0.0096819, 0.0135602, 0.0243704, 0.0276013, 0.0301119, 0.0332795, 0.0341423, 0.0333704, 0.0271917, 0.0267586, 0.0270191, 0.0282323, 0.0290787, 0.0290237, 0.0274504, 0.0262651, 0.0161506, 0.0112923, 0.00731753, 0.00562257, 0.00383112, 0.00256368, 0.00283175, 0.00251347, 0.00199173, 0.00142749, 0.000797632, 0.000278143, 7.36269e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.531197, 0.608549, 0.634997, 0.686918, 0.762481, 0.809945, 0.86807, 0.918024, 0.964117, 0.980368, 1.03786, 1.04955, 1.05834, 1.0686, 1.08228, 1.11528, 1.21622, 1.26338, 1.29745, 1.34164, 1.36774, 1.41994, 1.4726");
-            values ( \
-              "0.00696654, 0.00720121, 0.00928404, 0.0154584, 0.0285993, 0.0357616, 0.0432461, 0.0485161, 0.0509674, 0.0505577, 0.0437119, 0.0437061, 0.0448124, 0.0450154, 0.0441934, 0.0385225, 0.0179491, 0.0116845, 0.00809892, 0.00498469, 0.00373043, 0.00205435, 0.00116845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.530862, 0.623582, 0.654183, 0.69224, 0.705387, 0.73168, 0.780059, 0.845367, 0.877006, 0.940282, 0.971124, 1.01331, 1.0555, 1.07037, 1.08791, 1.1068, 1.12404, 1.14508, 1.15832, 1.16791, 1.17849, 1.18904, 1.20359, 1.22744, 1.25514, 1.27835, 1.30487, 1.35187, 1.36487, 1.3953, 1.42204, 1.45685, 1.46459, 1.48008, 1.51105, 1.56882, 1.59544, 1.60894, 1.63593, 1.68991, 1.75522, 1.82053, 1.88584, 1.95115, 2.01645");
-            values ( \
-              "0.00746368, 0.010413, 0.0135902, 0.0187399, 0.0208939, 0.0256373, 0.0346839, 0.0456031, 0.0506449, 0.060393, 0.064781, 0.0700812, 0.0738857, 0.0745082, 0.0742475, 0.0727451, 0.070512, 0.0672842, 0.065911, 0.065869, 0.0661209, 0.0656071, 0.0639855, 0.059878, 0.0523907, 0.046395, 0.0400208, 0.030218, 0.027892, 0.0229848, 0.0193057, 0.0152899, 0.0145167, 0.0130619, 0.0105563, 0.00701532, 0.00581418, 0.00528814, 0.00435914, 0.00295365, 0.00182832, 0.00113468, 0.000698072, 0.000434419, 0.000264976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.595607, 0.722664, 0.967194, 1.06343, 1.14286, 1.17111, 1.21543, 1.22578, 1.24649, 1.31661, 1.34406, 1.37759, 1.49089, 1.56059, 1.6259, 1.68692, 1.78061, 1.82602, 1.90391, 1.95457, 2.05363, 2.18424, 2.31486, 2.44548, 2.51166");
-            values ( \
-              "0.0178677, 0.0260206, 0.0715227, 0.0883731, 0.09981, 0.102912, 0.105179, 0.10442, 0.100072, 0.083864, 0.0818005, 0.0774788, 0.0570137, 0.0454997, 0.0361348, 0.0287983, 0.0199367, 0.0165826, 0.0120206, 0.0097067, 0.00635482, 0.0036022, 0.00203032, 0.00114045, 0.000964362" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00172916, 0.00174558, 0.0017612, 0.00177367, 0.00178235, 0.00178786", \
-            "0.00200691, 0.00202037, 0.00203567, 0.00204985, 0.00206082, 0.00206826", \
-            "0.00214939, 0.00215464, 0.00216231, 0.00217117, 0.00217928, 0.00218542", \
-            "0.00224386, 0.00223997, 0.00223725, 0.00223653, 0.00223759, 0.00223937", \
-            "0.00232714, 0.00231574, 0.00230279, 0.00229034, 0.00227963, 0.00227287", \
-            "0.00239981, 0.00238554, 0.00236795, 0.00234732, 0.00232547, 0.00230697" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00219363, 0.00220096, 0.00221123, 0.00222084, 0.00222777, 0.00223202", \
-            "0.00216681, 0.00214476, 0.00212426, 0.00210868, 0.00209765, 0.00209039", \
-            "0.00214271, 0.00210896, 0.00207238, 0.00203878, 0.00201682, 0.00199299", \
-            "0.00226636, 0.00219671, 0.00212529, 0.00206201, 0.00201257, 0.0019777", \
-            "0.00286156, 0.00258003, 0.00236169, 0.00220977, 0.0020996, 0.0020244", \
-            "0.00298748, 0.00300908, 0.0029848, 0.00266887, 0.00235059, 0.00217074" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0166947, 0.0189613, 0.0230626, 0.025239, 0.0293838, 0.0337684, 0.0452453, 0.0502928, 0.0578241, 0.0648646, 0.0727168, 0.0857453, 0.0982796, 0.108199, 0.12276, 0.138075, 0.148642");
-            values ( \
-              "-0.0130608, -0.0556875, -0.0476619, -0.0462037, -0.0453418, -0.0455841, -0.0475824, -0.0492997, -0.0540559, -0.0565029, -0.0490784, -0.0307869, -0.0170574, -0.0101323, -0.00451935, -0.00193233, -0.00112493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0181101, 0.0181301, 0.0197464, 0.0228267, 0.0270406, 0.0301003, 0.0388336, 0.0494938, 0.0576098, 0.0644075, 0.0697396, 0.0791424, 0.0889826, 0.109271, 0.121763, 0.129394, 0.13697, 0.145493, 0.155583, 0.168446, 0.178948, 0.199953, 0.206943");
-            values ( \
-              "-1e-22, -0.0829624, -0.0760296, -0.0693973, -0.0659672, -0.0652487, -0.0653779, -0.0665779, -0.0681723, -0.0708025, -0.0739232, -0.0756849, -0.0666546, -0.0388255, -0.025143, -0.0188497, -0.013991, -0.00991261, -0.00650991, -0.00381396, -0.00244994, -0.00097964, -0.000810116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0185737, 0.0185937, 0.0198918, 0.0231467, 0.0253391, 0.0288668, 0.0326247, 0.0399651, 0.0620624, 0.0765579, 0.0906243, 0.104348, 0.11883, 0.136595, 0.15117, 0.160551, 0.166609, 0.177554, 0.189614, 0.194912, 0.204118, 0.217254, 0.226162, 0.231832, 0.243174, 0.265856, 0.304439, 0.351041, 0.409648");
-            values ( \
-              "-1e-22, -0.103021, -0.0980597, -0.0910939, -0.0888616, -0.0867989, -0.0861507, -0.0858112, -0.0868321, -0.0882675, -0.0921238, -0.093112, -0.0817024, -0.0611548, -0.0450914, -0.0363372, -0.0313361, -0.0237256, -0.0172236, -0.0149229, -0.0115689, -0.00799124, -0.00620841, -0.00529581, -0.00381957, -0.00196985, -0.000584949, -0.00015451, -3.43404e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0190398, 0.0190598, 0.0215037, 0.026119, 0.0294516, 0.0348181, 0.0403535, 0.106102, 0.127754, 0.149291, 0.175366, 0.221745, 0.243715, 0.266438, 0.283874, 0.303151, 0.334192, 0.375382, 0.419318, 0.434682");
-            values ( \
-              "-1e-22, -0.119135, -0.112976, -0.107683, -0.106, -0.105056, -0.10465, -0.104733, -0.106978, -0.106055, -0.0906574, -0.0528709, -0.0381564, -0.0266159, -0.0198957, -0.0142804, -0.00821442, -0.00389246, -0.00174079, -0.00141553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0194811, 0.0195011, 0.0261022, 0.0363872, 0.158739, 0.194145, 0.2118, 0.229722, 0.265564, 0.333074, 0.387423, 0.440841, 0.494718, 0.542891, 0.629381, 0.670874");
-            values ( \
-              "-1e-22, -0.130494, -0.122419, -0.119932, -0.11713, -0.11748, -0.116956, -0.114215, -0.101544, -0.065813, -0.0414786, -0.024842, -0.0143448, -0.00860729, -0.00332409, -0.00246572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0196531, 0.0196731, 0.02711, 0.0382172, 0.13505, 0.322201, 0.35402, 0.374401, 0.405436, 0.440686, 0.565084, 0.641149, 0.681558, 0.72774, 0.805566, 0.861565, 0.912659, 0.990781, 1.04939, 1.108, 1.20193");
-            values ( \
-              "-1e-22, -0.137802, -0.131797, -0.13026, -0.128333, -0.123647, -0.121776, -0.119404, -0.113916, -0.104714, -0.0650948, -0.0447076, -0.0360057, -0.027794, -0.0176475, -0.0125597, -0.00918013, -0.00564038, -0.00389897, -0.00268451, -0.00155335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0290399, 0.0326438, 0.036348, 0.0376458, 0.0406598, 0.0425047, 0.0476961, 0.0560904, 0.0641796, 0.0717223, 0.078763, 0.0866174, 0.0995289, 0.110026, 0.121032, 0.135161, 0.147969, 0.158639, 0.171969");
-            values ( \
-              "-0.00486536, -0.0383082, -0.0449476, -0.0530961, -0.047987, -0.0467076, -0.0458908, -0.0469135, -0.0491787, -0.0540844, -0.056469, -0.0490864, -0.0309416, -0.019014, -0.0107233, -0.00491163, -0.00240877, -0.00132459, -0.000711855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0293934, 0.0339265, 0.0364395, 0.0372533, 0.0438045, 0.0469414, 0.0529058, 0.0686581, 0.0739441, 0.0837899, 0.093219, 0.103941, 0.12351, 0.133663, 0.149591, 0.161114, 0.17028, 0.181131, 0.206803, 0.211485");
-            values ( \
-              "-0.00360573, -0.0583878, -0.0635085, -0.0740274, -0.0664208, -0.0655826, -0.0654596, -0.067495, -0.0688635, -0.0736524, -0.0757656, -0.0655557, -0.0386242, -0.0272198, -0.0148269, -0.00930413, -0.00635272, -0.00404784, -0.00135679, -0.00119347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0297694, 0.0354491, 0.0377539, 0.0428715, 0.0468152, 0.0508427, 0.0588978, 0.0668429, 0.0762156, 0.0907116, 0.104778, 0.118501, 0.132983, 0.165322, 0.180761, 0.191707, 0.203764, 0.218266, 0.2314, 0.245973, 0.257309, 0.279982, 0.305999");
-            values ( \
-              "-0.0107914, -0.0786898, -0.095611, -0.0883958, -0.0866636, -0.0861334, -0.0860592, -0.0864098, -0.0869202, -0.0882131, -0.0921799, -0.0930604, -0.0816639, -0.045116, -0.0313385, -0.0237287, -0.0172286, -0.0115746, -0.00798887, -0.00529229, -0.00382701, -0.00196602, -0.00103935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0301003, 0.0375051, 0.0437537, 0.0471125, 0.0525111, 0.0671753, 0.117812, 0.133797, 0.142004, 0.158417, 0.163408, 0.173389, 0.186367, 0.226186, 0.25792, 0.277684, 0.293719, 0.308182, 0.327466, 0.343829, 0.366397, 0.396487, 0.451507, 0.454642");
-            values ( \
-              "-0.0106716, -0.113276, -0.107109, -0.105725, -0.104928, -0.104655, -0.104794, -0.106056, -0.107205, -0.107093, -0.105501, -0.101526, -0.0927446, -0.0601308, -0.0382281, -0.0279801, -0.0214359, -0.0167669, -0.0119844, -0.00895127, -0.00597472, -0.00344951, -0.00115382, -0.0011126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0300159, 0.0403313, 0.0473467, 0.0569727, 0.172896, 0.208302, 0.225943, 0.243879, 0.279724, 0.347296, 0.401585, 0.45449, 0.510225, 0.557083, 0.64099, 0.692582");
-            values ( \
-              "-0.0361951, -0.124511, -0.120613, -0.119614, -0.117128, -0.117478, -0.116959, -0.114214, -0.101544, -0.0657816, -0.0414755, -0.0249699, -0.0141433, -0.00860567, -0.00342437, -0.00232461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.03312, 0.0381921, 0.0545412, 0.336481, 0.388681, 0.419715, 0.454965, 0.579362, 0.655427, 0.74202, 0.81984, 0.926932, 1.06366, 1.16311");
-            values ( \
-              "-0.096632, -0.135307, -0.130239, -0.123647, -0.119404, -0.113916, -0.104714, -0.0650952, -0.0447079, -0.027794, -0.0176481, -0.00918059, -0.00389904, -0.00241379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0546379, 0.0626504, 0.0874323, 0.0888055, 0.0904623, 0.092192, 0.0984046, 0.108579, 0.112897, 0.119845, 0.134858, 0.144895, 0.156158, 0.171339, 0.180108, 0.1918, 0.205668");
-            values ( \
-              "-0.0122732, -0.024111, -0.0461635, -0.0492278, -0.0493349, -0.0485231, -0.0496301, -0.0558378, -0.0561245, -0.0504117, -0.0293858, -0.0183182, -0.0101657, -0.00439032, -0.00269116, -0.00138738, -0.000708101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0546047, 0.0613335, 0.0672017, 0.0874108, 0.0881269, 0.0933882, 0.0976195, 0.104149, 0.107978, 0.12224, 0.127194, 0.136508, 0.158987, 0.169529, 0.183427, 0.19525, 0.204349, 0.214905, 0.239787, 0.255978");
-            values ( \
-              "-0.0104943, -0.0313119, -0.0402542, -0.0646613, -0.0693397, -0.0672921, -0.067112, -0.0679576, -0.0689914, -0.0756397, -0.07524, -0.0675049, -0.0367505, -0.0254213, -0.014895, -0.00925271, -0.00631646, -0.0040705, -0.00140601, -0.000826495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.054661, 0.0639967, 0.0688575, 0.0897116, 0.0926876, 0.0955251, 0.105794, 0.117829, 0.125016, 0.139074, 0.152795, 0.167275, 0.199604, 0.212309, 0.226001, 0.235407, 0.243345, 0.252551, 0.265684, 0.280256, 0.291591, 0.314261, 0.343578");
-            values ( \
-              "-0.00847671, -0.0459021, -0.0549377, -0.0885631, -0.0883364, -0.086816, -0.086774, -0.0874719, -0.0883851, -0.0921252, -0.0931127, -0.0816675, -0.0451291, -0.0335179, -0.0237176, -0.0185045, -0.0149346, -0.0115668, -0.00800085, -0.00530424, -0.0038174, -0.0019773, -0.000915677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.0545742, 0.0673923, 0.0874227, 0.0890644, 0.0945033, 0.0977875, 0.110797, 0.154649, 0.176288, 0.197821, 0.223903, 0.270253, 0.292245, 0.314979, 0.332403, 0.351655, 0.382709, 0.423928, 0.467895, 0.491802");
-            values ( \
-              "-0.00852797, -0.0630551, -0.101655, -0.107983, -0.105678, -0.105178, -0.104713, -0.104901, -0.106891, -0.106171, -0.090623, -0.0528691, -0.0381658, -0.0266156, -0.019899, -0.0142888, -0.00821756, -0.0038906, -0.00174029, -0.00123376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0545883, 0.0721228, 0.090947, 0.0990777, 0.207365, 0.242774, 0.260273, 0.278351, 0.314195, 0.381728, 0.436053, 0.489267, 0.543874, 0.591525, 0.676984, 0.730283");
-            values ( \
-              "-0.0120816, -0.0823821, -0.12218, -0.119682, -0.117148, -0.117493, -0.116956, -0.114228, -0.101533, -0.0658051, -0.0414731, -0.0248987, -0.0142609, -0.00860265, -0.00335931, -0.00224332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0672082, 0.0875232, 0.091759, 0.0939126, 0.10036, 0.183895, 0.371548, 0.390663, 0.423264, 0.472447, 0.524282, 0.608775, 0.658649, 0.688895, 0.736011, 0.789858, 0.839573, 0.875255, 0.933862, 0.976619, 1.00361, 1.05758, 1.11618, 1.2334, 1.35061, 1.46783");
-            values ( \
-              "-0.121192, -0.124677, -0.1321, -0.13068, -0.130012, -0.128414, -0.123631, -0.122789, -0.119425, -0.109575, -0.0938522, -0.0666493, -0.0525003, -0.0449602, -0.0349332, -0.0257316, -0.0192524, -0.0155759, -0.0108623, -0.00837035, -0.00706206, -0.00504971, -0.0034679, -0.00164135, -0.00077435, -0.00036447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.101965, 0.111901, 0.13577, 0.153267, 0.178289, 0.187688, 0.195695, 0.203569, 0.210584, 0.213448, 0.225313, 0.23274, 0.237918, 0.242863, 0.249456, 0.257372, 0.262671, 0.273269, 0.281369");
-            values ( \
-              "-0.00597503, -0.00831336, -0.0190595, -0.0253852, -0.0370915, -0.0454899, -0.0517159, -0.0472116, -0.0388308, -0.0367745, -0.0216468, -0.0148109, -0.0112242, -0.00856321, -0.00590802, -0.00378175, -0.00280766, -0.00152826, -0.00108352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.105365, 0.139955, 0.176923, 0.19001, 0.201134, 0.211855, 0.220447, 0.249919, 0.265162, 0.278167, 0.287682, 0.298505, 0.307122, 0.324355, 0.329543");
-            values ( \
-              "-0.00883854, -0.0293181, -0.0495731, -0.0580035, -0.0683219, -0.0752651, -0.066733, -0.0283196, -0.0158945, -0.0094206, -0.00633863, -0.00404586, -0.00281317, -0.00134761, -0.00116657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.105194, 0.12146, 0.134584, 0.150365, 0.198576, 0.21031, 0.212461, 0.215581, 0.218107, 0.222257, 0.227484, 0.235863, 0.249479, 0.277835, 0.290106, 0.301003, 0.30851, 0.318264, 0.326844, 0.3359, 0.34892, 0.363038, 0.373972, 0.39584, 0.43399, 0.479672, 0.538256");
-            values ( \
-              "-0.00764667, -0.0235883, -0.0345342, -0.0458373, -0.0790461, -0.0876631, -0.0900894, -0.0911617, -0.0914695, -0.0928294, -0.0937765, -0.0927315, -0.0826918, -0.0502489, -0.0380067, -0.029151, -0.0241034, -0.018582, -0.0147336, -0.0115082, -0.00793743, -0.00532536, -0.00391925, -0.00204463, -0.000640597, -0.000149143, -5.45286e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.105073, 0.127507, 0.14375, 0.178215, 0.212927, 0.21583, 0.21915, 0.23174, 0.248628, 0.259346, 0.280855, 0.302365, 0.306977, 0.346211, 0.366113, 0.385289, 0.396776, 0.411768, 0.424339, 0.438796, 0.459354, 0.481443, 0.498501, 0.532617, 0.591224, 0.649832");
-            values ( \
-              "-0.00652696, -0.03438, -0.050013, -0.0787117, -0.105419, -0.105491, -0.104874, -0.10474, -0.105691, -0.107237, -0.106005, -0.0939008, -0.0905525, -0.0581818, -0.0438376, -0.0326969, -0.0272592, -0.0211806, -0.0171092, -0.0133773, -0.00923111, -0.00622471, -0.00461989, -0.00241135, -0.000785297, -0.000224209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.105058, 0.135712, 0.144208, 0.182216, 0.210174, 0.214031, 0.220084, 0.223986, 0.273246, 0.290407, 0.325753, 0.361156, 0.385357, 0.399851, 0.479646, 0.519351, 0.538197, 0.556769, 0.580794, 0.599935, 0.624394, 0.658818, 0.69602, 0.724758, 0.782233, 0.840841, 0.899448, 1.01666");
-            values ( \
-              "-0.00600167, -0.0480888, -0.0570492, -0.0932004, -0.117151, -0.119495, -0.119244, -0.118812, -0.117469, -0.117116, -0.117165, -0.114831, -0.106809, -0.100232, -0.0584247, -0.0413575, -0.0347188, -0.0290408, -0.0228877, -0.0188584, -0.0146404, -0.010169, -0.00684186, -0.0050117, -0.00264078, -0.00136823, -0.000714455, -0.000201107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.104852, 0.1466, 0.174845, 0.211809, 0.213803, 0.215906, 0.224637, 0.266392, 0.325068, 0.383675, 0.444484, 0.474664, 0.505784, 0.564391, 0.588427, 0.676898, 0.707809, 0.776306, 0.813538, 0.844812, 0.894367, 0.912354, 0.948329, 1.00694, 1.04524, 1.10128, 1.15989, 1.21849, 1.2771, 1.33571, 1.45292, 1.57014");
-            values ( \
-              "-0.0071708, -0.0645411, -0.0940676, -0.129805, -0.130259, -0.129697, -0.129226, -0.128388, -0.126935, -0.125194, -0.123937, -0.122732, -0.119414, -0.107062, -0.0998178, -0.0711317, -0.0617839, -0.0438394, -0.0358979, -0.0301787, -0.0227018, -0.020421, -0.0164972, -0.011528, -0.00911224, -0.00643457, -0.0044564, -0.00306486, -0.00212103, -0.00145201, -0.000687223, -0.000325746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.197585, 0.238864, 0.248484, 0.257995, 0.275162, 0.32297, 0.331849, 0.343957, 0.358637, 0.370685, 0.381621, 0.393322, 0.402671, 0.409806, 0.417131, 0.425367, 0.43769, 0.448275, 0.456313, 0.472389, 0.505769, 0.513527, 0.532246, 0.574045");
-            values ( \
-              "-8.17052e-05, -0.00498277, -0.00628078, -0.00787627, -0.0110788, -0.0191282, -0.0208709, -0.0236267, -0.0292895, -0.0353714, -0.0358249, -0.0304519, -0.0224769, -0.0170898, -0.0124331, -0.00842467, -0.00450209, -0.00258358, -0.00179955, -0.000673055, -9.96868e-05, -0.000819252, -0.000259668, -6.63518e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.208079, 0.236599, 0.253074, 0.341066, 0.361722, 0.393511, 0.40707, 0.421861, 0.448606, 0.465397, 0.48152, 0.50581, 0.512847, 0.525853");
-            values ( \
-              "-0.00307223, -0.00695595, -0.0101798, -0.0312631, -0.0369212, -0.0519674, -0.0518632, -0.042841, -0.0200691, -0.0109612, -0.00580933, -0.00215573, -0.00271743, -0.00190954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.210123, 0.239177, 0.24555, 0.253416, 0.269147, 0.290311, 0.365041, 0.389719, 0.429179, 0.446847, 0.464419, 0.491937, 0.506153, 0.510731, 0.519916, 0.526371, 0.534978, 0.54896, 0.555608, 0.565551, 0.578807, 0.60532, 0.606636");
-            values ( \
-              "-0.00466144, -0.0102275, -0.011674, -0.01375, -0.0184175, -0.0255162, -0.0475941, -0.0554559, -0.0732002, -0.0708888, -0.0584463, -0.0344499, -0.024629, -0.0233878, -0.0184742, -0.0155255, -0.0122448, -0.0082197, -0.00681127, -0.00512951, -0.0034952, -0.00157876, -0.00154118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.264572, 0.326726, 0.364582, 0.400726, 0.416048, 0.43137, 0.438081, 0.444793, 0.451505, 0.458217, 0.464245, 0.470273, 0.476301, 0.48233, 0.485816, 0.489301, 0.492787, 0.496273, 0.498722, 0.501171, 0.502429, 0.503686, 0.504944, 0.506412, 0.506832, 0.507673, 0.51072, 0.516434, 0.53452, 0.540005, 0.550974, 0.560401, 0.564343, 0.572227, 0.584076, 0.588838, 0.591633, 0.600018, 0.607252, 0.618377, 0.622268, 0.626159, 0.629823, 0.637151, 0.640815, 0.64599, 0.651165, 0.65634, 0.661515, 0.669914");
-            values ( \
-              "-0.0416366, -0.0441655, -0.0573332, -0.0695857, -0.0749709, -0.0805371, -0.0835391, -0.086758, -0.0901937, -0.0938462, -0.0947292, -0.0953923, -0.0958355, -0.0960587, -0.0960874, -0.0960426, -0.0959243, -0.0957324, -0.0937457, -0.0911824, -0.091013, -0.0910986, -0.0914393, -0.0921593, -0.0921214, -0.0918263, -0.0895908, -0.0848894, -0.0690888, -0.0646095, -0.0561387, -0.0493789, -0.0466945, -0.0415779, -0.0345337, -0.0319351, -0.0305889, -0.0267911, -0.0238638, -0.0196574, -0.0183106, -0.0170475, -0.0160473, -0.0141835, -0.01332, -0.0121992, -0.0111552, -0.0101878, -0.00929703, -0.00800602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.270236, 0.335788, 0.356994, 0.376118, 0.38568, 0.406458, 0.45259, 0.492079, 0.505874, 0.524734, 0.529895, 0.536087, 0.539463, 0.542839, 0.546215, 0.549591, 0.553041, 0.556491, 0.563391, 0.57029, 0.57719, 0.58409, 0.59099, 0.596406, 0.601822, 0.606865, 0.627864, 0.663411, 0.670587, 0.684939, 0.699292, 0.712586, 0.724924, 0.728877, 0.73283, 0.744689, 0.752595, 0.760501, 0.768407, 0.776313, 0.783932, 0.793842, 0.803669, 0.813496, 0.823323, 0.832569, 0.841815, 0.851062, 0.860308, 0.874368");
-            values ( \
-              "-0.0521881, -0.0542298, -0.0625967, -0.0700259, -0.0736992, -0.0814477, -0.0981311, -0.111748, -0.11703, -0.11748, -0.117449, -0.117296, -0.117117, -0.116874, -0.116569, -0.116201, -0.115629, -0.114986, -0.113491, -0.111715, -0.109658, -0.107322, -0.104705, -0.102439, -0.100015, -0.0974447, -0.0864531, -0.0671736, -0.0634964, -0.0566539, -0.050262, -0.0447759, -0.0398481, -0.0382908, -0.0369316, -0.0330719, -0.0307031, -0.0284644, -0.0263556, -0.0243767, -0.0226031, -0.0203705, -0.0182475, -0.0165428, -0.0149674, -0.0136307, -0.0123901, -0.0112457, -0.0101975, -0.00877848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.252761, 0.299633, 0.36529, 0.435626, 0.505498, 0.514071, 0.663193, 0.696605, 0.729576, 0.774043, 0.898596, 0.974602, 1.01474, 1.0606, 1.09694, 1.13955, 1.19637, 1.24616, 1.32237, 1.38098, 1.43959, 1.5568, 1.79123");
-            values ( \
-              "-0.0392642, -0.0426288, -0.0717579, -0.100617, -0.126483, -0.127353, -0.123375, -0.120929, -0.11587, -0.104687, -0.0650665, -0.0446998, -0.036047, -0.0278875, -0.0226179, -0.0175941, -0.0124449, -0.00916689, -0.00569803, -0.00395173, -0.00270823, -0.00128022, -0.000283863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.432943, 0.480006, 0.500329, 0.516203, 0.53737, 0.549346, 0.573298, 0.608469, 0.676248, 0.705219, 0.728633, 0.747427, 0.764097, 0.780807, 0.781657, 0.788451, 0.807405, 0.814457, 0.819592, 0.828493, 0.838667, 0.844311, 0.851322, 0.860669, 0.879365, 0.906857, 0.940844, 0.985141, 1.00964");
-            values ( \
-              "-0.000512841, -0.00125233, -0.00177394, -0.00224752, -0.00300334, -0.00352667, -0.00481071, -0.00729128, -0.0121495, -0.0147115, -0.0184994, -0.0229794, -0.0235975, -0.022664, -0.0220951, -0.0196806, -0.0117622, -0.00920353, -0.0076005, -0.00535876, -0.00350203, -0.00276439, -0.00205443, -0.00137579, -0.000612342, -0.00019818, -8.23714e-05, -4.03192e-05, -3.64849e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.43688, 0.479695, 0.506571, 0.526611, 0.548629, 0.559143, 0.580171, 0.698061, 0.730882, 0.758104, 0.780708, 0.801169, 0.821651, 0.856194, 0.870661, 0.880139, 0.890971, 0.899456, 0.909102, 0.921964, 0.947688, 0.981914, 1.02443, 1.06002");
-            values ( \
-              "-0.000894315, -0.00194955, -0.00301942, -0.00399977, -0.00529331, -0.00602271, -0.00772937, -0.0195532, -0.0232825, -0.0283371, -0.0339058, -0.0347221, -0.0326926, -0.0165931, -0.0110504, -0.00826211, -0.00582529, -0.00439078, -0.00317164, -0.00203687, -0.000795878, -0.000236051, -7.93757e-05, -6.75661e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.479422, 0.52498, 0.544621, 0.582073, 0.771791, 0.804851, 0.83316, 0.859374, 0.885582, 0.929439, 0.953861, 0.971544, 0.99869, 1.02072, 1.05009, 1.09637, 1.10318");
-            values ( \
-              "-0.00550805, -0.00563321, -0.00715935, -0.0108021, -0.0352543, -0.0415626, -0.0480011, -0.0488578, -0.0446174, -0.0235035, -0.0142185, -0.00950702, -0.00492554, -0.0028322, -0.00129919, -0.000350391, -0.000332612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.479187, 0.542325, 0.597824, 0.704407, 0.829928, 0.85971, 0.908008, 0.92142, 0.939304, 0.946995, 0.962378, 0.983545, 1.03433, 1.06511, 1.0973, 1.12116, 1.13816, 1.16545, 1.20184, 1.21595, 1.22927, 1.26184, 1.29248, 1.35109");
-            values ( \
-              "-0.0053643, -0.00916723, -0.0159096, -0.0325138, -0.050685, -0.0557658, -0.0652227, -0.0664094, -0.0668412, -0.0665341, -0.0641515, -0.0569122, -0.0337507, -0.0221459, -0.0135755, -0.00919563, -0.00692998, -0.00434869, -0.00226842, -0.00176817, -0.00204607, -0.00112819, -0.000681353, -0.000187101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.478789, 0.567048, 0.607947, 0.742764, 0.926046, 0.998494, 1.02848, 1.0521, 1.07534, 1.12157, 1.21562, 1.27146, 1.31477, 1.35927, 1.43631, 1.49492, 1.50924");
-            values ( \
-              "-0.00436819, -0.0144082, -0.0204999, -0.0438241, -0.0723967, -0.0849082, -0.0884123, -0.0891324, -0.0869625, -0.0727546, -0.0361536, -0.0215913, -0.0138268, -0.00861929, -0.00370667, -0.00191832, -0.00169336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.537401, 0.62337, 0.726368, 0.822527, 0.977972, 1.12905, 1.15445, 1.19478, 1.21566, 1.23185, 1.25219, 1.37978, 1.45779, 1.52421, 1.57085, 1.61318, 1.66962, 1.71496, 1.76515, 1.82376, 1.88237, 1.99958, 2.1168, 2.23401");
-            values ( \
-              "-0.0220787, -0.0256031, -0.0448864, -0.0618706, -0.08759, -0.110454, -0.113711, -0.116285, -0.115318, -0.112733, -0.107455, -0.0669197, -0.0456698, -0.0318999, -0.0244281, -0.0190639, -0.0135699, -0.0102674, -0.0075294, -0.00522069, -0.00359301, -0.00170283, -0.000805345, -0.000380999" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00160839, 0.00162364, 0.00163725, 0.00164777, 0.0016549, 0.00165934", \
-            "0.00179966, 0.00181101, 0.00182265, 0.00183252, 0.00183973, 0.00184456", \
-            "0.0019108, 0.0019135, 0.00191812, 0.00192362, 0.00192852, 0.00193214", \
-            "0.00198, 0.00197766, 0.00197721, 0.00197705, 0.00197789, 0.00197921", \
-            "0.00202317, 0.00201923, 0.00201377, 0.00200875, 0.002005, 0.00200289", \
-            "0.00205113, 0.00204625, 0.00204001, 0.00203286, 0.00202603, 0.00202017" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00206374, 0.00207459, 0.00208336, 0.00208846, 0.00209245, 0.0020952", \
-            "0.00216733, 0.00215948, 0.00215371, 0.00214962, 0.00214689, 0.00214543", \
-            "0.00225406, 0.00222359, 0.00219812, 0.0021755, 0.00216088, 0.00215272", \
-            "0.00241562, 0.00233896, 0.00227095, 0.00222122, 0.00218706, 0.00216443", \
-            "0.00269782, 0.00258445, 0.00245074, 0.00233813, 0.00225836, 0.00220872", \
-            "0.00309478, 0.00293458, 0.00274369, 0.00257958, 0.00241802, 0.00230481" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0408629, 0.0533528, 0.0754825, 0.115014, 0.186096, 0.314162", \
-            "0.0450943, 0.0576949, 0.0799615, 0.119656, 0.190848, 0.318984", \
-            "0.0567934, 0.0691187, 0.0912973, 0.131007, 0.202341, 0.330532", \
-            "0.083437, 0.0985684, 0.120931, 0.160395, 0.231561, 0.35978", \
-            "0.124963, 0.148398, 0.183077, 0.232333, 0.303869, 0.431154", \
-            "0.19306, 0.227176, 0.279372, 0.355749, 0.462253, 0.607898" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0596459, 0.0784805, 0.111748, 0.170839, 0.276981, 0.468565", \
-            "0.0596488, 0.0784889, 0.111741, 0.170838, 0.276981, 0.468577", \
-            "0.061231, 0.0787254, 0.111733, 0.170837, 0.276983, 0.468575", \
-            "0.0781437, 0.0914216, 0.1183, 0.172022, 0.276967, 0.468575", \
-            "0.123599, 0.137805, 0.160027, 0.20165, 0.289316, 0.46918", \
-            "0.197386, 0.219073, 0.253376, 0.305221, 0.381381, 0.52511" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0400297, 0.0521455, 0.0737538, 0.112569, 0.182462, 0.308612", \
-            "0.0432015, 0.0554868, 0.0771888, 0.116172, 0.186156, 0.312361", \
-            "0.0517196, 0.06405, 0.0859525, 0.125048, 0.195212, 0.321503", \
-            "0.067737, 0.083681, 0.107803, 0.146129, 0.217136, 0.343578", \
-            "0.0822211, 0.106506, 0.143131, 0.195868, 0.271716, 0.398005", \
-            "0.0857701, 0.120796, 0.174341, 0.253803, 0.367431, 0.526414" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0387565, 0.0549192, 0.084138, 0.136897, 0.232344, 0.404649", \
-            "0.0387731, 0.0549136, 0.0841032, 0.136894, 0.232344, 0.404649", \
-            "0.0403124, 0.0552935, 0.0840948, 0.136933, 0.232253, 0.404509", \
-            "0.0557061, 0.0686765, 0.0918368, 0.13826, 0.232256, 0.404482", \
-            "0.0851271, 0.103193, 0.130744, 0.17289, 0.249253, 0.407031", \
-            "0.136693, 0.161689, 0.200562, 0.258866, 0.344322, 0.47597" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0207001, 0.0219469, 0.0234021, 0.0328316, 0.0415861, 0.0511257, 0.0622068, 0.0668463, 0.0703647, 0.0746615, 0.0791625, 0.0832936, 0.0948108, 0.1006, 0.132054, 0.149938, 0.175158, 0.189078, 0.210424, 0.238885, 0.278346, 0.326618");
-            values ( \
-              "0.0448628, 0.0802596, 0.0804159, 0.0739428, 0.0651488, 0.0515816, 0.0328265, 0.0260236, 0.0220749, 0.0184496, 0.0159184, 0.0147413, 0.0140992, 0.0134614, 0.00735328, 0.00484779, 0.0026275, 0.00186357, 0.00108901, 0.000566289, 0.000157206, 7.5675e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0201623, 0.0228358, 0.0249367, 0.028483, 0.0338566, 0.0358032, 0.0395262, 0.0513189, 0.0609593, 0.0679324, 0.0779381, 0.0848284, 0.0873285, 0.0938004, 0.0984697, 0.102404, 0.112425, 0.118273, 0.126071, 0.148823, 0.157307, 0.167875, 0.186268, 0.195085, 0.21272, 0.225564, 0.240018, 0.259289, 0.297832, 0.327523");
-            values ( \
-              "0.00509611, 0.100246, 0.100309, 0.0979069, 0.0952713, 0.0934905, 0.0915833, 0.0821396, 0.0714288, 0.0622432, 0.046703, 0.0371791, 0.0343579, 0.0285063, 0.0259626, 0.0246879, 0.0237099, 0.0227443, 0.0209083, 0.0145271, 0.0124249, 0.0101634, 0.00708488, 0.00593625, 0.0041456, 0.00318623, 0.0023674, 0.00158393, 0.00068039, 0.000402708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0216711, 0.0239609, 0.0267088, 0.0272344, 0.0282856, 0.0442081, 0.055753, 0.0625872, 0.0690172, 0.0820639, 0.08928, 0.0956818, 0.107111, 0.117841, 0.122671, 0.126662, 0.13071, 0.135959, 0.142138, 0.1481, 0.156087, 0.196544, 0.21023, 0.218779, 0.235876, 0.2537, 0.263511, 0.278394, 0.299397, 0.307725, 0.324382, 0.357695, 0.41622, 0.481528");
-            values ( \
-              "0.105631, 0.116432, 0.116245, 0.115626, 0.115419, 0.10854, 0.10307, 0.0993108, 0.0953264, 0.0858784, 0.0795122, 0.0724903, 0.0588032, 0.0475843, 0.0436219, 0.0411176, 0.0393885, 0.0381551, 0.0373696, 0.0363036, 0.0343442, 0.0211717, 0.0174667, 0.0154435, 0.0119727, 0.00915417, 0.00786699, 0.00625154, 0.00448358, 0.00394142, 0.00301842, 0.00176273, 0.000634601, 0.000204812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0215748, 0.0255942, 0.0590032, 0.0829505, 0.0997751, 0.121101, 0.1317, 0.167298, 0.174835, 0.183184, 0.201189, 0.210914, 0.264068, 0.29079, 0.313873, 0.331779, 0.363009, 0.399689, 0.432333, 0.466242, 0.544141, 0.609449, 0.626772");
-            values ( \
-              "0.100249, 0.128169, 0.118908, 0.111509, 0.105471, 0.0960697, 0.0899593, 0.0632924, 0.0587815, 0.0553351, 0.0524317, 0.0502457, 0.0332541, 0.0258442, 0.0205988, 0.017171, 0.0123902, 0.00836042, 0.00583795, 0.00401937, 0.0016585, 0.000774203, 0.000693774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.023635, 0.023655, 0.068955, 0.11817, 0.155112, 0.193772, 0.207975, 0.250201, 0.263699, 0.274121, 0.282826, 0.304836, 0.320174, 0.393557, 0.441688, 0.468666, 0.499895, 0.541533, 0.580388, 0.635394, 0.682004, 0.737032, 0.80234, 0.867649, 0.998265, 1.12888");
-            values ( \
-              "1e-22, 0.144589, 0.128398, 0.119695, 0.112138, 0.102251, 0.0971188, 0.0782815, 0.0731044, 0.0703124, 0.0695915, 0.0663809, 0.0628718, 0.043668, 0.0329813, 0.0279581, 0.0229289, 0.0174084, 0.013416, 0.00917855, 0.00658618, 0.00445014, 0.00278432, 0.00170878, 0.000646704, 0.000239918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0262224, 0.0262424, 0.12813, 0.192387, 0.254793, 0.28914, 0.32079, 0.3552, 0.411279, 0.422793, 0.451431, 0.484068, 0.597176, 0.667921, 0.733229, 0.7701, 0.823356, 0.88422, 0.943388, 1.01329, 1.06298, 1.12829, 1.22374, 1.35436, 1.48497, 1.6809, 1.87682");
-            values ( \
-              "1e-22, 0.150899, 0.130043, 0.123371, 0.116226, 0.111728, 0.106701, 0.0993447, 0.0857802, 0.0837655, 0.0816682, 0.0774472, 0.0570223, 0.0453453, 0.0360118, 0.0314294, 0.0256502, 0.020155, 0.0158544, 0.0118652, 0.00962674, 0.00728015, 0.00482261, 0.00272551, 0.00153374, 0.000647428, 0.000269767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0303389, 0.041388, 0.0428905, 0.0457176, 0.0499254, 0.0567771, 0.067176, 0.0764347, 0.0832245, 0.0885506, 0.0930321, 0.0972225, 0.100832, 0.105382, 0.114999, 0.147784, 0.165197, 0.184471, 0.204478, 0.240151, 0.280186");
-            values ( \
-              "0.00362444, 0.0773069, 0.0768986, 0.0752933, 0.0718565, 0.0644412, 0.0496893, 0.0337425, 0.0241908, 0.0191688, 0.0163184, 0.0149726, 0.0144322, 0.014378, 0.0135287, 0.00720172, 0.00479525, 0.00300715, 0.00183142, 0.000728483, 0.000393933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0307939, 0.0434292, 0.046159, 0.0507576, 0.0554342, 0.058461, 0.0645146, 0.0709854, 0.075906, 0.0806515, 0.095676, 0.101509, 0.105159, 0.110026, 0.114681, 0.118718, 0.128723, 0.130623, 0.13822, 0.16577, 0.178029, 0.191104, 0.199191, 0.212489, 0.223891, 0.240006, 0.246393, 0.259169, 0.28472, 0.329466, 0.381567");
-            values ( \
-              "0.013534, 0.0977274, 0.0965418, 0.093833, 0.0907038, 0.088529, 0.083553, 0.0768835, 0.0713833, 0.0655048, 0.0425933, 0.0351767, 0.0314686, 0.0276652, 0.0254145, 0.024471, 0.0235059, 0.0232156, 0.0216088, 0.014026, 0.0111485, 0.00865406, 0.00738139, 0.00566234, 0.00449187, 0.00322935, 0.00283114, 0.00217687, 0.00126626, 0.000465737, 0.000137316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0374097, 0.0374297, 0.055348, 0.0671964, 0.0795295, 0.0981545, 0.107949, 0.124174, 0.133334, 0.140997, 0.144993, 0.149801, 0.162581, 0.171148, 0.198189, 0.227073, 0.240569, 0.258539, 0.275127, 0.297243, 0.334314, 0.360354, 0.412435, 0.444475");
-            values ( \
-              "1e-22, 0.124916, 0.110286, 0.104896, 0.0983004, 0.0851218, 0.0756738, 0.0565642, 0.0473009, 0.041579, 0.0397082, 0.0384181, 0.0364729, 0.034355, 0.0253889, 0.0170256, 0.0139967, 0.0106738, 0.00828917, 0.005883, 0.00326971, 0.00216323, 0.000883903, 0.000686766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0371852, 0.0435667, 0.0478429, 0.0742601, 0.101985, 0.115025, 0.137868, 0.147343, 0.18255, 0.190096, 0.198442, 0.216405, 0.226115, 0.279395, 0.30607, 0.346833, 0.378254, 0.414994, 0.447608, 0.481404, 0.55901, 0.624318, 0.631628");
-            values ( \
-              "0.125997, 0.126917, 0.126247, 0.118866, 0.110256, 0.105493, 0.0953812, 0.0897177, 0.0633109, 0.0587595, 0.0553505, 0.0524544, 0.0502435, 0.0332168, 0.0258533, 0.0172207, 0.0124053, 0.00836963, 0.00582282, 0.00401008, 0.00165269, 0.000791051, 0.000756957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0391597, 0.0391797, 0.084242, 0.15087, 0.170398, 0.209059, 0.223263, 0.26547, 0.278974, 0.289399, 0.309339, 0.320121, 0.335454, 0.408841, 0.456967, 0.494361, 0.515183, 0.556827, 0.595664, 0.650662, 0.697273, 0.752306, 0.817614, 0.882922, 1.01354, 1.14416");
-            values ( \
-              "1e-22, 0.146069, 0.128358, 0.116229, 0.112134, 0.102248, 0.097115, 0.078292, 0.0731056, 0.0703167, 0.0681564, 0.0663789, 0.062876, 0.0436666, 0.0329853, 0.0261748, 0.022931, 0.0174054, 0.0134191, 0.00918181, 0.00658503, 0.00444861, 0.00278655, 0.001707, 0.000644829, 0.000238015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0418065, 0.0418265, 0.125822, 0.235302, 0.270182, 0.313255, 0.337246, 0.358756, 0.427943, 0.443455, 0.455069, 0.470476, 0.48575, 0.504722, 0.606022, 0.686833, 0.752141, 0.793151, 0.834391, 0.881489, 0.960199, 0.994582, 1.05372, 1.11903, 1.17418, 1.24407, 1.37469, 1.50531, 1.63592, 1.89716");
-            values ( \
-              "1e-22, 0.15402, 0.13176, 0.120305, 0.116201, 0.110458, 0.106517, 0.102091, 0.0855445, 0.0831168, 0.0825379, 0.0812604, 0.0795107, 0.0765354, 0.0581618, 0.0448069, 0.0355323, 0.0305207, 0.0260704, 0.0216832, 0.0157688, 0.0136672, 0.0106831, 0.00807702, 0.00637785, 0.00471599, 0.0026621, 0.0014953, 0.000836685, 0.000258297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.058342, 0.0631203, 0.0814424, 0.0875185, 0.0882034, 0.0895732, 0.0918313, 0.0934887, 0.0940811, 0.0952659, 0.0976355, 0.100583, 0.103971, 0.10794, 0.108467, 0.10952, 0.111627, 0.114966, 0.1192, 0.120167, 0.122101, 0.125969, 0.130476, 0.134762, 0.138377, 0.142702, 0.146954, 0.152377, 0.159878, 0.169292, 0.174066, 0.174782, 0.176214, 0.179079, 0.184383, 0.194912, 0.202077, 0.203743, 0.207076, 0.213741, 0.22649, 0.237407, 0.241089, 0.248454, 0.263184, 0.291725, 0.30491");
-            values ( \
-              "0.0154477, 0.0221646, 0.0531395, 0.0606734, 0.0665196, 0.0654576, 0.0679385, 0.0636172, 0.0654302, 0.0614946, 0.0607404, 0.0541884, 0.0516611, 0.0426543, 0.0441705, 0.0398864, 0.0386004, 0.0306093, 0.027034, 0.0234636, 0.0237446, 0.017847, 0.0174985, 0.013687, 0.0156553, 0.0131526, 0.0153219, 0.0122932, 0.0133419, 0.00901532, 0.0104258, 0.00792232, 0.00998381, 0.00711614, 0.00851703, 0.00460844, 0.00604037, 0.0035359, 0.00548583, 0.00254476, 0.00386079, 0.000929027, 0.00304521, 0.00044071, 0.00224466, 1e-22, 0.000439432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0671779, 0.0796518, 0.081518, 0.0837933, 0.0849309, 0.0860686, 0.086827, 0.0875854, 0.0887543, 0.090088, 0.0910735, 0.0919165, 0.0924311, 0.0934603, 0.0950069, 0.0965082, 0.0994653, 0.103781, 0.112407, 0.114539, 0.118812, 0.122729, 0.127135, 0.12961, 0.132086, 0.136795, 0.139781, 0.142615, 0.143875, 0.146395, 0.147655, 0.149958, 0.152261, 0.154283, 0.156304, 0.161367, 0.166363, 0.172837, 0.181366, 0.195872, 0.208177, 0.217135, 0.225122, 0.233109, 0.240201, 0.244081, 0.25184, 0.2596, 0.267359, 0.282787");
-            values ( \
-              "0.0655461, 0.0657695, 0.0724455, 0.0756864, 0.0769414, 0.0779528, 0.0808782, 0.0852864, 0.0867406, 0.0893816, 0.0895716, 0.0896141, 0.0895857, 0.0894051, 0.0886117, 0.0875861, 0.0852088, 0.0811278, 0.0720226, 0.0695521, 0.0638277, 0.058034, 0.0507469, 0.0469524, 0.0433847, 0.0372753, 0.0336645, 0.0307493, 0.0296719, 0.0278204, 0.0270464, 0.0259005, 0.0250775, 0.0247204, 0.0244142, 0.0239509, 0.0233322, 0.0220767, 0.0199545, 0.0158869, 0.0125877, 0.0106696, 0.00916081, 0.00784203, 0.00680413, 0.00625416, 0.00532638, 0.00458041, 0.003921, 0.0028429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0604337, 0.0687285, 0.0734788, 0.0794408, 0.0874117, 0.0892206, 0.091457, 0.0935201, 0.0961201, 0.105847, 0.108138, 0.112719, 0.12093, 0.135011, 0.137218, 0.141632, 0.148021, 0.1584, 0.16912, 0.174576, 0.176125, 0.179223, 0.183326, 0.189154, 0.194987, 0.201195, 0.207988, 0.218772, 0.232, 0.249006, 0.255718, 0.261648, 0.269553, 0.281426, 0.296929, 0.30038, 0.307282, 0.321085, 0.347152, 0.356156, 0.365409, 0.377748, 0.402425, 0.451779, 0.517088, 0.582396");
-            values ( \
-              "0.0137355, 0.0475196, 0.0604561, 0.0761226, 0.0962081, 0.104518, 0.109015, 0.109166, 0.108483, 0.104004, 0.102736, 0.100371, 0.0953351, 0.0850928, 0.0833151, 0.079061, 0.0720041, 0.0595414, 0.0481621, 0.0436322, 0.0425656, 0.0407981, 0.0391639, 0.0379637, 0.0372815, 0.0360721, 0.0343502, 0.0309036, 0.0264081, 0.0210036, 0.0191373, 0.0175871, 0.0157009, 0.0131725, 0.0104441, 0.00990239, 0.0089249, 0.00720353, 0.00478427, 0.0041445, 0.00358131, 0.00295549, 0.00197836, 0.00086893, 0.000265595, 9.03381e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.0609351, 0.0728463, 0.087362, 0.0892712, 0.0918871, 0.0929409, 0.094346, 0.0971561, 0.1278, 0.149539, 0.16522, 0.174679, 0.186429, 0.218484, 0.229481, 0.236186, 0.25244, 0.262238, 0.271412, 0.318516, 0.343801, 0.363388, 0.389504, 0.414327, 0.445992, 0.481988, 0.523193, 0.555989, 0.621297, 0.686605, 0.817222");
-            values ( \
-              "0.0123519, 0.0646989, 0.107536, 0.1195, 0.123164, 0.123359, 0.123332, 0.122793, 0.113917, 0.106389, 0.0999076, 0.0953602, 0.0881441, 0.0639101, 0.0576107, 0.0549292, 0.0525366, 0.0504522, 0.0478029, 0.0325596, 0.025618, 0.0211297, 0.0161999, 0.0124968, 0.00890695, 0.00598396, 0.00379965, 0.00264124, 0.00122224, 0.000576844, 0.000128624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0615964, 0.0779793, 0.0873227, 0.0897758, 0.0935821, 0.100347, 0.115773, 0.179315, 0.207383, 0.242915, 0.264688, 0.311572, 0.321464, 0.331966, 0.341627, 0.356042, 0.372437, 0.445831, 0.493184, 0.520906, 0.552865, 0.595477, 0.632053, 0.652691, 0.686361, 0.733569, 0.790466, 0.855775, 0.921083, 1.0517, 1.18232");
-            values ( \
-              "0.0159858, 0.0851812, 0.115117, 0.129041, 0.132746, 0.131943, 0.129309, 0.117916, 0.112126, 0.103136, 0.0952775, 0.0745734, 0.0713669, 0.0696682, 0.0688623, 0.0665443, 0.0628781, 0.0436658, 0.0331362, 0.0279583, 0.0228193, 0.0172197, 0.0134637, 0.0116826, 0.00925464, 0.00662456, 0.00441846, 0.00275359, 0.00170052, 0.000647705, 0.000244494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0625477, 0.08917, 0.0911077, 0.0963291, 0.242905, 0.307525, 0.339209, 0.383337, 0.450995, 0.475191, 0.502902, 0.535253, 0.65482, 0.70306, 0.768368, 0.818146, 0.856601, 0.907876, 0.973184, 1.04549, 1.09978, 1.16509, 1.23078, 1.33388, 1.4645, 1.59511, 1.92165");
-            values ( \
-              "0.0224382, 0.129709, 0.136188, 0.138501, 0.123605, 0.116211, 0.112081, 0.104821, 0.0887441, 0.0838075, 0.0817698, 0.0776864, 0.0561685, 0.048086, 0.0383669, 0.0319652, 0.0276376, 0.0226279, 0.0173941, 0.0129145, 0.0102833, 0.00778489, 0.00587498, 0.0037553, 0.00211776, 0.00119023, 0.000282313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105251, 0.119864, 0.127694, 0.141345, 0.15649, 0.168337, 0.17672, 0.182741, 0.188686, 0.193608, 0.199047, 0.210367, 0.21264, 0.215629, 0.218515, 0.222324, 0.226387, 0.229979, 0.234582, 0.235008, 0.243528, 0.27527, 0.289702, 0.298038, 0.31276, 0.327275, 0.345891, 0.370711, 0.408001, 0.45238");
-            values ( \
-              "0.00228402, 0.00836411, 0.0122791, 0.0214889, 0.0299538, 0.0357252, 0.0385957, 0.039393, 0.0390496, 0.0380224, 0.0347905, 0.0241298, 0.025164, 0.0210439, 0.0200883, 0.0162671, 0.0161173, 0.0142452, 0.0152086, 0.0145886, 0.0136361, 0.00750307, 0.00537815, 0.00441314, 0.00309658, 0.00217622, 0.00137881, 0.000729627, 0.000274494, 7.63248e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.10522, 0.124233, 0.132906, 0.135971, 0.148652, 0.166452, 0.178797, 0.183275, 0.188043, 0.192343, 0.200942, 0.203788, 0.209451, 0.210335, 0.212105, 0.214339, 0.221675, 0.229398, 0.231942, 0.235449, 0.240125, 0.244275, 0.248322, 0.252993, 0.257972, 0.264666, 0.273077, 0.281929, 0.293018, 0.299642, 0.308473, 0.324583, 0.331755, 0.343591, 0.359372, 0.370656, 0.381715, 0.396461, 0.425953, 0.472231, 0.528508");
-            values ( \
-              "0.00109189, 0.0136875, 0.0199343, 0.0225989, 0.0328501, 0.0460969, 0.054446, 0.0569247, 0.059321, 0.0606946, 0.0621178, 0.0617236, 0.0597991, 0.0591378, 0.0596595, 0.0576853, 0.0465221, 0.0360626, 0.0332975, 0.0300332, 0.0268989, 0.0251011, 0.0244069, 0.0240036, 0.023446, 0.0221449, 0.019992, 0.0174173, 0.0143701, 0.0127229, 0.0107616, 0.00785484, 0.00681585, 0.00537623, 0.00389874, 0.00309227, 0.0024637, 0.00181744, 0.000965564, 0.000341389, 8.77122e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.132285, 0.159197, 0.180822, 0.190402, 0.195754, 0.200759, 0.203979, 0.21042, 0.212128, 0.212982, 0.213836, 0.214689, 0.215543, 0.219324, 0.221588, 0.2246, 0.228649, 0.233472, 0.237989, 0.242703, 0.24577, 0.248838, 0.251906, 0.256506, 0.261106, 0.266692, 0.269299, 0.27032, 0.272362, 0.273383, 0.278283, 0.284944, 0.290773, 0.294996, 0.299219, 0.309743, 0.31959, 0.32695, 0.339186, 0.353826, 0.3607, 0.367574, 0.372156, 0.381321, 0.395019, 0.404076, 0.41192, 0.419764, 0.43611, 0.450744");
-            values ( \
-              "0.0456692, 0.0486651, 0.0689147, 0.0774295, 0.0809206, 0.0844364, 0.0868274, 0.0919115, 0.092544, 0.0926453, 0.0926529, 0.092567, 0.0923875, 0.0902492, 0.0886901, 0.0863937, 0.0829737, 0.0781885, 0.0732888, 0.0677787, 0.0638002, 0.0600999, 0.056616, 0.0517976, 0.0474658, 0.0429059, 0.041178, 0.0406509, 0.0397546, 0.0393853, 0.0383449, 0.0373428, 0.0362819, 0.0352863, 0.0341784, 0.0309288, 0.0275289, 0.0251117, 0.0213294, 0.0172469, 0.0156126, 0.0141424, 0.0132334, 0.0115595, 0.00936388, 0.00806627, 0.00717371, 0.00636054, 0.00494986, 0.00383231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.115576, 0.125508, 0.138316, 0.150895, 0.191859, 0.214548, 0.219913, 0.222034, 0.243017, 0.265854, 0.276447, 0.303336, 0.310644, 0.318199, 0.326521, 0.330491, 0.343855, 0.353439, 0.362582, 0.39085, 0.409763, 0.434975, 0.454431, 0.480372, 0.505438, 0.516091, 0.537397, 0.573205, 0.597842, 0.614077, 0.646548, 0.711489, 0.755449");
-            values ( \
-              "0.0133398, 0.0195784, 0.0316114, 0.0449509, 0.0903269, 0.11325, 0.113914, 0.11297, 0.105491, 0.0953949, 0.0889596, 0.068366, 0.0632387, 0.0586997, 0.0553193, 0.0545926, 0.0525453, 0.0504226, 0.0478163, 0.0384625, 0.0325069, 0.0256263, 0.0211667, 0.0162271, 0.0124826, 0.0111669, 0.00886387, 0.00599579, 0.004558, 0.00382652, 0.0026426, 0.00125233, 0.000791162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.125368, 0.148146, 0.210067, 0.212254, 0.213775, 0.216228, 0.254132, 0.278796, 0.298112, 0.334408, 0.350912, 0.394786, 0.408092, 0.418162, 0.426954, 0.447966, 0.464374, 0.536429, 0.586159, 0.61167, 0.641464, 0.681189, 0.712243, 0.748925, 0.797835, 0.830353, 0.863701, 0.908165, 0.973474, 1.03878, 1.1694, 1.30002");
-            values ( \
-              "0.0277864, 0.0449744, 0.120544, 0.125934, 0.1271, 0.12744, 0.120937, 0.116218, 0.112104, 0.102922, 0.0971418, 0.0776061, 0.0726857, 0.070133, 0.0694929, 0.0663782, 0.0625641, 0.0437074, 0.0326697, 0.0279472, 0.0231345, 0.0178025, 0.0144485, 0.0112399, 0.00799584, 0.00633948, 0.00500249, 0.00365409, 0.00225098, 0.00141191, 0.000546298, 0.000215203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.125647, 0.160901, 0.21326, 0.216645, 0.335698, 0.385294, 0.423909, 0.465199, 0.475346, 0.558155, 0.572897, 0.584823, 0.600627, 0.616113, 0.657643, 0.73614, 0.801911, 0.867219, 0.920634, 0.978172, 1.04348, 1.10396, 1.13703, 1.19303, 1.25833, 1.29396, 1.35599, 1.4213, 1.55192, 1.68254, 1.81315, 2.00908");
-            values ( \
-              "0.0225889, 0.0623186, 0.13299, 0.135412, 0.123305, 0.117806, 0.112946, 0.106524, 0.104554, 0.0851389, 0.0829347, 0.0824618, 0.0810137, 0.07919, 0.072104, 0.0577832, 0.0468117, 0.0372328, 0.0305729, 0.0245078, 0.0189112, 0.0147573, 0.0128899, 0.0101667, 0.00771686, 0.00660477, 0.00507668, 0.00380231, 0.00214072, 0.00119948, 0.000669025, 0.000294032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.202573, 0.243706, 0.258431, 0.268961, 0.27569, 0.289147, 0.316245, 0.340335, 0.351081, 0.361265, 0.378022, 0.386421, 0.405019, 0.419152, 0.424123, 0.429281, 0.434471, 0.441187, 0.447956, 0.456986, 0.484324, 0.500454, 0.505903, 0.510986, 0.522008, 0.532143, 0.545179, 0.55389, 0.565469, 0.580908, 0.611787, 0.63288");
-            values ( \
-              "0.000796175, 0.00256821, 0.0038554, 0.00509533, 0.00614756, 0.00897421, 0.0162985, 0.0209927, 0.0224037, 0.023167, 0.0232135, 0.022147, 0.0172485, 0.0139684, 0.0134347, 0.0132586, 0.0135809, 0.0136495, 0.0132355, 0.0121606, 0.00791142, 0.00573789, 0.00513213, 0.00539217, 0.00411223, 0.00318321, 0.00231903, 0.00187103, 0.00140772, 0.000964809, 0.000428295, 0.000283627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.200178, 0.254503, 0.263549, 0.27561, 0.283068, 0.297985, 0.307908, 0.327756, 0.338434, 0.361743, 0.382112, 0.401328, 0.410926, 0.42112, 0.436064, 0.447599, 0.451414, 0.456193, 0.462175, 0.468084, 0.475426, 0.488744, 0.505733, 0.510469, 0.515657, 0.521558, 0.531883, 0.544758, 0.561395, 0.583391, 0.594876, 0.614358, 0.640335, 0.686872, 0.741448");
-            values ( \
-              "0.000133896, 0.00504125, 0.00633511, 0.00850884, 0.0102853, 0.0147711, 0.0183705, 0.024536, 0.0273798, 0.0328627, 0.0361987, 0.0369707, 0.0359478, 0.0330158, 0.0275298, 0.0238079, 0.0232368, 0.0229593, 0.0230685, 0.0228742, 0.022059, 0.0193695, 0.0152925, 0.0152701, 0.0141824, 0.0124971, 0.0101756, 0.00791463, 0.00567567, 0.00361132, 0.00285992, 0.0019143, 0.00109716, 0.000388775, 0.000102258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.212697, 0.253353, 0.264939, 0.273737, 0.285467, 0.295513, 0.323814, 0.340234, 0.360844, 0.389196, 0.413666, 0.436365, 0.446902, 0.459306, 0.484431, 0.487113, 0.492477, 0.49759, 0.50609, 0.51011, 0.514622, 0.546623, 0.564075, 0.56856, 0.577531, 0.595472, 0.609376, 0.620668, 0.635723, 0.660566, 0.669251, 0.677689, 0.688941, 0.711443, 0.756449, 0.775934");
-            values ( \
-              "0.00246164, 0.00664763, 0.0087062, 0.0105922, 0.013673, 0.0169332, 0.0279717, 0.0336988, 0.0404682, 0.0489792, 0.0548339, 0.0569986, 0.056163, 0.0521929, 0.040387, 0.0393703, 0.0381471, 0.0377829, 0.0372598, 0.0378301, 0.0370514, 0.0257837, 0.0203765, 0.01913, 0.0168368, 0.0129204, 0.0104977, 0.00884148, 0.00699709, 0.00473895, 0.00412586, 0.0036123, 0.00303097, 0.00210573, 0.00100085, 0.000794072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.218595, 0.252586, 0.277992, 0.294608, 0.42704, 0.457103, 0.484868, 0.509013, 0.537103, 0.545973, 0.569515, 0.5806, 0.655394, 0.675114, 0.72075, 0.757329, 0.793513, 0.835036, 0.868144, 0.933453, 0.976119");
-            values ( \
-              "0.0039373, 0.00841377, 0.0143426, 0.0196746, 0.0719078, 0.0807503, 0.084416, 0.0795331, 0.0598749, 0.0559493, 0.0516069, 0.0485908, 0.0256232, 0.0211067, 0.0131801, 0.0089401, 0.00598771, 0.00378831, 0.00262831, 0.00121241, 0.000796596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.253019, 0.296223, 0.336545, 0.436346, 0.48037, 0.505756, 0.512201, 0.518274, 0.541097, 0.556848, 0.57606, 0.619472, 0.629241, 0.640255, 0.648581, 0.668736, 0.757219, 0.806213, 0.831832, 0.861495, 0.901046, 0.944737, 0.969428, 1.01881, 1.06169, 1.12729, 1.1926, 1.2579, 1.38852, 1.51914");
-            values ( \
-              "0.0159816, 0.022395, 0.0396171, 0.0849105, 0.103299, 0.111725, 0.112914, 0.112202, 0.106715, 0.102214, 0.095048, 0.0756982, 0.0722748, 0.0698775, 0.0693381, 0.0662312, 0.0435457, 0.0326991, 0.0279443, 0.0231482, 0.0178457, 0.0132979, 0.0112226, 0.00794109, 0.005869, 0.00367243, 0.00227742, 0.00141338, 0.000541134, 0.000207481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.252833, 0.317843, 0.368067, 0.474683, 0.50557, 0.513096, 0.522601, 0.531146, 0.591155, 0.616936, 0.668499, 0.705137, 0.774023, 0.790093, 0.801573, 0.817138, 0.832488, 0.851468, 0.987187, 1.03294, 1.09825, 1.13987, 1.18189, 1.22871, 1.28994, 1.34094, 1.40021, 1.46552, 1.5443, 1.59113, 1.65644, 1.72175, 1.85236, 1.98298, 2.24421");
-            values ( \
-              "0.0130433, 0.0330126, 0.0566851, 0.11054, 0.125048, 0.127093, 0.126923, 0.125695, 0.119256, 0.1162, 0.109152, 0.102176, 0.0856851, 0.0831644, 0.0825599, 0.0812865, 0.0795108, 0.0765423, 0.0522297, 0.0449083, 0.0356127, 0.0305211, 0.0259924, 0.0216449, 0.0168807, 0.0136871, 0.0106972, 0.00808369, 0.00578624, 0.00470747, 0.00355883, 0.00265626, 0.00149101, 0.000833288, 0.000255702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.462142, 0.554087, 0.558867, 0.58755, 0.607748, 0.626413, 0.644644, 0.660763, 0.699593, 0.71385, 0.742365, 0.746306, 0.754187, 0.76995, 0.774245, 0.782836, 0.800018, 0.803779, 0.808793, 0.838965, 0.853005, 0.862957, 0.871918, 0.879037, 0.886694, 0.898862, 0.91132, 0.965039, 0.991358, 1.00918, 1.02156, 1.04363, 1.05402, 1.07005, 1.09141, 1.13413, 1.21613, 1.23325, 1.27553");
-            values ( \
-              "0.000465587, 0.0012267, 0.00129771, 0.00182422, 0.00235238, 0.00304203, 0.00399244, 0.00519876, 0.00922836, 0.0105974, 0.0130441, 0.0132029, 0.0137401, 0.0143213, 0.0144146, 0.0144991, 0.0142284, 0.014062, 0.0136637, 0.00991471, 0.00873099, 0.00853903, 0.00904222, 0.0100165, 0.0106217, 0.0108824, 0.0102572, 0.00568426, 0.0038134, 0.002836, 0.00229856, 0.00150562, 0.00123515, 0.000900656, 0.000599119, 0.000220362, 2.86968e-05, 0.000290711, 6.94145e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.465967, 0.562086, 0.587591, 0.627501, 0.665757, 0.733177, 0.771146, 0.803953, 0.835385, 0.848604, 0.88202, 0.890741, 0.901309, 0.926828, 0.939595, 1.04146, 1.09779, 1.15464, 1.2058, 1.21604, 1.2218");
-            values ( \
-              "0.00077565, 0.00214811, 0.00286668, 0.00461092, 0.00769507, 0.0165813, 0.0204371, 0.0224183, 0.0223747, 0.0214239, 0.0165388, 0.0156999, 0.0154456, 0.0180069, 0.0179542, 0.00616944, 0.00250253, 0.000925897, 0.000314603, 0.000280328, 0.000473307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.465519, 0.574818, 0.60808, 0.63456, 0.661996, 0.690636, 0.75969, 0.786219, 0.809534, 0.84959, 0.887278, 0.901843, 0.94641, 0.957575, 0.964917, 0.972106, 0.983826, 0.994082, 1.00879, 1.01699, 1.07496, 1.10692, 1.14158, 1.16084, 1.18817, 1.21575, 1.22553, 1.23621, 1.24748, 1.27, 1.30362, 1.36686, 1.43217");
-            values ( \
-              "0.000928775, 0.0037176, 0.00529103, 0.00707115, 0.0096819, 0.0135602, 0.0243704, 0.0276013, 0.0301119, 0.0332795, 0.0341423, 0.0333704, 0.0271917, 0.0267586, 0.0270191, 0.0282323, 0.0290787, 0.0290237, 0.0274504, 0.0262651, 0.0161506, 0.0112923, 0.00731753, 0.00562257, 0.00383112, 0.00256368, 0.00283175, 0.00251347, 0.00199173, 0.00142749, 0.000797632, 0.000278143, 7.36269e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.531197, 0.608549, 0.634997, 0.686918, 0.762481, 0.809945, 0.86807, 0.918024, 0.964117, 0.980368, 1.03786, 1.04955, 1.05834, 1.0686, 1.08228, 1.11528, 1.21622, 1.26338, 1.29745, 1.34164, 1.36774, 1.41994, 1.4726");
-            values ( \
-              "0.00696654, 0.00720121, 0.00928404, 0.0154584, 0.0285993, 0.0357616, 0.0432461, 0.0485161, 0.0509674, 0.0505577, 0.0437119, 0.0437061, 0.0448124, 0.0450154, 0.0441934, 0.0385225, 0.0179491, 0.0116845, 0.00809892, 0.00498469, 0.00373043, 0.00205435, 0.00116845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.530862, 0.623582, 0.654183, 0.69224, 0.705387, 0.73168, 0.780059, 0.845367, 0.877006, 0.940282, 0.971124, 1.01331, 1.0555, 1.07037, 1.08791, 1.1068, 1.12404, 1.14508, 1.15832, 1.16791, 1.17849, 1.18904, 1.20359, 1.22744, 1.25514, 1.27835, 1.30487, 1.35187, 1.36487, 1.3953, 1.42204, 1.45685, 1.46459, 1.48008, 1.51105, 1.56882, 1.59544, 1.60894, 1.63593, 1.68991, 1.75522, 1.82053, 1.88584, 1.95115, 2.01645");
-            values ( \
-              "0.00746368, 0.010413, 0.0135902, 0.0187399, 0.0208939, 0.0256373, 0.0346839, 0.0456031, 0.0506449, 0.060393, 0.064781, 0.0700812, 0.0738857, 0.0745082, 0.0742475, 0.0727451, 0.070512, 0.0672842, 0.065911, 0.065869, 0.0661209, 0.0656071, 0.0639855, 0.059878, 0.0523907, 0.046395, 0.0400208, 0.030218, 0.027892, 0.0229848, 0.0193057, 0.0152899, 0.0145167, 0.0130619, 0.0105563, 0.00701532, 0.00581418, 0.00528814, 0.00435914, 0.00295365, 0.00182832, 0.00113468, 0.000698072, 0.000434419, 0.000264976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.595607, 0.722664, 0.967194, 1.06343, 1.14286, 1.17111, 1.21543, 1.22578, 1.24649, 1.31661, 1.34406, 1.37759, 1.49089, 1.56059, 1.6259, 1.68692, 1.78061, 1.82602, 1.90391, 1.95457, 2.05363, 2.18424, 2.31486, 2.44548, 2.51166");
-            values ( \
-              "0.0178677, 0.0260206, 0.0715227, 0.0883731, 0.09981, 0.102912, 0.105179, 0.10442, 0.100072, 0.083864, 0.0818005, 0.0774788, 0.0570137, 0.0454997, 0.0361348, 0.0287983, 0.0199367, 0.0165826, 0.0120206, 0.0097067, 0.00635482, 0.0036022, 0.00203032, 0.00114045, 0.000964362" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00171925, 0.00174021, 0.0017587, 0.00177263, 0.00178196, 0.00178773", \
-            "0.00198148, 0.00200405, 0.0020263, 0.00204451, 0.00205736, 0.00206558", \
-            "0.00212749, 0.00213779, 0.00215098, 0.00216409, 0.00217461, 0.00218186", \
-            "0.00224025, 0.0022362, 0.00223188, 0.00223132, 0.00223318, 0.00223564", \
-            "0.0023424, 0.00232429, 0.00230435, 0.00228892, 0.00227512, 0.00226838", \
-            "0.00242439, 0.00239934, 0.00237414, 0.0023486, 0.00232379, 0.00230353" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00214549, 0.00216762, 0.00218861, 0.00220411, 0.00221366, 0.00221896", \
-            "0.00215083, 0.00212683, 0.00210669, 0.00209243, 0.00208245, 0.00207557", \
-            "0.00218692, 0.00212826, 0.00207411, 0.00203117, 0.00200318, 0.00198029", \
-            "0.00239792, 0.00226133, 0.00214746, 0.00206188, 0.00200338, 0.00196496", \
-            "0.00296462, 0.0027157, 0.00242233, 0.00222432, 0.00209465, 0.00201182", \
-            "0.00294743, 0.00298757, 0.00298301, 0.00268342, 0.00234743, 0.00215596" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0186663, 0.0204488, 0.0305988, 0.0418073, 0.047584, 0.0516541, 0.0567623, 0.0677042, 0.0769014, 0.0859, 0.0911455, 0.0984731, 0.11008, 0.124868, 0.137952, 0.143561");
-            values ( \
-              "-0.018751, -0.0686628, -0.0694497, -0.0686676, -0.0664824, -0.063496, -0.0572501, -0.0394814, -0.0269436, -0.0176518, -0.0135709, -0.0093094, -0.00499747, -0.0022213, -0.00104961, -0.000841036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390213");
-            index_3 ("0.0187131, 0.0212239, 0.0294275, 0.0434648, 0.0515071, 0.0595703, 0.0643317, 0.0683589, 0.0764134, 0.0904748, 0.103565, 0.117981, 0.13288, 0.148843, 0.165433, 0.184392, 0.187634");
-            values ( \
-              "-0.023591, -0.0885527, -0.0886137, -0.0880363, -0.0868039, -0.0842478, -0.0813946, -0.0780995, -0.0681795, -0.0480525, -0.0321122, -0.0195177, -0.0112407, -0.00605783, -0.0031351, -0.00142918, -0.00130924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00704925");
-            index_3 ("0.0189352, 0.0222648, 0.0440404, 0.0614985, 0.0721222, 0.0856765, 0.0946052, 0.10806, 0.135576, 0.154677, 0.174008, 0.198193, 0.218687, 0.244466, 0.271964, 0.276713");
-            values ( \
-              "-0.0472847, -0.105307, -0.10444, -0.102754, -0.100975, -0.0968228, -0.0919504, -0.0796935, -0.0498936, -0.0332237, -0.0211368, -0.0115939, -0.00682444, -0.00346695, -0.00162321, -0.00154596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127346");
-            index_3 ("0.0199945, 0.0200145, 0.0239006, 0.0789896, 0.099381, 0.119702, 0.132386, 0.141809, 0.160654, 0.201687, 0.234212, 0.265973, 0.297333, 0.335074, 0.364141, 0.402898, 0.44408");
-            values ( \
-              "-1e-22, -0.118886, -0.11758, -0.114505, -0.112302, -0.108957, -0.105503, -0.101954, -0.0910387, -0.0603618, -0.0397008, -0.0251819, -0.0156002, -0.00855261, -0.00533596, -0.00277483, -0.00151476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0230051");
-            index_3 ("0.0201476, 0.0201676, 0.0242449, 0.0865934, 0.121087, 0.154803, 0.190081, 0.207273, 0.226965, 0.264412, 0.340752, 0.391362, 0.4348, 0.464342, 0.490917, 0.526349, 0.556194, 0.596405, 0.650021, 0.710004, 0.760722");
-            values ( \
-              "-1e-22, -0.127239, -0.125817, -0.123428, -0.121579, -0.11919, -0.115463, -0.112834, -0.10848, -0.0948289, -0.0590888, -0.0397635, -0.0274776, -0.0210412, -0.0164957, -0.0118608, -0.00888888, -0.00603434, -0.00358371, -0.00193241, -0.00121758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.041559");
-            index_3 ("0.0201058, 0.0201258, 0.02411, 0.0315456, 0.135679, 0.193972, 0.254621, 0.316181, 0.347291, 0.380503, 0.440487, 0.582042, 0.670968, 0.717845, 0.771419, 0.849548, 0.892023, 0.951833, 1.02227, 1.08225, 1.14223, 1.2622, 1.31748");
-            values ( \
-              "-1e-22, -0.132381, -0.130961, -0.130593, -0.127937, -0.126044, -0.123389, -0.119551, -0.116739, -0.112497, -0.0998989, -0.0604995, -0.0404194, -0.0321942, -0.0244609, -0.0162147, -0.0129307, -0.00927423, -0.00627254, -0.00451234, -0.00318253, -0.00160114, -0.00132288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0286318, 0.0381716, 0.0556354, 0.0599851, 0.0642136, 0.0674545, 0.0865637, 0.0957473, 0.105247, 0.116362, 0.126369, 0.139245, 0.152979, 0.154896");
-            values ( \
-              "-0.00205262, -0.0700418, -0.0685966, -0.067257, -0.0645912, -0.0613595, -0.0323395, -0.0213906, -0.0133713, -0.00749508, -0.00435659, -0.00214215, -0.000987475, -0.000919027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390213");
-            index_3 ("0.0289415, 0.0399218, 0.0429237, 0.0574285, 0.0654692, 0.0735288, 0.0784012, 0.0823209, 0.0901603, 0.10685, 0.120529, 0.131712, 0.139644, 0.146725, 0.162691, 0.179772, 0.199292, 0.204695");
-            values ( \
-              "-0.0122613, -0.0893232, -0.0887944, -0.0880031, -0.0867806, -0.0842732, -0.081322, -0.0780978, -0.0684913, -0.0448592, -0.0290777, -0.0196885, -0.0147148, -0.0112831, -0.00607931, -0.0030918, -0.00136683, -0.00117635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00704925");
-            index_3 ("0.0294248, 0.0395488, 0.0400027, 0.0409105, 0.0427261, 0.0460279, 0.0508806, 0.0581506, 0.0702864, 0.070526, 0.0804305, 0.0873256, 0.0954105, 0.108153, 0.116534, 0.146312, 0.162354, 0.171339, 0.180982, 0.19384, 0.205013, 0.213051, 0.228061, 0.243758, 0.256105, 0.2808, 0.322416, 0.37233");
-            values ( \
-              "-0.0099147, -0.105984, -0.106249, -0.105499, -0.105535, -0.104771, -0.105006, -0.104211, -0.103639, -0.103378, -0.102052, -0.100743, -0.0985193, -0.092385, -0.0854893, -0.0532454, -0.0382603, -0.0313331, -0.0250542, -0.0183618, -0.0139235, -0.0113581, -0.00770837, -0.00512819, -0.00369775, -0.0018871, -0.000541612, -0.000113188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127346");
-            index_3 ("0.0297573, 0.0399038, 0.0472837, 0.0735538, 0.0934637, 0.113684, 0.132338, 0.138472, 0.156108, 0.175024, 0.216022, 0.248758, 0.260661, 0.279837, 0.29695, 0.311862, 0.327338, 0.349451, 0.378267, 0.416689, 0.476672, 0.536655");
-            values ( \
-              "-0.0106993, -0.118585, -0.117177, -0.115917, -0.114447, -0.112298, -0.109302, -0.107864, -0.10198, -0.0909659, -0.0603516, -0.0395793, -0.0334997, -0.0253371, -0.019571, -0.0155508, -0.012179, -0.00854872, -0.00535792, -0.00279317, -0.000954695, -0.000315444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0230051");
-            index_3 ("0.0296397, 0.0410453, 0.0466004, 0.100886, 0.134361, 0.169096, 0.204373, 0.221579, 0.241258, 0.278705, 0.355046, 0.405654, 0.449091, 0.478632, 0.505207, 0.540639, 0.570481, 0.610688, 0.664297, 0.724281, 0.7775");
-            values ( \
-              "-0.0188525, -0.126477, -0.12549, -0.123402, -0.121641, -0.119193, -0.115466, -0.112829, -0.108483, -0.0948261, -0.0590903, -0.0397657, -0.0274765, -0.0210435, -0.0164978, -0.0118596, -0.00889091, -0.00603644, -0.00358283, -0.00193422, -0.00118139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.041559");
-            index_3 ("0.0340312, 0.0381289, 0.0459683, 0.208391, 0.269039, 0.310098, 0.36171, 0.394922, 0.454905, 0.596461, 0.685386, 0.732261, 0.785833, 0.863966, 0.906441, 0.966248, 1.03668, 1.09666, 1.15664, 1.27661, 1.33087");
-            values ( \
-              "-0.100329, -0.133211, -0.130725, -0.126021, -0.123387, -0.12104, -0.116741, -0.112495, -0.0999013, -0.0604977, -0.0404178, -0.0321962, -0.02446, -0.0162134, -0.0129322, -0.00927314, -0.00627165, -0.00451388, -0.00318147, -0.00160001, -0.00132689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0541427, 0.059521, 0.0633983, 0.0768415, 0.0873895, 0.088547, 0.0896561, 0.0931799, 0.0953838, 0.0993476, 0.101445, 0.105639, 0.117153, 0.124012, 0.129557, 0.134301, 0.137995, 0.144248, 0.151663, 0.158303, 0.165223, 0.170526, 0.181133, 0.200577, 0.223646");
-            values ( \
-              "-0.0028548, -0.0261106, -0.0339362, -0.0525672, -0.0651001, -0.068571, -0.0691161, -0.0676883, -0.0665085, -0.0635968, -0.0613965, -0.0555525, -0.0370901, -0.0278165, -0.0215108, -0.0171382, -0.0142531, -0.0103431, -0.00699728, -0.00488809, -0.00335787, -0.00250876, -0.00137712, -0.000414763, -9.17409e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390213");
-            index_3 ("0.0541352, 0.0635598, 0.0665445, 0.0729434, 0.087408, 0.0890602, 0.0913679, 0.0922576, 0.09952, 0.107581, 0.11242, 0.116372, 0.124277, 0.141097, 0.146312, 0.154996, 0.164511, 0.172208, 0.180293, 0.185984, 0.195548, 0.205289, 0.212837, 0.227933, 0.255057, 0.28727");
-            values ( \
-              "-0.00232421, -0.0430331, -0.0493272, -0.0604939, -0.0835967, -0.0880127, -0.0887513, -0.0881896, -0.0867758, -0.0842798, -0.0813454, -0.0780986, -0.0683984, -0.0446058, -0.0380612, -0.0286774, -0.0205966, -0.0155516, -0.0114974, -0.0092541, -0.00637245, -0.00435299, -0.00322771, -0.00174016, -0.000519117, -0.000111821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00704925");
-            index_3 ("0.0541841, 0.0675364, 0.0893019, 0.0917639, 0.123288, 0.129732, 0.1425, 0.150516, 0.184747, 0.203253, 0.219169, 0.239946, 0.264016, 0.292091, 0.323903");
-            values ( \
-              "-0.00227267, -0.0601635, -0.104346, -0.105326, -0.100367, -0.0984975, -0.0923862, -0.0858168, -0.0491283, -0.0330939, -0.02285, -0.0137162, -0.00739753, -0.00352774, -0.00145526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127346");
-            index_3 ("0.05406, 0.0697724, 0.0873918, 0.0882252, 0.0898919, 0.0921486, 0.0939565, 0.0967981, 0.101503, 0.107883, 0.11777, 0.127808, 0.147779, 0.148033, 0.162115, 0.179229, 0.19045, 0.212502, 0.2576, 0.287731, 0.313591, 0.346801, 0.385541, 0.441502, 0.483232");
-            values ( \
-              "-0.000474219, -0.0726156, -0.110664, -0.11929, -0.116051, -0.118503, -0.115442, -0.117868, -0.114974, -0.117198, -0.113952, -0.115719, -0.111071, -0.112306, -0.110133, -0.10607, -0.101958, -0.0887661, -0.0553026, -0.037146, -0.0256069, -0.0154056, -0.0083039, -0.00332124, -0.00178498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0230051");
-            index_3 ("0.0542084, 0.0731074, 0.0889224, 0.0908726, 0.0924395, 0.0984296, 0.12266, 0.161542, 0.203827, 0.238382, 0.261079, 0.276, 0.305841, 0.376245, 0.425273, 0.446999, 0.471037, 0.503088, 0.521828, 0.54384, 0.569045, 0.604244, 0.618535, 0.647117, 0.704281, 0.764265, 0.824248, 0.944214");
-            values ( \
-              "-0.00234749, -0.085385, -0.124553, -0.126335, -0.125315, -0.124837, -0.124016, -0.122118, -0.119163, -0.115664, -0.111912, -0.108496, -0.0981138, -0.0650693, -0.044957, -0.0376334, -0.0306726, -0.0230902, -0.01949, -0.0159212, -0.0125742, -0.00899311, -0.0078432, -0.00595571, -0.00337436, -0.00185098, -0.00100853, -0.000298693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.041559");
-            index_3 ("0.0660155, 0.0909472, 0.0982463, 0.185162, 0.304103, 0.365663, 0.407616, 0.430001, 0.474772, 0.618436, 0.712565, 0.79662, 0.883857, 0.930021, 0.990005, 1.06941, 1.1294, 1.30177");
-            values ( \
-              "-0.0968052, -0.131638, -0.13021, -0.128014, -0.123384, -0.119546, -0.115541, -0.112485, -0.103694, -0.0639102, -0.041978, -0.0277464, -0.017596, -0.0137342, -0.00988212, -0.00637559, -0.00455663, -0.00176332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.10345, 0.110207, 0.11525, 0.12962, 0.143581, 0.15472, 0.172985, 0.181111, 0.18941, 0.202229, 0.210393, 0.212342, 0.220775, 0.225528, 0.23199, 0.240241, 0.244529, 0.251708, 0.261281, 0.277136");
-            values ( \
-              "-0.0079435, -0.0103998, -0.0133997, -0.0241054, -0.0316345, -0.0382211, -0.0469869, -0.0487238, -0.0450112, -0.0300325, -0.0214556, -0.0216268, -0.0147775, -0.011542, -0.0082499, -0.00529246, -0.00419055, -0.00282893, -0.00165927, -0.000696058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390213");
-            index_3 ("0.105213, 0.120692, 0.132252, 0.144381, 0.166696, 0.178331, 0.18887, 0.198901, 0.210329, 0.212163, 0.228739, 0.240486, 0.250601, 0.257501, 0.263467, 0.269889, 0.28305, 0.291067, 0.307102, 0.329835");
-            values ( \
-              "-0.010379, -0.0219889, -0.0325121, -0.0413943, -0.0567438, -0.0639539, -0.0693551, -0.0708304, -0.0615246, -0.0613788, -0.0392618, -0.0267258, -0.0187002, -0.0145201, -0.0116122, -0.00907483, -0.00543033, -0.0039645, -0.00204861, -0.00082098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00704925");
-            index_3 ("0.105213, 0.120817, 0.132594, 0.143202, 0.167974, 0.184948, 0.199633, 0.210329, 0.213002, 0.218349, 0.222172, 0.226271, 0.233076, 0.241096, 0.252735, 0.258852, 0.259817, 0.261747, 0.265608, 0.271113, 0.287811, 0.288972, 0.291293, 0.295936, 0.303683, 0.313813, 0.316622, 0.322239, 0.332047, 0.34594, 0.350712, 0.352103, 0.354885, 0.360449, 0.371578, 0.393835, 0.43381, 0.481723");
-            values ( \
-              "-0.00618293, -0.0263824, -0.0385105, -0.0480623, -0.0684157, -0.081408, -0.0913259, -0.0967935, -0.100302, -0.0950389, -0.0954701, -0.0911156, -0.0871989, -0.0774755, -0.0658088, -0.0579515, -0.0579127, -0.0550246, -0.0519115, -0.0456676, -0.0316752, -0.031751, -0.0291896, -0.0271274, -0.0216804, -0.0178047, -0.0156556, -0.0145488, -0.0104507, -0.0081571, -0.00625061, -0.00704604, -0.00554002, -0.00577961, -0.00338469, -0.00267426, -0.000126716, -0.000679001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127346");
-            index_3 ("0.128297, 0.159846, 0.17031, 0.178681, 0.184129, 0.189576, 0.192331, 0.197841, 0.200596, 0.203149, 0.205703, 0.208256, 0.210809, 0.211369, 0.212009, 0.232048, 0.238728, 0.242544, 0.244864, 0.251822, 0.256461, 0.2611, 0.265739, 0.270378, 0.273549, 0.278304, 0.28306, 0.289401, 0.295115, 0.297659, 0.307832, 0.333482, 0.33902, 0.344559, 0.350027, 0.355495, 0.360963, 0.366431, 0.379461, 0.384075, 0.387151, 0.396379, 0.409673, 0.419283, 0.424462, 0.429642, 0.44, 0.450359, 0.463877, 0.476485");
-            values ( \
-              "-0.0672307, -0.0689323, -0.0783407, -0.0855522, -0.0930956, -0.0997791, -0.102832, -0.108277, -0.11067, -0.111883, -0.112603, -0.11283, -0.112563, -0.114207, -0.114537, -0.11216, -0.111167, -0.110523, -0.110055, -0.10849, -0.107313, -0.106028, -0.104635, -0.103135, -0.101895, -0.0994355, -0.0967406, -0.0928155, -0.0889591, -0.0871565, -0.0794885, -0.0599709, -0.0561182, -0.0524245, -0.0489339, -0.0455982, -0.0424175, -0.0393917, -0.0326992, -0.0304716, -0.0291848, -0.0255583, -0.0210104, -0.0179573, -0.0165145, -0.0151879, -0.0129873, -0.0110436, -0.00893451, -0.00713994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0230051");
-            index_3 ("0.105135, 0.136544, 0.148934, 0.172365, 0.200612, 0.213945, 0.218811, 0.223798, 0.233153, 0.242239, 0.25257, 0.287012, 0.32158, 0.332352, 0.343843, 0.359184, 0.389868, 0.400108, 0.459737, 0.476679, 0.510565, 0.530252, 0.552003, 0.581005, 0.60482, 0.61417, 0.632871, 0.670273, 0.700613, 0.716088, 0.747037, 0.80702, 0.867003, 0.926987, 0.98697, 1.04695");
-            values ( \
-              "-0.00297893, -0.0497917, -0.0633003, -0.0865891, -0.112665, -0.123558, -0.123657, -0.123006, -0.122814, -0.122116, -0.12169, -0.119255, -0.115576, -0.114013, -0.11199, -0.1085, -0.0977533, -0.0932004, -0.064933, -0.0574942, -0.0441994, -0.0376098, -0.0312653, -0.0242166, -0.0195315, -0.0179252, -0.0150844, -0.0105829, -0.007925, -0.00683775, -0.00506025, -0.00278513, -0.0015194, -0.000834092, -0.000450086, -0.000249966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.041559");
-            index_3 ("0.105106, 0.148191, 0.211761, 0.327215, 0.412846, 0.465768, 0.513111, 0.539533, 0.584063, 0.696537, 0.788035, 0.864657, 0.922917, 0.983994, 1.08509, 1.2131, 1.39274");
-            values ( \
-              "-0.00454048, -0.0649322, -0.129823, -0.125978, -0.121994, -0.118121, -0.112502, -0.107764, -0.0968908, -0.0652201, -0.0434973, -0.0299463, -0.0221672, -0.0160643, -0.00925729, -0.00452959, -0.00164405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.199958, 0.222056, 0.227076, 0.237114, 0.245204, 0.248742, 0.253294, 0.26794, 0.288133, 0.306264, 0.321686, 0.335427, 0.348085, 0.360286, 0.373277, 0.383388, 0.391251, 0.399161, 0.407886, 0.41211, 0.420557, 0.431409, 0.439287, 0.455044, 0.478248, 0.506031, 0.516625, 0.518167");
-            values ( \
-              "-0.00186461, -0.00410316, -0.0047051, -0.00630669, -0.00788006, -0.00874032, -0.00994317, -0.0141769, -0.0193126, -0.0235587, -0.0269356, -0.0296533, -0.0316633, -0.0322735, -0.0276103, -0.020763, -0.0158565, -0.0116346, -0.0080102, -0.00670766, -0.00445791, -0.00264131, -0.0018516, -0.000773766, -0.000258586, -2.38401e-05, -0.000718671, -0.000685113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390213");
-            index_3 ("0.205964, 0.221309, 0.230329, 0.239503, 0.248719, 0.257348, 0.284648, 0.302834, 0.324501, 0.343024, 0.359582, 0.374889, 0.389785, 0.40605, 0.425351, 0.438102, 0.450581, 0.458335, 0.465517, 0.475866, 0.480762, 0.490553, 0.505893, 0.514814, 0.534942, 0.535844");
-            values ( \
-              "-0.00335133, -0.0056643, -0.00723926, -0.00925243, -0.0115946, -0.0143089, -0.0237851, -0.0292984, -0.0355079, -0.0404344, -0.0444114, -0.0472151, -0.0473851, -0.0391846, -0.0245811, -0.0170392, -0.0112472, -0.00864728, -0.00667182, -0.00459253, -0.00380824, -0.00265751, -0.00144484, -0.00210284, -0.000935126, -0.000913938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00704925");
-            index_3 ("0.218926, 0.24259, 0.252883, 0.265697, 0.290461, 0.352111, 0.395944, 0.415212, 0.434309, 0.455937, 0.484138, 0.490943, 0.50562, 0.509503, 0.53075, 0.545662, 0.562005, 0.574869, 0.600595, 0.622343");
-            values ( \
-              "-0.00870241, -0.0127751, -0.0158446, -0.0205573, -0.030707, -0.051575, -0.0641071, -0.0674953, -0.0656766, -0.0519192, -0.0310246, -0.0269606, -0.0194117, -0.0190974, -0.0114041, -0.00777753, -0.00507972, -0.00361527, -0.00178613, -0.00112054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127346");
-            index_3 ("0.212784, 0.25384, 0.262915, 0.272596, 0.303315, 0.335187, 0.358372, 0.393059, 0.422848, 0.449653, 0.474906, 0.500785, 0.506576, 0.51064, 0.514646, 0.518873, 0.531329, 0.539336, 0.554008, 0.57294, 0.578314, 0.583267, 0.58987, 0.602293, 0.618337, 0.62272, 0.631486, 0.647372, 0.669797, 0.679803, 0.68433, 0.693384, 0.711491, 0.747706, 0.756077");
-            values ( \
-              "-0.00703215, -0.0184666, -0.0218351, -0.0257395, -0.0390812, -0.0514003, -0.0598855, -0.0722469, -0.0818405, -0.089198, -0.0924253, -0.0846228, -0.0812132, -0.0797366, -0.0769796, -0.0736214, -0.0642783, -0.0585422, -0.0487096, -0.0377643, -0.0350215, -0.0326461, -0.029692, -0.0247195, -0.0193929, -0.0181275, -0.0158358, -0.0123302, -0.00860697, -0.0073286, -0.00680723, -0.00588415, -0.00437081, -0.00237798, -0.00215802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0230051");
-            index_3 ("0.239158, 0.270978, 0.325119, 0.403913, 0.445481, 0.472631, 0.505487, 0.513453, 0.530855, 0.549208, 0.561302, 0.58015, 0.602094, 0.670996, 0.691214, 0.731256, 0.751309, 0.772518, 0.799855, 0.82257, 0.84921, 0.886898, 0.926243, 0.956403, 1.01639, 1.07637, 1.13635, 1.25632");
-            values ( \
-              "-0.0243499, -0.0277415, -0.0515242, -0.0828349, -0.098033, -0.107104, -0.116422, -0.116823, -0.114569, -0.111334, -0.108501, -0.102502, -0.0932077, -0.060851, -0.0523372, -0.0379409, -0.0320189, -0.0266191, -0.020814, -0.0169096, -0.013199, -0.00920771, -0.00631779, -0.00472241, -0.00258621, -0.00142164, -0.000769069, -0.000225421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.041559");
-            index_3 ("0.252627, 0.314249, 0.371962, 0.427488, 0.505364, 0.514063, 0.569219, 0.589102, 0.619316, 0.650657, 0.71064, 0.723645, 0.740984, 0.775663, 0.914137, 0.952299, 1.00079, 1.03643, 1.07524, 1.12678, 1.17351, 1.23349, 1.28611, 1.35646, 1.41645, 1.47643, 1.53641, 1.5964, 1.71636, 1.83633");
-            values ( \
-              "-0.0322507, -0.0488218, -0.0738397, -0.0965269, -0.125594, -0.126517, -0.124349, -0.12337, -0.121736, -0.119546, -0.113202, -0.11113, -0.107869, -0.0996706, -0.0611094, -0.051758, -0.0413418, -0.0347962, -0.0286597, -0.0219598, -0.0171629, -0.0124056, -0.00929192, -0.00629291, -0.00449525, -0.00320173, -0.00228054, -0.0016196, -0.000816704, -0.000410969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.42429, 0.494439, 0.514771, 0.535499, 0.54599, 0.564286, 0.626038, 0.646222, 0.671476, 0.693712, 0.714003, 0.733283, 0.75252, 0.774738, 0.776658, 0.780496, 0.786786, 0.797432, 0.812048, 0.820786, 0.82538, 0.852943, 0.880883, 0.914723, 0.957723, 1.01771, 1.07769, 1.08752");
-            values ( \
-              "-0.000187743, -0.00203032, -0.00276879, -0.00380046, -0.00446146, -0.00592628, -0.0123273, -0.0142763, -0.0165702, -0.0184007, -0.0198125, -0.0206417, -0.0196756, -0.0132807, -0.0123412, -0.0113608, -0.00920173, -0.00648156, -0.00380078, -0.00288388, -0.00227352, -0.000721736, -0.00033178, -1.26466e-05, -0.000106873, -1e-22, -8.37145e-05, -6.99968e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390213");
-            index_3 ("0.4289, 0.503779, 0.523545, 0.547449, 0.559556, 0.578728, 0.637325, 0.672813, 0.702522, 0.7288, 0.752874, 0.775824, 0.798722, 0.842475, 0.858664, 0.867782, 0.880691, 0.896284, 0.908669, 0.933439, 0.970872, 1.01655, 1.2159, 1.23194, 1.25372");
-            values ( \
-              "-0.000284754, -0.00346954, -0.00462654, -0.0064055, -0.00752313, -0.00975013, -0.0176156, -0.0219873, -0.0253816, -0.0280679, -0.0301386, -0.0312505, -0.0292382, -0.0132165, -0.0085902, -0.00663109, -0.00449195, -0.00278113, -0.00188316, -0.000814515, -0.000216968, -5.00263e-05, -4.77494e-06, -0.000367963, -0.000179214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704925");
-            index_3 ("0.44115, 0.489047, 0.512187, 0.518369, 0.530732, 0.554632, 0.566061, 0.587318, 0.608532, 0.635456, 0.666226, 0.678844, 0.695668, 0.713569, 0.750199, 0.782702, 0.812633, 0.841335, 0.869965, 0.871011, 0.877289, 0.88566, 0.902402, 0.916928, 0.926227, 0.940338, 0.944308, 0.952247, 0.968125, 0.98202, 0.988665, 1.00195, 1.02853, 1.07418, 1.12779, 1.18778, 1.21625, 1.23517, 1.2598");
-            values ( \
-              "-0.00158866, -0.00373311, -0.00533942, -0.00581786, -0.0068423, -0.0091279, -0.0104465, -0.0132613, -0.0166373, -0.0209997, -0.0256834, -0.027534, -0.0299327, -0.0323947, -0.0371259, -0.040895, -0.043713, -0.0449661, -0.0405797, -0.0402784, -0.0380221, -0.0347133, -0.0276982, -0.021925, -0.0185895, -0.0141931, -0.0131246, -0.0111629, -0.00796695, -0.00586522, -0.0050601, -0.00373589, -0.00198483, -0.000594909, -0.000141261, -3.11961e-05, -2.3884e-05, -0.00049244, -0.000291928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127346");
-            index_3 ("0.488654, 0.538361, 0.564335, 0.604588, 0.679745, 0.718825, 0.773596, 0.820208, 0.861902, 0.900425, 0.937743, 0.977729, 1.01682, 1.04849, 1.07984, 1.11346, 1.147, 1.1672, 1.20758, 1.21596, 1.22622, 1.25323, 1.28783");
-            values ( \
-              "-0.00904806, -0.00940833, -0.0124347, -0.0183715, -0.0315016, -0.0377588, -0.0459784, -0.0524026, -0.0575539, -0.0612555, -0.0619769, -0.052611, -0.0368439, -0.0254948, -0.0168219, -0.0104012, -0.00625518, -0.00465407, -0.00234456, -0.00213434, -0.00245507, -0.00158132, -0.00103193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0230051");
-            index_3 ("0.488415, 0.565307, 0.599398, 0.731502, 0.788579, 0.88239, 0.920638, 0.97538, 1.02616, 1.07643, 1.13303, 1.21549, 1.2175, 1.25933, 1.28959, 1.32173, 1.36458, 1.38616, 1.41954, 1.46405, 1.52404, 1.57681");
-            values ( \
-              "-0.00703764, -0.0145148, -0.0195434, -0.0431642, -0.0525369, -0.0669624, -0.0723703, -0.0792687, -0.0836913, -0.0814403, -0.0648163, -0.0365676, -0.0367982, -0.0257165, -0.0195789, -0.0145552, -0.00967867, -0.00787813, -0.00571368, -0.00369188, -0.00202233, -0.00121648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041559");
-            index_3 ("0.548152, 0.651133, 0.736484, 0.823674, 0.930043, 1.02607, 1.06152, 1.1343, 1.15989, 1.20288, 1.21568, 1.22837, 1.2456, 1.27418, 1.37905, 1.41111, 1.4711, 1.51077, 1.56873, 1.61689, 1.65739, 1.70335, 1.76333, 1.7972, 1.84181, 1.90128, 1.96126, 2.08122, 2.20119, 2.32116");
-            values ( \
-              "-0.0239816, -0.0303033, -0.0462822, -0.0614393, -0.0789384, -0.093568, -0.0985047, -0.107072, -0.10919, -0.11031, -0.109672, -0.108014, -0.104211, -0.0968623, -0.0672109, -0.0588267, -0.04492, -0.037192, -0.0278682, -0.0217174, -0.0175424, -0.0137086, -0.00986169, -0.00818563, -0.0063942, -0.00458679, -0.00326203, -0.00164876, -0.000829917, -0.000416091" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00160839, 0.00162364, 0.00163725, 0.00164777, 0.0016549, 0.00165934", \
-            "0.00179966, 0.00181101, 0.00182265, 0.00183252, 0.00183973, 0.00184456", \
-            "0.0019108, 0.0019135, 0.00191812, 0.00192362, 0.00192852, 0.00193214", \
-            "0.00198, 0.00197766, 0.00197721, 0.00197705, 0.00197789, 0.00197921", \
-            "0.00202317, 0.00201923, 0.00201377, 0.00200875, 0.002005, 0.00200289", \
-            "0.00205113, 0.00204625, 0.00204001, 0.00203286, 0.00202603, 0.00202017" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00206374, 0.00207459, 0.00208336, 0.00208846, 0.00209245, 0.0020952", \
-            "0.00216733, 0.00215948, 0.00215371, 0.00214962, 0.00214689, 0.00214543", \
-            "0.00225406, 0.00222359, 0.00219812, 0.0021755, 0.00216088, 0.00215272", \
-            "0.00241562, 0.00233896, 0.00227095, 0.00222122, 0.00218706, 0.00216443", \
-            "0.00269782, 0.00258445, 0.00245074, 0.00233813, 0.00225836, 0.00220872", \
-            "0.00309478, 0.00293458, 0.00274369, 0.00257958, 0.00241802, 0.00230481" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A0";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A0";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00527522, 0.00528527, 0.0052936, 0.00529917, 0.0053032, 0.00530278", \
-            "0.00520894, 0.00523291, 0.00525127, 0.00526743, 0.00527976, 0.00528324", \
-            "0.00512576, 0.00515404, 0.00519296, 0.00521937, 0.00525052, 0.00526686", \
-            "0.0051154, 0.00514846, 0.00516987, 0.00519555, 0.00522058, 0.00524349", \
-            "0.0053935, 0.00534846, 0.00530942, 0.00526734, 0.00525358, 0.00523956", \
-            "0.00621073, 0.00609271, 0.00595076, 0.00577234, 0.00562036, 0.00547018" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.000328699, 0.000349885, 0.000367175, 0.000385401, 0.000392962, 0.000397628", \
-            "0.000279938, 0.00032556, 0.000365322, 0.000395499, 0.000415739, 0.000428138", \
-            "0.000146232, 0.000225949, 0.000300079, 0.000360053, 0.000412103, 0.000441706", \
-            "-3.24573e-05, 4.77704e-05, 0.000147291, 0.000254822, 0.000336612, 0.000406158", \
-            "-0.000100397, -6.20532e-05, 1.19391e-05, 0.000110646, 0.000219879, 0.000322993", \
-            "0.000349619, 0.000270138, 0.000202784, 0.000184508, 0.000213561, 0.000283466" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A1";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A1";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00651344, 0.00651976, 0.00652525, 0.00652859, 0.00653017, 0.0065292", \
-            "0.00646693, 0.00648063, 0.00649401, 0.00650455, 0.00651102, 0.00651352", \
-            "0.00640796, 0.00643165, 0.00645594, 0.00647674, 0.00649341, 0.00650292", \
-            "0.00635544, 0.00637714, 0.00639757, 0.00642273, 0.00645772, 0.00647304", \
-            "0.00632966, 0.00634319, 0.0063595, 0.0063804, 0.00640562, 0.006437", \
-            "0.00666591, 0.0066093, 0.00657246, 0.00653516, 0.00648983, 0.00647042" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00137308, 0.00137474, 0.00137592, 0.00137646, 0.00137589, 0.00137421", \
-            "0.00130347, 0.00130887, 0.00131529, 0.0013194, 0.00132159, 0.00132159", \
-            "0.00120671, 0.00122792, 0.00124103, 0.00125449, 0.00127467, 0.00127224", \
-            "0.00107824, 0.00111814, 0.0011419, 0.00117532, 0.0012049, 0.00123289", \
-            "0.00101041, 0.00102715, 0.00105877, 0.00110176, 0.0011414, 0.00118344", \
-            "0.00131212, 0.00127633, 0.00123866, 0.00120464, 0.00119921, 0.00120613" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.0036823, 0.00372418, 0.00375995, 0.00378485, 0.00380084, 0.00380991", \
-            "0.00356866, 0.00363054, 0.00369635, 0.00374596, 0.00377928, 0.00379897", \
-            "0.00346749, 0.0035041, 0.00360214, 0.00366879, 0.00372519, 0.00376816", \
-            "0.00352197, 0.00352228, 0.00356061, 0.00362207, 0.00367066, 0.00372435", \
-            "0.00394215, 0.0038782, 0.00381128, 0.00374563, 0.00371683, 0.00370677", \
-            "0.00524866, 0.00507687, 0.00485974, 0.00460596, 0.00436571, 0.0041073" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.000478036, 0.000502847, 0.00052279, 0.00053518, 0.00054428, 0.000549687", \
-            "0.000403564, 0.000442063, 0.000482706, 0.000511446, 0.000525916, 0.000536648", \
-            "0.000291291, 0.000343303, 0.00040394, 0.000454779, 0.000496524, 0.000520988", \
-            "0.000200917, 0.000248843, 0.000310105, 0.000384503, 0.000436551, 0.000479252", \
-            "0.000332625, 0.000322243, 0.000316825, 0.000340969, 0.000385926, 0.000435832", \
-            "0.00124144, 0.00102287, 0.000801535, 0.000639249, 0.000551347, 0.000513225" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * !A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * !A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00372069, 0.00376278, 0.00379494, 0.00381829, 0.00383314, 0.00384228", \
-            "0.00361444, 0.00368307, 0.00374319, 0.00378772, 0.00382014, 0.0038381", \
-            "0.00351201, 0.00357352, 0.0036553, 0.00371948, 0.00377443, 0.00381376", \
-            "0.00353524, 0.00354895, 0.00360909, 0.00366761, 0.00371962, 0.00377456", \
-            "0.00391605, 0.00385764, 0.00380375, 0.00376028, 0.0037467, 0.00375207", \
-            "0.00514359, 0.00498046, 0.00476945, 0.00453947, 0.00431922, 0.00408829" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.000472226, 0.000497123, 0.000515362, 0.000530161, 0.000539454, 0.000544947", \
-            "0.000395542, 0.000437991, 0.000478822, 0.000506414, 0.000526042, 0.000538208", \
-            "0.00028411, 0.000338154, 0.000398074, 0.000458275, 0.000493696, 0.000520058", \
-            "0.000200893, 0.000249652, 0.000311879, 0.000374527, 0.000431931, 0.000486192", \
-            "0.000312794, 0.000295448, 0.000302106, 0.000330403, 0.000377125, 0.000430662", \
-            "0.00110074, 0.000902848, 0.000717835, 0.000590069, 0.000516673, 0.000487073" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00495917, 0.00499971, 0.00503456, 0.00505837, 0.0050738, 0.0050829", \
-            "0.00485064, 0.00491871, 0.00497998, 0.00502494, 0.00505916, 0.00507715", \
-            "0.00474798, 0.0048082, 0.00488889, 0.00495367, 0.0050104, 0.00505084", \
-            "0.00477888, 0.00479375, 0.00484307, 0.00490432, 0.00495441, 0.00501049", \
-            "0.00518248, 0.00512419, 0.00505248, 0.00500317, 0.00498727, 0.00498966", \
-            "0.00643034, 0.00626328, 0.00605825, 0.0058312, 0.00559632, 0.00537792" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00162984, 0.00164801, 0.00166232, 0.00167439, 0.00168164, 0.00168466", \
-            "0.00157593, 0.00160361, 0.00162962, 0.0016536, 0.00166947, 0.00167828", \
-            "0.00149158, 0.0015282, 0.00156066, 0.00160712, 0.00163534, 0.00165431", \
-            "0.0014141, 0.00145499, 0.00148426, 0.00153185, 0.001577, 0.00161851", \
-            "0.00144953, 0.00144841, 0.00146129, 0.00149459, 0.00152627, 0.00156918", \
-            "0.00203452, 0.00191669, 0.0018031, 0.00170559, 0.00164378, 0.00161637" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00495917, 0.00499971, 0.00503456, 0.00505837, 0.0050738, 0.0050829", \
-            "0.00485064, 0.00491871, 0.00497998, 0.00502494, 0.00505916, 0.00507715", \
-            "0.00474798, 0.0048082, 0.00488889, 0.00495367, 0.0050104, 0.00505084", \
-            "0.00477888, 0.00479375, 0.00484307, 0.00490432, 0.00495441, 0.00501049", \
-            "0.00518248, 0.00512419, 0.00505248, 0.00500317, 0.00498727, 0.00498966", \
-            "0.00643034, 0.00626328, 0.00605825, 0.0058312, 0.00559632, 0.00537792" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390213, 0.00704925, 0.0127346, 0.0230051, 0.041559");
-          values ( \
-            "0.00162984, 0.00164801, 0.00166232, 0.00167439, 0.00168164, 0.00168466", \
-            "0.00157593, 0.00160361, 0.00162962, 0.0016536, 0.00166947, 0.00167828", \
-            "0.00149158, 0.0015282, 0.00156066, 0.00160712, 0.00163534, 0.00165431", \
-            "0.0014141, 0.00145499, 0.00148426, 0.00153185, 0.001577, 0.00161851", \
-            "0.00144953, 0.00144841, 0.00146129, 0.00149459, 0.00152627, 0.00156918", \
-            "0.00203452, 0.00191669, 0.0018031, 0.00170559, 0.00164378, 0.00161637" \
-          );
-        }
-      }
-    }
-    pin (A0) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00261063;
-      rise_capacitance : 0.00261063;
-      rise_capacitance_range (0.00218657, 0.00261063);
-      fall_capacitance : 0.00256931;
-      fall_capacitance_range (0.00195132, 0.00256931);
-      receiver_capacitance () {
-        when : "(A1 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00141245, 0.00150654, 0.00155322, 0.00157875, 0.00159055, 0.0015962" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0017414, 0.00178311, 0.00179348, 0.00179238, 0.00179351, 0.00179364" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00162596, 0.001733, 0.00177962, 0.00180381, 0.00181599, 0.001822" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171275, 0.00169896, 0.00168602, 0.0016764, 0.00167267, 0.00167119" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(A1 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00184722, 0.00208766, 0.00227317, 0.00243386, 0.00253174, 0.00257568" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00232734, 0.00240288, 0.00240325, 0.00230578, 0.00222943, 0.0021967" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00174445, 0.00189215, 0.00196639, 0.00200008, 0.00202027, 0.00202954" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00210739, 0.0022008, 0.00229881, 0.00242997, 0.0025577, 0.00262596" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A1 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00192798, 0.00220371, 0.0023893, 0.00250378, 0.00255677, 0.00257854" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00244716, 0.00251123, 0.00242804, 0.00230104, 0.0022392, 0.00221531" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00170225, 0.0018655, 0.001961, 0.002022, 0.00205772, 0.00207607" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00218623, 0.00234811, 0.00247836, 0.00255993, 0.0025951, 0.00260861" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00107136, -0.0010677, -0.0010701, -0.00107461, -0.00106475, -0.00106689" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00107702, 0.00108676, 0.00108711, 0.0010777, 0.00107895, 0.00107558" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00222635, -0.00223327, -0.00226846, -0.00229589, -0.00231731, -0.00232035" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00231235, 0.00231098, 0.00231332, 0.00233201, 0.00231731, 0.00232035" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.0023567, -0.00235382, -0.00236814, -0.00235901, -0.00236522, -0.00236545" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00236543, 0.00235382, 0.00236814, 0.00235901, 0.00236759, 0.00236908" \
-          );
-        }
-      }
-    }
-    pin (A1) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00268988;
-      rise_capacitance : 0.00268988;
-      rise_capacitance_range (0.00229814, 0.00268988);
-      fall_capacitance : 0.00267794;
-      fall_capacitance_range (0.00209331, 0.00267794);
-      receiver_capacitance () {
-        when : "(A0 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00195602, 0.00231476, 0.00253147, 0.00264285, 0.00269807, 0.00272375" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00253517, 0.00251257, 0.00242761, 0.00236119, 0.00232854, 0.00231884" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00179625, 0.00199323, 0.00209201, 0.0021391, 0.00216335, 0.00217772" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00230308, 0.0024656, 0.00258787, 0.00271292, 0.00277268, 0.00278796" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(A0 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00195627, 0.0023158, 0.00253078, 0.00264233, 0.00269787, 0.00272366" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00252588, 0.00250638, 0.00242475, 0.00235997, 0.00232772, 0.0023183" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00180137, 0.00200064, 0.00209862, 0.00214261, 0.0021648, 0.002176" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00230742, 0.00246326, 0.00257776, 0.00270092, 0.00276364, 0.00278445" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A0 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00200379, 0.0023619, 0.00254585, 0.00264287, 0.00269071, 0.00271165" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00262083, 0.00258555, 0.00249542, 0.0024175, 0.00237058, 0.00235007" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00176964, 0.00197726, 0.00208775, 0.00215415, 0.0021911, 0.0022108" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00233134, 0.00252996, 0.00265689, 0.00271752, 0.00274361, 0.0027506" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00192218, -0.00205556, -0.00215313, -0.00219625, -0.00220853, -0.00221" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00220746, 0.00221174, 0.00222503, 0.00222327, 0.00222194, 0.00222161" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00219186, -0.00220586, -0.00224376, -0.00227465, -0.00228348, -0.00228352" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00227498, 0.00228008, 0.0022911, 0.00229015, 0.00228866, 0.00228852" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00231491, -0.00231693, -0.00232578, -0.00231772, -0.0023235, -0.00231446" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00231491, 0.00231693, 0.00232578, 0.00231772, 0.0023291, 0.0023275" \
-          );
-        }
-      }
-    }
-    pin (B0) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00248649;
-      rise_capacitance : 0.00248649;
-      rise_capacitance_range (0.00177599, 0.00248649);
-      fall_capacitance : 0.0024801;
-      fall_capacitance_range (0.00161016, 0.0024801);
-      receiver_capacitance () {
-        when : "(!A0 * !A1)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00161306, 0.00188039, 0.00202569, 0.00210914, 0.00214833, 0.00216571" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00196097, 0.00193553, 0.0018951, 0.00182833, 0.00179545, 0.00177993" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00133664, 0.00147388, 0.00155491, 0.00160869, 0.00164193, 0.00165907" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00177655, 0.00194381, 0.00207162, 0.00213152, 0.00214936, 0.00215107" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !A1 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !A1 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00226193, -0.00226632, -0.00226612, -0.00226424, -0.00227135, -0.00226574" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00226193, 0.00226632, 0.00226612, 0.00226424, 0.00227373, 0.00227303" \
-          );
-        }
-      }
-    }
-  }
-  cell (OR2X1) {
-    area : 8.3028;
-    cell_footprint : "OR2";
-    cell_leakage_power : 0.0191481;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00382329;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.014414;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0281866;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0301684;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0191481;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A) + (B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0818133;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.0723201, 0.0864153, 0.114421, 0.17191, 0.29089, 0.537069", \
-            "0.0759466, 0.0900412, 0.118104, 0.175622, 0.294599, 0.540759", \
-            "0.0853788, 0.0995117, 0.127647, 0.18524, 0.304253, 0.550407", \
-            "0.105141, 0.11942, 0.147487, 0.205211, 0.324281, 0.570444", \
-            "0.127068, 0.141831, 0.170276, 0.228033, 0.347276, 0.593404", \
-            "0.13842, 0.154646, 0.18386, 0.241376, 0.36047, 0.607395" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.0325498, 0.0518744, 0.0927646, 0.178412, 0.355921, 0.723171", \
-            "0.0325322, 0.0518716, 0.0927607, 0.178412, 0.355921, 0.723172", \
-            "0.0324974, 0.0518382, 0.0927741, 0.178408, 0.355912, 0.723169", \
-            "0.0334426, 0.0524067, 0.0929757, 0.178367, 0.35592, 0.723173", \
-            "0.0366126, 0.05457, 0.0941504, 0.179111, 0.356368, 0.723217", \
-            "0.0432829, 0.0597096, 0.096969, 0.18012, 0.35701, 0.723938" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.111748, 0.125797, 0.15009, 0.194797, 0.282253, 0.461641", \
-            "0.116046, 0.130136, 0.154509, 0.199281, 0.286702, 0.466045", \
-            "0.127303, 0.141425, 0.165928, 0.210688, 0.298231, 0.477606", \
-            "0.153082, 0.167331, 0.192092, 0.237071, 0.324753, 0.504096", \
-            "0.201651, 0.217248, 0.243726, 0.289977, 0.378249, 0.557875", \
-            "0.285642, 0.303709, 0.333301, 0.382912, 0.473499, 0.654526" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.0312189, 0.0445453, 0.0703603, 0.122645, 0.233338, 0.468783", \
-            "0.0312255, 0.044555, 0.0703171, 0.122456, 0.233281, 0.468719", \
-            "0.031274, 0.0445724, 0.0703115, 0.122462, 0.233327, 0.468744", \
-            "0.0315066, 0.0447036, 0.070329, 0.122426, 0.233274, 0.468788", \
-            "0.0353236, 0.048774, 0.074215, 0.125315, 0.23459, 0.468967", \
-            "0.0431601, 0.0570806, 0.0823659, 0.132264, 0.239611, 0.471556" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0486386, 0.0604857, 0.0630961, 0.0658416, 0.0706104, 0.0752283, 0.0798971, 0.0831784, 0.0848383, 0.0954917, 0.102988, 0.110897, 0.115262, 0.12025, 0.126137, 0.136318, 0.141458, 0.149451, 0.160108, 0.172964");
-            values ( \
-              "0.000502467, 0.0651749, 0.0730904, 0.078829, 0.0841, 0.0846198, 0.0819323, 0.0777559, 0.0747187, 0.0469408, 0.0321199, 0.0213829, 0.0170215, 0.0130542, 0.00954058, 0.00548802, 0.0041552, 0.002688, 0.00148085, 0.000808401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00446821");
-            index_3 ("0.0486793, 0.0624453, 0.0643103, 0.0680404, 0.0720956, 0.0793311, 0.0825324, 0.08652, 0.093753, 0.0973727, 0.103707, 0.12036, 0.1323, 0.145465, 0.160003, 0.174874, 0.18867, 0.204162, 0.220686, 0.230981");
-            values ( \
-              "0.00404792, 0.0880853, 0.0948426, 0.10387, 0.109893, 0.112887, 0.112213, 0.110295, 0.105035, 0.100978, 0.0913951, 0.0588852, 0.0411579, 0.0269296, 0.0164487, 0.00977195, 0.00594471, 0.00338571, 0.00181762, 0.00137809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00924286");
-            index_3 ("0.0485018, 0.0615079, 0.0671879, 0.070614, 0.0770346, 0.0832982, 0.08952, 0.107014, 0.108521, 0.111533, 0.122016, 0.132816, 0.136697, 0.144346, 0.171674, 0.180364, 0.195914, 0.211818, 0.218269, 0.228321, 0.2458, 0.270139, 0.289785, 0.326384, 0.374641, 0.430013");
-            values ( \
-              "0.0034484, 0.095575, 0.117337, 0.124479, 0.132973, 0.134578, 0.134726, 0.128139, 0.126865, 0.125735, 0.11944, 0.110561, 0.107117, 0.0984488, 0.0664796, 0.0579658, 0.0443629, 0.0331705, 0.0295454, 0.0241658, 0.017052, 0.0103138, 0.00681445, 0.00306201, 0.00108722, 0.000176748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0191196");
-            index_3 ("0.0559539, 0.0665061, 0.0760002, 0.0818871, 0.089724, 0.0973579, 0.104962, 0.116523, 0.128604, 0.152474, 0.154949, 0.179434, 0.205975, 0.219846, 0.27221, 0.30173, 0.320616, 0.344689, 0.363047, 0.381911, 0.414679, 0.429835, 0.460148, 0.48575, 0.520319, 0.566411, 0.646274, 0.726137, 0.806001");
-            values ( \
-              "0.121965, 0.124526, 0.144201, 0.14779, 0.150285, 0.1492, 0.148631, 0.145462, 0.143061, 0.135836, 0.135418, 0.127273, 0.115403, 0.107383, 0.0744829, 0.0581079, 0.0489176, 0.0388562, 0.032375, 0.0266733, 0.0188674, 0.0160394, 0.0114803, 0.00864772, 0.00587095, 0.00343956, 0.00130471, 0.000473694, 0.000191395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0395505");
-            index_3 ("0.0559112, 0.0755387, 0.0814629, 0.0889192, 0.0965353, 0.118208, 0.196651, 0.246081, 0.31196, 0.355337, 0.405905, 0.497893, 0.552491, 0.614084, 0.646926, 0.700045, 0.749695, 0.79335, 0.837067, 0.899763, 0.981034, 1.0609, 1.14076, 1.30049, 1.46021");
-            values ( \
-              "0.120403, 0.150132, 0.155692, 0.158283, 0.159184, 0.157811, 0.147718, 0.140481, 0.128766, 0.118187, 0.102863, 0.0734077, 0.0579732, 0.0432561, 0.0367533, 0.0279412, 0.021423, 0.0168938, 0.0132631, 0.00930343, 0.00585358, 0.0036804, 0.00230234, 0.000898025, 0.000348647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0818133");
-            index_3 ("0.0616628, 0.0616828, 0.204649, 0.381877, 0.518161, 0.598315, 0.740476, 0.944929, 1.08641, 1.23302, 1.4464, 1.56817, 1.70874, 1.94558, 2.26503, 2.39268");
-            values ( \
-              "1e-22, 0.171365, 0.159179, 0.147013, 0.136198, 0.128114, 0.108522, 0.0757342, 0.0559888, 0.0392818, 0.0226039, 0.0162493, 0.0110007, 0.00561425, 0.00220586, 0.00182123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0665068, 0.0715189, 0.0748091, 0.077305, 0.0801477, 0.0849095, 0.0895249, 0.0950115, 0.0974813, 0.0991326, 0.110917, 0.117203, 0.122351, 0.125148, 0.129737, 0.134982, 0.138749, 0.14332, 0.149414, 0.155649, 0.165266, 0.17809, 0.191232");
-            values ( \
-              "0.0260162, 0.0527167, 0.0659334, 0.0726747, 0.07932, 0.0838493, 0.0849597, 0.081078, 0.0777527, 0.0747232, 0.0439687, 0.0322352, 0.0247174, 0.0214204, 0.0168586, 0.0127425, 0.0104308, 0.00816143, 0.0058631, 0.00418189, 0.00247328, 0.00119228, 0.000642634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00446821");
-            index_3 ("0.0600661, 0.0823734, 0.0863543, 0.0935833, 0.0975667, 0.100769, 0.107173, 0.110389, 0.114676, 0.13669, 0.147818, 0.156144, 0.161168, 0.169734, 0.176603, 0.187524, 0.198715, 0.211722, 0.22215, 0.243005, 0.257318");
-            values ( \
-              "0.00167683, 0.104321, 0.109986, 0.112876, 0.111919, 0.110335, 0.105744, 0.102446, 0.0968089, 0.0555534, 0.0395319, 0.0302665, 0.0256777, 0.019243, 0.0151785, 0.0103508, 0.0069088, 0.00432056, 0.00294764, 0.00132009, 0.000880601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00924286");
-            index_3 ("0.0667492, 0.0763566, 0.080532, 0.0849309, 0.0911591, 0.0975968, 0.103817, 0.110006, 0.121295, 0.130926, 0.136311, 0.147081, 0.150992, 0.158639, 0.185968, 0.200298, 0.210207, 0.222888, 0.232565, 0.242617, 0.25604, 0.268208, 0.284433, 0.297982, 0.316284, 0.340688, 0.387817, 0.443313");
-            values ( \
-              "0.0450999, 0.0986133, 0.114628, 0.125164, 0.132681, 0.134936, 0.134473, 0.13268, 0.127884, 0.122631, 0.119249, 0.110775, 0.106939, 0.0986234, 0.0666481, 0.0528292, 0.044466, 0.0353135, 0.0294506, 0.0242577, 0.018587, 0.014543, 0.0103997, 0.0078355, 0.00532907, 0.00314264, 0.00103929, 0.000264935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0191196");
-            index_3 ("0.0666409, 0.0809611, 0.0904023, 0.0961948, 0.103953, 0.111623, 0.119266, 0.130827, 0.142909, 0.179154, 0.193739, 0.220279, 0.234152, 0.286506, 0.305618, 0.33493, 0.358997, 0.377346, 0.396212, 0.428984, 0.444144, 0.474464, 0.500059, 0.53462, 0.580702, 0.660565, 0.740428, 0.820292");
-            values ( \
-              "0.0567289, 0.125561, 0.144521, 0.147855, 0.15033, 0.149194, 0.148653, 0.145445, 0.143077, 0.132343, 0.127271, 0.115405, 0.10738, 0.0744854, 0.0636109, 0.0489113, 0.0388569, 0.0323789, 0.0266721, 0.0188652, 0.0160406, 0.0114769, 0.00864915, 0.00587303, 0.00343822, 0.00130681, 0.00047189, 0.000193304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0395505");
-            index_3 ("0.0722623, 0.0936209, 0.0999999, 0.108207, 0.118264, 0.147919, 0.234891, 0.310423, 0.326256, 0.36963, 0.420189, 0.512189, 0.566769, 0.628367, 0.661225, 0.714373, 0.763998, 0.807626, 0.85135, 0.91405, 0.99534, 1.0752, 1.15507, 1.31479, 1.47452");
-            values ( \
-              "0.148683, 0.153997, 0.157395, 0.158897, 0.158981, 0.156, 0.144274, 0.131989, 0.128768, 0.11819, 0.102863, 0.0734095, 0.057975, 0.0432606, 0.0367506, 0.0279344, 0.0214237, 0.0168971, 0.0132623, 0.00930537, 0.00585475, 0.00367859, 0.00230372, 0.000899488, 0.00035013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0818133");
-            index_3 ("0.0775788, 0.0775988, 0.245077, 0.35194, 0.45257, 0.559092, 0.638955, 0.674959, 0.735102, 0.979719, 1.10287, 1.18273, 1.26677, 1.37921, 1.45908, 1.50252, 1.58239, 1.66225, 1.80283, 1.95986, 2.11959, 2.35918, 2.51029");
-            values ( \
-              "1e-22, 0.17423, 0.157387, 0.150097, 0.142702, 0.133641, 0.124868, 0.120189, 0.111443, 0.072726, 0.0556032, 0.0461074, 0.0374963, 0.0281204, 0.0228021, 0.020277, 0.0163529, 0.0131057, 0.00885721, 0.00571404, 0.0036322, 0.00180781, 0.00128979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.100958, 0.104072, 0.106274, 0.109819, 0.112612, 0.115118, 0.119864, 0.124468, 0.129255, 0.133029, 0.136146, 0.143291, 0.146821, 0.152601, 0.158506, 0.161618, 0.166747, 0.172095, 0.177032, 0.183613, 0.190449, 0.2017, 0.216702, 0.227232");
-            values ( \
-              "0.0102291, 0.0397374, 0.0521694, 0.0663352, 0.0743079, 0.0794261, 0.0843313, 0.0848391, 0.0818769, 0.0767646, 0.0700185, 0.0504686, 0.0421442, 0.0314715, 0.0231804, 0.0197626, 0.0150928, 0.0113434, 0.0087119, 0.00608578, 0.00420053, 0.00226658, 0.000957078, 0.000602694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00446821");
-            index_3 ("0.100941, 0.105527, 0.10816, 0.110864, 0.113661, 0.118081, 0.121389, 0.127992, 0.132247, 0.13578, 0.143012, 0.146593, 0.153112, 0.169031, 0.177298, 0.182709, 0.191241, 0.196112, 0.204647, 0.21157, 0.217119, 0.224288, 0.234381, 0.245264, 0.253659, 0.27045, 0.30032, 0.335914");
-            values ( \
-              "0.0130038, 0.0571817, 0.0735462, 0.0861463, 0.0958912, 0.106141, 0.110192, 0.113076, 0.112094, 0.110453, 0.104987, 0.101052, 0.091131, 0.0599485, 0.0469666, 0.0396867, 0.0301663, 0.0257262, 0.0193001, 0.0151972, 0.0125259, 0.00971857, 0.00675356, 0.00456157, 0.00335711, 0.00178272, 0.00052177, 0.000109346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00924286");
-            index_3 ("0.100929, 0.107589, 0.109998, 0.11713, 0.120055, 0.125905, 0.132696, 0.13891, 0.156159, 0.1579, 0.161383, 0.168349, 0.171397, 0.186056, 0.203191, 0.207418, 0.224212, 0.244121, 0.260674, 0.268658, 0.277174, 0.291177, 0.303247, 0.319339, 0.333023, 0.351515, 0.376171, 0.419442, 0.474276");
-            values ( \
-              "0.0223635, 0.077648, 0.0932649, 0.120188, 0.125356, 0.133118, 0.134706, 0.134928, 0.128354, 0.126805, 0.125561, 0.120952, 0.119497, 0.107234, 0.0875269, 0.0820611, 0.0633888, 0.0452727, 0.0334758, 0.0288483, 0.0245745, 0.0185228, 0.0145159, 0.0104891, 0.00780099, 0.00527269, 0.00315775, 0.00110892, 0.000335821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0191196");
-            index_3 ("0.106406, 0.119418, 0.12571, 0.131468, 0.139121, 0.146831, 0.154525, 0.178166, 0.204513, 0.228996, 0.255536, 0.269399, 0.321872, 0.351124, 0.37007, 0.39421, 0.412683, 0.431518, 0.46424, 0.479357, 0.509591, 0.535274, 0.569959, 0.616204, 0.696068, 0.775931, 0.855794");
-            values ( \
-              "0.130201, 0.134435, 0.144582, 0.148381, 0.150105, 0.149542, 0.148396, 0.142817, 0.135404, 0.127287, 0.115391, 0.107396, 0.0744296, 0.0581882, 0.0489705, 0.0388656, 0.032345, 0.0266647, 0.0188715, 0.0160414, 0.0114997, 0.00864671, 0.00586131, 0.00343423, 0.00129682, 0.000476564, 0.000186653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0395505");
-            index_3 ("0.106338, 0.13103, 0.13974, 0.150394, 0.172335, 0.265149, 0.295631, 0.345553, 0.36226, 0.404892, 0.451972, 0.548068, 0.59827, 0.655644, 0.699288, 0.722956, 0.770293, 0.804493, 0.863314, 0.930537, 0.975285, 1.04416, 1.12403, 1.20389, 1.36362, 1.52334");
-            values ( \
-              "0.132975, 0.155806, 0.158645, 0.159089, 0.157267, 0.144997, 0.140386, 0.13201, 0.128611, 0.118187, 0.103978, 0.0732293, 0.0589652, 0.0449955, 0.0362429, 0.0321084, 0.0250371, 0.0208372, 0.0151072, 0.0103473, 0.00803739, 0.00542518, 0.00340659, 0.00213276, 0.000832442, 0.000323977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0818133");
-            index_3 ("0.110806, 0.110826, 0.285175, 0.487531, 0.59412, 0.647572, 0.709853, 0.789717, 1.01471, 1.13565, 1.21552, 1.30252, 1.41578, 1.49564, 1.61741, 1.69727, 1.83785, 1.99482, 2.07468, 2.23441, 2.39414, 2.71359, 2.95318");
-            values ( \
-              "1e-22, 0.170763, 0.156998, 0.142721, 0.133651, 0.127985, 0.120223, 0.108395, 0.0727067, 0.0558662, 0.0463699, 0.0374091, 0.0279914, 0.02272, 0.0163643, 0.0130921, 0.00884439, 0.00572648, 0.0045177, 0.00285443, 0.00179652, 0.000701603, 0.000389184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.175752, 0.181787, 0.188119, 0.192376, 0.19557, 0.200679, 0.205489, 0.210307, 0.21535, 0.217952, 0.227266, 0.233454, 0.238509, 0.242157, 0.246312, 0.251852, 0.25646, 0.263522, 0.269738, 0.27742, 0.287663, 0.305884, 0.327367");
-            values ( \
-              "0.00168014, 0.0241091, 0.0501406, 0.0644979, 0.072484, 0.0797996, 0.0819112, 0.0800865, 0.0734575, 0.0679662, 0.0437108, 0.0323203, 0.0249274, 0.0206905, 0.0166532, 0.012404, 0.00970334, 0.00663, 0.00473138, 0.00311668, 0.00175399, 0.00059849, 0.000154163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00446821");
-            index_3 ("0.177416, 0.188648, 0.194011, 0.198991, 0.20234, 0.20981, 0.211708, 0.217159, 0.220741, 0.224712, 0.232336, 0.249292, 0.256642, 0.265949, 0.273303, 0.279104, 0.286839, 0.294681, 0.304734, 0.315473, 0.327665, 0.337296, 0.35656, 0.366887");
-            values ( \
-              "0.0107512, 0.0634348, 0.0858775, 0.0994057, 0.105143, 0.110221, 0.109573, 0.108701, 0.107012, 0.1041, 0.0949702, 0.0622507, 0.0501771, 0.0375968, 0.0296625, 0.0245065, 0.0188457, 0.01438, 0.0100938, 0.00685604, 0.00441705, 0.0030997, 0.00149002, 0.00112643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00924286");
-            index_3 ("0.167525, 0.210322, 0.216231, 0.221145, 0.226328, 0.236695, 0.252669, 0.266335, 0.296784, 0.322968, 0.346666, 0.361438, 0.376057, 0.392269, 0.411435, 0.433524, 0.45119, 0.486522, 0.501163");
-            values ( \
-              "0.00325783, 0.131168, 0.132558, 0.132954, 0.132054, 0.128206, 0.119238, 0.108092, 0.0728887, 0.0474017, 0.0307437, 0.0231224, 0.0172866, 0.0124287, 0.00832435, 0.00523572, 0.00358531, 0.00162813, 0.00130097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0191196");
-            index_3 ("0.182561, 0.191857, 0.197681, 0.204713, 0.210216, 0.212542, 0.214121, 0.218896, 0.225262, 0.235823, 0.259473, 0.289717, 0.310321, 0.331566, 0.33877, 0.35318, 0.406129, 0.431848, 0.450989, 0.475343, 0.494185, 0.519308, 0.539328, 0.555468, 0.576987, 0.611778, 0.651069, 0.682112, 0.744199, 0.824063, 0.903926");
-            values ( \
-              "0.0824248, 0.0929724, 0.118741, 0.136622, 0.143276, 0.14379, 0.145511, 0.147941, 0.149032, 0.148187, 0.142754, 0.134219, 0.127282, 0.118067, 0.114252, 0.105903, 0.0725743, 0.0584624, 0.0491271, 0.0389118, 0.0322638, 0.0248975, 0.020157, 0.016959, 0.0134137, 0.00910769, 0.00587156, 0.00412201, 0.00197997, 0.000737812, 0.000278938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0395505");
-            index_3 ("0.189824, 0.220589, 0.227174, 0.235087, 0.247634, 0.263669, 0.28056, 0.314343, 0.327777, 0.351746, 0.377205, 0.427254, 0.486461, 0.537017, 0.62902, 0.683596, 0.745195, 0.778056, 0.831211, 0.88083, 0.924453, 0.968178, 1.03088, 1.11217, 1.19204, 1.2719, 1.43163, 1.59135");
-            values ( \
-              "0.156623, 0.157372, 0.157882, 0.159217, 0.157387, 0.156597, 0.153497, 0.150004, 0.147167, 0.144718, 0.139936, 0.132432, 0.118194, 0.10286, 0.0734134, 0.0579723, 0.0432648, 0.0367472, 0.0279302, 0.0214266, 0.0169004, 0.0132595, 0.00930838, 0.00585755, 0.00367566, 0.00230656, 0.000902325, 0.000352956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0818133");
-            index_3 ("0.189527, 0.223686, 0.235032, 0.246222, 0.31406, 0.468412, 0.569043, 0.675563, 0.755426, 0.791433, 0.851575, 1.09619, 1.21934, 1.29921, 1.38324, 1.49569, 1.57555, 1.69886, 1.77872, 1.91931, 2.07633, 2.23606, 2.47565, 2.71524, 3.03469");
-            values ( \
-              "0.160372, 0.162394, 0.164056, 0.164168, 0.160434, 0.150092, 0.142696, 0.133635, 0.124875, 0.120182, 0.111449, 0.0727335, 0.0556109, 0.0460997, 0.0375047, 0.0281292, 0.0227931, 0.0163437, 0.013115, 0.00886649, 0.00570491, 0.0036231, 0.00181692, 0.000919559, 0.000369079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.332615, 0.343552, 0.362904, 0.369184, 0.374741, 0.380059, 0.386652, 0.399, 0.406608, 0.414167, 0.424462, 0.435515, 0.447965, 0.462068");
-            values ( \
-              "0.00374617, 0.0144484, 0.0565336, 0.067457, 0.0724505, 0.0738699, 0.0682826, 0.040602, 0.02798, 0.0191187, 0.0111876, 0.00622586, 0.00320792, 0.00191445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00446821");
-            index_3 ("0.332597, 0.345584, 0.364771, 0.370691, 0.379094, 0.38695, 0.394807, 0.403098, 0.420239, 0.435911, 0.44771, 0.463235, 0.47683, 0.486618, 0.51177, 0.524742");
-            values ( \
-              "0.00364619, 0.0224065, 0.077148, 0.0902262, 0.101232, 0.103589, 0.10112, 0.0925436, 0.0610165, 0.0381597, 0.0261277, 0.0154616, 0.00962382, 0.00677876, 0.00251781, 0.00179812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00924286");
-            index_3 ("0.332379, 0.349634, 0.365007, 0.376087, 0.383228, 0.393644, 0.401655, 0.409404, 0.426055, 0.437849, 0.476103, 0.496107, 0.516541, 0.544319, 0.566618, 0.58387, 0.616082, 0.644073, 0.659893");
-            values ( \
-              "0.000998637, 0.0375892, 0.0901574, 0.116631, 0.124726, 0.128871, 0.127816, 0.125064, 0.11622, 0.106396, 0.0635021, 0.045366, 0.0312562, 0.0183152, 0.0116406, 0.008116, 0.00411286, 0.00222906, 0.00173885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0191196");
-            index_3 ("0.332372, 0.35508, 0.364906, 0.37325, 0.381776, 0.391887, 0.401931, 0.405859, 0.427748, 0.433662, 0.454609, 0.487539, 0.496422, 0.516555, 0.581349, 0.62484, 0.652408, 0.68233, 0.716253, 0.764746, 0.797063, 0.849656, 0.91978, 0.999643, 1.07951");
-            values ( \
-              "0.00265134, 0.0579959, 0.0957432, 0.120824, 0.135852, 0.14426, 0.145859, 0.146009, 0.142266, 0.140788, 0.135162, 0.124149, 0.120372, 0.110225, 0.0698652, 0.0475618, 0.0364151, 0.0268444, 0.0187183, 0.0109488, 0.00759697, 0.00411821, 0.00199702, 0.000534735, 0.000411968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0395505");
-            index_3 ("0.353206, 0.372785, 0.381511, 0.391301, 0.401575, 0.411893, 0.425374, 0.444576, 0.526368, 0.569761, 0.60026, 0.653353, 0.665245, 0.807473, 0.88094, 0.928343, 0.964293, 1.00155, 1.05126, 1.09619, 1.15609, 1.2064, 1.27433, 1.35419, 1.43405, 1.59378, 1.75351");
-            values ( \
-              "0.120531, 0.12437, 0.142314, 0.152237, 0.155886, 0.156541, 0.155885, 0.153826, 0.143489, 0.136878, 0.131479, 0.119275, 0.115934, 0.0711289, 0.0512623, 0.0407405, 0.0339911, 0.028009, 0.021477, 0.0168194, 0.012038, 0.00906578, 0.00616087, 0.00386893, 0.00242707, 0.000949143, 0.000370717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0818133");
-            index_3 ("0.35764, 0.390626, 0.398232, 0.416739, 0.450512, 0.543153, 0.603888, 0.739912, 0.846442, 0.926306, 0.962311, 1.02245, 1.24543, 1.39023, 1.47009, 1.55412, 1.66657, 1.74643, 1.86974, 1.94961, 2.09019, 2.24722, 2.40694, 2.64653, 2.78442");
-            values ( \
-              "0.151049, 0.155816, 0.160113, 0.16278, 0.161723, 0.156605, 0.152532, 0.142662, 0.133608, 0.124891, 0.120161, 0.111465, 0.0759858, 0.0556231, 0.0460872, 0.0375137, 0.0281378, 0.0227844, 0.0163355, 0.0131231, 0.00887432, 0.00569758, 0.00361595, 0.00182382, 0.00129956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.680199, 0.695322, 0.700946, 0.704899, 0.724556, 0.732855, 0.739928, 0.746373, 0.752653, 0.758916, 0.764352, 0.768649, 0.773521, 0.779848, 0.787121, 0.793088, 0.798542, 0.802428, 0.8102, 0.819107, 0.826222, 0.840451, 0.852334");
-            values ( \
-              "0.0024529, 0.00783299, 0.0126907, 0.017161, 0.042033, 0.0517076, 0.058356, 0.062093, 0.0620834, 0.055008, 0.0438558, 0.036224, 0.0289756, 0.0214432, 0.0150571, 0.011146, 0.00846269, 0.00693283, 0.0046466, 0.00295942, 0.00205291, 0.000979559, 0.00059986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00446821");
-            index_3 ("0.688503, 0.703942, 0.710513, 0.734507, 0.740486, 0.74482, 0.753956, 0.762635, 0.771321, 0.773678, 0.776192, 0.791639, 0.800167, 0.805855, 0.813181, 0.818812, 0.824722, 0.835042, 0.839868, 0.849522, 0.857409, 0.866092, 0.87767, 0.900826, 0.931233, 0.96878");
-            values ( \
-              "0.0108369, 0.020034, 0.0299732, 0.0705464, 0.0793284, 0.0847203, 0.0918856, 0.0933627, 0.088566, 0.0857259, 0.0821662, 0.0556213, 0.043662, 0.0367032, 0.0291182, 0.0242715, 0.0199545, 0.0140623, 0.01191, 0.00849418, 0.00643244, 0.00473097, 0.00311832, 0.00129236, 0.00037447, 7.12907e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00924286");
-            index_3 ("0.695179, 0.714099, 0.739588, 0.74953, 0.762467, 0.767213, 0.77254, 0.777807, 0.788341, 0.798755, 0.806758, 0.822064, 0.835953, 0.84935, 0.865346, 0.878781, 0.889647, 0.904135, 0.91359, 0.921242, 0.931445, 0.951114, 0.960076, 0.973715, 0.9919, 1.02827, 1.08094, 1.14526");
-            values ( \
-              "0.0247206, 0.0406737, 0.0929551, 0.108607, 0.119386, 0.120856, 0.121437, 0.12093, 0.11791, 0.112093, 0.105508, 0.0890919, 0.0729874, 0.059191, 0.0451291, 0.0354027, 0.0289127, 0.0218765, 0.0181224, 0.0155515, 0.0126729, 0.00838988, 0.00696298, 0.00522876, 0.00356872, 0.00156263, 0.000450379, 6.83437e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0191196");
-            index_3 ("0.680226, 0.712469, 0.736802, 0.751104, 0.756006, 0.765504, 0.773633, 0.786068, 0.79809, 0.81583, 0.835809, 0.849455, 0.876214, 0.881924, 0.949569, 0.980042, 1.0106, 1.03231, 1.04723, 1.08492, 1.10705, 1.12769, 1.15633, 1.18893, 1.21599, 1.25527, 1.3174, 1.33448");
-            values ( \
-              "0.00310546, 0.0396086, 0.0954002, 0.122552, 0.128781, 0.136805, 0.140115, 0.141491, 0.140241, 0.136557, 0.130972, 0.126418, 0.114818, 0.111686, 0.0702125, 0.0540753, 0.0405441, 0.0327499, 0.0281544, 0.0189294, 0.0149257, 0.0119031, 0.008661, 0.00601407, 0.00442232, 0.00284481, 0.00138526, 0.00119951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0395505");
-            index_3 ("0.680166, 0.772343, 0.787881, 0.802766, 0.818842, 0.840305, 0.866361, 0.91431, 0.939752, 0.968546, 1.02187, 1.03353, 1.08017, 1.16036, 1.20769, 1.2401, 1.27632, 1.30905, 1.33264, 1.36409, 1.41885, 1.46792, 1.53334, 1.59476, 1.6282, 1.6951, 1.77497, 1.85483, 1.93469, 2.01456, 2.17428");
-            values ( \
-              "0.00423119, 0.150314, 0.153998, 0.154061, 0.152683, 0.150264, 0.146847, 0.140131, 0.136128, 0.131092, 0.119011, 0.115766, 0.101468, 0.0758083, 0.0620575, 0.0536063, 0.0451585, 0.0384287, 0.0341065, 0.0289853, 0.0216363, 0.0165645, 0.0114868, 0.00812211, 0.00671469, 0.00456469, 0.00286196, 0.00179161, 0.00111874, 0.000699188, 0.000272393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0818133");
-            index_3 ("0.713386, 0.740734, 0.751633, 0.763047, 0.772643, 0.787572, 0.801877, 0.818698, 0.870334, 0.991322, 1.10869, 1.18856, 1.24195, 1.31457, 1.36484, 1.43186, 1.61099, 1.68613, 1.76599, 1.84586, 1.90387, 1.95455, 2.02211, 2.10198, 2.14962, 2.2122, 2.28372, 2.35474, 2.4346, 2.48889, 2.56875, 2.64861, 2.80834, 2.96807, 3.20766, 3.52711");
-            values ( \
-              "0.10903, 0.109419, 0.132414, 0.147956, 0.155118, 0.160237, 0.161421, 0.161315, 0.158621, 0.150809, 0.142352, 0.135886, 0.130918, 0.122395, 0.115413, 0.105134, 0.0765217, 0.0654369, 0.0547535, 0.0453476, 0.039347, 0.0346541, 0.0291377, 0.0236183, 0.0208031, 0.0175732, 0.0144549, 0.0118794, 0.00951025, 0.00816807, 0.00652283, 0.00520018, 0.00329859, 0.00208747, 0.00104986, 0.000418691" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00196861, 0.00196933, 0.00197003, 0.00197054, 0.00197083, 0.001971", \
-            "0.00230113, 0.0023014, 0.00230183, 0.00230223, 0.00230252, 0.00230269", \
-            "0.00248402, 0.00248404, 0.00248412, 0.00248429, 0.00248449, 0.00248463", \
-            "0.002623, 0.00262304, 0.00262309, 0.00262316, 0.00262325, 0.00262335", \
-            "0.00276908, 0.00276913, 0.0027692, 0.00276929, 0.0027694, 0.0027695", \
-            "0.00290808, 0.00290806, 0.00290805, 0.00290808, 0.00290815, 0.00290825" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00254578, 0.00254615, 0.00254661, 0.00254718, 0.00254758, 0.00254781", \
-            "0.00257172, 0.00257171, 0.00257188, 0.00257222, 0.00257258, 0.00257283", \
-            "0.00269217, 0.00269224, 0.00269236, 0.00269252, 0.00269274, 0.00269295", \
-            "0.00304457, 0.00304344, 0.00304232, 0.00304157, 0.00304118, 0.00304104", \
-            "0.00347163, 0.00353405, 0.00358406, 0.00361594, 0.00363332, 0.00364194", \
-            "0.00327763, 0.00327794, 0.00328071, 0.0033125, 0.00337934, 0.0034198" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0789055, 0.0999324, 0.103067, 0.108973, 0.114311, 0.119321, 0.124177, 0.129028, 0.133891, 0.141788, 0.146954, 0.151284, 0.156523, 0.160542, 0.165902, 0.175133, 0.179744");
-            values ( \
-              "-0.000253645, -0.0561724, -0.0617926, -0.0699401, -0.0757913, -0.0793018, -0.081091, -0.0790147, -0.0696125, -0.0395497, -0.0235691, -0.0144551, -0.00774007, -0.0047313, -0.00246111, -0.000700041, -0.000637671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00446821");
-            index_3 ("0.0782734, 0.105093, 0.11792, 0.123182, 0.13217, 0.135587, 0.140268, 0.147217, 0.150684, 0.155006, 0.17103, 0.176434, 0.184691, 0.191084, 0.196261, 0.206615, 0.207789");
-            values ( \
-              "-0.00277819, -0.0825138, -0.102596, -0.108038, -0.114375, -0.115607, -0.11601, -0.111942, -0.10669, -0.0955838, -0.0398129, -0.0272827, -0.0146481, -0.00890907, -0.00589259, -0.00246966, -0.00232578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00924286");
-            index_3 ("0.0820993, 0.090502, 0.104839, 0.113986, 0.129372, 0.134892, 0.146497, 0.157656, 0.168752, 0.180168, 0.184681, 0.192822, 0.214485, 0.225419, 0.236227, 0.252466, 0.271177, 0.279754");
-            values ( \
-              "-0.0308817, -0.0483767, -0.0954377, -0.113592, -0.134872, -0.139703, -0.147538, -0.150459, -0.149639, -0.141721, -0.135823, -0.118122, -0.0595633, -0.0387481, -0.0245743, -0.0119614, -0.00502083, -0.00373164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0191196");
-            index_3 ("0.072092, 0.113166, 0.120323, 0.132907, 0.143275, 0.16069, 0.169595, 0.181469, 0.193111, 0.202381, 0.221696, 0.231622, 0.242537, 0.263426, 0.295802, 0.307978, 0.327672, 0.347429, 0.362883, 0.378985, 0.391322, 0.415998, 0.443483");
-            values ( \
-              "-0.00167903, -0.121339, -0.134957, -0.152527, -0.162723, -0.173392, -0.176417, -0.178421, -0.178861, -0.177883, -0.173242, -0.168542, -0.161263, -0.135767, -0.0804737, -0.063487, -0.042004, -0.0270659, -0.0189086, -0.0129598, -0.00965461, -0.00524313, -0.00297723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0395505");
-            index_3 ("0.0903279, 0.114657, 0.128017, 0.141875, 0.158901, 0.180593, 0.198072, 0.216916, 0.246755, 0.289834, 0.326755, 0.342961, 0.368071, 0.383714, 0.413832, 0.473943, 0.49597, 0.536209, 0.576669, 0.607212, 0.638395, 0.662191, 0.709783, 0.772155, 0.773116");
-            values ( \
-              "-0.112531, -0.130816, -0.154405, -0.170852, -0.18441, -0.193365, -0.196589, -0.197575, -0.196694, -0.191111, -0.183781, -0.17912, -0.169649, -0.161246, -0.137441, -0.0831647, -0.067013, -0.0438511, -0.0278918, -0.0195459, -0.0135425, -0.0101831, -0.00565642, -0.00255096, -0.00253525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0818133");
-            index_3 ("0.090056, 0.113421, 0.129874, 0.140488, 0.158101, 0.175102, 0.191101, 0.212433, 0.251833, 0.333125, 0.394938, 0.468958, 0.538842, 0.560137, 0.588529, 0.627537, 0.68991, 0.832303, 0.881293, 0.914446, 0.981533, 1.01144, 1.07125, 1.11929, 1.17179, 1.23416, 1.29653, 1.35891, 1.48365, 1.67077");
-            values ( \
-              "-0.121512, -0.130743, -0.161114, -0.174793, -0.189834, -0.198627, -0.203573, -0.207284, -0.208926, -0.205688, -0.201598, -0.1956, -0.188192, -0.185366, -0.180859, -0.173244, -0.153531, -0.0888245, -0.070274, -0.0593864, -0.0416354, -0.0354362, -0.0252395, -0.0192334, -0.0141964, -0.00974931, -0.00678329, -0.00459655, -0.00214139, -0.000737675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0976044, 0.106391, 0.111126, 0.118168, 0.124078, 0.129416, 0.134427, 0.139284, 0.144135, 0.149408, 0.16215, 0.166285, 0.173087, 0.17605");
-            values ( \
-              "-0.0161628, -0.0327405, -0.0481129, -0.0616078, -0.0700563, -0.0756561, -0.0793968, -0.0809841, -0.0790975, -0.0686719, -0.0233277, -0.01466, -0.00653491, -0.00503665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00446821");
-            index_3 ("0.0951839, 0.112654, 0.120995, 0.125477, 0.133715, 0.14123, 0.148356, 0.155327, 0.162384, 0.167441, 0.184553, 0.190166, 0.197684, 0.202076, 0.209313, 0.218963, 0.232702, 0.249405");
-            values ( \
-              "-0.0034382, -0.0647836, -0.0840628, -0.0919975, -0.103372, -0.110756, -0.114894, -0.116147, -0.111794, -0.10306, -0.0440845, -0.0299715, -0.0171578, -0.012239, -0.00691136, -0.00308927, -0.000937098, -0.000246516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00924286");
-            index_3 ("0.0880956, 0.129792, 0.137526, 0.14844, 0.159357, 0.16596, 0.172713, 0.183822, 0.195224, 0.199646, 0.203461, 0.207868, 0.22276, 0.233002, 0.242162, 0.246236, 0.254383, 0.26243, 0.27541, 0.292718, 0.305349");
-            values ( \
-              "-7.47848e-05, -0.114874, -0.126368, -0.138783, -0.146378, -0.149154, -0.150513, -0.149574, -0.141764, -0.135962, -0.128893, -0.118126, -0.075999, -0.052212, -0.0361399, -0.0304857, -0.0214281, -0.0150132, -0.00832412, -0.00360923, -0.00225223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0191196");
-            index_3 ("0.0951685, 0.109101, 0.121152, 0.135438, 0.149732, 0.158347, 0.178728, 0.18591, 0.198186, 0.217491, 0.236794, 0.246118, 0.261253, 0.268601, 0.280824, 0.299202, 0.322179, 0.343635, 0.365269, 0.384701, 0.395542, 0.417224, 0.45859, 0.460234");
-            values ( \
-              "-0.0331471, -0.0657306, -0.105595, -0.135358, -0.154713, -0.162933, -0.174688, -0.176667, -0.178745, -0.177999, -0.173268, -0.168895, -0.158104, -0.150089, -0.131503, -0.0990606, -0.0643917, -0.0410109, -0.0252926, -0.0160917, -0.0124549, -0.00733982, -0.00245251, -0.00239047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0395505");
-            index_3 ("0.0952994, 0.126984, 0.134296, 0.147585, 0.157029, 0.175445, 0.193833, 0.199539, 0.219721, 0.232046, 0.268148, 0.30496, 0.349041, 0.369751, 0.383192, 0.403851, 0.428993, 0.488391, 0.511363, 0.554009, 0.576455, 0.596564, 0.635721, 0.657763, 0.701846, 0.764219, 0.7902");
-            values ( \
-              "-0.040296, -0.12426, -0.139854, -0.160409, -0.171187, -0.185095, -0.192818, -0.194193, -0.197098, -0.197685, -0.196013, -0.191209, -0.181772, -0.175188, -0.16965, -0.157986, -0.137374, -0.0836869, -0.0668074, -0.042532, -0.0331573, -0.0263814, -0.0167008, -0.0128512, -0.00749837, -0.00339038, -0.00261152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0818133");
-            index_3 ("0.112328, 0.156855, 0.175958, 0.195597, 0.218194, 0.242857, 0.266919, 0.302219, 0.39892, 0.500289, 0.561158, 0.623283, 0.642734, 0.681636, 0.73555, 0.839025, 0.903321, 0.984426, 1.06577, 1.12809, 1.16779, 1.2415, 1.30387, 1.42862, 1.47172");
-            values ( \
-              "-0.154859, -0.176044, -0.191731, -0.200828, -0.206156, -0.208479, -0.208906, -0.208018, -0.202347, -0.194014, -0.187209, -0.177348, -0.173183, -0.162226, -0.14011, -0.0922722, -0.0678712, -0.0445296, -0.0284563, -0.0199119, -0.0158366, -0.0102767, -0.00708335, -0.00334222, -0.00289179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.134008, 0.138066, 0.140857, 0.143065, 0.14627, 0.154945, 0.160872, 0.166221, 0.171239, 0.176101, 0.180957, 0.186233, 0.194213, 0.198773, 0.204588, 0.209345, 0.212781, 0.217816");
-            values ( \
-              "-0.0151359, -0.0221363, -0.0291288, -0.0315421, -0.0441448, -0.0613723, -0.0698974, -0.0755295, -0.079295, -0.0809111, -0.079032, -0.0686185, -0.0377853, -0.0237885, -0.0123477, -0.00694355, -0.00460371, -0.00243731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00446821");
-            index_3 ("0.133961, 0.144831, 0.149665, 0.162496, 0.170741, 0.17826, 0.185389, 0.192362, 0.199329, 0.204471, 0.221592, 0.227205, 0.234722, 0.246353, 0.254456");
-            values ( \
-              "-0.018876, -0.0471694, -0.0647058, -0.0918296, -0.103383, -0.110636, -0.114931, -0.116049, -0.111938, -0.103059, -0.0440789, -0.0299674, -0.0171548, -0.00690852, -0.00370325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00924286");
-            index_3 ("0.133997, 0.155665, 0.168642, 0.174514, 0.186986, 0.198538, 0.209713, 0.220799, 0.231883, 0.236777, 0.24486, 0.266723, 0.277503, 0.288197, 0.296283, 0.304561, 0.323531, 0.332135");
-            values ( \
-              "-0.0237626, -0.0917387, -0.118142, -0.126658, -0.140227, -0.147564, -0.150734, -0.149617, -0.142134, -0.135778, -0.118146, -0.0591153, -0.0386715, -0.0246423, -0.0172586, -0.0119281, -0.00494369, -0.00367136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0191196");
-            index_3 ("0.129219, 0.158232, 0.165423, 0.172401, 0.185744, 0.195292, 0.213226, 0.215656, 0.227898, 0.2351, 0.249504, 0.264036, 0.27397, 0.293697, 0.296202, 0.306224, 0.317766, 0.336198, 0.347728, 0.359081, 0.374218, 0.382227, 0.392317, 0.40577, 0.417109, 0.428476, 0.443632, 0.473944, 0.518662, 0.572693");
-            values ( \
-              "-0.0114696, -0.106191, -0.122442, -0.135588, -0.15378, -0.163045, -0.173725, -0.174717, -0.177838, -0.178673, -0.178597, -0.17614, -0.172964, -0.161974, -0.159909, -0.149199, -0.131497, -0.0989361, -0.0803523, -0.0644415, -0.0470145, -0.039555, -0.0316432, -0.0232862, -0.0178846, -0.0136948, -0.00952939, -0.00444205, -0.00131692, -0.000271774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0395505");
-            index_3 ("0.144332, 0.166789, 0.180626, 0.194088, 0.211027, 0.22983, 0.238615, 0.250328, 0.269071, 0.305199, 0.34198, 0.378895, 0.386513, 0.412632, 0.420219, 0.435394, 0.465979, 0.498288, 0.526299, 0.547415, 0.563763, 0.587975, 0.607921, 0.629301, 0.659637, 0.672716, 0.698875, 0.751193, 0.813565, 0.875938, 0.938311");
-            values ( \
-              "-0.130207, -0.131243, -0.155371, -0.171318, -0.184457, -0.192505, -0.194719, -0.196552, -0.197718, -0.196047, -0.191221, -0.183682, -0.181654, -0.172933, -0.169652, -0.161534, -0.13745, -0.107037, -0.0830092, -0.0674974, -0.0570636, -0.0440343, -0.0353413, -0.0277387, -0.0194846, -0.0167128, -0.0122413, -0.00640185, -0.00289337, -0.00129156, -0.00058674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0818133");
-            index_3 ("0.145293, 0.173088, 0.194047, 0.21102, 0.230123, 0.248393, 0.26848, 0.297415, 0.317373, 0.374951, 0.447087, 0.508296, 0.598294, 0.660667, 0.679885, 0.718321, 0.773109, 0.877722, 0.938955, 1.02119, 1.05936, 1.10338, 1.1655, 1.20479, 1.27754, 1.33991, 1.46465, 1.5894, 1.71414");
-            values ( \
-              "-0.137948, -0.146827, -0.176328, -0.19059, -0.199928, -0.204926, -0.207586, -0.208964, -0.208737, -0.206268, -0.201597, -0.196738, -0.187173, -0.177341, -0.173145, -0.162418, -0.139908, -0.0916246, -0.0683869, -0.0446204, -0.0362726, -0.0283796, -0.019883, -0.015849, -0.0103439, -0.00713206, -0.00336603, -0.00158098, -0.000741126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.21971, 0.224899, 0.229386, 0.234433, 0.241695, 0.247707, 0.253106, 0.258159, 0.263047, 0.267929, 0.273215, 0.283791, 0.289537, 0.294338, 0.300816, 0.307423, 0.315857");
-            values ( \
-              "-0.00846753, -0.0215513, -0.0297545, -0.0460417, -0.060311, -0.0691148, -0.0749311, -0.0788232, -0.0805339, -0.0787595, -0.0684603, -0.0292958, -0.0156657, -0.00887165, -0.00402101, -0.00176265, -0.00065956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00446821");
-            index_3 ("0.221192, 0.237506, 0.249587, 0.257887, 0.265429, 0.272577, 0.279564, 0.286545, 0.291637, 0.308822, 0.314437, 0.321955, 0.333595, 0.343254, 0.347385");
-            values ( \
-              "-0.0153241, -0.066332, -0.0910907, -0.102957, -0.110249, -0.114698, -0.115827, -0.111818, -0.103084, -0.0440562, -0.0299558, -0.0171519, -0.00690435, -0.00308745, -0.00270208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00924286");
-            index_3 ("0.221087, 0.244172, 0.254316, 0.262043, 0.274522, 0.286077, 0.297255, 0.308343, 0.319428, 0.32432, 0.329537, 0.332406, 0.346635, 0.354261, 0.365048, 0.370011, 0.375747, 0.383832, 0.392107, 0.398427, 0.411067, 0.434439, 0.46196");
-            values ( \
-              "-0.020827, -0.0943829, -0.114954, -0.126513, -0.140216, -0.147484, -0.150743, -0.149568, -0.142149, -0.135772, -0.125439, -0.11814, -0.0777243, -0.0591344, -0.0386739, -0.0314809, -0.0246383, -0.0172566, -0.0119289, -0.00894933, -0.00494662, -0.0014888, -0.000345724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0191196");
-            index_3 ("0.227959, 0.246228, 0.260314, 0.271531, 0.283152, 0.301331, 0.315478, 0.322934, 0.337848, 0.350924, 0.361798, 0.381509, 0.384055, 0.394239, 0.405592, 0.435555, 0.446896, 0.462018, 0.47005, 0.480168, 0.493658, 0.504952, 0.516278, 0.53138, 0.561584, 0.60629, 0.660287");
-            values ( \
-              "-0.0814373, -0.107073, -0.136021, -0.151671, -0.163232, -0.173964, -0.177878, -0.17873, -0.178602, -0.176406, -0.172982, -0.162, -0.159896, -0.14896, -0.131497, -0.080347, -0.0644519, -0.0470381, -0.0395556, -0.0316237, -0.0232516, -0.0178758, -0.0137011, -0.00954694, -0.00446346, -0.00132423, -0.000273346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0395505");
-            index_3 ("0.226692, 0.241822, 0.259458, 0.272707, 0.282048, 0.300731, 0.320709, 0.339479, 0.356983, 0.393091, 0.429877, 0.466816, 0.474246, 0.49972, 0.508111, 0.524894, 0.553878, 0.583271, 0.612895, 0.628686, 0.655621, 0.677075, 0.691202, 0.710037, 0.744652, 0.756423, 0.783917, 0.815339, 0.877711, 0.940084, 1.00246");
-            values ( \
-              "-0.0893622, -0.0988978, -0.141302, -0.161332, -0.171865, -0.185585, -0.193631, -0.196787, -0.197878, -0.196118, -0.191261, -0.183641, -0.181697, -0.173255, -0.169655, -0.160527, -0.137429, -0.109695, -0.0840138, -0.0720914, -0.0547229, -0.0434592, -0.0372067, -0.0301031, -0.0201267, -0.0175355, -0.0126727, -0.00866959, -0.00393291, -0.00176482, -0.000793528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0818133");
-            index_3 ("0.235557, 0.271084, 0.282099, 0.300023, 0.318092, 0.336481, 0.357497, 0.388146, 0.415297, 0.46291, 0.535156, 0.60934, 0.68626, 0.725286, 0.767759, 0.830132, 0.972536, 1.02153, 1.05469, 1.10682, 1.15167, 1.21147, 1.25952, 1.31202, 1.3744, 1.43677, 1.56151, 1.68626, 1.811");
-            values ( \
-              "-0.14532, -0.163806, -0.176824, -0.191556, -0.200089, -0.205005, -0.207777, -0.208966, -0.208412, -0.206201, -0.20152, -0.195509, -0.187189, -0.181521, -0.173167, -0.153614, -0.0887529, -0.070212, -0.0594449, -0.0451456, -0.0353786, -0.0252992, -0.0191759, -0.0141397, -0.0098056, -0.00672699, -0.0031637, -0.00147618, -0.000682586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.408603, 0.420704, 0.426965, 0.439575, 0.448807, 0.454489, 0.459931, 0.465299, 0.470992, 0.484361, 0.489779, 0.496178, 0.505699, 0.506599");
-            values ( \
-              "-0.00962271, -0.0232707, -0.0381804, -0.056473, -0.0661543, -0.0704206, -0.0727977, -0.072219, -0.0638134, -0.0225627, -0.0126035, -0.00603633, -0.00193581, -0.00182314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00446821");
-            index_3 ("0.408552, 0.414199, 0.422258, 0.430907, 0.444809, 0.454171, 0.462537, 0.470345, 0.477894, 0.485435, 0.492985, 0.511057, 0.517372, 0.525145, 0.530684, 0.538069, 0.539344");
-            values ( \
-              "-0.012486, -0.0199812, -0.0337199, -0.0554278, -0.0797788, -0.0921178, -0.100208, -0.105591, -0.107853, -0.105393, -0.0928866, -0.036594, -0.0237128, -0.0133806, -0.00877991, -0.00489512, -0.0045761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00924286");
-            index_3 ("0.4049, 0.451649, 0.458792, 0.472409, 0.484811, 0.496613, 0.505726, 0.513186, 0.520009, 0.530628, 0.555941, 0.567556, 0.581559, 0.598351, 0.611848, 0.620027");
-            values ( \
-              "-0.00426208, -0.104657, -0.114696, -0.129786, -0.138634, -0.14329, -0.143848, -0.141904, -0.137718, -0.121909, -0.0569798, -0.0360679, -0.0198917, -0.00940898, -0.00498955, -0.00377076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0191196");
-            index_3 ("0.416014, 0.430506, 0.440459, 0.456524, 0.471634, 0.481409, 0.500957, 0.505647, 0.523112, 0.533031, 0.542855, 0.562486, 0.573417, 0.583312, 0.603102, 0.628944, 0.654904, 0.671404, 0.694484, 0.709818, 0.734511, 0.767435, 0.786718");
-            values ( \
-              "-0.0648629, -0.0655109, -0.091077, -0.122036, -0.142653, -0.152605, -0.165964, -0.16803, -0.173656, -0.175153, -0.175244, -0.17169, -0.16694, -0.160568, -0.137312, -0.0925679, -0.0560614, -0.0394345, -0.0234304, -0.016403, -0.00909778, -0.00394225, -0.00274856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0395505");
-            index_3 ("0.41578, 0.435438, 0.455707, 0.470506, 0.480324, 0.49996, 0.52083, 0.53586, 0.552373, 0.568752, 0.594152, 0.615523, 0.631001, 0.669035, 0.683192, 0.709267, 0.7501, 0.787958, 0.815109, 0.837517, 0.854787, 0.877717, 0.896391, 0.918071, 0.94864, 0.979916, 1.00379, 1.05155, 1.11392, 1.17629, 1.23867");
-            values ( \
-              "-0.0627267, -0.0822158, -0.126721, -0.14905, -0.160664, -0.176861, -0.187524, -0.192435, -0.195233, -0.196135, -0.195288, -0.19312, -0.190943, -0.183278, -0.179381, -0.169621, -0.142211, -0.10665, -0.0834388, -0.0669338, -0.0560617, -0.0438093, -0.0356581, -0.0279278, -0.0195362, -0.0135195, -0.0101787, -0.00562126, -0.00255414, -0.00112614, -0.000522498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0818133");
-            index_3 ("0.41571, 0.465538, 0.480617, 0.501934, 0.521098, 0.545175, 0.568872, 0.593293, 0.62799, 0.664311, 0.736569, 0.810748, 0.887693, 0.916838, 0.969194, 1.03157, 1.17399, 1.22299, 1.30827, 1.35313, 1.41293, 1.46097, 1.51348, 1.57585, 1.63823, 1.76297, 1.82482");
-            values ( \
-              "-0.0557936, -0.145564, -0.165475, -0.184047, -0.194972, -0.203291, -0.206945, -0.208185, -0.207775, -0.206168, -0.201541, -0.195533, -0.187208, -0.183083, -0.173188, -0.153608, -0.0887676, -0.0702212, -0.0451547, -0.0353873, -0.025291, -0.0191844, -0.0141476, -0.00979759, -0.00673482, -0.00317143, -0.00255634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.829128, 0.836793, 0.840723, 0.841144, 0.841987, 0.843671, 0.84704, 0.850066, 0.856116, 0.858858, 0.864343, 0.870255, 0.87914, 0.886831, 0.893831, 0.900399, 0.90679, 0.913171, 0.91486, 0.916791, 0.924342, 0.927187, 0.929828, 0.933659, 0.937067, 0.938553, 0.941524, 0.947466, 0.953651");
-            values ( \
-              "-0.00467654, -0.00808606, -0.0101997, -0.0102254, -0.0105564, -0.0115705, -0.0139819, -0.0166648, -0.0240442, -0.0279984, -0.0340983, -0.0399443, -0.0476686, -0.0534028, -0.0577113, -0.0605955, -0.0613084, -0.0561394, -0.0530801, -0.0486564, -0.0290557, -0.0227408, -0.0178169, -0.0122001, -0.00853956, -0.00729285, -0.00528182, -0.00269513, -0.0015177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00446821");
-            index_3 ("0.831195, 0.845512, 0.850288, 0.855891, 0.866515, 0.881124, 0.892632, 0.902719, 0.911921, 0.920625, 0.929185, 0.937734, 0.959249, 0.966278, 0.97351, 0.977901, 0.986682, 0.988758");
-            values ( \
-              "-0.00736618, -0.0177706, -0.0224242, -0.0294048, -0.0463183, -0.0639648, -0.0756612, -0.0842199, -0.0905559, -0.0941842, -0.0940273, -0.0844644, -0.0298501, -0.0186575, -0.0111251, -0.00804579, -0.00409018, -0.003674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00924286");
-            index_3 ("0.835943, 0.851155, 0.888393, 0.913857, 0.927969, 0.941085, 0.953768, 0.966434, 0.979162, 1.00639, 1.02872, 1.04992, 1.06674");
-            values ( \
-              "-0.0144742, -0.0292957, -0.0847759, -0.112476, -0.123305, -0.130102, -0.132747, -0.129243, -0.113319, -0.0507879, -0.0207436, -0.00820084, -0.00377929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0191196");
-            index_3 ("0.827604, 0.851138, 0.895928, 0.924608, 0.948378, 0.970056, 0.990956, 1.01169, 1.03242, 1.04227, 1.05277, 1.1012, 1.12072, 1.14092, 1.16157, 1.17358, 1.1976, 1.21706");
-            values ( \
-              "-0.00583653, -0.032103, -0.102828, -0.135868, -0.153794, -0.163208, -0.166882, -0.165284, -0.156675, -0.148658, -0.135499, -0.0607891, -0.0404601, -0.0258803, -0.0160709, -0.0121154, -0.00674876, -0.00460639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0395505");
-            index_3 ("0.826875, 0.895191, 0.923635, 0.944523, 0.967833, 1.00473, 1.03663, 1.05982, 1.08195, 1.11966, 1.16121, 1.19046, 1.25176, 1.29319, 1.33636, 1.38685, 1.41386, 1.4547, 1.50916, 1.5347");
-            values ( \
-              "-0.00114974, -0.106203, -0.142721, -0.161904, -0.176265, -0.188103, -0.190625, -0.18992, -0.187824, -0.181327, -0.168021, -0.150791, -0.0964959, -0.0649692, -0.0410977, -0.0232002, -0.0169237, -0.0104015, -0.00526721, -0.00408541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0818133");
-            index_3 ("0.826056, 0.903383, 0.940533, 0.972813, 0.984409, 1.0076, 1.04228, 1.07898, 1.11457, 1.16377, 1.27849, 1.33934, 1.40135, 1.42092, 1.46007, 1.51374, 1.61638, 1.68233, 1.71731, 1.76282, 1.79928, 1.84371, 1.90608, 1.94608, 2.02045, 2.08282, 2.20757, 2.33231, 2.45706");
-            values ( \
-              "-0.00142114, -0.121585, -0.164523, -0.1862, -0.191238, -0.198185, -0.203207, -0.204391, -0.203611, -0.20115, -0.193625, -0.18715, -0.177368, -0.173181, -0.162143, -0.140107, -0.0926248, -0.0675949, -0.0565793, -0.0444823, -0.0364973, -0.0284963, -0.0199354, -0.015827, -0.0102302, -0.00705163, -0.00332728, -0.00156228, -0.000731918" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.0017414, 0.00174364, 0.00174535, 0.00174645, 0.00174706, 0.00174738", \
-            "0.00195445, 0.00195591, 0.00195731, 0.00195835, 0.001959, 0.00195936", \
-            "0.0020723, 0.00207279, 0.00207343, 0.00207408, 0.00207457, 0.00207487", \
-            "0.00213837, 0.00213864, 0.00213897, 0.00213934, 0.00213976, 0.00214", \
-            "0.00218095, 0.00218113, 0.00218138, 0.00218166, 0.00218193, 0.00218215", \
-            "0.00221294, 0.00221303, 0.00221319, 0.00221341, 0.00221365, 0.00221386" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00228395, 0.00228749, 0.00229063, 0.00229309, 0.00229455, 0.00229533", \
-            "0.0024031, 0.00240596, 0.00240904, 0.00241165, 0.00241339, 0.00241438", \
-            "0.00245716, 0.00246094, 0.00246502, 0.00246836, 0.00247075, 0.0024722", \
-            "0.00263678, 0.0026349, 0.00263595, 0.0026384, 0.00264143, 0.00264337", \
-            "0.00315078, 0.00314829, 0.00314391, 0.00313895, 0.00313805, 0.00313798", \
-            "0.00379597, 0.00378852, 0.00384894, 0.00392507, 0.00396799, 0.00398978" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.0629222, 0.077316, 0.105805, 0.163697, 0.282947, 0.529245", \
-            "0.0667175, 0.0811013, 0.109628, 0.167588, 0.286752, 0.533085", \
-            "0.0757561, 0.0900741, 0.118581, 0.176598, 0.295889, 0.542218", \
-            "0.0912222, 0.105848, 0.134326, 0.192563, 0.312063, 0.558541", \
-            "0.104937, 0.120006, 0.148731, 0.206917, 0.326638, 0.573258", \
-            "0.10479, 0.121743, 0.151375, 0.209454, 0.328865, 0.576293" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.0316474, 0.0511795, 0.0923674, 0.178198, 0.355799, 0.7231", \
-            "0.0316579, 0.0511856, 0.0923667, 0.178186, 0.355836, 0.7231", \
-            "0.0317352, 0.0512126, 0.0923761, 0.178201, 0.355833, 0.7231", \
-            "0.0334022, 0.0524237, 0.0928075, 0.178233, 0.355839, 0.723099", \
-            "0.0371771, 0.054866, 0.0941338, 0.179152, 0.356238, 0.723113", \
-            "0.0453315, 0.061384, 0.0977716, 0.180227, 0.356957, 0.724027" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.0940223, 0.108358, 0.133336, 0.178682, 0.266816, 0.446589", \
-            "0.0972446, 0.111604, 0.136648, 0.182026, 0.270174, 0.449957", \
-            "0.107697, 0.122086, 0.147158, 0.192608, 0.280701, 0.460483", \
-            "0.135397, 0.149607, 0.174845, 0.219679, 0.307816, 0.487466", \
-            "0.188465, 0.203446, 0.229758, 0.276769, 0.365355, 0.545024", \
-            "0.276614, 0.29449, 0.322852, 0.371039, 0.460996, 0.642611" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.031172, 0.0443734, 0.0700472, 0.122208, 0.23323, 0.46883", \
-            "0.0311544, 0.044353, 0.070013, 0.12233, 0.233174, 0.468674", \
-            "0.0311122, 0.0442987, 0.0699675, 0.122144, 0.233135, 0.468826", \
-            "0.0314828, 0.0447113, 0.0702477, 0.122576, 0.233253, 0.468814", \
-            "0.0359329, 0.0491272, 0.074728, 0.125739, 0.234746, 0.46901", \
-            "0.0456239, 0.057866, 0.0809081, 0.13028, 0.239337, 0.472566" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0418372, 0.0560289, 0.0571766, 0.0594434, 0.0659784, 0.0722612, 0.0911699, 0.100196, 0.107006, 0.112619, 0.122437, 0.129627, 0.143343, 0.156525");
-            values ( \
-              "0.0100305, 0.0857415, 0.085887, 0.0888931, 0.0884978, 0.0817681, 0.0358734, 0.0224366, 0.0157608, 0.0117067, 0.00697004, 0.00474178, 0.00229012, 0.00151608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00446821");
-            index_3 ("0.0476108, 0.052495, 0.0564319, 0.0617122, 0.0635548, 0.0670172, 0.07732, 0.0848087, 0.0912724, 0.113921, 0.12578, 0.139469, 0.153486, 0.164661, 0.17594, 0.199509, 0.220463, 0.224585");
-            values ( \
-              "0.062952, 0.0971029, 0.10727, 0.116368, 0.116043, 0.117817, 0.113254, 0.10592, 0.097441, 0.054122, 0.0375672, 0.0240836, 0.0149692, 0.010155, 0.00679385, 0.00292017, 0.00132279, 0.00125055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00924286");
-            index_3 ("0.0421073, 0.0620555, 0.0630371, 0.068927, 0.0743701, 0.0751084, 0.0872864, 0.0999678, 0.11463, 0.128036, 0.165521, 0.179855, 0.205938, 0.221073, 0.233908, 0.257062, 0.274279, 0.305641, 0.332824, 0.35672");
-            values ( \
-              "0.020192, 0.13347, 0.135676, 0.138894, 0.138352, 0.138761, 0.134474, 0.128063, 0.118842, 0.107082, 0.0640342, 0.0504945, 0.0315249, 0.0235972, 0.0183036, 0.0114688, 0.00801104, 0.00416131, 0.00230249, 0.00155663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0191196");
-            index_3 ("0.0480577, 0.0554623, 0.0629312, 0.0688311, 0.0743877, 0.0825875, 0.0923011, 0.106614, 0.14428, 0.171298, 0.197826, 0.211486, 0.266678, 0.289486, 0.305566, 0.334865, 0.350687, 0.37852, 0.401042, 0.416388, 0.436849, 0.472898, 0.514392, 0.547552, 0.613872, 0.693022, 0.772172");
-            values ( \
-              "0.0575249, 0.128558, 0.146202, 0.151141, 0.153097, 0.1525, 0.150942, 0.147274, 0.136619, 0.127445, 0.115388, 0.107475, 0.0728236, 0.0601348, 0.0520761, 0.0394211, 0.0337334, 0.0253894, 0.0200313, 0.0170063, 0.0136205, 0.00913039, 0.00575176, 0.00394533, 0.00179805, 0.000681344, 0.000257873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0395505");
-            index_3 ("0.0536481, 0.0536681, 0.135345, 0.238164, 0.288017, 0.304965, 0.347384, 0.393481, 0.490624, 0.541003, 0.598579, 0.641985, 0.665532, 0.712625, 0.747301, 0.806969, 0.875161, 0.918625, 0.985601, 1.06475, 1.1439, 1.3022, 1.4605");
-            values ( \
-              "1e-22, 0.169533, 0.155267, 0.140512, 0.132069, 0.128603, 0.118174, 0.104246, 0.073158, 0.0588665, 0.04488, 0.0362015, 0.032095, 0.0250695, 0.0208155, 0.0150297, 0.0102412, 0.0080161, 0.00547406, 0.00345645, 0.00217444, 0.000857398, 0.000336866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0818133");
-            index_3 ("0.0539338, 0.0539538, 0.18838, 0.363687, 0.43057, 0.50972, 0.59174, 0.71231, 0.935338, 1.08185, 1.161, 1.2256, 1.28357, 1.4365, 1.56012, 1.70056, 1.80702, 1.94079, 2.09909, 2.25739, 2.57399, 2.89059");
-            values ( \
-              "1e-22, 0.170822, 0.160017, 0.14785, 0.142639, 0.136288, 0.127984, 0.111641, 0.0759888, 0.0555644, 0.0459668, 0.0392508, 0.0339294, 0.0227477, 0.0162789, 0.0110313, 0.00817531, 0.00558346, 0.00353244, 0.00221923, 0.000849641, 0.00029764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0591018, 0.0638964, 0.0693508, 0.0715199, 0.0759554, 0.0803463, 0.0865723, 0.105694, 0.114706, 0.125718, 0.131105, 0.140192, 0.15719, 0.17095, 0.173728");
-            values ( \
-              "0.0068379, 0.0677906, 0.0831366, 0.0863842, 0.0891134, 0.0880732, 0.0818192, 0.0355601, 0.022268, 0.0125197, 0.00942816, 0.00581246, 0.00235242, 0.00109861, 0.00103632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00446821");
-            index_3 ("0.0601593, 0.0663505, 0.068233, 0.0709178, 0.0747785, 0.0780387, 0.0845188, 0.0908391, 0.0941348, 0.0992878, 0.10384, 0.10729, 0.121688, 0.128024, 0.136799, 0.146179, 0.151175, 0.159351, 0.167374, 0.174585, 0.184199, 0.195111, 0.212806, 0.2364, 0.267919, 0.306983");
-            values ( \
-              "0.017045, 0.0937385, 0.100576, 0.107717, 0.114127, 0.116417, 0.116802, 0.113389, 0.110874, 0.105954, 0.100242, 0.0947749, 0.0660407, 0.0547479, 0.041896, 0.031023, 0.0263855, 0.0200861, 0.0152807, 0.0119161, 0.00847553, 0.00575265, 0.0030344, 0.00124126, 0.000327672, 6.89782e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00924286");
-            index_3 ("0.0614913, 0.0667396, 0.0710711, 0.077588, 0.0803078, 0.0832089, 0.0895573, 0.0984567, 0.107896, 0.114416, 0.127252, 0.136026, 0.142482, 0.155395, 0.167982, 0.179853, 0.195003, 0.211467, 0.224719, 0.234001, 0.247908, 0.252026, 0.260263, 0.276735, 0.290262, 0.305227, 0.325181, 0.365089, 0.392393");
-            values ( \
-              "0.0202214, 0.106787, 0.123902, 0.135454, 0.137489, 0.138574, 0.138626, 0.135871, 0.131429, 0.127961, 0.120144, 0.113277, 0.107098, 0.0923548, 0.0772117, 0.0641484, 0.0498817, 0.0371483, 0.0290431, 0.0242998, 0.018467, 0.0170163, 0.014416, 0.0102781, 0.00776262, 0.00568123, 0.00371715, 0.00151398, 0.000953631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0191196");
-            index_3 ("0.0631509, 0.0699989, 0.0765912, 0.0827155, 0.0889121, 0.0975634, 0.107836, 0.119123, 0.158757, 0.185825, 0.211391, 0.22008, 0.278316, 0.314071, 0.341718, 0.362713, 0.391635, 0.415048, 0.457373, 0.489811, 0.523873, 0.550013, 0.602294, 0.681444, 0.760594");
-            values ( \
-              "0.0720642, 0.129019, 0.145203, 0.150688, 0.153058, 0.152449, 0.150704, 0.147802, 0.136629, 0.127443, 0.115894, 0.111005, 0.0744587, 0.0549889, 0.0424721, 0.0345886, 0.0257635, 0.0201437, 0.0127511, 0.00890293, 0.00609496, 0.0045323, 0.00247506, 0.000938237, 0.00035872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0395505");
-            index_3 ("0.0666031, 0.0865784, 0.0889146, 0.0935871, 0.102312, 0.156262, 0.239717, 0.277918, 0.30505, 0.358211, 0.416329, 0.497109, 0.542949, 0.604068, 0.663385, 0.699656, 0.753998, 0.805708, 0.874654, 0.915916, 0.962772, 1.02525, 1.1044, 1.2627, 1.50015");
-            values ( \
-              "0.159291, 0.159814, 0.160771, 0.161209, 0.161362, 0.154521, 0.142628, 0.136539, 0.131471, 0.119345, 0.101652, 0.0755432, 0.0621989, 0.0469785, 0.0348955, 0.0290595, 0.0216358, 0.0163181, 0.0111993, 0.00876217, 0.00670761, 0.00478783, 0.00291901, 0.00111714, 0.000350775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0818133");
-            index_3 ("0.072592, 0.072612, 0.205132, 0.327578, 0.444964, 0.524114, 0.606135, 0.726704, 0.949733, 1.01709, 1.09624, 1.17539, 1.29796, 1.37174, 1.45089, 1.57451, 1.71496, 1.82142, 1.95518, 2.11348, 2.27179, 2.58839, 2.90499");
-            values ( \
-              "1e-22, 0.181484, 0.159801, 0.151409, 0.142691, 0.136236, 0.127934, 0.111591, 0.0760372, 0.0660927, 0.0555181, 0.046013, 0.0339717, 0.0281686, 0.0227899, 0.0163206, 0.0110727, 0.00821578, 0.00562319, 0.00357165, 0.00225803, 0.000887586, 0.000334805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0931392, 0.0975889, 0.103633, 0.105999, 0.110472, 0.114884, 0.121004, 0.141751, 0.150947, 0.161868, 0.170759, 0.178273, 0.193508, 0.205968");
-            values ( \
-              "0.00240322, 0.0613841, 0.0815426, 0.0852857, 0.0887062, 0.0877202, 0.0818878, 0.0329042, 0.0204517, 0.0114904, 0.00719172, 0.00480367, 0.00213986, 0.00110179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00446821");
-            index_3 ("0.094941, 0.0985183, 0.101318, 0.105345, 0.110885, 0.11584, 0.126343, 0.133823, 0.140938, 0.159533, 0.173379, 0.1868, 0.202441, 0.213773, 0.224987, 0.248371, 0.26914, 0.269956");
-            values ( \
-              "0.0109604, 0.0790272, 0.0937535, 0.105994, 0.115482, 0.117214, 0.112949, 0.105912, 0.0963948, 0.0597421, 0.039287, 0.0254601, 0.0150075, 0.0101227, 0.00679204, 0.00293622, 0.00134319, 0.00132836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00924286");
-            index_3 ("0.095345, 0.100979, 0.103496, 0.108919, 0.111871, 0.117776, 0.123998, 0.136183, 0.148864, 0.162285, 0.170464, 0.17693, 0.214295, 0.229483, 0.245937, 0.268376, 0.286473, 0.311292, 0.324743, 0.339637, 0.359495, 0.399213, 0.415593");
-            values ( \
-              "0.0146661, 0.103612, 0.114798, 0.129785, 0.13419, 0.138182, 0.138484, 0.1344, 0.12798, 0.119725, 0.113287, 0.107097, 0.0641523, 0.0498558, 0.0371323, 0.0243355, 0.0170177, 0.0102553, 0.00775648, 0.00568509, 0.0037286, 0.00152516, 0.0011853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0191196");
-            index_3 ("0.0955442, 0.104436, 0.108955, 0.111969, 0.117963, 0.123446, 0.131681, 0.146136, 0.169636, 0.19425, 0.220363, 0.246871, 0.260671, 0.314071, 0.341279, 0.360495, 0.385407, 0.404991, 0.431102, 0.450166, 0.4656, 0.486178, 0.522053, 0.563167, 0.595964, 0.661558, 0.740708, 0.819858");
-            values ( \
-              "0.0283766, 0.126545, 0.140078, 0.145401, 0.151148, 0.152784, 0.152646, 0.149615, 0.143352, 0.136205, 0.127437, 0.115408, 0.107396, 0.0738122, 0.0587168, 0.0493283, 0.038884, 0.0320152, 0.0244605, 0.0200137, 0.0169745, 0.0135771, 0.00911905, 0.00576905, 0.0039741, 0.00182852, 0.000691333, 0.000266108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0395505");
-            index_3 ("0.102694, 0.102714, 0.184149, 0.231755, 0.287442, 0.339734, 0.396772, 0.420456, 0.544601, 0.581003, 0.619097, 0.667484, 0.70509, 0.755231, 0.794161, 0.825577, 0.867465, 0.936734, 1.01532, 1.0776, 1.15675, 1.31505, 1.32842");
-            values ( \
-              "1e-22, 0.168718, 0.155273, 0.148843, 0.1406, 0.131616, 0.118207, 0.111283, 0.0717386, 0.0613287, 0.0515911, 0.0407469, 0.0337125, 0.0260139, 0.021052, 0.0177499, 0.0141583, 0.00951524, 0.00608718, 0.00431235, 0.00265993, 0.00102945, 0.000988237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0818133");
-            index_3 ("0.103751, 0.103771, 0.219812, 0.362234, 0.479692, 0.558842, 0.640863, 0.761432, 0.984461, 1.13097, 1.21012, 1.27472, 1.33269, 1.48562, 1.60924, 1.74969, 1.85614, 1.98991, 2.14821, 2.30651, 2.62311, 2.93971");
-            values ( \
-              "1e-22, 0.173135, 0.161186, 0.151455, 0.142651, 0.136277, 0.127973, 0.11163, 0.0759986, 0.0555556, 0.0459754, 0.0392581, 0.0339362, 0.0227543, 0.0162852, 0.0110374, 0.00818104, 0.0055889, 0.00353769, 0.00222437, 0.000854426, 0.000302117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.170074, 0.178193, 0.179666, 0.181168, 0.181919, 0.183183, 0.184447, 0.185711, 0.186975, 0.188169, 0.189362, 0.190556, 0.19175, 0.192944, 0.194138, 0.195332, 0.196526, 0.197719, 0.198912, 0.200105, 0.201537, 0.202016, 0.202733, 0.204408, 0.208895, 0.209676, 0.210457, 0.211397, 0.212337, 0.213903, 0.217207, 0.21992, 0.2223, 0.223777, 0.225253, 0.226729, 0.230896, 0.233073, 0.235251, 0.236703, 0.238155, 0.239606, 0.241023, 0.244625, 0.246414, 0.248202, 0.249991, 0.253569, 0.255357, 0.259156");
-            values ( \
-              "0.0632938, 0.0651361, 0.0692733, 0.0729689, 0.0746207, 0.076977, 0.0788982, 0.0803841, 0.0814347, 0.0816302, 0.0817021, 0.0816506, 0.0814756, 0.0811771, 0.080755, 0.0802094, 0.0795403, 0.0784695, 0.0772328, 0.07583, 0.0739266, 0.0730704, 0.0714458, 0.0673027, 0.0551704, 0.0518706, 0.0477764, 0.0467119, 0.045388, 0.0425443, 0.0358876, 0.0314709, 0.0279781, 0.0259868, 0.0241264, 0.0223969, 0.0179636, 0.0160804, 0.0143871, 0.0133499, 0.0123798, 0.011477, 0.0106674, 0.00870915, 0.00793459, 0.00722096, 0.00659175, 0.00546911, 0.00497568, 0.00406424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00446821");
-            index_3 ("0.171959, 0.181786, 0.183749, 0.18532, 0.187024, 0.187876, 0.188728, 0.190432, 0.192136, 0.19384, 0.195544, 0.196385, 0.197786, 0.199466, 0.200442, 0.201418, 0.202393, 0.203369, 0.205116, 0.206863, 0.20861, 0.21105, 0.212091, 0.213131, 0.215211, 0.218178, 0.219167, 0.221249, 0.22333, 0.22727, 0.231063, 0.236022, 0.238502, 0.240739, 0.243913, 0.247541, 0.251169, 0.254797, 0.258977, 0.261807, 0.26468, 0.267553, 0.270426, 0.276824, 0.281713, 0.284469, 0.287225, 0.292736, 0.298248, 0.307253");
-            values ( \
-              "0.0923047, 0.0932601, 0.0987249, 0.102279, 0.104737, 0.105822, 0.106813, 0.108506, 0.109818, 0.110748, 0.111296, 0.11133, 0.111251, 0.110896, 0.109304, 0.107902, 0.106689, 0.105665, 0.105517, 0.105167, 0.104615, 0.103505, 0.102576, 0.101506, 0.0990814, 0.0950475, 0.0935525, 0.090057, 0.0862869, 0.0781781, 0.0706924, 0.0613802, 0.0569264, 0.0535106, 0.0483888, 0.0431479, 0.0386466, 0.0345103, 0.0302433, 0.0274712, 0.0248258, 0.0226303, 0.0205863, 0.016639, 0.0138818, 0.0126038, 0.0114337, 0.00949402, 0.0078313, 0.00564734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00924286");
-            index_3 ("0.167292, 0.174905, 0.18052, 0.187882, 0.194932, 0.200685, 0.209073, 0.220899, 0.239403, 0.25403, 0.292223, 0.312259, 0.336904, 0.359562, 0.387946, 0.420366, 0.44522, 0.481692");
-            values ( \
-              "0.0123069, 0.0751913, 0.101441, 0.122737, 0.132066, 0.134299, 0.133709, 0.129735, 0.119646, 0.107116, 0.0633747, 0.0451646, 0.0287218, 0.0184461, 0.01036, 0.00527276, 0.00308987, 0.00150178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0191196");
-            index_3 ("0.163992, 0.185204, 0.194245, 0.200337, 0.208392, 0.212257, 0.217554, 0.222353, 0.238223, 0.271584, 0.297675, 0.3242, 0.337891, 0.392677, 0.416481, 0.43327, 0.461583, 0.47652, 0.504064, 0.527096, 0.545924, 0.568977, 0.601515, 0.635963, 0.662443, 0.715402, 0.794552, 0.873702");
-            values ( \
-              "0.000279557, 0.125373, 0.143553, 0.14792, 0.150042, 0.149381, 0.149388, 0.149021, 0.145639, 0.136193, 0.127432, 0.115405, 0.107448, 0.0730387, 0.0598186, 0.0514401, 0.0393039, 0.0339262, 0.025617, 0.0200962, 0.0164339, 0.012793, 0.00891096, 0.00607279, 0.00450854, 0.0024323, 0.000929998, 0.000346171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0395505");
-            index_3 ("0.175953, 0.188538, 0.193301, 0.200711, 0.209553, 0.211659, 0.218739, 0.223198, 0.232115, 0.246589, 0.31558, 0.375315, 0.417268, 0.470396, 0.482113, 0.52898, 0.606718, 0.652912, 0.685283, 0.742143, 0.772338, 0.792206, 0.826813, 0.871684, 0.907849, 0.953412, 1.01758, 1.04648, 1.08593, 1.13852, 1.21767, 1.29682, 1.37597, 1.45512, 1.61342");
-            values ( \
-              "0.13872, 0.139053, 0.148517, 0.155811, 0.158622, 0.158414, 0.159422, 0.159595, 0.159076, 0.157395, 0.147872, 0.138898, 0.131554, 0.119271, 0.115961, 0.101439, 0.0764156, 0.0629153, 0.054352, 0.0413539, 0.0355597, 0.0321238, 0.0268184, 0.0210957, 0.0173358, 0.0134773, 0.00938367, 0.00797274, 0.0063746, 0.0047157, 0.00297213, 0.0018711, 0.00117415, 0.00073825, 0.000290896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0818133");
-            index_3 ("0.176118, 0.203832, 0.226673, 0.244876, 0.360466, 0.55702, 0.63617, 0.71819, 0.779314, 0.83876, 1.06179, 1.2083, 1.28745, 1.37137, 1.48379, 1.56294, 1.68657, 1.76572, 1.90616, 2.06723, 2.22553, 2.46298, 2.70043, 3.01703");
-            values ( \
-              "0.136144, 0.161928, 0.165165, 0.164535, 0.156833, 0.14274, 0.136187, 0.127886, 0.120182, 0.111543, 0.0760844, 0.0554722, 0.046059, 0.0374901, 0.028126, 0.0228325, 0.0163626, 0.0131639, 0.00890753, 0.00566407, 0.00361228, 0.0018279, 0.00092745, 0.000374139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.314131, 0.321232, 0.340472, 0.346865, 0.352565, 0.357974, 0.363447, 0.365272, 0.37415, 0.377057, 0.381417, 0.386399, 0.393456, 0.397533, 0.404767, 0.408344, 0.4155, 0.422246, 0.433414, 0.448002");
-            values ( \
-              "0.0077816, 0.0172091, 0.0556778, 0.065785, 0.0709757, 0.0727613, 0.0693543, 0.0665825, 0.0461476, 0.0402844, 0.0325724, 0.0254125, 0.0178474, 0.0144808, 0.00996888, 0.00828624, 0.00569817, 0.00402077, 0.00224942, 0.00103119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00446821");
-            index_3 ("0.31541, 0.324333, 0.348604, 0.357106, 0.365022, 0.372916, 0.380808, 0.398519, 0.414182, 0.426223, 0.442021, 0.455806, 0.479023, 0.504614");
-            values ( \
-              "0.0127718, 0.0285638, 0.089099, 0.100151, 0.103088, 0.100828, 0.0930102, 0.0604648, 0.0377903, 0.0256949, 0.0151251, 0.00940288, 0.00413184, 0.00182952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00924286");
-            index_3 ("0.330895, 0.34679, 0.349246, 0.350884, 0.352522, 0.35416, 0.356156, 0.358153, 0.360149, 0.362146, 0.365124, 0.368101, 0.371079, 0.374056, 0.37762, 0.379996, 0.382372, 0.386812, 0.391252, 0.397172, 0.401929, 0.405523, 0.409117, 0.413705, 0.418609, 0.424375, 0.433826, 0.447345, 0.451851, 0.464904, 0.466331, 0.471311, 0.47948, 0.485858, 0.491478, 0.495531, 0.498774, 0.5024, 0.506026, 0.510687, 0.513858, 0.51871, 0.528325, 0.533687, 0.535027, 0.540388, 0.54575, 0.554723, 0.563697, 0.570465");
-            values ( \
-              "0.0988436, 0.100365, 0.106955, 0.110711, 0.114038, 0.116937, 0.119323, 0.12145, 0.123316, 0.124922, 0.126834, 0.128166, 0.12892, 0.129095, 0.128628, 0.128106, 0.127449, 0.125819, 0.123942, 0.121059, 0.118386, 0.115814, 0.113009, 0.109065, 0.104187, 0.0978552, 0.0865807, 0.0711878, 0.0662491, 0.0534, 0.0520826, 0.047934, 0.0416413, 0.0371365, 0.0334282, 0.0310294, 0.0293499, 0.0265707, 0.0232276, 0.0223088, 0.0214475, 0.0199125, 0.0165137, 0.0147129, 0.0142821, 0.0128641, 0.0115601, 0.00965461, 0.00802486, 0.0069715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0191196");
-            index_3 ("0.311478, 0.360991, 0.372681, 0.383728, 0.392222, 0.408738, 0.433546, 0.459788, 0.492272, 0.562129, 0.591883, 0.622394, 0.641182, 0.692521, 0.735479, 0.766036, 0.803919, 0.854429, 0.93358, 0.934545");
-            values ( \
-              "0.00207117, 0.137027, 0.145517, 0.14673, 0.145694, 0.142113, 0.135335, 0.126783, 0.111721, 0.0689932, 0.0532742, 0.0399136, 0.0331676, 0.0194668, 0.0122334, 0.0087132, 0.00570391, 0.00318449, 0.00121462, 0.00120829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0395505");
-            index_3 ("0.332504, 0.350693, 0.36141, 0.370193, 0.377787, 0.384711, 0.396887, 0.430387, 0.527338, 0.549871, 0.591913, 0.636526, 0.683344, 0.779776, 0.830113, 0.88764, 0.931159, 0.954767, 1.00198, 1.03649, 1.09587, 1.16374, 1.20773, 1.27549, 1.35464, 1.43379, 1.59209, 1.75039");
-            values ( \
-              "0.109018, 0.123648, 0.144573, 0.152643, 0.156212, 0.157019, 0.157353, 0.153637, 0.140473, 0.136908, 0.129066, 0.118172, 0.104019, 0.0731559, 0.0588766, 0.0448994, 0.0361961, 0.0320816, 0.0250404, 0.0208113, 0.0150488, 0.0102761, 0.00801995, 0.00545242, 0.00344051, 0.00216655, 0.000855095, 0.00033679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0818133");
-            index_3 ("0.332019, 0.36651, 0.376499, 0.385018, 0.396844, 0.411071, 0.501688, 0.521338, 0.565972, 0.71921, 0.825824, 0.904974, 0.941683, 1.00181, 1.22413, 1.37052, 1.44967, 1.5337, 1.64615, 1.7253, 1.84887, 1.92802, 2.06846, 2.22952, 2.38782, 2.62527, 2.86272, 3.17932");
-            values ( \
-              "0.0985633, 0.155005, 0.160781, 0.16304, 0.16341, 0.163297, 0.157388, 0.156775, 0.153868, 0.142743, 0.133647, 0.124967, 0.120164, 0.111438, 0.0760716, 0.0554879, 0.0460631, 0.0374912, 0.0281254, 0.0228255, 0.0163593, 0.0131673, 0.00891084, 0.00566113, 0.00360934, 0.00183093, 0.000924556, 0.000371282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.646888, 0.661091, 0.665627, 0.689619, 0.698404, 0.705917, 0.712732, 0.719319, 0.725885, 0.734389, 0.742614, 0.752572, 0.761954, 0.767227, 0.774259, 0.780096, 0.788338, 0.799328, 0.816358");
-            values ( \
-              "0.0010257, 0.00992577, 0.0138008, 0.0399118, 0.0486418, 0.054981, 0.0588673, 0.0595798, 0.053425, 0.0374752, 0.0259099, 0.0162043, 0.0102235, 0.00788519, 0.00555423, 0.00416605, 0.00278533, 0.00161958, 0.000733619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00446821");
-            index_3 ("0.64776, 0.665688, 0.668636, 0.675717, 0.70037, 0.711269, 0.72077, 0.729728, 0.738687, 0.740927, 0.747863, 0.760738, 0.768148, 0.776618, 0.78275, 0.789813, 0.79923, 0.803169, 0.814113, 0.825016, 0.836307, 0.845111, 0.862718, 0.885314");
-            values ( \
-              "0.000192338, 0.0177624, 0.0212784, 0.0308705, 0.0669237, 0.0807597, 0.088562, 0.0910725, 0.0871186, 0.0846433, 0.0742747, 0.0528162, 0.0426316, 0.0328632, 0.0271026, 0.0215527, 0.0157264, 0.0137829, 0.0094958, 0.00649684, 0.00439455, 0.00322934, 0.00171284, 0.000830022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00924286");
-            index_3 ("0.652579, 0.672253, 0.71664, 0.729, 0.73664, 0.745507, 0.759418, 0.771675, 0.814482, 0.840813, 0.883376, 0.904578, 0.943846, 0.969658");
-            values ( \
-              "0.00917252, 0.0294354, 0.104707, 0.116381, 0.119408, 0.119907, 0.116105, 0.107958, 0.0616131, 0.0392028, 0.0174638, 0.0114058, 0.00505258, 0.00365371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0191196");
-            index_3 ("0.653981, 0.676986, 0.722622, 0.732664, 0.741709, 0.754289, 0.766229, 0.783936, 0.817536, 0.846186, 0.916502, 0.954374, 0.997042, 1.04762, 1.08933, 1.12188, 1.18271, 1.26229");
-            values ( \
-              "0.0124196, 0.0397296, 0.12502, 0.134547, 0.139225, 0.141133, 0.140369, 0.136705, 0.126606, 0.11376, 0.0707949, 0.0509568, 0.0338769, 0.0200614, 0.0128355, 0.00891247, 0.00454244, 0.00211481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0395505");
-            index_3 ("0.647095, 0.740895, 0.758698, 0.773226, 0.787384, 0.808312, 0.882653, 0.908643, 0.936913, 0.990219, 1.00186, 1.04844, 1.12942, 1.17506, 1.2159, 1.25026, 1.2967, 1.33453, 1.38758, 1.40445, 1.43821, 1.50572, 1.56455, 1.59676, 1.66116, 1.74031, 1.81946, 1.89861, 1.97776, 2.13606");
-            values ( \
-              "0.00207843, 0.149739, 0.154369, 0.1543, 0.15308, 0.150632, 0.140246, 0.136133, 0.131139, 0.118989, 0.115733, 0.101418, 0.0755077, 0.0622602, 0.0517134, 0.0440271, 0.0349482, 0.0287356, 0.0216638, 0.0197747, 0.0164495, 0.0112717, 0.00809147, 0.00674197, 0.00465247, 0.00293454, 0.00184492, 0.00116, 0.000727082, 0.000285726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0818133");
-            index_3 ("0.679191, 0.739899, 0.756574, 0.772658, 0.839548, 1.01354, 1.15703, 1.23599, 1.34375, 1.58229, 1.73098, 1.8921, 2.08314, 2.28692, 2.42737, 2.58846, 2.95175");
-            values ( \
-              "0.0795441, 0.153774, 0.160231, 0.161899, 0.158916, 0.147193, 0.135958, 0.128184, 0.114003, 0.0761876, 0.0552942, 0.0375414, 0.0228918, 0.0131679, 0.00891099, 0.0056612, 0.0021447" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00184891, 0.00184936, 0.00184977, 0.00185006, 0.00185023, 0.00185033", \
-            "0.00211522, 0.00211525, 0.0021154, 0.00211558, 0.00211572, 0.0021158", \
-            "0.00232044, 0.00232032, 0.0023202, 0.00232013, 0.00232012, 0.00232013", \
-            "0.0025073, 0.00250723, 0.00250712, 0.00250703, 0.00250695, 0.00250691", \
-            "0.00268168, 0.00268164, 0.00268158, 0.00268151, 0.00268146, 0.00268141", \
-            "0.00282466, 0.0028246, 0.00282453, 0.00282445, 0.00282438, 0.00282432" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00239592, 0.00239547, 0.00239532, 0.00239533, 0.00239539, 0.00239543", \
-            "0.00259119, 0.0025906, 0.00258993, 0.00258942, 0.00258913, 0.00258898", \
-            "0.0027124, 0.00271215, 0.00271174, 0.00271127, 0.0027109, 0.00271067", \
-            "0.00304503, 0.00304537, 0.00304588, 0.00304475, 0.00304459, 0.00304438", \
-            "0.00315744, 0.00317183, 0.0031961, 0.00320478, 0.00320926, 0.00321197", \
-            "0.0029424, 0.00294244, 0.00294311, 0.00295468, 0.00297857, 0.00298533" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0652973, 0.0679498, 0.0738962, 0.0785729, 0.0855714, 0.091462, 0.0967886, 0.101792, 0.106642, 0.111487, 0.116758, 0.125099, 0.129394, 0.134503, 0.138316, 0.140778, 0.145702, 0.148261");
-            values ( \
-              "-0.0171782, -0.0214048, -0.03351, -0.0486846, -0.0619123, -0.0701939, -0.0758291, -0.0794807, -0.081091, -0.0791471, -0.0687286, -0.0365362, -0.0235649, -0.0132413, -0.00841498, -0.00623818, -0.00336751, -0.00267834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00446821");
-            index_3 ("0.0621014, 0.0835755, 0.088567, 0.0977086, 0.10878, 0.115874, 0.122828, 0.129776, 0.132745, 0.135809, 0.153063, 0.159624, 0.167662, 0.176389, 0.183974, 0.192019");
-            values ( \
-              "-0.000297438, -0.0746475, -0.0849271, -0.09954, -0.11115, -0.115274, -0.116338, -0.112117, -0.107738, -0.100879, -0.041153, -0.0259884, -0.0141612, -0.00714876, -0.0038479, -0.00226502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00924286");
-            index_3 ("0.0672406, 0.0873832, 0.100347, 0.106195, 0.118557, 0.13005, 0.141184, 0.152245, 0.163303, 0.168308, 0.203091, 0.213672, 0.227615, 0.242583, 0.255572, 0.256228");
-            values ( \
-              "-0.0303928, -0.0942294, -0.119853, -0.128133, -0.141124, -0.148192, -0.151141, -0.149905, -0.142313, -0.135742, -0.0488664, -0.0317483, -0.0173118, -0.00882575, -0.00479123, -0.00469745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0191196");
-            index_3 ("0.0700352, 0.0911585, 0.104828, 0.117782, 0.127371, 0.147631, 0.159614, 0.167015, 0.181817, 0.195135, 0.205834, 0.225534, 0.228086, 0.238295, 0.249615, 0.279576, 0.290917, 0.306039, 0.314071, 0.324189, 0.33768, 0.348975, 0.360304, 0.375409, 0.405619, 0.436008");
-            values ( \
-              "-0.0513973, -0.112164, -0.13876, -0.155488, -0.164463, -0.17547, -0.178326, -0.179058, -0.178835, -0.176516, -0.173073, -0.162059, -0.159935, -0.148945, -0.131512, -0.0803502, -0.0644526, -0.0470355, -0.0395534, -0.0316214, -0.0232502, -0.0178732, -0.0136983, -0.00954475, -0.00446105, -0.0023302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0395505");
-            index_3 ("0.0701291, 0.087903, 0.0962118, 0.107816, 0.125214, 0.136524, 0.149449, 0.165211, 0.183844, 0.201474, 0.231199, 0.250319, 0.274352, 0.311267, 0.318846, 0.344833, 0.352584, 0.368087, 0.398345, 0.430052, 0.45852, 0.480271, 0.49719, 0.520609, 0.539765, 0.561332, 0.591814, 0.622776, 0.646378, 0.693582, 0.75577, 0.817958, 0.880146");
-            values ( \
-              "-0.0521801, -0.10813, -0.12812, -0.150217, -0.171953, -0.181164, -0.188431, -0.193887, -0.197043, -0.197922, -0.196698, -0.194677, -0.191233, -0.183695, -0.18167, -0.173003, -0.169652, -0.161333, -0.137444, -0.107583, -0.0831167, -0.0671586, -0.0564274, -0.0439052, -0.0355473, -0.027844, -0.019526, -0.0135642, -0.0102237, -0.00570813, -0.0025813, -0.00116063, -0.000520198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0818133");
-            index_3 ("0.0701978, 0.0998568, 0.113411, 0.127323, 0.143497, 0.165658, 0.194748, 0.215223, 0.236851, 0.307748, 0.379995, 0.454172, 0.531118, 0.560636, 0.612619, 0.674807, 0.817404, 0.866421, 0.898252, 0.951433, 0.997031, 1.05783, 1.10486, 1.15644, 1.21862, 1.28081, 1.40519, 1.52956, 1.65394");
-            values ( \
-              "-0.0600432, -0.138652, -0.163051, -0.178809, -0.192013, -0.201729, -0.207354, -0.208685, -0.208984, -0.206259, -0.201549, -0.195532, -0.187204, -0.183029, -0.173185, -0.153682, -0.0887702, -0.0702137, -0.0598407, -0.0452123, -0.035287, -0.0250786, -0.0191268, -0.0141802, -0.00983918, -0.00676344, -0.00318967, -0.00149303, -0.000693124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0796362, 0.087753, 0.0912469, 0.0993991, 0.10528, 0.110604, 0.115604, 0.120453, 0.125296, 0.130566, 0.143196, 0.148336, 0.152121, 0.157854");
-            values ( \
-              "-0.0179789, -0.0339331, -0.0460815, -0.0619847, -0.0702753, -0.0758523, -0.0795374, -0.0810997, -0.0791861, -0.068754, -0.0235653, -0.0132145, -0.00842907, -0.00450069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00446821");
-            index_3 ("0.0831772, 0.0883751, 0.090125, 0.0915074, 0.09346, 0.0954126, 0.0976195, 0.101927, 0.10587, 0.109004, 0.110825, 0.114465, 0.117107, 0.120058, 0.122122, 0.12281, 0.125562, 0.128314, 0.129911, 0.131508, 0.133104, 0.134985, 0.136865, 0.138594, 0.140323, 0.142052, 0.143781, 0.144232, 0.145472, 0.147276, 0.148178, 0.149982, 0.150884, 0.15156, 0.152911, 0.160559, 0.16368, 0.165744, 0.167808, 0.169871, 0.171806, 0.17357, 0.174852, 0.176135, 0.178701, 0.181267, 0.183591");
-            values ( \
-              "-0.0463641, -0.0469993, -0.0518662, -0.0560763, -0.0625633, -0.0696835, -0.0748072, -0.0843027, -0.0908977, -0.0956972, -0.098304, -0.103121, -0.106079, -0.109042, -0.110751, -0.111275, -0.113063, -0.114654, -0.115487, -0.115811, -0.115945, -0.115857, -0.115511, -0.114963, -0.114196, -0.113209, -0.112004, -0.111509, -0.109733, -0.106303, -0.104361, -0.100021, -0.0976235, -0.0956064, -0.0908281, -0.0619381, -0.0510334, -0.0447601, -0.0390979, -0.0339753, -0.0296245, -0.0258019, -0.0235291, -0.0214182, -0.017737, -0.0145935, -0.012179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00924286");
-            index_3 ("0.0719735, 0.114045, 0.119904, 0.132253, 0.143737, 0.154867, 0.165924, 0.176979, 0.182, 0.189953, 0.20712, 0.216802, 0.227318, 0.241278, 0.256298, 0.269335, 0.28188");
-            values ( \
-              "-0.0035988, -0.120079, -0.1283, -0.141264, -0.148253, -0.151215, -0.149923, -0.142355, -0.135733, -0.118234, -0.070159, -0.0487974, -0.0317859, -0.01732, -0.00880853, -0.00477063, -0.00298484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0191196");
-            index_3 ("0.072002, 0.117924, 0.118904, 0.124785, 0.132627, 0.141391, 0.15892, 0.161631, 0.167052, 0.177895, 0.187312, 0.200261, 0.219524, 0.229659, 0.240368, 0.261248, 0.279174, 0.2936, 0.305834, 0.31444, 0.325493, 0.334185, 0.345226, 0.360687, 0.367544, 0.376815, 0.389177, 0.4139, 0.458614, 0.511497");
-            values ( \
-              "-0.00644458, -0.137613, -0.139084, -0.147426, -0.156574, -0.164453, -0.17449, -0.175448, -0.177006, -0.178868, -0.179265, -0.178249, -0.173316, -0.168534, -0.161332, -0.135777, -0.104131, -0.0804927, -0.0634311, -0.0531679, -0.0419949, -0.0347104, -0.0270702, -0.0189118, -0.0161147, -0.0129542, -0.00964153, -0.00523229, -0.00155398, -0.000336979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0395505");
-            index_3 ("0.0873434, 0.102014, 0.118679, 0.127995, 0.140874, 0.158484, 0.179298, 0.198014, 0.215507, 0.244312, 0.266146, 0.288371, 0.325306, 0.332745, 0.358253, 0.366602, 0.383299, 0.412368, 0.471695, 0.496781, 0.513662, 0.535397, 0.569273, 0.603396, 0.615047, 0.641821, 0.67242, 0.733619, 0.795807, 0.857995");
-            values ( \
-              "-0.0849407, -0.109234, -0.145095, -0.159451, -0.173574, -0.186282, -0.194054, -0.197248, -0.197947, -0.196849, -0.194501, -0.191202, -0.183726, -0.181705, -0.173244, -0.169652, -0.160585, -0.137426, -0.0837665, -0.0654711, -0.0549806, -0.0435364, -0.029846, -0.0200689, -0.0175095, -0.0127626, -0.00882147, -0.00406906, -0.00183174, -0.000825374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0818133");
-            index_3 ("0.0871311, 0.127493, 0.141137, 0.151517, 0.160513, 0.179477, 0.20159, 0.226622, 0.250663, 0.285937, 0.321553, 0.393676, 0.486615, 0.544884, 0.60436, 0.626444, 0.668421, 0.719292, 0.768381, 0.830122, 0.881305, 0.916133, 0.966117, 1.00881, 1.05177, 1.11306, 1.1392, 1.19146, 1.25365, 1.31584, 1.37803, 1.44022, 1.56459, 1.68897");
-            values ( \
-              "-0.082615, -0.163767, -0.179156, -0.187835, -0.19361, -0.20163, -0.206554, -0.208653, -0.20904, -0.20802, -0.206281, -0.201582, -0.193788, -0.187236, -0.177835, -0.173209, -0.161156, -0.140113, -0.116659, -0.0892004, -0.0698324, -0.0585176, -0.0450141, -0.0357033, -0.0280468, -0.0197861, -0.0169672, -0.0125418, -0.00862376, -0.00598912, -0.00407267, -0.00284242, -0.00135084, -0.000648161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.112253, 0.130539, 0.141074, 0.146389, 0.151383, 0.156226, 0.161065, 0.166333, 0.178947, 0.184163, 0.187882, 0.195085, 0.199274");
-            values ( \
-              "-0.00147864, -0.0539717, -0.070411, -0.0759719, -0.0796171, -0.0811769, -0.07923, -0.0687792, -0.023603, -0.0131122, -0.00842837, -0.00346008, -0.00225793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00446821");
-            index_3 ("0.113492, 0.127553, 0.133629, 0.14316, 0.151299, 0.161856, 0.165843, 0.172787, 0.17973, 0.185853, 0.203107, 0.209499, 0.217582, 0.226447, 0.23416, 0.240024");
-            values ( \
-              "-0.00116819, -0.0560353, -0.0764041, -0.0933976, -0.104291, -0.11339, -0.115382, -0.116415, -0.112177, -0.100664, -0.0408967, -0.0261305, -0.0141922, -0.00708534, -0.00377087, -0.00264149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00924286");
-            index_3 ("0.113765, 0.130363, 0.138354, 0.141827, 0.148773, 0.155974, 0.168306, 0.179778, 0.190901, 0.201953, 0.213003, 0.218048, 0.225977, 0.243125, 0.252878, 0.26266, 0.276817, 0.286826, 0.294769, 0.310656, 0.321415");
-            values ( \
-              "-0.000428601, -0.0742159, -0.0980935, -0.105218, -0.118261, -0.128516, -0.141457, -0.148332, -0.151312, -0.149952, -0.142405, -0.135719, -0.118247, -0.0702055, -0.0486962, -0.0327074, -0.0176799, -0.0113051, -0.0078586, -0.00367155, -0.00250615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0191196");
-            index_3 ("0.114416, 0.13096, 0.14147, 0.148686, 0.155038, 0.167743, 0.177511, 0.196393, 0.205899, 0.217123, 0.236415, 0.255658, 0.265063, 0.27977, 0.286434, 0.299666, 0.317963, 0.341076, 0.362516, 0.384017, 0.403553, 0.414497, 0.436385, 0.477729");
-            values ( \
-              "-0.000176859, -0.0830631, -0.113221, -0.12833, -0.139344, -0.155778, -0.164701, -0.175127, -0.177751, -0.179201, -0.178365, -0.173348, -0.16896, -0.158486, -0.151392, -0.13155, -0.0992281, -0.0643343, -0.0409802, -0.0253487, -0.0160884, -0.012423, -0.00728062, -0.00244186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0395505");
-            index_3 ("0.126748, 0.144031, 0.154919, 0.167224, 0.177043, 0.194281, 0.213409, 0.219391, 0.239596, 0.251667, 0.275808, 0.287679, 0.324487, 0.36859, 0.389306, 0.402714, 0.423373, 0.448514, 0.507913, 0.530886, 0.573533, 0.595979, 0.616089, 0.65525, 0.677296, 0.721387, 0.783575, 0.845763, 0.907951");
-            values ( \
-              "-0.118606, -0.123605, -0.146166, -0.163383, -0.174076, -0.186008, -0.193774, -0.195042, -0.197584, -0.197914, -0.197125, -0.196055, -0.19119, -0.181776, -0.175183, -0.169649, -0.157989, -0.137371, -0.0836834, -0.0668037, -0.0425285, -0.0331572, -0.0263787, -0.016698, -0.0128503, -0.0074954, -0.00339944, -0.00153064, -0.000686161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0818133");
-            index_3 ("0.127115, 0.167498, 0.194909, 0.216131, 0.239355, 0.260786, 0.287308, 0.358199, 0.4184, 0.5046, 0.566788, 0.611141, 0.663073, 0.725261, 0.867859, 0.916876, 1.00216, 1.04699, 1.10677, 1.15484, 1.20738, 1.26957, 1.33175, 1.45613, 1.48981");
-            values ( \
-              "-0.112461, -0.168372, -0.192734, -0.201817, -0.206657, -0.208537, -0.208998, -0.206243, -0.202416, -0.195517, -0.189076, -0.183038, -0.173168, -0.1537, -0.0887531, -0.0702018, -0.0451381, -0.0353761, -0.0253096, -0.0191722, -0.0141332, -0.00981954, -0.00673694, -0.00317353, -0.00283658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.202536, 0.211712, 0.216834, 0.22411, 0.230117, 0.235511, 0.240559, 0.245443, 0.250322, 0.255205, 0.266029, 0.27252, 0.277906, 0.282162, 0.287837, 0.295084");
-            values ( \
-              "-0.014013, -0.0291611, -0.0458928, -0.0604487, -0.0690897, -0.0751045, -0.0787902, -0.0806772, -0.0787073, -0.0693964, -0.0296848, -0.0145575, -0.00764087, -0.00451548, -0.00233648, -0.000972957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00446821");
-            index_3 ("0.201951, 0.209508, 0.213145, 0.218256, 0.227152, 0.231745, 0.240043, 0.247592, 0.254741, 0.261729, 0.268711, 0.273794, 0.276471, 0.29099, 0.296604, 0.304121, 0.30852, 0.315766, 0.325429, 0.332139");
-            values ( \
-              "-0.00866581, -0.0339454, -0.0422962, -0.0611662, -0.0828717, -0.0910964, -0.102867, -0.110275, -0.114651, -0.115842, -0.111792, -0.1031, -0.0955024, -0.0440506, -0.029953, -0.0171511, -0.0122297, -0.00690121, -0.00308583, -0.002035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00924286");
-            index_3 ("0.201941, 0.210767, 0.226, 0.239515, 0.245322, 0.257767, 0.269305, 0.28047, 0.29155, 0.302956, 0.30755, 0.342236, 0.353152, 0.367007, 0.381705, 0.391294");
-            values ( \
-              "-0.0195661, -0.0403565, -0.0909578, -0.118615, -0.127048, -0.140408, -0.147751, -0.150795, -0.149724, -0.141886, -0.135764, -0.0492245, -0.0315533, -0.017271, -0.00891427, -0.00589434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0191196");
-            index_3 ("0.202815, 0.216891, 0.228669, 0.242823, 0.255575, 0.265701, 0.283179, 0.286057, 0.291813, 0.303325, 0.309905, 0.32476, 0.339612, 0.353894, 0.364903, 0.385786, 0.418157, 0.430346, 0.438965, 0.450033, 0.45875, 0.469785, 0.485241, 0.501351, 0.513695, 0.538385, 0.583141, 0.63577");
-            values ( \
-              "-0.0299976, -0.0678818, -0.106119, -0.135669, -0.153216, -0.163065, -0.173576, -0.174724, -0.176436, -0.17854, -0.178918, -0.178012, -0.174677, -0.168607, -0.161242, -0.135784, -0.08049, -0.0634687, -0.0531988, -0.0419963, -0.0346921, -0.0270696, -0.0189044, -0.0129544, -0.0096533, -0.00523671, -0.00155873, -0.000336345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0395505");
-            index_3 ("0.214398, 0.265383, 0.282784, 0.30148, 0.308957, 0.318927, 0.345204, 0.376384, 0.41317, 0.430559, 0.463265, 0.491364, 0.520394, 0.596082, 0.62043, 0.659908, 0.6995, 0.730251, 0.762192, 0.786651, 0.835569, 0.896079");
-            values ( \
-              "-0.108228, -0.172237, -0.184924, -0.193055, -0.194776, -0.19631, -0.1978, -0.196034, -0.191225, -0.188043, -0.180028, -0.169647, -0.151899, -0.0840923, -0.0662335, -0.0436659, -0.0280468, -0.0196058, -0.0134628, -0.0100431, -0.00547935, -0.00255932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0818133");
-            index_3 ("0.220143, 0.261876, 0.286747, 0.303961, 0.327477, 0.351875, 0.375254, 0.446154, 0.507671, 0.592464, 0.655632, 0.696536, 0.750999, 0.813187, 0.955791, 1.00481, 1.09009, 1.13492, 1.19469, 1.24277, 1.29531, 1.41968, 1.54406, 1.59924");
-            values ( \
-              "-0.149285, -0.173849, -0.193604, -0.201173, -0.206393, -0.20865, -0.208887, -0.206189, -0.20237, -0.195574, -0.188985, -0.183444, -0.173152, -0.153721, -0.0887363, -0.0701915, -0.0451284, -0.0353677, -0.0253199, -0.0191638, -0.0141252, -0.00672888, -0.00316554, -0.00261355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.394226, 0.40504, 0.412238, 0.431395, 0.435489, 0.441237, 0.446682, 0.452036, 0.457709, 0.466394, 0.471068, 0.476499, 0.479152, 0.482952, 0.488018, 0.496771, 0.511819, 0.541907");
-            values ( \
-              "-0.0153192, -0.0206639, -0.033723, -0.0604383, -0.0650192, -0.0701801, -0.072957, -0.072509, -0.0639993, -0.0353048, -0.0225222, -0.0125535, -0.00928361, -0.00597459, -0.00324872, -0.0010766, -0.000182476, -0.000105237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00446821");
-            index_3 ("0.37428, 0.392016, 0.402517, 0.409011, 0.423102, 0.43086, 0.440511, 0.448926, 0.456731, 0.464277, 0.471813, 0.479375, 0.491716, 0.499788, 0.50614, 0.51395, 0.518721, 0.524065");
-            values ( \
-              "-0.00393509, -0.00917044, -0.0216735, -0.0324003, -0.0618943, -0.0759774, -0.0909538, -0.100177, -0.105663, -0.107897, -0.105177, -0.0926532, -0.0523699, -0.0313061, -0.0200298, -0.0117319, -0.00815467, -0.0057226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00924286");
-            index_3 ("0.391811, 0.404613, 0.407843, 0.428143, 0.444759, 0.458353, 0.470741, 0.48257, 0.494246, 0.50565, 0.510941, 0.544804, 0.554336, 0.567037, 0.58741, 0.604202, 0.628056, 0.657039");
-            values ( \
-              "-0.0206791, -0.0313389, -0.0367775, -0.0839733, -0.114624, -0.130208, -0.138572, -0.142736, -0.14254, -0.136867, -0.130897, -0.0521663, -0.0357953, -0.0209035, -0.00842786, -0.00378514, -0.00111008, -0.000247186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0191196");
-            index_3 ("0.392039, 0.41347, 0.437845, 0.454008, 0.467836, 0.484191, 0.499509, 0.518755, 0.528049, 0.538497, 0.549472, 0.569491, 0.574743, 0.580746, 0.593572, 0.611974, 0.634985, 0.656459, 0.668146, 0.681503, 0.704448, 0.719758, 0.750377, 0.795177, 0.849389");
-            values ( \
-              "-0.0171464, -0.0536007, -0.113553, -0.139466, -0.153584, -0.16421, -0.169559, -0.173185, -0.173895, -0.173218, -0.170791, -0.160798, -0.156453, -0.150088, -0.131158, -0.098939, -0.0643617, -0.0410022, -0.0316852, -0.0233713, -0.0136739, -0.00947687, -0.00438298, -0.0012934, -0.000267178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0395505");
-            index_3 ("0.39269, 0.443099, 0.467411, 0.483465, 0.502519, 0.520219, 0.545262, 0.573032, 0.599165, 0.618611, 0.655675, 0.683221, 0.696895, 0.717552, 0.802099, 0.82507, 0.867717, 0.910276, 0.949432, 0.971473, 1.01555, 1.07774, 1.09547");
-            values ( \
-              "-0.0167718, -0.128476, -0.161843, -0.174588, -0.183711, -0.189914, -0.194867, -0.195568, -0.193485, -0.190865, -0.18358, -0.175235, -0.169622, -0.157978, -0.0836841, -0.0668061, -0.0425306, -0.0263794, -0.0166995, -0.0128513, -0.00749772, -0.00339978, -0.00301306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0818133");
-            index_3 ("0.392096, 0.444134, 0.467004, 0.482607, 0.503411, 0.522658, 0.532147, 0.550308, 0.580135, 0.613206, 0.651192, 0.723452, 0.797633, 0.859821, 0.874577, 0.90409, 0.956078, 1.01827, 1.16086, 1.20988, 1.24305, 1.29516, 1.33999, 1.39977, 1.44784, 1.50038, 1.56257, 1.62475, 1.68694, 1.74913, 1.87351, 1.99788");
-            values ( \
-              "-0.013611, -0.134438, -0.166769, -0.180091, -0.191241, -0.199132, -0.202057, -0.205585, -0.207895, -0.207717, -0.206135, -0.201533, -0.195531, -0.189054, -0.187206, -0.183027, -0.173187, -0.153682, -0.0887701, -0.0702164, -0.0594265, -0.0451522, -0.0353894, -0.0252971, -0.0191852, -0.0141459, -0.00980791, -0.00674913, -0.00466034, -0.0031854, -0.0014937, -0.000696234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.815108, 0.827173, 0.829526, 0.830974, 0.832421, 0.833868, 0.834364, 0.835853, 0.837837, 0.839822, 0.843082, 0.846342, 0.848717, 0.851093, 0.853468, 0.855843, 0.864964, 0.86907, 0.871147, 0.873224, 0.877377, 0.884789, 0.891629, 0.893272, 0.894915, 0.896559, 0.898202, 0.899842, 0.901482, 0.903122, 0.904761, 0.905103, 0.905787, 0.906642, 0.907326, 0.908584, 0.911337, 0.914581, 0.915733, 0.916886, 0.917628, 0.920729, 0.921604, 0.922479, 0.924229, 0.925104, 0.925979, 0.9274, 0.92882, 0.9302");
-            values ( \
-              "-0.00784197, -0.00863899, -0.00985352, -0.0106498, -0.0114838, -0.0123553, -0.0126881, -0.0137367, -0.0154401, -0.0172688, -0.0208036, -0.0241579, -0.0264439, -0.0286637, -0.0308172, -0.0329045, -0.0405622, -0.0439061, -0.0455361, -0.0471334, -0.0502299, -0.0554314, -0.060061, -0.0598459, -0.0595224, -0.0590904, -0.0585498, -0.0579024, -0.057147, -0.0562836, -0.0553121, -0.0549243, -0.0537564, -0.0520296, -0.050549, -0.0475469, -0.0406081, -0.0319949, -0.0292321, -0.0266042, -0.0250275, -0.0187256, -0.0171616, -0.0157174, -0.0132835, -0.0121747, -0.011138, -0.00966171, -0.00826808, -0.00699373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00446821");
-            index_3 ("0.812544, 0.829104, 0.836895, 0.841938, 0.860901, 0.882729, 0.893133, 0.902422, 0.911059, 0.919481, 0.92832, 0.942004, 0.949085, 0.95598, 0.962682, 0.970137, 0.978088, 0.992508, 1.00953, 1.03128");
-            values ( \
-              "-0.00592802, -0.0135088, -0.0197576, -0.0246719, -0.0482004, -0.0722267, -0.082606, -0.0905474, -0.0955172, -0.0959043, -0.0853368, -0.0465593, -0.0301403, -0.0188872, -0.0116392, -0.00668598, -0.00361367, -0.00110112, -0.000316464, -0.000110589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00924286");
-            index_3 ("0.812814, 0.836118, 0.846678, 0.878543, 0.90417, 0.918007, 0.93077, 0.943131, 0.955473, 0.961302, 0.969038, 0.985931, 0.998544, 1.0116, 1.0212, 1.03249, 1.0416, 1.05983, 1.08519, 1.11634");
-            values ( \
-              "-0.00502623, -0.0235436, -0.0354022, -0.0807374, -0.113749, -0.126595, -0.133765, -0.135971, -0.131753, -0.126, -0.112324, -0.0701272, -0.044523, -0.0263874, -0.0174822, -0.0106816, -0.00709426, -0.00297986, -0.000819114, -0.000165605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0191196");
-            index_3 ("0.813204, 0.848166, 0.885955, 0.914413, 0.932274, 0.947742, 0.958581, 0.979101, 0.999618, 1.02014, 1.02545, 1.03151, 1.04469, 1.06341, 1.08656, 1.10828, 1.12035, 1.13414, 1.15655, 1.17152, 1.20145, 1.22231");
-            values ( \
-              "-0.00419212, -0.0414065, -0.100407, -0.139873, -0.155152, -0.163139, -0.16653, -0.169112, -0.166446, -0.157205, -0.15319, -0.14729, -0.129102, -0.0976072, -0.0637787, -0.0406605, -0.0312486, -0.0228857, -0.0136215, -0.00954995, -0.00453361, -0.00314767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0395505");
-            index_3 ("0.813315, 0.858258, 0.901509, 0.912824, 0.929448, 0.951216, 0.964684, 0.979761, 0.994001, 1.02248, 1.04921, 1.069, 1.1068, 1.13425, 1.1486, 1.1773, 1.24498, 1.27716, 1.31857, 1.35961, 1.40319, 1.42952, 1.48218, 1.53099");
-            values ( \
-              "-0.00451292, -0.0588713, -0.131367, -0.147396, -0.164105, -0.178369, -0.183758, -0.187665, -0.189923, -0.191089, -0.189545, -0.187335, -0.180488, -0.172723, -0.16717, -0.150598, -0.092011, -0.0677886, -0.0439317, -0.027787, -0.0167158, -0.0122116, -0.00636418, -0.0036277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0818133");
-            index_3 ("0.813159, 0.876104, 0.91216, 0.927953, 0.955041, 0.981802, 1.00644, 1.02945, 1.06582, 1.10179, 1.15645, 1.2156, 1.25041, 1.29702, 1.32753, 1.38855, 1.40912, 1.45027, 1.50196, 1.61277, 1.66403, 1.74882, 1.79143, 1.83441, 1.92187, 1.97417, 2.03636, 2.09855, 2.22292, 2.25844");
-            values ( \
-              "-0.00239661, -0.0912244, -0.151442, -0.168876, -0.187537, -0.197253, -0.201667, -0.203492, -0.203848, -0.202714, -0.199715, -0.1956, -0.194296, -0.190555, -0.187091, -0.177557, -0.173181, -0.161462, -0.140095, -0.0891931, -0.0697959, -0.0449871, -0.035694, -0.0280736, -0.0169886, -0.0125193, -0.00864293, -0.00596762, -0.00282144, -0.00250826" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00168745, 0.0016881, 0.00168861, 0.00168894, 0.00168913, 0.00168923", \
-            "0.00187032, 0.00187077, 0.00187124, 0.00187159, 0.00187181, 0.00187192", \
-            "0.00197491, 0.00197499, 0.00197519, 0.00197535, 0.0019755, 0.0019756", \
-            "0.00203696, 0.00203702, 0.0020371, 0.0020372, 0.00203731, 0.00203738", \
-            "0.00207206, 0.00207207, 0.00207211, 0.00207216, 0.00207222, 0.00207228", \
-            "0.00209038, 0.00209041, 0.00209046, 0.00209055, 0.00209064, 0.00209073" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00212704, 0.00212815, 0.00212927, 0.00213007, 0.00213054, 0.00213079", \
-            "0.00219744, 0.00219786, 0.0021986, 0.00219922, 0.00219967, 0.00219993", \
-            "0.00224444, 0.0022445, 0.00224423, 0.00224446, 0.00224457, 0.00224467", \
-            "0.00236677, 0.00236503, 0.00236315, 0.00236163, 0.0023607, 0.00236022", \
-            "0.00266252, 0.00266299, 0.0026661, 0.00266792, 0.00266963, 0.00267034", \
-            "0.00344738, 0.00343078, 0.00343733, 0.00348321, 0.00350638, 0.00351928" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00398195, 0.00398013, 0.00395068, 0.00390442, 0.00386403, 0.00383662", \
-            "0.00388878, 0.00389183, 0.00386929, 0.00382758, 0.00378684, 0.00375787", \
-            "0.00374538, 0.00375372, 0.00374398, 0.00371405, 0.00367706, 0.0036463", \
-            "0.00361429, 0.00362111, 0.00361012, 0.00359959, 0.00357195, 0.00353475", \
-            "0.00369329, 0.0036927, 0.00369751, 0.00367358, 0.00364318, 0.00360649", \
-            "0.004281, 0.00424884, 0.00422643, 0.00420708, 0.0041866, 0.00414341" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00872831, 0.0087235, 0.00865964, 0.00853111, 0.00842468, 0.00835296", \
-            "0.00862773, 0.00862288, 0.00855306, 0.00844906, 0.00834604, 0.00827597", \
-            "0.00852614, 0.00852094, 0.00846424, 0.00836677, 0.00826982, 0.00820161", \
-            "0.00840408, 0.00840857, 0.00838715, 0.00832609, 0.00824653, 0.00818656", \
-            "0.00836946, 0.00838283, 0.00840189, 0.00839728, 0.00834957, 0.00828874", \
-            "0.00877156, 0.00878327, 0.00879579, 0.00881783, 0.00877951, 0.00873026" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.00299954, 0.00308752, 0.00316006, 0.003202, 0.00322208, 0.00323026", \
-            "0.00292228, 0.00300931, 0.00308519, 0.003131, 0.00315248, 0.00316125", \
-            "0.00279869, 0.00287817, 0.00295968, 0.00301982, 0.00305227, 0.00304411", \
-            "0.00262295, 0.00270925, 0.00275992, 0.00284201, 0.00289704, 0.00291814", \
-            "0.00272862, 0.00276499, 0.0028325, 0.00290446, 0.00296418, 0.00301173", \
-            "0.00346162, 0.00344366, 0.00344325, 0.00349395, 0.00358274, 0.00363184" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00446821, 0.00924286, 0.0191196, 0.0395505, 0.0818133");
-          values ( \
-            "0.0072016, 0.00723415, 0.0072683, 0.00727528, 0.00727297, 0.00727015", \
-            "0.00710226, 0.0071445, 0.0071733, 0.0071834, 0.00718293, 0.00718148", \
-            "0.00701, 0.00705581, 0.00709143, 0.00711066, 0.00711688, 0.00711762", \
-            "0.0069928, 0.00703551, 0.00708317, 0.00714397, 0.00715089, 0.00715089", \
-            "0.00732432, 0.00740222, 0.00741612, 0.00742447, 0.00741632, 0.00741062", \
-            "0.00837148, 0.00834995, 0.00833603, 0.0083385, 0.00831909, 0.00827933" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00290335;
-      rise_capacitance : 0.00290335;
-      rise_capacitance_range (0.00221082, 0.00290335);
-      fall_capacitance : 0.00290215;
-      fall_capacitance_range (0.00203715, 0.00290215);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00193636, 0.00228305, 0.00249671, 0.00260946, 0.00266507, 0.002691" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00249048, 0.00247591, 0.0023903, 0.00232758, 0.00229497, 0.00228521" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00176103, 0.00195787, 0.00205768, 0.00210546, 0.00212946, 0.00214393" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00227818, 0.0024385, 0.00255924, 0.00268063, 0.00274069, 0.00275592" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00201314, -0.00214191, -0.00224596, -0.00228259, -0.00229412, -0.00229386" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00229346, 0.00229178, 0.00231147, 0.00230991, 0.00230671, 0.00230734" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0027053;
-      rise_capacitance : 0.0027053;
-      rise_capacitance_range (0.00159213, 0.0027053);
-      fall_capacitance : 0.00268051;
-      fall_capacitance_range (0.00164665, 0.00268051);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00138876, 0.00149467, 0.00154474, 0.00157208, 0.00158458, 0.00159049" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00173448, 0.00177573, 0.00178887, 0.00178676, 0.00178769, 0.00178784" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00160161, 0.00172051, 0.00177104, 0.00179663, 0.0018094, 0.00181565" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00170996, 0.00169311, 0.00167616, 0.0016704, 0.00166674, 0.00166532" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00106174, -0.00106436, -0.00106623, -0.00107142, -0.00105999, -0.00106341" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00107296, 0.00108394, 0.0010829, 0.00107363, 0.0010763, 0.001072" \
-          );
-        }
-      }
-    }
-  }
-  cell (OR2X2) {
-    area : 10.2564;
-    cell_footprint : "OR2";
-    cell_leakage_power : 0.0271848;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00580504;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0163957;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0301683;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0563699;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0271848;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A) + (B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.168152;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.0743044, 0.0850913, 0.107211, 0.156521, 0.272768, 0.550118", \
-            "0.0779475, 0.08879, 0.110922, 0.160232, 0.27647, 0.553811", \
-            "0.0874745, 0.0983118, 0.120454, 0.169805, 0.286089, 0.563433", \
-            "0.109635, 0.120515, 0.142587, 0.192041, 0.308337, 0.585757", \
-            "0.137962, 0.150286, 0.173658, 0.223159, 0.339756, 0.61705", \
-            "0.15697, 0.172004, 0.198076, 0.248963, 0.365144, 0.64292" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.0224873, 0.0344362, 0.0632541, 0.134713, 0.308437, 0.724153", \
-            "0.0225217, 0.0344177, 0.0632539, 0.13474, 0.308447, 0.724174", \
-            "0.0224723, 0.0343816, 0.0632252, 0.134735, 0.308447, 0.724175", \
-            "0.0235024, 0.0350843, 0.063587, 0.134797, 0.308444, 0.724156", \
-            "0.0286098, 0.0394818, 0.0664271, 0.136224, 0.308772, 0.724206", \
-            "0.0371781, 0.0485841, 0.0734686, 0.139186, 0.309875, 0.725043" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.126227, 0.139357, 0.163227, 0.20772, 0.299239, 0.50891", \
-            "0.130744, 0.143953, 0.16786, 0.212179, 0.303765, 0.513329", \
-            "0.142101, 0.155221, 0.17915, 0.223729, 0.315291, 0.525023", \
-            "0.168106, 0.181181, 0.205065, 0.2498, 0.341522, 0.551152", \
-            "0.222708, 0.236676, 0.261787, 0.307421, 0.399647, 0.609423", \
-            "0.318819, 0.334735, 0.363017, 0.412877, 0.50861, 0.719413" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.0267355, 0.0371252, 0.0581525, 0.104186, 0.214596, 0.489601", \
-            "0.0267807, 0.0371123, 0.0580925, 0.104222, 0.214611, 0.489588", \
-            "0.0267693, 0.0371613, 0.0581105, 0.104136, 0.214583, 0.489586", \
-            "0.0267445, 0.037143, 0.0580743, 0.104088, 0.21454, 0.489578", \
-            "0.0296452, 0.0402209, 0.0611075, 0.105914, 0.215168, 0.489668", \
-            "0.0364967, 0.0480985, 0.06977, 0.114481, 0.222138, 0.491969" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0560356, 0.0692111, 0.0713651, 0.0751307, 0.0785604, 0.0818796, 0.0851923, 0.0871984, 0.0922568, 0.09807, 0.103851, 0.110954, 0.11725, 0.122359, 0.134465, 0.145089");
-            values ( \
-              "0.00122944, 0.0868472, 0.0968964, 0.10974, 0.11661, 0.118236, 0.114366, 0.10622, 0.0712908, 0.0436544, 0.0272382, 0.0152624, 0.00922664, 0.00618598, 0.00257491, 0.00124049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00516071");
-            index_3 ("0.0597205, 0.0709232, 0.0739122, 0.0772385, 0.0826389, 0.0877003, 0.0927104, 0.0977172, 0.100525, 0.109972, 0.11592, 0.120749, 0.124872, 0.13003, 0.135901, 0.143224, 0.149323, 0.155185, 0.164073, 0.175925, 0.188809");
-            values ( \
-              "0.0382323, 0.128993, 0.146898, 0.164051, 0.180134, 0.186921, 0.184296, 0.173515, 0.160121, 0.10421, 0.0781098, 0.0609248, 0.0492371, 0.0374697, 0.0272847, 0.018387, 0.0131846, 0.00961286, 0.00596547, 0.00312603, 0.00176153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0123298");
-            index_3 ("0.0596739, 0.0665256, 0.0715562, 0.0777581, 0.0829365, 0.0877238, 0.0961383, 0.0980602, 0.105658, 0.1148, 0.118183, 0.1246, 0.129674, 0.147933, 0.164705, 0.179696, 0.186638, 0.198762, 0.215843, 0.225028, 0.235236, 0.248847, 0.27607, 0.280295");
-            values ( \
-              "0.0212624, 0.108416, 0.157036, 0.201283, 0.225676, 0.239172, 0.250863, 0.25117, 0.248372, 0.237129, 0.230689, 0.214224, 0.197497, 0.131791, 0.0863447, 0.0573504, 0.0471078, 0.0331302, 0.0198905, 0.0150627, 0.0110424, 0.007241, 0.00297388, 0.00272625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0294581");
-            index_3 ("0.0596074, 0.0707908, 0.0789401, 0.0838536, 0.0894416, 0.0987562, 0.107842, 0.116862, 0.125832, 0.141501, 0.164032, 0.176408, 0.185385, 0.239814, 0.25992, 0.282899, 0.293894, 0.319935, 0.337908, 0.352932, 0.37034, 0.395007, 0.420757, 0.440494, 0.479969, 0.55275, 0.633471");
-            values ( \
-              "0.0305918, 0.159195, 0.226718, 0.253431, 0.273776, 0.291542, 0.296597, 0.295666, 0.291629, 0.281324, 0.261305, 0.24674, 0.233828, 0.141628, 0.112768, 0.0848601, 0.0737511, 0.052307, 0.0406874, 0.0329495, 0.0258375, 0.0179111, 0.0122705, 0.00929915, 0.00497242, 0.00157814, 0.000291919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0703806");
-            index_3 ("0.0706614, 0.0922373, 0.101193, 0.110797, 0.115936, 0.122788, 0.136493, 0.151901, 0.178469, 0.235213, 0.256896, 0.280396, 0.326341, 0.460541, 0.491852, 0.524522, 0.566136, 0.597907, 0.630433, 0.673877, 0.713061, 0.765307, 0.829471, 0.869308, 0.948981, 1.0297, 1.11042, 1.27186");
-            values ( \
-              "0.290518, 0.296737, 0.314069, 0.321722, 0.323191, 0.323592, 0.321958, 0.317883, 0.309671, 0.289578, 0.281153, 0.270682, 0.244931, 0.144795, 0.124093, 0.104346, 0.0829715, 0.069104, 0.0568247, 0.0437208, 0.0342857, 0.0244394, 0.0161295, 0.0125826, 0.00726131, 0.0043427, 0.00238934, 0.00074587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.168152");
-            index_3 ("0.0790672, 0.0790872, 0.241018, 0.342981, 0.451492, 0.532213, 0.609228, 0.67371, 0.754431, 0.879152, 0.980405, 1.10219, 1.18291, 1.27112, 1.31232, 1.3857, 1.46642, 1.50861, 1.58933, 1.67005, 1.73149, 1.81221, 1.89056, 1.97128, 2.13273, 2.29417, 2.37489, 2.61705, 2.93993");
-            values ( \
-              "1e-22, 0.36411, 0.325535, 0.31092, 0.294062, 0.279885, 0.263413, 0.246408, 0.22162, 0.180389, 0.148224, 0.113853, 0.0943252, 0.0761314, 0.0686532, 0.0569474, 0.0460886, 0.0412534, 0.0331878, 0.0266816, 0.0225162, 0.0180485, 0.0145287, 0.011555, 0.00732892, 0.00463353, 0.0037275, 0.00183617, 0.000715398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0719184, 0.0744783, 0.0856686, 0.0894487, 0.092886, 0.0962102, 0.0995276, 0.101431, 0.106797, 0.11195, 0.118442, 0.125772, 0.131991, 0.136954, 0.147655, 0.155031");
-            values ( \
-              "0.0219954, 0.0317548, 0.0963223, 0.109515, 0.116324, 0.118186, 0.1142, 0.106697, 0.0698787, 0.0453584, 0.0266773, 0.0146734, 0.00893613, 0.00607226, 0.00279169, 0.0021181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00516071");
-            index_3 ("0.0739635, 0.0840728, 0.0883175, 0.0917807, 0.0971722, 0.102229, 0.107237, 0.112241, 0.124498, 0.130438, 0.139395, 0.144568, 0.150429, 0.157727, 0.163802, 0.169704, 0.178653, 0.190584, 0.191572");
-            values ( \
-              "0.0394688, 0.11894, 0.146888, 0.164147, 0.180599, 0.186787, 0.184604, 0.173318, 0.104218, 0.0781291, 0.0492411, 0.0374416, 0.027276, 0.018409, 0.0132143, 0.00961316, 0.00594575, 0.00310402, 0.00299949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0123298");
-            index_3 ("0.0753386, 0.0859903, 0.0921547, 0.0972323, 0.102128, 0.111178, 0.120071, 0.128962, 0.13258, 0.144071, 0.162328, 0.179102, 0.19409, 0.213158, 0.230241, 0.239424, 0.263242, 0.286168");
-            values ( \
-              "0.0564786, 0.156713, 0.200769, 0.225484, 0.239512, 0.251182, 0.248302, 0.237933, 0.230766, 0.197553, 0.131822, 0.0863517, 0.057344, 0.0331371, 0.019896, 0.0150555, 0.00724728, 0.00364334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0294581");
-            index_3 ("0.0759175, 0.0881123, 0.0933257, 0.0977399, 0.103802, 0.112821, 0.122189, 0.131211, 0.140177, 0.155608, 0.178362, 0.1982, 0.254839, 0.270405, 0.284899, 0.303594, 0.31811, 0.337464, 0.352619, 0.364844, 0.381143, 0.407894, 0.438177, 0.462148, 0.51009, 0.586343, 0.667064");
-            values ( \
-              "0.0657638, 0.188639, 0.227669, 0.251869, 0.274151, 0.291383, 0.296651, 0.295708, 0.291631, 0.281506, 0.26126, 0.236443, 0.140726, 0.117949, 0.0992128, 0.0784072, 0.0649083, 0.0500362, 0.0405514, 0.0341735, 0.0271393, 0.0183461, 0.0117783, 0.00827975, 0.00390873, 0.00109819, 0.000247917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0703806");
-            index_3 ("0.0759303, 0.0923385, 0.0987544, 0.106493, 0.117065, 0.12674, 0.139126, 0.152554, 0.166135, 0.206819, 0.25838, 0.294641, 0.340557, 0.364808, 0.453028, 0.474759, 0.509769, 0.541106, 0.581233, 0.610521, 0.643676, 0.687688, 0.728175, 0.782157, 0.84034, 0.871745, 0.934555, 1.01528, 1.096, 1.17672, 1.33816");
-            values ( \
-              "0.0783493, 0.23057, 0.268911, 0.297186, 0.315857, 0.322256, 0.323747, 0.321501, 0.317959, 0.304903, 0.286207, 0.270739, 0.24489, 0.227788, 0.160397, 0.144886, 0.121702, 0.103115, 0.0825039, 0.0696972, 0.0572487, 0.0437633, 0.0340237, 0.0241052, 0.0165639, 0.0135118, 0.0089171, 0.00518823, 0.00300322, 0.00174249, 0.000584162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.168152");
-            index_3 ("0.0931223, 0.0931424, 0.252269, 0.365501, 0.465817, 0.572143, 0.652864, 0.688134, 0.748425, 0.994719, 1.11884, 1.19956, 1.28462, 1.39834, 1.47906, 1.52289, 1.60361, 1.68433, 1.8265, 1.90491, 1.98563, 2.14707, 2.38924, 2.71212, 2.95428");
-            values ( \
-              "1e-22, 0.363394, 0.325926, 0.309736, 0.294112, 0.274896, 0.256008, 0.246421, 0.228074, 0.148175, 0.1132, 0.0938557, 0.0762255, 0.0571309, 0.0463545, 0.0411933, 0.0332545, 0.0266195, 0.0179844, 0.0144606, 0.0116208, 0.0073958, 0.00365864, 0.00143438, 0.000785579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.107842, 0.120813, 0.124574, 0.128, 0.131317, 0.134627, 0.135631, 0.141625, 0.147646, 0.153209, 0.160335, 0.166743, 0.17183, 0.183705, 0.189679");
-            values ( \
-              "0.024718, 0.0969596, 0.109958, 0.116611, 0.118398, 0.114327, 0.11086, 0.0717581, 0.0431347, 0.0274105, 0.0153231, 0.00918385, 0.00616621, 0.00261091, 0.00229008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00516071");
-            index_3 ("0.109825, 0.118974, 0.123272, 0.126659, 0.132035, 0.137083, 0.142086, 0.147085, 0.149933, 0.159344, 0.165275, 0.170091, 0.174237, 0.179428, 0.185275, 0.192544, 0.198592, 0.20454, 0.213557, 0.225581, 0.240391");
-            values ( \
-              "0.0453423, 0.120025, 0.148063, 0.164879, 0.180914, 0.187083, 0.184681, 0.173456, 0.159994, 0.104216, 0.0781615, 0.0610102, 0.0492442, 0.0374069, 0.0272706, 0.0184333, 0.0132518, 0.00961605, 0.00592522, 0.00307676, 0.00151966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0123298");
-            index_3 ("0.109947, 0.116174, 0.121061, 0.127175, 0.132909, 0.137111, 0.145515, 0.147418, 0.155021, 0.163916, 0.167579, 0.173959, 0.179033, 0.197291, 0.214063, 0.229053, 0.235996, 0.24812, 0.265202, 0.274386, 0.284595, 0.298206, 0.325428, 0.334987");
-            values ( \
-              "0.0297097, 0.112966, 0.158678, 0.201858, 0.228615, 0.239962, 0.251014, 0.251301, 0.248386, 0.237564, 0.230644, 0.214237, 0.197509, 0.131797, 0.0863457, 0.0573487, 0.0471097, 0.0331318, 0.0198918, 0.0150605, 0.0110404, 0.00724266, 0.0029721, 0.00240766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0294581");
-            index_3 ("0.109911, 0.120538, 0.128529, 0.134621, 0.138947, 0.147598, 0.157325, 0.166345, 0.175305, 0.190497, 0.213496, 0.233345, 0.290205, 0.31912, 0.338374, 0.353901, 0.374603, 0.388236, 0.414064, 0.442257, 0.475049, 0.501484, 0.554353, 0.589144");
-            values ( \
-              "0.0343137, 0.16538, 0.229412, 0.260476, 0.274773, 0.291258, 0.296768, 0.295797, 0.291633, 0.281718, 0.261219, 0.236447, 0.140394, 0.100316, 0.0787445, 0.0643303, 0.048664, 0.040264, 0.0280068, 0.0185366, 0.0114716, 0.00776138, 0.00336457, 0.00226661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0703806");
-            index_3 ("0.118307, 0.14552, 0.153031, 0.161979, 0.174517, 0.201367, 0.242051, 0.293612, 0.329873, 0.375789, 0.509991, 0.576339, 0.645751, 0.678907, 0.722919, 0.763407, 0.817392, 0.875573, 0.969785, 1.05051, 1.13123, 1.16892");
-            values ( \
-              "0.243133, 0.306391, 0.317031, 0.322384, 0.323811, 0.31798, 0.304917, 0.286207, 0.270738, 0.244891, 0.144885, 0.103114, 0.0696979, 0.0572487, 0.0437635, 0.0340236, 0.0241046, 0.0165636, 0.00891699, 0.00518844, 0.00300306, 0.00262657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.168152");
-            index_3 ("0.125558, 0.125578, 0.48145, 0.607432, 0.688153, 0.723423, 0.783713, 1.00831, 1.15413, 1.23485, 1.31991, 1.43363, 1.51435, 1.6389, 1.71962, 1.86179, 2.02092, 2.18237, 2.42453, 2.54292");
-            values ( \
-              "1e-22, 0.351137, 0.297236, 0.274836, 0.256066, 0.246366, 0.228127, 0.154873, 0.11324, 0.0938168, 0.0762528, 0.0571565, 0.046329, 0.0332306, 0.0266428, 0.0180067, 0.0116005, 0.00737615, 0.00367732, 0.00286134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.185589, 0.193594, 0.198287, 0.203494, 0.207622, 0.209936, 0.212967, 0.214768, 0.2178, 0.220424, 0.226634, 0.231703, 0.238048, 0.245162, 0.251993, 0.256203, 0.262793, 0.27158, 0.283987");
-            values ( \
-              "0.00141749, 0.0364196, 0.0626638, 0.0868108, 0.101754, 0.107668, 0.111853, 0.113225, 0.111814, 0.103314, 0.0637755, 0.0417363, 0.0250234, 0.0140921, 0.00819417, 0.00593343, 0.00365796, 0.00195788, 0.000882123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00516071");
-            index_3 ("0.194597, 0.202834, 0.205051, 0.206159, 0.20789, 0.209759, 0.21039, 0.211652, 0.213279, 0.215426, 0.216696, 0.217712, 0.218728, 0.220168, 0.220648, 0.221609, 0.222569, 0.22353, 0.22449, 0.225435, 0.226379, 0.227009, 0.228268, 0.22895, 0.230995, 0.232327, 0.233659, 0.234991, 0.236322, 0.23884, 0.241613, 0.244265, 0.24609, 0.247307, 0.248523, 0.24974, 0.252173, 0.254302, 0.258573, 0.260105, 0.262084, 0.264063, 0.268026, 0.270665, 0.271983, 0.274619, 0.276377, 0.279892, 0.282834, 0.288245");
-            values ( \
-              "0.111446, 0.114295, 0.128301, 0.134738, 0.144034, 0.153032, 0.158967, 0.161536, 0.166197, 0.172962, 0.176173, 0.17835, 0.180179, 0.181843, 0.182225, 0.182526, 0.182618, 0.182502, 0.182178, 0.181656, 0.18074, 0.179824, 0.177336, 0.175459, 0.168789, 0.163603, 0.157755, 0.151244, 0.144071, 0.128371, 0.112666, 0.0989843, 0.0900882, 0.0846143, 0.0794868, 0.0749179, 0.066395, 0.0597184, 0.0475785, 0.0436748, 0.0394717, 0.035593, 0.0289092, 0.0248235, 0.0230279, 0.0198947, 0.0181743, 0.0150896, 0.0129198, 0.00952626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0123298");
-            index_3 ("0.189831, 0.196802, 0.207105, 0.210246, 0.213275, 0.215561, 0.220317, 0.224741, 0.229524, 0.238509, 0.247492, 0.250367, 0.253433, 0.257494, 0.282549, 0.292461, 0.303688, 0.309933, 0.320176, 0.329056, 0.336892, 0.345114, 0.356879, 0.368956, 0.378182, 0.396635, 0.431392, 0.47242");
-            values ( \
-              "0.0458012, 0.0815919, 0.166719, 0.188025, 0.204263, 0.215374, 0.232503, 0.24217, 0.247343, 0.247, 0.236994, 0.231773, 0.225044, 0.214075, 0.126802, 0.0988505, 0.0732192, 0.061755, 0.0462517, 0.0357502, 0.0284034, 0.0222236, 0.0155498, 0.0107777, 0.00811673, 0.0045364, 0.00136996, 0.000309083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0294581");
-            index_3 ("0.189961, 0.209385, 0.217039, 0.222451, 0.230518, 0.241047, 0.25183, 0.273578, 0.277672, 0.28586, 0.297285, 0.31718, 0.339706, 0.366853, 0.382329, 0.40491, 0.427359, 0.450877, 0.4762, 0.511653, 0.530768, 0.537813, 0.580083, 0.636443, 0.710721, 0.791442");
-            values ( \
-              "0.0348544, 0.196282, 0.245144, 0.267716, 0.286651, 0.295461, 0.294734, 0.282428, 0.278585, 0.272485, 0.260926, 0.23666, 0.198761, 0.151258, 0.127565, 0.0975621, 0.0733907, 0.0536328, 0.0377197, 0.0226114, 0.0176613, 0.0153589, 0.00806176, 0.00374102, 0.000675889, 0.000551113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0703806");
-            index_3 ("0.189633, 0.206505, 0.210037, 0.210594, 0.21371, 0.216356, 0.22012, 0.224883, 0.233754, 0.243047, 0.245369, 0.250014, 0.259303, 0.276222, 0.284802, 0.301961, 0.325484, 0.366538, 0.371184, 0.377379, 0.38977, 0.413307, 0.459249, 0.462686, 0.469561, 0.483309, 0.510807, 0.537878, 0.573973, 0.593449, 0.606854, 0.624727, 0.657408, 0.699036, 0.709634, 0.730829, 0.76335, 0.806789, 0.819846, 0.845961, 0.89819, 0.942452, 0.962377, 1.00223, 1.08193, 1.16265, 1.24337, 1.32409, 1.40481");
-            values ( \
-              "0.0338097, 0.178432, 0.208167, 0.21172, 0.234812, 0.25186, 0.271632, 0.290053, 0.310714, 0.32006, 0.321189, 0.322557, 0.323135, 0.320278, 0.317918, 0.312642, 0.304886, 0.290237, 0.288476, 0.286081, 0.28111, 0.270741, 0.244872, 0.242475, 0.237821, 0.22791, 0.207059, 0.186012, 0.158722, 0.144868, 0.135704, 0.124038, 0.104434, 0.0828992, 0.0780371, 0.0690213, 0.0568985, 0.0436428, 0.0402599, 0.03421, 0.0245209, 0.0184375, 0.0162076, 0.0125034, 0.00733728, 0.0042633, 0.00246682, 0.00142991, 0.000823934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.168152");
-            index_3 ("0.210234, 0.210254, 0.356226, 0.484436, 0.584712, 0.665433, 0.742456, 0.806933, 0.887654, 1.01237, 1.11363, 1.23541, 1.31613, 1.40435, 1.44555, 1.51892, 1.59964, 1.64183, 1.72255, 1.80327, 1.86471, 1.94543, 2.02379, 2.10451, 2.26595, 2.34667, 2.50811, 2.75027, 3.07316");
-            values ( \
-              "1e-22, 0.356322, 0.32807, 0.309667, 0.294051, 0.279896, 0.263419, 0.246402, 0.221625, 0.180393, 0.148223, 0.11385, 0.0943284, 0.0761192, 0.068666, 0.0569335, 0.0461029, 0.041238, 0.0332039, 0.026665, 0.0225334, 0.0180307, 0.0145085, 0.0115756, 0.00735057, 0.00585286, 0.00370444, 0.0018606, 0.000741576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.346154, 0.353903, 0.356434, 0.359364, 0.363309, 0.376176, 0.381748, 0.386526, 0.390867, 0.395048, 0.399218, 0.405142, 0.409829, 0.415349, 0.419333, 0.423097, 0.426784, 0.431701, 0.436324, 0.443715, 0.45357, 0.462593");
-            values ( \
-              "0.00392411, 0.0088901, 0.0120565, 0.0172447, 0.0265447, 0.0630397, 0.0765801, 0.0863474, 0.0923661, 0.0942007, 0.0864661, 0.0559905, 0.0388588, 0.0256991, 0.0190006, 0.0142684, 0.0108295, 0.00751852, 0.00541438, 0.00330327, 0.00176676, 0.00114002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00516071");
-            index_3 ("0.348059, 0.35663, 0.359674, 0.36613, 0.38983, 0.397207, 0.403042, 0.408848, 0.414651, 0.425066, 0.434536, 0.443306, 0.450309, 0.460252, 0.46564, 0.483354, 0.497443");
-            values ( \
-              "0.00736294, 0.0185003, 0.0255482, 0.0466353, 0.138788, 0.156538, 0.16216, 0.158106, 0.137416, 0.0861099, 0.0540879, 0.0346254, 0.0239791, 0.0141442, 0.0106504, 0.00420072, 0.00236735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0123298");
-            index_3 ("0.356708, 0.366321, 0.384212, 0.396933, 0.407262, 0.41694, 0.42656, 0.436655, 0.465002, 0.484952, 0.500739, 0.511712, 0.524827, 0.537205, 0.558746, 0.577504, 0.584553");
-            values ( \
-              "0.0369786, 0.0554134, 0.145758, 0.201673, 0.22623, 0.232935, 0.228699, 0.210072, 0.117189, 0.069731, 0.0449508, 0.0326875, 0.0223724, 0.0154519, 0.00804408, 0.00445922, 0.00382928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0294581");
-            index_3 ("0.362639, 0.377232, 0.389173, 0.398353, 0.405542, 0.418631, 0.425818, 0.437301, 0.452547, 0.463459, 0.475967, 0.495988, 0.552858, 0.582469, 0.616307, 0.636193, 0.654677, 0.678225, 0.70554, 0.736791, 0.761708, 0.811542, 0.841214");
-            values ( \
-              "0.0910879, 0.117851, 0.189193, 0.233637, 0.257604, 0.280537, 0.284864, 0.285306, 0.278352, 0.270527, 0.259537, 0.235186, 0.14048, 0.0996087, 0.0646967, 0.0494668, 0.0383095, 0.0274537, 0.0184393, 0.0116771, 0.00806015, 0.00370883, 0.0026569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0703806");
-            index_3 ("0.362488, 0.383618, 0.397323, 0.409634, 0.425436, 0.42948, 0.437568, 0.450624, 0.463532, 0.483583, 0.507347, 0.527464, 0.556016, 0.592462, 0.630143, 0.641769, 0.665022, 0.758353, 0.802702, 0.83579, 0.877865, 0.910429, 0.953846, 0.988316, 1.01611, 1.05317, 1.11328, 1.18121, 1.2349, 1.31562, 1.39634, 1.47706, 1.63851");
-            values ( \
-              "0.078816, 0.161057, 0.241017, 0.285602, 0.311038, 0.313853, 0.316785, 0.317458, 0.315175, 0.309874, 0.30197, 0.296371, 0.286141, 0.270674, 0.250094, 0.242454, 0.226042, 0.154801, 0.124741, 0.104835, 0.0830167, 0.068811, 0.0530854, 0.0429875, 0.0361782, 0.0286371, 0.0194272, 0.0125117, 0.00878554, 0.00510486, 0.00296114, 0.00171179, 0.000571244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.168152");
-            index_3 ("0.385296, 0.385316, 0.559149, 0.744027, 0.802804, 0.86995, 0.950671, 0.985943, 1.04623, 1.27083, 1.33593, 1.41665, 1.49737, 1.58244, 1.69615, 1.77687, 1.90143, 1.98215, 2.12431, 2.28344, 2.44488, 2.68705, 2.92921, 3.25209");
-            values ( \
-              "1e-22, 0.340472, 0.324608, 0.297202, 0.287419, 0.274829, 0.256064, 0.246359, 0.22813, 0.154862, 0.135328, 0.113256, 0.0937998, 0.0762772, 0.0571819, 0.0463038, 0.0332045, 0.0266693, 0.0180336, 0.0115731, 0.00734851, 0.00370535, 0.00185926, 0.000740439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.704543, 0.717003, 0.720989, 0.727984, 0.737537, 0.749441, 0.759089, 0.76494, 0.770451, 0.775924, 0.790333, 0.796201, 0.806215, 0.817004, 0.831772, 0.844542, 0.844563");
-            values ( \
-              "0.00473762, 0.00775021, 0.0106294, 0.0184822, 0.0351026, 0.0525266, 0.0638347, 0.0689903, 0.0720489, 0.0702606, 0.0290826, 0.0196743, 0.00988124, 0.00481264, 0.00213992, 0.00117405, 0.00117356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00516071");
-            index_3 ("0.677196, 0.715777, 0.717614, 0.719849, 0.722403, 0.727512, 0.731356, 0.739046, 0.741827, 0.754858, 0.764567, 0.772787, 0.780197, 0.787252, 0.794304, 0.800061, 0.803088, 0.805609, 0.808491, 0.814254, 0.817943, 0.819317, 0.822064, 0.827558, 0.83121, 0.834062, 0.837865, 0.84547, 0.849854, 0.851474, 0.854713, 0.861192, 0.874149, 0.882155");
-            values ( \
-              "0.000715976, 0.00912093, 0.0108851, 0.0135466, 0.0168212, 0.0248233, 0.0322853, 0.0500001, 0.0568657, 0.0857494, 0.105686, 0.120649, 0.130059, 0.133486, 0.12239, 0.0995063, 0.0877837, 0.0788972, 0.0695398, 0.0534893, 0.0451345, 0.0422798, 0.0370413, 0.0282452, 0.0235596, 0.0204262, 0.0168701, 0.0114676, 0.00922633, 0.00852, 0.00727244, 0.00531019, 0.00284155, 0.00215786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0123298");
-            index_3 ("0.679174, 0.715696, 0.720387, 0.727436, 0.729862, 0.734716, 0.74074, 0.754006, 0.771202, 0.784219, 0.79555, 0.806292, 0.817033, 0.820921, 0.824763, 0.838024, 0.846817, 0.850993, 0.858027, 0.867122, 0.874362, 0.884015, 0.89111, 0.896897, 0.904613, 0.917909, 0.924046, 0.927082, 0.933156, 0.945303, 0.969597, 0.974663");
-            values ( \
-              "0.00147017, 0.01349, 0.0194652, 0.0317882, 0.0368277, 0.0482517, 0.0642804, 0.103126, 0.154493, 0.187185, 0.204253, 0.209181, 0.199446, 0.191126, 0.180895, 0.139147, 0.113837, 0.10287, 0.0861558, 0.0678395, 0.0557523, 0.0425236, 0.0347437, 0.0294027, 0.0234568, 0.0157766, 0.0131476, 0.012005, 0.0100146, 0.00692639, 0.00322145, 0.00290293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0294581");
-            index_3 ("0.71569, 0.737449, 0.782874, 0.797139, 0.815749, 0.820924, 0.837009, 0.856637, 0.864966, 0.888822, 0.929962, 0.964884, 0.997417, 1.03119, 1.07169, 1.1176, 1.15268, 1.18714");
-            values ( \
-              "0.0361852, 0.0613344, 0.21569, 0.249789, 0.27024, 0.271662, 0.269429, 0.257281, 0.249225, 0.216241, 0.146461, 0.0982489, 0.0650324, 0.0411623, 0.023194, 0.011882, 0.00701027, 0.00457343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0703806");
-            index_3 ("0.715417, 0.751833, 0.783776, 0.792413, 0.801481, 0.819615, 0.838236, 0.843578, 0.854261, 0.875604, 0.903262, 0.927716, 0.971074, 0.985224, 1.02256, 1.0649, 1.14732, 1.19233, 1.21588, 1.24992, 1.27073, 1.30899, 1.3611, 1.37684, 1.40369, 1.43437, 1.49574, 1.5229, 1.5629, 1.61623, 1.69695, 1.77767, 1.81631");
-            values ( \
-              "0.0274359, 0.111778, 0.234615, 0.260459, 0.280794, 0.303566, 0.310509, 0.310559, 0.309707, 0.305091, 0.296587, 0.288128, 0.270598, 0.26377, 0.241839, 0.211258, 0.149101, 0.119331, 0.105399, 0.0877696, 0.0780748, 0.062383, 0.0454819, 0.0412532, 0.0349226, 0.0287764, 0.0193649, 0.0162515, 0.0125341, 0.00881986, 0.00512801, 0.00297181, 0.00237314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.168152");
-            index_3 ("0.746673, 0.781743, 0.800101, 0.824157, 0.840341, 0.855059, 0.876695, 0.903202, 1.04343, 1.18379, 1.27173, 1.36653, 1.44725, 1.65266, 1.79498, 1.8757, 1.96392, 2.07849, 2.15921, 2.28213, 2.36285, 2.50501, 2.66408, 2.82552, 3.06769, 3.30985, 3.63273");
-            values ( \
-              "0.216623, 0.234884, 0.289137, 0.321829, 0.329173, 0.331148, 0.33063, 0.327948, 0.308705, 0.286725, 0.270308, 0.246365, 0.221584, 0.154509, 0.113845, 0.0943316, 0.0761172, 0.0569322, 0.0461028, 0.0332038, 0.026665, 0.0180309, 0.0115751, 0.00734997, 0.00370522, 0.00185974, 0.000740562" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.0019811, 0.00198165, 0.0019823, 0.00198277, 0.00198303, 0.00198315", \
-            "0.00231644, 0.00231656, 0.00231688, 0.00231724, 0.0023175, 0.00231763", \
-            "0.00249395, 0.00249391, 0.0024939, 0.002494, 0.00249415, 0.00249426", \
-            "0.00261784, 0.00261784, 0.00261783, 0.00261782, 0.00261785, 0.0026179", \
-            "0.00274686, 0.00274602, 0.00274605, 0.00274606, 0.00274607, 0.00274691", \
-            "0.00287693, 0.00287691, 0.00287688, 0.00287684, 0.00287683, 0.00287684" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00255383, 0.00255369, 0.00255393, 0.00255436, 0.00255467, 0.00255484", \
-            "0.00255424, 0.00255399, 0.00255372, 0.00255373, 0.00255388, 0.00255401", \
-            "0.00262219, 0.00262207, 0.0026219, 0.00262167, 0.00262157, 0.00262157", \
-            "0.00288646, 0.00288573, 0.00288474, 0.00288382, 0.00288318, 0.00288285", \
-            "0.00338474, 0.00346272, 0.00352063, 0.00355408, 0.00356796, 0.00357507", \
-            "0.00333148, 0.00333178, 0.00333099, 0.00334986, 0.00343669, 0.00348296" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0963502, 0.102854, 0.10324, 0.104397, 0.105554, 0.106615, 0.107675, 0.108604, 0.109533, 0.110462, 0.111391, 0.112908, 0.115184, 0.115943, 0.116864, 0.118707, 0.120965, 0.122302, 0.124974, 0.127324, 0.129673, 0.131829, 0.132907, 0.133985, 0.135013, 0.13604, 0.137068, 0.138095, 0.139102, 0.140109, 0.141116, 0.142123, 0.143129, 0.144135, 0.145141, 0.146358, 0.146781, 0.148049, 0.149317, 0.152406, 0.153248, 0.154357, 0.156747, 0.158068, 0.159242, 0.159829, 0.160415, 0.161476, 0.162537, 0.163691");
-            values ( \
-              "-0.0030887, -0.0208402, -0.0212275, -0.0231115, -0.0246812, -0.0266832, -0.0291044, -0.0317056, -0.0346566, -0.0379573, -0.0416077, -0.0483229, -0.0556733, -0.0579222, -0.06041, -0.0651577, -0.070519, -0.073488, -0.079029, -0.083451, -0.0874874, -0.0908519, -0.0924123, -0.0938917, -0.094679, -0.0952518, -0.0956099, -0.0957535, -0.095686, -0.0954123, -0.0949325, -0.0942466, -0.0928522, -0.0910509, -0.0888426, -0.085579, -0.083801, -0.0767509, -0.0691142, -0.0496098, -0.0446799, -0.0388163, -0.0277294, -0.0225645, -0.0189798, -0.0173532, -0.015837, -0.013471, -0.0112772, -0.00908707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00516071");
-            index_3 ("0.100606, 0.111859, 0.117936, 0.131984, 0.139503, 0.143793, 0.146901, 0.152618, 0.15829, 0.16396, 0.178068, 0.184075, 0.189165, 0.192317, 0.198621, 0.207633");
-            values ( \
-              "-0.0279314, -0.0561957, -0.0875532, -0.13126, -0.148273, -0.155816, -0.160045, -0.165078, -0.162727, -0.143966, -0.0529916, -0.0296168, -0.0174458, -0.0123931, -0.00620558, -0.0022725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0123298");
-            index_3 ("0.0989693, 0.110854, 0.111216, 0.111939, 0.113386, 0.116281, 0.119145, 0.124872, 0.128098, 0.134548, 0.141358, 0.152302, 0.16215, 0.171414, 0.180439, 0.189455, 0.189779, 0.191075, 0.192804, 0.19594, 0.199526, 0.206698, 0.211946, 0.213566, 0.216806, 0.223285, 0.225602, 0.226218, 0.227451, 0.229916, 0.234155, 0.240066, 0.242481, 0.24731, 0.256457");
-            values ( \
-              "-0.028975, -0.0666363, -0.0678395, -0.0707377, -0.0767628, -0.0878241, -0.101671, -0.1313, -0.143383, -0.168208, -0.188883, -0.216936, -0.233946, -0.244907, -0.247643, -0.23906, -0.238125, -0.235135, -0.230454, -0.219038, -0.201024, -0.156909, -0.125771, -0.11685, -0.100341, -0.072327, -0.0640648, -0.0619853, -0.0580434, -0.0507642, -0.0401221, -0.0286028, -0.0248948, -0.0187619, -0.0109108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0294581");
-            index_3 ("0.0970235, 0.113092, 0.138041, 0.152556, 0.164236, 0.182457, 0.199373, 0.21577, 0.232148, 0.248505, 0.252944, 0.303407, 0.321537, 0.3395, 0.356391, 0.385193, 0.395823");
-            values ( \
-              "-0.0239383, -0.0852936, -0.200757, -0.247725, -0.275477, -0.306032, -0.321137, -0.326733, -0.321668, -0.302287, -0.293091, -0.116737, -0.0742003, -0.0461841, -0.0290681, -0.0128235, -0.0102504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0703806");
-            index_3 ("0.115038, 0.145101, 0.168207, 0.185926, 0.205901, 0.230644, 0.240046, 0.256822, 0.273354, 0.307324, 0.323096, 0.341213, 0.376, 0.389162, 0.40332, 0.45501, 0.476914, 0.499594, 0.540736, 0.561404, 0.581274, 0.620125, 0.642371, 0.686863, 0.752146, 0.817428, 0.882711");
-            values ( \
-              "-0.181669, -0.240014, -0.305552, -0.337456, -0.361232, -0.376114, -0.379452, -0.381639, -0.381766, -0.374931, -0.36903, -0.359885, -0.331834, -0.31509, -0.291868, -0.191989, -0.155074, -0.122606, -0.0777449, -0.0613164, -0.0485515, -0.0304357, -0.0232038, -0.013268, -0.00563785, -0.00236486, -0.00101146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.168152");
-            index_3 ("0.116487, 0.142664, 0.174277, 0.19112, 0.214104, 0.245756, 0.269037, 0.291252, 0.328093, 0.364683, 0.422658, 0.516167, 0.581449, 0.62503, 0.68117, 0.746453, 0.835042, 0.942325, 1.0053, 1.05674, 1.14873, 1.24184, 1.35974, 1.49031, 1.55559, 1.62066");
-            values ( \
-              "-0.225279, -0.237404, -0.328843, -0.358444, -0.384821, -0.403342, -0.409718, -0.412254, -0.412534, -0.409875, -0.403237, -0.388214, -0.374017, -0.361294, -0.337849, -0.293803, -0.217341, -0.138942, -0.10419, -0.0816986, -0.0517334, -0.0321329, -0.0173628, -0.00865354, -0.00628276, -0.00512339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.10968, 0.11743, 0.122379, 0.130831, 0.139801, 0.148828, 0.152943, 0.156975, 0.161003, 0.171755, 0.175271, 0.180867, 0.185492, 0.192278, 0.200517, 0.205275");
-            values ( \
-              "-0.000655477, -0.0194451, -0.0271113, -0.0575183, -0.0784495, -0.0929036, -0.0965101, -0.0966382, -0.0868832, -0.0273566, -0.0160303, -0.00651291, -0.00301574, -0.0010413, -0.000463427, -0.000439373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00516071");
-            index_3 ("0.115271, 0.12168, 0.126534, 0.12902, 0.131964, 0.137234, 0.142687, 0.14995, 0.156382, 0.162338, 0.168059, 0.173728, 0.179393, 0.182322, 0.188638, 0.193057, 0.195138, 0.197541, 0.200745, 0.203439, 0.206333, 0.210192, 0.217909, 0.218918");
-            values ( \
-              "-0.0268799, -0.0411359, -0.0542548, -0.0654678, -0.080519, -0.101051, -0.118281, -0.137717, -0.151083, -0.160342, -0.165114, -0.162934, -0.143948, -0.125941, -0.0809685, -0.055224, -0.0454736, -0.0360111, -0.0260633, -0.019645, -0.0144679, -0.00957243, -0.0039699, -0.00371018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0123298");
-            index_3 ("0.116179, 0.126495, 0.133415, 0.143136, 0.156341, 0.167247, 0.177097, 0.186352, 0.195371, 0.204382, 0.210883, 0.231633, 0.239938, 0.248231, 0.254562, 0.261242, 0.266381, 0.276659, 0.278997");
-            values ( \
-              "-0.0356444, -0.0697972, -0.0978642, -0.144738, -0.189671, -0.217, -0.234436, -0.244887, -0.247981, -0.238975, -0.219036, -0.100814, -0.0661584, -0.0421029, -0.0293277, -0.0199228, -0.0147096, -0.00786754, -0.00716564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0294581");
-            index_3 ("0.119312, 0.131341, 0.143478, 0.157427, 0.170478, 0.178823, 0.197081, 0.213987, 0.23039, 0.246772, 0.263132, 0.267554, 0.272607, 0.283038, 0.308151, 0.318036, 0.336166, 0.354125, 0.371019, 0.380623, 0.399831, 0.431533");
-            values ( \
-              "-0.0745361, -0.101917, -0.161367, -0.21718, -0.255943, -0.27539, -0.305632, -0.321221, -0.32646, -0.321792, -0.302093, -0.293082, -0.279549, -0.24221, -0.146835, -0.116724, -0.0741915, -0.0461862, -0.029067, -0.0222474, -0.0128192, -0.0051511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0703806");
-            index_3 ("0.119625, 0.1604, 0.183541, 0.202967, 0.221257, 0.246575, 0.255413, 0.288724, 0.322693, 0.338418, 0.356583, 0.391369, 0.418696, 0.470371, 0.514969, 0.55611, 0.596634, 0.635495, 0.657752, 0.702265, 0.767547, 0.773446");
-            values ( \
-              "-0.0765712, -0.239311, -0.305214, -0.339806, -0.36108, -0.376302, -0.379407, -0.381751, -0.374929, -0.369047, -0.359883, -0.331836, -0.291859, -0.192006, -0.1226, -0.0777422, -0.0485576, -0.0304358, -0.023201, -0.0132627, -0.00563558, -0.00542665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.168152");
-            index_3 ("0.141099, 0.189594, 0.213933, 0.231517, 0.258861, 0.283568, 0.306589, 0.343423, 0.437983, 0.531491, 0.6113, 0.640354, 0.696494, 0.761776, 0.850359, 0.957655, 1.02063, 1.07207, 1.16405, 1.22933, 1.28075, 1.37508, 1.44037, 1.6146");
-            values ( \
-              "-0.295892, -0.328618, -0.368897, -0.386419, -0.402894, -0.409662, -0.412481, -0.412491, -0.403153, -0.388292, -0.370108, -0.361221, -0.337927, -0.293714, -0.21743, -0.139021, -0.104268, -0.0816149, -0.0518172, -0.0371825, -0.0285391, -0.0174444, -0.0123882, -0.00523619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.145301, 0.159327, 0.167607, 0.176717, 0.18574, 0.189853, 0.193884, 0.197911, 0.208661, 0.212178, 0.217772, 0.222396, 0.22918, 0.237417, 0.240731");
-            values ( \
-              "-0.000814018, -0.026939, -0.0572077, -0.0785037, -0.0929317, -0.0965434, -0.0966482, -0.0869106, -0.0273582, -0.0160296, -0.00651566, -0.00301571, -0.00104292, -0.000462334, -0.000445575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00516071");
-            index_3 ("0.15089, 0.158331, 0.158992, 0.159697, 0.160754, 0.161858, 0.162609, 0.164112, 0.167464, 0.170051, 0.17152, 0.174457, 0.177002, 0.179547, 0.181367, 0.183188, 0.185008, 0.186829, 0.190051, 0.191661, 0.193272, 0.196254, 0.197745, 0.199236, 0.200667, 0.202098, 0.20353, 0.204961, 0.206379, 0.207797, 0.209216, 0.210634, 0.212052, 0.213469, 0.214886, 0.216304, 0.216721, 0.217347, 0.218808, 0.225996, 0.228259, 0.230462, 0.232084, 0.232528, 0.23386, 0.234747, 0.235635, 0.23741, 0.239489, 0.241513");
-            values ( \
-              "-0.00950308, -0.0404836, -0.041997, -0.044178, -0.0469535, -0.0502494, -0.0527258, -0.0582452, -0.0743834, -0.0858278, -0.0917434, -0.10259, -0.111036, -0.118909, -0.124142, -0.129113, -0.133822, -0.138271, -0.14546, -0.148778, -0.151913, -0.157232, -0.159655, -0.16192, -0.162816, -0.163336, -0.163479, -0.163248, -0.162647, -0.161677, -0.160339, -0.158631, -0.155861, -0.152444, -0.148381, -0.143671, -0.141821, -0.138142, -0.128499, -0.0774948, -0.0641666, -0.0527985, -0.0448622, -0.0429167, -0.03753, -0.0344926, -0.031636, -0.0264651, -0.0214251, -0.0169196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0123298");
-            index_3 ("0.151976, 0.162594, 0.192806, 0.203727, 0.21357, 0.222829, 0.23185, 0.240861, 0.247371, 0.268126, 0.284705, 0.297732, 0.313183, 0.318728");
-            values ( \
-              "-0.0338996, -0.0678902, -0.189146, -0.217262, -0.234057, -0.245101, -0.2477, -0.239164, -0.218984, -0.100753, -0.0421201, -0.019913, -0.00784712, -0.00618673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0294581");
-            index_3 ("0.142817, 0.207538, 0.216013, 0.234233, 0.25112, 0.26751, 0.28388, 0.300235, 0.304689, 0.30978, 0.320142, 0.345254, 0.355135, 0.373265, 0.391231, 0.408119, 0.417718, 0.436916, 0.467254");
-            values ( \
-              "-0.00158059, -0.25656, -0.276106, -0.306122, -0.32148, -0.326674, -0.321888, -0.302195, -0.293075, -0.27939, -0.242234, -0.146841, -0.116736, -0.0741976, -0.0461805, -0.0290674, -0.02225, -0.0128253, -0.00548373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0703806");
-            index_3 ("0.164265, 0.186882, 0.207255, 0.220398, 0.237039, 0.258027, 0.282665, 0.292179, 0.308953, 0.325484, 0.358662, 0.375336, 0.393344, 0.428131, 0.441268, 0.455435, 0.507161, 0.529047, 0.551711, 0.592857, 0.61357, 0.633428, 0.672254, 0.694476, 0.738918, 0.8042, 0.869483, 0.934765");
-            values ( \
-              "-0.157733, -0.198261, -0.272231, -0.305647, -0.336241, -0.36113, -0.376314, -0.379352, -0.381807, -0.381668, -0.375192, -0.368988, -0.359888, -0.331831, -0.315122, -0.29189, -0.191946, -0.155065, -0.122619, -0.0777513, -0.0612891, -0.0485364, -0.0304351, -0.0232106, -0.0132807, -0.00564338, -0.00236714, -0.0010125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.168152");
-            index_3 ("0.166085, 0.222756, 0.253985, 0.297344, 0.321544, 0.344104, 0.380932, 0.476482, 0.569004, 0.634286, 0.677868, 0.734008, 0.79929, 0.88788, 0.995162, 1.05813, 1.10958, 1.20157, 1.31826, 1.41258, 1.47786, 1.6173");
-            values ( \
-              "-0.172033, -0.319991, -0.371906, -0.403261, -0.409838, -0.412438, -0.412546, -0.403071, -0.388269, -0.373964, -0.361238, -0.337905, -0.293749, -0.217403, -0.139008, -0.104256, -0.0816329, -0.0517996, -0.028524, -0.0174308, -0.0124043, -0.0066587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.236438, 0.241966, 0.246354, 0.256659, 0.263168, 0.273193, 0.277303, 0.281333, 0.285359, 0.296109, 0.299628, 0.305216, 0.309834, 0.316607, 0.324584");
-            values ( \
-              "-0.00805107, -0.0201124, -0.0266514, -0.061784, -0.0766168, -0.092945, -0.0965695, -0.0966658, -0.0869163, -0.0273622, -0.0160246, -0.00651908, -0.00302114, -0.00104546, -0.000481731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00516071");
-            index_3 ("0.237767, 0.24541, 0.251213, 0.257639, 0.266641, 0.273915, 0.280353, 0.286327, 0.292037, 0.297709, 0.303382, 0.317061, 0.32472, 0.330339, 0.334218, 0.341977, 0.347542");
-            values ( \
-              "-0.00871296, -0.0399468, -0.0567819, -0.087663, -0.11796, -0.137339, -0.15085, -0.160041, -0.16489, -0.162795, -0.143795, -0.0551865, -0.0260939, -0.0144722, -0.00952189, -0.00395737, -0.00251841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0123298");
-            index_3 ("0.23838, 0.250349, 0.258126, 0.266772, 0.278992, 0.28724, 0.298926, 0.305068, 0.31023, 0.319248, 0.328264, 0.331598, 0.334741, 0.352374, 0.362074, 0.368747, 0.372979, 0.378882, 0.386105, 0.395734, 0.412801, 0.432767, 0.457819");
-            values ( \
-              "-0.00481847, -0.0694252, -0.101251, -0.146441, -0.185926, -0.207977, -0.231196, -0.23965, -0.244635, -0.247914, -0.23882, -0.230499, -0.219083, -0.116856, -0.072405, -0.0507072, -0.0400839, -0.0285943, -0.0187823, -0.0104954, -0.00346535, -0.000971845, -0.000242009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0294581");
-            index_3 ("0.248742, 0.26374, 0.268537, 0.282256, 0.292373, 0.303506, 0.321708, 0.338579, 0.354962, 0.371326, 0.387677, 0.392151, 0.397265, 0.407586, 0.432696, 0.442575, 0.460705, 0.478676, 0.495561, 0.505156, 0.524347, 0.557561");
-            values ( \
-              "-0.114926, -0.140994, -0.16518, -0.219192, -0.250209, -0.276394, -0.306516, -0.321512, -0.326903, -0.321837, -0.302347, -0.293076, -0.279282, -0.242255, -0.14685, -0.116747, -0.0742045, -0.0461778, -0.0290683, -0.0222507, -0.0128299, -0.00478679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0703806");
-            index_3 ("0.24857, 0.266594, 0.285171, 0.308109, 0.328874, 0.345674, 0.371567, 0.396335, 0.413113, 0.446283, 0.463236, 0.480975, 0.515761, 0.528837, 0.543027, 0.594837, 0.61668, 0.639306, 0.68046, 0.701281, 0.721111, 0.759879, 0.78204, 0.826363, 0.891645, 0.956927, 1.02221");
-            values ( \
-              "-0.117391, -0.163946, -0.242219, -0.306635, -0.343054, -0.361457, -0.377099, -0.381985, -0.381711, -0.375286, -0.368891, -0.359904, -0.331824, -0.315202, -0.291945, -0.191844, -0.155045, -0.122652, -0.0777668, -0.0612247, -0.048501, -0.0304342, -0.0232273, -0.0133114, -0.00565666, -0.00237264, -0.00101495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.168152");
-            index_3 ("0.264189, 0.356471, 0.383808, 0.408471, 0.431486, 0.468306, 0.56409, 0.656377, 0.721659, 0.765242, 0.82138, 0.886663, 0.975244, 1.08254, 1.14552, 1.19695, 1.28893, 1.40564, 1.49997, 1.56526, 1.7154");
-            values ( \
-              "-0.269353, -0.387182, -0.403092, -0.409983, -0.412464, -0.412655, -0.403105, -0.388205, -0.374026, -0.361294, -0.337855, -0.293786, -0.217397, -0.138997, -0.104245, -0.0816347, -0.0517997, -0.0285263, -0.0174325, -0.0123994, -0.00621934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.430583, 0.438643, 0.445107, 0.449927, 0.455119, 0.45956, 0.465643, 0.470915, 0.475715, 0.480228, 0.484628, 0.489022, 0.497972, 0.50132, 0.505858, 0.507576, 0.510987, 0.515267, 0.521748, 0.525476");
-            values ( \
-              "-0.00815261, -0.0145735, -0.0216088, -0.0331005, -0.0485675, -0.0582271, -0.0696121, -0.077941, -0.0840726, -0.0881064, -0.0889511, -0.0812234, -0.0356735, -0.0227102, -0.0115324, -0.00880861, -0.00519002, -0.00265032, -0.00106813, -0.000820373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00516071");
-            index_3 ("0.430324, 0.449674, 0.457457, 0.463513, 0.475885, 0.482964, 0.489451, 0.495595, 0.501642, 0.505621, 0.523116, 0.528173, 0.531896, 0.536101, 0.539395, 0.545983, 0.556752, 0.569802, 0.610431");
-            values ( \
-              "-0.00118021, -0.0455521, -0.074617, -0.0931454, -0.124306, -0.138268, -0.148237, -0.154069, -0.153619, -0.145117, -0.0473351, -0.0294686, -0.0200443, -0.0129804, -0.00934247, -0.00444087, -0.00149002, -0.000406939, -6.63907e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0123298");
-            index_3 ("0.430051, 0.446692, 0.45696, 0.464963, 0.472948, 0.482504, 0.492802, 0.503932, 0.509538, 0.519463, 0.524052, 0.533372, 0.538725, 0.543624, 0.557849, 0.566683, 0.575421, 0.581884, 0.586806, 0.594385, 0.60449, 0.622395, 0.643497");
-            values ( \
-              "-0.00416088, -0.0492215, -0.0809792, -0.116542, -0.143661, -0.171675, -0.198022, -0.218958, -0.227041, -0.237343, -0.23914, -0.23304, -0.219534, -0.197921, -0.115791, -0.0754927, -0.0475254, -0.0330654, -0.0249681, -0.0160617, -0.00872189, -0.00272699, -0.000730797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0294581");
-            index_3 ("0.429516, 0.498648, 0.510665, 0.526322, 0.535409, 0.543641, 0.560287, 0.57682, 0.593351, 0.597443, 0.602119, 0.611472, 0.637525, 0.645929, 0.662858, 0.680721, 0.695119, 0.710159, 0.722044, 0.745812, 0.765282");
-            values ( \
-              "-0.00277717, -0.240916, -0.268406, -0.295966, -0.307696, -0.314835, -0.322692, -0.319107, -0.300744, -0.292543, -0.280601, -0.248627, -0.149615, -0.123408, -0.0813672, -0.0510516, -0.0344462, -0.0227427, -0.0162714, -0.00811132, -0.00521703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0703806");
-            index_3 ("0.429395, 0.496036, 0.510567, 0.523176, 0.537587, 0.551056, 0.577995, 0.585593, 0.60079, 0.618995, 0.652323, 0.66706, 0.68203, 0.696784, 0.716456, 0.723645, 0.738021, 0.763268, 0.810174, 0.837086, 0.851394, 0.88001, 0.900869, 0.91579, 0.945632, 0.96546, 0.99451, 1.03324, 1.09852, 1.16381, 1.22909");
-            values ( \
-              "-8.36655e-05, -0.247826, -0.286934, -0.314911, -0.339196, -0.355317, -0.374229, -0.376906, -0.380057, -0.38055, -0.374754, -0.369473, -0.362454, -0.353488, -0.337219, -0.329564, -0.310259, -0.264697, -0.175577, -0.133833, -0.115017, -0.0837306, -0.0660177, -0.0555063, -0.0388614, -0.0306147, -0.0214925, -0.0132508, -0.00562447, -0.00236729, -0.00100166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.168152");
-            index_3 ("0.460541, 0.49515, 0.526231, 0.540732, 0.56167, 0.589038, 0.614072, 0.63715, 0.673879, 0.710659, 0.768223, 0.862132, 0.927414, 0.970995, 1.02714, 1.09242, 1.181, 1.24273, 1.28829, 1.35127, 1.40271, 1.44506, 1.49469, 1.55997, 1.61139, 1.70572, 1.771, 1.83628, 1.96685, 2.16269");
-            values ( \
-              "-0.231061, -0.251485, -0.33237, -0.357408, -0.38211, -0.400418, -0.408495, -0.411659, -0.412263, -0.409785, -0.403247, -0.388234, -0.373992, -0.361264, -0.337882, -0.293763, -0.217406, -0.169419, -0.139007, -0.104255, -0.0816282, -0.0664089, -0.0518059, -0.0371929, -0.0285315, -0.0174379, -0.0123958, -0.00872931, -0.00434261, -0.00155289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.87103, 0.882079, 0.88724, 0.894557, 0.900191, 0.910689, 0.921111, 0.932558, 0.937895, 0.943342, 0.945949, 0.952112, 0.956041, 0.959524, 0.964107");
-            values ( \
-              "-0.0110853, -0.0116787, -0.0159945, -0.0251136, -0.0357716, -0.0509977, -0.0632298, -0.0738031, -0.0722121, -0.068485, -0.0603768, -0.0360579, -0.0229338, -0.0146303, -0.00753343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00516071");
-            index_3 ("0.869131, 0.881566, 0.889521, 0.898455, 0.903112, 0.916293, 0.926301, 0.934893, 0.942691, 0.949978, 0.95706, 0.96413, 0.964925, 0.976794, 0.983745, 0.990474, 0.995385, 0.999315, 1.00718, 1.01905, 1.03353, 1.07972");
-            values ( \
-              "-0.0099368, -0.0199549, -0.0275559, -0.0441673, -0.0566417, -0.0839281, -0.10151, -0.114442, -0.124161, -0.130584, -0.132287, -0.121058, -0.118255, -0.0611127, -0.0347418, -0.0187367, -0.0117021, -0.00794131, -0.00354562, -0.00106314, -0.000415852, -0.000164008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0123298");
-            index_3 ("0.868881, 0.898287, 0.92721, 0.947376, 0.964897, 0.970767, 0.981384, 0.991871, 1.00235, 1.02864, 1.03493, 1.04588, 1.05414, 1.06515, 1.08122");
-            values ( \
-              "-0.00608809, -0.055332, -0.129922, -0.171575, -0.198617, -0.205254, -0.212999, -0.210721, -0.186441, -0.0681657, -0.0497081, -0.0276147, -0.017417, -0.00915012, -0.00363108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0294581");
-            index_3 ("0.882287, 0.898851, 0.91078, 0.928304, 0.949546, 0.962068, 0.983561, 1.00275, 1.02081, 1.03849, 1.05616, 1.06165, 1.0675, 1.07656, 1.09251, 1.11203, 1.13198, 1.14087, 1.15273, 1.17159, 1.18421, 1.20943, 1.23306");
-            values ( \
-              "-0.0605826, -0.0675257, -0.0962101, -0.148117, -0.201774, -0.228537, -0.265259, -0.287546, -0.299449, -0.301035, -0.287222, -0.278066, -0.264285, -0.235228, -0.176858, -0.115651, -0.0709057, -0.0564008, -0.0411638, -0.0246432, -0.0173498, -0.00831728, -0.00494787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0703806");
-            index_3 ("0.892023, 0.923993, 0.966848, 1.00979, 1.04225, 1.05557, 1.08158, 1.0981, 1.11594, 1.15047, 1.18826, 1.21526, 1.26591, 1.30846, 1.35048, 1.38277, 1.43157, 1.485, 1.54606, 1.59213");
-            values ( \
-              "-0.105033, -0.143493, -0.25701, -0.3275, -0.355861, -0.362035, -0.368106, -0.36827, -0.36628, -0.354478, -0.326011, -0.287319, -0.192898, -0.126161, -0.0794973, -0.0548511, -0.0304835, -0.0158656, -0.00714074, -0.00506011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.168152");
-            index_3 ("0.853454, 1.02098, 1.0657, 1.10009, 1.13836, 1.19542, 1.29821, 1.38581, 1.44785, 1.49243, 1.55771, 1.7536, 1.868, 1.95991, 2.07667, 2.23642, 2.28208");
-            values ( \
-              "-0.00651459, -0.355027, -0.390282, -0.401132, -0.405358, -0.403214, -0.39267, -0.375632, -0.357226, -0.337871, -0.293719, -0.138984, -0.0816103, -0.0518201, -0.0285303, -0.0123826, -0.0105079" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00175555, 0.0017577, 0.00175962, 0.00176084, 0.00176146, 0.00176174", \
-            "0.00196387, 0.00196485, 0.00196615, 0.00196726, 0.00196793, 0.00196825", \
-            "0.00207639, 0.00207656, 0.00207694, 0.00207749, 0.00207794, 0.0020782", \
-            "0.00214032, 0.00214046, 0.00214066, 0.0021409, 0.00214116, 0.00214135", \
-            "0.00218146, 0.00218154, 0.00218168, 0.00218187, 0.00218206, 0.00218221", \
-            "0.00221417, 0.00221421, 0.00221429, 0.00221442, 0.00221458, 0.00221472" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00230512, 0.00230729, 0.00231052, 0.00231299, 0.00231437, 0.00231503", \
-            "0.00242403, 0.00242544, 0.00242759, 0.0024298, 0.0024313, 0.00243209", \
-            "0.00248382, 0.00248604, 0.00248878, 0.00249134, 0.00249322, 0.0024943", \
-            "0.00262358, 0.00262176, 0.00262073, 0.00262138, 0.00262276, 0.0026239", \
-            "0.002961, 0.00295855, 0.00295384, 0.00295016, 0.00294756, 0.00294644", \
-            "0.00340397, 0.0034358, 0.00354078, 0.00360933, 0.00364307, 0.0036552" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.064452, 0.0753223, 0.0975561, 0.147066, 0.263501, 0.540822", \
-            "0.068368, 0.0792398, 0.10148, 0.150957, 0.26742, 0.544738", \
-            "0.0778576, 0.0886974, 0.110858, 0.160381, 0.276838, 0.554191", \
-            "0.0974038, 0.108487, 0.130726, 0.180364, 0.297007, 0.574377", \
-            "0.118206, 0.130822, 0.154442, 0.204302, 0.321058, 0.599025", \
-            "0.126571, 0.142389, 0.16929, 0.220593, 0.336877, 0.614812" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.0217107, 0.0336293, 0.0626178, 0.134342, 0.308175, 0.723978", \
-            "0.0217016, 0.0336323, 0.06263, 0.134302, 0.308173, 0.723997", \
-            "0.0217142, 0.0336346, 0.0626326, 0.134339, 0.308174, 0.723999", \
-            "0.0237537, 0.0351319, 0.0633569, 0.134491, 0.308214, 0.724", \
-            "0.029565, 0.0403769, 0.0668699, 0.136171, 0.308641, 0.723995", \
-            "0.0389336, 0.0503377, 0.0749295, 0.13972, 0.309705, 0.724722" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.108657, 0.121739, 0.145783, 0.190654, 0.282649, 0.49267", \
-            "0.112102, 0.125266, 0.14932, 0.194212, 0.286197, 0.496182", \
-            "0.122335, 0.135478, 0.159545, 0.204458, 0.296286, 0.506349", \
-            "0.150317, 0.163352, 0.187292, 0.232253, 0.324383, 0.534325", \
-            "0.212431, 0.226341, 0.250948, 0.296816, 0.388898, 0.59743", \
-            "0.31369, 0.330595, 0.359511, 0.408488, 0.502989, 0.714759" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.0267393, 0.0371369, 0.0580573, 0.104009, 0.214474, 0.489567", \
-            "0.026752, 0.03713, 0.058022, 0.103986, 0.214489, 0.489562", \
-            "0.0267165, 0.0370747, 0.0579896, 0.103957, 0.214454, 0.489566", \
-            "0.0267702, 0.0372081, 0.0580742, 0.103911, 0.214462, 0.489564", \
-            "0.0309181, 0.041111, 0.0615607, 0.106278, 0.215422, 0.489735", \
-            "0.0409069, 0.0522725, 0.072114, 0.114425, 0.221787, 0.492723" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0501521, 0.0545666, 0.0569306, 0.0600404, 0.0620564, 0.0655747, 0.0688371, 0.0720239, 0.0752066, 0.0769941, 0.0788498, 0.0824856, 0.0852953, 0.0873235, 0.0911902, 0.0930781, 0.096273, 0.10035, 0.103501, 0.105806, 0.110417, 0.114892, 0.122095, 0.131699, 0.147095, 0.166205");
-            values ( \
-              "0.00167079, 0.0613596, 0.0784618, 0.0958947, 0.104688, 0.116457, 0.121729, 0.1227, 0.117361, 0.109484, 0.0971989, 0.0697451, 0.0550804, 0.0462848, 0.0333958, 0.0286928, 0.0220604, 0.0157661, 0.0122594, 0.0102097, 0.00711538, 0.00509516, 0.00304378, 0.00156264, 0.000554482, 0.00016333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00516071");
-            index_3 ("0.0506792, 0.0592872, 0.062226, 0.0679944, 0.0731237, 0.077994, 0.0828718, 0.0877428, 0.0910165, 0.0993357, 0.107203, 0.115461, 0.119368, 0.124577, 0.129184, 0.136349, 0.142806, 0.151147, 0.162269, 0.181569, 0.204626");
-            values ( \
-              "0.000512936, 0.123565, 0.145109, 0.174756, 0.188574, 0.19251, 0.188921, 0.17599, 0.159253, 0.107955, 0.0729591, 0.0474376, 0.0385706, 0.0291469, 0.0227662, 0.0154702, 0.0109155, 0.00699897, 0.00383619, 0.00129469, 0.0003496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0123298");
-            index_3 ("0.0512452, 0.0691422, 0.074689, 0.0863075, 0.0960523, 0.105082, 0.114809, 0.140293, 0.154, 0.167822, 0.186303, 0.205866, 0.228265, 0.259896");
-            values ( \
-              "0.0312108, 0.217111, 0.241012, 0.257111, 0.252119, 0.239507, 0.215578, 0.125223, 0.0881977, 0.060644, 0.0357816, 0.0200169, 0.010186, 0.00498937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0294581");
-            index_3 ("0.0517231, 0.0678992, 0.0765157, 0.0808317, 0.0894637, 0.0987777, 0.107634, 0.116579, 0.132516, 0.15461, 0.175919, 0.231343, 0.257774, 0.290162, 0.311156, 0.328823, 0.360912, 0.385731, 0.432041, 0.47228, 0.512185");
-            values ( \
-              "0.00724247, 0.229977, 0.273234, 0.285041, 0.298159, 0.300844, 0.298769, 0.293637, 0.282393, 0.261986, 0.234294, 0.140075, 0.103142, 0.0685088, 0.0517598, 0.0405773, 0.0257841, 0.0179836, 0.00910747, 0.00495103, 0.00305835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0703806");
-            index_3 ("0.0521679, 0.0706965, 0.0790396, 0.0839792, 0.0929174, 0.103793, 0.114813, 0.126703, 0.142893, 0.183469, 0.226021, 0.275168, 0.299336, 0.320415, 0.44494, 0.489932, 0.541352, 0.576714, 0.626564, 0.666778, 0.699995, 0.739479, 0.795331, 0.854039, 0.899104, 0.979178, 1.05925, 1.13933, 1.29947");
-            values ( \
-              "0.0167751, 0.256856, 0.296214, 0.308399, 0.321958, 0.3268, 0.326533, 0.323684, 0.319336, 0.305664, 0.290172, 0.269021, 0.255953, 0.242591, 0.149213, 0.119357, 0.090348, 0.0739447, 0.055071, 0.0430523, 0.0350304, 0.0273063, 0.0190361, 0.013022, 0.0097014, 0.00566285, 0.00330987, 0.00191717, 0.000643916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.168152");
-            index_3 ("0.0632028, 0.0825062, 0.0930935, 0.101712, 0.109888, 0.124886, 0.184295, 0.393892, 0.441943, 0.548295, 0.600852, 0.664105, 0.744179, 0.949582, 1.09337, 1.17344, 1.26152, 1.37603, 1.45611, 1.57926, 1.65933, 1.80132, 1.96384, 2.12399, 2.36421, 2.60444, 2.92473");
-            values ( \
-              "0.313269, 0.31427, 0.331664, 0.337616, 0.339825, 0.340277, 0.332754, 0.301885, 0.294168, 0.274875, 0.26318, 0.246409, 0.221836, 0.154686, 0.113644, 0.0942767, 0.076126, 0.0569558, 0.0461804, 0.0332401, 0.026768, 0.0181171, 0.0114996, 0.00732509, 0.00373452, 0.00186496, 0.000740031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0661549, 0.0681291, 0.0700776, 0.0751668, 0.0798066, 0.0810698, 0.0834882, 0.0866718, 0.0898441, 0.0908378, 0.0967014, 0.102826, 0.108283, 0.112163, 0.115438, 0.121953, 0.127074, 0.13894, 0.1458");
-            values ( \
-              "0.0407359, 0.0509959, 0.0689433, 0.098534, 0.115645, 0.118322, 0.121866, 0.122547, 0.117323, 0.113481, 0.0727936, 0.0430403, 0.0274291, 0.0199352, 0.0152543, 0.00907919, 0.00610645, 0.00261442, 0.00174091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00516071");
-            index_3 ("0.0682464, 0.0706156, 0.0732302, 0.0796674, 0.0825203, 0.0876374, 0.0925154, 0.0973939, 0.102265, 0.105533, 0.113869, 0.121702, 0.129987, 0.133918, 0.13916, 0.143684, 0.150822, 0.1573, 0.165717, 0.176939, 0.196054, 0.218901");
-            values ( \
-              "0.0629952, 0.0940309, 0.118665, 0.162169, 0.174651, 0.188598, 0.192422, 0.188974, 0.17592, 0.159287, 0.107894, 0.0730466, 0.0474267, 0.0385109, 0.0290503, 0.0227925, 0.0155099, 0.0109315, 0.00698106, 0.00380381, 0.00130125, 0.000355929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0123298");
-            index_3 ("0.0699464, 0.074114, 0.0768383, 0.0808538, 0.083719, 0.0890282, 0.0931582, 0.101418, 0.105147, 0.109409, 0.113136, 0.118106, 0.122848, 0.129413, 0.148861, 0.154925, 0.161441, 0.168523, 0.177675, 0.182474, 0.191503, 0.200972, 0.209183, 0.220132, 0.22986, 0.24309, 0.26073, 0.293778, 0.332644");
-            values ( \
-              "0.0682071, 0.144925, 0.170707, 0.200934, 0.21729, 0.239171, 0.248772, 0.256536, 0.255651, 0.252994, 0.249103, 0.24195, 0.232791, 0.215454, 0.145125, 0.125283, 0.10642, 0.0884618, 0.0691249, 0.0605765, 0.0469711, 0.0357121, 0.028083, 0.0202412, 0.0150956, 0.0101047, 0.00582687, 0.00191166, 0.000483076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0294581");
-            index_3 ("0.0712987, 0.0783769, 0.0854612, 0.0953268, 0.103876, 0.113275, 0.122133, 0.131076, 0.149556, 0.165801, 0.17572, 0.198995, 0.244304, 0.268111, 0.301795, 0.31824, 0.340166, 0.368259, 0.391428, 0.420218, 0.439168, 0.477066, 0.536469");
-            values ( \
-              "0.101733, 0.198212, 0.248635, 0.285365, 0.297682, 0.301202, 0.298422, 0.293963, 0.28032, 0.265504, 0.254525, 0.220317, 0.142418, 0.108358, 0.0710042, 0.0571204, 0.0423637, 0.0285021, 0.0204517, 0.0134053, 0.0101883, 0.00569539, 0.00244747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0703806");
-            index_3 ("0.0716454, 0.0852316, 0.0887772, 0.094101, 0.098457, 0.107169, 0.116841, 0.12112, 0.126825, 0.138236, 0.15737, 0.197944, 0.240496, 0.289645, 0.313821, 0.33489, 0.45942, 0.504376, 0.555753, 0.591215, 0.610457, 0.64109, 0.681274, 0.714431, 0.753929, 0.809791, 0.868538, 0.913637, 0.993711, 1.07379, 1.15386, 1.31401");
-            values ( \
-              "0.114744, 0.258496, 0.277308, 0.29772, 0.308681, 0.32139, 0.326288, 0.326765, 0.326631, 0.324599, 0.319199, 0.305503, 0.29002, 0.269013, 0.25594, 0.242598, 0.149217, 0.11937, 0.0903921, 0.0739285, 0.0660683, 0.0550488, 0.0430525, 0.0350444, 0.0273057, 0.0190431, 0.0130245, 0.00969325, 0.00566512, 0.00330417, 0.00192084, 0.000648038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.168152");
-            index_3 ("0.0833295, 0.0833495, 0.251652, 0.436215, 0.497728, 0.563011, 0.643085, 0.678977, 0.739242, 0.963218, 1.1104, 1.19047, 1.27551, 1.38919, 1.46926, 1.594, 1.67407, 1.81607, 1.97864, 2.13879, 2.37901, 2.51693");
-            values ( \
-              "1e-22, 0.358719, 0.325212, 0.297531, 0.287234, 0.274885, 0.256282, 0.246365, 0.228153, 0.155032, 0.113059, 0.0937742, 0.07626, 0.0571759, 0.0463955, 0.0332623, 0.0267504, 0.0180975, 0.011521, 0.00734733, 0.00371067, 0.00266475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0999379, 0.10361, 0.107093, 0.110069, 0.111611, 0.114696, 0.116011, 0.117764, 0.119665, 0.121585, 0.124759, 0.125729, 0.128413, 0.131709, 0.135186, 0.137663, 0.14102, 0.143245, 0.147152, 0.150386, 0.153333, 0.156828, 0.161963, 0.16863, 0.173975, 0.184665, 0.200757, 0.220985");
-            values ( \
-              "0.00353337, 0.0565854, 0.0817263, 0.0977662, 0.10462, 0.115009, 0.118051, 0.120968, 0.122514, 0.122367, 0.117402, 0.113546, 0.0970843, 0.0721198, 0.0535223, 0.043311, 0.0327596, 0.0273236, 0.0198175, 0.0152139, 0.0120177, 0.0091094, 0.00611731, 0.00377181, 0.00259423, 0.00124747, 0.000427976, 0.000117431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00516071");
-            index_3 ("0.100583, 0.108201, 0.11175, 0.117587, 0.122699, 0.127577, 0.132456, 0.137328, 0.140594, 0.148934, 0.156758, 0.16505, 0.168988, 0.174239, 0.178739, 0.18587, 0.192354, 0.200791, 0.212041, 0.22758");
-            values ( \
-              "0.00451123, 0.117532, 0.144192, 0.174717, 0.18851, 0.192478, 0.188907, 0.175974, 0.159293, 0.107874, 0.0730729, 0.0474254, 0.0384961, 0.0290231, 0.0228012, 0.0155223, 0.0109355, 0.00697574, 0.00379624, 0.0017598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0123298");
-            index_3 ("0.104963, 0.10885, 0.117399, 0.120766, 0.124642, 0.127969, 0.134622, 0.136748, 0.140999, 0.145477, 0.154243, 0.158301, 0.167496, 0.189779, 0.202017, 0.212121, 0.217845, 0.227994, 0.236297, 0.251542, 0.26357, 0.276514, 0.286496, 0.30646, 0.342176, 0.38476");
-            values ( \
-              "0.0855768, 0.143017, 0.210841, 0.227414, 0.240891, 0.248525, 0.255628, 0.256032, 0.254967, 0.251623, 0.239814, 0.231432, 0.204528, 0.125152, 0.091606, 0.0697762, 0.0596266, 0.0447597, 0.0351941, 0.0224424, 0.0156033, 0.0105527, 0.00777379, 0.00414344, 0.00120933, 0.000254352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0294581");
-            index_3 ("0.104877, 0.113326, 0.120398, 0.130282, 0.134316, 0.141471, 0.148199, 0.160247, 0.174987, 0.184481, 0.205726, 0.21594, 0.225367, 0.279601, 0.301072, 0.324819, 0.337359, 0.357632, 0.377244, 0.394555, 0.417635, 0.437409, 0.464119, 0.499732, 0.567577, 0.647025");
-            values ( \
-              "0.052914, 0.198273, 0.248525, 0.285547, 0.292919, 0.299479, 0.300841, 0.297132, 0.287543, 0.280147, 0.260105, 0.247714, 0.234162, 0.141856, 0.111045, 0.0827752, 0.0704987, 0.0538459, 0.0411089, 0.0322637, 0.0231724, 0.0173875, 0.0117615, 0.00688289, 0.00227218, 0.00058497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0703806");
-            index_3 ("0.104907, 0.120131, 0.128418, 0.133406, 0.142418, 0.151747, 0.156152, 0.162026, 0.173774, 0.192317, 0.219312, 0.275444, 0.324592, 0.34877, 0.369838, 0.494368, 0.53932, 0.590693, 0.626166, 0.645413, 0.676044, 0.716225, 0.749376, 0.788875, 0.844738, 0.903491, 0.948595, 1.02867, 1.10874, 1.18882, 1.34897");
-            values ( \
-              "0.075238, 0.257675, 0.29573, 0.308961, 0.32165, 0.326398, 0.326822, 0.326585, 0.324497, 0.319161, 0.310187, 0.289993, 0.269012, 0.255939, 0.242599, 0.149216, 0.119372, 0.0903959, 0.0739274, 0.0660647, 0.055047, 0.0430516, 0.035045, 0.0273062, 0.019043, 0.013024, 0.00969268, 0.00566469, 0.00330401, 0.00192066, 0.000647945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.168152");
-            index_3 ("0.119937, 0.119957, 0.288709, 0.491647, 0.597937, 0.678012, 0.713904, 0.77417, 1.02051, 1.14532, 1.2254, 1.31043, 1.42411, 1.50419, 1.54885, 1.62892, 1.709, 1.85099, 1.9335, 2.01357, 2.09365, 2.25379, 2.41394, 2.73424, 2.97446");
-            values ( \
-              "1e-22, 0.365306, 0.325015, 0.294249, 0.274977, 0.256192, 0.246453, 0.228067, 0.14813, 0.112985, 0.0938477, 0.0761965, 0.0571141, 0.046457, 0.0411605, 0.0333224, 0.0266907, 0.0180388, 0.0143368, 0.0115776, 0.00913128, 0.00578972, 0.00365547, 0.00143337, 0.000817953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.174924, 0.184308, 0.19113, 0.195308, 0.199022, 0.202527, 0.206015, 0.209502, 0.212358, 0.214894, 0.21777, 0.221704, 0.226595, 0.230229, 0.232589, 0.23718, 0.242427, 0.246631, 0.253675, 0.263068, 0.278217, 0.296898");
-            values ( \
-              "0.00672667, 0.0553304, 0.0856657, 0.100474, 0.109114, 0.112733, 0.110388, 0.0965272, 0.0758499, 0.0609286, 0.0477826, 0.0348335, 0.0236361, 0.0176406, 0.0146599, 0.0102343, 0.00683777, 0.00503145, 0.00306591, 0.00160504, 0.000588627, 0.000174162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00516071");
-            index_3 ("0.176569, 0.182177, 0.186119, 0.193083, 0.197635, 0.203323, 0.208549, 0.210329, 0.213656, 0.215755, 0.218916, 0.223336, 0.227909, 0.231963, 0.237799, 0.24374, 0.248588, 0.252032, 0.259172, 0.262589, 0.269423, 0.276431, 0.280351, 0.288192, 0.303874, 0.315785");
-            values ( \
-              "0.00883793, 0.0575096, 0.0846767, 0.129751, 0.153444, 0.173437, 0.181793, 0.182652, 0.181054, 0.178648, 0.170786, 0.149721, 0.121738, 0.0998051, 0.0746736, 0.0549645, 0.0427463, 0.0356021, 0.0242861, 0.0202286, 0.013959, 0.0096006, 0.00780723, 0.00513155, 0.00217594, 0.00131163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0123298");
-            index_3 ("0.179245, 0.185161, 0.196138, 0.203202, 0.210469, 0.217207, 0.219587, 0.222761, 0.226906, 0.235833, 0.242281, 0.271206, 0.285419, 0.301347, 0.310771, 0.319841, 0.331494, 0.34452, 0.359459, 0.371333, 0.395081, 0.402714");
-            values ( \
-              "0.0507333, 0.087205, 0.174587, 0.21441, 0.237933, 0.247515, 0.249055, 0.249613, 0.248062, 0.238226, 0.224684, 0.125723, 0.0873379, 0.0565581, 0.0432318, 0.0332448, 0.0235676, 0.0158984, 0.0101215, 0.00702212, 0.0032871, 0.00279256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0294581");
-            index_3 ("0.174757, 0.206801, 0.217702, 0.229464, 0.23845, 0.24738, 0.265883, 0.285434, 0.306819, 0.362306, 0.386886, 0.417548, 0.46007, 0.486745, 0.514624, 0.572804, 0.624612, 0.636005");
-            values ( \
-              "0.00562016, 0.255669, 0.286753, 0.297529, 0.2969, 0.293122, 0.280098, 0.261989, 0.234185, 0.13985, 0.10527, 0.0715644, 0.0402647, 0.0277876, 0.018393, 0.00792696, 0.00341787, 0.00318104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0703806");
-            index_3 ("0.189519, 0.201809, 0.210156, 0.221339, 0.226596, 0.234603, 0.245985, 0.259814, 0.273814, 0.314388, 0.366033, 0.402097, 0.447985, 0.472243, 0.560343, 0.582203, 0.617457, 0.648728, 0.688788, 0.717959, 0.751174, 0.795254, 0.835857, 0.889995, 0.948093, 0.979464, 1.0422, 1.12228, 1.20235, 1.28243, 1.44258");
-            values ( \
-              "0.235026, 0.239504, 0.281991, 0.311562, 0.318561, 0.323901, 0.325438, 0.323088, 0.31907, 0.30548, 0.286468, 0.270945, 0.244968, 0.227807, 0.160431, 0.144825, 0.121493, 0.102973, 0.082422, 0.0696805, 0.057223, 0.0437316, 0.0339805, 0.0240584, 0.0165466, 0.0135014, 0.00891953, 0.00521471, 0.00303576, 0.00176894, 0.000598505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.168152");
-            index_3 ("0.190709, 0.224541, 0.233031, 0.240885, 0.254086, 0.285577, 0.47275, 0.573013, 0.679303, 0.759377, 0.79527, 0.855535, 1.07951, 1.22669, 1.30676, 1.3918, 1.50548, 1.58555, 1.71029, 1.79036, 1.93236, 2.09493, 2.25508, 2.4953, 2.73552, 3.05582");
-            values ( \
-              "0.242277, 0.326858, 0.33515, 0.338461, 0.339925, 0.336878, 0.309876, 0.294171, 0.2749, 0.25627, 0.246376, 0.228144, 0.155037, 0.11306, 0.0937726, 0.0762684, 0.0571851, 0.0463865, 0.0332527, 0.0267604, 0.0181079, 0.0115103, 0.00733644, 0.00372187, 0.00187772, 0.000753514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.329034, 0.336876, 0.340226, 0.355847, 0.361616, 0.366587, 0.371104, 0.375441, 0.379767, 0.385108, 0.389985, 0.396567, 0.402061, 0.405423, 0.409721, 0.415451, 0.420452, 0.429022, 0.440449, 0.446619");
-            values ( \
-              "0.0055431, 0.0145888, 0.021084, 0.061151, 0.0737094, 0.0829022, 0.0888311, 0.0910045, 0.0843334, 0.057789, 0.0396398, 0.0243402, 0.0161317, 0.0125946, 0.00921513, 0.00612994, 0.00440618, 0.00259105, 0.0013258, 0.001032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00516071");
-            index_3 ("0.330329, 0.339833, 0.340798, 0.342726, 0.345907, 0.347528, 0.354533, 0.360947, 0.364126, 0.370483, 0.371503, 0.373543, 0.377917, 0.383889, 0.389809, 0.395722, 0.396222, 0.397221, 0.399218, 0.403214, 0.404527, 0.407154, 0.411197, 0.416607, 0.418248, 0.419836, 0.421954, 0.425635, 0.430467, 0.431844, 0.434599, 0.439577, 0.446711, 0.449284, 0.450075, 0.451657, 0.454821, 0.46115, 0.473806, 0.476027");
-            values ( \
-              "0.0111035, 0.0284301, 0.0308001, 0.0364421, 0.0470622, 0.053088, 0.0795429, 0.102796, 0.114157, 0.134491, 0.137479, 0.142769, 0.152102, 0.158592, 0.155654, 0.135872, 0.1329, 0.127626, 0.117323, 0.0976805, 0.0921917, 0.0814121, 0.0670679, 0.0513111, 0.047319, 0.0436827, 0.0392329, 0.0324621, 0.0252591, 0.0235142, 0.0203816, 0.015704, 0.0108146, 0.00946938, 0.00910079, 0.00838756, 0.0071436, 0.00516817, 0.00269583, 0.00250302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0123298");
-            index_3 ("0.332901, 0.342934, 0.346077, 0.377473, 0.38649, 0.391091, 0.397775, 0.407465, 0.41714, 0.44575, 0.465135, 0.478365, 0.496162, 0.50585, 0.516309, 0.533823, 0.547314, 0.563021");
-            values ( \
-              "0.0208248, 0.045428, 0.0566411, 0.196723, 0.220328, 0.226976, 0.231217, 0.227512, 0.210918, 0.117507, 0.0709887, 0.0493156, 0.0295414, 0.022222, 0.0162404, 0.00971997, 0.00645509, 0.00426979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0294581");
-            index_3 ("0.355157, 0.375945, 0.379898, 0.382828, 0.385757, 0.388686, 0.391616, 0.395968, 0.400321, 0.404023, 0.407725, 0.411427, 0.415129, 0.422918, 0.426813, 0.435092, 0.437852, 0.447332, 0.457987, 0.459944, 0.463857, 0.468553, 0.473806, 0.484311, 0.494815, 0.504068, 0.506131, 0.508195, 0.510259, 0.514537, 0.534144, 0.536591, 0.541175, 0.554928, 0.566382, 0.577836, 0.593445, 0.598974, 0.606074, 0.613174, 0.622412, 0.63165, 0.639657, 0.644995, 0.647663, 0.656273, 0.664883, 0.67102, 0.683293, 0.69898");
-            values ( \
-              "0.20812, 0.218502, 0.235292, 0.245994, 0.255303, 0.26322, 0.269745, 0.276046, 0.280918, 0.283919, 0.285898, 0.286855, 0.28679, 0.284197, 0.282526, 0.277577, 0.27573, 0.267607, 0.259493, 0.257582, 0.253116, 0.247241, 0.239832, 0.223867, 0.206759, 0.190973, 0.182076, 0.180433, 0.178351, 0.172637, 0.13997, 0.136003, 0.129307, 0.110556, 0.0965098, 0.0837748, 0.0682414, 0.0632039, 0.0576732, 0.0525071, 0.0464438, 0.0407725, 0.03621, 0.0334504, 0.0321551, 0.0285885, 0.025345, 0.0232687, 0.0195323, 0.0155521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0703806");
-            index_3 ("0.34905, 0.365681, 0.383108, 0.395999, 0.405044, 0.419647, 0.432536, 0.44507, 0.464817, 0.528706, 0.558274, 0.573877, 0.605083, 0.623111, 0.659168, 0.749293, 0.78025, 0.814515, 0.858344, 0.894201, 0.921669, 0.96737, 1.00674, 1.05923, 1.10331, 1.16286, 1.24225, 1.32232, 1.4024, 1.48247, 1.64262");
-            values ( \
-              "0.134174, 0.170719, 0.259956, 0.296909, 0.309717, 0.318253, 0.318467, 0.316309, 0.31069, 0.28966, 0.278004, 0.27093, 0.254155, 0.242576, 0.216435, 0.148083, 0.127164, 0.106306, 0.083419, 0.0678456, 0.0576542, 0.0436135, 0.0341506, 0.0244545, 0.0184012, 0.0125005, 0.00736567, 0.00429187, 0.00250623, 0.00145036, 0.000486645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.168152");
-            index_3 ("0.349147, 0.382392, 0.396104, 0.412567, 0.41914, 0.432166, 0.445104, 0.457895, 0.539949, 0.645457, 0.745703, 0.851996, 0.93207, 0.967957, 1.02822, 1.2522, 1.3193, 1.39938, 1.47945, 1.56448, 1.67816, 1.75824, 1.88297, 1.96305, 2.02497, 2.10504, 2.26761, 2.42776, 2.66798, 2.9082, 3.2285");
-            values ( \
-              "0.105145, 0.259187, 0.304459, 0.327977, 0.331651, 0.335316, 0.335474, 0.334732, 0.32522, 0.309885, 0.29418, 0.274905, 0.256263, 0.246381, 0.22814, 0.15504, 0.134914, 0.113059, 0.0937747, 0.0762681, 0.057185, 0.0463871, 0.0332531, 0.0267604, 0.0225804, 0.018108, 0.0115104, 0.00733639, 0.00372232, 0.00187732, 0.000752948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.671462, 0.685672, 0.692822, 0.699589, 0.710692, 0.719816, 0.725119, 0.73453, 0.740355, 0.74611, 0.751855, 0.756152, 0.763797, 0.769318, 0.774014, 0.78069, 0.785062, 0.791652, 0.806878, 0.820388, 0.831437");
-            values ( \
-              "0.00235025, 0.00859931, 0.0144797, 0.0234589, 0.0410757, 0.0521724, 0.0576513, 0.065499, 0.0681837, 0.0671762, 0.0532226, 0.0392319, 0.0235045, 0.0162266, 0.0117886, 0.00759151, 0.00573422, 0.00386241, 0.00182742, 0.00105312, 0.000843866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00516071");
-            index_3 ("0.671804, 0.689842, 0.694461, 0.733935, 0.742512, 0.750252, 0.757593, 0.764933, 0.777434, 0.787412, 0.796754, 0.806922, 0.819995, 0.836385, 0.850827, 0.86285");
-            values ( \
-              "0.00231689, 0.018288, 0.0245016, 0.101504, 0.115385, 0.124653, 0.128561, 0.119211, 0.0740537, 0.0474573, 0.0305183, 0.0185424, 0.00972602, 0.00449182, 0.00230486, 0.00152194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0123298");
-            index_3 ("0.674787, 0.694222, 0.697037, 0.702669, 0.714128, 0.741282, 0.754776, 0.766462, 0.77745, 0.78844, 0.791477, 0.794562, 0.81271, 0.819535, 0.830561, 0.83913, 0.845459, 0.852644, 0.86221, 0.871126, 0.883014, 0.892202, 0.902461, 0.91614, 0.943498, 0.952662");
-            values ( \
-              "0.00854327, 0.0306702, 0.0356566, 0.0475308, 0.0761453, 0.148923, 0.180688, 0.198862, 0.205233, 0.197104, 0.191134, 0.183763, 0.128514, 0.110075, 0.0836723, 0.0668141, 0.0563359, 0.0461819, 0.0352059, 0.0272504, 0.0192177, 0.0146467, 0.0108082, 0.00716303, 0.00300994, 0.00247361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0294581");
-            index_3 ("0.677315, 0.704779, 0.743593, 0.76865, 0.779879, 0.789261, 0.808024, 0.829193, 0.843454, 0.902124, 0.936826, 0.969701, 1.00348, 1.04396, 1.0904, 1.12593, 1.15522");
-            values ( \
-              "0.0140553, 0.0572506, 0.176334, 0.243862, 0.260071, 0.267477, 0.268782, 0.256612, 0.241678, 0.146057, 0.0982045, 0.0647807, 0.0410313, 0.0231223, 0.0118003, 0.0068909, 0.00490774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0703806");
-            index_3 ("0.671507, 0.794043, 0.813259, 0.820191, 0.829435, 0.847921, 0.87439, 0.899569, 0.942843, 0.957208, 0.973625, 0.994335, 1.11921, 1.16355, 1.21422, 1.24146, 1.26039, 1.29313, 1.33817, 1.37999, 1.43574, 1.49167, 1.522, 1.58267, 1.66275, 1.74282, 1.82289, 1.98304");
-            values ( \
-              "0.00262817, 0.303314, 0.310393, 0.310406, 0.309368, 0.305512, 0.297396, 0.288468, 0.270932, 0.263875, 0.254867, 0.241921, 0.148939, 0.119597, 0.0910029, 0.0780923, 0.070041, 0.0577025, 0.0438449, 0.0338115, 0.0236822, 0.0165171, 0.0135707, 0.00909143, 0.00531729, 0.00309433, 0.00180452, 0.000611115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.168152");
-            index_3 ("0.708883, 0.745345, 0.763707, 0.780654, 0.802219, 0.825398, 0.832344, 0.859376, 0.889239, 1.01576, 1.15712, 1.26138, 1.33866, 1.41873, 1.62407, 1.76784, 1.84791, 1.93601, 2.05052, 2.13059, 2.25374, 2.33381, 2.4758, 2.6383, 2.79845, 3.03867, 3.2789, 3.59919");
-            values ( \
-              "0.129903, 0.202268, 0.264254, 0.302395, 0.325119, 0.331981, 0.332114, 0.330469, 0.326858, 0.309037, 0.286657, 0.266492, 0.24641, 0.22179, 0.154704, 0.113631, 0.0942947, 0.0761124, 0.056942, 0.0461939, 0.0332544, 0.0267545, 0.0181036, 0.0115146, 0.00733994, 0.00372021, 0.00187989, 0.000755212" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00189047, 0.00189097, 0.00189151, 0.00189188, 0.00189208, 0.00189218", \
-            "0.00216016, 0.00216013, 0.00215957, 0.00215981, 0.00215999, 0.00216009", \
-            "0.00234087, 0.0023408, 0.00234068, 0.00234054, 0.00234055, 0.00234058", \
-            "0.0024987, 0.00249864, 0.00249855, 0.00249839, 0.0024983, 0.00249831", \
-            "0.00265258, 0.00265255, 0.0026525, 0.00265243, 0.00265236, 0.00265231", \
-            "0.0027714, 0.00277139, 0.00277135, 0.00277128, 0.0027712, 0.00277114" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00242858, 0.00242787, 0.00242755, 0.00242754, 0.0024276, 0.00242764", \
-            "0.00253771, 0.00253716, 0.00253683, 0.00253617, 0.00253583, 0.00253568", \
-            "0.00259386, 0.00259376, 0.00259348, 0.00259281, 0.0025924, 0.00259216", \
-            "0.0027997, 0.00279968, 0.00279942, 0.00279828, 0.00279784, 0.00279824", \
-            "0.00311677, 0.00314745, 0.00318681, 0.00320291, 0.0032107, 0.00321176", \
-            "0.00301497, 0.00301491, 0.00301451, 0.00301902, 0.00305393, 0.00306359" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.080232, 0.0869139, 0.0898663, 0.0967904, 0.101801, 0.107152, 0.116162, 0.120273, 0.124301, 0.128325, 0.139073, 0.142596, 0.144716, 0.148176, 0.152788, 0.159562, 0.167791, 0.168631");
-            values ( \
-              "-0.0118543, -0.0237159, -0.0275656, -0.053416, -0.0669148, -0.0785625, -0.0929746, -0.0966397, -0.0966827, -0.0869598, -0.0273671, -0.0160178, -0.0114239, -0.00652289, -0.00302596, -0.00104598, -0.000464121, -0.000459859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00516071");
-            index_3 ("0.0815027, 0.0887073, 0.0903376, 0.0928075, 0.094501, 0.100922, 0.106687, 0.109919, 0.116382, 0.118807, 0.121337, 0.123627, 0.128208, 0.1296, 0.132382, 0.135309, 0.140978, 0.146651, 0.147072, 0.147913, 0.149595, 0.152959, 0.155895, 0.157351, 0.160264, 0.162403, 0.164873, 0.168167, 0.170759, 0.173555, 0.177282, 0.183328");
-            values ( \
-              "-0.0241185, -0.0401389, -0.0447326, -0.0510556, -0.056859, -0.0877577, -0.107926, -0.117998, -0.135419, -0.141096, -0.146436, -0.150895, -0.158246, -0.16008, -0.163005, -0.164916, -0.162795, -0.143824, -0.142078, -0.13694, -0.125818, -0.101217, -0.0810024, -0.0718199, -0.0555223, -0.0454832, -0.0357857, -0.0256301, -0.0195478, -0.0145522, -0.00974846, -0.00524745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0123298");
-            index_3 ("0.0837145, 0.0921308, 0.0941774, 0.0982706, 0.101856, 0.107665, 0.110679, 0.116706, 0.123855, 0.134756, 0.144587, 0.153838, 0.162853, 0.171859, 0.175298, 0.178391, 0.181928, 0.194322, 0.199155, 0.20279, 0.207434, 0.211175, 0.215676, 0.222019, 0.22486, 0.228744, 0.233922, 0.24149");
-            values ( \
-              "-0.0368555, -0.0635918, -0.0708322, -0.0876784, -0.103326, -0.134129, -0.144604, -0.168092, -0.189647, -0.217536, -0.23433, -0.245233, -0.247868, -0.239215, -0.230309, -0.218948, -0.201097, -0.12591, -0.100589, -0.0840536, -0.0660853, -0.0540901, -0.042171, -0.0293571, -0.0249276, -0.0198906, -0.0146491, -0.00964135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0294581");
-            index_3 ("0.074697, 0.135666, 0.146868, 0.165036, 0.181889, 0.198261, 0.214613, 0.230959, 0.235463, 0.240611, 0.25087, 0.275979, 0.285855, 0.303985, 0.321962, 0.338842, 0.348434, 0.367616, 0.397867");
-            values ( \
-              "-0.000204391, -0.250868, -0.277111, -0.306906, -0.321809, -0.327045, -0.321978, -0.302389, -0.293066, -0.27914, -0.242274, -0.146852, -0.116755, -0.0742069, -0.0461706, -0.0290666, -0.0222533, -0.0128333, -0.00550883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0703806");
-            index_3 ("0.096614, 0.118115, 0.129137, 0.149376, 0.167586, 0.189441, 0.215577, 0.239422, 0.256797, 0.289955, 0.307203, 0.324651, 0.35944, 0.372471, 0.386678, 0.438542, 0.460359, 0.482963, 0.524122, 0.545007, 0.56482, 0.603555, 0.625682, 0.669937, 0.735214, 0.800492, 0.86577");
-            values ( \
-              "-0.158679, -0.201705, -0.24523, -0.302471, -0.33655, -0.36208, -0.377533, -0.382116, -0.381853, -0.375325, -0.368804, -0.359919, -0.33183, -0.315261, -0.291985, -0.191784, -0.155033, -0.12267, -0.0777752, -0.0611857, -0.0484794, -0.0304327, -0.0232358, -0.0133285, -0.00566429, -0.00237617, -0.0010164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.168152");
-            index_3 ("0.0982358, 0.144763, 0.182694, 0.200396, 0.227889, 0.252427, 0.275358, 0.312165, 0.408427, 0.500237, 0.565515, 0.609112, 0.665241, 0.730519, 0.819113, 0.926397, 0.989368, 1.04081, 1.1328, 1.2495, 1.34383, 1.40911, 1.56333");
-            values ( \
-              "-0.167522, -0.299351, -0.370335, -0.387758, -0.403488, -0.410158, -0.412574, -0.412688, -0.403045, -0.388209, -0.374025, -0.361292, -0.337852, -0.293795, -0.217378, -0.13899, -0.10424, -0.0816456, -0.0517881, -0.0285175, -0.0174245, -0.0124074, -0.00604735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0933259, 0.0989232, 0.0993168, 0.100104, 0.101679, 0.10418, 0.10796, 0.109438, 0.112393, 0.116174, 0.121525, 0.126228, 0.130542, 0.134653, 0.138683, 0.142708, 0.144185, 0.149442, 0.15092, 0.153457, 0.15463, 0.156976, 0.1591, 0.160255, 0.162564, 0.167184, 0.168733");
-            values ( \
-              "-0.0129555, -0.0201781, -0.0203701, -0.0214383, -0.024364, -0.0270635, -0.0411711, -0.0475219, -0.0572251, -0.0667698, -0.0785722, -0.0868624, -0.0929756, -0.0965874, -0.096676, -0.086935, -0.0795131, -0.0470522, -0.0389442, -0.027362, -0.0230195, -0.016025, -0.0114262, -0.0094927, -0.00651948, -0.00301901, -0.00256782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00516071");
-            index_3 ("0.0978138, 0.102992, 0.105116, 0.106544, 0.107986, 0.108707, 0.110907, 0.113108, 0.115088, 0.117067, 0.119046, 0.120301, 0.122812, 0.124067, 0.125885, 0.127702, 0.12952, 0.131337, 0.134556, 0.136165, 0.137774, 0.140753, 0.142243, 0.143733, 0.145163, 0.146594, 0.148024, 0.149455, 0.150872, 0.15229, 0.153708, 0.155125, 0.156542, 0.157959, 0.159376, 0.160792, 0.16121, 0.161837, 0.163301, 0.170482, 0.172745, 0.174948, 0.176571, 0.177015, 0.178346, 0.179234, 0.18101, 0.181898, 0.183975, 0.185998");
-            values ( \
-              "-0.0307705, -0.0410884, -0.0467267, -0.051053, -0.0560599, -0.0587718, -0.0695593, -0.0797056, -0.0882831, -0.0959096, -0.103071, -0.107301, -0.115339, -0.119147, -0.124361, -0.129314, -0.134007, -0.138441, -0.145613, -0.148923, -0.15205, -0.157353, -0.159768, -0.162026, -0.162916, -0.163431, -0.163569, -0.163332, -0.162727, -0.161752, -0.160408, -0.158695, -0.15592, -0.152499, -0.148432, -0.143719, -0.141863, -0.138172, -0.128506, -0.0775168, -0.0641813, -0.0528086, -0.0448679, -0.0429217, -0.0375329, -0.0344934, -0.0289578, -0.0264617, -0.0214255, -0.0169222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0123298");
-            index_3 ("0.0987001, 0.103884, 0.107801, 0.112302, 0.116038, 0.121822, 0.124815, 0.130801, 0.137959, 0.148846, 0.158669, 0.167915, 0.176926, 0.185929, 0.189397, 0.192476, 0.195996, 0.207654, 0.212355, 0.215485, 0.221108, 0.222724, 0.225955, 0.232418, 0.236915, 0.2386, 0.241969, 0.248707, 0.258136");
-            values ( \
-              "-0.0404644, -0.05596, -0.0690544, -0.087761, -0.104098, -0.134807, -0.145095, -0.168438, -0.18993, -0.217767, -0.234462, -0.245369, -0.247932, -0.239296, -0.230272, -0.218919, -0.201129, -0.130028, -0.104818, -0.0900076, -0.0674031, -0.0618775, -0.0519663, -0.0361618, -0.0279508, -0.0253671, -0.0208529, -0.0139825, -0.00841512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0294581");
-            index_3 ("0.100084, 0.113885, 0.133214, 0.152998, 0.161409, 0.179564, 0.196417, 0.212785, 0.229134, 0.24548, 0.24999, 0.265391, 0.290499, 0.300374, 0.318505, 0.336483, 0.353363, 0.362954, 0.382135, 0.399976");
-            values ( \
-              "-0.0500585, -0.105574, -0.195387, -0.258182, -0.277487, -0.30684, -0.322047, -0.32694, -0.32215, -0.302279, -0.293054, -0.24227, -0.146844, -0.116751, -0.0742032, -0.0461682, -0.0290653, -0.0222535, -0.012833, -0.00851506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0703806");
-            index_3 ("0.108153, 0.125156, 0.143357, 0.162504, 0.173433, 0.188007, 0.203564, 0.230249, 0.252531, 0.270954, 0.304115, 0.321005, 0.338802, 0.373585, 0.386683, 0.400864, 0.452644, 0.474502, 0.497142, 0.538294, 0.559076, 0.578916, 0.617708, 0.639893, 0.684264, 0.749541, 0.814819, 0.880097");
-            values ( \
-              "-0.128434, -0.169918, -0.245605, -0.300147, -0.322721, -0.345431, -0.361899, -0.377702, -0.382031, -0.381825, -0.375331, -0.368956, -0.35992, -0.331841, -0.315179, -0.291928, -0.19188, -0.155052, -0.122638, -0.0777595, -0.0612466, -0.0485124, -0.0304327, -0.0232191, -0.0132983, -0.00565129, -0.00237072, -0.00101403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.168152");
-            index_3 ("0.109568, 0.158584, 0.172841, 0.196716, 0.214499, 0.241725, 0.266399, 0.289457, 0.32618, 0.362964, 0.437629, 0.514334, 0.594142, 0.623204, 0.679336, 0.744614, 0.833217, 0.894967, 0.940486, 1.00345, 1.0549, 1.09728, 1.1469, 1.21218, 1.2636, 1.35793, 1.4232, 1.48848, 1.61904, 1.81487");
-            values ( \
-              "-0.132021, -0.299124, -0.332167, -0.370537, -0.38763, -0.403571, -0.40997, -0.412742, -0.412535, -0.410064, -0.400968, -0.388343, -0.370158, -0.361169, -0.33797, -0.293686, -0.217435, -0.169354, -0.13904, -0.104289, -0.0816052, -0.0663816, -0.0518225, -0.0371712, -0.0285435, -0.0174491, -0.0123836, -0.00874076, -0.00435358, -0.00154338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.129873, 0.132885, 0.135145, 0.139953, 0.142379, 0.145166, 0.149764, 0.156108, 0.159426, 0.161904, 0.166223, 0.17033, 0.174357, 0.178381, 0.185111, 0.189128, 0.192651, 0.194772, 0.198231, 0.202843, 0.209624, 0.210934");
-            values ( \
-              "-0.0143394, -0.0180745, -0.0200966, -0.0280902, -0.0358752, -0.047939, -0.0620532, -0.0765071, -0.0827935, -0.0869497, -0.0930087, -0.0966457, -0.0967019, -0.0869629, -0.0470761, -0.027368, -0.0160174, -0.0114238, -0.00652282, -0.00302568, -0.00104469, -0.000952237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00516071");
-            index_3 ("0.133135, 0.138022, 0.142642, 0.150712, 0.159656, 0.16618, 0.173328, 0.178056, 0.181762, 0.184994, 0.190661, 0.196328, 0.209955, 0.21778, 0.223238, 0.227012, 0.234559, 0.235236");
-            values ( \
-              "-0.0290515, -0.039276, -0.0520556, -0.0884828, -0.118478, -0.135982, -0.151147, -0.158713, -0.162947, -0.165076, -0.162936, -0.14389, -0.0554514, -0.025767, -0.0145445, -0.00966731, -0.00413604, -0.00395154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0123298");
-            index_3 ("0.135418, 0.157207, 0.16645, 0.173402, 0.18428, 0.194093, 0.203334, 0.212342, 0.221341, 0.227901, 0.250894, 0.258133, 0.267828, 0.274008, 0.284114, 0.297588, 0.301472");
-            values ( \
-              "-0.0299385, -0.134737, -0.169427, -0.190231, -0.217951, -0.234612, -0.24547, -0.248011, -0.239351, -0.218902, -0.0900169, -0.0618821, -0.0361627, -0.0253689, -0.0139847, -0.00602591, -0.00514076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0294581");
-            index_3 ("0.137439, 0.150998, 0.162242, 0.170612, 0.186038, 0.196862, 0.215008, 0.231849, 0.248213, 0.264558, 0.280901, 0.285425, 0.290596, 0.300813, 0.325921, 0.335794, 0.353925, 0.363261, 0.371906, 0.388784, 0.398372, 0.417548, 0.452118");
-            values ( \
-              "-0.0418413, -0.114689, -0.168873, -0.203732, -0.252525, -0.277503, -0.307249, -0.32192, -0.327225, -0.321987, -0.302497, -0.293063, -0.279034, -0.242292, -0.146857, -0.116764, -0.0742119, -0.058169, -0.0461672, -0.029067, -0.0222534, -0.0128374, -0.00446289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0703806");
-            index_3 ("0.14477, 0.161054, 0.179123, 0.198823, 0.207927, 0.220067, 0.239231, 0.265466, 0.289064, 0.306599, 0.339754, 0.35709, 0.37445, 0.40924, 0.422261, 0.436472, 0.48834, 0.510157, 0.532762, 0.573921, 0.594805, 0.614618, 0.653354, 0.675483, 0.71974, 0.785017, 0.850295, 0.915573");
-            values ( \
-              "-0.139395, -0.172091, -0.246769, -0.302089, -0.320925, -0.340918, -0.362129, -0.377741, -0.382234, -0.381812, -0.375414, -0.368772, -0.359929, -0.331827, -0.315275, -0.291994, -0.191785, -0.155032, -0.122669, -0.0777745, -0.0611862, -0.0484794, -0.0304322, -0.0232352, -0.0133276, -0.00566398, -0.00237596, -0.00101638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.168152");
-            index_3 ("0.145955, 0.186635, 0.204769, 0.23129, 0.25014, 0.277781, 0.302235, 0.325093, 0.361898, 0.458262, 0.549971, 0.615249, 0.658847, 0.714975, 0.780253, 0.868848, 0.976132, 1.0391, 1.09055, 1.18254, 1.29924, 1.39357, 1.45885, 1.62127");
-            values ( \
-              "-0.136987, -0.277332, -0.325148, -0.368984, -0.38783, -0.40357, -0.410189, -0.412582, -0.412692, -0.403032, -0.388211, -0.374023, -0.361289, -0.337855, -0.293792, -0.217378, -0.138987, -0.104236, -0.0816497, -0.0517824, -0.0285094, -0.0174156, -0.0124154, -0.00570326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.216415, 0.224051, 0.228996, 0.236463, 0.245574, 0.251075, 0.2554, 0.259514, 0.263545, 0.267573, 0.278325, 0.281842, 0.287435, 0.292057, 0.298819, 0.307036, 0.30747");
-            values ( \
-              "-0.000727308, -0.0196584, -0.0272198, -0.0545817, -0.0768015, -0.0867774, -0.0928657, -0.096528, -0.0966157, -0.0868958, -0.0273583, -0.0160298, -0.00651653, -0.00301879, -0.00104668, -0.000465258, -0.000463047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00516071");
-            index_3 ("0.221262, 0.233117, 0.243551, 0.255956, 0.262409, 0.26838, 0.27411, 0.279787, 0.285461, 0.300357, 0.306568, 0.310675, 0.318671, 0.328233, 0.332387");
-            values ( \
-              "-0.0237325, -0.0551644, -0.101503, -0.137238, -0.150627, -0.160052, -0.164826, -0.162767, -0.143796, -0.0492531, -0.0266958, -0.0174072, -0.00731398, -0.00238981, -0.00180692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0123298");
-            index_3 ("0.224022, 0.240352, 0.248869, 0.262425, 0.273336, 0.283161, 0.292429, 0.301447, 0.31046, 0.313814, 0.316947, 0.33769, 0.346006, 0.354327, 0.360652, 0.367308, 0.372426, 0.382662, 0.400991, 0.422708");
-            values ( \
-              "-0.0336159, -0.10172, -0.146021, -0.189648, -0.216829, -0.234326, -0.244787, -0.247927, -0.238926, -0.230479, -0.219064, -0.100917, -0.0661952, -0.0420676, -0.0293103, -0.0199386, -0.0147433, -0.0079048, -0.00237453, -0.000609334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0294581");
-            index_3 ("0.229119, 0.240017, 0.260261, 0.27427, 0.286079, 0.301383, 0.309862, 0.32106, 0.33573, 0.340807, 0.347227, 0.353679, 0.366582, 0.370709, 0.378962, 0.389963, 0.411633, 0.424974, 0.432376, 0.441998, 0.449826, 0.459107, 0.472187, 0.485717, 0.496071, 0.516779, 0.554742, 0.599602");
-            values ( \
-              "-0.0815437, -0.11323, -0.205749, -0.249927, -0.276827, -0.303674, -0.31316, -0.321566, -0.326911, -0.326708, -0.325102, -0.321796, -0.308049, -0.301129, -0.281246, -0.242354, -0.158628, -0.116801, -0.0975327, -0.0764737, -0.0624193, -0.048755, -0.034099, -0.0234721, -0.0175477, -0.00963316, -0.00288443, -0.000647142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0703806");
-            index_3 ("0.230854, 0.268557, 0.280023, 0.291269, 0.312763, 0.328707, 0.355645, 0.362813, 0.377149, 0.396084, 0.429242, 0.446157, 0.463928, 0.49871, 0.511804, 0.525987, 0.577771, 0.599627, 0.622265, 0.652449, 0.663417, 0.684205, 0.704044, 0.742832, 0.765014, 0.809377, 0.874655, 0.939933, 1.00521");
-            values ( \
-              "-0.0877191, -0.246023, -0.281097, -0.308565, -0.34517, -0.362252, -0.37782, -0.37993, -0.381998, -0.381943, -0.375291, -0.368957, -0.359931, -0.331841, -0.315186, -0.291933, -0.191875, -0.155051, -0.12264, -0.0879954, -0.0777605, -0.0612434, -0.0485107, -0.0304329, -0.0232203, -0.0133002, -0.00565215, -0.002371, -0.00101423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.168152");
-            index_3 ("0.244516, 0.273739, 0.298049, 0.319984, 0.339669, 0.367342, 0.391777, 0.414621, 0.451424, 0.488125, 0.562794, 0.639498, 0.704775, 0.748373, 0.804502, 0.869779, 0.958375, 1.06566, 1.12863, 1.18007, 1.27206, 1.33734, 1.38876, 1.4831, 1.54838, 1.71753");
-            values ( \
-              "-0.262761, -0.269438, -0.332679, -0.368183, -0.387866, -0.403723, -0.410165, -0.412667, -0.412641, -0.409973, -0.400882, -0.388263, -0.373971, -0.36124, -0.337903, -0.293744, -0.217415, -0.139022, -0.10427, -0.0816165, -0.0518146, -0.0371811, -0.0285397, -0.0174455, -0.0123855, -0.0054465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.416202, 0.429707, 0.436766, 0.444833, 0.454627, 0.46521, 0.46987, 0.47438, 0.478882, 0.491372, 0.496005, 0.502917, 0.509404, 0.51218");
-            values ( \
-              "-0.00678732, -0.0158305, -0.0273935, -0.0475557, -0.0655473, -0.0810576, -0.0856125, -0.0871844, -0.0799619, -0.0221903, -0.0111604, -0.00386854, -0.0015917, -0.00128778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00516071");
-            index_3 ("0.416516, 0.433192, 0.436977, 0.445646, 0.456742, 0.472515, 0.479109, 0.485319, 0.491416, 0.497504, 0.50789, 0.513057, 0.518174, 0.521926, 0.526158, 0.529473, 0.536103, 0.546876, 0.560022, 0.600871");
-            values ( \
-              "-0.00620259, -0.0320898, -0.0403832, -0.0679403, -0.0990373, -0.135461, -0.14631, -0.152738, -0.152511, -0.136388, -0.0730404, -0.0470914, -0.0290503, -0.0199909, -0.0129858, -0.00913826, -0.00452858, -0.00137395, -0.000534869, -0.000190776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0123298");
-            index_3 ("0.418465, 0.43465, 0.441283, 0.463023, 0.471438, 0.483405, 0.493955, 0.50377, 0.513238, 0.517288, 0.522676, 0.532178, 0.544772, 0.553053, 0.563191, 0.569411, 0.574253, 0.579635, 0.586811, 0.601162, 0.620027, 0.643257");
-            values ( \
-              "-0.00506571, -0.0498219, -0.0656034, -0.1442, -0.170254, -0.200839, -0.219897, -0.231838, -0.236868, -0.236422, -0.230948, -0.201224, -0.128748, -0.0878411, -0.0519284, -0.0367878, -0.027945, -0.0205038, -0.0134247, -0.00547766, -0.00161466, -0.000421338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0294581");
-            index_3 ("0.421299, 0.432186, 0.440611, 0.450354, 0.469148, 0.473947, 0.483546, 0.496852, 0.506046, 0.515872, 0.522826, 0.533318, 0.550047, 0.566623, 0.583201, 0.587181, 0.591728, 0.600824, 0.61699, 0.628484, 0.638026, 0.645386, 0.655154, 0.663143, 0.672396, 0.685456, 0.698886, 0.70915, 0.729679, 0.744859");
-            values ( \
-              "-0.0276217, -0.0496722, -0.0734443, -0.105721, -0.182027, -0.198592, -0.228736, -0.260567, -0.277966, -0.293352, -0.302727, -0.313237, -0.321394, -0.318566, -0.300142, -0.292414, -0.280983, -0.250348, -0.186856, -0.145965, -0.117048, -0.0978907, -0.0765082, -0.062203, -0.0486362, -0.0340428, -0.0235047, -0.0176223, -0.00972992, -0.00700461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0703806");
-            index_3 ("0.418175, 0.476694, 0.505813, 0.531839, 0.557155, 0.57489, 0.605486, 0.625964, 0.641932, 0.675616, 0.700093, 0.713071, 0.739028, 0.781123, 0.822935, 0.868671, 0.906025, 0.955024, 0.981812, 1.01753, 1.08281, 1.08672");
-            values ( \
-              "-0.00424701, -0.219691, -0.299046, -0.343617, -0.367441, -0.375889, -0.380438, -0.378207, -0.374374, -0.360189, -0.342652, -0.329529, -0.290932, -0.208905, -0.138911, -0.0845188, -0.0550094, -0.0305808, -0.0220757, -0.0141487, -0.00601797, -0.00586995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.168152");
-            index_3 ("0.438169, 0.471167, 0.491784, 0.498567, 0.519099, 0.530144, 0.549245, 0.576025, 0.601653, 0.625057, 0.661116, 0.698644, 0.754524, 0.81105, 0.850106, 0.915384, 0.958977, 1.01511, 1.08039, 1.16898, 1.23072, 1.27626, 1.33923, 1.39068, 1.43304, 1.48267, 1.54795, 1.59937, 1.63081, 1.6937, 1.75897, 1.82425, 1.95481, 2.15064");
-            values ( \
-              "-0.164073, -0.203073, -0.274034, -0.290695, -0.335506, -0.354513, -0.378351, -0.398067, -0.407344, -0.41104, -0.412009, -0.409684, -0.403433, -0.395079, -0.388235, -0.373988, -0.361258, -0.337885, -0.293764, -0.2174, -0.169407, -0.13901, -0.104259, -0.081629, -0.0664057, -0.0518038, -0.0371924, -0.0285305, -0.0242948, -0.0174371, -0.0123958, -0.00872927, -0.00434273, -0.00155327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.848294, 0.864294, 0.875955, 0.882064, 0.895701, 0.904007, 0.915072, 0.927888, 0.933811, 0.939725, 0.952625, 0.958383, 0.965169, 0.970946, 0.979414, 0.989739, 1.02004");
-            values ( \
-              "-0.00230877, -0.00741537, -0.0129817, -0.0178187, -0.0363154, -0.0454372, -0.0559067, -0.0649355, -0.0666367, -0.0633867, -0.0236653, -0.0116958, -0.00471922, -0.00214308, -0.000829149, -0.000511633, -0.000370361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00516071");
-            index_3 ("0.848716, 0.869075, 0.881931, 0.888741, 0.905122, 0.915909, 0.930073, 0.938559, 0.946406, 0.953947, 0.961473, 0.979712, 0.985588, 0.993798, 1.0003, 1.01131, 1.0237");
-            values ( \
-              "-0.00207585, -0.0161803, -0.0278447, -0.0375575, -0.067772, -0.0846566, -0.104364, -0.114426, -0.121928, -0.125061, -0.116128, -0.0395258, -0.0237193, -0.011019, -0.00581137, -0.00188928, -0.000721221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0123298");
-            index_3 ("0.84924, 0.876766, 0.887696, 0.943003, 0.955866, 0.967383, 0.978139, 0.988692, 0.999226, 1.02155, 1.03154, 1.04306, 1.0503, 1.05995, 1.0778, 1.08288");
-            values ( \
-              "-0.000106744, -0.0321688, -0.0472591, -0.160971, -0.184246, -0.201153, -0.211222, -0.210246, -0.18632, -0.0822218, -0.0502526, -0.0270609, -0.0180795, -0.0103198, -0.00339754, -0.00280132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0294581");
-            index_3 ("0.850043, 0.873359, 0.888431, 0.899973, 0.908898, 0.958031, 0.979761, 0.993126, 0.998914, 1.0082, 1.01687, 1.03447, 1.05207, 1.05792, 1.06417, 1.08849, 1.10795, 1.12082, 1.12795, 1.13693, 1.1489, 1.15823, 1.16763, 1.18016, 1.20522, 1.21632, 1.21713");
-            values ( \
-              "-0.0124609, -0.0333871, -0.0570905, -0.0795666, -0.100254, -0.221999, -0.265044, -0.282763, -0.288768, -0.296239, -0.30078, -0.302127, -0.287735, -0.277719, -0.262397, -0.176515, -0.115541, -0.0846134, -0.070768, -0.0561707, -0.0408761, -0.0317635, -0.0245798, -0.0173553, -0.00837105, -0.0061172, -0.00601974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0703806");
-            index_3 ("0.844303, 0.895953, 0.982258, 1.00302, 1.01976, 1.04845, 1.07686, 1.1114, 1.14598, 1.18395, 1.21552, 1.27093, 1.29966, 1.3427, 1.39113, 1.42744, 1.48693, 1.54377");
-            values ( \
-              "-0.00944301, -0.0774095, -0.294739, -0.326264, -0.343816, -0.361543, -0.367877, -0.365474, -0.353292, -0.324641, -0.278369, -0.175792, -0.131829, -0.0824291, -0.0470539, -0.0304151, -0.0145666, -0.00727854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.168152");
-            index_3 ("0.895929, 0.93965, 0.969235, 0.988611, 1.02463, 1.04174, 1.06954, 1.09473, 1.13282, 1.17002, 1.2637, 1.2929, 1.32276, 1.38248, 1.44286, 1.4878, 1.55308, 1.64158, 1.70325, 1.74897, 1.81198, 1.86338, 1.90564, 1.95529, 2.02057, 2.07205, 2.16651, 2.23179, 2.29707, 2.42762, 2.62346");
-            values ( \
-              "-0.172055, -0.196894, -0.27943, -0.319868, -0.367076, -0.380613, -0.394337, -0.400648, -0.40382, -0.402719, -0.395797, -0.392324, -0.387641, -0.375272, -0.357348, -0.337868, -0.293726, -0.217456, -0.169501, -0.138986, -0.104219, -0.0816125, -0.0664252, -0.051818, -0.037198, -0.0285301, -0.0174253, -0.0123833, -0.00872452, -0.00434152, -0.00154967" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00169929, 0.00170006, 0.00170076, 0.00170121, 0.00170144, 0.00170154", \
-            "0.00187794, 0.00187837, 0.00187894, 0.0018794, 0.00187966, 0.00187979", \
-            "0.00197516, 0.00197523, 0.00197539, 0.00197563, 0.00197581, 0.00197592", \
-            "0.00203585, 0.00203585, 0.00203587, 0.00203592, 0.002036, 0.00203606", \
-            "0.00206789, 0.00206787, 0.00206785, 0.00206785, 0.00206787, 0.0020679", \
-            "0.0020862, 0.0020862, 0.00208621, 0.00208623, 0.00208627, 0.0020863" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00214375, 0.00214483, 0.0021461, 0.00214705, 0.00214758, 0.00214784", \
-            "0.00220184, 0.00220173, 0.002202, 0.00220252, 0.00220294, 0.00220317", \
-            "0.00222154, 0.00222062, 0.00221965, 0.00221907, 0.00222238, 0.00222234", \
-            "0.00228186, 0.00228068, 0.00227938, 0.00227812, 0.00227723, 0.00227679", \
-            "0.00248561, 0.00248538, 0.00248802, 0.00248717, 0.0024867, 0.00248801", \
-            "0.00288304, 0.00289589, 0.00295389, 0.00299545, 0.00301823, 0.0030305" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00658459, 0.0066395, 0.00670207, 0.0067112, 0.00668928, 0.00666888", \
-            "0.00648394, 0.00654978, 0.00661541, 0.00663408, 0.00661498, 0.00659293", \
-            "0.00634272, 0.00640984, 0.00648157, 0.00651595, 0.00650769, 0.0064867", \
-            "0.0062415, 0.00628514, 0.00634821, 0.00639798, 0.00635811, 0.0063625", \
-            "0.00630349, 0.00632397, 0.00635374, 0.006397, 0.00640599, 0.00639665", \
-            "0.00698764, 0.0070506, 0.00697533, 0.00693069, 0.00696795, 0.0069044" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.0105737, 0.0106553, 0.0107164, 0.0106781, 0.0105699, 0.0104815", \
-            "0.0105239, 0.0105533, 0.0106254, 0.0106179, 0.0105083, 0.0104226", \
-            "0.0104086, 0.0104592, 0.0105272, 0.0105125, 0.0104229, 0.0103402", \
-            "0.0102752, 0.0103156, 0.0103837, 0.010409, 0.0103578, 0.0102948", \
-            "0.0102437, 0.0102606, 0.0104134, 0.0104288, 0.0103996, 0.0103542", \
-            "0.0108406, 0.0107422, 0.0106996, 0.0107427, 0.010771, 0.0107238" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00553681, 0.00569115, 0.00589257, 0.00604602, 0.00612626, 0.00615977", \
-            "0.00549483, 0.00564895, 0.00584925, 0.0060066, 0.0060877, 0.00612", \
-            "0.00537652, 0.00551073, 0.00569953, 0.00587075, 0.00596996, 0.00601165", \
-            "0.00527583, 0.00535155, 0.00548237, 0.00567311, 0.00580079, 0.00587683", \
-            "0.00542575, 0.00542934, 0.00550652, 0.00563773, 0.00577949, 0.00587282", \
-            "0.00621374, 0.00614864, 0.00610255, 0.00613732, 0.00625933, 0.00637872" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00516071, 0.0123298, 0.0294581, 0.0703806, 0.168152");
-          values ( \
-            "0.00903348, 0.00911259, 0.00921226, 0.00930113, 0.0093451, 0.00936073", \
-            "0.00895518, 0.00901333, 0.00912625, 0.00922491, 0.00927346, 0.00929034", \
-            "0.00885938, 0.00891991, 0.0090351, 0.00914336, 0.00919547, 0.00921724", \
-            "0.00889175, 0.00893523, 0.00901118, 0.00911189, 0.00918271, 0.00921529", \
-            "0.00924996, 0.00921893, 0.00917733, 0.00929602, 0.00937949, 0.00945035", \
-            "0.0103456, 0.0102277, 0.0101618, 0.0101907, 0.0102049, 0.0102197" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00290622;
-      rise_capacitance : 0.00290622;
-      rise_capacitance_range (0.00221611, 0.00290622);
-      fall_capacitance : 0.00290279;
-      fall_capacitance_range (0.00205496, 0.00290279);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00194722, 0.00229006, 0.00250021, 0.00261081, 0.00266567, 0.0026913" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0024927, 0.0024706, 0.00238681, 0.0023263, 0.00229445, 0.00228503" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00177084, 0.00196226, 0.00205989, 0.00210611, 0.00213021, 0.00214406" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.0022842, 0.0024413, 0.00256053, 0.00268239, 0.00274013, 0.00275562" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00201333, -0.00214349, -0.00224721, -0.00228283, -0.00229385, -0.0022932" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00229186, 0.00228939, 0.00231045, 0.00230646, 0.00230561, 0.00230607" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00270158;
-      rise_capacitance : 0.00270158;
-      rise_capacitance_range (0.00159483, 0.00270158);
-      fall_capacitance : 0.00267176;
-      fall_capacitance_range (0.00164965, 0.00267176);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00139489, 0.00149668, 0.0015432, 0.00157075, 0.00158298, 0.00158884" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00173481, 0.00177273, 0.00178992, 0.00178486, 0.00178603, 0.00178615" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00160749, 0.00172177, 0.00176995, 0.00179518, 0.00180782, 0.00181401" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00171035, 0.00169039, 0.00167313, 0.00166846, 0.00166501, 0.00166361" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00106405, -0.00106644, -0.00106616, -0.00107384, -0.00106234, -0.0010659" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00108351, 0.00108526, 0.00108437, 0.00108871, 0.00107892, 0.00107454" \
-          );
-        }
-      }
-    }
-  }
-  cell (TBUFIX1) {
-    area : 8.3028;
-    cell_footprint : "TBUFI";
-    cell_leakage_power : 0.0150214;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0524076;
-      when : "(A * OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00248988;
-      when : "(A * !OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00248986;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0281866;
-      when : "(!A * OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00227741;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00227735;
-      when : "(!A * !OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0150214;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      three_state : "!OE";
-      max_capacitance : 0.0433466;
-      capacitance : 0.0017747;
-      rise_capacitance : 0.00169977;
-      rise_capacitance_range (0.00169977, 0.00169977);
-      fall_capacitance : 0.0017747;
-      fall_capacitance_range (0.0017747, 0.0017747);
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.0525866, 0.0729214, 0.109021, 0.174011, 0.29187, 0.506213", \
-            "0.0555659, 0.0761906, 0.112628, 0.177936, 0.296001, 0.510499", \
-            "0.0656414, 0.0860235, 0.122652, 0.188315, 0.306826, 0.52157", \
-            "0.0927778, 0.11358, 0.149195, 0.214609, 0.333083, 0.547768", \
-            "0.138156, 0.16957, 0.21547, 0.282341, 0.3995, 0.613792", \
-            "0.214546, 0.259086, 0.327077, 0.425948, 0.565932, 0.778576" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.0590483, 0.0870822, 0.137699, 0.22954, 0.396644, 0.700743", \
-            "0.0589023, 0.0870286, 0.137689, 0.229546, 0.396623, 0.700743", \
-            "0.0585164, 0.0867159, 0.137645, 0.229501, 0.396519, 0.700747", \
-            "0.0709316, 0.0932875, 0.13883, 0.229406, 0.396551, 0.700748", \
-            "0.103008, 0.12975, 0.168757, 0.24344, 0.397925, 0.70069", \
-            "0.154103, 0.19164, 0.247932, 0.329727, 0.456893, 0.719322" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.0359039, 0.0476919, 0.0687503, 0.106751, 0.175706, 0.301177", \
-            "0.0390559, 0.0511019, 0.0723857, 0.110572, 0.17963, 0.305176", \
-            "0.0473557, 0.059476, 0.080986, 0.119411, 0.188736, 0.314402", \
-            "0.0604689, 0.0772836, 0.102194, 0.140355, 0.210174, 0.33585", \
-            "0.0698781, 0.0954679, 0.133289, 0.187522, 0.264139, 0.389834", \
-            "0.0643074, 0.101761, 0.157771, 0.239335, 0.355194, 0.516229" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.0303982, 0.0458026, 0.0738841, 0.125058, 0.218362, 0.388049", \
-            "0.0304025, 0.0458067, 0.0738887, 0.125058, 0.218362, 0.388062", \
-            "0.0324915, 0.04643, 0.0739215, 0.125071, 0.218283, 0.388062", \
-            "0.0474751, 0.0607974, 0.0825144, 0.127197, 0.218303, 0.388042", \
-            "0.074393, 0.0930471, 0.120926, 0.162523, 0.236415, 0.391112", \
-            "0.121427, 0.147873, 0.187861, 0.246307, 0.331538, 0.461176" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0222207, 0.0272662, 0.0305169, 0.0373429, 0.0418533, 0.0560149, 0.0601647, 0.0683036, 0.0736317, 0.0794569, 0.0853772, 0.0921433, 0.0996848, 0.103993, 0.111418, 0.121074, 0.128829, 0.13917, 0.147544, 0.154445, 0.163647, 0.178078, 0.194563, 0.207573, 0.233593, 0.274357, 0.323736");
-            values ( \
-              "0.0161185, 0.0610616, 0.0585763, 0.0551941, 0.0534847, 0.048715, 0.0468922, 0.0411195, 0.0355169, 0.0300281, 0.0256927, 0.0216418, 0.0180619, 0.0162698, 0.0135784, 0.010694, 0.00881642, 0.00677251, 0.00545705, 0.00456199, 0.00358321, 0.00243455, 0.00156937, 0.00110474, 0.000531501, 0.000154782, 3.05568e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00393514");
-            index_3 ("0.0244594, 0.0267784, 0.0348031, 0.0454842, 0.048883, 0.0556808, 0.0566556, 0.0586053, 0.0625048, 0.0800409, 0.0831774, 0.093839, 0.107644, 0.114795, 0.130489, 0.13702, 0.145727, 0.162521, 0.176642, 0.186621, 0.204473, 0.224938, 0.242605, 0.265683, 0.296454, 0.345528, 0.403873");
-            values ( \
-              "0.0493425, 0.0731351, 0.0680571, 0.0644377, 0.0639917, 0.0622038, 0.0623009, 0.0616441, 0.0610795, 0.0566507, 0.0552642, 0.0492071, 0.0392036, 0.0350031, 0.0269767, 0.0242239, 0.0208728, 0.0154614, 0.0119522, 0.00989837, 0.00701353, 0.0046839, 0.00328137, 0.00205576, 0.00107653, 0.000357418, 8.99651e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.007169");
-            index_3 ("0.0239544, 0.0286179, 0.0335065, 0.0419803, 0.0504594, 0.08939, 0.111886, 0.116655, 0.126194, 0.138084, 0.160132, 0.182094, 0.208162, 0.222557, 0.251416, 0.279728, 0.302237, 0.327563, 0.366102, 0.415007, 0.467171, 0.502988");
-            values ( \
-              "0.0301557, 0.0807302, 0.0776759, 0.0746346, 0.0729014, 0.0680384, 0.0645439, 0.0634192, 0.0608846, 0.0563024, 0.046295, 0.0373978, 0.0283579, 0.0241762, 0.017002, 0.0118509, 0.0087972, 0.00623907, 0.00363964, 0.00180556, 0.000923844, 0.000589302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0130604");
-            index_3 ("0.0239175, 0.0312419, 0.039767, 0.0486165, 0.0662489, 0.115949, 0.148121, 0.171331, 0.181645, 0.198707, 0.217746, 0.2703, 0.311431, 0.354811, 0.39049, 0.409625, 0.444617, 0.473818, 0.497617, 0.526882, 0.568172, 0.611921, 0.645554, 0.712822, 0.796109, 0.810077");
-            values ( \
-              "0.0439323, 0.0846368, 0.0811776, 0.0794543, 0.0777629, 0.0743799, 0.0718244, 0.0695787, 0.0682822, 0.0655479, 0.0613854, 0.0473972, 0.0370289, 0.0275783, 0.0211465, 0.0182412, 0.0137772, 0.0108201, 0.00885704, 0.0068878, 0.00480285, 0.00327203, 0.00242246, 0.00130974, 0.000596656, 0.000556888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0237934");
-            index_3 ("0.0266411, 0.0266611, 0.103183, 0.183627, 0.241015, 0.287907, 0.322998, 0.362357, 0.434838, 0.521989, 0.594111, 0.654607, 0.686842, 0.745481, 0.807375, 0.833694, 0.882672, 0.951696, 1.02482, 1.08103, 1.16432, 1.2476, 1.34784");
-            values ( \
-              "1e-22, 0.0867806, 0.0806996, 0.0774861, 0.0748175, 0.0721599, 0.0692755, 0.0646803, 0.0537212, 0.040025, 0.0300245, 0.0230103, 0.0198398, 0.0149938, 0.0110349, 0.00966408, 0.00751858, 0.00524012, 0.00356917, 0.00264465, 0.00168587, 0.00107121, 0.000685995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0433466");
-            index_3 ("0.0307826, 0.0308026, 0.149627, 0.2959, 0.409836, 0.514148, 0.597435, 0.625671, 0.682144, 0.914004, 0.993996, 1.05953, 1.14282, 1.2074, 1.36135, 1.47811, 1.55171, 1.63499, 1.78451, 1.95109, 2.11766, 2.45081, 2.70067");
-            values ( \
-              "1e-22, 0.0928523, 0.0828784, 0.0795844, 0.0766531, 0.0731846, 0.0685709, 0.0667127, 0.062073, 0.0410411, 0.0342754, 0.0293886, 0.0236335, 0.0198888, 0.0129087, 0.0091728, 0.00750345, 0.0057161, 0.00360634, 0.00213131, 0.00124274, 0.000393196, 0.000285975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0380874, 0.0394784, 0.0413206, 0.0511362, 0.0579459, 0.0718585, 0.0777445, 0.0918618, 0.103141, 0.111792, 0.122319, 0.140764, 0.154781, 0.167033, 0.198145, 0.236467, 0.244494");
-            values ( \
-              "0.0486875, 0.0633762, 0.0633258, 0.056131, 0.0529615, 0.0479109, 0.0445274, 0.0309524, 0.0231374, 0.018734, 0.0145101, 0.00920493, 0.00644176, 0.00468926, 0.00205396, 0.000724396, 0.000660708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00393514");
-            index_3 ("0.038799, 0.0411722, 0.054011, 0.0702911, 0.0823097, 0.0972484, 0.128787, 0.151198, 0.176893, 0.199439, 0.227235, 0.244794, 0.273275, 0.324099");
-            values ( \
-              "0.0436327, 0.0759913, 0.0668499, 0.0622359, 0.0597166, 0.0552366, 0.0350145, 0.0241556, 0.0153619, 0.0101284, 0.0059073, 0.00416043, 0.00234367, 0.000913913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.007169");
-            index_3 ("0.0399108, 0.043141, 0.0454496, 0.0519405, 0.0562966, 0.0647863, 0.11113, 0.120706, 0.13089, 0.150917, 0.173107, 0.187516, 0.206727, 0.221759, 0.238099, 0.259514, 0.278908, 0.29333, 0.317162, 0.327497, 0.345939, 0.372042, 0.399578, 0.420729, 0.463031, 0.539092, 0.622379");
-            values ( \
-              "0.0766942, 0.0833471, 0.081028, 0.0767926, 0.0752908, 0.073042, 0.0669752, 0.0654716, 0.0634793, 0.0571026, 0.0469413, 0.0408601, 0.0335759, 0.0286029, 0.0237584, 0.0183864, 0.0144469, 0.0120085, 0.00877617, 0.00763893, 0.00595277, 0.0041459, 0.00283138, 0.00210814, 0.00114084, 0.000347253, 8.72937e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0130604");
-            index_3 ("0.0418967, 0.0419167, 0.0839034, 0.129907, 0.162719, 0.188567, 0.211613, 0.232345, 0.285094, 0.326059, 0.369104, 0.405013, 0.424347, 0.459403, 0.488487, 0.512064, 0.541378, 0.582701, 0.609706, 0.660328, 0.727824, 0.81111, 0.819999");
-            values ( \
-              "1e-22, 0.0895747, 0.0776505, 0.0744803, 0.0718216, 0.0692868, 0.0658621, 0.0613935, 0.0473487, 0.0370257, 0.0276436, 0.021156, 0.0182212, 0.0137539, 0.0108173, 0.0088718, 0.00689149, 0.00480885, 0.00378835, 0.00241617, 0.00130744, 0.000591792, 0.000566583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0237934");
-            index_3 ("0.0434018, 0.0434218, 0.136889, 0.199397, 0.255741, 0.299896, 0.314323, 0.343178, 0.377083, 0.449549, 0.53663, 0.609675, 0.669528, 0.701211, 0.759671, 0.808719, 0.848789, 0.897625, 0.966538, 1.03923, 1.09507, 1.17836, 1.26165, 1.42822, 1.5948");
-            values ( \
-              "1e-22, 0.0897703, 0.0799446, 0.0774055, 0.0748131, 0.0723427, 0.0712909, 0.068737, 0.0646605, 0.0537136, 0.0400417, 0.0299183, 0.0229875, 0.0198731, 0.0150334, 0.0117955, 0.00964369, 0.00751101, 0.00523495, 0.0035723, 0.00265682, 0.00168942, 0.00107778, 0.000435345, 0.000176301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0433466");
-            index_3 ("0.0456337, 0.0456537, 0.179147, 0.324412, 0.457342, 0.528734, 0.612021, 0.696724, 0.955252, 1.07411, 1.22198, 1.29264, 1.41485, 1.56628, 1.64957, 1.74564, 1.88234, 2.21549, 2.29566");
-            values ( \
-              "1e-22, 0.0924482, 0.08246, 0.0791871, 0.0756309, 0.0730918, 0.0686636, 0.0621657, 0.0387592, 0.0292985, 0.0199787, 0.0164138, 0.011588, 0.00741505, 0.00580496, 0.00431383, 0.0028346, 0.00100117, 0.00087263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0677256, 0.0778723, 0.0796526, 0.0820264, 0.0849346, 0.0870514, 0.0874936, 0.0883781, 0.0889568, 0.0895355, 0.0901991, 0.0908627, 0.0913051, 0.0917475, 0.0921899, 0.0931711, 0.0941523, 0.0974394, 0.103371, 0.11024, 0.112659, 0.114869, 0.119917, 0.124964, 0.129743, 0.131304, 0.133176, 0.136921, 0.138169, 0.141366, 0.144564, 0.147786, 0.149934, 0.152082, 0.15595, 0.159817, 0.162346, 0.167404, 0.172369, 0.178797, 0.182225, 0.185653, 0.190945, 0.196236, 0.200867, 0.205499, 0.210138, 0.214778, 0.224793, 0.233963");
-            values ( \
-              "0.0373823, 0.0378236, 0.040084, 0.0428629, 0.0460724, 0.0482737, 0.0527075, 0.0537554, 0.0546971, 0.0558415, 0.0559331, 0.0559489, 0.0559173, 0.055852, 0.055753, 0.0553051, 0.0548282, 0.0530191, 0.0501615, 0.0467625, 0.0450834, 0.0432984, 0.0384545, 0.0333481, 0.0291974, 0.0279218, 0.0264998, 0.0241356, 0.0233975, 0.0216432, 0.0200086, 0.0184529, 0.0174738, 0.0165489, 0.0151172, 0.0137853, 0.0129691, 0.0114632, 0.0101491, 0.00856546, 0.00787492, 0.00722837, 0.0063333, 0.00550976, 0.00485198, 0.00427465, 0.0037985, 0.00336537, 0.00259387, 0.00197466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00393514");
-            index_3 ("0.0649699, 0.0715727, 0.0769322, 0.0874192, 0.088795, 0.0903056, 0.0918447, 0.0994675, 0.104159, 0.129187, 0.132902, 0.137148, 0.14307, 0.154913, 0.162746, 0.17604, 0.185724, 0.201197, 0.21477, 0.225816, 0.240544, 0.258801, 0.269773, 0.289947, 0.313468, 0.332371, 0.370177, 0.42497, 0.492119");
-            values ( \
-              "0.00762212, 0.0352915, 0.044444, 0.0596905, 0.0657642, 0.0685961, 0.0687823, 0.0651328, 0.0634359, 0.0566016, 0.0550634, 0.0529226, 0.0491833, 0.0406105, 0.0357584, 0.0286868, 0.0244486, 0.0187139, 0.0146595, 0.011964, 0.00904304, 0.00634807, 0.0051147, 0.00340144, 0.00211683, 0.0014384, 0.000636032, 0.000180124, 3.194e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.007169");
-            index_3 ("0.0662382, 0.0758088, 0.0873711, 0.0891109, 0.0913767, 0.100894, 0.109389, 0.146552, 0.16564, 0.175792, 0.187735, 0.209788, 0.231779, 0.250625, 0.272173, 0.294756, 0.307193, 0.329415, 0.34768, 0.362422, 0.381038, 0.407269, 0.435306, 0.456896, 0.500077, 0.576278, 0.659565");
-            values ( \
-              "0.00628662, 0.0477249, 0.0675084, 0.0750684, 0.0785301, 0.0743426, 0.0721469, 0.0669639, 0.0636934, 0.0608466, 0.056366, 0.0462517, 0.0374405, 0.0307412, 0.0241443, 0.0184236, 0.0158091, 0.0119034, 0.00936614, 0.00769694, 0.00597577, 0.00416251, 0.00282409, 0.00208226, 0.00111792, 0.000333908, 8.90726e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0130604");
-            index_3 ("0.0663725, 0.0808503, 0.0873534, 0.0906475, 0.0924994, 0.104027, 0.110531, 0.132833, 0.166325, 0.209623, 0.232014, 0.254875, 0.268138, 0.341424, 0.384316, 0.409627, 0.44198, 0.468031, 0.502767, 0.525168, 0.567599, 0.614827, 0.66997, 0.71452, 0.797807, 0.881094, 0.964381");
-            values ( \
-              "0.00390465, 0.0611499, 0.0729307, 0.0846118, 0.0844759, 0.0799222, 0.0787855, 0.0767283, 0.0743754, 0.0708109, 0.0682872, 0.0644273, 0.0613553, 0.0419644, 0.0319137, 0.0267005, 0.0209685, 0.0171181, 0.0129096, 0.0107235, 0.00748167, 0.00494972, 0.00304988, 0.00204284, 0.000939198, 0.000426476, 0.000196348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0237934");
-            index_3 ("0.0666345, 0.0874505, 0.0885937, 0.0907163, 0.0928419, 0.10218, 0.109098, 0.122287, 0.223271, 0.260801, 0.292059, 0.348772, 0.376815, 0.406728, 0.426945, 0.453836, 0.549215, 0.602224, 0.634663, 0.699539, 0.729716, 0.776663, 0.839186, 0.864879, 0.906319, 0.950047, 1.01091, 1.08004, 1.16333, 1.24662, 1.3299, 1.41319, 1.57976");
-            values ( \
-              "0.00672414, 0.0765679, 0.0851385, 0.0887867, 0.0884642, 0.0844383, 0.083126, 0.081953, 0.0779384, 0.0763085, 0.0748086, 0.0714928, 0.0689925, 0.0655546, 0.0627757, 0.058716, 0.043583, 0.0357815, 0.0313708, 0.0236288, 0.0205943, 0.0164956, 0.0121255, 0.0106651, 0.00864526, 0.00690419, 0.005019, 0.00348607, 0.00222759, 0.0014165, 0.000901529, 0.000570465, 0.00022864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0433466");
-            index_3 ("0.0667549, 0.0956197, 0.100875, 0.122808, 0.361149, 0.495266, 0.565547, 0.648834, 0.733356, 0.992004, 1.11086, 1.25873, 1.32939, 1.4516, 1.52943, 1.68631, 1.91908, 2.25222, 2.27574");
-            values ( \
-              "0.0174238, 0.0907752, 0.086902, 0.0846018, 0.0791788, 0.0755716, 0.0731125, 0.0686361, 0.0621518, 0.0387843, 0.0293233, 0.0199552, 0.016438, 0.0116117, 0.00923889, 0.00578213, 0.00285575, 0.00102163, 0.000983885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.113208, 0.12607, 0.127426, 0.13492, 0.14866, 0.164618, 0.177258, 0.188227, 0.196428, 0.198292, 0.202021, 0.208205, 0.210658, 0.212835, 0.221993, 0.226814, 0.234187, 0.238455, 0.244146, 0.255527, 0.262623, 0.270955, 0.282064, 0.29196, 0.306463, 0.318942, 0.333961, 0.353986, 0.370895");
-            values ( \
-              "0.00437051, 0.0082372, 0.00884409, 0.0127784, 0.0205975, 0.0280935, 0.0334516, 0.0373335, 0.0395375, 0.0397626, 0.0399774, 0.0376544, 0.0352436, 0.0356924, 0.0279142, 0.0244591, 0.0202223, 0.0182455, 0.0158895, 0.0119793, 0.0100706, 0.00816855, 0.00614432, 0.00475382, 0.00324604, 0.00233207, 0.00156167, 0.000895791, 0.000624424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00393514");
-            index_3 ("0.116443, 0.132533, 0.177379, 0.192908, 0.210342, 0.213238, 0.21735, 0.221614, 0.249565, 0.267429, 0.302988, 0.326497, 0.362198, 0.399364, 0.437247");
-            values ( \
-              "0.00718024, 0.0142013, 0.0416313, 0.0495787, 0.056178, 0.058589, 0.058336, 0.0559687, 0.0370173, 0.0274986, 0.0148252, 0.00957129, 0.00476447, 0.002254, 0.0010934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.007169");
-            index_3 ("0.139158, 0.165934, 0.175205, 0.18633, 0.198131, 0.203392, 0.2069, 0.208654, 0.210408, 0.212373, 0.213028, 0.214545, 0.216063, 0.217588, 0.219113, 0.222163, 0.225213, 0.229868, 0.244296, 0.249389, 0.254481, 0.259485, 0.264488, 0.269492, 0.27524, 0.296836, 0.30383, 0.315486, 0.324811, 0.329473, 0.344991, 0.350518, 0.356044, 0.36157, 0.367097, 0.378149, 0.394934, 0.400719, 0.404451, 0.411914, 0.420505, 0.430223, 0.440727, 0.452016, 0.461066, 0.470117, 0.476575, 0.489493, 0.495952, 0.506014");
-            values ( \
-              "0.0388143, 0.040198, 0.0464847, 0.0536253, 0.060702, 0.063667, 0.0655195, 0.0675426, 0.070297, 0.0708397, 0.070942, 0.070987, 0.0709037, 0.0706404, 0.0703483, 0.0695754, 0.0688919, 0.0680215, 0.0654673, 0.0643434, 0.0630947, 0.0617462, 0.0602771, 0.0586874, 0.056675, 0.0468759, 0.0437835, 0.039044, 0.0355375, 0.0338793, 0.0285804, 0.0268689, 0.0252379, 0.0237037, 0.022239, 0.0195177, 0.0158208, 0.0146507, 0.0139961, 0.0127513, 0.0114448, 0.0100818, 0.00871361, 0.00742867, 0.0066039, 0.00585415, 0.00537348, 0.00450974, 0.00412668, 0.00359123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0130604");
-            index_3 ("0.128357, 0.161099, 0.213147, 0.217297, 0.228668, 0.293199, 0.319938, 0.347308, 0.442135, 0.499669, 0.55451, 0.610392, 0.639075, 0.677319, 0.754721, 0.814018, 0.897305, 0.900828");
-            values ( \
-              "0.0122371, 0.0396973, 0.0781165, 0.0791594, 0.076652, 0.0712295, 0.0683017, 0.063484, 0.038762, 0.0262942, 0.0173228, 0.0109734, 0.00861921, 0.00619, 0.00314085, 0.0018307, 0.000842882, 0.000828798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0237934");
-            index_3 ("0.127402, 0.161788, 0.215873, 0.232623, 0.360315, 0.418163, 0.478184, 0.739577, 0.840008, 0.930023, 1.04025, 1.0962, 1.17172, 1.33829, 1.39972");
-            values ( \
-              "0.00767343, 0.042178, 0.0838924, 0.0813014, 0.0757535, 0.0726979, 0.0675467, 0.0291421, 0.018516, 0.0119345, 0.00680881, 0.0050724, 0.00340409, 0.00138018, 0.00116611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0433466");
-            index_3 ("0.154802, 0.201351, 0.212947, 0.243569, 0.448503, 0.582623, 0.652902, 0.736189, 0.82071, 1.07936, 1.19822, 1.34608, 1.41674, 1.53894, 1.61678, 1.77366, 2.0064, 2.33955, 2.38714");
-            values ( \
-              "0.0604699, 0.0751936, 0.0866785, 0.0838095, 0.0791772, 0.0755744, 0.0731095, 0.0686396, 0.0621566, 0.0387769, 0.0293156, 0.0199637, 0.0164302, 0.0116038, 0.00924724, 0.00579045, 0.0028482, 0.00101407, 0.000937706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.221607, 0.252756, 0.264921, 0.279304, 0.289218, 0.336768, 0.374766, 0.405923, 0.421041, 0.441268, 0.461647, 0.479337, 0.505259, 0.510247, 0.540744, 0.572291, 0.603411");
-            values ( \
-              "0.000811536, 0.00240916, 0.00334083, 0.00497153, 0.00661374, 0.0175178, 0.0233218, 0.0263871, 0.0252157, 0.015884, 0.0100191, 0.00667323, 0.00354882, 0.00488113, 0.00230341, 0.000974495, 0.000429015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00393514");
-            index_3 ("0.253013, 0.282898, 0.294721, 0.298801, 0.323352, 0.355792, 0.381028, 0.402812, 0.42251, 0.441064, 0.459623, 0.461695, 0.469983, 0.481034, 0.493033, 0.50575, 0.510462, 0.516212, 0.525556, 0.535822, 0.553319, 0.563693, 0.569845, 0.582148, 0.599259, 0.606511, 0.621014, 0.650021, 0.698766, 0.756364");
-            values ( \
-              "0.00674365, 0.00733974, 0.00984826, 0.0109047, 0.0180502, 0.0256039, 0.0305851, 0.0345237, 0.0374058, 0.0389683, 0.0346679, 0.0333297, 0.0291617, 0.0243998, 0.0203035, 0.0165135, 0.01703, 0.016264, 0.0137249, 0.0113399, 0.00808976, 0.00662025, 0.00587351, 0.00459656, 0.00326061, 0.00281446, 0.00209934, 0.00114118, 0.000384775, 9.70481e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.007169");
-            index_3 ("0.239327, 0.282144, 0.286174, 0.306324, 0.342387, 0.383689, 0.415782, 0.443361, 0.468274, 0.492476, 0.505665, 0.511413, 0.53936, 0.555231, 0.571841, 0.593988, 0.610767, 0.633965, 0.652753, 0.668373, 0.686779, 0.712847, 0.740258, 0.761298, 0.803378, 0.879346, 0.962633");
-            values ( \
-              "0.00301427, 0.00904146, 0.00988645, 0.0151173, 0.0260075, 0.0364662, 0.0439609, 0.0496788, 0.0536616, 0.0534379, 0.0484532, 0.0479686, 0.0366111, 0.0310021, 0.0257863, 0.0198516, 0.016178, 0.012038, 0.00940019, 0.00763429, 0.00595107, 0.00414764, 0.00283767, 0.00211534, 0.00114943, 0.000349896, 8.85703e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0130604");
-            index_3 ("0.252886, 0.29728, 0.371006, 0.424405, 0.465898, 0.510164, 0.515673, 0.542561, 0.556226, 0.571281, 0.636156, 0.668037, 0.696244, 0.733852, 0.775442, 0.797816, 0.827205, 0.851163, 0.88038, 0.921622, 0.965197, 0.998677, 1.06564, 1.14892, 1.3155");
-            values ( \
-              "0.00681563, 0.0143698, 0.0366033, 0.0514191, 0.0619077, 0.0713406, 0.0711781, 0.0672182, 0.0647549, 0.0613779, 0.0441305, 0.0363018, 0.0300277, 0.0228353, 0.0165495, 0.0138083, 0.0108288, 0.00885221, 0.00688631, 0.00480435, 0.00327775, 0.00243041, 0.00131775, 0.000600612, 0.000123395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0237934");
-            index_3 ("0.284574, 0.328836, 0.385899, 0.457, 0.505439, 0.515441, 0.526327, 0.537382, 0.559491, 0.586913, 0.593587, 0.629064, 0.652221, 0.698535, 0.715061, 0.747666, 0.862693, 0.914536, 0.954383, 1.00943, 1.05234, 1.10955, 1.14807, 1.15845, 1.17921, 1.22071, 1.2987, 1.33452, 1.38899, 1.46162, 1.54491, 1.62819, 1.71148, 1.79477, 1.96134");
-            values ( \
-              "0.0251504, 0.0252764, 0.0432524, 0.064496, 0.0774349, 0.0787368, 0.0782384, 0.07733, 0.0765081, 0.0750867, 0.0748409, 0.0728884, 0.0712953, 0.0668205, 0.0646246, 0.0599465, 0.0417762, 0.0342894, 0.0290661, 0.0227732, 0.0186672, 0.0141664, 0.0116993, 0.0111175, 0.010006, 0.00810574, 0.00538091, 0.00446081, 0.00334573, 0.00227332, 0.00144009, 0.000922236, 0.000578013, 0.0003737, 0.000152659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0433466");
-            index_3 ("0.285016, 0.344651, 0.467111, 0.505343, 0.515057, 0.547509, 0.564834, 0.597291, 0.629253, 0.727856, 0.831296, 0.919444, 0.977813, 1.0611, 1.26625, 1.41104, 1.49433, 1.5611, 1.6312, 1.75252, 1.82859, 1.9028, 1.98608, 2.08376, 2.22154, 2.38811, 2.55468, 2.72126, 3.05441");
-            values ( \
-              "0.0210529, 0.031283, 0.070395, 0.0814624, 0.0829839, 0.0816396, 0.0815493, 0.0805944, 0.080037, 0.0775732, 0.0744893, 0.0705273, 0.0666304, 0.0598318, 0.041105, 0.0293769, 0.0237464, 0.0198802, 0.0163937, 0.0116067, 0.00929243, 0.00745861, 0.00580812, 0.00432175, 0.00283432, 0.00169338, 0.0010084, 0.000599026, 0.00020979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.535481, 0.599033, 0.627001, 0.648614, 0.681661, 0.738636, 0.770618, 0.797869, 0.822663, 0.846042, 0.8688, 0.89153, 0.910898, 0.948515, 0.973999, 1.00885, 1.03138, 1.08318, 1.18736");
-            values ( \
-              "0.00139396, 0.00146091, 0.00211547, 0.00290421, 0.0050271, 0.0108811, 0.0134456, 0.015122, 0.0162456, 0.0169944, 0.0172312, 0.0152996, 0.0104752, 0.00506281, 0.00297778, 0.0013609, 0.000805661, 0.000227989, 6.27113e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00393514");
-            index_3 ("0.540012, 0.608244, 0.627205, 0.652022, 0.677877, 0.701603, 0.725911, 0.758322, 0.773071, 0.802402, 0.836259, 0.867091, 0.896087, 0.924257, 0.952376, 0.970581, 0.991386, 1.00541, 1.02559, 1.04227, 1.05778, 1.07479, 1.10059, 1.11707, 1.14708, 1.1871, 1.21591, 1.23491, 1.25797, 1.27944, 1.39206");
-            values ( \
-              "0.00228214, 0.00254371, 0.00322503, 0.00440274, 0.00630971, 0.00891747, 0.0120463, 0.0158015, 0.0172591, 0.0197553, 0.0220999, 0.0238245, 0.0250312, 0.0253504, 0.0211858, 0.0165468, 0.0124236, 0.0102086, 0.00747482, 0.00568371, 0.00437318, 0.00325053, 0.00202607, 0.00149933, 0.000854781, 0.00039337, 0.000224333, 0.000933467, 0.000773129, 0.000382555, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.007169");
-            index_3 ("0.539544, 0.612908, 0.642545, 0.670077, 0.698533, 0.785334, 0.830213, 0.895412, 0.934846, 0.97166, 1.00792, 1.07121, 1.11254, 1.15716, 1.19518, 1.21564, 1.23058, 1.2789, 1.31263, 1.38009, 1.46338");
-            values ( \
-              "0.00315582, 0.00388408, 0.00540848, 0.00739291, 0.0103381, 0.0216361, 0.026046, 0.0314012, 0.0340372, 0.0359952, 0.0353724, 0.0221868, 0.0146462, 0.00875695, 0.00544829, 0.00415178, 0.00450842, 0.00245196, 0.0015135, 0.000541415, 0.000138492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130604");
-            index_3 ("0.539535, 0.635942, 0.672375, 0.7089, 0.77442, 0.859908, 0.926051, 0.982819, 1.03387, 1.08171, 1.12957, 1.21576, 1.23273, 1.29067, 1.31531, 1.34899, 1.38088, 1.42341, 1.45196, 1.48406, 1.52686, 1.61015, 1.66352");
-            values ( \
-              "0.00317045, 0.00658842, 0.00940072, 0.0133834, 0.0225112, 0.0322648, 0.0388524, 0.044076, 0.048028, 0.050271, 0.0458607, 0.0291265, 0.0273404, 0.0178167, 0.0146207, 0.0110737, 0.00846381, 0.00585078, 0.00456199, 0.0034402, 0.00234579, 0.00107802, 0.000702261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0237934");
-            index_3 ("0.607909, 0.712708, 0.83329, 0.945689, 1.02898, 1.10663, 1.15688, 1.1737, 1.20628, 1.22637, 1.23815, 1.27511, 1.381, 1.44684, 1.48562, 1.53513, 1.57066, 1.61181, 1.6663, 1.683, 1.71641, 1.78323, 1.83528, 1.89296, 1.96988, 2.05316, 2.13645, 2.21974, 2.30302, 2.4696");
-            values ( \
-              "0.0119779, 0.0154994, 0.0315049, 0.0446207, 0.0537337, 0.0612924, 0.0652898, 0.0662315, 0.0669259, 0.0662555, 0.064761, 0.0592768, 0.0425058, 0.033049, 0.0280733, 0.0225238, 0.0191087, 0.0156984, 0.0119984, 0.0110415, 0.00932365, 0.00660257, 0.00502727, 0.00371068, 0.00245944, 0.0015633, 0.000996898, 0.00062932, 0.000402543, 0.000162988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0433466");
-            index_3 ("0.608159, 0.711624, 0.751982, 0.81234, 0.888525, 0.978074, 1.06651, 1.13857, 1.18098, 1.21583, 1.23654, 1.28249, 1.32886, 1.38667, 1.46996, 1.49811, 1.5544, 1.77311, 1.86642, 1.93195, 2.01524, 2.07983, 2.15049, 2.23377, 2.27268, 2.3505, 2.42409, 2.50738, 2.56338, 2.60344, 2.65684, 2.74013, 2.82341, 2.9067, 2.98999, 3.07328, 3.23985, 3.40642, 3.573");
-            values ( \
-              "0.0134756, 0.016704, 0.0218252, 0.0299858, 0.0397855, 0.051004, 0.0616977, 0.0698517, 0.0743268, 0.0777296, 0.0779288, 0.0765313, 0.0752259, 0.0730665, 0.0686735, 0.0666161, 0.0621816, 0.0422532, 0.0343527, 0.0293146, 0.0237098, 0.0199643, 0.0164292, 0.012984, 0.0116033, 0.009249, 0.0074302, 0.00579179, 0.00488495, 0.00432717, 0.00368248, 0.0028474, 0.00220697, 0.00170121, 0.00131811, 0.00101311, 0.000601928, 0.000356897, 0.000211118" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.00183266, 0.00186836, 0.00189647, 0.00191651, 0.00192938, 0.00193711", \
-            "0.00216148, 0.00220932, 0.00225379, 0.0022874, 0.00231024, 0.0023244", \
-            "0.00238846, 0.0024169, 0.00245021, 0.00248031, 0.00250369, 0.00251946", \
-            "0.00258569, 0.00258405, 0.0025855, 0.00259241, 0.00260153, 0.00260999", \
-            "0.00275745, 0.00273051, 0.00270377, 0.00268237, 0.00266847, 0.00266254", \
-            "0.00288122, 0.00284117, 0.00280517, 0.00277067, 0.00273726, 0.00271156" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.00241101, 0.0024536, 0.0024896, 0.00251339, 0.00252724, 0.00253494", \
-            "0.00258248, 0.00254871, 0.00251538, 0.00249644, 0.00249506, 0.00248531", \
-            "0.00267916, 0.00257722, 0.0024871, 0.00241883, 0.00237503, 0.0023496", \
-            "0.00289913, 0.00269144, 0.00253039, 0.00241508, 0.00233688, 0.00228722", \
-            "0.00335812, 0.00319293, 0.00281272, 0.00256683, 0.00241203, 0.00231673", \
-            "0.00320015, 0.00326667, 0.00330623, 0.00306678, 0.0026733, 0.00245914" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0205477, 0.0222056, 0.0257242, 0.0301013, 0.0344931, 0.040347, 0.043472, 0.0480419, 0.0514795, 0.0625546, 0.0699057, 0.0767513, 0.0810746, 0.085857, 0.0934316, 0.103141, 0.113498, 0.115715");
-            values ( \
-              "-0.00621222, -0.0880442, -0.0888132, -0.0888823, -0.0882917, -0.0862294, -0.084097, -0.0781732, -0.0711936, -0.0433321, -0.0283686, -0.0183526, -0.0137294, -0.00987136, -0.00575809, -0.00285146, -0.00129154, -0.00115955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00393514");
-            index_3 ("0.0205389, 0.0230807, 0.0250609, 0.0329098, 0.0382614, 0.0482848, 0.0552745, 0.0599417, 0.0677341, 0.0841985, 0.0948693, 0.106161, 0.117334, 0.130028, 0.145719, 0.160861");
-            values ( \
-              "-0.00491932, -0.107193, -0.107573, -0.107332, -0.1066, -0.10418, -0.100677, -0.0968355, -0.0859481, -0.0527271, -0.0347952, -0.0212862, -0.0126824, -0.00686104, -0.00314351, -0.00151188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.007169");
-            index_3 ("0.0206554, 0.0242195, 0.0273978, 0.0375333, 0.0540576, 0.065114, 0.0749022, 0.079174, 0.0881064, 0.0973027, 0.124913, 0.140809, 0.149824, 0.160125, 0.171695, 0.179729, 0.195495, 0.204764, 0.223303, 0.256246, 0.295114");
-            values ( \
-              "-0.0236698, -0.12172, -0.12192, -0.121161, -0.118972, -0.116642, -0.11366, -0.111711, -0.106014, -0.0965219, -0.0574842, -0.0387741, -0.0304609, -0.0227337, -0.0162253, -0.0127713, -0.00788195, -0.00589337, -0.003265, -0.00102244, -0.000254496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0130604");
-            index_3 ("0.0223201, 0.0259024, 0.0402322, 0.0639614, 0.0847985, 0.0952651, 0.113976, 0.126155, 0.134318, 0.150645, 0.156295, 0.196276, 0.21333, 0.22288, 0.237768, 0.254782, 0.26409, 0.274949, 0.288249, 0.306725, 0.31432, 0.329511, 0.359892, 0.414996, 0.473657");
-            values ( \
-              "-0.131425, -0.131624, -0.130855, -0.128849, -0.126504, -0.124907, -0.121338, -0.117505, -0.114081, -0.10377, -0.0990699, -0.062471, -0.0488544, -0.0421948, -0.0332348, -0.0249417, -0.0212406, -0.0175533, -0.0138351, -0.00986423, -0.00858321, -0.00647075, -0.00361759, -0.00114346, -0.0003287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0237934");
-            index_3 ("0.0224211, 0.0286507, 0.085253, 0.122111, 0.149893, 0.183322, 0.200212, 0.218186, 0.253552, 0.331798, 0.374114, 0.403229, 0.434286, 0.467806, 0.4894, 0.519422, 0.546641, 0.582932, 0.641593, 0.700254, 0.817576");
-            values ( \
-              "-0.137618, -0.13768, -0.134839, -0.132363, -0.130032, -0.126097, -0.12327, -0.11908, -0.105334, -0.062605, -0.0435071, -0.0331832, -0.0245002, -0.0174395, -0.0139633, -0.0101519, -0.00759918, -0.00514272, -0.00265252, -0.00138267, -0.000372237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0433466");
-            index_3 ("0.0223457, 0.0284395, 0.190427, 0.249171, 0.308704, 0.367365, 0.413535, 0.438654, 0.582202, 0.648378, 0.715641, 0.758924, 0.836833, 0.936771, 1.07204, 1.18948");
-            values ( \
-              "-0.140971, -0.141293, -0.135879, -0.133005, -0.129032, -0.122583, -0.113479, -0.106557, -0.0614758, -0.0444739, -0.0311381, -0.024472, -0.0156289, -0.00861335, -0.00376953, -0.00209692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0340794, 0.0358011, 0.0368303, 0.0377865, 0.0394762, 0.043016, 0.0482445, 0.0526476, 0.057238, 0.0605281, 0.064499, 0.0753777, 0.083867, 0.0913305, 0.0993543, 0.107816, 0.117363, 0.128274, 0.128549");
-            values ( \
-              "-0.018083, -0.0725098, -0.0832752, -0.08741, -0.0885264, -0.0888293, -0.0882217, -0.08695, -0.0840072, -0.0801598, -0.0729128, -0.0455272, -0.0280439, -0.0173747, -0.0100545, -0.00550173, -0.00275517, -0.00118843, -0.00117962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00393514");
-            index_3 ("0.0355298, 0.0375081, 0.0390476, 0.0423482, 0.0527376, 0.0613218, 0.0685365, 0.0735379, 0.0825361, 0.10629, 0.114957, 0.129982, 0.141944, 0.154945, 0.167535");
-            values ( \
-              "-0.086897, -0.105238, -0.107037, -0.107459, -0.106436, -0.104422, -0.101168, -0.0971949, -0.0844438, -0.0386627, -0.0268442, -0.0135531, -0.0076306, -0.00398638, -0.00219745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.007169");
-            index_3 ("0.0361985, 0.0370463, 0.0381547, 0.0416136, 0.046914, 0.0575877, 0.0683764, 0.0793351, 0.0892735, 0.0931033, 0.09758, 0.102328, 0.111824, 0.139127, 0.14924, 0.155028, 0.164073, 0.174411, 0.185845, 0.1939, 0.205052, 0.209709, 0.219024, 0.237653, 0.27011, 0.308338");
-            values ( \
-              "-0.10244, -0.114887, -0.121082, -0.12175, -0.121492, -0.120434, -0.11889, -0.116643, -0.113601, -0.111853, -0.109304, -0.106011, -0.0961489, -0.0575032, -0.0450556, -0.0387842, -0.030438, -0.0226969, -0.0162643, -0.0127891, -0.00907876, -0.00788165, -0.00588939, -0.00324861, -0.00104236, -0.000264191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0130604");
-            index_3 ("0.03668, 0.037592, 0.0393875, 0.0421347, 0.048031, 0.0655932, 0.0870398, 0.0990101, 0.109688, 0.128397, 0.140641, 0.148741, 0.164942, 0.170717, 0.210514, 0.227322, 0.23721, 0.252634, 0.270261, 0.278535, 0.288173, 0.301024, 0.320481, 0.328679, 0.345075, 0.377868, 0.432402, 0.491063");
-            values ( \
-              "-0.120985, -0.128875, -0.13113, -0.131457, -0.131221, -0.129947, -0.127929, -0.126506, -0.124934, -0.121312, -0.117481, -0.114083, -0.103868, -0.0990717, -0.0626287, -0.0491739, -0.042256, -0.032994, -0.0244896, -0.0212291, -0.0179274, -0.01425, -0.0099804, -0.00858808, -0.00632902, -0.00336641, -0.00107886, -0.000309992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0237934");
-            index_3 ("0.0368344, 0.0387347, 0.0399638, 0.0424219, 0.0997434, 0.131434, 0.164383, 0.197812, 0.214702, 0.232676, 0.268043, 0.346291, 0.388604, 0.417712, 0.44876, 0.482331, 0.503913, 0.533921, 0.561112, 0.597367, 0.656028, 0.714689, 0.832011");
-            values ( \
-              "-0.123561, -0.137488, -0.137143, -0.137686, -0.134766, -0.132754, -0.130036, -0.1261, -0.123267, -0.119084, -0.10533, -0.0626062, -0.0435096, -0.0331872, -0.0245029, -0.0174339, -0.0139597, -0.0101515, -0.00760119, -0.00514559, -0.00265455, -0.00138321, -0.000372152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0433466");
-            index_3 ("0.0369796, 0.0387412, 0.0399732, 0.0424372, 0.148778, 0.214749, 0.26387, 0.323407, 0.36401, 0.385456, 0.42835, 0.453355, 0.597289, 0.663117, 0.730134, 0.77355, 0.80885, 0.851649, 0.91031, 0.951428, 1.0277, 1.08637, 1.20369, 1.24308");
-            values ( \
-              "-0.129175, -0.14099, -0.140709, -0.14129, -0.137973, -0.135401, -0.133002, -0.12902, -0.12499, -0.122025, -0.11347, -0.106546, -0.0613608, -0.0444606, -0.0311806, -0.0244789, -0.0200278, -0.015624, -0.0110137, -0.00862025, -0.00542519, -0.00377467, -0.00182185, -0.00158628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0541782, 0.0749175, 0.0812862, 0.0874339, 0.0884108, 0.0895365, 0.0918517, 0.0958599, 0.101283, 0.110384, 0.117642, 0.123826, 0.128799, 0.134497, 0.139508, 0.146087, 0.154859, 0.167457");
-            values ( \
-              "-0.00782206, -0.0629536, -0.0727866, -0.0803968, -0.0841552, -0.0848778, -0.083145, -0.0777086, -0.0657551, -0.0428267, -0.0281626, -0.0190029, -0.0136121, -0.00917393, -0.00643019, -0.00400769, -0.00207094, -0.000818491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00393514");
-            index_3 ("0.0543883, 0.0735608, 0.0873888, 0.0884484, 0.0894804, 0.0931195, 0.100139, 0.103143, 0.109153, 0.110414, 0.112938, 0.118583, 0.132185, 0.139219, 0.144351, 0.151009, 0.157143, 0.162893, 0.17056, 0.175703, 0.181467, 0.189152, 0.204522, 0.226632, 0.253592");
-            values ( \
-              "-0.000265128, -0.0736356, -0.09912, -0.103959, -0.105446, -0.104966, -0.102399, -0.100692, -0.0954216, -0.093881, -0.090404, -0.0801313, -0.0525067, -0.0401463, -0.0325377, -0.0243903, -0.0184731, -0.0141444, -0.0097929, -0.00763428, -0.0057627, -0.00393238, -0.00176438, -0.000506559, -0.000100379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.007169");
-            index_3 ("0.0543719, 0.0800924, 0.09017, 0.10929, 0.121957, 0.130322, 0.136504, 0.14845, 0.172554, 0.188461, 0.201986, 0.219475, 0.239769, 0.263517, 0.290659, 0.292533");
-            values ( \
-              "-0.00258639, -0.0978302, -0.120649, -0.117602, -0.114149, -0.110241, -0.105949, -0.0930096, -0.0585697, -0.0396015, -0.0274457, -0.0165541, -0.00894305, -0.0042584, -0.00171709, -0.00165164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0130604");
-            index_3 ("0.0543422, 0.0874825, 0.0890722, 0.0915667, 0.0939974, 0.0963405, 0.100213, 0.10502, 0.107154, 0.111423, 0.118399, 0.125475, 0.139628, 0.144106, 0.153064, 0.163203, 0.182441, 0.183169, 0.193368, 0.205099, 0.241108, 0.269296, 0.295452, 0.320521, 0.334548, 0.35426, 0.391044, 0.423007, 0.449522");
-            values ( \
-              "-0.00738916, -0.122323, -0.133179, -0.128141, -0.132453, -0.128281, -0.131738, -0.127705, -0.131045, -0.127204, -0.129937, -0.125753, -0.12734, -0.12325, -0.125089, -0.119442, -0.116177, -0.114137, -0.108264, -0.0990594, -0.0659716, -0.0438183, -0.0286924, -0.0186036, -0.0144901, -0.0100975, -0.00511269, -0.00275141, -0.00186298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0237934");
-            index_3 ("0.0544628, 0.0883005, 0.0890294, 0.0929813, 0.159026, 0.199278, 0.23204, 0.25306, 0.267584, 0.296631, 0.376023, 0.421812, 0.445493, 0.472557, 0.516379, 0.547872, 0.570874, 0.62542, 0.684081, 0.742742, 0.744906");
-            values ( \
-              "-0.00244847, -0.133749, -0.136, -0.136873, -0.13325, -0.130034, -0.126254, -0.12256, -0.119093, -0.108352, -0.0652267, -0.0441864, -0.0355064, -0.0273356, -0.0175992, -0.0126674, -0.00994053, -0.00553509, -0.00287586, -0.00148114, -0.00146101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0433466");
-            index_3 ("0.0542512, 0.0889514, 0.0918333, 0.094215, 0.0984633, 0.183638, 0.240021, 0.29873, 0.358267, 0.399106, 0.420317, 0.462738, 0.48821, 0.630697, 0.697868, 0.732592, 0.765838, 0.808734, 0.843066, 0.886092, 0.944753, 0.986472, 1.06401, 1.12267, 1.23999, 1.28337");
-            values ( \
-              "-0.000238163, -0.138753, -0.141358, -0.140493, -0.140759, -0.137959, -0.135827, -0.133003, -0.129021, -0.124961, -0.122026, -0.113586, -0.106548, -0.0617755, -0.0444844, -0.0371235, -0.0310359, -0.0244345, -0.0201024, -0.0156618, -0.0110412, -0.00861051, -0.00537701, -0.00374113, -0.00180559, -0.00145866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105132, 0.121584, 0.128993, 0.140435, 0.15807, 0.16557, 0.172627, 0.179692, 0.199597, 0.210248, 0.212361, 0.224261, 0.230879, 0.238443, 0.251091");
-            values ( \
-              "-0.00117991, -0.0224814, -0.0296303, -0.0381817, -0.0498102, -0.0538954, -0.0562611, -0.0535905, -0.0232654, -0.0121352, -0.0127632, -0.00592409, -0.00368178, -0.00210709, -0.000825794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00393514");
-            index_3 ("0.105201, 0.132336, 0.13626, 0.150762, 0.162623, 0.172997, 0.182459, 0.191441, 0.20117, 0.210317, 0.211545, 0.214, 0.22067, 0.227307, 0.231484, 0.238887, 0.245822, 0.250919, 0.26093, 0.266841, 0.278663, 0.298954, 0.322854");
-            values ( \
-              "-0.00154867, -0.0389219, -0.042518, -0.0550287, -0.0645336, -0.0720398, -0.0777192, -0.0800899, -0.0728281, -0.0578464, -0.0580412, -0.0547569, -0.0426713, -0.0325645, -0.0272055, -0.0195186, -0.0141549, -0.0111195, -0.00684302, -0.00511062, -0.00280859, -0.000918787, -0.00023524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.007169");
-            index_3 ("0.105185, 0.142086, 0.156537, 0.181389, 0.194936, 0.207333, 0.210301, 0.212664, 0.215921, 0.218801, 0.225798, 0.232255, 0.254847, 0.271095, 0.284812, 0.293981, 0.302106, 0.312939, 0.322399, 0.33511, 0.352057, 0.383912, 0.421012");
-            values ( \
-              "-0.00105709, -0.0541703, -0.0682044, -0.0901364, -0.100365, -0.107394, -0.107866, -0.109782, -0.108512, -0.106354, -0.0997643, -0.0914548, -0.0591451, -0.0396905, -0.0273699, -0.0210523, -0.0165971, -0.0119815, -0.00896636, -0.00605161, -0.00352831, -0.0011688, -0.000307057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0130604");
-            index_3 ("0.130998, 0.158265, 0.162427, 0.168839, 0.17525, 0.181661, 0.188073, 0.190941, 0.193809, 0.196676, 0.199544, 0.200689, 0.204122, 0.209717, 0.210366, 0.211016, 0.212713, 0.214595, 0.216558, 0.217539, 0.223799, 0.22881, 0.233821, 0.240035, 0.243143, 0.24625, 0.266215, 0.267739, 0.270785, 0.276879, 0.282513, 0.288147, 0.30505, 0.324454, 0.334838, 0.343669, 0.353464, 0.361376, 0.365677, 0.36711, 0.372612, 0.378114, 0.388741, 0.39614, 0.403852, 0.414294, 0.422683, 0.432499, 0.437407, 0.445139");
-            values ( \
-              "-0.074003, -0.0750433, -0.078874, -0.086394, -0.0945329, -0.103291, -0.112668, -0.112905, -0.11346, -0.114332, -0.115523, -0.116087, -0.118082, -0.122506, -0.123912, -0.125919, -0.126339, -0.126495, -0.126441, -0.126315, -0.125454, -0.124648, -0.123722, -0.122292, -0.121498, -0.120652, -0.114003, -0.113344, -0.111647, -0.107618, -0.103174, -0.0985473, -0.0833627, -0.065384, -0.0567813, -0.05, -0.0430604, -0.0378968, -0.0352361, -0.0343833, -0.0314965, -0.0287861, -0.024096, -0.0210587, -0.018226, -0.0152258, -0.013139, -0.0110163, -0.0100733, -0.00873965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0237934");
-            index_3 ("0.10514, 0.168079, 0.181994, 0.213415, 0.217289, 0.221293, 0.229301, 0.249312, 0.281918, 0.315345, 0.349007, 0.357659, 0.367548, 0.388447, 0.450839, 0.468091, 0.49982, 0.52678, 0.545407, 0.569468, 0.588569, 0.612928, 0.647126, 0.683281, 0.711066, 0.766636, 0.825297, 0.883958, 0.942619");
-            values ( \
-              "-0.0031265, -0.0900595, -0.10453, -0.134422, -0.13497, -0.134425, -0.13413, -0.132764, -0.130066, -0.126145, -0.119443, -0.116907, -0.113396, -0.103781, -0.0693345, -0.0604769, -0.0460557, -0.0359673, -0.0300976, -0.0237376, -0.0195847, -0.0152403, -0.0106263, -0.00723867, -0.00536215, -0.00288806, -0.00148957, -0.000767754, -0.000393192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0433466");
-            index_3 ("0.105007, 0.167548, 0.197668, 0.210123, 0.213819, 0.218164, 0.222334, 0.230672, 0.23874, 0.252474, 0.293895, 0.323346, 0.380588, 0.406427, 0.433099, 0.456451, 0.487587, 0.502988, 0.533792, 0.570795, 0.674474, 0.701061, 0.754236, 0.792465, 0.812194, 0.846255, 0.890588, 0.927365, 0.969815, 1.02848, 1.05627, 1.09344, 1.143, 1.20166, 1.26032, 1.31898, 1.37764, 1.4363, 1.55362");
-            values ( \
-              "-0.000738671, -0.09231, -0.12397, -0.135981, -0.139158, -0.139741, -0.1393, -0.139232, -0.138834, -0.138494, -0.137007, -0.135746, -0.133095, -0.131528, -0.129657, -0.127659, -0.124217, -0.12204, -0.116295, -0.106574, -0.0734127, -0.0653565, -0.0507781, -0.0418562, -0.0377383, -0.0314255, -0.0245484, -0.0199162, -0.0155672, -0.0109731, -0.00929486, -0.00743266, -0.00550055, -0.00382833, -0.00266798, -0.00184824, -0.00128764, -0.000888093, -0.0004252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.21255, 0.225664, 0.241563, 0.25319, 0.275572, 0.294336, 0.310861, 0.322974, 0.334177, 0.34497, 0.356214, 0.372717, 0.383445, 0.392573, 0.403594, 0.409821, 0.418123, 0.434727, 0.45634, 0.483887, 0.505926, 0.510926");
-            values ( \
-              "-0.00364607, -0.00514751, -0.00825107, -0.012163, -0.0206875, -0.0263001, -0.0307091, -0.0335993, -0.0357045, -0.0365371, -0.0323299, -0.0181567, -0.0107386, -0.00650126, -0.00339913, -0.00233531, -0.00139456, -0.00046191, -0.000108899, -3.55895e-05, -1.81904e-05, -0.000341985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00393514");
-            index_3 ("0.218479, 0.222937, 0.234922, 0.237884, 0.243807, 0.253162, 0.258114, 0.272762, 0.288791, 0.297424, 0.31469, 0.321009, 0.33349, 0.34854, 0.355295, 0.362515, 0.376032, 0.390507, 0.39244, 0.396308, 0.404044, 0.409171, 0.410213, 0.416466, 0.424804, 0.427601, 0.429682, 0.438004, 0.447925, 0.451593, 0.45568, 0.461129, 0.472027, 0.493823, 0.505899, 0.513912, 0.523316");
-            values ( \
-              "-0.00601959, -0.00675543, -0.00903864, -0.00999473, -0.011576, -0.014832, -0.0170371, -0.0239604, -0.0302382, -0.0332813, -0.0391552, -0.0411798, -0.0450017, -0.0491459, -0.0507289, -0.0521268, -0.052775, -0.0452013, -0.0431844, -0.0398204, -0.0321272, -0.0276653, -0.0265479, -0.0214175, -0.015771, -0.0140298, -0.0129378, -0.00917715, -0.00599682, -0.00506867, -0.00423206, -0.00333426, -0.00199473, -0.000679763, -0.000364886, -0.00136327, -0.00104898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.007169");
-            index_3 ("0.220847, 0.242238, 0.247882, 0.253741, 0.262548, 0.289798, 0.321268, 0.350759, 0.367598, 0.383344, 0.394164, 0.404967, 0.422656, 0.442244, 0.46641, 0.473773, 0.48838, 0.495851, 0.506478, 0.510435, 0.514849, 0.519085, 0.527526, 0.538588, 0.560712, 0.561675");
-            values ( \
-              "-0.00843356, -0.0136518, -0.0153829, -0.0176213, -0.0212758, -0.0346223, -0.0472701, -0.0580931, -0.0637938, -0.068595, -0.0713973, -0.0734553, -0.072591, -0.0593003, -0.0368261, -0.031025, -0.0212916, -0.0173664, -0.0128943, -0.0129412, -0.0117555, -0.0102032, -0.00793412, -0.00557942, -0.00274422, -0.00268786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0130604");
-            index_3 ("0.224868, 0.253088, 0.256525, 0.269228, 0.313785, 0.387924, 0.416181, 0.441698, 0.46569, 0.490478, 0.50697, 0.508666, 0.51191, 0.536616, 0.561099, 0.581348, 0.598068, 0.616389, 0.638348, 0.645886, 0.663458, 0.68354, 0.697247");
-            values ( \
-              "-0.0112479, -0.0195895, -0.0209181, -0.0264808, -0.0483795, -0.0784622, -0.0881327, -0.0961484, -0.0998367, -0.0937219, -0.0818771, -0.0817311, -0.0794537, -0.0574479, -0.0396752, -0.0284834, -0.0213797, -0.0154663, -0.0103492, -0.00901716, -0.00651573, -0.00446615, -0.0036315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0237934");
-            index_3 ("0.267464, 0.318045, 0.346253, 0.373919, 0.401586, 0.423444, 0.445302, 0.464266, 0.48323, 0.494804, 0.500591, 0.506378, 0.509267, 0.513549, 0.517728, 0.519698, 0.525607, 0.532176, 0.538744, 0.542207, 0.549133, 0.559522, 0.566448, 0.573373, 0.580299, 0.590871, 0.595909, 0.616888, 0.648958, 0.659809, 0.667043, 0.681511, 0.688745, 0.69748, 0.71788, 0.729546, 0.741212, 0.748989, 0.756766, 0.764544, 0.772653, 0.783158, 0.793559, 0.809162, 0.814363, 0.823207, 0.832051, 0.840896, 0.84974, 0.863004");
-            values ( \
-              "-0.0504454, -0.0531593, -0.0660679, -0.0781995, -0.0898069, -0.0984705, -0.10673, -0.113407, -0.119681, -0.12299, -0.124862, -0.126881, -0.126771, -0.126484, -0.126062, -0.125769, -0.124807, -0.123598, -0.12224, -0.12139, -0.119529, -0.116334, -0.113935, -0.111322, -0.108493, -0.103592, -0.100898, -0.0894119, -0.0713114, -0.0655193, -0.0619063, -0.0550369, -0.0517805, -0.0480488, -0.0396507, -0.0356094, -0.0319288, -0.0296509, -0.0275025, -0.0254838, -0.023532, -0.0210787, -0.0187856, -0.016081, -0.0152522, -0.0139485, -0.0127352, -0.0116123, -0.0105797, -0.0091917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0433466");
-            index_3 ("0.252843, 0.296047, 0.323297, 0.371032, 0.428578, 0.485387, 0.50558, 0.513992, 0.525087, 0.557973, 0.627354, 0.672813, 0.704737, 0.763398, 0.79181, 0.896201, 0.930766, 0.974672, 1.00531, 1.04186, 1.09002, 1.13399, 1.19263, 1.23812, 1.28831, 1.34697, 1.40563, 1.46429, 1.52295, 1.58161, 1.64027, 1.7576");
-            values ( \
-              "-0.0288819, -0.0434551, -0.0571965, -0.0795251, -0.104773, -0.127612, -0.134934, -0.136123, -0.135866, -0.134352, -0.130271, -0.126219, -0.122003, -0.109339, -0.100755, -0.0673791, -0.0574349, -0.0463198, -0.0395572, -0.0324997, -0.0249105, -0.019398, -0.0137189, -0.0104938, -0.0077673, -0.00539972, -0.0037904, -0.00260902, -0.00183929, -0.00124958, -0.000893212, -0.000436983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.43989, 0.476682, 0.493436, 0.516377, 0.533139, 0.559333, 0.603619, 0.652629, 0.690603, 0.707883, 0.725129, 0.763364, 0.775712, 0.786457, 0.808512, 0.831556, 0.85918, 1.1783, 1.21606, 1.23621");
-            values ( \
-              "-0.000884968, -0.00163316, -0.00220781, -0.00322081, -0.00420715, -0.00668724, -0.0130359, -0.0186725, -0.0221345, -0.0229889, -0.022246, -0.00783555, -0.00459446, -0.00279621, -0.000932163, -0.000368172, -5.30375e-05, -1e-22, -5.21659e-05, -0.000221038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00393514");
-            index_3 ("0.448085, 0.480232, 0.50124, 0.518879, 0.546053, 0.564172, 0.626721, 0.6589, 0.685958, 0.710057, 0.73221, 0.753353, 0.774454, 0.784298, 0.812444, 0.827172, 0.845871, 0.858583, 0.8686, 0.888636, 0.91646");
-            values ( \
-              "-0.00156354, -0.00260161, -0.00367051, -0.0048044, -0.00711968, -0.00932857, -0.0196785, -0.0244014, -0.0279476, -0.0308333, -0.0329695, -0.0342121, -0.032487, -0.0288823, -0.0155898, -0.0100102, -0.00530518, -0.00336459, -0.00232114, -0.00105739, -0.000371199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.007169");
-            index_3 ("0.461427, 0.480154, 0.511446, 0.544431, 0.565196, 0.596589, 0.660909, 0.701134, 0.73534, 0.765896, 0.794091, 0.821125, 0.848099, 0.898736, 0.921693, 0.935898, 0.947818, 0.964571, 0.986909, 1.02699, 1.07368, 1.13232, 1.21601, 1.2338, 1.2572");
-            values ( \
-              "-0.00274288, -0.00357985, -0.00579241, -0.00895694, -0.011612, -0.0169879, -0.0288543, -0.0352955, -0.0402607, -0.0442024, -0.0471537, -0.0485723, -0.0447998, -0.0212138, -0.0128765, -0.00918395, -0.00682286, -0.00444054, -0.00243288, -0.00071909, -0.000171883, -2.62451e-05, -6.43976e-06, -0.000500621, -0.000283787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130604");
-            index_3 ("0.47889, 0.543569, 0.579679, 0.608171, 0.652224, 0.705582, 0.762896, 0.802265, 0.847293, 0.87544, 0.901887, 0.919714, 0.955033, 1.0039, 1.02968, 1.05271, 1.08377, 1.10173, 1.12687, 1.16039, 1.21584, 1.23776, 1.29609");
-            values ( \
-              "-0.0036629, -0.0108875, -0.0159569, -0.021176, -0.0301851, -0.0399696, -0.0494933, -0.0554812, -0.0616045, -0.0647456, -0.0666363, -0.0665525, -0.0588926, -0.0366397, -0.0261763, -0.0186807, -0.0114147, -0.00841237, -0.00545862, -0.00301795, -0.000970786, -0.00130349, -0.000405511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0237934");
-            index_3 ("0.478123, 0.594441, 0.735047, 0.841274, 0.910109, 0.963108, 1.01233, 1.06072, 1.11424, 1.18052, 1.21575, 1.2584, 1.29709, 1.33101, 1.36595, 1.39266, 1.4461, 1.50476, 1.62209");
-            values ( \
-              "-0.00144546, -0.0203665, -0.0480864, -0.0665531, -0.0772785, -0.0845603, -0.0893022, -0.0881428, -0.0717384, -0.0448154, -0.0330782, -0.0224564, -0.0151194, -0.0105877, -0.00730115, -0.00547174, -0.00302352, -0.0015597, -0.000411849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0433466");
-            index_3 ("0.565358, 0.650624, 0.682851, 0.709046, 0.750136, 0.779697, 0.823693, 0.882354, 0.932724, 0.985216, 1.01756, 1.05334, 1.08268, 1.12468, 1.13734, 1.15001, 1.16045, 1.17088, 1.18132, 1.19175, 1.19788, 1.204, 1.21013, 1.21625, 1.2246, 1.23295, 1.24374, 1.25453, 1.27143, 1.29396, 1.3645, 1.38493, 1.39856, 1.42581, 1.45268, 1.48357, 1.50708, 1.5306, 1.55411, 1.57663, 1.59867, 1.60593, 1.62047, 1.635, 1.64954, 1.66407, 1.67861, 1.69314, 1.70262, 1.74393");
-            values ( \
-              "-0.031913, -0.0325607, -0.0393771, -0.0446814, -0.0527596, -0.0583481, -0.0664506, -0.0770275, -0.0857967, -0.0945726, -0.0997484, -0.104989, -0.108734, -0.114335, -0.115789, -0.116978, -0.117527, -0.117772, -0.117712, -0.117347, -0.116991, -0.116531, -0.115965, -0.115294, -0.114144, -0.112772, -0.110671, -0.108202, -0.103131, -0.0959509, -0.0729155, -0.0666638, -0.0627362, -0.0553046, -0.0485621, -0.0412466, -0.0363734, -0.0321636, -0.0283244, -0.025024, -0.0219527, -0.0209686, -0.0192566, -0.0176764, -0.0163022, -0.0150104, -0.013801, -0.0126739, -0.0120144, -0.00930766" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.00170385, 0.00172392, 0.00174066, 0.00175281, 0.00176065, 0.00176539", \
-            "0.00192661, 0.00194164, 0.00195639, 0.00196827, 0.00197659, 0.00198194", \
-            "0.0020678, 0.00207314, 0.00208054, 0.00208837, 0.00209493, 0.00209955", \
-            "0.00215357, 0.00215264, 0.0021537, 0.0021553, 0.00215781, 0.00216035", \
-            "0.00220248, 0.00219927, 0.00219607, 0.00219262, 0.00219038, 0.00218951", \
-            "0.00222891, 0.00222513, 0.00222047, 0.00221506, 0.00221012, 0.00220626" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.00218865, 0.00220724, 0.00222156, 0.00223012, 0.002236, 0.00223967", \
-            "0.00232147, 0.00232054, 0.00232117, 0.00232157, 0.0023211, 0.00232096", \
-            "0.00238084, 0.00234917, 0.00232386, 0.00230658, 0.00229595, 0.00228943", \
-            "0.00250564, 0.00242131, 0.00235478, 0.00230886, 0.00227869, 0.00225957", \
-            "0.00285235, 0.00265517, 0.00249882, 0.0023898, 0.00231952, 0.00227629", \
-            "0.00367774, 0.0032749, 0.00285478, 0.0026126, 0.00245054, 0.00234962" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : negative_unate;
-        timing_type : three_state_disable;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.044596, 0.0445922, 0.0445888, 0.0445862, 0.0445845, 0.0445834", \
-            "0.0491472, 0.049133, 0.0491295, 0.0491267, 0.049125, 0.0491237", \
-            "0.0619514, 0.0619594, 0.0619617, 0.0619596, 0.061956, 0.0619526", \
-            "0.0923053, 0.0923267, 0.0923363, 0.0923352, 0.0923293, 0.0923232", \
-            "0.155588, 0.155589, 0.155589, 0.155589, 0.155589, 0.155589", \
-            "0.372189, 0.372189, 0.372189, 0.372189, 0.372189, 0.372189" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.044596, 0.0445922, 0.0445888, 0.0445862, 0.0445845, 0.0445834", \
-            "0.0491472, 0.049133, 0.0491295, 0.0491267, 0.049125, 0.0491237", \
-            "0.0619514, 0.0619594, 0.0619617, 0.0619596, 0.061956, 0.0619526", \
-            "0.0923053, 0.0923267, 0.0923363, 0.0923352, 0.0923293, 0.0923232", \
-            "0.155588, 0.155589, 0.155589, 0.155589, 0.155589, 0.155589", \
-            "0.372189, 0.372189, 0.372189, 0.372189, 0.372189, 0.372189" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.0452152, 0.0452324, 0.0452421, 0.0452336, 0.0452423, 0.0452402", \
-            "0.0496011, 0.0495839, 0.049566, 0.0495508, 0.0495412, 0.0495356", \
-            "0.0625671, 0.0625832, 0.0625995, 0.0626084, 0.0626117, 0.0626132", \
-            "0.0921742, 0.0922289, 0.0922867, 0.0923339, 0.0924929, 0.0925037", \
-            "0.155603, 0.155605, 0.155608, 0.15561, 0.155611, 0.155595", \
-            "0.372182, 0.372182, 0.372182, 0.372183, 0.372183, 0.372183" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.0452152, 0.0452324, 0.0452421, 0.0452336, 0.0452423, 0.0452402", \
-            "0.0496011, 0.0495839, 0.049566, 0.0495508, 0.0495412, 0.0495356", \
-            "0.0625671, 0.0625832, 0.0625995, 0.0626084, 0.0626117, 0.0626132", \
-            "0.0921742, 0.0922289, 0.0922867, 0.0923339, 0.0924929, 0.0925037", \
-            "0.155603, 0.155605, 0.155608, 0.15561, 0.155611, 0.155595", \
-            "0.372182, 0.372182, 0.372182, 0.372183, 0.372183, 0.372183" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00222747", \
-            "0.00262433", \
-            "0.0029079", \
-            "0.00313895", \
-            "0.00332195", \
-            "0.00352292" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00305629", \
-            "0.00334071", \
-            "0.00363768", \
-            "0.00423827", \
-            "0.00423226", \
-            "0.00391912" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.0022503, 0.00225244, 0.00225427, 0.0022556, 0.00225648, 0.00225701", \
-            "0.00252252, 0.00252436, 0.00252619, 0.00252769, 0.00252874, 0.00252942", \
-            "0.00268383, 0.00268467, 0.00268578, 0.00268692, 0.00268788, 0.00268856", \
-            "0.00277886, 0.00277908, 0.00277942, 0.00277991, 0.00278049, 0.002781", \
-            "0.00283524, 0.0028353, 0.00283534, 0.00283544, 0.00283561, 0.00283584", \
-            "0.00286471, 0.0028647, 0.00286468, 0.00286466, 0.00286465, 0.00286468" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.00277393, 0.00277715, 0.00277985, 0.00278178, 0.002783, 0.00278373", \
-            "0.00299203, 0.0029944, 0.00299643, 0.00299788, 0.00299877, 0.00299927", \
-            "0.00320672, 0.00320863, 0.0032101, 0.00321104, 0.00321154, 0.00321176", \
-            "0.00358891, 0.00359084, 0.00359232, 0.00359319, 0.0035936, 0.00359374", \
-            "0.0043433, 0.00434511, 0.00434657, 0.00434753, 0.00434808, 0.00434832", \
-            "0.00472333, 0.00472491, 0.00472623, 0.00472718, 0.00472779, 0.00472813" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : positive_unate;
-        timing_type : three_state_enable;
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.0862046, 0.1058, 0.141334, 0.205929, 0.323571, 0.537735", \
-            "0.089681, 0.109275, 0.144809, 0.209399, 0.327009, 0.541202", \
-            "0.0986479, 0.118232, 0.153759, 0.218379, 0.335968, 0.55016", \
-            "0.110983, 0.13072, 0.166277, 0.230867, 0.348517, 0.562675", \
-            "0.120736, 0.140498, 0.176144, 0.240818, 0.358538, 0.572757", \
-            "0.117023, 0.137296, 0.173196, 0.237961, 0.355692, 0.57003" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.0596833, 0.0873246, 0.137708, 0.22955, 0.396515, 0.700695", \
-            "0.059684, 0.0873247, 0.137708, 0.22954, 0.396636, 0.700695", \
-            "0.059704, 0.0873188, 0.137739, 0.229488, 0.396634, 0.700695", \
-            "0.0601824, 0.087663, 0.137895, 0.229519, 0.396508, 0.700695", \
-            "0.060881, 0.0880604, 0.138076, 0.229758, 0.396779, 0.700712", \
-            "0.0633944, 0.0898326, 0.138992, 0.230029, 0.396782, 0.700908" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.032274, 0.0440232, 0.0649075, 0.102722, 0.171579, 0.296963", \
-            "0.0357088, 0.0474658, 0.0683552, 0.106171, 0.175027, 0.300416", \
-            "0.0431594, 0.0551108, 0.076092, 0.113924, 0.182786, 0.308209", \
-            "0.0540655, 0.069763, 0.093626, 0.132195, 0.201228, 0.326715", \
-            "0.0606607, 0.0845232, 0.118933, 0.168869, 0.244402, 0.370398", \
-            "0.0397334, 0.0780821, 0.132546, 0.208343, 0.314865, 0.467873" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.0318022, 0.0468815, 0.0744372, 0.125227, 0.218265, 0.388034", \
-            "0.0318303, 0.0468848, 0.0744476, 0.125226, 0.21828, 0.388038", \
-            "0.0344158, 0.0481476, 0.0746868, 0.125214, 0.218315, 0.388073", \
-            "0.0465931, 0.0591687, 0.0818356, 0.127819, 0.218394, 0.388035", \
-            "0.0716298, 0.0871405, 0.111938, 0.153568, 0.232136, 0.390816", \
-            "0.1181, 0.139649, 0.172526, 0.223338, 0.304447, 0.44233" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0524124, 0.0552745, 0.0582856, 0.0625509, 0.064676, 0.0703119, 0.0779051, 0.0856439, 0.0937163, 0.101552, 0.108712, 0.1173, 0.121904, 0.132454, 0.144714, 0.154552, 0.173307, 0.183432, 0.196549, 0.218263, 0.242125, 0.269396, 0.282336");
-            values ( \
-              "0.0199969, 0.034389, 0.0424426, 0.0481698, 0.0497151, 0.0513283, 0.0510665, 0.049495, 0.0466667, 0.0413239, 0.0339838, 0.0268456, 0.023836, 0.0184168, 0.0136766, 0.0107265, 0.00667691, 0.00514473, 0.00364921, 0.00204377, 0.0010762, 0.000497617, 0.000388018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00393514");
-            index_3 ("0.0528134, 0.0566881, 0.0607097, 0.0640273, 0.0667532, 0.0722051, 0.0781257, 0.0894926, 0.0942784, 0.104981, 0.113354, 0.125681, 0.141893, 0.145523, 0.150363, 0.160043, 0.164889, 0.174332, 0.184404, 0.20154, 0.210026, 0.219079, 0.233246, 0.23734, 0.245526, 0.261898, 0.276527, 0.296404, 0.322908, 0.373805, 0.433467");
-            values ( \
-              "0.0300423, 0.0463967, 0.0555071, 0.0592043, 0.0611064, 0.0625689, 0.0629744, 0.0617754, 0.0609604, 0.058733, 0.0563247, 0.0501008, 0.0384574, 0.0362808, 0.0334852, 0.0285149, 0.0263567, 0.0224776, 0.0188648, 0.0138596, 0.011847, 0.00998711, 0.0075973, 0.00702065, 0.00597972, 0.00431546, 0.00321539, 0.00215026, 0.00123975, 0.000390715, 9.53058e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.007169");
-            index_3 ("0.053322, 0.0612125, 0.0645578, 0.0695079, 0.073815, 0.082429, 0.109873, 0.129102, 0.148866, 0.158319, 0.169122, 0.195813, 0.216145, 0.241605, 0.257549, 0.282781, 0.308329, 0.329104, 0.346972, 0.364853, 0.390515, 0.423845, 0.468285, 0.54323, 0.620574");
-            values ( \
-              "0.0445828, 0.0632199, 0.0671849, 0.0703602, 0.0712878, 0.071949, 0.0695644, 0.0669744, 0.0635134, 0.0608554, 0.0568463, 0.0448814, 0.0366173, 0.0280147, 0.0233471, 0.0172333, 0.0124767, 0.00949594, 0.00748382, 0.00587299, 0.00411784, 0.00258846, 0.00136316, 0.000419937, 0.000124701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0130604");
-            index_3 ("0.0539529, 0.0642843, 0.0677644, 0.0711163, 0.07782, 0.0862953, 0.116679, 0.180007, 0.206577, 0.227462, 0.249632, 0.302542, 0.343369, 0.386135, 0.422232, 0.44173, 0.476835, 0.513615, 0.558556, 0.599897, 0.643854, 0.67767, 0.745302, 0.822646, 0.839672");
-            values ( \
-              "0.0569082, 0.0720315, 0.0745827, 0.0763896, 0.0775648, 0.0781944, 0.0766849, 0.0718258, 0.0692006, 0.0661153, 0.0614093, 0.0473028, 0.0370175, 0.027693, 0.0211714, 0.0182117, 0.0137416, 0.0101256, 0.00690128, 0.00481028, 0.00327111, 0.00241772, 0.00130257, 0.000630683, 0.000577403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0237934");
-            index_3 ("0.0576923, 0.0577123, 0.14125, 0.161873, 0.203118, 0.216442, 0.24309, 0.27272, 0.331303, 0.357092, 0.386565, 0.409247, 0.528123, 0.618366, 0.683532, 0.722191, 0.748327, 0.800598, 0.838204, 0.88064, 0.92583, 0.989246, 1.06515, 1.14249, 1.21984, 1.29718, 1.45187, 1.60656");
-            values ( \
-              "1e-22, 0.0854008, 0.0802606, 0.0797912, 0.077802, 0.0775605, 0.0760654, 0.0749775, 0.0713295, 0.0690323, 0.0656599, 0.0625387, 0.0438571, 0.0309742, 0.0232747, 0.0194906, 0.0172223, 0.01335, 0.0110748, 0.0089373, 0.00708297, 0.00508382, 0.00340682, 0.00224671, 0.00148015, 0.000971003, 0.000417373, 0.000178738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0433466");
-            index_3 ("0.0610518, 0.0610718, 0.195853, 0.243925, 0.341134, 0.380865, 0.518686, 0.598579, 0.73418, 0.978679, 1.12288, 1.2634, 1.40395, 1.48761, 1.62483, 1.70217, 1.73177, 1.79096, 1.8683, 1.94564, 2.02299, 2.10033, 2.17768, 2.25502, 2.33236, 2.40971, 2.48705, 2.5644, 2.64174, 2.71908");
-            values ( \
-              "1e-22, 0.0905352, 0.0826732, 0.0812335, 0.0789786, 0.0783752, 0.0743381, 0.0706799, 0.0605218, 0.038034, 0.02688, 0.0184982, 0.0124064, 0.00970057, 0.00640259, 0.0053591, 0.00459358, 0.00413077, 0.00297426, 0.00262972, 0.00178656, 0.00169024, 0.0010459, 0.00110564, 0.0005862, 0.000743111, 0.000301729, 0.000518753, 0.000126047, 0.000380046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0666082, 0.0694434, 0.0708382, 0.0724549, 0.0756882, 0.0787853, 0.0829146, 0.0844809, 0.0876134, 0.0920625, 0.0998129, 0.104126, 0.107885, 0.115404, 0.125209, 0.129927, 0.137375, 0.143301, 0.149313, 0.156183, 0.167873, 0.179828, 0.189493, 0.19616, 0.207281, 0.219991, 0.238267, 0.250639, 0.275382, 0.302652");
-            values ( \
-              "0.020456, 0.0344447, 0.0387354, 0.0425017, 0.047286, 0.0496242, 0.0510561, 0.0512662, 0.0513509, 0.051005, 0.0494964, 0.0481889, 0.0466631, 0.0415939, 0.0317421, 0.0279704, 0.0230675, 0.0199475, 0.0172647, 0.0146062, 0.0109398, 0.00812384, 0.00634352, 0.00533979, 0.00399881, 0.00284906, 0.00175477, 0.00125324, 0.000631173, 0.000329063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00393514");
-            index_3 ("0.0670306, 0.0726799, 0.0747752, 0.0780913, 0.0808204, 0.0862785, 0.0921932, 0.103483, 0.108345, 0.119053, 0.127422, 0.139749, 0.155956, 0.159599, 0.174169, 0.178964, 0.188301, 0.19826, 0.216171, 0.233567, 0.251411, 0.259379, 0.27173, 0.289429, 0.307528, 0.321343, 0.348973, 0.390929");
-            values ( \
-              "0.0332816, 0.051481, 0.0553891, 0.0592949, 0.0610019, 0.0626685, 0.0628738, 0.0618158, 0.0609591, 0.0587334, 0.0563231, 0.0501021, 0.0384616, 0.0362772, 0.0284886, 0.0263519, 0.0225142, 0.018937, 0.0137152, 0.00990572, 0.00701911, 0.00600666, 0.00470124, 0.00329211, 0.00228681, 0.00172464, 0.000968563, 0.000431078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.007169");
-            index_3 ("0.0677379, 0.0786972, 0.0836666, 0.0879541, 0.0965291, 0.105982, 0.1286, 0.143241, 0.163006, 0.172458, 0.183262, 0.209952, 0.230289, 0.25574, 0.271685, 0.29692, 0.322474, 0.343245, 0.361109, 0.37899, 0.404653, 0.437986, 0.48243, 0.556146, 0.63349");
-            values ( \
-              "0.0503893, 0.0672941, 0.0702664, 0.0713899, 0.0718475, 0.0713689, 0.0689247, 0.066976, 0.0635133, 0.0608553, 0.0568459, 0.0448815, 0.0366153, 0.0280159, 0.0233478, 0.0172334, 0.0124757, 0.00949576, 0.00748415, 0.00587314, 0.00411792, 0.0025884, 0.00136301, 0.000430098, 0.000127654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0130604");
-            index_3 ("0.068447, 0.0844855, 0.0897837, 0.09515, 0.100414, 0.110941, 0.161293, 0.19413, 0.218508, 0.227656, 0.244734, 0.263756, 0.316305, 0.357437, 0.400815, 0.436489, 0.455616, 0.4906, 0.527727, 0.572843, 0.614112, 0.657795, 0.691369, 0.758519, 0.835863, 0.849443");
-            values ( \
-              "0.0634891, 0.07606, 0.0773196, 0.0779473, 0.0779688, 0.0777669, 0.0744723, 0.0718255, 0.0694495, 0.0682825, 0.0655464, 0.0613866, 0.0474002, 0.0370315, 0.0275806, 0.0211495, 0.0182449, 0.013781, 0.0101258, 0.00689118, 0.00480608, 0.0032762, 0.00242683, 0.00131368, 0.000636124, 0.000593262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0237934");
-            index_3 ("0.0719848, 0.0720048, 0.147627, 0.175913, 0.2327, 0.286766, 0.332534, 0.370987, 0.408106, 0.480579, 0.5677, 0.64019, 0.700424, 0.732431, 0.790991, 0.853084, 0.879556, 0.928468, 0.99743, 1.07032, 1.12634, 1.20368, 1.28102, 1.43571, 1.5904");
-            values ( \
-              "1e-22, 0.0860022, 0.0806674, 0.0796817, 0.0773083, 0.0748169, 0.0722348, 0.0690577, 0.0646733, 0.0537194, 0.0400333, 0.0299842, 0.023002, 0.0198536, 0.0150101, 0.0110359, 0.0096597, 0.00751558, 0.00524177, 0.00357491, 0.00264986, 0.00174809, 0.00114677, 0.000493021, 0.000210979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0433466");
-            index_3 ("0.0753776, 0.0753976, 0.21005, 0.39506, 0.532882, 0.612775, 0.748376, 0.992873, 1.13708, 1.2776, 1.41815, 1.5018, 1.63902, 1.88249, 2.19187, 2.33021");
-            values ( \
-              "1e-22, 0.0908113, 0.0825644, 0.0782669, 0.0742299, 0.0705721, 0.0604149, 0.0381365, 0.026982, 0.0185974, 0.0125052, 0.0097989, 0.00650065, 0.00307173, 0.00114305, 0.000923393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0984443, 0.106912, 0.110712, 0.112205, 0.114195, 0.118177, 0.118987, 0.120607, 0.123594, 0.126578, 0.134329, 0.137898, 0.142404, 0.150588, 0.155816, 0.161727, 0.164297, 0.167724, 0.174578, 0.181842, 0.193507, 0.205927, 0.221855, 0.229904, 0.232118, 0.245405, 0.265282, 0.266964, 0.270328, 0.277056, 0.290511, 0.317422, 0.35924, 0.410101");
-            values ( \
-              "0.00374625, 0.0422006, 0.0476364, 0.0488447, 0.0499012, 0.051103, 0.0511345, 0.0513116, 0.0511938, 0.0509826, 0.0494836, 0.0483874, 0.0466968, 0.0409902, 0.0356175, 0.0299789, 0.0280393, 0.0256907, 0.0215184, 0.0180751, 0.0136005, 0.0100004, 0.00665907, 0.00549241, 0.00510213, 0.00358961, 0.00209435, 0.0020883, 0.00182522, 0.00160528, 0.00104049, 0.000552517, 9.72381e-05, 7.0643e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00393514");
-            index_3 ("0.102148, 0.112161, 0.115338, 0.121267, 0.126728, 0.138023, 0.142923, 0.153446, 0.161953, 0.168638, 0.17532, 0.188682, 0.196998, 0.212696, 0.23334, 0.250157, 0.267144, 0.281699, 0.294394, 0.31132, 0.344476, 0.369926, 0.41502");
-            values ( \
-              "0.0447172, 0.0587211, 0.0608218, 0.0626786, 0.0628141, 0.0618198, 0.060947, 0.058767, 0.0562962, 0.0533109, 0.0491898, 0.039529, 0.0345932, 0.0266712, 0.0187492, 0.0138537, 0.0100892, 0.00761768, 0.00594738, 0.00424345, 0.00217345, 0.00128218, 0.000495671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.007169");
-            index_3 ("0.102367, 0.10694, 0.112185, 0.115016, 0.118791, 0.122406, 0.129638, 0.140461, 0.163405, 0.17771, 0.197475, 0.207558, 0.218905, 0.240985, 0.26287, 0.281795, 0.303488, 0.334236, 0.346322, 0.378774, 0.393636, 0.412219, 0.43842, 0.466343, 0.530812, 0.60751, 0.684854");
-            values ( \
-              "0.0561529, 0.0567867, 0.066058, 0.0684212, 0.0703322, 0.0711903, 0.0718333, 0.071307, 0.0689071, 0.0670038, 0.0634938, 0.0606388, 0.0563724, 0.046212, 0.0374942, 0.0306977, 0.0240602, 0.0166016, 0.014258, 0.00933015, 0.00764688, 0.00601697, 0.00411891, 0.00278387, 0.00108, 0.00037957, 5.33664e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0130604");
-            index_3 ("0.102349, 0.112757, 0.117736, 0.123554, 0.131311, 0.134862, 0.153505, 0.211759, 0.228577, 0.261012, 0.268659, 0.294881, 0.383933, 0.418085, 0.442232, 0.472967, 0.496334, 0.520364, 0.562079, 0.581359, 0.619921, 0.652521, 0.696523, 0.755193, 0.832537, 0.909881, 1.06457");
-            values ( \
-              "0.0555776, 0.0714854, 0.0753924, 0.0772065, 0.0779402, 0.0780451, 0.0773185, 0.0732517, 0.0717864, 0.0685316, 0.0673415, 0.062196, 0.0388218, 0.0311151, 0.0262187, 0.0208244, 0.0173611, 0.0143163, 0.0101318, 0.00860391, 0.00616912, 0.00463709, 0.00314602, 0.0018514, 0.000899493, 0.000438677, 0.000103982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0237934");
-            index_3 ("0.106089, 0.106109, 0.181413, 0.210424, 0.280683, 0.321278, 0.366587, 0.406414, 0.442617, 0.515088, 0.602197, 0.674842, 0.734969, 0.766883, 0.825414, 0.887593, 0.914131, 0.96302, 1.03196, 1.10479, 1.16074, 1.23809, 1.31543, 1.47012, 1.62481");
-            values ( \
-              "1e-22, 0.0851386, 0.0806804, 0.0796925, 0.0767171, 0.0748165, 0.0722652, 0.068967, 0.0646704, 0.0537186, 0.0400355, 0.0299658, 0.0229986, 0.0198593, 0.0150169, 0.0110363, 0.00965608, 0.00751433, 0.00524072, 0.00357536, 0.00265174, 0.00174869, 0.00114781, 0.000493692, 0.000211489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0433466");
-            index_3 ("0.113175, 0.113195, 0.244625, 0.429632, 0.567453, 0.647347, 0.782948, 1.02744, 1.17164, 1.31217, 1.45272, 1.53637, 1.67359, 1.91707, 2.22644, 2.39379");
-            values ( \
-              "1e-22, 0.0950543, 0.0825761, 0.0782808, 0.0742444, 0.0705879, 0.0604321, 0.0381145, 0.0269595, 0.0185722, 0.0124799, 0.00977331, 0.00647484, 0.00304549, 0.00111667, 0.000867307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.17267, 0.176663, 0.179884, 0.184365, 0.188302, 0.192402, 0.200161, 0.207918, 0.213171, 0.217721, 0.220922, 0.225115, 0.233502, 0.238929, 0.248884, 0.25352, 0.265882, 0.276722, 0.286718, 0.294083, 0.306353, 0.321282, 0.334844, 0.349279, 0.360385, 0.382598, 0.412991");
-            values ( \
-              "0.00722658, 0.0317743, 0.0400972, 0.0461803, 0.0486646, 0.0500437, 0.0502512, 0.0492081, 0.0474552, 0.0453084, 0.0432649, 0.0397704, 0.0316274, 0.0274584, 0.0213306, 0.0191035, 0.0141595, 0.0108318, 0.00844937, 0.00700394, 0.00510258, 0.00344892, 0.00240124, 0.00163509, 0.00121167, 0.000655167, 0.000306156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00393514");
-            index_3 ("0.172685, 0.17798, 0.182402, 0.18589, 0.1887, 0.19432, 0.200339, 0.210315, 0.211815, 0.214815, 0.22929, 0.235895, 0.248315, 0.263263, 0.270435, 0.277801, 0.286709, 0.296426, 0.307071, 0.32409, 0.332592, 0.341662, 0.359891, 0.368061, 0.380341, 0.397985, 0.420987, 0.451657, 0.50119, 0.56008");
-            values ( \
-              "0.0110066, 0.0425574, 0.0527854, 0.0568652, 0.0591255, 0.0611339, 0.0620894, 0.0614222, 0.0610594, 0.0606871, 0.0579907, 0.0561364, 0.050039, 0.0392381, 0.0348744, 0.0308905, 0.0266535, 0.0226526, 0.0188225, 0.013859, 0.0118429, 0.00998192, 0.00702147, 0.00598085, 0.00469131, 0.00328518, 0.00206076, 0.00108429, 0.000353194, 8.953e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.007169");
-            index_3 ("0.176794, 0.191514, 0.196003, 0.207656, 0.242052, 0.251702, 0.27081, 0.28073, 0.29131, 0.314692, 0.341033, 0.37105, 0.392575, 0.410885, 0.440024, 0.453869, 0.477773, 0.505092, 0.523731, 0.552438, 0.590712, 0.652635");
-            values ( \
-              "0.0649546, 0.0682801, 0.0700062, 0.0712234, 0.0682005, 0.0669385, 0.0636853, 0.0609177, 0.0570187, 0.0464753, 0.0358514, 0.0259388, 0.020133, 0.0160998, 0.0110776, 0.00923393, 0.00670282, 0.00460072, 0.00355813, 0.00238428, 0.00137738, 0.000587328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0130604");
-            index_3 ("0.176703, 0.201449, 0.210255, 0.212205, 0.239166, 0.270349, 0.30716, 0.336037, 0.359191, 0.372163, 0.446589, 0.512626, 0.573224, 0.626829, 0.682815, 0.723394, 0.776239, 0.846699, 0.917587");
-            values ( \
-              "0.0688572, 0.0768795, 0.07755, 0.0770718, 0.0764178, 0.074372, 0.0714164, 0.0682874, 0.0643695, 0.0613544, 0.0416744, 0.026899, 0.0169613, 0.0109373, 0.00679813, 0.00476381, 0.00298709, 0.0015674, 0.000827923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0237934");
-            index_3 ("0.181636, 0.181656, 0.276039, 0.338863, 0.395188, 0.451953, 0.479212, 0.508288, 0.533197, 0.649008, 0.743559, 0.807492, 0.842732, 0.902349, 0.959724, 1.0222, 1.09954, 1.19146, 1.26532, 1.34267, 1.42001, 1.5747, 1.72939");
-            values ( \
-              "1e-22, 0.0875983, 0.079959, 0.0774028, 0.0748165, 0.0714812, 0.0690658, 0.0657559, 0.0623241, 0.0441038, 0.0306218, 0.023119, 0.0196675, 0.0147885, 0.0111297, 0.0081051, 0.0054062, 0.003334, 0.00224349, 0.00147555, 0.00097049, 0.000418046, 0.000179934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0433466");
-            index_3 ("0.185482, 0.185502, 0.30617, 0.443734, 0.563809, 0.641153, 0.721046, 0.779303, 0.856647, 1.10114, 1.24534, 1.38587, 1.52642, 1.61007, 1.74729, 1.85423, 1.99077, 2.14545, 2.30014, 2.43118");
-            values ( \
-              "1e-22, 0.094078, 0.0827535, 0.0796591, 0.0765643, 0.074157, 0.0704998, 0.0666467, 0.0603437, 0.0382021, 0.0270468, 0.0186585, 0.0125658, 0.00985881, 0.00656016, 0.00475023, 0.00313035, 0.00194223, 0.00120118, 0.000855107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.327522, 0.332034, 0.334249, 0.338501, 0.344808, 0.349189, 0.357288, 0.365325, 0.373359, 0.374898, 0.379444, 0.392986, 0.395791, 0.407012, 0.412399, 0.421473, 0.433572, 0.446473, 0.456998, 0.466085, 0.480126, 0.492721, 0.512122, 0.52631, 0.558521, 0.569976");
-            values ( \
-              "0.00708601, 0.0274294, 0.0331806, 0.0403154, 0.0456724, 0.0473496, 0.0487346, 0.0480968, 0.046151, 0.0453603, 0.0424443, 0.0299393, 0.0278481, 0.0209581, 0.0184616, 0.0148374, 0.0110066, 0.00799713, 0.00610616, 0.00483443, 0.00334695, 0.00239351, 0.00134082, 0.000953261, 0.000410282, 0.000320727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00393514");
-            index_3 ("0.327841, 0.333502, 0.336291, 0.342285, 0.345248, 0.351175, 0.35746, 0.368669, 0.376782, 0.381067, 0.389639, 0.393357, 0.399617, 0.406745, 0.420588, 0.43289, 0.443273, 0.459656, 0.47616, 0.482065, 0.497059, 0.510465, 0.521098, 0.54019, 0.556892, 0.577104, 0.604052, 0.65271, 0.709765");
-            values ( \
-              "0.0129328, 0.0371712, 0.0442184, 0.0529042, 0.0552418, 0.0584323, 0.0599131, 0.0605518, 0.0596993, 0.059034, 0.0571961, 0.056031, 0.053396, 0.0490721, 0.0391681, 0.0321395, 0.027052, 0.020542, 0.015302, 0.0137663, 0.0104177, 0.00795393, 0.00650323, 0.0045054, 0.00322455, 0.00214227, 0.00122107, 0.00041111, 0.000107187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.007169");
-            index_3 ("0.328155, 0.335759, 0.342833, 0.348363, 0.352924, 0.362048, 0.371648, 0.385007, 0.399496, 0.409169, 0.428314, 0.438172, 0.448686, 0.475907, 0.509941, 0.516646, 0.521619, 0.528971, 0.540615, 0.562877, 0.563891, 0.56592, 0.569977, 0.576115, 0.609461, 0.61537, 0.627187, 0.670789, 0.681932, 0.704217, 0.748788, 0.820149, 0.897493");
-            values ( \
-              "0.0197762, 0.0481426, 0.0600552, 0.0647325, 0.0669186, 0.0694185, 0.0700316, 0.0695755, 0.068098, 0.0667765, 0.0636273, 0.060865, 0.0570233, 0.0448295, 0.0314856, 0.0297229, 0.0277862, 0.0259974, 0.0224277, 0.0171306, 0.017189, 0.0165201, 0.0159438, 0.0145249, 0.00937015, 0.00889797, 0.00737488, 0.00400161, 0.00365193, 0.00246841, 0.00147678, 0.000331049, 0.000250628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0130604");
-            index_3 ("0.333568, 0.356929, 0.36595, 0.378168, 0.392057, 0.405934, 0.447283, 0.485873, 0.505672, 0.529957, 0.596404, 0.622803, 0.64993, 0.673848, 0.704679, 0.728052, 0.75208, 0.793792, 0.813071, 0.851628, 0.884232, 0.928241, 0.98692, 1.06426, 1.14161, 1.2963");
-            values ( \
-              "0.0695797, 0.0738941, 0.0759843, 0.0767832, 0.0765045, 0.0757388, 0.0728075, 0.0692497, 0.0664211, 0.0612798, 0.0437649, 0.0372059, 0.03109, 0.0262343, 0.0208283, 0.0173641, 0.0143125, 0.0101284, 0.00860738, 0.00616619, 0.00464044, 0.00314907, 0.00184802, 0.000902527, 0.000435494, 0.000100862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0237934");
-            index_3 ("0.333644, 0.350766, 0.356818, 0.3621, 0.372664, 0.388731, 0.408729, 0.441955, 0.505764, 0.521725, 0.545475, 0.597953, 0.611587, 0.638853, 0.674342, 0.746811, 0.833911, 0.90668, 0.966721, 1.01921, 1.05707, 1.11932, 1.14591, 1.19478, 1.26371, 1.33648, 1.39238, 1.46973, 1.54707, 1.70176, 1.85645");
-            values ( \
-              "0.0741538, 0.0742752, 0.077403, 0.0788724, 0.0806941, 0.081188, 0.0807358, 0.0795459, 0.0769636, 0.0760926, 0.0751987, 0.0722777, 0.0712939, 0.0688961, 0.0646672, 0.0537167, 0.0400381, 0.0299511, 0.0229959, 0.0180258, 0.0150223, 0.0110367, 0.00965309, 0.0075134, 0.0052398, 0.00357567, 0.00265325, 0.00174913, 0.00114866, 0.000494244, 0.000211911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0433466");
-            index_3 ("0.340081, 0.340101, 0.514769, 0.562593, 0.721553, 0.798897, 0.878789, 0.937045, 1.01439, 1.25888, 1.40307, 1.54362, 1.71205, 1.76781, 1.90502, 2.01197, 2.14852, 2.3032, 2.45789, 2.56735");
-            values ( \
-              "1e-22, 0.0886625, 0.0813996, 0.0805846, 0.0765858, 0.0741369, 0.0704854, 0.0666593, 0.0603323, 0.0382072, 0.0270518, 0.0186611, 0.0116015, 0.00986196, 0.00656314, 0.00475304, 0.00313303, 0.00194492, 0.00120388, 0.00100563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.668988, 0.688138, 0.689047, 0.694502, 0.698859, 0.70776, 0.716348, 0.724939, 0.729218, 0.733564, 0.744715, 0.748257, 0.75298, 0.760885, 0.765213, 0.770983, 0.782524, 0.792334, 0.797825, 0.808807, 0.816203, 0.825045, 0.836834, 0.850322, 0.857129, 0.870745, 0.897975, 0.925585");
-            values ( \
-              "0.00211209, 0.0351339, 0.0360332, 0.0402506, 0.0424696, 0.0450265, 0.0455313, 0.0443131, 0.0423458, 0.0393041, 0.0295705, 0.0270881, 0.0240868, 0.0199212, 0.0180294, 0.0157207, 0.011883, 0.00935456, 0.00816234, 0.00616481, 0.00510447, 0.0040612, 0.00298389, 0.00209206, 0.00174266, 0.00121375, 0.000564016, 0.000293311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00393514");
-            index_3 ("0.668966, 0.686381, 0.692757, 0.69762, 0.707895, 0.717405, 0.726398, 0.732678, 0.744976, 0.749011, 0.784465, 0.814783, 0.838913, 0.866506, 0.885821, 0.90585, 0.947157, 0.978118");
-            values ( \
-              "0.0010328, 0.03967, 0.0471276, 0.0507769, 0.0554179, 0.0573956, 0.0578194, 0.0574754, 0.0553942, 0.0539302, 0.0323232, 0.0194649, 0.0126264, 0.00748088, 0.00513242, 0.00342952, 0.00148705, 0.000803001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.007169");
-            index_3 ("0.67679, 0.686184, 0.691583, 0.702962, 0.712253, 0.722969, 0.738047, 0.750103, 0.761235, 0.780523, 0.784762, 0.799293, 0.839092, 0.858565, 0.883306, 0.918881, 0.936511, 0.960018, 0.975272, 1.00231, 1.04561, 1.07296, 1.12766, 1.15856");
-            values ( \
-              "0.0382632, 0.0441274, 0.0517161, 0.0608739, 0.0647992, 0.0672093, 0.0680521, 0.0674661, 0.0664116, 0.0633953, 0.0623523, 0.057567, 0.0402947, 0.0330362, 0.0252158, 0.0164775, 0.0131914, 0.00969679, 0.00792387, 0.00549512, 0.00302062, 0.00205352, 0.000915731, 0.000674901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130604");
-            index_3 ("0.676816, 0.699805, 0.709557, 0.717048, 0.732028, 0.746746, 0.760606, 0.776447, 0.812396, 0.837738, 0.862461, 0.882115, 0.934751, 0.97585, 1.01907, 1.05482, 1.07396, 1.10889, 1.14586, 1.19074, 1.2285, 1.27399, 1.3157, 1.39244, 1.46607");
-            values ( \
-              "0.0388468, 0.0635032, 0.0691596, 0.0719541, 0.0747381, 0.0754413, 0.0750918, 0.0742971, 0.0716762, 0.0692502, 0.0655937, 0.0613358, 0.0473718, 0.037035, 0.0276316, 0.021173, 0.018264, 0.0138029, 0.0101556, 0.00692407, 0.00495831, 0.00335681, 0.00230968, 0.00113545, 0.000578402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0237934");
-            index_3 ("0.68463, 0.740504, 0.75715, 0.775324, 0.821002, 0.912921, 0.963746, 1.00499, 1.05906, 1.19617, 1.27736, 1.36461, 1.4225, 1.47042, 1.53149, 1.60884, 1.70355, 1.77981, 1.9345, 1.96084");
-            values ( \
-              "0.0738729, 0.0797584, 0.0802475, 0.0799778, 0.0784178, 0.074363, 0.071261, 0.0673737, 0.0599456, 0.0385732, 0.0277085, 0.0186424, 0.014089, 0.0111083, 0.00814739, 0.00543849, 0.0033051, 0.00219183, 0.000947075, 0.000881291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0433466");
-            index_3 ("0.684651, 0.734435, 0.750732, 0.766293, 0.84211, 1.02281, 1.1513, 1.21589, 1.28618, 1.33813, 1.57779, 1.718, 1.79534, 1.89377, 1.95585, 2.06435, 2.12668, 2.26213, 2.36524, 2.49412, 2.64881, 2.8035, 3.03553, 3.3449");
-            values ( \
-              "0.0757443, 0.0816316, 0.0830063, 0.0832051, 0.0820899, 0.0779328, 0.0741556, 0.0713368, 0.0669294, 0.0628172, 0.0411174, 0.0297186, 0.0244165, 0.018773, 0.0158088, 0.0116022, 0.00967527, 0.0064709, 0.00474043, 0.00319945, 0.00198676, 0.00123017, 0.000597383, 0.000227458" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.00220044, 0.00220055, 0.00220063, 0.00220067, 0.0022007, 0.00220072", \
-            "0.00259507, 0.00259515, 0.0025952, 0.00259524, 0.00259526, 0.00259528", \
-            "0.00288714, 0.00288721, 0.00288727, 0.0028873, 0.00288732, 0.00288734", \
-            "0.00313482, 0.00313489, 0.00313495, 0.00313499, 0.00313501, 0.00313502", \
-            "0.0033285, 0.00332858, 0.00332864, 0.00332868, 0.0033287, 0.00332872", \
-            "0.00353092, 0.00353101, 0.00353107, 0.00353111, 0.00353113, 0.00353114" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.00306057, 0.00306063, 0.00306067, 0.0030607, 0.00306072, 0.00306073", \
-            "0.00340252, 0.0034026, 0.00340265, 0.00340269, 0.00340271, 0.00340272", \
-            "0.00368562, 0.0036857, 0.00368575, 0.00368579, 0.00368581, 0.00368582", \
-            "0.0042809, 0.00428037, 0.00427999, 0.00427974, 0.00427958, 0.0042795", \
-            "0.00416975, 0.00419758, 0.00422135, 0.0042371, 0.00424681, 0.00425287", \
-            "0.00382347, 0.003824, 0.00382994, 0.00385517, 0.00389117, 0.00391355" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.015885, 0.0208936, 0.0225868, 0.0256045, 0.0302923, 0.0350312, 0.0398478, 0.0448652, 0.0504178, 0.0590888, 0.0657075, 0.0736334, 0.0803754, 0.0890741, 0.0984548, 0.106595, 0.114078");
-            values ( \
-              "-0.0735256, -0.0815704, -0.0827181, -0.0830448, -0.0827155, -0.0817061, -0.0797816, -0.0750498, -0.0640887, -0.0435284, -0.0301458, -0.0185034, -0.0117301, -0.00637097, -0.00332791, -0.00175401, -0.00117075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00393514");
-            index_3 ("0.0162751, 0.0266899, 0.0353782, 0.0445559, 0.0480734, 0.0516186, 0.0565016, 0.0589697, 0.0627246, 0.0808058, 0.0913273, 0.100889, 0.110738, 0.115976, 0.123379, 0.136978, 0.148775, 0.160683");
-            values ( \
-              "-0.100287, -0.100442, -0.0999766, -0.100776, -0.100341, -0.0991631, -0.0956548, -0.0931088, -0.0878988, -0.0525121, -0.034913, -0.0231402, -0.0147096, -0.0115097, -0.00801293, -0.0041386, -0.00224355, -0.00140034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.007169");
-            index_3 ("0.0153802, 0.0175976, 0.0195938, 0.020799, 0.0218981, 0.0239344, 0.0359492, 0.0389304, 0.0468092, 0.0500892, 0.0599564, 0.0679136, 0.0724205, 0.0814341, 0.0842036, 0.0897426, 0.097193, 0.118855, 0.135578, 0.150861, 0.159334, 0.166267, 0.174584, 0.186316, 0.198561, 0.207947, 0.226719, 0.25321");
-            values ( \
-              "-0.0602616, -0.0977192, -0.1094, -0.111854, -0.112962, -0.113623, -0.113854, -0.114252, -0.116482, -0.116833, -0.116186, -0.114323, -0.112779, -0.107963, -0.105917, -0.100771, -0.0914819, -0.0604476, -0.0401915, -0.026553, -0.0208008, -0.0169814, -0.0132724, -0.00924262, -0.00633535, -0.00475058, -0.00257016, -0.00120774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0130604");
-            index_3 ("0.0154156, 0.017654, 0.0188275, 0.0215797, 0.0233857, 0.0383341, 0.0465901, 0.0503893, 0.0593806, 0.0725019, 0.081738, 0.0911689, 0.105615, 0.119587, 0.13022, 0.150459, 0.191095, 0.218259, 0.238597, 0.255029, 0.27038, 0.290849, 0.304258, 0.319276, 0.339299, 0.379346, 0.435537, 0.491728");
-            values ( \
-              "-0.0644705, -0.105499, -0.114586, -0.121695, -0.122696, -0.123665, -0.126721, -0.127513, -0.127991, -0.127238, -0.126188, -0.124945, -0.122234, -0.118369, -0.114096, -0.100584, -0.0634745, -0.0426066, -0.0306337, -0.0231955, -0.0177392, -0.0122083, -0.00959838, -0.00728483, -0.00495803, -0.00229134, -0.000665488, -0.000239164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0237934");
-            index_3 ("0.0153358, 0.0176379, 0.0215134, 0.0228714, 0.0252609, 0.0342416, 0.0368816, 0.0444385, 0.0509366, 0.0543934, 0.0674873, 0.0809664, 0.107447, 0.145623, 0.178342, 0.200654, 0.213927, 0.240474, 0.252186, 0.321167, 0.350156, 0.36755, 0.394651, 0.425623, 0.455134, 0.476294, 0.511262, 0.546378, 0.573472, 0.627659, 0.68385, 0.796231");
-            values ( \
-              "-0.0670449, -0.11091, -0.1273, -0.12821, -0.128599, -0.129007, -0.129435, -0.132464, -0.134225, -0.134745, -0.135139, -0.134688, -0.133129, -0.130008, -0.126287, -0.1223, -0.119093, -0.1095, -0.10378, -0.0658329, -0.0517861, -0.0444206, -0.0345708, -0.0255612, -0.0190062, -0.0152851, -0.0105698, -0.0072801, -0.00543403, -0.00297572, -0.00158149, -0.000443536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0433466");
-            index_3 ("0.015269, 0.0175571, 0.0215028, 0.0233325, 0.0354271, 0.0384304, 0.0454987, 0.0541417, 0.0593928, 0.0735981, 0.129818, 0.186838, 0.256127, 0.301037, 0.32472, 0.345097, 0.366469, 0.409214, 0.434364, 0.54359, 0.577847, 0.631963, 0.677826, 0.711393, 0.754647, 0.789644, 0.832508, 0.888699, 0.96071, 1.01548, 1.07167, 1.18405, 1.29643, 1.40881");
-            values ( \
-              "-0.068527, -0.113533, -0.130719, -0.131819, -0.132803, -0.13347, -0.136724, -0.138782, -0.139297, -0.139561, -0.137986, -0.135802, -0.132368, -0.129311, -0.12719, -0.124968, -0.122036, -0.113495, -0.106559, -0.0716737, -0.061497, -0.0472953, -0.03731, -0.0311351, -0.0244711, -0.0200567, -0.0156349, -0.0111949, -0.00726853, -0.00520257, -0.00368023, -0.00183395, -0.000910555, -0.00045114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.026716, 0.0290566, 0.031371, 0.0344836, 0.0371724, 0.0391759, 0.0404795, 0.0422176, 0.0443412, 0.0490619, 0.0538859, 0.0576313, 0.0589018, 0.061443, 0.0731263, 0.0798108, 0.0876272, 0.0944441, 0.0980387, 0.103122, 0.11244, 0.12052, 0.130328");
-            values ( \
-              "-0.0226035, -0.0439147, -0.0565017, -0.0680647, -0.0770268, -0.0809528, -0.0820721, -0.0825934, -0.082605, -0.0816372, -0.0797078, -0.0765929, -0.0749661, -0.0707082, -0.0435648, -0.0300685, -0.0185084, -0.0117491, -0.0091711, -0.00641108, -0.00329515, -0.00181473, -0.000976519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00393514");
-            index_3 ("0.0283145, 0.0330841, 0.0372717, 0.0402877, 0.0420262, 0.0441582, 0.0515328, 0.0586026, 0.0621213, 0.065671, 0.0706066, 0.0730226, 0.0767786, 0.0948581, 0.10538, 0.114944, 0.12479, 0.130029, 0.137431, 0.151031, 0.162828, 0.176101");
-            values ( \
-              "-0.0721955, -0.0764053, -0.0934149, -0.0992247, -0.100014, -0.100262, -0.1, -0.100706, -0.100391, -0.0991001, -0.09566, -0.0930512, -0.087947, -0.0524848, -0.0348851, -0.0231135, -0.0147356, -0.0114853, -0.00803833, -0.00411462, -0.00226774, -0.00129385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.007169");
-            index_3 ("0.0282882, 0.0328723, 0.0374059, 0.0403294, 0.0438533, 0.0527304, 0.0608885, 0.0642145, 0.0741936, 0.0817395, 0.086547, 0.0983335, 0.102677, 0.11132, 0.133158, 0.149802, 0.164556, 0.180649, 0.188878, 0.200542, 0.221702, 0.240034, 0.260164");
-            values ( \
-              "-0.0811596, -0.085318, -0.106181, -0.11241, -0.11367, -0.114196, -0.116456, -0.116801, -0.116144, -0.114438, -0.112755, -0.105901, -0.102034, -0.0914552, -0.060197, -0.0400794, -0.0268678, -0.0168753, -0.013192, -0.00923468, -0.00479179, -0.00265781, -0.00157096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0130604");
-            index_3 ("0.03058, 0.0569701, 0.064523, 0.0734139, 0.0865889, 0.095825, 0.119452, 0.134022, 0.144307, 0.164556, 0.205744, 0.232631, 0.250966, 0.268659, 0.280246, 0.300691, 0.317937, 0.337259, 0.363022, 0.414548, 0.470739");
-            values ( \
-              "-0.12318, -0.125345, -0.127485, -0.127959, -0.127207, -0.126218, -0.122318, -0.11828, -0.114066, -0.100604, -0.0629643, -0.0423896, -0.0315501, -0.0233454, -0.0190858, -0.0132488, -0.00966025, -0.00676024, -0.00414829, -0.00143881, -0.000441414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0237934");
-            index_3 ("0.0268338, 0.0305228, 0.0368438, 0.0389499, 0.0421768, 0.0445567, 0.0497758, 0.0526939, 0.060341, 0.0633283, 0.0676816, 0.0790515, 0.0950887, 0.12033, 0.140667, 0.159748, 0.192491, 0.214056, 0.228052, 0.256045, 0.266331, 0.335258, 0.364181, 0.381666, 0.408909, 0.440044, 0.453409, 0.468953, 0.489679, 0.525136, 0.54017, 0.561076, 0.588951, 0.6447, 0.700891, 0.757082, 0.813273");
-            values ( \
-              "-0.0383402, -0.0807449, -0.117718, -0.125108, -0.128366, -0.128803, -0.129177, -0.129859, -0.133116, -0.133874, -0.134653, -0.135145, -0.134675, -0.133197, -0.131706, -0.130024, -0.126267, -0.122453, -0.119092, -0.108843, -0.103778, -0.0658598, -0.0518362, -0.0444319, -0.034531, -0.0254905, -0.0223124, -0.0190685, -0.0154045, -0.0105985, -0.00904065, -0.00723608, -0.00535404, -0.00287826, -0.00152862, -0.000812691, -0.000428271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0433466");
-            index_3 ("0.0266758, 0.0303575, 0.0388768, 0.0420054, 0.0443514, 0.0504223, 0.0625384, 0.0666948, 0.0719711, 0.0780363, 0.0876356, 0.115993, 0.162462, 0.200871, 0.257062, 0.2702, 0.315244, 0.33776, 0.358624, 0.380508, 0.424278, 0.448415, 0.558949, 0.595011, 0.646758, 0.689112, 0.721917, 0.767651, 0.805693, 0.847842, 0.904033, 0.933733, 0.972832, 1.02496, 1.08116, 1.13735, 1.19354, 1.30592, 1.4183");
-            values ( \
-              "-0.0391763, -0.0827219, -0.128308, -0.131738, -0.132332, -0.132947, -0.137691, -0.138593, -0.139151, -0.139495, -0.139551, -0.138884, -0.137307, -0.135781, -0.133119, -0.132366, -0.129297, -0.127289, -0.12503, -0.122036, -0.113239, -0.106558, -0.0712681, -0.0606106, -0.047121, -0.037861, -0.0317424, -0.0246127, -0.0198246, -0.0155172, -0.0111081, -0.009303, -0.00735291, -0.00535145, -0.0037854, -0.00267636, -0.00188652, -0.000936525, -0.000463805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0528403, 0.0583644, 0.0671831, 0.0702359, 0.0762593, 0.0817022, 0.0866834, 0.0874602, 0.0877495, 0.0881861, 0.0895034, 0.0907514, 0.0920308, 0.0945896, 0.106643, 0.115486, 0.121264, 0.125521, 0.130119, 0.137921, 0.142655, 0.148064, 0.158882, 0.164771");
-            values ( \
-              "-0.0331347, -0.0372933, -0.0548737, -0.0601232, -0.0689248, -0.0740909, -0.0757929, -0.0755599, -0.0763526, -0.0763652, -0.0760962, -0.0754028, -0.0740901, -0.0701723, -0.0427598, -0.0259229, -0.018011, -0.0135923, -0.00994244, -0.00574247, -0.00410229, -0.00277279, -0.00121658, -0.000885139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00393514");
-            index_3 ("0.0528119, 0.0576285, 0.065348, 0.0700051, 0.0775609, 0.079925, 0.0843687, 0.0874335, 0.0893264, 0.0917113, 0.0939374, 0.096372, 0.0988076, 0.102389, 0.106191, 0.112288, 0.126218, 0.136143, 0.1417, 0.150616, 0.154927, 0.159976, 0.166708, 0.171881, 0.177133, 0.184137, 0.198144, 0.219892, 0.246201");
-            values ( \
-              "-0.0407832, -0.0431448, -0.0619991, -0.0719984, -0.0851976, -0.088461, -0.0931396, -0.095564, -0.0981922, -0.0996055, -0.0998673, -0.0995698, -0.0987172, -0.0965568, -0.0929096, -0.0838091, -0.0559655, -0.0385231, -0.0306069, -0.0206635, -0.0169707, -0.0134097, -0.00971741, -0.00756154, -0.00585374, -0.00413838, -0.00200737, -0.00059438, -0.00012273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.007169");
-            index_3 ("0.052775, 0.0582226, 0.0684083, 0.0729598, 0.0787311, 0.0911634, 0.0937456, 0.0994803, 0.103648, 0.108484, 0.11405, 0.119777, 0.128993, 0.131564, 0.136707, 0.144553, 0.166274, 0.182971, 0.191538, 0.198155, 0.206671, 0.213684, 0.221982, 0.233699, 0.245889, 0.255227, 0.273904, 0.308413, 0.349475");
-            values ( \
-              "-0.0441984, -0.0506074, -0.0775239, -0.0877274, -0.0982482, -0.114275, -0.115593, -0.116442, -0.1164, -0.115821, -0.114636, -0.112722, -0.107833, -0.105887, -0.101202, -0.0914578, -0.060352, -0.0401419, -0.0319529, -0.0265855, -0.0208368, -0.0169745, -0.0132398, -0.00925668, -0.00636135, -0.00474423, -0.00260448, -0.000762939, -0.000166232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0130604");
-            index_3 ("0.0553877, 0.0697903, 0.0792929, 0.0901961, 0.0943612, 0.101445, 0.106248, 0.112038, 0.126326, 0.145675, 0.157631, 0.176844, 0.179103, 0.18814, 0.199528, 0.235483, 0.245464, 0.263692, 0.279175, 0.289933, 0.303828, 0.314885, 0.328925, 0.348642, 0.36947, 0.385472, 0.417476, 0.473667, 0.529858");
-            values ( \
-              "-0.0659111, -0.0870822, -0.107116, -0.123152, -0.126049, -0.127534, -0.127765, -0.127701, -0.126524, -0.123703, -0.121147, -0.114481, -0.113366, -0.108029, -0.0990656, -0.0660186, -0.057536, -0.0438389, -0.0342658, -0.0286629, -0.0225975, -0.0186302, -0.014499, -0.0101112, -0.00689169, -0.00510752, -0.00275447, -0.00084362, -0.00025882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0237934");
-            index_3 ("0.0577114, 0.0761119, 0.08957, 0.0927319, 0.100525, 0.105291, 0.117631, 0.133646, 0.160493, 0.183485, 0.20952, 0.226525, 0.263858, 0.27846, 0.2996, 0.362092, 0.379427, 0.411037, 0.43781, 0.456488, 0.480605, 0.499809, 0.52414, 0.558312, 0.594374, 0.622077, 0.677483, 0.733674, 0.846056");
-            values ( \
-              "-0.0869761, -0.105763, -0.128578, -0.131661, -0.134166, -0.134772, -0.135009, -0.134377, -0.132704, -0.130917, -0.128335, -0.126108, -0.118418, -0.113499, -0.103799, -0.0692977, -0.0604021, -0.0460424, -0.0360204, -0.0301278, -0.0237492, -0.0195739, -0.0152358, -0.0106265, -0.00724606, -0.0053721, -0.00289949, -0.00154037, -0.000431749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0433466");
-            index_3 ("0.06385, 0.0923193, 0.101064, 0.111788, 0.121075, 0.151231, 0.225291, 0.317825, 0.351914, 0.408105, 0.425432, 0.448534, 0.481836, 0.587166, 0.672243, 0.745146, 0.798068, 0.844803, 0.900994, 0.947246, 0.997042, 1.05323, 1.10942, 1.22181, 1.44657");
-            values ( \
-              "-0.133402, -0.135159, -0.138339, -0.139383, -0.139498, -0.138835, -0.136164, -0.1315, -0.129033, -0.122939, -0.120161, -0.11545, -0.106571, -0.0728893, -0.0490362, -0.0335577, -0.0250226, -0.0191759, -0.013795, -0.0104684, -0.00776258, -0.00551359, -0.0038981, -0.00194233, -0.000476463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.105177, 0.110642, 0.113881, 0.144128, 0.152038, 0.157216, 0.162712, 0.166149, 0.172514, 0.175116, 0.178086, 0.192568, 0.196584, 0.201939, 0.206387, 0.210293, 0.211948, 0.219042, 0.22325, 0.231664, 0.245348, 0.262109");
-            values ( \
-              "-0.0105591, -0.0179375, -0.0209558, -0.0465741, -0.0523849, -0.055237, -0.0563253, -0.0557755, -0.0522826, -0.0498255, -0.046113, -0.0251664, -0.0202298, -0.0147308, -0.0111724, -0.00866994, -0.00846591, -0.00540645, -0.00401733, -0.00218537, -0.000735528, -0.000186346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00393514");
-            index_3 ("0.108428, 0.120397, 0.144486, 0.155966, 0.165905, 0.170728, 0.174957, 0.182739, 0.185538, 0.188986, 0.192766, 0.200326, 0.210341, 0.224387, 0.233956, 0.242585, 0.250227, 0.257923, 0.263867, 0.275755, 0.288861");
-            values ( \
-              "-0.0272187, -0.0320042, -0.0563144, -0.0671198, -0.0753425, -0.0786631, -0.0810225, -0.0816327, -0.0803454, -0.0776153, -0.0731218, -0.0618934, -0.0455788, -0.0267155, -0.0173756, -0.0116017, -0.00800938, -0.00550694, -0.00410757, -0.00223864, -0.00128955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.007169");
-            index_3 ("0.111472, 0.126563, 0.148539, 0.158328, 0.177751, 0.186504, 0.190502, 0.198782, 0.208971, 0.210293, 0.211003, 0.213351, 0.21578, 0.224028, 0.247849, 0.258009, 0.265008, 0.272671, 0.282887, 0.294746, 0.302725, 0.318369, 0.327512, 0.345799, 0.377813, 0.415449");
-            values ( \
-              "-0.0425102, -0.043069, -0.0678884, -0.0782131, -0.0971551, -0.103105, -0.104791, -0.106716, -0.104942, -0.10439, -0.104639, -0.103437, -0.101431, -0.0914153, -0.0574905, -0.0449376, -0.0375078, -0.0304986, -0.0228606, -0.0161771, -0.0127296, -0.0078816, -0.00593819, -0.00329951, -0.00109171, -0.000272876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0130604");
-            index_3 ("0.11471, 0.135804, 0.153095, 0.173427, 0.178545, 0.186362, 0.193196, 0.199178, 0.210307, 0.2121, 0.214894, 0.218274, 0.228396, 0.23738, 0.254709, 0.262645, 0.273227, 0.279284, 0.319051, 0.335823, 0.345759, 0.361254, 0.378964, 0.396551, 0.409165, 0.428876, 0.437191, 0.453821, 0.487081, 0.541124, 0.597315");
-            values ( \
-              "-0.0537257, -0.0577474, -0.0782274, -0.100847, -0.106169, -0.112856, -0.117278, -0.120183, -0.123695, -0.124574, -0.125032, -0.12478, -0.123118, -0.121117, -0.115333, -0.111318, -0.104091, -0.099074, -0.0626582, -0.0492238, -0.0422723, -0.0329653, -0.0244348, -0.0179894, -0.0143596, -0.0100126, -0.00859535, -0.00630818, -0.00332135, -0.00107896, -0.00033005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0237934");
-            index_3 ("0.118925, 0.144264, 0.172637, 0.188323, 0.196411, 0.205145, 0.212392, 0.214903, 0.217347, 0.237525, 0.257198, 0.272948, 0.305726, 0.326244, 0.341253, 0.371272, 0.396144, 0.451089, 0.483493, 0.50455, 0.515752, 0.534941, 0.559926, 0.580613, 0.604596, 0.638502, 0.653445, 0.673565, 0.700391, 0.754044, 0.810235, 0.866426, 0.922617");
-            values ( \
-              "-0.0700999, -0.0709177, -0.104865, -0.120405, -0.125738, -0.130177, -0.133223, -0.133886, -0.134026, -0.132894, -0.131422, -0.130036, -0.12625, -0.122661, -0.119094, -0.1079, -0.0947831, -0.0645189, -0.0491413, -0.0406879, -0.0366621, -0.0305367, -0.0238638, -0.0193749, -0.0151405, -0.0105823, -0.00903517, -0.00729225, -0.0054654, -0.00300648, -0.00160344, -0.000846301, -0.000452278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0433466");
-            index_3 ("0.125246, 0.163658, 0.189407, 0.206702, 0.212789, 0.216181, 0.219293, 0.257077, 0.314135, 0.370326, 0.398396, 0.428337, 0.452002, 0.472387, 0.493767, 0.536527, 0.561662, 0.670907, 0.705193, 0.759272, 0.805079, 0.838662, 0.881933, 0.916962, 0.959819, 1.01601, 1.08799, 1.14272, 1.19891, 1.3113, 1.42368, 1.53606");
-            values ( \
-              "-0.0852511, -0.0968084, -0.12489, -0.135423, -0.1382, -0.139017, -0.139089, -0.137976, -0.135793, -0.133107, -0.131451, -0.12931, -0.127192, -0.124969, -0.122037, -0.11349, -0.10656, -0.0716673, -0.0614833, -0.0472926, -0.0373187, -0.03114, -0.0244727, -0.0200542, -0.0156337, -0.0111939, -0.00726949, -0.00520427, -0.00368137, -0.0018345, -0.000910797, -0.00045123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.228064, 0.240057, 0.256583, 0.289804, 0.302221, 0.308288, 0.313555, 0.324524, 0.327691, 0.334025, 0.335361, 0.338033, 0.342211, 0.346893, 0.365277, 0.374864, 0.381759, 0.389417, 0.398543, 0.405968, 0.420819, 0.437362");
-            values ( \
-              "-0.0120462, -0.01206, -0.0175837, -0.0292655, -0.033225, -0.0345735, -0.0352179, -0.0358635, -0.0359275, -0.0357333, -0.0355451, -0.035045, -0.0335821, -0.0310026, -0.0168926, -0.0108655, -0.00763468, -0.00502916, -0.00299005, -0.00191274, -0.000729627, -0.0002634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00393514");
-            index_3 ("0.228053, 0.24385, 0.257293, 0.309173, 0.323665, 0.334359, 0.343558, 0.35037, 0.361261, 0.367114, 0.377368, 0.39895, 0.40991, 0.420296, 0.426028, 0.434078, 0.443873, 0.456932, 0.480233, 0.505732, 0.515967, 0.529718");
-            values ( \
-              "-0.0133455, -0.016085, -0.0214351, -0.0433511, -0.0491746, -0.0527806, -0.0548749, -0.0556223, -0.0547502, -0.0525293, -0.0450075, -0.0251968, -0.0171857, -0.0115425, -0.00915917, -0.00652365, -0.00426733, -0.00235187, -0.000707554, -0.000183492, -0.000465717, -0.000305509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.007169");
-            index_3 ("0.234381, 0.25733, 0.312086, 0.354569, 0.371865, 0.380245, 0.388207, 0.404443, 0.407824, 0.419418, 0.44641, 0.457436, 0.474379, 0.491122, 0.505754, 0.509043, 0.526323, 0.536774, 0.556815, 0.567337");
-            values ( \
-              "-0.0234765, -0.0241994, -0.0502205, -0.0714046, -0.0776943, -0.0793666, -0.0796683, -0.0747703, -0.0727769, -0.0634975, -0.0387739, -0.0300911, -0.0195246, -0.012278, -0.00799284, -0.00771962, -0.00465005, -0.0033344, -0.00175042, -0.00138039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0130604");
-            index_3 ("0.240128, 0.276371, 0.320493, 0.356079, 0.372784, 0.38562, 0.398348, 0.417935, 0.429624, 0.444551, 0.46725, 0.48409, 0.528426, 0.541298, 0.555999, 0.572951, 0.587903, 0.607837, 0.621796, 0.637339, 0.658064, 0.699514, 0.718877");
-            values ( \
-              "-0.0292492, -0.0356636, -0.0584167, -0.078245, -0.0865724, -0.0921727, -0.0969986, -0.102449, -0.103979, -0.10321, -0.0941747, -0.0822969, -0.0478604, -0.0391937, -0.0308511, -0.0231257, -0.0178059, -0.0124085, -0.00962649, -0.00722999, -0.00488571, -0.00216264, -0.00164154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0237934");
-            index_3 ("0.246424, 0.297692, 0.368661, 0.400297, 0.420596, 0.437254, 0.459464, 0.481673, 0.497375, 0.511899, 0.520047, 0.544678, 0.570591, 0.637795, 0.672352, 0.710156, 0.737213, 0.765267, 0.81246, 0.843281, 0.890062, 0.946253, 1.00244, 1.11483");
-            values ( \
-              "-0.0350202, -0.0486573, -0.0887035, -0.103516, -0.111223, -0.116286, -0.121011, -0.123494, -0.124029, -0.123522, -0.122113, -0.115262, -0.103792, -0.0667489, -0.0500633, -0.035499, -0.0273425, -0.0206576, -0.0126718, -0.00914936, -0.00553136, -0.00296741, -0.00156788, -0.00043549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0433466");
-            index_3 ("0.263401, 0.319456, 0.332559, 0.353643, 0.373417, 0.385266, 0.399417, 0.413362, 0.423638, 0.440803, 0.454673, 0.462821, 0.479117, 0.485898, 0.492679, 0.49946, 0.50624, 0.514537, 0.525316, 0.541863, 0.571694, 0.593609, 0.601187, 0.623921, 0.652017, 0.680112, 0.685887, 0.691662, 0.703212, 0.720537, 0.73719, 0.753842, 0.781938, 0.810033, 0.859172, 0.880836, 0.902105, 0.916153, 0.944248, 0.987772, 1.01715, 1.04361, 1.07007, 1.08565, 1.10902, 1.1168, 1.1449, 1.17299, 1.20768, 1.23585");
-            values ( \
-              "-0.0590711, -0.0617115, -0.0692344, -0.0824009, -0.0934836, -0.099581, -0.106407, -0.112582, -0.116753, -0.121561, -0.125856, -0.128551, -0.134322, -0.134763, -0.135071, -0.135248, -0.135292, -0.135166, -0.134886, -0.134163, -0.132525, -0.131156, -0.130584, -0.128685, -0.125961, -0.122819, -0.122032, -0.12106, -0.118859, -0.115023, -0.110649, -0.106029, -0.0974583, -0.0886197, -0.0725873, -0.0660552, -0.059996, -0.0561862, -0.0490256, -0.0389374, -0.0333971, -0.0290301, -0.0249482, -0.0226784, -0.0197617, -0.0188738, -0.0161364, -0.0137173, -0.0112068, -0.00936174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.49786, 0.527916, 0.541097, 0.57074, 0.583549, 0.628754, 0.647641, 0.663016, 0.665962, 0.671855, 0.683639, 0.701275, 0.704042, 0.713529, 0.732197, 0.745277, 0.759582, 0.769995, 0.78388, 0.806976, 0.833795, 0.911083");
-            values ( \
-              "-0.00579274, -0.00715222, -0.00855482, -0.0123256, -0.0141807, -0.0202006, -0.0209099, -0.0216103, -0.0216629, -0.0219851, -0.0220872, -0.0210188, -0.0204229, -0.0177265, -0.0108816, -0.00685933, -0.00384073, -0.00243562, -0.00127054, -0.000362238, -8.09965e-05, -9.48655e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00393514");
-            index_3 ("0.497986, 0.525794, 0.540664, 0.569187, 0.645179, 0.665959, 0.678552, 0.707352, 0.717764, 0.727685, 0.744968, 0.74856, 0.795447, 0.809546, 0.827111, 0.839566, 0.856174, 0.878701");
-            values ( \
-              "-0.00722146, -0.00832706, -0.0102495, -0.0145571, -0.0274021, -0.0306134, -0.031986, -0.0343071, -0.0349733, -0.0351941, -0.0332035, -0.0322327, -0.0121368, -0.00792247, -0.00443294, -0.00287202, -0.00154635, -0.000723922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.007169");
-            index_3 ("0.498067, 0.52736, 0.559363, 0.581717, 0.61723, 0.682276, 0.713341, 0.728331, 0.740698, 0.758538, 0.766187, 0.77872, 0.791012, 0.815085, 0.82256, 0.852953, 0.870147, 0.881049, 0.888004, 0.897277, 0.914103, 0.921576, 0.932666, 0.947453, 0.977027, 1.02152, 1.07518");
-            values ( \
-              "-0.00805965, -0.00957232, -0.0147263, -0.0186981, -0.0254185, -0.038337, -0.0447631, -0.0476376, -0.0493974, -0.0512234, -0.0517921, -0.0522258, -0.0517774, -0.0460525, -0.0428415, -0.0276241, -0.0200241, -0.0159986, -0.0137713, -0.0111854, -0.00751929, -0.00627459, -0.0047688, -0.00326275, -0.00144705, -0.000354482, -5.71965e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130604");
-            index_3 ("0.511392, 0.558275, 0.605579, 0.657136, 0.742091, 0.781465, 0.816565, 0.849506, 0.882179, 0.914843, 0.962871, 0.994579, 1.02016, 1.03341, 1.05858, 1.08686, 1.10953, 1.15489, 1.17727");
-            values ( \
-              "-0.0131933, -0.0156864, -0.0248655, -0.0357418, -0.0555096, -0.0638719, -0.0698474, -0.0730464, -0.0709226, -0.0601214, -0.0373767, -0.0247756, -0.0170886, -0.0139511, -0.00929927, -0.00581169, -0.00392628, -0.00168649, -0.00123283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0237934");
-            index_3 ("0.536501, 0.608368, 0.680703, 0.743805, 0.780477, 0.823322, 0.867439, 0.892561, 0.923019, 0.967853, 1.01265, 1.01547, 1.04178, 1.13513, 1.1602, 1.19364, 1.2087, 1.25478, 1.28091, 1.30002, 1.32306, 1.35377, 1.40996, 1.46615, 1.52234, 1.57853");
-            values ( \
-              "-0.0229052, -0.0266671, -0.0426928, -0.058564, -0.0670371, -0.0763992, -0.0853168, -0.0898105, -0.0942799, -0.097018, -0.0903295, -0.0895461, -0.080731, -0.0439724, -0.0356445, -0.0263295, -0.0228284, -0.014584, -0.0110747, -0.00905093, -0.00708129, -0.00507961, -0.00271309, -0.00144219, -0.000764865, -0.000404723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0433466");
-            index_3 ("0.53607, 0.601969, 0.681982, 0.781594, 0.894999, 0.935765, 0.991956, 1.04104, 1.07565, 1.13184, 1.14175, 1.16156, 1.20118, 1.22432, 1.3409, 1.39709, 1.48519, 1.53032, 1.56868, 1.61076, 1.66695, 1.73541, 1.78727, 1.84346, 1.95584, 2.01693");
-            values ( \
-              "-0.0246713, -0.0259523, -0.0442422, -0.069235, -0.0941031, -0.101841, -0.110821, -0.116225, -0.118261, -0.117968, -0.117248, -0.11534, -0.108296, -0.102544, -0.0654966, -0.0501429, -0.0316525, -0.0246314, -0.0198045, -0.0155008, -0.0111031, -0.00736582, -0.00536336, -0.00380056, -0.00189604, -0.00150819" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00224347", \
-            "0.0025184", \
-            "0.00268256", \
-            "0.00277966", \
-            "0.00283262", \
-            "0.00286445" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00276374", \
-            "0.00298453", \
-            "0.00319961", \
-            "0.00358092", \
-            "0.00434522", \
-            "0.00471895" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.00384697, 0.00390232, 0.00394073, 0.0039673, 0.00398346, 0.00399286", \
-            "0.00371848, 0.00380916, 0.00387839, 0.00393024, 0.00396417, 0.00398497", \
-            "0.00359465, 0.00367012, 0.00376149, 0.00384174, 0.00390589, 0.00396285", \
-            "0.00359662, 0.00361703, 0.00367162, 0.00375329, 0.00383337, 0.00387014", \
-            "0.00388705, 0.00386736, 0.00383534, 0.00380989, 0.00382897, 0.00386916", \
-            "0.00479125, 0.00465733, 0.00449579, 0.00432788, 0.00414249, 0.00402385" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "-0.000494046, -0.000448742, -0.000414774, -0.000392431, -0.000378684, -0.000370482", \
-            "-0.000567368, -0.000489085, -0.000428016, -0.000377941, -0.000355598, -0.000338296", \
-            "-0.000702161, -0.000606119, -0.000506445, -0.000420502, -0.000364366, -0.000332024", \
-            "-0.000831922, -0.000733894, -0.000632507, -0.000523568, -0.000435279, -0.000371032", \
-            "-0.000766185, -0.000744466, -0.000699949, -0.000617396, -0.000525407, -0.000440092", \
-            "-8.27992e-05, -0.000237368, -0.000374744, -0.000460414, -0.000471664, -0.000446014" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00385981, 0.00563491, 0.00886878, 0.0147602, 0.0254932, 0.0450464");
-          values ( \
-            "0.00376999, 0.00377028, 0.00377029, 0.00377048, 0.00377034, 0.00377088", \
-            "0.00362818, 0.0036284, 0.00362837, 0.00362861, 0.00362848, 0.00362838", \
-            "0.00347501, 0.0034749, 0.00347532, 0.00347514, 0.00347521, 0.00347504", \
-            "0.00342037, 0.00341797, 0.0034162, 0.00341724, 0.00341259, 0.00341738", \
-            "0.0036321, 0.00363545, 0.00363869, 0.00363256, 0.00363072, 0.00362716", \
-            "0.00453595, 0.00451978, 0.00451639, 0.00451506, 0.0045189, 0.00451492" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00393474, 0.00570984, 0.0089437, 0.0148351, 0.0255681, 0.0451213");
-          values ( \
-            "0.00240552, 0.00240565, 0.00241004, 0.0024099, 0.00240956, 0.00240945", \
-            "0.00225785, 0.0022631, 0.00226299, 0.00226307, 0.00226511, 0.00225849", \
-            "0.00210948, 0.00210794, 0.00210685, 0.0021067, 0.0021077, 0.00210633", \
-            "0.00204728, 0.0020456, 0.00204959, 0.00204694, 0.00204521, 0.00204344", \
-            "0.00226178, 0.00225644, 0.0022666, 0.00226169, 0.00226267, 0.00225921", \
-            "0.00328474, 0.00328257, 0.00328007, 0.00328651, 0.00328821, 0.00328495" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00283206;
-      rise_capacitance : 0.00283206;
-      rise_capacitance_range (0.00167873, 0.00283206);
-      fall_capacitance : 0.00281392;
-      fall_capacitance_range (0.00153868, 0.00281392);
-      receiver_capacitance () {
-        when : "!OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00131828, 0.00141411, 0.00145584, 0.00147777, 0.00148852, 0.00149362" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00155599, 0.00157989, 0.00159101, 0.00159459, 0.00159631, 0.00159703" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00144925, 0.00155713, 0.00160136, 0.00162385, 0.0016351, 0.00164052" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00158889, 0.00157667, 0.00157116, 0.00156911, 0.00156824, 0.00156801" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00151572, 0.00168302, 0.00175581, 0.00179095, 0.00180762, 0.0018154" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00181429, 0.00182268, 0.0018278, 0.00182919, 0.00183067, 0.00183186" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00138933, 0.0015059, 0.0015579, 0.00158291, 0.0015957, 0.0016017" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00163351, 0.00167406, 0.00169318, 0.0017006, 0.0017034, 0.00170455" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00188339, -0.0018899, -0.00187916, -0.00187058, -0.00188395, -0.00188325" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00188339, 0.0018899, 0.00187916, 0.00187058, 0.00188395, 0.00188325" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "-0.00166052, -0.00166543, -0.00167026, -0.00167044, -0.00166767, -0.00166725" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00167658, 0.00168093, 0.00168001, 0.00167044, 0.00167626, 0.00167313" \
-          );
-        }
-      }
-    }
-    pin (OE) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00360546;
-      rise_capacitance : 0.00360546;
-      rise_capacitance_range (0.00267645, 0.00360546);
-      fall_capacitance : 0.00348555;
-      fall_capacitance_range (0.00253073, 0.00360546);
-      receiver_capacitance () {
-        when : "A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00222747, 0.00262433, 0.0029079, 0.00313895, 0.00332195, 0.00352292" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00305629, 0.00334071, 0.00363768, 0.00423827, 0.00423226, 0.00391912" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00224347, 0.0025184, 0.00268256, 0.00277966, 0.00283262, 0.00286445" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00276374, 0.00298453, 0.00319961, 0.00358092, 0.00434522, 0.00471895" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00220835, 0.00259967, 0.00288333, 0.00312131, 0.00330673, 0.00350584" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00303686, 0.00335235, 0.00365198, 0.00422839, 0.00423391, 0.00392193" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00223797, 0.00251787, 0.00268282, 0.00277992, 0.00283281, 0.00286448" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00275633, 0.00296521, 0.00317351, 0.00355157, 0.00432459, 0.00471119" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00143592, 0.00130922, 0.00116277, 0.00112128, 0.00131924, 0.00235156" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00454818, 0.00439507, 0.00428036, 0.0043153, 0.00466151, 0.00584088" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00129381, 0.00115027, 0.00100743, 0.000969275, 0.00117023, 0.00220165" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          values ( \
-            "0.00464012, 0.00450313, 0.00440067, 0.00446174, 0.0047996, 0.00597365" \
-          );
-        }
-      }
-    }
-  }
-  cell (TIEHI) {
-    area : 4.3956;
-    cell_footprint : "TIEHI";
-    cell_leakage_power : 0;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "Y";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      when : "Y";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      driver_type : open_drain;
-      function : "1";
-      related_power_pin : VDD;
-      max_capacitance : 0.172781;
-    }
-  }
-  cell (TIELO) {
-    area : 4.3956;
-    cell_footprint : "TIELO";
-    cell_leakage_power : 1.36315e-19;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "!Y";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.36315e-19;
-      when : "!Y";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.36315e-19;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      driver_type : open_source;
-      function : "0";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.361305;
-    }
-  }
-  cell (XNOR2XL) {
-    area : 14.1636;
-    cell_footprint : "XNOR2";
-    cell_leakage_power : 0.0493477;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0563726;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0805921;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0530466;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00737964;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0493477;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B) + (!A * !B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0418893;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "B";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.1057, 0.125106, 0.159751, 0.222182, 0.335325, 0.540308", \
-            "0.109191, 0.128655, 0.16337, 0.225844, 0.338976, 0.543933", \
-            "0.117761, 0.137387, 0.172331, 0.235045, 0.348294, 0.553273", \
-            "0.132307, 0.152169, 0.187427, 0.250541, 0.364023, 0.569185", \
-            "0.146233, 0.166066, 0.201348, 0.264552, 0.378529, 0.583926", \
-            "0.1487, 0.169017, 0.204527, 0.267939, 0.381912, 0.587254" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0690234, 0.0963416, 0.145653, 0.234842, 0.395918, 0.686979", \
-            "0.0690153, 0.0963388, 0.145655, 0.234842, 0.395916, 0.686979", \
-            "0.0690336, 0.0963473, 0.145663, 0.234842, 0.395921, 0.686979", \
-            "0.0694904, 0.0966011, 0.14573, 0.23478, 0.395823, 0.68698", \
-            "0.0696629, 0.0963435, 0.145523, 0.234557, 0.395851, 0.686994", \
-            "0.0727067, 0.098687, 0.146622, 0.234621, 0.394924, 0.686469" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0963071, 0.107952, 0.128618, 0.165586, 0.232308, 0.352709", \
-            "0.100906, 0.112596, 0.133224, 0.170258, 0.236929, 0.357304", \
-            "0.113854, 0.125552, 0.146212, 0.183183, 0.249836, 0.370166", \
-            "0.142985, 0.154591, 0.175305, 0.212472, 0.27909, 0.399167", \
-            "0.191706, 0.204103, 0.225405, 0.262864, 0.329382, 0.44928", \
-            "0.27233, 0.285402, 0.307428, 0.344915, 0.41199, 0.532566" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0604198, 0.0750386, 0.10165, 0.150533, 0.240033, 0.402716", \
-            "0.060405, 0.0750338, 0.101664, 0.150508, 0.240049, 0.402743", \
-            "0.0603676, 0.0749917, 0.101635, 0.15053, 0.240048, 0.40275", \
-            "0.0610238, 0.0755279, 0.10193, 0.150642, 0.240073, 0.402754", \
-            "0.0587329, 0.0735629, 0.100584, 0.149431, 0.23928, 0.402706", \
-            "0.0610443, 0.0750269, 0.10044, 0.148108, 0.236655, 0.400065" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0665938, 0.069516, 0.0723885, 0.0769593, 0.0844586, 0.0961464, 0.103735, 0.115295, 0.140807, 0.1628, 0.182556, 0.204257, 0.220213, 0.246948, 0.282919, 0.311636");
-            values ( \
-              "0.0139846, 0.0393722, 0.0417326, 0.0433941, 0.0444423, 0.0433466, 0.0420545, 0.0389399, 0.0234953, 0.0145777, 0.0094838, 0.00583283, 0.00405824, 0.00216899, 0.000922576, 0.000470931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390831");
-            index_3 ("0.0664858, 0.0705618, 0.0746008, 0.077666, 0.0809993, 0.0873359, 0.0934802, 0.106093, 0.119084, 0.132671, 0.146449, 0.162499, 0.171314, 0.183066, 0.195105, 0.212686, 0.226439, 0.236766, 0.248273, 0.263625, 0.27792, 0.296982, 0.317376, 0.350565, 0.394818, 0.425033");
-            values ( \
-              "0.0186513, 0.050503, 0.0537708, 0.0550491, 0.0560322, 0.0565407, 0.0564795, 0.055157, 0.0531503, 0.0502667, 0.0449922, 0.0358489, 0.031429, 0.0262807, 0.0219049, 0.0165643, 0.013197, 0.0110905, 0.0090906, 0.00693288, 0.00537127, 0.00379076, 0.00260669, 0.0014021, 0.00058488, 0.000374058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0070716");
-            index_3 ("0.0665573, 0.0719429, 0.078042, 0.0815359, 0.0876971, 0.0972954, 0.106776, 0.126934, 0.146336, 0.168716, 0.177093, 0.188262, 0.21403, 0.234508, 0.250179, 0.266982, 0.283109, 0.309385, 0.320321, 0.342192, 0.358546, 0.371821, 0.389522, 0.419668, 0.45419, 0.481663, 0.53661, 0.613265, 0.689918");
-            values ( \
-              "0.0342159, 0.0603682, 0.064719, 0.0658012, 0.0668833, 0.066874, 0.0663679, 0.0644328, 0.0622052, 0.0587443, 0.0567324, 0.0532387, 0.0431346, 0.0356891, 0.0305889, 0.0257227, 0.0215804, 0.0159722, 0.0140235, 0.0107327, 0.00875107, 0.00739931, 0.00589748, 0.00396853, 0.00252104, 0.00174715, 0.000810316, 0.000261629, 8.33444e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127952");
-            index_3 ("0.0678086, 0.0794382, 0.0839614, 0.0918715, 0.0994561, 0.130587, 0.163796, 0.195482, 0.223005, 0.243504, 0.266774, 0.320776, 0.362505, 0.406242, 0.443048, 0.462903, 0.498722, 0.528337, 0.552273, 0.582222, 0.624422, 0.669297, 0.703821, 0.77287, 0.849524, 1.00283");
-            values ( \
-              "0.0695147, 0.07199, 0.0736747, 0.0745137, 0.0747706, 0.0732446, 0.0710361, 0.0686785, 0.0661307, 0.0633647, 0.0588655, 0.0453879, 0.0355136, 0.026559, 0.0203169, 0.0174852, 0.0131957, 0.0103738, 0.00851642, 0.00662321, 0.0046117, 0.00313557, 0.00232122, 0.00124721, 0.000621164, 0.00015296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0231513");
-            index_3 ("0.067584, 0.0848597, 0.0944657, 0.107635, 0.146163, 0.228213, 0.284452, 0.331049, 0.366837, 0.405626, 0.477919, 0.564809, 0.636826, 0.697011, 0.728998, 0.787362, 0.849108, 0.875395, 0.924137, 0.992843, 1.0376, 1.12141, 1.19806, 1.27471, 1.42802, 1.58133");
-            values ( \
-              "0.0747884, 0.0785391, 0.0797689, 0.0798599, 0.0785745, 0.0753936, 0.0728616, 0.070311, 0.0674703, 0.063069, 0.0523992, 0.039079, 0.0293119, 0.0224634, 0.0193842, 0.0146531, 0.0107785, 0.00944377, 0.00734124, 0.00512429, 0.00404192, 0.00258392, 0.00171213, 0.00112154, 0.000482879, 0.000206204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0418893");
-            index_3 ("0.0712849, 0.0713049, 0.205021, 0.328815, 0.445858, 0.522512, 0.599274, 0.657217, 0.733871, 0.970544, 1.091, 1.18479, 1.28758, 1.43178, 1.52369, 1.66652, 1.79073, 1.94404, 2.25065, 2.33021");
-            values ( \
-              "1e-22, 0.0875022, 0.0812558, 0.0784605, 0.0753899, 0.0730463, 0.0695496, 0.065681, 0.0594642, 0.0378504, 0.0282854, 0.0220903, 0.0165929, 0.0108908, 0.00825761, 0.00531611, 0.00359899, 0.00220563, 0.000805631, 0.000692219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.080208, 0.0836705, 0.0865415, 0.0911104, 0.0981169, 0.0999529, 0.103625, 0.117674, 0.127391, 0.134116, 0.149982, 0.15494, 0.16785, 0.176263, 0.185038, 0.199788, 0.214568, 0.229866, 0.247337, 0.262525, 0.28253, 0.309204, 0.344103");
-            values ( \
-              "0.00567098, 0.0393407, 0.0418093, 0.043366, 0.0444723, 0.0442268, 0.044178, 0.0421531, 0.0396483, 0.0368221, 0.0262926, 0.0235062, 0.0176996, 0.0147876, 0.0122353, 0.00886419, 0.00637416, 0.00449739, 0.0030013, 0.00209759, 0.00130756, 0.000682152, 0.000308822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390831");
-            index_3 ("0.0813503, 0.0847882, 0.0888338, 0.0952362, 0.10164, 0.120148, 0.120645, 0.130573, 0.138767, 0.146892, 0.160639, 0.177147, 0.184683, 0.192808, 0.20415, 0.213408, 0.224969, 0.243468, 0.26247, 0.278084, 0.291668, 0.309779, 0.325604, 0.347135, 0.375842, 0.43048, 0.494426");
-            values ( \
-              "0.0316488, 0.0503565, 0.0540251, 0.05589, 0.0568489, 0.0553643, 0.0550922, 0.0536087, 0.0521383, 0.050277, 0.0450015, 0.0356127, 0.0318382, 0.0281642, 0.0237157, 0.0205512, 0.0170879, 0.0125932, 0.00909687, 0.0069017, 0.00541604, 0.00389365, 0.00290987, 0.00195364, 0.00113382, 0.000366866, 9.37818e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0070716");
-            index_3 ("0.0791312, 0.0862453, 0.0913232, 0.0923345, 0.0943571, 0.101975, 0.109457, 0.121054, 0.141222, 0.160613, 0.182986, 0.191322, 0.202437, 0.231125, 0.259346, 0.283464, 0.298923, 0.323689, 0.349738, 0.371288, 0.391083, 0.417477, 0.446465, 0.493429, 0.556047, 0.595567");
-            values ( \
-              "0.0114563, 0.0601347, 0.0646925, 0.0645908, 0.0656849, 0.0669541, 0.0668621, 0.0664314, 0.0644425, 0.0622112, 0.0587463, 0.0567457, 0.0532738, 0.0420265, 0.0320545, 0.0251251, 0.0212202, 0.0159719, 0.0116747, 0.00892093, 0.00694361, 0.00493291, 0.00337602, 0.0018053, 0.000741504, 0.000482675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127952");
-            index_3 ("0.0821935, 0.0936964, 0.0982215, 0.113713, 0.128885, 0.144842, 0.209737, 0.237217, 0.257846, 0.28103, 0.335022, 0.37676, 0.420512, 0.457307, 0.477152, 0.512969, 0.54259, 0.566536, 0.596482, 0.638681, 0.683549, 0.718068, 0.787105, 0.863759, 1.01707");
-            values ( \
-              "0.0710291, 0.0719736, 0.0737884, 0.0748534, 0.0739684, 0.0733268, 0.0686788, 0.066135, 0.0633507, 0.0588652, 0.0453899, 0.0355136, 0.0265561, 0.0203166, 0.0174861, 0.0131968, 0.0103739, 0.00851573, 0.0066231, 0.0046114, 0.00313549, 0.00232156, 0.00124727, 0.000621441, 0.00015315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0231513");
-            index_3 ("0.0822156, 0.0992878, 0.108968, 0.122135, 0.160285, 0.24161, 0.298953, 0.345257, 0.381922, 0.420126, 0.5793, 0.651413, 0.711531, 0.743461, 0.801808, 0.863607, 0.938663, 1.00736, 1.05209, 1.13584, 1.2125, 1.28915, 1.44246, 1.59577");
-            values ( \
-              "0.0758011, 0.0786533, 0.0796757, 0.0799701, 0.0786961, 0.0755402, 0.0728603, 0.0703309, 0.0674164, 0.0630661, 0.0390813, 0.0293017, 0.0224604, 0.0193867, 0.0146564, 0.0107779, 0.00733965, 0.00512444, 0.00404155, 0.00258427, 0.00171323, 0.00112141, 0.000482531, 0.000205765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0418893");
-            index_3 ("0.0857031, 0.0857231, 0.215696, 0.361784, 0.460101, 0.562343, 0.613518, 0.67146, 0.748114, 0.954004, 1.10524, 1.2333, 1.37848, 1.42946, 1.47914, 1.60882, 1.72139, 1.86988, 2.02319, 2.17649, 2.48311, 2.71307");
-            values ( \
-              "1e-22, 0.0879094, 0.0812472, 0.0780315, 0.075475, 0.0720523, 0.0694647, 0.065766, 0.0593792, 0.0405271, 0.0283698, 0.0201054, 0.0132876, 0.0114391, 0.00986603, 0.00664806, 0.0046873, 0.00293304, 0.00179382, 0.0010884, 0.000386436, 0.000240611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.11496, 0.11764, 0.120575, 0.12519, 0.132142, 0.134075, 0.137942, 0.15182, 0.158125, 0.161532, 0.168346, 0.183873, 0.190895, 0.19892, 0.210476, 0.218827, 0.233925, 0.241107, 0.249314, 0.26401, 0.281272, 0.296543, 0.316875, 0.343983, 0.383199");
-            values ( \
-              "0.0198543, 0.0383121, 0.0412007, 0.0429983, 0.0443709, 0.0440999, 0.0441221, 0.0421596, 0.0406502, 0.0396441, 0.0367762, 0.0264009, 0.0225584, 0.0188777, 0.0147679, 0.0123304, 0.00886282, 0.00756384, 0.00628487, 0.00449503, 0.0030133, 0.00210626, 0.00130373, 0.000668507, 0.00025878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390831");
-            index_3 ("0.114948, 0.118913, 0.122994, 0.125918, 0.129424, 0.13579, 0.141926, 0.154544, 0.167535, 0.181122, 0.1949, 0.210949, 0.219766, 0.231521, 0.243553, 0.261132, 0.274888, 0.285219, 0.296725, 0.312075, 0.326367, 0.345423, 0.365821, 0.399014, 0.443271, 0.47409");
-            values ( \
-              "0.0212634, 0.0498222, 0.0533513, 0.0547379, 0.0558397, 0.056483, 0.0564247, 0.0551505, 0.0531489, 0.0502663, 0.0449925, 0.0358495, 0.0314285, 0.0262792, 0.0219065, 0.0165661, 0.0131973, 0.0110898, 0.00909078, 0.00693272, 0.00537144, 0.00379175, 0.0026073, 0.00140248, 0.000584529, 0.000367606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0070716");
-            index_3 ("0.114951, 0.120711, 0.125391, 0.129701, 0.136499, 0.142806, 0.155571, 0.175038, 0.195134, 0.216116, 0.230924, 0.281475, 0.298824, 0.316736, 0.332518, 0.358196, 0.384629, 0.405967, 0.425273, 0.451014, 0.482686, 0.502386, 0.541786, 0.616645, 0.693299");
-            values ( \
-              "0.0283472, 0.0600622, 0.0638941, 0.0656636, 0.0668326, 0.0669923, 0.0663343, 0.0645295, 0.0622408, 0.059036, 0.0552871, 0.0363731, 0.0305827, 0.0255046, 0.0213963, 0.0159306, 0.0115788, 0.00894436, 0.00701463, 0.00495504, 0.00325928, 0.00258279, 0.00145005, 0.000537973, 0.000120016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127952");
-            index_3 ("0.118317, 0.118337, 0.179643, 0.21093, 0.244538, 0.271928, 0.292826, 0.315831, 0.369804, 0.411557, 0.455343, 0.492116, 0.51194, 0.54775, 0.585372, 0.631292, 0.673486, 0.701087, 0.752843, 0.821851, 0.898505, 0.9119");
-            values ( \
-              "1e-22, 0.0772514, 0.0731253, 0.0712135, 0.0686677, 0.0661555, 0.0633319, 0.0588542, 0.0454035, 0.0355227, 0.0265567, 0.020309, 0.0174836, 0.0131947, 0.00971611, 0.0066189, 0.00461484, 0.00363767, 0.00231901, 0.00125097, 0.000618712, 0.000577776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0231513");
-            index_3 ("0.118327, 0.118347, 0.195767, 0.222943, 0.292747, 0.333692, 0.380622, 0.415415, 0.454866, 0.527162, 0.614059, 0.685968, 0.746228, 0.77828, 0.836665, 0.898352, 0.924592, 0.973351, 1.04207, 1.11481, 1.17073, 1.24738, 1.32403, 1.47734, 1.63065");
-            values ( \
-              "1e-22, 0.0821585, 0.0784566, 0.0776516, 0.0747392, 0.0728706, 0.0702809, 0.0675239, 0.0630793, 0.0524076, 0.0390699, 0.0293179, 0.0224723, 0.0193852, 0.0146534, 0.0107829, 0.00944159, 0.00734665, 0.00512042, 0.00348893, 0.00258664, 0.00170759, 0.00112484, 0.000486418, 0.000209826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0418893");
-            index_3 ("0.119271, 0.119291, 0.253946, 0.433935, 0.571456, 0.648218, 0.706161, 0.782815, 1.01949, 1.13995, 1.23373, 1.33652, 1.48072, 1.5801, 1.72019, 1.8279, 1.98121, 2.28783, 2.35176");
-            values ( \
-              "1e-22, 0.0863187, 0.0812403, 0.0770617, 0.0730283, 0.0695308, 0.0657002, 0.0594448, 0.0378717, 0.028307, 0.022113, 0.0166166, 0.0109164, 0.00809707, 0.00526452, 0.00376258, 0.00231799, 0.000865808, 0.000771301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.189918, 0.192755, 0.195852, 0.200628, 0.207272, 0.209653, 0.210354, 0.211755, 0.218286, 0.22462, 0.227947, 0.234601, 0.237518, 0.242393, 0.247949, 0.25874, 0.265073, 0.269838, 0.277894, 0.28721, 0.295712, 0.306893, 0.316116, 0.328415, 0.339688, 0.354329, 0.371305, 0.391064, 0.40686, 0.43845, 0.461131");
-            values ( \
-              "0.0191139, 0.0358571, 0.0394608, 0.0418757, 0.0438959, 0.0437414, 0.0440143, 0.042878, 0.0426757, 0.0420795, 0.0416098, 0.0403151, 0.0394905, 0.0376434, 0.0345109, 0.0270573, 0.0235164, 0.0211434, 0.0177298, 0.0145447, 0.0121053, 0.00947667, 0.00773427, 0.00585684, 0.00453282, 0.00323161, 0.00216428, 0.00136076, 0.000933999, 0.000424966, 0.00027844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390831");
-            index_3 ("0.189914, 0.194321, 0.201159, 0.205137, 0.210284, 0.213358, 0.219435, 0.22255, 0.22878, 0.234407, 0.24727, 0.257322, 0.263129, 0.272114, 0.287313, 0.300276, 0.311145, 0.321432, 0.333628, 0.353723, 0.375711, 0.389363, 0.414436, 0.434164, 0.455375, 0.471729, 0.504436, 0.54658");
-            values ( \
-              "0.0166089, 0.0473594, 0.0533597, 0.0550113, 0.0561007, 0.0550023, 0.0552274, 0.0551551, 0.0548618, 0.0543177, 0.0524228, 0.0502227, 0.0483808, 0.0443099, 0.035656, 0.0294664, 0.0249636, 0.0213328, 0.0175809, 0.0126285, 0.00865865, 0.00680277, 0.00433153, 0.00301216, 0.00203859, 0.00150186, 0.000801965, 0.000392089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0070716");
-            index_3 ("0.189895, 0.196516, 0.202776, 0.210262, 0.212579, 0.220972, 0.226487, 0.231965, 0.241626, 0.251488, 0.271121, 0.292575, 0.307309, 0.357746, 0.375254, 0.393371, 0.409088, 0.434656, 0.461038, 0.482403, 0.501772, 0.527598, 0.549333, 0.578758, 0.617993, 0.692584, 0.769238");
-            values ( \
-              "0.0188355, 0.0580649, 0.0633817, 0.0661886, 0.0650233, 0.0658072, 0.0659539, 0.06586, 0.0653054, 0.0644337, 0.0622787, 0.0589967, 0.0553467, 0.0363978, 0.0306315, 0.0254155, 0.0214116, 0.0159723, 0.0116277, 0.00890541, 0.00696943, 0.00498844, 0.0037511, 0.00254409, 0.00149696, 0.000500494, 0.000162996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127952");
-            index_3 ("0.189921, 0.199686, 0.205753, 0.210297, 0.211998, 0.223771, 0.228159, 0.23401, 0.245198, 0.256484, 0.304554, 0.32138, 0.354624, 0.362374, 0.371231, 0.388946, 0.476329, 0.522558, 0.558176, 0.586154, 0.623809, 0.662164, 0.678628, 0.708399, 0.750464, 0.79482, 0.828888, 0.897023, 0.973677, 1.12698");
-            values ( \
-              "0.026733, 0.0676835, 0.0718052, 0.0734133, 0.0721845, 0.0737084, 0.07393, 0.0740017, 0.0737219, 0.0731406, 0.0699686, 0.0686652, 0.065506, 0.0644411, 0.0630641, 0.0596648, 0.0381554, 0.0283772, 0.0219992, 0.0178364, 0.0132743, 0.00971727, 0.00848344, 0.00660245, 0.00460701, 0.00314696, 0.00233513, 0.00126931, 0.000628816, 0.000153165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0231513");
-            index_3 ("0.194404, 0.194424, 0.295182, 0.328407, 0.409403, 0.469223, 0.510368, 0.531922, 0.564318, 0.679167, 0.770542, 0.825407, 0.86813, 0.925095, 0.994508, 1.03587, 1.11252, 1.20467, 1.27867, 1.35532, 1.43198, 1.58529, 1.73859");
-            values ( \
-              "1e-22, 0.0804296, 0.0777187, 0.0764459, 0.0729406, 0.0694614, 0.0657067, 0.0630345, 0.0584885, 0.040759, 0.0283822, 0.0222454, 0.0182392, 0.0138388, 0.00977841, 0.00791493, 0.0052882, 0.00325042, 0.00218333, 0.00143754, 0.000948836, 0.000410883, 0.000178034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0418893");
-            index_3 ("0.198598, 0.198618, 0.337361, 0.455265, 0.572307, 0.64896, 0.725723, 0.783666, 0.86032, 1.09699, 1.21745, 1.34549, 1.41401, 1.53338, 1.65764, 1.72106, 1.83361, 1.98204, 2.13535, 2.28866, 2.42147");
-            values ( \
-              "1e-22, 0.0884232, 0.0811126, 0.0784428, 0.0754121, 0.0730235, 0.0695244, 0.065707, 0.0594376, 0.0378808, 0.0283164, 0.0201563, 0.0166279, 0.0117611, 0.00810898, 0.0066916, 0.00473071, 0.00297654, 0.00183668, 0.00113071, 0.000788533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.344899, 0.355926, 0.357432, 0.361196, 0.365719, 0.370863, 0.380173, 0.389482, 0.398813, 0.400922, 0.408153, 0.421832, 0.42584, 0.43042, 0.439111, 0.449573, 0.46153, 0.470643, 0.481184, 0.495238, 0.505725, 0.507469, 0.510159, 0.524582, 0.544618, 0.555128, 0.576148, 0.618189, 0.668262");
-            values ( \
-              "0.000386646, 0.0349033, 0.0363467, 0.0385577, 0.0403207, 0.0414858, 0.0420894, 0.041359, 0.03954, 0.0388494, 0.0354324, 0.0263456, 0.0240764, 0.0217378, 0.017976, 0.0144091, 0.0111165, 0.00913099, 0.00722973, 0.00524881, 0.004138, 0.0035367, 0.00330176, 0.00252196, 0.00159902, 0.0012514, 0.000751436, 0.000253285, 6.27734e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390831");
-            index_3 ("0.346337, 0.356367, 0.364123, 0.37002, 0.377882, 0.382204, 0.387221, 0.39222, 0.40222, 0.411539, 0.41892, 0.432679, 0.448295, 0.45798, 0.470892, 0.476964, 0.493965, 0.51352, 0.529541, 0.538983, 0.554193, 0.569838, 0.590698, 0.604299, 0.625348, 0.653414, 0.693895");
-            values ( \
-              "0.0019786, 0.0445866, 0.050494, 0.0527883, 0.0542815, 0.0545789, 0.0546071, 0.0543906, 0.0534216, 0.0519682, 0.0503567, 0.0451879, 0.0362741, 0.0313902, 0.0258175, 0.0235647, 0.0180883, 0.0127734, 0.00983813, 0.00838495, 0.0064141, 0.00484328, 0.00329959, 0.00257156, 0.00174103, 0.00102076, 0.000511767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0070716");
-            index_3 ("0.346214, 0.362439, 0.374004, 0.381604, 0.393631, 0.413188, 0.433245, 0.458275, 0.474772, 0.515814, 0.55952, 0.60003, 0.644359, 0.68125, 0.744389, 0.792245, 0.822523");
-            values ( \
-              "0.0114814, 0.0582743, 0.063589, 0.0649187, 0.0656538, 0.0645506, 0.0624345, 0.0584102, 0.0536321, 0.0372787, 0.0241265, 0.0152421, 0.00884817, 0.00552572, 0.00240405, 0.00124762, 0.00102598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127952");
-            index_3 ("0.351503, 0.360653, 0.365619, 0.371088, 0.379572, 0.387164, 0.400941, 0.42286, 0.450329, 0.484771, 0.505694, 0.50707, 0.527278, 0.554466, 0.620562, 0.682206, 0.720784, 0.740565, 0.785542, 0.815674, 0.840388, 0.870155, 0.912216, 0.956558, 0.990613, 1.05872, 1.13538, 1.28869");
-            values ( \
-              "0.0384872, 0.0622866, 0.066372, 0.0694667, 0.0720922, 0.0733028, 0.0737976, 0.0730642, 0.0713783, 0.0688447, 0.0669567, 0.0660678, 0.063836, 0.0588072, 0.0423967, 0.0288008, 0.0218485, 0.0188573, 0.0132851, 0.01039, 0.00847385, 0.00661089, 0.00459815, 0.00313884, 0.00234404, 0.00126147, 0.000637494, 0.000161612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0231513");
-            index_3 ("0.355876, 0.382921, 0.395355, 0.409354, 0.450909, 0.462329, 0.505717, 0.511145, 0.555173, 0.601464, 0.631523, 0.690728, 0.738706, 0.858211, 0.928565, 0.986361, 1.03542, 1.07362, 1.13765, 1.16556, 1.21373, 1.28201, 1.35305, 1.40748, 1.48413, 1.56078, 1.71409, 1.8674");
-            values ( \
-              "0.076354, 0.0777945, 0.0790779, 0.0794753, 0.0783059, 0.0777543, 0.0762058, 0.0752413, 0.0737234, 0.0714008, 0.069455, 0.0636101, 0.0566641, 0.0383858, 0.0289153, 0.0223875, 0.017822, 0.0148253, 0.0107847, 0.00936063, 0.00730742, 0.00510271, 0.00350797, 0.00262405, 0.0017305, 0.00114192, 0.00049448, 0.000213954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0418893");
-            index_3 ("0.360438, 0.360458, 0.536787, 0.636312, 0.778005, 0.836853, 0.913507, 1.00253, 1.26147, 1.40398, 1.53834, 1.60071, 1.70456, 1.75896, 1.89382, 1.9981, 2.12999, 2.2833, 2.43661, 2.59259");
-            values ( \
-              "1e-22, 0.087124, 0.0802327, 0.0779709, 0.0741376, 0.0720083, 0.067995, 0.0612004, 0.0376892, 0.0265978, 0.0185117, 0.0155233, 0.0114684, 0.00974666, 0.00647232, 0.00469108, 0.00310733, 0.00191575, 0.00117741, 0.00089761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.698965, 0.704376, 0.706977, 0.712498, 0.720918, 0.726402, 0.736629, 0.746592, 0.756662, 0.763621, 0.784741, 0.790254, 0.801732, 0.815548, 0.827004, 0.84447, 0.856817, 0.867445, 0.881616, 0.906063, 0.922726, 0.956052, 0.96269");
-            values ( \
-              "0.00551821, 0.0232643, 0.0262202, 0.0307879, 0.0352898, 0.0371119, 0.0389592, 0.0391997, 0.0380394, 0.0356115, 0.0232638, 0.0206388, 0.0162009, 0.0120694, 0.00941764, 0.00643789, 0.00489267, 0.00386384, 0.00280393, 0.00161009, 0.0010923, 0.00048267, 0.000432386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390831");
-            index_3 ("0.694244, 0.721057, 0.729456, 0.73576, 0.749549, 0.776719, 0.777652, 0.786364, 0.814605, 0.820767, 0.834554, 0.845888, 0.861, 0.874495, 0.891425, 0.907577, 0.922777, 0.943045, 0.960188, 0.98734, 1.02354, 1.0796");
-            values ( \
-              "0.00813316, 0.0443853, 0.0489738, 0.049811, 0.0523852, 0.0500598, 0.0493719, 0.0465778, 0.0319938, 0.0291574, 0.023677, 0.0198753, 0.0155971, 0.0125046, 0.0093861, 0.00707605, 0.00541672, 0.00377047, 0.00276666, 0.00168014, 0.000827116, 0.000257404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070716");
-            index_3 ("0.702112, 0.709983, 0.71573, 0.723074, 0.729902, 0.741333, 0.750931, 0.767661, 0.771116, 0.778026, 0.791435, 0.811853, 0.816453, 0.821709, 0.832223, 0.8621, 0.884964, 0.909036, 0.924306, 0.947698, 0.960285, 0.983317, 1.00251, 1.01789, 1.03698, 1.06368, 1.098, 1.14375, 1.24091, 1.27534");
-            values ( \
-              "0.0225247, 0.0422178, 0.0483388, 0.0539145, 0.0575552, 0.0612203, 0.0628001, 0.0634061, 0.0633191, 0.0629228, 0.0618261, 0.0590383, 0.0580926, 0.0568268, 0.0536534, 0.0421041, 0.0338783, 0.0266694, 0.0226251, 0.0173654, 0.0150018, 0.0113657, 0.00895367, 0.00737959, 0.00578177, 0.00407721, 0.00259237, 0.00138643, 0.00017202, 0.000152083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127952");
-            index_3 ("0.702954, 0.714633, 0.725338, 0.744075, 0.759616, 0.776434, 0.808572, 0.841568, 0.870739, 0.886213, 0.912817, 0.9984, 1.05481, 1.1169, 1.17085, 1.23082, 1.28767, 1.33542, 1.41208, 1.47643");
-            values ( \
-              "0.0263791, 0.0525003, 0.0614673, 0.0692716, 0.0715995, 0.0723139, 0.0710293, 0.0687389, 0.066054, 0.064021, 0.058964, 0.0378396, 0.0261195, 0.016456, 0.0106734, 0.00628681, 0.00391095, 0.00258882, 0.00128336, 0.000750208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0231513");
-            index_3 ("0.703129, 0.72158, 0.731236, 0.739961, 0.754709, 0.767505, 0.785499, 0.803222, 0.847792, 0.93036, 0.990084, 1.0312, 1.08497, 1.22792, 1.30413, 1.38021, 1.42063, 1.49541, 1.60287, 1.667, 1.772, 1.9253, 1.99357");
-            values ( \
-              "0.0280848, 0.0623415, 0.0686641, 0.0727656, 0.0762986, 0.0778727, 0.0781749, 0.078106, 0.0766214, 0.0731244, 0.0695828, 0.06585, 0.0586596, 0.0365517, 0.0267839, 0.0189707, 0.0156254, 0.0107834, 0.00618934, 0.00441979, 0.00252069, 0.00109467, 0.00089606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0418893");
-            index_3 ("0.713659, 0.760296, 0.784356, 0.806809, 0.899692, 1.04302, 1.16976, 1.21561, 1.30432, 1.36068, 1.6197, 1.76222, 1.89655, 1.95892, 2.06279, 2.11722, 2.25207, 2.35633, 2.48819, 2.64149, 2.7948, 2.95481");
-            values ( \
-              "0.0799307, 0.080544, 0.0820079, 0.0820571, 0.0802712, 0.0768972, 0.0731588, 0.0712533, 0.0657016, 0.0611986, 0.0376922, 0.0265998, 0.0185149, 0.015522, 0.0114666, 0.00974771, 0.00647373, 0.00469278, 0.00310935, 0.00191764, 0.00117921, 0.000891179" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00319426, 0.00319843, 0.00320255, 0.00320572, 0.00320791, 0.0032093", \
-            "0.00368269, 0.00368553, 0.003688, 0.00369034, 0.00369218, 0.00369344", \
-            "0.00400523, 0.00400462, 0.00400491, 0.00400609, 0.00400693, 0.00400716", \
-            "0.00425944, 0.00425899, 0.00425854, 0.00425824, 0.00425822, 0.00425833", \
-            "0.00445746, 0.00445707, 0.00445658, 0.00445604, 0.00445557, 0.00445527", \
-            "0.00464202, 0.0046417, 0.00464123, 0.00464033, 0.00463973, 0.00463918" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0042487, 0.00424764, 0.00425263, 0.00425353, 0.00425527, 0.00425649", \
-            "0.00456527, 0.0045616, 0.00455912, 0.00455782, 0.0045574, 0.00455741", \
-            "0.00479783, 0.00479548, 0.00479135, 0.00478674, 0.00478396, 0.00478256", \
-            "0.00531699, 0.00531306, 0.00530838, 0.0053038, 0.00529953, 0.00529709", \
-            "0.00520438, 0.0053141, 0.00540864, 0.00547654, 0.00552071, 0.00554715", \
-            "0.0046111, 0.00462556, 0.00468227, 0.0048209, 0.00500079, 0.00512841" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.00189702, 0.0505908, 0.0580166, 0.0645725, 0.0694027, 0.0763096, 0.0822629, 0.0913502, 0.0988586, 0.10391, 0.112235, 0.120329, 0.127764, 0.136096, 0.144012, 0.150747, 0.158597, 0.163972, 0.176414, 0.179153, 0.180035, 0.181799, 0.185327, 0.204448, 0.227349, 0.254349, 0.27656");
-            values ( \
-              "-0.000398777, -0.0140221, -0.0225007, -0.0263012, -0.0284915, -0.0302665, -0.0321494, -0.0342602, -0.038004, -0.0431049, -0.0503069, -0.0460507, -0.0379, -0.027389, -0.0191705, -0.0137805, -0.00919194, -0.00693037, -0.00356831, -0.00324218, -0.00294945, -0.0028452, -0.00223794, -0.000830611, -0.000401959, -6.13446e-05, -0.000111276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390831");
-            index_3 ("0.00264944, 0.0503006, 0.0563864, 0.0624282, 0.0744475, 0.0894001, 0.0998374, 0.109882, 0.115529, 0.126243, 0.136885, 0.146602, 0.160289, 0.172517, 0.185398, 0.192543, 0.202068, 0.209865, 0.22214, 0.238506, 0.263664, 0.279471");
-            values ( \
-              "-0.00435139, -0.018953, -0.029802, -0.0379916, -0.0448761, -0.0493855, -0.0518022, -0.0565715, -0.0619569, -0.0695974, -0.0628311, -0.0519302, -0.0346835, -0.0227274, -0.0140136, -0.0106218, -0.00733254, -0.00533188, -0.00327957, -0.00176119, -0.000623875, -0.000443422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0070716");
-            index_3 ("0.00494692, 0.0527976, 0.0595565, 0.0618662, 0.0664857, 0.0726105, 0.0831987, 0.101912, 0.114693, 0.128424, 0.136202, 0.151205, 0.167075, 0.198163, 0.209978, 0.220337, 0.227786, 0.237475, 0.24567, 0.255484, 0.269582, 0.276454, 0.286486, 0.299863, 0.326615, 0.368005, 0.418692, 0.527639");
-            values ( \
-              "-0.00655167, -0.0310252, -0.0465893, -0.0505868, -0.0556786, -0.0608834, -0.0658107, -0.070199, -0.0721293, -0.0765511, -0.0819119, -0.0880161, -0.078143, -0.0454011, -0.0347998, -0.0271361, -0.022519, -0.0175372, -0.0141405, -0.010873, -0.00739996, -0.00614169, -0.00467711, -0.00324641, -0.00154034, -0.000482873, -0.000148175, -4.28516e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127952");
-            index_3 ("0.00614271, 0.0494763, 0.057214, 0.0630139, 0.0706706, 0.0809297, 0.0900997, 0.0982346, 0.110229, 0.136637, 0.148993, 0.195989, 0.221677, 0.268732, 0.293385, 0.317119, 0.335039, 0.354885, 0.379131, 0.390834, 0.409176, 0.433634, 0.482548, 0.537021, 0.645968");
-            values ( \
-              "-0.0254941, -0.0262483, -0.0517005, -0.0652128, -0.0755831, -0.0829289, -0.0864737, -0.0883266, -0.0899048, -0.0917189, -0.0928598, -0.103056, -0.0893753, -0.0528737, -0.0371909, -0.025742, -0.0192288, -0.0137963, -0.00907743, -0.00742075, -0.0054013, -0.00351439, -0.00143595, -0.000538942, -0.000104393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0231513");
-            index_3 ("0.00996765, 0.0526498, 0.0622519, 0.0685754, 0.0755456, 0.0852925, 0.0932716, 0.103911, 0.114548, 0.142239, 0.163414, 0.201949, 0.217854, 0.239896, 0.277088, 0.314249, 0.316647, 0.364852, 0.404474, 0.43783, 0.456472, 0.476291, 0.501846, 0.523259, 0.548198, 0.583655, 0.599784, 0.622072, 0.65179, 0.706263, 0.760736, 0.81521, 0.924156, 0.978629");
-            values ( \
-              "-0.0288122, -0.0423989, -0.0737662, -0.0857376, -0.0943731, -0.10104, -0.103995, -0.106044, -0.107327, -0.10815, -0.107982, -0.10832, -0.109313, -0.11178, -0.112531, -0.0990187, -0.0987209, -0.0738719, -0.0543452, -0.0408153, -0.0345034, -0.0287461, -0.0223509, -0.0180792, -0.0141437, -0.00972315, -0.00822596, -0.00651782, -0.00485189, -0.00263123, -0.00154166, -0.000795043, -0.000232227, -0.00021182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0418893");
-            index_3 ("0.0123362, 0.0528633, 0.0664528, 0.0767164, 0.0847505, 0.0940696, 0.105529, 0.117161, 0.132334, 0.171656, 0.288598, 0.31403, 0.34794, 0.360209, 0.384746, 0.423177, 0.47765, 0.518271, 0.619148, 0.673622, 0.702409, 0.73316, 0.766875, 0.810925, 0.847357, 0.890245, 0.944718, 0.978201, 1.02264, 1.07711, 1.13158, 1.24053, 1.34948, 1.5129");
-            values ( \
-              "-0.0387963, -0.0486463, -0.091676, -0.106531, -0.112804, -0.117059, -0.119555, -0.120709, -0.121392, -0.121294, -0.119363, -0.119333, -0.119888, -0.120354, -0.120626, -0.117729, -0.106963, -0.0950649, -0.063792, -0.0491819, -0.0425016, -0.0361672, -0.0301857, -0.0235407, -0.0191127, -0.0149409, -0.0107466, -0.00878989, -0.00671794, -0.00488137, -0.00343428, -0.00174264, -0.000878011, -0.00038047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.00499556, 0.0589657, 0.0646142, 0.0736374, 0.0786821, 0.0845603, 0.106949, 0.108995, 0.113085, 0.127371, 0.13547, 0.142944, 0.152756, 0.159461, 0.166167, 0.172195, 0.179169, 0.184239, 0.191579, 0.196947, 0.207495, 0.221559, 0.244778, 0.280037");
-            values ( \
-              "-0.0066373, -0.00397306, -0.0126727, -0.0227762, -0.0259895, -0.0283613, -0.0345816, -0.0354702, -0.0375841, -0.0505122, -0.045858, -0.03802, -0.0257214, -0.0189489, -0.0136623, -0.0100241, -0.00698955, -0.00535047, -0.00364374, -0.00276358, -0.00162972, -0.000828383, -0.000293954, -0.000167834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390831");
-            index_3 ("0.00698859, 0.0647212, 0.0726843, 0.0797903, 0.0853383, 0.0896642, 0.097713, 0.115514, 0.11839, 0.124142, 0.141446, 0.152089, 0.161833, 0.175454, 0.184194, 0.193229, 0.200617, 0.207738, 0.217234, 0.229175, 0.237396, 0.253839, 0.279557, 0.311467, 0.351569, 0.514989");
-            values ( \
-              "-0.000895361, -0.0176703, -0.0320983, -0.0394079, -0.0427725, -0.044705, -0.0474135, -0.0520814, -0.0532135, -0.0560778, -0.0697275, -0.0627136, -0.0519887, -0.0346958, -0.0258078, -0.0185852, -0.0140394, -0.0106595, -0.00731104, -0.00455913, -0.00330731, -0.0017185, -0.000639301, -0.000221632, -9.88335e-05, -1.79469e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0070716");
-            index_3 ("0.00939856, 0.0633178, 0.0758029, 0.0796418, 0.0847603, 0.0909228, 0.0983745, 0.107582, 0.134854, 0.151372, 0.166389, 0.183223, 0.213814, 0.225172, 0.23535, 0.242867, 0.255412, 0.270758, 0.284836, 0.301643, 0.314932, 0.34151, 0.382904, 0.433472, 0.542418");
-            values ( \
-              "-0.0103676, -0.0184781, -0.049065, -0.0539161, -0.058603, -0.0626289, -0.0656494, -0.0683003, -0.0734331, -0.0809531, -0.0885023, -0.0772706, -0.0449507, -0.0347956, -0.0272584, -0.0225821, -0.0163258, -0.0108494, -0.00739092, -0.00468449, -0.00325721, -0.00155571, -0.000485362, -0.000150885, -4.43822e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127952");
-            index_3 ("0.0133282, 0.0657475, 0.0728128, 0.0790352, 0.0860337, 0.0934613, 0.101905, 0.111447, 0.117851, 0.12639, 0.157579, 0.164338, 0.176795, 0.188457, 0.211306, 0.237083, 0.274368, 0.308745, 0.335174, 0.350436, 0.370096, 0.394419, 0.403071, 0.424556, 0.449111, 0.498221, 0.529706");
-            values ( \
-              "-0.0131067, -0.0302859, -0.0529489, -0.0666433, -0.0757096, -0.0815437, -0.0853852, -0.0879877, -0.089023, -0.0899656, -0.0920985, -0.0928906, -0.0950868, -0.0991681, -0.102661, -0.0892968, -0.0599884, -0.0371781, -0.0246163, -0.0191942, -0.0138145, -0.00907868, -0.00781981, -0.00539348, -0.00350236, -0.00142609, -0.000910935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0231513");
-            index_3 ("0.018205, 0.0717807, 0.0776313, 0.0847249, 0.0929958, 0.100601, 0.110098, 0.120735, 0.130573, 0.157585, 0.178726, 0.217264, 0.232971, 0.255214, 0.292409, 0.329573, 0.33197, 0.380179, 0.419817, 0.453162, 0.471789, 0.491616, 0.517179, 0.538608, 0.563548, 0.599009, 0.622573, 0.667165, 0.721638, 0.776112, 0.830585, 0.885058, 0.994005");
-            values ( \
-              "-0.00629737, -0.0571604, -0.0744449, -0.0872683, -0.0964003, -0.1009, -0.104513, -0.106282, -0.107393, -0.108143, -0.107974, -0.108318, -0.109283, -0.111777, -0.112515, -0.0990234, -0.0986857, -0.0738277, -0.0543742, -0.0408538, -0.0345624, -0.028688, -0.022407, -0.0181341, -0.0140811, -0.0097801, -0.00764406, -0.00478776, -0.00269207, -0.0014791, -0.000856832, -0.000463014, -0.000149615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0418893");
-            index_3 ("0.0286316, 0.0711306, 0.0849284, 0.100166, 0.109822, 0.120682, 0.13251, 0.148106, 0.167545, 0.31231, 0.399932, 0.438419, 0.492892, 0.506436, 0.634398, 0.71254, 0.782141, 0.826193, 0.862626, 0.90552, 0.959993, 1.00831, 1.09242, 1.1469, 1.31032, 1.32896");
-            values ( \
-              "-0.0294898, -0.0613417, -0.0977171, -0.113079, -0.117198, -0.119617, -0.120773, -0.121333, -0.121435, -0.119371, -0.120567, -0.117776, -0.106906, -0.103309, -0.0637429, -0.0436314, -0.0301409, -0.0235797, -0.0191512, -0.0148985, -0.0107854, -0.00807039, -0.00484145, -0.00347139, -0.00127376, -0.00119412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0151155, 0.0964311, 0.102222, 0.108173, 0.114033, 0.119354, 0.123156, 0.130172, 0.145918, 0.150858, 0.157621, 0.165943, 0.174036, 0.181575, 0.1833, 0.191067, 0.198009, 0.205222, 0.210905, 0.216304, 0.223503, 0.230029, 0.233735, 0.241147, 0.25597, 0.276967, 0.302807, 0.336189, 0.49355");
-            values ( \
-              "-0.00340203, -0.0032946, -0.0117892, -0.0190917, -0.0242783, -0.0270197, -0.0284486, -0.030546, -0.0347198, -0.0371303, -0.0427389, -0.0505792, -0.0458027, -0.0379824, -0.0351777, -0.0260046, -0.0189612, -0.0133387, -0.00994389, -0.00751029, -0.00516122, -0.00364142, -0.00303796, -0.00205673, -0.000999386, -0.000364283, -0.000176737, -6.10836e-05, -3.20419e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390831");
-            index_3 ("0.022941, 0.0962908, 0.106531, 0.115426, 0.122628, 0.128172, 0.135878, 0.153337, 0.163562, 0.169209, 0.179923, 0.190562, 0.200309, 0.213809, 0.226314, 0.233609, 0.245381, 0.252297, 0.266245, 0.275524, 0.286127, 0.307335, 0.336424, 0.422704, 0.531651");
-            values ( \
-              "-0.00792437, -0.00422778, -0.0250346, -0.0373422, -0.0423113, -0.0448675, -0.0473482, -0.0518684, -0.0566317, -0.0619311, -0.0696306, -0.0628079, -0.0519065, -0.0348149, -0.0226726, -0.0172781, -0.0110257, -0.0083699, -0.00480252, -0.00337592, -0.00219824, -0.00099049, -0.000319579, -3.89779e-05, -3.51935e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0070716");
-            index_3 ("0.0333082, 0.100936, 0.112498, 0.115765, 0.122301, 0.128907, 0.136958, 0.145889, 0.173407, 0.189888, 0.20494, 0.221765, 0.252483, 0.263772, 0.273761, 0.281328, 0.293965, 0.30936, 0.32342, 0.340147, 0.353364, 0.379798, 0.421115, 0.471591, 0.580538");
-            values ( \
-              "-0.00364802, -0.0180679, -0.0461182, -0.0514054, -0.0581536, -0.0625032, -0.0658099, -0.0682839, -0.0734744, -0.0809063, -0.0885349, -0.0772743, -0.0448343, -0.0347591, -0.0273525, -0.0226354, -0.0163261, -0.0108358, -0.00738368, -0.00468997, -0.00326898, -0.00156677, -0.000490744, -0.000151344, -4.36807e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127952");
-            index_3 ("0.0332807, 0.101016, 0.110428, 0.115037, 0.124511, 0.131911, 0.140208, 0.150488, 0.161239, 0.202765, 0.226833, 0.249772, 0.272629, 0.275462, 0.322413, 0.347173, 0.370978, 0.388841, 0.408575, 0.432899, 0.444642, 0.463099, 0.487707, 0.536924, 0.591397, 0.700344");
-            values ( \
-              "-0.0074117, -0.0226603, -0.0521418, -0.0628498, -0.0760522, -0.0816938, -0.0854519, -0.0881464, -0.0896413, -0.0927822, -0.0983907, -0.103035, -0.0903978, -0.0893647, -0.052943, -0.0371852, -0.0257099, -0.0192227, -0.0138184, -0.0090803, -0.00741807, -0.00538885, -0.00349657, -0.00142029, -0.000533204, -0.00010345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0231513");
-            index_3 ("0, 0.00219546, 0.0228031, 0.0331745, 0.0672988, 0.0872646, 0.0928491, 0.116002, 0.129805, 0.143579, 0.159722, 0.173536, 0.255499, 0.274748, 0.29345, 0.330631, 0.370201, 0.457409, 0.491357, 0.555572, 0.601606, 0.675881, 0.760352, 0.797463");
-            values ( \
-              "-0.000506712, -0.00513354, -0.00498471, -0.007262, -0.0249862, -0.0145111, -0.00381511, -0.0755881, -0.0953374, -0.103012, -0.106478, -0.107574, -0.108397, -0.109472, -0.111938, -0.112386, -0.0986306, -0.0546868, -0.0408865, -0.0223626, -0.0141204, -0.00654654, -0.00266164, -0.0020396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0418893");
-            index_3 ("0, 0.00227117, 0.0149691, 0.0228783, 0.0332499, 0.06853, 0.0771169, 0.0873404, 0.0909815, 0.0927085, 0.118201, 0.130499, 0.139129, 0.148601, 0.159972, 0.182233, 0.202859, 0.342353, 0.367804, 0.43845, 0.476948, 0.531421, 0.544966, 0.672927, 0.751073, 0.82068, 0.876874, 0.944057, 0.99853, 1.04686, 1.13098, 1.18546, 1.23993, 1.34888, 1.36524");
-            values ( \
-              "-0.000331246, -0.00533576, -0.0052242, -0.00563018, -0.00814481, -0.0289087, -0.0259224, -0.018233, -0.00581444, -0.00660776, -0.0883427, -0.106941, -0.113428, -0.117377, -0.119722, -0.121263, -0.121425, -0.119385, -0.119357, -0.120583, -0.117758, -0.106919, -0.103295, -0.0637475, -0.0436343, -0.0301375, -0.021989, -0.0148943, -0.0107892, -0.00806338, -0.00483406, -0.00347765, -0.00247546, -0.0012664, -0.00119646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0, 0.00095182, 0.00215342, 0.0121564, 0.0175446, 0.0278558, 0.0455803, 0.0726758, 0.105173, 0.115337, 0.121877, 0.129351, 0.141324, 0.154883, 0.175345, 0.187233, 0.202473, 0.210289, 0.21215, 0.2131, 0.214999, 0.218796, 0.232635, 0.238047, 0.248143, 0.256518, 0.26462, 0.272476, 0.280429, 0.287002, 0.293298, 0.298406, 0.305149, 0.309075, 0.316926, 0.323972, 0.336446, 0.353078, 0.376109, 0.410587");
-            values ( \
-              "-5.36492e-05, -0.00105987, -0.00110357, -0.000596887, -0.000439584, -0.000301734, -0.000273317, -0.00049241, -0.00127685, -0.00159437, -0.00194114, -0.00201665, -0.00157762, -0.00061488, -0.000725616, -0.0106445, -0.0245754, -0.0290223, -0.027823, -0.0277251, -0.0279224, -0.0290158, -0.0332403, -0.0351745, -0.0420515, -0.0507478, -0.0455121, -0.0377606, -0.0274015, -0.0204533, -0.0150937, -0.0116889, -0.00823645, -0.00673346, -0.00442323, -0.003069, -0.00162992, -0.000740587, -0.000260431, -0.000148423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390831");
-            index_3 ("0, 0.000998695, 0.0022003, 0.0175819, 0.0278896, 0.045754, 0.0731022, 0.10522, 0.125537, 0.13328, 0.145328, 0.160087, 0.180195, 0.198049, 0.206683, 0.210336, 0.213149, 0.216567, 0.226626, 0.243863, 0.247386, 0.254117, 0.259765, 0.27049, 0.281139, 0.290865, 0.304534, 0.313249, 0.322272, 0.329667, 0.336805, 0.346322, 0.358232, 0.366433, 0.382834, 0.408677, 0.430968");
-            values ( \
-              "-1.69289e-06, -0.00129506, -0.0014217, -0.000734313, -0.000530874, -0.00049259, -0.000815698, -0.00204913, -0.00327591, -0.00323967, -0.00234302, -0.00073332, -0.00509734, -0.0305671, -0.0407407, -0.0433302, -0.0421292, -0.0425433, -0.0465066, -0.0516214, -0.0529738, -0.0565341, -0.0617654, -0.0696314, -0.0627328, -0.0519754, -0.0346658, -0.0258089, -0.0185953, -0.0140386, -0.0106517, -0.00730426, -0.00456162, -0.0033069, -0.00172571, -0.000634197, -0.000347448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0070716");
-            index_3 ("0.000106948, 0.00110695, 0.00378767, 0.017684, 0.0279901, 0.0456956, 0.0734518, 0.105328, 0.131121, 0.134341, 0.140782, 0.170057, 0.18304, 0.199491, 0.205421, 0.210444, 0.212756, 0.215258, 0.227386, 0.236459, 0.264106, 0.280617, 0.295657, 0.310689, 0.31248, 0.343233, 0.354503, 0.364442, 0.372023, 0.384684, 0.400092, 0.414148, 0.420956, 0.430854, 0.444052, 0.470447, 0.504681");
-            values ( \
-              "-1e-22, -0.00147602, -0.00177016, -0.00107013, -0.000860918, -0.000800732, -0.00128703, -0.00308111, -0.00510279, -0.00509202, -0.00450071, -0.000562581, -0.0131955, -0.0447138, -0.0543449, -0.0600765, -0.05883, -0.0590186, -0.0645405, -0.0675063, -0.0733898, -0.0808621, -0.0885572, -0.0780263, -0.0772725, -0.0448036, -0.034749, -0.0273775, -0.0226493, -0.016326, -0.010832, -0.00738196, -0.00613782, -0.0046916, -0.00327195, -0.00156989, -0.000676361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127952");
-            index_3 ("0, 0.00097121, 0.00365194, 0.0175445, 0.0278497, 0.0455954, 0.0733824, 0.105192, 0.123129, 0.143364, 0.16904, 0.180939, 0.193312, 0.203868, 0.210308, 0.21114, 0.212802, 0.21481, 0.227687, 0.235632, 0.242711, 0.254098, 0.293576, 0.317635, 0.340573, 0.363431, 0.366262, 0.413376, 0.437976, 0.450445, 0.46168, 0.479635, 0.499548, 0.523762, 0.535453, 0.553757, 0.578162, 0.626972, 0.681445, 0.735919, 0.790392");
-            values ( \
-              "-4.74149e-05, -0.00159949, -0.00201789, -0.00142821, -0.00119585, -0.00118242, -0.00184084, -0.00430189, -0.0065383, -0.0067953, -0.00160088, -0.0125094, -0.0396502, -0.0657359, -0.0761958, -0.0750841, -0.0749425, -0.0754355, -0.0827769, -0.0859146, -0.0877701, -0.0895804, -0.0927923, -0.0983748, -0.103045, -0.0903896, -0.0893661, -0.0528279, -0.0371845, -0.0307461, -0.025751, -0.0192251, -0.0137784, -0.00907118, -0.00741725, -0.0054026, -0.00351854, -0.00144099, -0.000540701, -0.000228016, -0.000104631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0231513");
-            index_3 ("0, 0.00343896, 0.0276338, 0.0455761, 0.0730887, 0.104979, 0.122926, 0.142868, 0.157701, 0.174657, 0.184658, 0.210095, 0.215787, 0.229236, 0.239116, 0.249494, 0.259424, 0.268952, 0.285625, 0.336158, 0.346158, 0.365406, 0.384108, 0.421288, 0.460859, 0.548466, 0.58204, 0.62066, 0.646136, 0.667396, 0.692377, 0.72787, 0.766419, 0.796253, 0.850726, 0.905199, 0.959672, 1.12309");
-            values ( \
-              "-0.000153489, -0.00218331, -0.00147265, -0.00155137, -0.00237438, -0.00551032, -0.00836441, -0.00912092, -0.00559975, -0.00521967, -0.0240641, -0.089804, -0.090742, -0.099509, -0.103535, -0.105901, -0.107029, -0.107618, -0.107986, -0.108103, -0.108415, -0.109456, -0.111953, -0.11237, -0.09862, -0.0545132, -0.040882, -0.0286554, -0.0223841, -0.0181425, -0.0141019, -0.00977195, -0.00655604, -0.00479364, -0.00267107, -0.00149187, -0.000839412, -0.000165405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0418893");
-            index_3 ("0.000385054, 0.00406578, 0.0282587, 0.0461858, 0.073932, 0.105606, 0.123525, 0.143185, 0.157992, 0.174874, 0.210722, 0.244839, 0.267408, 0.299449, 0.433307, 0.458759, 0.529399, 0.567903, 0.635921, 0.763884, 0.84203, 0.911638, 0.955689, 1.03502, 1.08949, 1.13782, 1.22195, 1.27642, 1.40887");
-            values ( \
-              "-1e-22, -0.00228552, -0.0017278, -0.00183541, -0.00282063, -0.00649557, -0.00990283, -0.0111203, -0.0074662, -0.00648338, -0.0995993, -0.117832, -0.120862, -0.121368, -0.119389, -0.119358, -0.120582, -0.117758, -0.103294, -0.0637489, -0.0436361, -0.0301402, -0.0235777, -0.0148973, -0.0107858, -0.00806668, -0.00483746, -0.003474, -0.00168763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.00019084, 0.00119084, 0.00305404, 0.00928276, 0.017521, 0.027011, 0.0459911, 0.078088, 0.132561, 0.187035, 0.241508, 0.276526, 0.305037, 0.314609, 0.333753, 0.354245, 0.362362, 0.380777, 0.390853, 0.402537, 0.411444, 0.424619, 0.435563, 0.444798, 0.452817, 0.460869, 0.468894, 0.470221, 0.476502, 0.484813, 0.490784, 0.497153, 0.501072, 0.511033, 0.515562, 0.523927, 0.530965, 0.54504, 0.566985");
-            values ( \
-              "-1e-22, -0.000440792, -0.000447374, -0.00029609, -0.000179031, -0.000110016, -6.91464e-05, -4.52231e-05, -6.511e-05, -0.000169559, -0.000461153, -0.00075353, -0.000255352, -1e-22, -2e-22, -3.36045e-05, -0.00263505, -0.0102954, -0.015423, -0.0228913, -0.0272943, -0.0326812, -0.0384486, -0.0458803, -0.0508209, -0.0460438, -0.0370756, -0.0350345, -0.027468, -0.0188716, -0.0140942, -0.0102295, -0.00830468, -0.00467207, -0.0037288, -0.00267375, -0.00199515, -0.00109133, -0.00046553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390831");
-            index_3 ("0.000185391, 0.00118539, 0.0030486, 0.0269931, 0.132834, 0.187307, 0.241781, 0.275964, 0.32425, 0.348565, 0.359745, 0.365121, 0.385634, 0.412553, 0.445586, 0.45719, 0.467622, 0.479265, 0.502964, 0.512926, 0.530633, 0.541624, 0.552079, 0.565918, 0.577099, 0.592934");
-            values ( \
-              "-1e-22, -0.000538607, -0.000585538, -0.000202885, -0.000115038, -0.000280353, -0.000756218, -0.00124793, -1e-22, -2e-22, -0.00218572, -0.00522682, -0.0188978, -0.0410292, -0.0564377, -0.0650815, -0.0698085, -0.0618958, -0.0329636, -0.0230741, -0.0123848, -0.0081964, -0.00547363, -0.00323426, -0.00211842, -0.00125836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0070716");
-            index_3 ("1.88491e-05, 0.00499164, 0.0784067, 0.187353, 0.275372, 0.322802, 0.346978, 0.364327, 0.420514, 0.48952, 0.505745, 0.558199, 0.58249, 0.615319, 0.664707, 0.668814");
-            values ( \
-              "-1e-22, -0.000716826, -0.000189329, -0.000445124, -0.00194954, -1e-22, -2e-22, -0.00591988, -0.0616784, -0.0877696, -0.0802038, -0.0298539, -0.0160342, -0.0064496, -0.0014119, -0.0013449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127952");
-            index_3 ("0.000126545, 0.00112655, 0.00509933, 0.0269214, 0.0784194, 0.132893, 0.187366, 0.241839, 0.27567, 0.304078, 0.326665, 0.351394, 0.370773, 0.379893, 0.388443, 0.407571, 0.413902, 0.423908, 0.437897, 0.450361, 0.46424, 0.492035, 0.502992, 0.505853, 0.510897, 0.520957, 0.530033, 0.538755, 0.556201, 0.574978, 0.604722, 0.622234, 0.64094, 0.651006, 0.671139, 0.686615, 0.697815, 0.720217, 0.733554, 0.740335, 0.753897, 0.78102, 0.835267, 0.88974, 0.944214, 0.998687");
-            values ( \
-              "-1e-22, -0.000665213, -0.000829806, -0.000457563, -0.00031729, -0.000357101, -0.000666729, -0.00169975, -0.00284096, -0.00194556, -5.60917e-05, -1e-22, -0.014117, -0.0252303, -0.0369892, -0.0655402, -0.0728953, -0.0805136, -0.0870728, -0.090283, -0.0923626, -0.0949557, -0.0963695, -0.0969393, -0.0964588, -0.100329, -0.1023, -0.101577, -0.0947272, -0.0811427, -0.0577396, -0.0455273, -0.0345833, -0.0296135, -0.0214113, -0.0165874, -0.0137455, -0.00934177, -0.00742467, -0.00660964, -0.00521841, -0.00324012, -0.00117934, -0.000456336, -0.000189849, -9.76826e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0231513");
-            index_3 ("0.000141918, 0.00114192, 0.00511471, 0.0269337, 0.0784169, 0.13289, 0.187363, 0.241837, 0.275721, 0.304087, 0.326659, 0.351409, 0.37073, 0.385768, 0.404967, 0.41546, 0.428676, 0.439715, 0.452972, 0.468116, 0.485445, 0.505868, 0.514126, 0.525834, 0.535167, 0.544523, 0.563127, 0.582477, 0.619668, 0.659232, 0.707451, 0.746554, 0.780397, 0.799545, 0.819203, 0.844579, 0.865646, 0.890672, 0.9262, 0.942419, 0.964884, 0.994838, 1.04931, 1.10378, 1.15826, 1.21273, 1.32168");
-            values ( \
-              "-1e-22, -0.000697449, -0.000906869, -0.000578759, -0.00046192, -0.00054077, -0.000940047, -0.00228752, -0.00379405, -0.00294268, -0.00070873, -1e-22, -0.0163021, -0.0386962, -0.073094, -0.08799, -0.0987755, -0.104112, -0.107659, -0.109733, -0.110556, -0.11083, -0.108243, -0.107437, -0.107984, -0.108226, -0.109378, -0.111912, -0.112391, -0.0986231, -0.0737924, -0.0546382, -0.0408853, -0.0343975, -0.0286109, -0.0223686, -0.0181647, -0.0141145, -0.00977585, -0.00826928, -0.00654933, -0.0047837, -0.00266456, -0.00148925, -0.000836993, -0.000477652, -0.000165726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0418893");
-            index_3 ("0.000198656, 0.00517144, 0.0786316, 0.187578, 0.242051, 0.275462, 0.303787, 0.326326, 0.351045, 0.370339, 0.408678, 0.428462, 0.452744, 0.468266, 0.505474, 0.519068, 0.639323, 0.693797, 0.72001, 0.754962, 0.786216, 0.833397, 0.954993, 1.04431, 1.14104, 1.22967, 1.28414, 1.33661, 1.42366, 1.47814, 1.57632");
-            values ( \
-              "-1e-22, -0.000955128, -0.000605683, -0.00122736, -0.00283961, -0.00468135, -0.00395089, -0.00150695, -0.000268338, -0.0174541, -0.0881392, -0.110502, -0.120921, -0.123363, -0.124671, -0.120726, -0.119392, -0.119719, -0.120529, -0.11903, -0.114587, -0.103284, -0.0656348, -0.042585, -0.0252273, -0.015151, -0.0109624, -0.00800789, -0.00471841, -0.00338028, -0.00209579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.773532, 0.79461, 0.807771, 0.815653, 0.824712, 0.839856, 0.845277, 0.870695, 0.88852, 0.896724, 0.904894, 0.917817, 0.928599, 0.935709, 0.944543, 0.952672, 0.956002, 0.964756, 0.97476, 0.994768, 1.02023, 1.04305");
-            values ( \
-              "-0.00417369, -0.00541071, -0.00866015, -0.0111285, -0.0145648, -0.0209202, -0.0235464, -0.0374581, -0.0496515, -0.0454864, -0.0369535, -0.0217434, -0.0128815, -0.00892991, -0.00561652, -0.00367715, -0.0030796, -0.00200641, -0.00121428, -0.000497451, -0.000178653, -0.000133865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390831");
-            index_3 ("0.777163, 0.799138, 0.811742, 0.826162, 0.868635, 0.904208, 0.915568, 0.937752, 0.957303, 0.977029, 0.987263, 1.00108, 1.01228, 1.03004");
-            values ( \
-              "-0.00835948, -0.00965736, -0.0147558, -0.0219668, -0.049214, -0.0691608, -0.0622034, -0.0351768, -0.0177004, -0.00824683, -0.00548549, -0.00319915, -0.00207075, -0.00111733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070716");
-            index_3 ("0.753377, 0.794951, 0.806267, 0.816957, 0.847626, 0.864684, 0.875428, 0.882835, 0.919749, 0.928324, 0.933586, 0.939144, 0.945243, 0.974986, 0.987887, 1.00255, 1.01448, 1.02488, 1.03232, 1.04719, 1.05378, 1.06092, 1.07044, 1.08949, 1.12758, 1.17299, 1.23614, 1.28884, 1.44887");
-            values ( \
-              "-0.000886031, -0.0113624, -0.0173849, -0.0237425, -0.0472281, -0.0594776, -0.0666149, -0.0709504, -0.0870572, -0.0879776, -0.086526, -0.0835309, -0.0785525, -0.0472302, -0.0354353, -0.0248728, -0.0183265, -0.0139575, -0.0114459, -0.00763411, -0.00638304, -0.00525985, -0.00406251, -0.00240464, -0.000806424, -0.000243589, -1e-22, -0.00016284, -2.39408e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127952");
-            index_3 ("0.753425, 0.797118, 0.816409, 0.848123, 0.864393, 0.883419, 0.903289, 0.912021, 0.921358, 0.952999, 0.963588, 0.97525, 0.997604, 1.04266, 1.07653, 1.09562, 1.11119, 1.12445, 1.13976, 1.16173, 1.17719, 1.2265, 1.27618, 1.30639");
-            values ( \
-              "-0.00593536, -0.0151613, -0.0297027, -0.0599324, -0.0731293, -0.0841246, -0.0922345, -0.0949289, -0.0971241, -0.103097, -0.104025, -0.102333, -0.0915044, -0.0565852, -0.035025, -0.0260357, -0.0202264, -0.0162455, -0.0125508, -0.00858919, -0.0065888, -0.00248733, -0.00127834, -0.000867382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0231513");
-            index_3 ("0.753353, 0.806157, 0.865351, 0.87927, 0.894994, 0.907901, 0.927539, 0.946335, 0.965309, 1.02499, 1.03483, 1.05452, 1.06937, 1.08633, 1.11572, 1.16788, 1.19873, 1.22211, 1.25379, 1.28249, 1.30629, 1.331, 1.36645, 1.40382, 1.43257, 1.48705, 1.54152, 1.59599, 1.65047, 1.75941");
-            values ( \
-              "-0.00587491, -0.0257091, -0.0870224, -0.0957118, -0.102228, -0.105465, -0.108854, -0.110907, -0.11252, -0.114843, -0.114616, -0.112134, -0.108469, -0.102379, -0.0883115, -0.0617839, -0.0480616, -0.038662, -0.0292609, -0.0223054, -0.0176862, -0.0138142, -0.00961759, -0.00655391, -0.00485762, -0.00272386, -0.00152738, -0.000867111, -0.00049484, -0.000173176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0418893");
-            index_3 ("0.753073, 0.81913, 0.854236, 0.881608, 0.910745, 0.930162, 0.953646, 0.987578, 1.14046, 1.18758, 1.21571, 1.24245, 1.27152, 1.39714, 1.47718, 1.51672, 1.56192, 1.60265, 1.65065, 1.70513, 1.75732, 1.83481, 1.88929, 1.94376, 2.05271, 2.11149");
-            values ( \
-              "-0.00271785, -0.0429741, -0.0861191, -0.108573, -0.118203, -0.120694, -0.121951, -0.122204, -0.121595, -0.11926, -0.11551, -0.11031, -0.103033, -0.0644583, -0.0437825, -0.0355895, -0.0278102, -0.0221185, -0.0167778, -0.0121517, -0.00889739, -0.00556474, -0.0039951, -0.00285486, -0.00146291, -0.00120952" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00335625, 0.00336269, 0.00336932, 0.00337449, 0.00337804, 0.00338027", \
-            "0.00378185, 0.00378948, 0.00379691, 0.00380371, 0.00380884, 0.00381231", \
-            "0.00402544, 0.00403058, 0.00403677, 0.00404327, 0.00404875, 0.00405271", \
-            "0.00417039, 0.00417326, 0.00417727, 0.00418208, 0.00418687, 0.00419072", \
-            "0.00425505, 0.00425674, 0.00425912, 0.00426216, 0.00426562, 0.004269", \
-            "0.00431223, 0.00431348, 0.00431533, 0.00431778, 0.00432062, 0.00432356" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00432318, 0.00434785, 0.00437165, 0.00439155, 0.00440351, 0.0044133", \
-            "0.00464839, 0.00467075, 0.00470139, 0.00472757, 0.00475345, 0.00476772", \
-            "0.00496484, 0.00498814, 0.00501687, 0.00505054, 0.00507941, 0.00510001", \
-            "0.00546813, 0.00548154, 0.00550736, 0.00552739, 0.00555013, 0.00557277", \
-            "0.00581406, 0.005898, 0.00599002, 0.00608334, 0.00616457, 0.00622642", \
-            "0.00511226, 0.00512468, 0.00521234, 0.00541299, 0.00561113, 0.00598076" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "~B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "!B";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0626258, 0.0818892, 0.117035, 0.180661, 0.295279, 0.502225", \
-            "0.0660888, 0.0855538, 0.120919, 0.184748, 0.299566, 0.506569", \
-            "0.0762685, 0.095746, 0.131201, 0.195253, 0.310313, 0.517511", \
-            "0.104535, 0.123356, 0.158185, 0.221959, 0.337007, 0.544407", \
-            "0.156634, 0.183924, 0.226069, 0.290051, 0.403969, 0.610679", \
-            "0.242692, 0.281712, 0.34377, 0.437071, 0.571045, 0.775804" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0761049, 0.104782, 0.156789, 0.248862, 0.411958, 0.705425", \
-            "0.0759377, 0.104644, 0.156715, 0.24883, 0.411909, 0.705435", \
-            "0.0751794, 0.104171, 0.156319, 0.248744, 0.411931, 0.70548", \
-            "0.0841899, 0.108701, 0.156712, 0.24821, 0.412079, 0.705573", \
-            "0.11933, 0.145134, 0.184532, 0.263227, 0.414004, 0.705601", \
-            "0.176432, 0.212316, 0.266874, 0.351073, 0.476531, 0.726948" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0439337, 0.0559102, 0.0768366, 0.113934, 0.18058, 0.30091", \
-            "0.0469474, 0.0591594, 0.0802841, 0.117544, 0.184276, 0.304692", \
-            "0.0531851, 0.0656784, 0.087179, 0.124751, 0.191708, 0.312279", \
-            "0.0644524, 0.0795483, 0.103152, 0.141301, 0.208778, 0.3297", \
-            "0.0745147, 0.0962173, 0.128925, 0.177192, 0.250558, 0.372461", \
-            "0.0696638, 0.101563, 0.149894, 0.220447, 0.32186, 0.468786" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.037468, 0.0528247, 0.0801685, 0.129556, 0.21949, 0.382693", \
-            "0.0375063, 0.0528222, 0.0801856, 0.129556, 0.219561, 0.382693", \
-            "0.038176, 0.0529656, 0.0801446, 0.129628, 0.219358, 0.382693", \
-            "0.0482215, 0.0612969, 0.0849388, 0.131092, 0.219456, 0.382691", \
-            "0.0724619, 0.0880789, 0.113151, 0.154658, 0.231766, 0.385305", \
-            "0.11687, 0.138095, 0.170994, 0.222065, 0.30166, 0.435284" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0208961, 0.023781, 0.0303986, 0.0391775, 0.0533823, 0.078353, 0.0886902, 0.106441, 0.124719, 0.14471, 0.17221, 0.209147, 0.251267, 0.271623");
-            values ( \
-              "0.00483822, 0.0491951, 0.0453245, 0.0434443, 0.0370652, 0.0315566, 0.0282969, 0.0200511, 0.0138545, 0.00904227, 0.00488196, 0.00208284, 0.000795634, 0.000528855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390831");
-            index_3 ("0.0209103, 0.0247717, 0.0302298, 0.0330048, 0.0400033, 0.0461325, 0.0545159, 0.0659888, 0.0737343, 0.0811701, 0.106591, 0.118413, 0.140602, 0.154069, 0.172197, 0.187464, 0.200527, 0.210606, 0.226462, 0.240441, 0.259079, 0.275518, 0.298589, 0.32935, 0.386903, 0.401762");
-            values ( \
-              "0.0090187, 0.0639704, 0.0602252, 0.0592953, 0.058275, 0.0567308, 0.0529189, 0.0484607, 0.0462454, 0.0445509, 0.039581, 0.0361036, 0.0269048, 0.0222032, 0.0167817, 0.0131262, 0.0105343, 0.00889082, 0.00671145, 0.00523989, 0.00376798, 0.00277171, 0.00181588, 0.00104549, 0.000311046, 0.000280873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0070716");
-            index_3 ("0.022555, 0.0261355, 0.0312515, 0.0355615, 0.040044, 0.045328, 0.0507241, 0.0577759, 0.0632453, 0.0694782, 0.0782872, 0.0882712, 0.0992679, 0.100786, 0.103823, 0.124623, 0.139524, 0.149952, 0.170498, 0.211508, 0.221136, 0.233973, 0.259646, 0.269897, 0.272271, 0.286516, 0.301889, 0.322106, 0.33913, 0.35898, 0.387241, 0.417862, 0.44154, 0.488895, 0.572677, 0.656459");
-            values ( \
-              "0.0660215, 0.076401, 0.0730831, 0.0723476, 0.0719452, 0.0738991, 0.0727778, 0.0667406, 0.0635443, 0.0612239, 0.0586307, 0.0566812, 0.0549045, 0.0548206, 0.0543525, 0.0521078, 0.0501552, 0.0483447, 0.0434546, 0.0302304, 0.0274817, 0.0241873, 0.0180868, 0.0162372, 0.0156638, 0.0132393, 0.0111038, 0.00854887, 0.00690184, 0.00545719, 0.00369483, 0.0024755, 0.00189725, 0.000932514, 0.00033683, 4.41982e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127952");
-            index_3 ("0.0249147, 0.0249347, 0.0713478, 0.0802739, 0.0898009, 0.101363, 0.114697, 0.169073, 0.18837, 0.228114, 0.239002, 0.25352, 0.32937, 0.35816, 0.396547, 0.413869, 0.441602, 0.473296, 0.501906, 0.535928, 0.58129, 0.603656, 0.627098, 0.720868, 0.80465, 0.888433, 0.972215");
-            values ( \
-              "1e-22, 0.099143, 0.0721752, 0.0696252, 0.0677819, 0.0662302, 0.0649945, 0.0614607, 0.0600531, 0.0556802, 0.0540072, 0.051285, 0.0343269, 0.0284714, 0.021796, 0.0191148, 0.0155535, 0.0120348, 0.00954942, 0.00721009, 0.00498511, 0.00405256, 0.00331523, 0.00144506, 0.000740611, 0.000292047, 0.000198315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0231513");
-            index_3 ("0.0248437, 0.0454759, 0.0578227, 0.0662582, 0.0815326, 0.091844, 0.116766, 0.28162, 0.345645, 0.368631, 0.414603, 0.545933, 0.609107, 0.665628, 0.712536, 0.748734, 0.802888, 0.849011, 0.897061, 0.965812, 1.0562, 1.13998, 1.30755, 1.3871");
-            values ( \
-              "0.0999022, 0.102199, 0.0875945, 0.0825615, 0.0777595, 0.075799, 0.0734756, 0.0669905, 0.0628974, 0.0607749, 0.05552, 0.0364953, 0.0282823, 0.0220749, 0.0177671, 0.0149772, 0.0114561, 0.00909356, 0.00714521, 0.00497219, 0.0030889, 0.00199934, 0.000821821, 0.000662438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0418893");
-            index_3 ("0.0277739, 0.0277939, 0.179041, 0.301899, 0.440642, 0.509922, 0.593704, 0.680224, 0.749098, 0.908806, 1.05199, 1.13578, 1.19684, 1.26736, 1.35114, 1.46569, 1.53873, 1.62251, 1.76981, 1.93738, 2.10494, 2.44007, 2.69142");
-            values ( \
-              "1e-22, 0.0977369, 0.0775367, 0.0750654, 0.0717301, 0.0696103, 0.0657775, 0.0601118, 0.054503, 0.0401717, 0.0287513, 0.0231003, 0.0195907, 0.0161435, 0.0126459, 0.00901773, 0.00728767, 0.00560262, 0.00355837, 0.00210312, 0.00123551, 0.000417746, 0.000219884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0364178, 0.0384962, 0.0397349, 0.044809, 0.0481816, 0.0575915, 0.0673989, 0.084345, 0.0964689, 0.107007, 0.117428, 0.126918, 0.134407, 0.144046, 0.156764, 0.164717, 0.178085, 0.19117, 0.205898, 0.223765, 0.245972, 0.264023, 0.300125, 0.351751, 0.416874");
-            values ( \
-              "0.0250586, 0.0497989, 0.0507503, 0.0471961, 0.0454369, 0.0425187, 0.0370276, 0.0334914, 0.0305304, 0.0264475, 0.0215144, 0.0176229, 0.0151523, 0.0124976, 0.00941352, 0.00796296, 0.00591314, 0.00439708, 0.00313609, 0.00207751, 0.00124128, 0.000807507, 0.000338921, 8.71599e-05, 2.26332e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390831");
-            index_3 ("0.0374385, 0.0396049, 0.0412091, 0.0452787, 0.0484896, 0.0605157, 0.0666029, 0.0789427, 0.0880531, 0.0939827, 0.120853, 0.129608, 0.140028, 0.153676, 0.165273, 0.17654, 0.191562, 0.202927, 0.212069, 0.224146, 0.240147, 0.255726, 0.276499, 0.290782, 0.30731, 0.329348, 0.373425, 0.436538, 0.514052");
-            values ( \
-              "0.0636202, 0.0664476, 0.0659317, 0.0621607, 0.060233, 0.0568919, 0.0539652, 0.0491316, 0.0463702, 0.0449783, 0.0396189, 0.0371596, 0.0330996, 0.0273006, 0.0231572, 0.0195488, 0.0155268, 0.0128037, 0.0109945, 0.00902857, 0.00674726, 0.00511797, 0.00357754, 0.00269735, 0.00199324, 0.00138022, 0.000549043, 0.000191276, 5.74837e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0070716");
-            index_3 ("0.0388253, 0.0388453, 0.0715479, 0.0776379, 0.0836522, 0.0928664, 0.102698, 0.115342, 0.139207, 0.164531, 0.177543, 0.192819, 0.21292, 0.244285, 0.281541, 0.297996, 0.319936, 0.336997, 0.35095, 0.369555, 0.400107, 0.435907, 0.521544, 0.579288");
-            values ( \
-              "1e-22, 0.0842459, 0.06794, 0.0639983, 0.061399, 0.0588251, 0.0566626, 0.0547698, 0.0520991, 0.0484193, 0.0454537, 0.0409755, 0.0341728, 0.0251208, 0.0166195, 0.0137089, 0.0106673, 0.00848702, 0.00712434, 0.00576201, 0.00375372, 0.00234761, 0.000699003, 0.000462325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127952");
-            index_3 ("0.038822, 0.0603976, 0.079981, 0.0948984, 0.115943, 0.144187, 0.202976, 0.24277, 0.266548, 0.353582, 0.41131, 0.456007, 0.510718, 0.550973, 0.596979, 0.671416, 0.815611, 0.815904");
-            values ( \
-              "0.088179, 0.0901065, 0.0744487, 0.0695858, 0.0661559, 0.0638708, 0.0601102, 0.0556875, 0.0516855, 0.0323184, 0.0216932, 0.0155101, 0.0100303, 0.00724215, 0.00487977, 0.00258617, 0.000709339, 0.00070862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0231513");
-            index_3 ("0.0401316, 0.0401516, 0.124177, 0.31613, 0.370675, 0.453747, 0.616412, 0.701182, 0.77173, 0.820255, 0.908796, 0.978855, 1.11281, 1.19659, 1.32772");
-            values ( \
-              "1e-22, 0.0996796, 0.0739713, 0.0659826, 0.0620137, 0.0521488, 0.029179, 0.0200649, 0.0144012, 0.0113133, 0.00726213, 0.00501801, 0.00250756, 0.00157715, 0.00083778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0418893");
-            index_3 ("0.0444128, 0.0444328, 0.193756, 0.316865, 0.455613, 0.524891, 0.608673, 0.695197, 0.764069, 0.923779, 1.06696, 1.15075, 1.21182, 1.36612, 1.48065, 1.5537, 1.63748, 1.78477, 1.95233, 2.1199, 2.45502, 2.70637");
-            values ( \
-              "1e-22, 0.0997606, 0.0775124, 0.0750903, 0.0717039, 0.0696342, 0.0657528, 0.0600896, 0.0545242, 0.0401485, 0.028775, 0.0230767, 0.0195664, 0.0126216, 0.0089934, 0.00731263, 0.00557803, 0.00353316, 0.00207772, 0.00120993, 0.000391879, 0.000245961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0571445, 0.0753871, 0.0794975, 0.0874104, 0.0885318, 0.0897043, 0.0909866, 0.0935512, 0.0958969, 0.103098, 0.108738, 0.119967, 0.128416, 0.132049, 0.138297, 0.155851, 0.163374, 0.164966, 0.174519, 0.187256, 0.194423, 0.196167, 0.199656, 0.206634, 0.220588, 0.225723, 0.227028, 0.23486, 0.245302, 0.261115, 0.277912, 0.290913, 0.316914, 0.365763, 0.424013");
-            values ( \
-              "0.00110422, 0.0279736, 0.0323181, 0.0400117, 0.0442873, 0.0459839, 0.0460499, 0.0449093, 0.043306, 0.0377344, 0.0356921, 0.0336212, 0.0316686, 0.0306065, 0.0283547, 0.0201316, 0.0174625, 0.0167825, 0.01379, 0.0106011, 0.00901555, 0.00876091, 0.00805151, 0.00696227, 0.00502331, 0.00453303, 0.00435218, 0.00364163, 0.00289038, 0.00197384, 0.0013408, 0.00100366, 0.000529564, 0.000161271, 2.97379e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390831");
-            index_3 ("0.05715, 0.0794707, 0.084341, 0.0873789, 0.0889888, 0.0911107, 0.0930092, 0.0964318, 0.0991659, 0.101988, 0.10677, 0.114704, 0.121824, 0.127602, 0.139425, 0.147495, 0.156384, 0.171101, 0.175627, 0.198199, 0.200797, 0.205991, 0.21638, 0.234767, 0.240194, 0.241411, 0.243846, 0.248715, 0.258454, 0.27557, 0.291361, 0.312416, 0.326412, 0.331829, 0.342663, 0.364331, 0.407667, 0.47056, 0.547771");
-            values ( \
-              "0.000491317, 0.0425651, 0.049239, 0.0530257, 0.0590481, 0.061629, 0.060626, 0.0585577, 0.0565975, 0.0548246, 0.0525673, 0.0497018, 0.0473205, 0.0457525, 0.0431821, 0.0416344, 0.0396593, 0.0350604, 0.0329971, 0.024005, 0.0233155, 0.0214295, 0.0184192, 0.0135591, 0.0125602, 0.0121888, 0.0117959, 0.010791, 0.00921047, 0.00676178, 0.00511033, 0.00354574, 0.00269496, 0.0025019, 0.00200134, 0.00139089, 0.000566366, 0.000194044, 9.07836e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0070716");
-            index_3 ("0.0571748, 0.0873755, 0.0891807, 0.0910755, 0.0936317, 0.0986539, 0.102384, 0.107571, 0.114015, 0.120635, 0.128679, 0.138308, 0.151042, 0.164795, 0.174896, 0.193812, 0.200205, 0.21299, 0.228491, 0.248391, 0.262434, 0.264963, 0.270021, 0.280138, 0.29633, 0.317263, 0.322708, 0.333596, 0.355373, 0.37262, 0.377318, 0.386715, 0.405508, 0.435888, 0.446667, 0.450197, 0.457258, 0.47138, 0.499625, 0.556114, 0.639896, 0.723679");
-            values ( \
-              "0.0010391, 0.0653303, 0.0725561, 0.0756722, 0.0748543, 0.0738963, 0.0730314, 0.0696164, 0.0647982, 0.0616382, 0.059237, 0.0569519, 0.0549111, 0.0532261, 0.0521289, 0.0494503, 0.0484058, 0.0455261, 0.0409603, 0.0342487, 0.0302328, 0.0292744, 0.0280298, 0.0250821, 0.0212476, 0.0166201, 0.0157775, 0.0137316, 0.0106888, 0.00850333, 0.00816137, 0.00712713, 0.00573206, 0.0037596, 0.00339012, 0.00312462, 0.00295464, 0.00236519, 0.00171718, 0.000721994, 0.000279277, 2.39436e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127952");
-            index_3 ("0.0794262, 0.0794272, 0.129287, 0.131004, 0.133375, 0.135747, 0.140489, 0.146015, 0.151541, 0.157756, 0.164305, 0.167977, 0.178696, 0.219737, 0.229355, 0.238974, 0.248457, 0.25794, 0.267424, 0.276907, 0.278722, 0.287796, 0.294737, 0.304633, 0.314174, 0.323357, 0.349839, 0.369081, 0.388947, 0.397915, 0.406883, 0.412809, 0.42466, 0.430585, 0.445968, 0.453659, 0.468798, 0.476247, 0.483695, 0.496382, 0.509069, 0.525923, 0.542778, 0.553185, 0.568795, 0.573998, 0.594811, 0.605218, 0.615625, 0.640064, 0.660397");
-            values ( \
-              "1e-22, 0.109596, 0.0704053, 0.069934, 0.0693741, 0.0688545, 0.0679362, 0.0671303, 0.0664217, 0.0657715, 0.0651536, 0.0648405, 0.0640888, 0.0614123, 0.0606485, 0.059818, 0.0589339, 0.057985, 0.0569713, 0.0558927, 0.0556545, 0.0542263, 0.0530031, 0.0511027, 0.0491412, 0.0471482, 0.0410343, 0.0367252, 0.0323943, 0.0305208, 0.02872, 0.0276189, 0.0254953, 0.0244728, 0.0219681, 0.0207615, 0.0184756, 0.0174174, 0.0164109, 0.0149237, 0.0135376, 0.0118967, 0.0103469, 0.00943539, 0.00826331, 0.00790579, 0.0066992, 0.00615215, 0.0056426, 0.00461229, 0.00382616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0231513");
-            index_3 ("0.0763826, 0.0942206, 0.0986536, 0.118373, 0.131411, 0.141638, 0.152975, 0.1637, 0.191674, 0.292522, 0.352685, 0.407229, 0.445888, 0.490311, 0.582095, 0.652998, 0.729472, 0.808304, 0.856838, 0.895629, 0.945393, 1.01546, 1.09106, 1.23321, 1.31699, 1.40077, 1.40351");
-            values ( \
-              "0.0974759, 0.0981682, 0.103476, 0.0832837, 0.078635, 0.0763187, 0.0748349, 0.0738353, 0.0723886, 0.0687012, 0.0659702, 0.0620094, 0.0580117, 0.0521458, 0.0386068, 0.0291755, 0.0208371, 0.0144008, 0.0113127, 0.00931787, 0.00726138, 0.0050174, 0.00337954, 0.00157694, 0.00102856, 0.000632264, 0.000627367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0418893");
-            index_3 ("0.0762346, 0.0911763, 0.0989161, 0.108966, 0.118325, 0.134502, 0.155994, 0.184613, 0.353551, 0.492324, 0.561592, 0.645374, 0.731916, 0.800782, 0.960498, 1.03858, 1.10369, 1.18747, 1.24856, 1.31907, 1.40286, 1.51739, 1.67423, 1.82154, 1.98911, 2.15667, 2.4918, 2.74315");
-            values ( \
-              "0.100794, 0.101162, 0.111797, 0.0959979, 0.0890079, 0.0833424, 0.0800796, 0.078603, 0.0750744, 0.0717068, 0.0696219, 0.0657585, 0.0600962, 0.0545117, 0.040157, 0.0336286, 0.028764, 0.0230859, 0.0195749, 0.0161571, 0.0126306, 0.00900257, 0.00558732, 0.00354189, 0.0020866, 0.00121889, 0.000400839, 0.000237095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.127324, 0.147552, 0.160942, 0.168661, 0.17345, 0.176643, 0.183028, 0.18445, 0.188573, 0.192407, 0.194912, 0.197416, 0.209859, 0.210458, 0.211356, 0.211656, 0.212165, 0.212673, 0.213691, 0.214483, 0.215274, 0.219993, 0.223191, 0.227787, 0.240569, 0.246446, 0.251707, 0.259302, 0.260275, 0.262222, 0.266116, 0.271493, 0.274922, 0.278352, 0.282629, 0.288784, 0.291673, 0.294562, 0.298896, 0.304674, 0.307563, 0.313012, 0.319224, 0.321862, 0.327136, 0.330653, 0.33417, 0.341203, 0.350013, 0.357106");
-            values ( \
-              "0.0147869, 0.0159942, 0.0215021, 0.0245059, 0.0262415, 0.0273333, 0.0293716, 0.0296962, 0.0299177, 0.0300707, 0.0302417, 0.0304558, 0.0321656, 0.0336871, 0.0339115, 0.0339566, 0.0339684, 0.0339578, 0.0338695, 0.0337358, 0.033554, 0.0317355, 0.0304025, 0.0283726, 0.0222227, 0.0197862, 0.0177941, 0.0151582, 0.0148313, 0.0142632, 0.0131768, 0.0117888, 0.0109687, 0.0101982, 0.00930698, 0.00812322, 0.00760134, 0.00712443, 0.00648294, 0.00571309, 0.00535777, 0.00474756, 0.00409052, 0.00383612, 0.00338391, 0.00313366, 0.00289795, 0.00247009, 0.00202475, 0.00169471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390831");
-            index_3 ("0.113495, 0.122934, 0.130235, 0.180936, 0.195921, 0.197908, 0.201882, 0.210336, 0.21333, 0.216695, 0.219828, 0.223959, 0.228563, 0.2359, 0.245365, 0.258166, 0.264536, 0.274771, 0.287327, 0.289727, 0.294526, 0.304126, 0.31412, 0.327389, 0.33046, 0.336602, 0.348671, 0.364666, 0.36985, 0.38022, 0.400958, 0.415295, 0.420826, 0.431888, 0.454013, 0.49006, 0.547046");
-            values ( \
-              "0.00524762, 0.00836587, 0.0114161, 0.0387599, 0.0435677, 0.0437255, 0.0447017, 0.0460896, 0.0501948, 0.0467467, 0.0472114, 0.0441603, 0.0446888, 0.0412536, 0.0407576, 0.0349177, 0.0339213, 0.027911, 0.0248693, 0.0224023, 0.0224427, 0.0178669, 0.0167671, 0.0120473, 0.0130204, 0.0102313, 0.00976869, 0.00599204, 0.00698963, 0.00436482, 0.0043328, 0.00194353, 0.0032609, 0.00123494, 0.00213318, 1e-22, 0.000897139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0070716");
-            index_3 ("0.122985, 0.137974, 0.187741, 0.196572, 0.210353, 0.213232, 0.217139, 0.225748, 0.234932, 0.249286, 0.273204, 0.293357, 0.302806, 0.353427, 0.372915, 0.398898, 0.415565, 0.440254, 0.466518, 0.49792, 0.526105, 0.544708, 0.579774, 0.626528, 0.71031, 0.794092");
-            values ( \
-              "0.0149957, 0.0189845, 0.0533563, 0.0586625, 0.0597259, 0.0622746, 0.0620109, 0.0583849, 0.0561707, 0.0539465, 0.0509102, 0.0474639, 0.0452073, 0.0293335, 0.0240215, 0.0180697, 0.0148847, 0.0110726, 0.00800051, 0.00537163, 0.00375977, 0.00292999, 0.00185013, 0.001008, 0.000281868, 0.000105202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127952");
-            index_3 ("0.123142, 0.152587, 0.17244, 0.189877, 0.192568, 0.197712, 0.204101, 0.21048, 0.21411, 0.218651, 0.227011, 0.23557, 0.24436, 0.267569, 0.301459, 0.332248, 0.342085, 0.367085, 0.399045, 0.477806, 0.534876, 0.556757, 0.581207, 0.613807, 0.635568, 0.673188, 0.716182, 0.75019, 0.802816, 0.872984, 0.956766, 0.979776");
-            values ( \
-              "0.0113521, 0.0328232, 0.0489916, 0.0682203, 0.0695212, 0.0700474, 0.0700789, 0.0713191, 0.0740236, 0.0734416, 0.0697073, 0.0677163, 0.066342, 0.0641223, 0.0619028, 0.059621, 0.0586879, 0.0556437, 0.0499648, 0.0323325, 0.0218105, 0.0185649, 0.0154008, 0.0119524, 0.00998355, 0.00737646, 0.00509626, 0.00381919, 0.00242846, 0.00133967, 0.000602269, 0.000536212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0231513");
-            index_3 ("0.123289, 0.172261, 0.187663, 0.192185, 0.202796, 0.210616, 0.215231, 0.227351, 0.248991, 0.2763, 0.380689, 0.442599, 0.505056, 0.55314, 0.690845, 0.782731, 0.861571, 0.95728, 1.06872, 1.1661, 1.23187, 1.31565, 1.4327");
-            values ( \
-              "0.00895718, 0.0532031, 0.0757799, 0.0776433, 0.0780926, 0.0797055, 0.083729, 0.0782113, 0.0744298, 0.0726049, 0.0687112, 0.0658353, 0.0611013, 0.0556319, 0.0357891, 0.0244245, 0.0170304, 0.0106541, 0.00605708, 0.00362899, 0.00258303, 0.00162919, 0.000948569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0418893");
-            index_3 ("0.143318, 0.171174, 0.187648, 0.210328, 0.213684, 0.23613, 0.246163, 0.273059, 0.312074, 0.441737, 0.580563, 0.64981, 0.733593, 0.820172, 0.889026, 1.07479, 1.19196, 1.27574, 1.33686, 1.40738, 1.52935, 1.60571, 1.67878, 1.76256, 1.85707, 1.99373, 2.1613, 2.32886, 2.58021, 2.83156");
-            values ( \
-              "0.0530512, 0.055238, 0.0816513, 0.0853383, 0.0886253, 0.081819, 0.0803945, 0.0786849, 0.077666, 0.0750349, 0.0717225, 0.0695862, 0.065781, 0.0601236, 0.0544711, 0.037958, 0.0287273, 0.023118, 0.0196048, 0.0161217, 0.0113446, 0.0090336, 0.00726904, 0.00561851, 0.00422205, 0.00276808, 0.00164346, 0.00097506, 0.000429914, 0.000208391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.221496, 0.265335, 0.275918, 0.287285, 0.338048, 0.359708, 0.369476, 0.380413, 0.390184, 0.400409, 0.409678, 0.427546, 0.441176, 0.46296, 0.478692, 0.492265, 0.505867, 0.510246, 0.514613, 0.535694, 0.551843, 0.575121, 0.604625, 0.636096, 0.658109");
-            values ( \
-              "0.000583338, 0.00296557, 0.00385205, 0.00513046, 0.0141831, 0.017266, 0.0183333, 0.0188648, 0.0191827, 0.0199687, 0.0207569, 0.0228556, 0.0232089, 0.0152037, 0.0113734, 0.00867516, 0.00658108, 0.00713133, 0.00694509, 0.00434527, 0.00300296, 0.00175202, 0.000886071, 0.000419154, 0.000287261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390831");
-            index_3 ("0.22415, 0.271441, 0.280079, 0.294586, 0.327247, 0.362146, 0.383965, 0.401281, 0.411681, 0.4275, 0.452803, 0.458383, 0.468847, 0.479691, 0.496347, 0.505748, 0.50798, 0.51162, 0.546357, 0.569606, 0.5958, 0.610113, 0.630504, 0.653163, 0.670775, 0.705998, 0.730677");
-            values ( \
-              "0.000908087, 0.00504653, 0.00616869, 0.00861904, 0.0165281, 0.0236745, 0.0265247, 0.0291935, 0.0300808, 0.0307253, 0.0331995, 0.0340687, 0.0336905, 0.0305577, 0.0240063, 0.0215471, 0.022173, 0.0216565, 0.0125929, 0.00849755, 0.0053694, 0.00415774, 0.0028709, 0.00190596, 0.00138256, 0.00071362, 0.000511728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0070716");
-            index_3 ("0.253007, 0.286432, 0.297642, 0.344074, 0.386069, 0.389691, 0.396933, 0.407073, 0.417185, 0.419127, 0.423012, 0.440869, 0.479009, 0.500878, 0.505743, 0.506838, 0.508298, 0.511218, 0.522311, 0.549183, 0.577213, 0.590173, 0.616093, 0.642143, 0.657683, 0.683867, 0.715231, 0.743447, 0.762103, 0.797298, 0.844225, 0.928007, 1.01179");
-            values ( \
-              "0.00882091, 0.00939182, 0.0118727, 0.0248656, 0.0356237, 0.0370183, 0.0385227, 0.0393724, 0.039201, 0.0395653, 0.0396713, 0.0413256, 0.0462739, 0.0476068, 0.0473155, 0.0481543, 0.0482657, 0.0478829, 0.0447097, 0.0359456, 0.0275233, 0.0240465, 0.01807, 0.0133657, 0.0110704, 0.00801024, 0.00538483, 0.00374788, 0.00293743, 0.00185492, 0.000994527, 0.000289595, 9.60255e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127952");
-            index_3 ("0.253103, 0.294836, 0.297952, 0.356181, 0.384205, 0.39764, 0.420664, 0.433111, 0.513334, 0.521874, 0.530311, 0.561423, 0.582823, 0.613333, 0.693655, 0.750974, 0.796721, 0.851221, 0.889807, 0.933905, 0.966165, 1.01619, 1.08288, 1.16667, 1.17539");
-            values ( \
-              "0.00856572, 0.0135195, 0.0143046, 0.0322495, 0.0443623, 0.0462695, 0.0476854, 0.0488512, 0.0627031, 0.0622006, 0.0611229, 0.0582976, 0.0556564, 0.0502964, 0.032321, 0.0217628, 0.0154375, 0.00999842, 0.00732526, 0.00501526, 0.00381533, 0.0024826, 0.00141037, 0.000638654, 0.00061222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0231513");
-            index_3 ("0.278285, 0.332587, 0.357707, 0.374558, 0.384274, 0.392572, 0.401253, 0.419152, 0.434429, 0.513806, 0.52366, 0.532561, 0.59531, 0.657234, 0.688654, 0.722891, 0.773126, 0.905372, 0.996997, 1.07648, 1.13224, 1.18752, 1.28126, 1.34764, 1.44903, 1.53281, 1.61659, 1.68287");
-            values ( \
-              "0.0204093, 0.0271533, 0.036248, 0.0471195, 0.0494237, 0.0502576, 0.0521926, 0.0533795, 0.05541, 0.0723553, 0.0719698, 0.0710673, 0.0687075, 0.0658296, 0.0637532, 0.0607676, 0.0549393, 0.0357678, 0.0244301, 0.0169769, 0.0129829, 0.00985312, 0.0060983, 0.00431749, 0.00252542, 0.00162895, 0.00102191, 0.000779673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0418893");
-            index_3 ("0.27799, 0.337832, 0.35625, 0.371807, 0.378588, 0.384497, 0.40001, 0.419643, 0.443943, 0.514668, 0.525877, 0.536414, 0.556477, 0.580184, 0.617408, 0.732158, 0.809056, 0.863738, 0.947521, 1.0341, 1.10296, 1.28873, 1.40591, 1.48969, 1.55086, 1.62137, 1.70516, 1.74333, 1.81968, 1.89276, 1.97654, 2.04466, 2.12403, 2.20781, 2.37538, 2.54294, 2.79429, 3.04564");
-            values ( \
-              "0.0197178, 0.030712, 0.0381086, 0.0501994, 0.051716, 0.0518208, 0.0555876, 0.0573872, 0.0615463, 0.0786356, 0.0782586, 0.077434, 0.0772152, 0.0764979, 0.0759006, 0.0733509, 0.0713488, 0.0695482, 0.0658022, 0.0601317, 0.0544586, 0.0379591, 0.0287296, 0.0231136, 0.0195981, 0.0161232, 0.0126567, 0.0113468, 0.00902999, 0.00727154, 0.00561517, 0.00455783, 0.00356805, 0.00276869, 0.0016443, 0.000975928, 0.000428945, 0.000209005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.537282, 0.608242, 0.641394, 0.653112, 0.676549, 0.696095, 0.741155, 0.774045, 0.788793, 0.80568, 0.82067, 0.865306, 0.898832, 0.903603, 0.913146, 0.929535, 0.963819, 0.985737, 1.0036, 1.01955, 1.03135, 1.05495, 1.08636, 1.11116, 1.16075, 1.21591, 1.24151, 1.27638");
-            values ( \
-              "0.00139019, 0.00152303, 0.0022512, 0.00263825, 0.003677, 0.00500925, 0.00886298, 0.0111644, 0.0118962, 0.0122624, 0.012471, 0.0139763, 0.015901, 0.0159979, 0.0155876, 0.0132557, 0.00742217, 0.00510393, 0.00365181, 0.00269157, 0.00212903, 0.00130916, 0.000685777, 0.000406797, 0.000135211, 4.59912e-05, 0.000448294, 0.000245616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390831");
-            index_3 ("0.537462, 0.606979, 0.664128, 0.69606, 0.77408, 0.798723, 0.816902, 0.854617, 0.889822, 0.909812, 0.947885, 0.965998, 1.01384, 1.04608, 1.09887, 1.14709, 1.18533, 1.21598, 1.2368, 1.28254, 1.28534");
-            values ( \
-              "0.00227411, 0.00236298, 0.00457968, 0.00696387, 0.0151544, 0.0167451, 0.0173707, 0.019951, 0.0201895, 0.0210066, 0.023799, 0.0226759, 0.0134523, 0.00872808, 0.00388715, 0.00173232, 0.000900974, 0.000540363, 0.00104794, 0.000463127, 0.000452198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070716");
-            index_3 ("0.537851, 0.611431, 0.650977, 0.673854, 0.697425, 0.765467, 0.836645, 0.854233, 0.858934, 0.883636, 0.9212, 0.952175, 1.01335, 1.03154, 1.09876, 1.14602, 1.17428, 1.21628, 1.22867, 1.25608, 1.29121, 1.35129, 1.41184");
-            values ( \
-              "0.0032866, 0.00363519, 0.00552959, 0.00709839, 0.00929494, 0.0179237, 0.0255856, 0.0266382, 0.026353, 0.0263054, 0.0276187, 0.0293362, 0.0339876, 0.0333291, 0.020733, 0.0130576, 0.00956058, 0.00582513, 0.00596181, 0.00435812, 0.00279172, 0.00126799, 0.000616805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127952");
-            index_3 ("0.537781, 0.632338, 0.680554, 0.707594, 0.744105, 0.83265, 0.880957, 0.899388, 0.94805, 1.01929, 1.09484, 1.10585, 1.11844, 1.14361, 1.2159, 1.22713, 1.28092, 1.31014, 1.36301, 1.40206, 1.42964, 1.47285, 1.51687, 1.55075, 1.61851, 1.70229, 1.72766");
-            values ( \
-              "0.00356435, 0.00617992, 0.00980999, 0.0127892, 0.0178294, 0.0312019, 0.0323065, 0.0325532, 0.0356879, 0.0415225, 0.046646, 0.0468284, 0.0465456, 0.0439336, 0.0311187, 0.0300982, 0.0208878, 0.0167768, 0.011065, 0.00805931, 0.00640737, 0.00444803, 0.00306421, 0.00228945, 0.00126347, 0.000595257, 0.000525531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0231513");
-            index_3 ("0.538363, 0.663105, 0.677399, 0.747405, 0.775016, 0.799315, 0.812852, 0.823632, 0.854077, 0.888904, 0.911056, 1.10912, 1.17973, 1.19811, 1.21648, 1.23148, 1.29024, 1.42924, 1.52122, 1.59986, 1.65502, 1.71172, 1.80788, 1.87175, 1.96951, 2.13707, 2.17858");
-            values ( \
-              "0.00322285, 0.0101474, 0.0114831, 0.0203645, 0.0247507, 0.0311419, 0.0327262, 0.0331456, 0.0361034, 0.0367672, 0.0380387, 0.0565007, 0.0617075, 0.0624821, 0.0627261, 0.062298, 0.0558244, 0.0357639, 0.0243909, 0.017016, 0.013044, 0.00983804, 0.00601298, 0.00431364, 0.00257426, 0.00104362, 0.00088913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0418893");
-            index_3 ("0.608502, 0.70395, 0.773208, 0.799987, 0.805366, 0.811514, 0.846606, 0.868235, 0.884263, 0.925431, 1.08155, 1.17447, 1.21617, 1.2384, 1.27822, 1.30176, 1.38426, 1.46805, 1.4969, 1.55461, 1.62349, 1.80927, 1.92646, 2.01024, 2.07139, 2.14191, 2.2257, 2.26389, 2.34027, 2.41334, 2.49712, 2.56524, 2.64459, 2.72838, 2.81216, 2.89594, 3.06351, 3.31485, 3.5662");
-            values ( \
-              "0.0154314, 0.0161864, 0.0264569, 0.0344424, 0.0343028, 0.0343375, 0.0383559, 0.0393983, 0.0395867, 0.0424972, 0.0598878, 0.0696218, 0.0736063, 0.0738075, 0.072624, 0.0721034, 0.0695938, 0.0657575, 0.0640841, 0.0601107, 0.0544712, 0.0379568, 0.028727, 0.0231128, 0.0195983, 0.0161224, 0.0126566, 0.0113463, 0.00902848, 0.00727111, 0.00561391, 0.00455556, 0.00356551, 0.00277109, 0.00211115, 0.00164699, 0.000978871, 0.00042574, 0.000212475" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00365781, 0.00368186, 0.00370296, 0.00371879, 0.00372932, 0.00373587", \
-            "0.00433768, 0.00437112, 0.00440347, 0.00442942, 0.00444775, 0.00445944", \
-            "0.00480585, 0.00483107, 0.00485917, 0.00488456, 0.00490486, 0.0049187", \
-            "0.00519709, 0.00520591, 0.00521932, 0.00523499, 0.00524927, 0.00525995", \
-            "0.0055333, 0.00551577, 0.00549961, 0.00548907, 0.00548494, 0.00548486", \
-            "0.00583061, 0.00580911, 0.00578619, 0.00575982, 0.00573381, 0.00571384" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00497075, 0.0050047, 0.00503304, 0.00504994, 0.0050585, 0.00506305", \
-            "0.00535117, 0.00535663, 0.00535445, 0.00534687, 0.00534121, 0.00533418", \
-            "0.00566231, 0.00559605, 0.00553283, 0.00548128, 0.00544279, 0.00541812", \
-            "0.00629953, 0.0061649, 0.00604881, 0.0059555, 0.0058897, 0.00584792", \
-            "0.00670049, 0.00661409, 0.00639421, 0.00622439, 0.0061169, 0.00604854", \
-            "0.006272, 0.00630788, 0.00633509, 0.00625228, 0.00599604, 0.00584556" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0234185, 0.025059, 0.026036, 0.0319705, 0.0515282, 0.0568077, 0.0612087, 0.0742732, 0.0826512, 0.0900696, 0.0998182, 0.110307, 0.121826, 0.134992, 0.139064");
-            values ( \
-              "-0.0219096, -0.0732939, -0.0733623, -0.0722829, -0.067205, -0.0640936, -0.0590645, -0.0370538, -0.024759, -0.0166581, -0.00953334, -0.00509527, -0.00253331, -0.00109641, -0.000925331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390831");
-            index_3 ("0.0234757, 0.0258248, 0.0431156, 0.0554645, 0.0619894, 0.0665113, 0.0718418, 0.0802664, 0.0972474, 0.110011, 0.124552, 0.138277, 0.152138, 0.161842, 0.179333");
-            values ( \
-              "-0.0259075, -0.0942659, -0.0888262, -0.0881441, -0.08708, -0.0853377, -0.0817931, -0.0720076, -0.0453055, -0.0288609, -0.0162134, -0.00908662, -0.00497556, -0.00323837, -0.0014897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0070716");
-            index_3 ("0.0234056, 0.0268482, 0.0361343, 0.0417952, 0.0463177, 0.0602063, 0.0662094, 0.0811651, 0.0905505, 0.0970741, 0.109932, 0.13695, 0.15368, 0.171844, 0.189052, 0.201434, 0.216821, 0.227208, 0.247984, 0.269963");
-            values ( \
-              "-0.0365218, -0.11173, -0.1077, -0.105793, -0.10509, -0.106092, -0.106022, -0.10406, -0.100856, -0.0972649, -0.0856624, -0.0525891, -0.0356504, -0.0223317, -0.0139547, -0.00981076, -0.0063119, -0.00465588, -0.0024933, -0.00144588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127952");
-            index_3 ("0.0234353, 0.0285026, 0.0367019, 0.0437979, 0.0489398, 0.0582862, 0.0632372, 0.0698947, 0.082449, 0.0920576, 0.101796, 0.119523, 0.125529, 0.133536, 0.142147, 0.159368, 0.206657, 0.224994, 0.233847, 0.247626, 0.263374, 0.27603, 0.290849, 0.310609, 0.323144, 0.335962, 0.353053, 0.387235, 0.442926, 0.498618");
-            values ( \
-              "-0.0581301, -0.123973, -0.120067, -0.117779, -0.117765, -0.119153, -0.119657, -0.119989, -0.119842, -0.119216, -0.118225, -0.115374, -0.113927, -0.111558, -0.108282, -0.0982176, -0.0588396, -0.0454982, -0.0398614, -0.0322008, -0.0249313, -0.0201877, -0.0156784, -0.0110872, -0.00887459, -0.00706145, -0.00518758, -0.00273371, -0.000892312, -0.000287321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0231513");
-            index_3 ("0.024847, 0.024867, 0.0428381, 0.0745415, 0.119159, 0.154584, 0.187494, 0.223172, 0.253365, 0.366575, 0.418094, 0.464397, 0.522724, 0.585049, 0.649199");
-            values ( \
-              "-1e-22, -0.133896, -0.12635, -0.12962, -0.128634, -0.126031, -0.122337, -0.115333, -0.10436, -0.0473605, -0.029504, -0.0186707, -0.0102014, -0.00527076, -0.00310412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0418893");
-            index_3 ("0.0249647, 0.0249847, 0.0432758, 0.0481985, 0.0622698, 0.0692186, 0.0772872, 0.0889078, 0.11896, 0.164466, 0.248517, 0.289352, 0.308601, 0.338211, 0.369706, 0.425398, 0.459895, 0.54716, 0.602185, 0.63704, 0.665782, 0.702406, 0.750497, 0.794972, 0.850664, 0.897261, 0.947783, 1.00347, 1.05917, 1.17055, 1.28193, 1.39332");
-            values ( \
-              "-1e-22, -0.139095, -0.131655, -0.131476, -0.134304, -0.135142, -0.135754, -0.136116, -0.135838, -0.134403, -0.130687, -0.128132, -0.126646, -0.123809, -0.11965, -0.107565, -0.0971454, -0.0692535, -0.0536486, -0.0450251, -0.0387642, -0.0318411, -0.0242891, -0.0188085, -0.0135549, -0.0102172, -0.00752074, -0.00536369, -0.00377224, -0.0018749, -0.00092506, -0.000452187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0371127, 0.0386472, 0.0401329, 0.0419779, 0.0635017, 0.0680265, 0.0710919, 0.0771225, 0.0893781, 0.0975936, 0.103826, 0.113572, 0.124054, 0.13512, 0.147768, 0.151428");
-            values ( \
-              "-0.0299218, -0.0717567, -0.0728825, -0.0729893, -0.0676687, -0.0657381, -0.0632908, -0.0556192, -0.0347017, -0.0231773, -0.016573, -0.00947398, -0.00506061, -0.00260123, -0.00115446, -0.00105652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390831");
-            index_3 ("0.0372009, 0.0396383, 0.0407772, 0.0437845, 0.0571014, 0.0608909, 0.069319, 0.0773706, 0.0845894, 0.0879744, 0.0924877, 0.111678, 0.122866, 0.129144, 0.136318, 0.14495, 0.150578, 0.161661, 0.168146, 0.181116, 0.204472, 0.231692");
-            values ( \
-              "-0.0227299, -0.0930329, -0.0934601, -0.0930107, -0.0887269, -0.0882627, -0.0880601, -0.0865601, -0.0827357, -0.0797224, -0.074301, -0.0444221, -0.029937, -0.0235445, -0.0176456, -0.0123434, -0.0097203, -0.00601477, -0.00452499, -0.00252429, -0.000807029, -0.000205208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0070716");
-            index_3 ("0.0385435, 0.0408619, 0.0566522, 0.0618424, 0.0780687, 0.0862769, 0.0954314, 0.104447, 0.111138, 0.123992, 0.149882, 0.169067, 0.190705, 0.213614, 0.225428, 0.244735, 0.270479, 0.278464");
-            values ( \
-              "-0.108729, -0.110977, -0.105483, -0.104961, -0.106059, -0.105551, -0.103983, -0.100922, -0.0972533, -0.0856443, -0.053892, -0.0344995, -0.0196242, -0.0103417, -0.00737369, -0.00419599, -0.00190214, -0.00161371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127952");
-            index_3 ("0.0389366, 0.0410254, 0.0426818, 0.0523801, 0.0580107, 0.0627727, 0.0720781, 0.0774616, 0.0839966, 0.0966767, 0.106286, 0.116025, 0.133594, 0.140065, 0.147999, 0.156375, 0.173127, 0.179059, 0.220199, 0.237627, 0.247737, 0.263508, 0.281532, 0.290382, 0.3007, 0.314457, 0.334009, 0.342219, 0.358638, 0.391476, 0.447168, 0.50286");
-            values ( \
-              "-0.121778, -0.12375, -0.123415, -0.119282, -0.117611, -0.117652, -0.119058, -0.119626, -0.11996, -0.119827, -0.119216, -0.118216, -0.115412, -0.113855, -0.111472, -0.108288, -0.0985517, -0.0940429, -0.0593741, -0.046575, -0.0400667, -0.0313672, -0.0233477, -0.0201367, -0.0168988, -0.0133183, -0.0094164, -0.00814163, -0.0060558, -0.00328998, -0.00107127, -0.00034994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0231513");
-            index_3 ("0.0391786, 0.041367, 0.0591108, 0.0772168, 0.0914909, 0.110019, 0.136235, 0.164435, 0.196064, 0.215311, 0.237571, 0.272981, 0.343818, 0.390284, 0.429744, 0.480978, 0.5403, 0.609497, 0.665188, 0.700065");
-            values ( \
-              "-0.130431, -0.132262, -0.125893, -0.128677, -0.129689, -0.129619, -0.128432, -0.126427, -0.123102, -0.120194, -0.115343, -0.101925, -0.0644654, -0.0436694, -0.0303316, -0.0182698, -0.00986913, -0.00472458, -0.00254007, -0.0018124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0418893");
-            index_3 ("0.0392718, 0.0413093, 0.0529879, 0.0560762, 0.0607577, 0.0780082, 0.0846837, 0.0955374, 0.113119, 0.12999, 0.178865, 0.207206, 0.262897, 0.303732, 0.322981, 0.352591, 0.384087, 0.439778, 0.474275, 0.561541, 0.616567, 0.651421, 0.680161, 0.716785, 0.764876, 0.80935, 0.865042, 0.911638, 0.962156, 1.01785, 1.07354, 1.18492, 1.29631, 1.40769");
-            values ( \
-              "-0.135763, -0.137451, -0.132735, -0.131748, -0.131183, -0.134463, -0.135263, -0.135913, -0.136139, -0.13589, -0.134378, -0.133282, -0.130663, -0.128132, -0.126646, -0.123808, -0.119651, -0.107564, -0.097145, -0.0692541, -0.0536478, -0.0450258, -0.0387652, -0.031841, -0.02429, -0.0188092, -0.0135546, -0.010218, -0.00752156, -0.00536345, -0.00377289, -0.00187547, -0.000925579, -0.00045268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0643304, 0.0664082, 0.0689594, 0.0727326, 0.0782787, 0.0816507, 0.0874416, 0.0888246, 0.0905858, 0.0969026, 0.101421, 0.105701, 0.1094, 0.120671, 0.12901, 0.132748, 0.137732, 0.14207, 0.147617, 0.156834, 0.162553, 0.169088, 0.182159, 0.19125");
-            values ( \
-              "-0.0325158, -0.0425983, -0.0488657, -0.0542377, -0.0610947, -0.0637754, -0.0661864, -0.0690307, -0.0693135, -0.0672329, -0.064591, -0.0601779, -0.0545736, -0.0354249, -0.0235948, -0.019364, -0.0146848, -0.0114693, -0.0082952, -0.00476814, -0.00339061, -0.00226568, -0.000997316, -0.000648066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390831");
-            index_3 ("0.0642233, 0.0682514, 0.0704172, 0.0765116, 0.0813219, 0.0874074, 0.0887035, 0.0904819, 0.107622, 0.112819, 0.117712, 0.126158, 0.143198, 0.15436, 0.163893, 0.176331, 0.1842, 0.19075, 0.207645, 0.226954, 0.236172");
-            values ( \
-              "-0.0107094, -0.0592876, -0.0648512, -0.0751249, -0.0808161, -0.0847505, -0.0884461, -0.089275, -0.0870023, -0.0850014, -0.0816906, -0.071947, -0.0452003, -0.0305683, -0.0211581, -0.0126978, -0.00907114, -0.00683578, -0.0032478, -0.00131473, -0.000969796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0070716");
-            index_3 ("0.0642112, 0.0705852, 0.0752661, 0.081656, 0.0874401, 0.0887592, 0.0903182, 0.0974782, 0.106694, 0.118719, 0.124743, 0.130912, 0.141832, 0.143602, 0.147142, 0.154221, 0.183457, 0.200192, 0.208393, 0.217764, 0.226201, 0.236101, 0.249302, 0.258169, 0.271787, 0.289944, 0.325831, 0.367489");
-            values ( \
-              "-0.00314346, -0.0769029, -0.0863611, -0.0958477, -0.100481, -0.104785, -0.105923, -0.105566, -0.10596, -0.105504, -0.104611, -0.103121, -0.0984018, -0.0972725, -0.0947313, -0.0880907, -0.0525388, -0.0356066, -0.0289684, -0.0226602, -0.0180476, -0.0137288, -0.00942218, -0.00730829, -0.00492263, -0.00286282, -0.000883308, -0.000211571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127952");
-            index_3 ("0.0677558, 0.0734128, 0.0888507, 0.0906908, 0.0963812, 0.110171, 0.12276, 0.148725, 0.16487, 0.175547, 0.18908, 0.209904, 0.251608, 0.279175, 0.302569, 0.332767, 0.367826, 0.408886, 0.455812, 0.463697");
-            values ( \
-              "-0.0701673, -0.0928815, -0.117023, -0.118451, -0.118163, -0.119562, -0.119968, -0.118206, -0.115689, -0.113097, -0.108267, -0.0955491, -0.0604502, -0.0408804, -0.0283454, -0.0171017, -0.00923901, -0.00440182, -0.00176884, -0.00165678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0231513");
-            index_3 ("0.0721016, 0.106027, 0.111501, 0.117607, 0.12297, 0.131705, 0.136928, 0.149291, 0.156933, 0.168028, 0.178387, 0.192198, 0.201916, 0.209585, 0.222368, 0.232595, 0.235482, 0.241257, 0.249919, 0.256417, 0.263637, 0.270467, 0.276909, 0.28335, 0.289791, 0.29802, 0.308185, 0.324326, 0.356355, 0.362885, 0.375946, 0.390682, 0.398886, 0.415296, 0.431664, 0.444042, 0.450724, 0.457407, 0.463506, 0.475706, 0.485044, 0.49476, 0.505406, 0.51339, 0.520785, 0.52818, 0.541771, 0.555361, 0.572083, 0.584294");
-            values ( \
-              "-0.127993, -0.128113, -0.128828, -0.129368, -0.129627, -0.129707, -0.129682, -0.129348, -0.129051, -0.128494, -0.127813, -0.126795, -0.125991, -0.125225, -0.123827, -0.122601, -0.122216, -0.121317, -0.119766, -0.118486, -0.116947, -0.115319, -0.113367, -0.111097, -0.108652, -0.105276, -0.100694, -0.0922676, -0.0744998, -0.0710665, -0.0644662, -0.0574456, -0.0537322, -0.0467254, -0.0403497, -0.0358793, -0.0337012, -0.0316403, -0.0299025, -0.0266378, -0.0243384, -0.0221012, -0.0197941, -0.0182002, -0.0168455, -0.0155934, -0.0136342, -0.0118725, -0.0100004, -0.00872905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0418893");
-            index_3 ("0.0689126, 0.0791228, 0.0912856, 0.0934928, 0.0968277, 0.110625, 0.122652, 0.138935, 0.167727, 0.211885, 0.240237, 0.30623, 0.356013, 0.385623, 0.417119, 0.47281, 0.507307, 0.594573, 0.649601, 0.684452, 0.71319, 0.749815, 0.797906, 0.84238, 0.898072, 0.944665, 0.99518, 1.05087, 1.10656, 1.21795, 1.32933, 1.44071");
-            values ( \
-              "-0.0893106, -0.114265, -0.132291, -0.132049, -0.132106, -0.134398, -0.1356, -0.136124, -0.135791, -0.134394, -0.133266, -0.130082, -0.126646, -0.123809, -0.11965, -0.107565, -0.0971462, -0.0692531, -0.0536483, -0.045025, -0.0387648, -0.0318424, -0.0242894, -0.0188086, -0.0135557, -0.0102174, -0.00752107, -0.00536462, -0.00377221, -0.0018747, -0.00092477, -0.000451857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.118565, 0.128417, 0.13497, 0.145519, 0.150129, 0.158612, 0.162225, 0.169452, 0.171673, 0.174043, 0.176837, 0.182424, 0.188551, 0.201468, 0.210085, 0.210293, 0.210709, 0.21154, 0.219281, 0.222713, 0.226635, 0.232632, 0.23522, 0.240395, 0.250745, 0.267271, 0.286949");
-            values ( \
-              "-0.0124078, -0.0266749, -0.0327738, -0.0409335, -0.0442278, -0.0496813, -0.0515514, -0.0539853, -0.0539853, -0.0537852, -0.0530398, -0.0494703, -0.0429681, -0.0269291, -0.0178753, -0.0176912, -0.0184323, -0.0181461, -0.0118411, -0.00969469, -0.00768085, -0.00534438, -0.00457284, -0.00333414, -0.00174275, -0.000581588, -0.000156514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390831");
-            index_3 ("0.118451, 0.126799, 0.132892, 0.141026, 0.160341, 0.173578, 0.178565, 0.184642, 0.191571, 0.198507, 0.212662, 0.232521, 0.239994, 0.253884, 0.268268, 0.283154, 0.300168, 0.303038");
-            values ( \
-              "-0.00341413, -0.0303706, -0.0389464, -0.0475383, -0.0642461, -0.0722993, -0.0745391, -0.0763334, -0.0760059, -0.0723275, -0.0569179, -0.0294746, -0.0220832, -0.01248, -0.00671666, -0.00349153, -0.0015911, -0.00146025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0070716");
-            index_3 ("0.118679, 0.139286, 0.152254, 0.165139, 0.182054, 0.189155, 0.195481, 0.20441, 0.210305, 0.212415, 0.214995, 0.217423, 0.225916, 0.234952, 0.258215, 0.276033, 0.292142, 0.30891, 0.317766, 0.33029, 0.343314, 0.35329, 0.373242, 0.397373");
-            values ( \
-              "-0.00776007, -0.0540503, -0.067603, -0.0794465, -0.0913447, -0.0952868, -0.0978784, -0.0998488, -0.0999382, -0.101334, -0.10086, -0.0996507, -0.0937937, -0.0843832, -0.0556601, -0.03703, -0.0245933, -0.0156169, -0.0121915, -0.00851606, -0.00585314, -0.00437526, -0.0023979, -0.00129219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127952");
-            index_3 ("0.124735, 0.13678, 0.147799, 0.156384, 0.162557, 0.16907, 0.186588, 0.194837, 0.201384, 0.210278, 0.212857, 0.216073, 0.230385, 0.237708, 0.246462, 0.263971, 0.273295, 0.285727, 0.289727, 0.317779, 0.339101, 0.356181, 0.370546, 0.381639, 0.39693, 0.409654, 0.423854, 0.443996, 0.452824, 0.470479, 0.505791, 0.561482, 0.617174");
-            values ( \
-              "-0.021214, -0.0553816, -0.0698477, -0.0794478, -0.0858369, -0.0915559, -0.10545, -0.110502, -0.11369, -0.116779, -0.119025, -0.11962, -0.117856, -0.11669, -0.114923, -0.109622, -0.105257, -0.0971212, -0.0940365, -0.070093, -0.0530817, -0.0414347, -0.033212, -0.0278071, -0.0215869, -0.0174055, -0.0136153, -0.00952968, -0.00814721, -0.00592592, -0.0030616, -0.00099695, -0.000324714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0231513");
-            index_3 ("0.133004, 0.153804, 0.166585, 0.18877, 0.197314, 0.21019, 0.212838, 0.215342, 0.23114, 0.256497, 0.292068, 0.313882, 0.347628, 0.351532, 0.367147, 0.387343, 0.450152, 0.467524, 0.499498, 0.526722, 0.545483, 0.569723, 0.588965, 0.613532, 0.648025, 0.684528, 0.712586, 0.768277, 0.823969, 0.935352");
-            values ( \
-              "-0.0728575, -0.0816273, -0.0954546, -0.114711, -0.120369, -0.126553, -0.129188, -0.129974, -0.129394, -0.127894, -0.124874, -0.122243, -0.115713, -0.114631, -0.109423, -0.10036, -0.0669737, -0.0584127, -0.0444704, -0.034706, -0.0290414, -0.022906, -0.0189001, -0.0147044, -0.010252, -0.00698058, -0.00516813, -0.00279616, -0.00150274, -0.000431274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0418893");
-            index_3 ("0.13625, 0.164194, 0.191643, 0.21011, 0.212629, 0.215909, 0.262854, 0.319007, 0.374699, 0.396907, 0.434792, 0.464402, 0.495897, 0.551589, 0.586085, 0.673351, 0.728379, 0.76323, 0.791967, 0.828592, 0.876682, 0.921155, 0.976847, 1.02344, 1.07395, 1.12964, 1.18533, 1.24102, 1.29672, 1.4081, 1.51948");
-            values ( \
-              "-0.0855954, -0.0968313, -0.121841, -0.132585, -0.135371, -0.136444, -0.135341, -0.133276, -0.13067, -0.129368, -0.126646, -0.123808, -0.119651, -0.107565, -0.0971455, -0.0692544, -0.0536476, -0.0450263, -0.0387663, -0.0318418, -0.0242908, -0.0188101, -0.013555, -0.0102187, -0.0075225, -0.00536394, -0.00377342, -0.00269106, -0.00187579, -0.000925792, -0.000452838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.234319, 0.247358, 0.258351, 0.279518, 0.30355, 0.313262, 0.321399, 0.327308, 0.337377, 0.343619, 0.349351, 0.358384, 0.385252, 0.396578, 0.404684, 0.411888, 0.421286, 0.433817, 0.454862, 0.479698, 0.505629, 0.516619, 0.530775");
-            values ( \
-              "-0.00294673, -0.00899126, -0.0124729, -0.0213679, -0.0294165, -0.0323421, -0.0343881, -0.0353322, -0.0356638, -0.0352506, -0.0342237, -0.0305636, -0.0130514, -0.00792843, -0.00540466, -0.00380129, -0.00236979, -0.0012103, -0.000371304, -8.69459e-05, -3.9436e-05, -0.000449721, -0.000193755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390831");
-            index_3 ("0.24594, 0.262295, 0.280501, 0.302473, 0.326519, 0.33522, 0.347367, 0.35243, 0.359179, 0.362241, 0.368363, 0.37552, 0.386926, 0.416329, 0.429524, 0.442835, 0.452712, 0.4642, 0.473258, 0.491374, 0.505666, 0.514391, 0.517498");
-            values ( \
-              "-0.0178427, -0.0178882, -0.0273312, -0.036614, -0.0459671, -0.0489928, -0.0523108, -0.053215, -0.0538656, -0.053909, -0.053297, -0.0513147, -0.0444719, -0.0212165, -0.0136417, -0.0083981, -0.00572946, -0.00364048, -0.00252577, -0.00115473, -0.000635506, -0.00112398, -0.0010158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0070716");
-            index_3 ("0.252917, 0.275907, 0.29387, 0.296038, 0.327672, 0.364207, 0.380584, 0.384111, 0.388814, 0.398441, 0.415062, 0.419285, 0.424111, 0.433763, 0.447326, 0.460804, 0.474761, 0.485906, 0.49077, 0.498561, 0.505654, 0.511795, 0.525539, 0.541433, 0.558803, 0.593545, 0.63305");
-            values ( \
-              "-0.0267536, -0.0286235, -0.0391452, -0.0397799, -0.0543977, -0.0697239, -0.0751174, -0.0758236, -0.0764877, -0.0767592, -0.0711258, -0.0685876, -0.0651205, -0.0572984, -0.0457046, -0.0348961, -0.0254716, -0.0193704, -0.0171208, -0.0139719, -0.0115561, -0.0107697, -0.00727145, -0.00459344, -0.00268657, -0.000895716, -0.000209785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127952");
-            index_3 ("0.25291, 0.270676, 0.305347, 0.334793, 0.380911, 0.393758, 0.406426, 0.424653, 0.430035, 0.440799, 0.453004, 0.476059, 0.48494, 0.505647, 0.506327, 0.507688, 0.530592, 0.549426, 0.568567, 0.584763, 0.599583, 0.619343, 0.634619, 0.651492, 0.673988, 0.718981, 0.774673, 0.830365");
-            values ( \
-              "-0.0228344, -0.0290822, -0.0488855, -0.0636342, -0.0853267, -0.0905302, -0.0948267, -0.0993145, -0.0999957, -0.100654, -0.099399, -0.0903682, -0.0846586, -0.0694554, -0.069675, -0.0690142, -0.0509916, -0.038613, -0.0285539, -0.0218482, -0.0169981, -0.0120373, -0.00918473, -0.00679651, -0.00451055, -0.00189575, -0.000612718, -0.000201256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0231513");
-            index_3 ("0.267434, 0.301679, 0.332797, 0.378, 0.402348, 0.43239, 0.46861, 0.478695, 0.498865, 0.505568, 0.509966, 0.518936, 0.538372, 0.556244, 0.576756, 0.657076, 0.695925, 0.734828, 0.759115, 0.778444, 0.802989, 0.837464, 0.873901, 0.9019, 0.957592, 1.01328, 1.12467");
-            values ( \
-              "-0.0462429, -0.049474, -0.0667374, -0.0898683, -0.100773, -0.111296, -0.118704, -0.119755, -0.120744, -0.120695, -0.121174, -0.119777, -0.115361, -0.109559, -0.100366, -0.0583482, -0.0417731, -0.0290656, -0.0229122, -0.0188888, -0.0147009, -0.0102495, -0.00698388, -0.00517503, -0.00279893, -0.00150522, -0.000432422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0418893");
-            index_3 ("0.267467, 0.299913, 0.330463, 0.382204, 0.40932, 0.427479, 0.450718, 0.48251, 0.505611, 0.509699, 0.517875, 0.579731, 0.625357, 0.671647, 0.6865, 0.716207, 0.753403, 0.886226, 0.941918, 0.984869, 1.01389, 1.06645, 1.11294, 1.16863, 1.21446, 1.2638, 1.31949, 1.37519, 1.43088, 1.48657, 1.59795, 1.70934");
-            values ( \
-              "-0.0429236, -0.0500921, -0.0678518, -0.0954921, -0.107986, -0.115036, -0.122286, -0.129026, -0.132116, -0.133145, -0.133169, -0.129829, -0.12664, -0.121815, -0.119638, -0.114068, -0.104247, -0.0626443, -0.047823, -0.0382879, -0.0327515, -0.0244008, -0.0186781, -0.0134353, -0.0101972, -0.00756304, -0.00537221, -0.00379981, -0.00268956, -0.00189471, -0.000940808, -0.000465772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.50872, 0.550548, 0.559453, 0.571326, 0.600477, 0.62632, 0.650225, 0.659093, 0.672357, 0.695464, 0.712946, 0.717928, 0.722989, 0.731184, 0.745207, 0.764689, 0.779544, 0.786527, 0.796607, 0.808149, 0.817223, 0.835373, 0.864855, 0.894994");
-            values ( \
-              "-0.00344682, -0.00510543, -0.0060095, -0.00751076, -0.0121881, -0.0159439, -0.0192292, -0.0202722, -0.0213467, -0.0221242, -0.0221804, -0.0219442, -0.0214955, -0.0201341, -0.0159919, -0.00950891, -0.00580263, -0.00450931, -0.00308812, -0.00198336, -0.00138714, -0.00066286, -0.000190115, -8.13116e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390831");
-            index_3 ("0.508852, 0.535449, 0.555368, 0.564746, 0.583503, 0.59544, 0.634338, 0.663302, 0.687686, 0.699447, 0.709648, 0.725484, 0.730551, 0.740685, 0.75099, 0.768976, 0.772457, 0.807948, 0.817795, 0.833288, 0.844238, 0.852874, 0.861935, 0.874017, 0.898179, 0.932883, 0.975064, 1.02846, 1.21601, 1.2321");
-            values ( \
-              "-0.00479092, -0.00579325, -0.00763434, -0.00891209, -0.0118704, -0.0144283, -0.0217957, -0.0268727, -0.0308302, -0.0322474, -0.0331185, -0.0339456, -0.0343163, -0.0345592, -0.0344645, -0.0316446, -0.0306648, -0.0160221, -0.012488, -0.00814125, -0.00587583, -0.00450906, -0.00339516, -0.00229283, -0.00100075, -0.00027305, -7.30185e-05, -2.04679e-05, -1.29494e-06, -0.000229957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070716");
-            index_3 ("0.52316, 0.570884, 0.58134, 0.647606, 0.68738, 0.714737, 0.745431, 0.757886, 0.776296, 0.783395, 0.795952, 0.808349, 0.831075, 0.875809, 0.897641, 0.91264, 0.923221, 0.945768, 0.959255, 0.986228, 1.02957, 1.08059, 1.13628, 1.21591, 1.2333");
-            values ( \
-              "-0.00860626, -0.0123266, -0.0139743, -0.0284103, -0.0365334, -0.0417431, -0.0471475, -0.0487941, -0.0504944, -0.0509211, -0.0511472, -0.0504351, -0.0448717, -0.0244519, -0.0161871, -0.0118652, -0.00942661, -0.00562714, -0.00408038, -0.00207612, -0.000616676, -0.000144836, -3.13081e-05, -8.38822e-06, -0.00031413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127952");
-            index_3 ("0.534631, 0.586227, 0.68964, 0.775171, 0.812565, 0.828622, 0.861455, 0.894266, 0.927008, 0.977014, 1.00779, 1.03787, 1.0772, 1.10161, 1.12043, 1.15808, 1.19834");
-            values ( \
-              "-0.0129406, -0.0173199, -0.0410126, -0.0594132, -0.0664125, -0.0687658, -0.0716678, -0.0689256, -0.0580255, -0.0354331, -0.0239116, -0.0155676, -0.0084487, -0.00568942, -0.00414759, -0.00214684, -0.00112964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0231513");
-            index_3 ("0.55699, 0.638028, 0.693242, 0.771189, 0.831422, 0.883051, 0.929833, 0.974356, 1.01882, 1.02676, 1.05259, 1.11357, 1.16837, 1.21586, 1.26076, 1.28862, 1.30823, 1.33278, 1.36553, 1.42122, 1.47691, 1.58829");
-            values ( \
-              "-0.0204525, -0.0309108, -0.0445344, -0.0625844, -0.075658, -0.0856436, -0.0926235, -0.0948622, -0.0879228, -0.0856155, -0.0766924, -0.0527687, -0.0340282, -0.0220092, -0.0143705, -0.0107023, -0.00872601, -0.00673284, -0.00474103, -0.00255719, -0.00137908, -0.000397764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0418893");
-            index_3 ("0.581899, 0.658707, 0.773948, 0.814829, 0.896568, 0.939311, 0.995003, 1.01049, 1.04147, 1.07673, 1.13242, 1.14188, 1.16078, 1.1986, 1.22619, 1.29339, 1.3395, 1.39519, 1.44645, 1.48124, 1.52627, 1.56494, 1.60651, 1.6622, 1.69131, 1.72954, 1.78052, 1.83621, 1.89191, 1.9476, 2.05898, 2.17036");
-            values ( \
-              "-0.035973, -0.0374677, -0.0656448, -0.0750693, -0.0924757, -0.100519, -0.109112, -0.111048, -0.11406, -0.116088, -0.115524, -0.114846, -0.112912, -0.106243, -0.0995236, -0.0778533, -0.0637563, -0.048746, -0.0373871, -0.0309529, -0.0240401, -0.0192542, -0.0150822, -0.010802, -0.0090655, -0.00718934, -0.00525041, -0.00372081, -0.00262579, -0.00185723, -0.000924308, -0.000459751" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00339035, 0.00339897, 0.00340671, 0.00341264, 0.00341666, 0.00341917", \
-            "0.003833, 0.00383968, 0.00384648, 0.00385226, 0.0038565, 0.00385927", \
-            "0.00409411, 0.00409673, 0.00410025, 0.00410401, 0.00410726, 0.00410964", \
-            "0.00424962, 0.00424889, 0.00424857, 0.00424896, 0.00424991, 0.00425099", \
-            "0.00433802, 0.00433598, 0.00433363, 0.00433142, 0.0043298, 0.00432898", \
-            "0.00438787, 0.00438552, 0.00438298, 0.00437912, 0.00437542, 0.00437246" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00436794, 0.0043798, 0.00439102, 0.00439984, 0.00440587, 0.00440964", \
-            "0.00466133, 0.0046626, 0.00466509, 0.00466772, 0.00466971, 0.00467098", \
-            "0.00490309, 0.00488249, 0.00486492, 0.00485245, 0.00484387, 0.00483849", \
-            "0.00532931, 0.00527687, 0.00523076, 0.00519334, 0.00516602, 0.00514607", \
-            "0.00625881, 0.00613868, 0.0060217, 0.00592082, 0.00585221, 0.00580332", \
-            "0.00740325, 0.0070667, 0.00688029, 0.00671367, 0.00658273, 0.00649362" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "A";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0863707, 0.106397, 0.141774, 0.204836, 0.318294, 0.523302", \
-            "0.090561, 0.110695, 0.14621, 0.2095, 0.323148, 0.528357", \
-            "0.0992689, 0.119392, 0.15499, 0.218431, 0.332335, 0.537794", \
-            "0.113408, 0.133586, 0.169303, 0.232808, 0.346959, 0.552649", \
-            "0.126459, 0.146365, 0.182057, 0.246109, 0.360517, 0.566317", \
-            "0.129583, 0.149292, 0.184634, 0.248335, 0.362731, 0.569297" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0677646, 0.0952825, 0.14474, 0.233789, 0.394649, 0.685712", \
-            "0.0681261, 0.0957006, 0.145219, 0.234349, 0.395278, 0.686208", \
-            "0.0682804, 0.0958999, 0.145472, 0.234668, 0.395713, 0.686727", \
-            "0.0688519, 0.0962046, 0.145575, 0.234711, 0.395751, 0.686888", \
-            "0.0701313, 0.0970436, 0.146413, 0.235418, 0.396251, 0.686925", \
-            "0.0747492, 0.100129, 0.147815, 0.23614, 0.397019, 0.687926" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0869704, 0.0994176, 0.120692, 0.158017, 0.224772, 0.345192", \
-            "0.0912948, 0.10378, 0.125073, 0.162415, 0.229192, 0.349616", \
-            "0.103348, 0.115862, 0.137215, 0.174647, 0.241489, 0.361979", \
-            "0.131013, 0.143744, 0.165357, 0.202919, 0.269963, 0.390562", \
-            "0.177835, 0.191225, 0.213597, 0.252112, 0.319608, 0.440358", \
-            "0.256878, 0.271545, 0.294946, 0.333861, 0.401483, 0.523086" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0389998, 0.0536655, 0.0804866, 0.129691, 0.219539, 0.382606", \
-            "0.0390117, 0.0536799, 0.0805275, 0.129703, 0.219557, 0.382515", \
-            "0.0390942, 0.0537313, 0.0805112, 0.12972, 0.219562, 0.382535", \
-            "0.0401245, 0.0544762, 0.0809373, 0.129763, 0.219594, 0.382539", \
-            "0.0438134, 0.0578527, 0.0839883, 0.132101, 0.220682, 0.382736", \
-            "0.0518167, 0.0648588, 0.0889607, 0.134991, 0.222693, 0.385121" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0472031, 0.055027, 0.0581965, 0.0611731, 0.0642662, 0.067373, 0.0735868, 0.0846079, 0.0947388, 0.0995543, 0.104226, 0.113569, 0.119833, 0.131043, 0.138129, 0.14984, 0.162161, 0.173669, 0.181849, 0.19543, 0.212024, 0.227174, 0.247409, 0.27439, 0.306399");
-            values ( \
-              "0.00400953, 0.0457353, 0.047335, 0.0477423, 0.0475635, 0.0471455, 0.045759, 0.0430092, 0.0399535, 0.0377537, 0.0349051, 0.0282164, 0.0244566, 0.0190125, 0.0163436, 0.0126805, 0.00966138, 0.00749641, 0.00622669, 0.00456168, 0.00309999, 0.0021687, 0.00134118, 0.000686445, 0.000345354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390831");
-            index_3 ("0.0479232, 0.0572835, 0.0591552, 0.0614698, 0.0637388, 0.0682767, 0.100551, 0.113494, 0.121204, 0.1287, 0.143691, 0.148644, 0.157033, 0.168217, 0.186916, 0.204611, 0.216767, 0.225129, 0.241854, 0.256188, 0.266279, 0.286461, 0.303281, 0.336363, 0.380472, 0.394253");
-            values ( \
-              "0.00798027, 0.0593217, 0.0600917, 0.0606054, 0.0606142, 0.0602038, 0.0537234, 0.0509013, 0.0481806, 0.0445962, 0.0359387, 0.033476, 0.0294857, 0.0248304, 0.01855, 0.0138517, 0.0112998, 0.00978408, 0.00727671, 0.00563499, 0.00469439, 0.00323047, 0.00237015, 0.00127163, 0.000525466, 0.000440462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0070716");
-            index_3 ("0.0488262, 0.0593208, 0.0619481, 0.0664448, 0.0709068, 0.0792531, 0.089345, 0.102747, 0.128501, 0.150793, 0.15946, 0.171921, 0.195133, 0.20606, 0.232178, 0.245508, 0.260743, 0.284184, 0.290722, 0.305327, 0.320171, 0.339409, 0.355006, 0.374394, 0.401695, 0.419351, 0.452278, 0.49618, 0.572529, 0.648878");
-            values ( \
-              "0.0156012, 0.0703401, 0.0712701, 0.0711647, 0.0707107, 0.0691005, 0.0675669, 0.0657297, 0.062669, 0.0590795, 0.0569394, 0.0528785, 0.0435407, 0.0394867, 0.030636, 0.0266983, 0.0226528, 0.0173268, 0.0160626, 0.0135035, 0.0112523, 0.0088518, 0.00726408, 0.00564827, 0.00395056, 0.00312565, 0.00201435, 0.00110573, 0.000349942, 0.000114724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127952");
-            index_3 ("0.0496111, 0.0595936, 0.06838, 0.0737995, 0.0813779, 0.0831728, 0.0867626, 0.0939423, 0.107995, 0.113872, 0.125626, 0.177453, 0.201376, 0.212532, 0.229929, 0.249334, 0.322081, 0.344696, 0.38897, 0.425183, 0.444515, 0.480036, 0.509761, 0.533973, 0.563632, 0.605431, 0.632415, 0.682729, 0.749815, 0.826164, 0.83919");
-            values ( \
-              "0.0141536, 0.0782368, 0.0791642, 0.0778791, 0.077154, 0.0765271, 0.0763298, 0.0753266, 0.0743399, 0.0737264, 0.0730635, 0.0691797, 0.0668858, 0.0655783, 0.0629937, 0.0590337, 0.040907, 0.0356528, 0.026543, 0.0203604, 0.0175895, 0.0132986, 0.0104531, 0.00855934, 0.00665845, 0.00465879, 0.00368537, 0.00237562, 0.0013053, 0.000641906, 0.000600163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0231513");
-            index_3 ("0.0496271, 0.0640704, 0.0667698, 0.08983, 0.103075, 0.104689, 0.182748, 0.266224, 0.325953, 0.365701, 0.434065, 0.562665, 0.626543, 0.680996, 0.724719, 0.783016, 0.829465, 0.88862, 0.964969, 1.02333, 1.13905, 1.29174, 1.35937");
-            values ( \
-              "0.0317376, 0.0837726, 0.0843697, 0.0811263, 0.0805607, 0.0801898, 0.0770044, 0.0732328, 0.0696457, 0.0660105, 0.0565952, 0.0369366, 0.0284462, 0.0223433, 0.0182308, 0.0137224, 0.0108849, 0.00804825, 0.00539598, 0.00395838, 0.00212121, 0.000918643, 0.00068617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0418893");
-            index_3 ("0.0557209, 0.0557409, 0.235957, 0.312306, 0.330999, 0.50542, 0.58252, 0.716426, 0.906679, 1.07425, 1.26554, 1.38782, 1.51944, 1.65711, 1.90609, 2.21148, 2.66958");
-            values ( \
-              "1e-22, 0.0873506, 0.0802698, 0.0789386, 0.0779518, 0.0733911, 0.069836, 0.0597507, 0.0417926, 0.0280866, 0.0166638, 0.0116369, 0.00780675, 0.00506251, 0.002242, 0.00075845, 6.59453e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0607461, 0.0727665, 0.0757755, 0.0820136, 0.0904968, 0.099372, 0.109549, 0.114315, 0.119094, 0.128651, 0.134641, 0.145375, 0.153637, 0.166751, 0.177816, 0.186923, 0.199066, 0.210417, 0.225114, 0.24963, 0.276455, 0.307112, 0.32275");
-            values ( \
-              "0.00979687, 0.047084, 0.0474226, 0.0467897, 0.0449222, 0.0427484, 0.0397526, 0.0376174, 0.0347474, 0.0279764, 0.0244258, 0.0192063, 0.0161022, 0.0121246, 0.00950816, 0.00777577, 0.00590947, 0.00456331, 0.00324696, 0.00181799, 0.0009632, 0.000445857, 0.000341943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390831");
-            index_3 ("0.0613976, 0.072089, 0.0724384, 0.0745348, 0.0773301, 0.0802935, 0.090175, 0.0999718, 0.115383, 0.128902, 0.136367, 0.143652, 0.158223, 0.167219, 0.17369, 0.189207, 0.207024, 0.221771, 0.233843, 0.249938, 0.263755, 0.281543, 0.303546, 0.318808, 0.349537, 0.390509, 0.45427");
-            values ( \
-              "0.00274305, 0.0592552, 0.0591568, 0.0598314, 0.0602002, 0.0600347, 0.0583138, 0.0563068, 0.0535145, 0.0504984, 0.0478968, 0.0444308, 0.0360887, 0.0316246, 0.0286788, 0.022634, 0.0170615, 0.0133762, 0.0109104, 0.00823408, 0.00644908, 0.00467905, 0.00311769, 0.0023515, 0.00132161, 0.000592462, 0.000145734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0070716");
-            index_3 ("0.0620812, 0.0765525, 0.0811373, 0.0855819, 0.104148, 0.14351, 0.164437, 0.175091, 0.187076, 0.210377, 0.233209, 0.253213, 0.276245, 0.299639, 0.312396, 0.335634, 0.354989, 0.390227, 0.417666, 0.435507, 0.468865, 0.513343, 0.569932");
-            values ( \
-              "0.0172901, 0.0707253, 0.0706644, 0.070085, 0.0670522, 0.0624031, 0.0591532, 0.0566417, 0.0527276, 0.0434242, 0.0351911, 0.0288442, 0.0225562, 0.0172732, 0.0148798, 0.0112227, 0.00881627, 0.00561332, 0.0039191, 0.0030966, 0.00198635, 0.00108179, 0.000536375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127952");
-            index_3 ("0.0622666, 0.0740819, 0.0787434, 0.0829339, 0.109233, 0.193431, 0.227721, 0.235028, 0.264616, 0.337481, 0.368982, 0.404422, 0.440718, 0.460122, 0.495723, 0.525492, 0.579498, 0.62144, 0.64863, 0.69942, 0.767141, 0.84349, 0.865538");
-            values ( \
-              "0.000507221, 0.0775568, 0.0782642, 0.0783215, 0.0747771, 0.0688887, 0.0654788, 0.0644645, 0.058943, 0.0408492, 0.0336676, 0.0265066, 0.0203288, 0.0175546, 0.0132672, 0.0104249, 0.00663755, 0.00463831, 0.00366549, 0.00235465, 0.00128612, 0.000635047, 0.00056545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0231513");
-            index_3 ("0.0679617, 0.0786477, 0.0847175, 0.0913652, 0.0937643, 0.0985625, 0.119594, 0.142465, 0.172519, 0.225752, 0.22919, 0.283028, 0.326513, 0.341451, 0.371197, 0.404018, 0.476207, 0.562884, 0.597117, 0.636241, 0.695356, 0.726424, 0.784408, 0.846826, 0.87365, 0.922057, 0.99039, 1.0345, 1.11678, 1.19313, 1.26948, 1.42217, 1.57487");
-            values ( \
-              "0.0730866, 0.0830308, 0.0832929, 0.081977, 0.0820295, 0.0811779, 0.0797236, 0.0790058, 0.0776438, 0.075708, 0.0754367, 0.0729868, 0.0706185, 0.0695512, 0.0669966, 0.0631295, 0.052453, 0.0391484, 0.0342925, 0.02919, 0.0224604, 0.0194625, 0.0147376, 0.0108021, 0.00944255, 0.00734672, 0.00514098, 0.00406363, 0.00261722, 0.00173993, 0.00113716, 0.000488991, 0.000207499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0418893");
-            index_3 ("0.0749651, 0.0749851, 0.204492, 0.251571, 0.384483, 0.521298, 0.598616, 0.732433, 0.922758, 1.0905, 1.28138, 1.40402, 1.53808, 1.675, 1.92072, 2.22612, 2.68421");
-            values ( \
-              "1e-22, 0.0925281, 0.0816596, 0.0801312, 0.0773706, 0.0733159, 0.069765, 0.0597024, 0.0418076, 0.0280939, 0.0166977, 0.0116561, 0.00776621, 0.00505433, 0.00227357, 0.000782498, 8.58587e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0954354, 0.103587, 0.10787, 0.112158, 0.122851, 0.124697, 0.142581, 0.148812, 0.162455, 0.173343, 0.190708, 0.203042, 0.212368, 0.229779, 0.245246, 0.267109, 0.283522, 0.310194, 0.345757, 0.355577");
-            values ( \
-              "0.00333227, 0.044415, 0.0463317, 0.047206, 0.0454172, 0.0446535, 0.0402279, 0.0373946, 0.0282427, 0.0220616, 0.0151333, 0.0115723, 0.00945737, 0.00641328, 0.00450651, 0.00270698, 0.00184097, 0.00097369, 0.000397803, 0.000338399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390831");
-            index_3 ("0.0992017, 0.102735, 0.106494, 0.111841, 0.112465, 0.116205, 0.149622, 0.164185, 0.177948, 0.193206, 0.205768, 0.22845, 0.244646, 0.260251, 0.278796, 0.294027, 0.308057, 0.326762, 0.347524, 0.381193, 0.426085, 0.445387");
-            values ( \
-              "0.0259538, 0.0538547, 0.0584628, 0.0594235, 0.0599592, 0.0596296, 0.053401, 0.0500968, 0.0443487, 0.0356544, 0.0296323, 0.020937, 0.0161427, 0.0124806, 0.00907849, 0.00693241, 0.00539497, 0.00383628, 0.00261969, 0.00139601, 0.000569557, 0.000439593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0070716");
-            index_3 ("0.0991482, 0.105057, 0.109235, 0.110653, 0.11349, 0.119743, 0.138356, 0.178856, 0.198769, 0.209441, 0.221445, 0.244784, 0.267644, 0.287682, 0.310757, 0.334182, 0.34697, 0.37026, 0.389659, 0.425019, 0.452566, 0.481817, 0.504313, 0.549303, 0.625652, 0.702001");
-            values ( \
-              "0.0197703, 0.0664259, 0.0693369, 0.0698839, 0.0701787, 0.0698816, 0.0669115, 0.0621441, 0.0590551, 0.0565453, 0.0526504, 0.0433565, 0.0351441, 0.0287972, 0.0225139, 0.0172434, 0.01485, 0.0111961, 0.00878948, 0.00559182, 0.00389739, 0.00265362, 0.00196411, 0.00106097, 0.000341694, 0.000111888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127952");
-            index_3 ("0.0990982, 0.108223, 0.112956, 0.117158, 0.142826, 0.22706, 0.239787, 0.262237, 0.279693, 0.299157, 0.35271, 0.394771, 0.439196, 0.475488, 0.494892, 0.530542, 0.560383, 0.584756, 0.614564, 0.656626, 0.683989, 0.735189, 0.803455, 0.879805, 1.0325");
-            values ( \
-              "0.0247978, 0.0762811, 0.0776613, 0.0779445, 0.0746823, 0.0688728, 0.0677006, 0.0653528, 0.0627908, 0.0588646, 0.0455295, 0.0355586, 0.0264606, 0.0202974, 0.0175288, 0.013245, 0.0104033, 0.00850966, 0.00661558, 0.00461975, 0.00364554, 0.00233421, 0.00126975, 0.000627303, 0.00015188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0231513");
-            index_3 ("0.103051, 0.103071, 0.176955, 0.207062, 0.260406, 0.317743, 0.362398, 0.403907, 0.438857, 0.511111, 0.597919, 0.670536, 0.730258, 0.761846, 0.820054, 0.882111, 0.908644, 0.957252, 1.02582, 1.07033, 1.15356, 1.22991, 1.30625, 1.45895, 1.61165");
-            values ( \
-              "1e-22, 0.0832283, 0.0787962, 0.0775261, 0.0755594, 0.0729001, 0.0704687, 0.0671366, 0.0630836, 0.0524161, 0.0391041, 0.0292534, 0.0224589, 0.0194154, 0.0146875, 0.0107863, 0.00944012, 0.00734117, 0.00512894, 0.0040488, 0.00259556, 0.00172384, 0.00112943, 0.000487176, 0.00020811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0418893");
-            index_3 ("0.108899, 0.108919, 0.237676, 0.419348, 0.556008, 0.633565, 0.767291, 1.00477, 1.12572, 1.31605, 1.43918, 1.57926, 1.71422, 1.95156, 2.25696, 2.29683");
-            values ( \
-              "1e-22, 0.0922764, 0.0813844, 0.0771428, 0.0731237, 0.0695792, 0.059542, 0.0377816, 0.028187, 0.0168204, 0.0117564, 0.0077104, 0.00507724, 0.00239205, 0.000878128, 0.000816269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.165535, 0.180219, 0.186184, 0.191216, 0.198591, 0.202772, 0.218562, 0.222992, 0.228938, 0.239646, 0.246139, 0.258865, 0.267859, 0.276449, 0.287724, 0.297144, 0.309704, 0.325287, 0.33471, 0.351959, 0.364059, 0.388464, 0.421005, 0.444142");
-            values ( \
-              "0.00294602, 0.0391737, 0.0433857, 0.0445395, 0.0441975, 0.0434566, 0.0396735, 0.0380251, 0.0346951, 0.0271289, 0.0234859, 0.0177307, 0.0146432, 0.0121569, 0.0095017, 0.00772108, 0.005806, 0.0040734, 0.00326922, 0.0021789, 0.00163677, 0.00091381, 0.000408582, 0.000264831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390831");
-            index_3 ("0.165648, 0.183337, 0.187269, 0.193772, 0.199672, 0.21032, 0.212224, 0.215812, 0.219136, 0.22336, 0.238773, 0.245132, 0.253541, 0.268754, 0.281592, 0.295972, 0.315243, 0.335124, 0.346285, 0.370632, 0.381943, 0.415513, 0.436514, 0.485024, 0.538067");
-            values ( \
-              "0.00280616, 0.052692, 0.0555872, 0.0572378, 0.0570876, 0.0554768, 0.0545025, 0.0546664, 0.0540743, 0.0537035, 0.0504174, 0.0481869, 0.0444116, 0.0356004, 0.0294549, 0.0236739, 0.0174608, 0.0125646, 0.0103819, 0.00674915, 0.00550273, 0.00294328, 0.00197582, 0.000742171, 0.00044037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0070716");
-            index_3 ("0.165764, 0.185482, 0.190587, 0.195091, 0.202388, 0.210334, 0.214052, 0.21908, 0.228642, 0.25354, 0.268916, 0.274523, 0.285218, 0.297209, 0.317696, 0.337041, 0.359766, 0.38087, 0.399519, 0.421987, 0.433374, 0.447396, 0.466042, 0.478375, 0.494819, 0.524095, 0.540594, 0.565336, 0.596997, 0.660211, 0.73656, 0.812909");
-            values ( \
-              "0.000937489, 0.063636, 0.0670946, 0.067605, 0.0678066, 0.0665502, 0.0657716, 0.0660912, 0.065185, 0.0622661, 0.0600494, 0.0590389, 0.0565052, 0.0526373, 0.0444853, 0.0372745, 0.0298659, 0.0239364, 0.0194607, 0.0149952, 0.0130702, 0.0110228, 0.008718, 0.0074548, 0.00606196, 0.0041064, 0.00330165, 0.0023733, 0.00158538, 0.000614916, 0.000226318, 4.50187e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127952");
-            index_3 ("0.173299, 0.182835, 0.188985, 0.192406, 0.199247, 0.210272, 0.212787, 0.219111, 0.230855, 0.302859, 0.326173, 0.338, 0.361653, 0.374957, 0.450738, 0.492906, 0.518759, 0.552225, 0.579856, 0.601081, 0.634707, 0.663487, 0.692284, 0.733583, 0.787106, 0.858471, 0.93482, 1.08752");
-            values ( \
-              "0.0285322, 0.0681181, 0.0737091, 0.0748201, 0.0757106, 0.0748451, 0.0742802, 0.0743518, 0.0738363, 0.0687739, 0.0666659, 0.0653346, 0.0616643, 0.0588207, 0.0400431, 0.0307707, 0.0257454, 0.0201629, 0.0163293, 0.0138185, 0.0105177, 0.00829445, 0.00651368, 0.00456772, 0.00287391, 0.00151625, 0.000754199, 0.000184959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0231513");
-            index_3 ("0.17322, 0.188212, 0.196665, 0.203843, 0.213903, 0.222934, 0.237516, 0.337473, 0.402092, 0.452212, 0.491921, 0.560833, 0.678717, 0.753744, 0.80806, 0.851582, 0.90961, 0.956794, 1.01694, 1.09329, 1.19069, 1.26704, 1.41973, 1.49371");
-            values ( \
-              "0.0330648, 0.078281, 0.0805344, 0.0808018, 0.0796135, 0.0798621, 0.0793522, 0.0754054, 0.0724661, 0.0694746, 0.0658734, 0.0564403, 0.0384023, 0.0283521, 0.0222797, 0.0181995, 0.0137296, 0.0108545, 0.00798858, 0.00535168, 0.00319973, 0.00211587, 0.000920461, 0.000738998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0418893");
-            index_3 ("0.181571, 0.181591, 0.307378, 0.438438, 0.555754, 0.632103, 0.709736, 0.767086, 0.843435, 1.081, 1.202, 1.32711, 1.39213, 1.52483, 1.58116, 1.71471, 1.81899, 1.95119, 2.10389, 2.25659, 2.40322");
-            values ( \
-              "1e-22, 0.0881853, 0.08141, 0.0784532, 0.0754312, 0.073036, 0.0694933, 0.0657201, 0.0594644, 0.0378348, 0.028239, 0.0202535, 0.0168827, 0.0114926, 0.00972112, 0.00648259, 0.00469949, 0.00311129, 0.00192356, 0.00118619, 0.000790415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.318433, 0.327321, 0.340007, 0.34608, 0.350781, 0.360314, 0.369643, 0.37897, 0.383192, 0.404994, 0.424704, 0.446184, 0.466943, 0.482114, 0.49969, 0.5115, 0.530521, 0.563935, 0.588354");
-            values ( \
-              "0.000760354, 0.0167538, 0.0317558, 0.0370023, 0.0396691, 0.041951, 0.0414543, 0.0394959, 0.0379712, 0.0244819, 0.0158728, 0.00995283, 0.00630554, 0.00447345, 0.00298477, 0.00217158, 0.00148801, 0.0006745, 0.0004168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390831");
-            index_3 ("0.322743, 0.33071, 0.337443, 0.345834, 0.35212, 0.359566, 0.370423, 0.372483, 0.385567, 0.399258, 0.406489, 0.442089, 0.452688, 0.46475, 0.480832, 0.493119, 0.508997, 0.525043, 0.544208, 0.555515, 0.576679, 0.599038, 0.616662, 0.651911, 0.68699");
-            values ( \
-              "0.00907953, 0.0262855, 0.0366966, 0.0469636, 0.0514695, 0.0543655, 0.0546766, 0.0546602, 0.0528499, 0.049958, 0.0476035, 0.0295082, 0.0251048, 0.0208748, 0.0161454, 0.0132076, 0.00993752, 0.00766139, 0.00548859, 0.00447622, 0.00302842, 0.0020061, 0.00144266, 0.000729585, 0.000422922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0070716");
-            index_3 ("0.318809, 0.343336, 0.349876, 0.354376, 0.363375, 0.374021, 0.390626, 0.411303, 0.426686, 0.434952, 0.451483, 0.469691, 0.4832, 0.509308, 0.530126, 0.547763, 0.570661, 0.583663, 0.592068, 0.606994, 0.626355, 0.642122, 0.661672, 0.689257, 0.71862, 0.741214, 0.7864, 0.862749, 0.939098");
-            values ( \
-              "0.000287182, 0.0516515, 0.0592545, 0.0622943, 0.0655125, 0.0658553, 0.0643921, 0.0620794, 0.0600507, 0.0586613, 0.054606, 0.0478649, 0.0424954, 0.033029, 0.0270531, 0.0224012, 0.017269, 0.0148343, 0.0134141, 0.0111799, 0.00877885, 0.00719187, 0.00559154, 0.0038932, 0.00264676, 0.00195917, 0.00105329, 0.000341312, 0.000109945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127952");
-            index_3 ("0.318935, 0.351818, 0.360847, 0.367975, 0.37859, 0.388873, 0.399303, 0.415072, 0.463806, 0.48705, 0.505768, 0.526611, 0.536171, 0.600609, 0.6349, 0.659996, 0.701135, 0.746713, 0.779502, 0.80494, 0.834986, 0.875048, 0.911287, 0.966648, 1.04046, 1.11681, 1.13596");
-            values ( \
-              "0.00277424, 0.0673151, 0.0723567, 0.0739237, 0.0738813, 0.0735017, 0.072636, 0.071812, 0.0684709, 0.06636, 0.0641757, 0.0608634, 0.0588071, 0.0428299, 0.0348608, 0.0295517, 0.022069, 0.015616, 0.0120155, 0.00976636, 0.00760986, 0.00541159, 0.00396742, 0.00245238, 0.00125943, 0.000627883, 0.000568584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0231513");
-            index_3 ("0.318919, 0.357809, 0.364159, 0.376857, 0.386747, 0.39048, 0.397946, 0.412877, 0.434128, 0.443898, 0.463439, 0.49869, 0.529122, 0.580838, 0.613512, 0.67618, 0.720872, 0.840173, 0.911137, 0.968467, 1.01681, 1.05437, 1.11957, 1.14807, 1.19602, 1.26411, 1.33451, 1.38837, 1.46472, 1.54106, 1.69376, 1.84646");
-            values ( \
-              "0.00599364, 0.0759691, 0.0785789, 0.0794333, 0.0793914, 0.0789628, 0.0788867, 0.0780921, 0.0775624, 0.077006, 0.0765008, 0.0749357, 0.0741083, 0.0715287, 0.0694884, 0.0631239, 0.0566422, 0.0383906, 0.0288431, 0.022376, 0.0178723, 0.0149152, 0.0107878, 0.00933509, 0.00729546, 0.00509881, 0.00351696, 0.00263866, 0.00174291, 0.00115192, 0.000500371, 0.000217168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0418893");
-            index_3 ("0.337048, 0.356849, 0.375555, 0.505346, 0.53925, 0.666659, 0.793177, 0.870821, 0.928171, 1.00452, 1.24211, 1.36312, 1.45571, 1.55316, 1.70477, 1.81766, 1.95237, 2.03579, 2.18848, 2.49388, 2.55464");
-            values ( \
-              "0.0784701, 0.0786288, 0.0827818, 0.0802218, 0.079886, 0.0767908, 0.0730397, 0.0694942, 0.0657121, 0.0594653, 0.0378326, 0.0282372, 0.0221294, 0.0168876, 0.0108674, 0.00773797, 0.00511689, 0.00395015, 0.00244599, 0.000928809, 0.000834154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.659309, 0.663024, 0.669031, 0.675809, 0.692492, 0.707607, 0.712491, 0.716782, 0.725363, 0.72734, 0.731294, 0.737626, 0.747961, 0.760927, 0.76947, 0.778405, 0.789496, 0.80217, 0.811009, 0.82151, 0.835513, 0.849224, 0.859189, 0.875081, 0.880346, 0.891204, 0.903614, 0.928434, 0.957894");
-            values ( \
-              "0.00485831, 0.005876, 0.00857475, 0.0126241, 0.0235493, 0.0320612, 0.0342445, 0.035758, 0.0375865, 0.0377424, 0.0378971, 0.0374631, 0.0343813, 0.026038, 0.0214784, 0.0176352, 0.0139259, 0.0105641, 0.00873706, 0.006939, 0.00506785, 0.00373059, 0.00297644, 0.00206165, 0.00182979, 0.00142317, 0.00106747, 0.000588499, 0.000326326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390831");
-            index_3 ("0.676882, 0.700227, 0.708765, 0.713469, 0.715036, 0.718172, 0.721308, 0.724443, 0.727579, 0.72913, 0.729648, 0.730682, 0.733785, 0.737923, 0.739991, 0.743495, 0.745648, 0.747738, 0.751856, 0.756107, 0.760358, 0.763192, 0.766026, 0.76886, 0.772582, 0.780026, 0.789397, 0.795584, 0.800534, 0.807958, 0.819481, 0.823745, 0.827155, 0.832567, 0.837978, 0.848489, 0.854667, 0.859124, 0.86269, 0.868464, 0.874239, 0.878098, 0.885816, 0.894428, 0.899182, 0.903935, 0.908689, 0.918196, 0.926746, 0.943761");
-            values ( \
-              "0.0327504, 0.0360656, 0.0423693, 0.0449941, 0.0457664, 0.0471345, 0.0483123, 0.0492997, 0.0500968, 0.0504208, 0.0505185, 0.0506336, 0.050892, 0.0509795, 0.0509772, 0.0509032, 0.0507369, 0.0505334, 0.0500112, 0.0491894, 0.0481465, 0.0473285, 0.0464122, 0.0453977, 0.0437868, 0.039855, 0.0347457, 0.0316339, 0.0293716, 0.026298, 0.0220208, 0.0205516, 0.0194469, 0.0178921, 0.01643, 0.0138732, 0.0124819, 0.0115447, 0.0108445, 0.00986169, 0.0089481, 0.00839892, 0.00734782, 0.00624924, 0.00572572, 0.00524584, 0.00483847, 0.00409687, 0.00352643, 0.00255061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070716");
-            index_3 ("0.680942, 0.706582, 0.710619, 0.713311, 0.716002, 0.721385, 0.724077, 0.726769, 0.730546, 0.734324, 0.736212, 0.738101, 0.747766, 0.754747, 0.758506, 0.766025, 0.770133, 0.774089, 0.781852, 0.787303, 0.792755, 0.798133, 0.80351, 0.808888, 0.814266, 0.815728, 0.82743, 0.84273, 0.852515, 0.865379, 0.88555, 0.892596, 0.899641, 0.912697, 0.919225, 0.925753, 0.942503, 0.946035, 0.953098, 0.960162, 0.965889, 0.977344, 0.984777, 0.989895, 0.996718, 1.00355, 1.01037, 1.02402, 1.03593, 1.05877");
-            values ( \
-              "0.0461333, 0.0480934, 0.0518505, 0.0537797, 0.0555061, 0.0582386, 0.059385, 0.0603851, 0.0615415, 0.0622591, 0.0625244, 0.0627272, 0.0625454, 0.0623059, 0.0621393, 0.0617273, 0.0613583, 0.0609412, 0.0599472, 0.0590399, 0.0580144, 0.056887, 0.0556446, 0.0542871, 0.0528145, 0.0523313, 0.0478245, 0.0417559, 0.0382005, 0.0338477, 0.0274921, 0.0255619, 0.023729, 0.0205902, 0.0191462, 0.0177858, 0.0145771, 0.0139317, 0.012831, 0.0117963, 0.0110365, 0.00958565, 0.0086932, 0.00810121, 0.00741047, 0.00677697, 0.00623692, 0.00525606, 0.00452365, 0.00331317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127952");
-            index_3 ("0.684842, 0.713625, 0.718472, 0.723511, 0.72687, 0.73023, 0.733589, 0.736948, 0.746658, 0.756368, 0.763919, 0.77147, 0.780106, 0.792808, 0.809257, 0.821998, 0.838757, 0.856577, 0.858697, 0.861878, 0.869299, 0.875659, 0.88414, 0.893717, 0.915062, 0.936854, 0.959092, 0.980613, 1.00262, 1.00756, 1.02239, 1.03228, 1.04217, 1.05206, 1.06194, 1.07683, 1.08696, 1.0971, 1.10638, 1.12409, 1.13949, 1.1549, 1.16357, 1.18091, 1.19565, 1.21039, 1.21615, 1.22766, 1.23793, 1.27006");
-            values ( \
-              "0.056251, 0.060496, 0.0642051, 0.0673729, 0.0685634, 0.0695773, 0.0704147, 0.0710755, 0.0713437, 0.0714349, 0.0713836, 0.0712253, 0.0707971, 0.0700859, 0.0690092, 0.0680396, 0.0665026, 0.0649526, 0.0647232, 0.064291, 0.0631485, 0.0620792, 0.060532, 0.0585874, 0.0534786, 0.0479245, 0.0424711, 0.037474, 0.0324686, 0.0314323, 0.0284625, 0.0266091, 0.024841, 0.0231582, 0.0215605, 0.0193168, 0.0178264, 0.0164293, 0.0152906, 0.0133361, 0.0118243, 0.0104048, 0.00964682, 0.00839552, 0.00744272, 0.00659225, 0.00575855, 0.00549755, 0.00517929, 0.00389125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0231513");
-            index_3 ("0.675841, 0.69714, 0.718518, 0.731475, 0.746642, 0.76225, 0.809154, 0.855336, 0.914356, 0.970533, 1.01228, 1.06586, 1.22159, 1.27638, 1.3201, 1.38151, 1.41783, 1.47558, 1.53897, 1.61532, 1.70534, 1.77745, 1.93015, 2.01008");
-            values ( \
-              "0.0313901, 0.0469237, 0.0684694, 0.0749006, 0.0774035, 0.0778586, 0.0766768, 0.0750327, 0.0724712, 0.0692618, 0.0655049, 0.0583887, 0.0348689, 0.0279163, 0.0229931, 0.0172829, 0.0144972, 0.0108781, 0.00787355, 0.00527128, 0.00327708, 0.00222152, 0.000967695, 0.000761896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0418893");
-            index_3 ("0.675946, 0.72058, 0.731836, 0.740405, 0.756057, 0.770344, 0.807795, 0.880264, 0.97597, 1.07454, 1.15089, 1.17699, 1.23737, 1.28637, 1.33648, 1.60298, 1.67133, 1.74768, 1.8129, 1.87443, 1.93828, 2.04474, 2.10495, 2.1813, 2.25765, 2.37414, 2.51675, 2.66945, 2.82215, 3.05119, 3.28024");
-            values ( \
-              "0.0265257, 0.0725366, 0.0780065, 0.0800813, 0.0814491, 0.0816262, 0.0812054, 0.0798776, 0.0777638, 0.0752603, 0.0728813, 0.0718773, 0.0689638, 0.0657258, 0.0617094, 0.0375958, 0.0319812, 0.0263724, 0.0221834, 0.0187338, 0.0156392, 0.0114682, 0.00958273, 0.00760826, 0.0060196, 0.00420068, 0.00268777, 0.00165957, 0.00102231, 0.000491083, 0.000237803" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00287035, 0.00287076, 0.00287113, 0.00287142, 0.00287162, 0.00287174", \
-            "0.00367518, 0.0036754, 0.00367566, 0.00367591, 0.0036761, 0.00367623", \
-            "0.00423116, 0.00423101, 0.0042309, 0.00423086, 0.00423087, 0.00423089", \
-            "0.00460085, 0.00460072, 0.00460057, 0.00460042, 0.0046003, 0.00460022", \
-            "0.00482914, 0.00482904, 0.00482894, 0.00482883, 0.00482872, 0.00482863", \
-            "0.00497894, 0.00497887, 0.00497878, 0.00497867, 0.00497855, 0.00497844" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00434397, 0.0043439, 0.00434395, 0.00434406, 0.00434417, 0.00434426", \
-            "0.00473456, 0.0047335, 0.00473257, 0.00473189, 0.00473146, 0.00473122", \
-            "0.00468754, 0.00468682, 0.00468594, 0.00468506, 0.00468435, 0.00468385", \
-            "0.00482893, 0.004829, 0.00482941, 0.00483064, 0.00483072, 0.00483064", \
-            "0.00488374, 0.00489618, 0.00490173, 0.00490582, 0.00490851, 0.00490995", \
-            "0.00470989, 0.00470851, 0.004705, 0.00470304, 0.00471018, 0.00471234" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0593906, 0.0657549, 0.0695391, 0.075326, 0.0784108, 0.0826226, 0.0886296, 0.0945526, 0.100473, 0.10435, 0.120149, 0.127994, 0.133833, 0.142713, 0.15319, 0.159962, 0.168992, 0.184699");
-            values ( \
-              "-0.0121553, -0.0490318, -0.0537635, -0.0594059, -0.061519, -0.0638248, -0.065622, -0.0657821, -0.0628976, -0.0587538, -0.0327779, -0.0221641, -0.0161494, -0.00971545, -0.00519955, -0.00345577, -0.0019733, -0.000732718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390831");
-            index_3 ("0.0599567, 0.068198, 0.0731989, 0.0822465, 0.0892062, 0.0988246, 0.106968, 0.116838, 0.122733, 0.150371, 0.161331, 0.173907, 0.188308, 0.205216, 0.218146");
-            values ( \
-              "-0.0184544, -0.0670259, -0.0737137, -0.0816676, -0.0849798, -0.0867151, -0.0860457, -0.080277, -0.0734149, -0.032422, -0.0213206, -0.0127152, -0.00684551, -0.00325363, -0.00195225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0070716");
-            index_3 ("0.0598831, 0.0691916, 0.0754586, 0.0787273, 0.0852645, 0.0916694, 0.102991, 0.109845, 0.116051, 0.128147, 0.1365, 0.140958, 0.149875, 0.154906, 0.177774, 0.185257, 0.195973, 0.204803, 0.211649, 0.221114, 0.229007, 0.237785, 0.250244, 0.25571, 0.266641, 0.288504, 0.323316, 0.364724");
-            values ( \
-              "-0.0121593, -0.0811966, -0.0903344, -0.0936789, -0.0989569, -0.102067, -0.105055, -0.105361, -0.105214, -0.103098, -0.0997717, -0.0972319, -0.0898946, -0.0843551, -0.0560855, -0.047664, -0.0370197, -0.0297065, -0.0248729, -0.0192952, -0.0155431, -0.0121587, -0.00850626, -0.00727311, -0.00528666, -0.00273253, -0.000877023, -0.000213814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127952");
-            index_3 ("0.0605479, 0.0694428, 0.0733227, 0.0823165, 0.0971769, 0.107128, 0.120622, 0.136055, 0.165196, 0.175827, 0.186133, 0.207043, 0.250667, 0.277854, 0.291658, 0.307434, 0.33475, 0.35439, 0.367033, 0.397166, 0.431603, 0.484756, 0.537909");
-            values ( \
-              "-0.0126967, -0.091011, -0.0980259, -0.108468, -0.116738, -0.118772, -0.119862, -0.119227, -0.115109, -0.112134, -0.108288, -0.0954771, -0.0588778, -0.0398919, -0.0321995, -0.0249262, -0.0157155, -0.0111316, -0.00889681, -0.00517478, -0.00271428, -0.000939291, -0.000323783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0231513");
-            index_3 ("0.0605999, 0.0732122, 0.0828413, 0.0938785, 0.101372, 0.114003, 0.127965, 0.145391, 0.198363, 0.232272, 0.246284, 0.267352, 0.302789, 0.373733, 0.420072, 0.459443, 0.486486, 0.510726, 0.543046, 0.570013, 0.599578, 0.638998, 0.692152, 0.745305, 0.851611");
-            values ( \
-              "-0.0278335, -0.104505, -0.116787, -0.123786, -0.126663, -0.128807, -0.129766, -0.129531, -0.12617, -0.122249, -0.120004, -0.115352, -0.101929, -0.0644029, -0.0436686, -0.0303547, -0.0232904, -0.0182739, -0.013113, -0.00987336, -0.00722604, -0.00473624, -0.0026231, -0.00146578, -0.000452829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0418893");
-            index_3 ("0.0674836, 0.108303, 0.120507, 0.135302, 0.187845, 0.265335, 0.294265, 0.347418, 0.36359, 0.41398, 0.481119, 0.60735, 0.684367, 0.757602, 0.808239, 0.863194, 0.950391, 0.981807, 1.07685, 1.18315, 1.28946, 1.44892");
-            values ( \
-              "-0.122478, -0.133745, -0.13557, -0.136179, -0.135234, -0.132156, -0.130495, -0.127229, -0.125826, -0.119765, -0.104335, -0.0644411, -0.0442768, -0.0299062, -0.0224611, -0.0163076, -0.00965051, -0.00797013, -0.00441388, -0.00224585, -0.00112267, -0.000500125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0738568, 0.0806035, 0.0903833, 0.0962698, 0.103502, 0.109427, 0.115352, 0.119189, 0.142873, 0.149103, 0.157378, 0.170322, 0.186158");
-            values ( \
-              "-0.00886456, -0.0488553, -0.0594665, -0.0632154, -0.0655432, -0.0657686, -0.0628529, -0.0587891, -0.0221688, -0.0158036, -0.00984438, -0.00453915, -0.00172554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390831");
-            index_3 ("0.0740577, 0.083167, 0.0881774, 0.0972391, 0.105654, 0.11383, 0.121979, 0.131834, 0.137688, 0.165328, 0.176502, 0.18884, 0.203246, 0.224516, 0.231735");
-            values ( \
-              "-0.0105331, -0.0668048, -0.0736644, -0.0816428, -0.0853253, -0.0868287, -0.085948, -0.0802826, -0.0734794, -0.0324821, -0.0211763, -0.0127587, -0.00687003, -0.00267203, -0.00210568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0070716");
-            index_3 ("0.0742578, 0.0838491, 0.0919115, 0.104085, 0.111615, 0.11887, 0.130987, 0.143104, 0.155894, 0.167556, 0.206765, 0.221848, 0.232775, 0.245398, 0.270704, 0.300166, 0.317681");
-            values ( \
-              "-0.00577421, -0.0806212, -0.0920293, -0.100949, -0.103653, -0.105044, -0.105163, -0.103143, -0.0972302, -0.0869782, -0.0408929, -0.0281375, -0.0210814, -0.014931, -0.00726257, -0.00302878, -0.00199339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127952");
-            index_3 ("0.0746693, 0.08445, 0.0904692, 0.102293, 0.110347, 0.122166, 0.141473, 0.160811, 0.180231, 0.190838, 0.20117, 0.222081, 0.26566, 0.292875, 0.322769, 0.349493, 0.368706, 0.381869, 0.413175, 0.448954, 0.483994");
-            values ( \
-              "-0.00349213, -0.0908309, -0.101079, -0.112024, -0.115881, -0.118905, -0.119712, -0.118224, -0.114983, -0.112126, -0.108284, -0.0954644, -0.0589125, -0.0399037, -0.0248057, -0.0157973, -0.0112764, -0.00893138, -0.00508403, -0.00259578, -0.00147596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0231513");
-            index_3 ("0.0749075, 0.0882244, 0.0973289, 0.11025, 0.116387, 0.128661, 0.142439, 0.161514, 0.213396, 0.247375, 0.264334, 0.282385, 0.317907, 0.394218, 0.428285, 0.474068, 0.501592, 0.525528, 0.557443, 0.584832, 0.621591, 0.670602, 0.723755, 0.776908, 0.883215");
-            values ( \
-              "-0.0209648, -0.104398, -0.116278, -0.124338, -0.126619, -0.128736, -0.129732, -0.129473, -0.126154, -0.122235, -0.119433, -0.115342, -0.101878, -0.0617039, -0.0463779, -0.0304445, -0.0232802, -0.0183236, -0.0131809, -0.00990588, -0.00671614, -0.00392746, -0.00218961, -0.00120498, -0.000364556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0418893");
-            index_3 ("0.081748, 0.112308, 0.135798, 0.149849, 0.193108, 0.23267, 0.338111, 0.367931, 0.421084, 0.445172, 0.496057, 0.616975, 0.69834, 0.745977, 0.79913, 0.853252, 0.945115, 1.01757, 1.07072, 1.12388, 1.23018, 1.28803");
-            values ( \
-              "-0.117108, -0.130807, -0.135562, -0.136139, -0.135533, -0.134141, -0.128946, -0.126574, -0.120959, -0.116955, -0.104323, -0.0660196, -0.0445058, -0.034723, -0.0257458, -0.0188789, -0.0109169, -0.00702781, -0.0051477, -0.00362076, -0.00184255, -0.00152905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.113439, 0.115536, 0.118094, 0.121944, 0.128834, 0.135144, 0.14117, 0.147107, 0.15304, 0.156788, 0.159751, 0.172289, 0.180552, 0.184054, 0.188724, 0.192451, 0.196868, 0.202759, 0.210475, 0.215726, 0.226227, 0.237368");
-            values ( \
-              "-0.0311417, -0.0433255, -0.0478643, -0.0531664, -0.0597213, -0.0636003, -0.0654393, -0.0656877, -0.0628144, -0.058869, -0.0545047, -0.0334367, -0.0221856, -0.0183934, -0.014176, -0.011459, -0.00885872, -0.00623394, -0.0039198, -0.00285222, -0.00147868, -0.000833991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390831");
-            index_3 ("0.11358, 0.117289, 0.120654, 0.125714, 0.134823, 0.143259, 0.151449, 0.159605, 0.169447, 0.175231, 0.202671, 0.214658, 0.226202, 0.243898, 0.265704, 0.26899");
-            values ( \
-              "-0.0163677, -0.0596315, -0.0659485, -0.0731465, -0.0813098, -0.0851759, -0.0867102, -0.0859081, -0.0802712, -0.0735896, -0.0328324, -0.0207436, -0.0129103, -0.006029, -0.0022618, -0.00204446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0070716");
-            index_3 ("0.110507, 0.124228, 0.131374, 0.135276, 0.14308, 0.146894, 0.15184, 0.156633, 0.166218, 0.173814, 0.18097, 0.193245, 0.196235, 0.199653, 0.206488, 0.229206, 0.237649, 0.24846, 0.258056, 0.265031, 0.274025, 0.281327, 0.290246, 0.302816, 0.316027, 0.326169, 0.346451, 0.383426, 0.427269");
-            values ( \
-              "-0.00833025, -0.0844792, -0.0933858, -0.0967564, -0.101443, -0.102887, -0.10416, -0.104941, -0.10526, -0.104514, -0.102992, -0.0975412, -0.0954695, -0.0926771, -0.0856328, -0.0575834, -0.0479817, -0.0372109, -0.0292873, -0.0244261, -0.0191877, -0.0157105, -0.0122404, -0.00853947, -0.00583617, -0.00433862, -0.00235251, -0.000692591, -0.000147581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127952");
-            index_3 ("0.110367, 0.129392, 0.137455, 0.145467, 0.152962, 0.159984, 0.173683, 0.188926, 0.198651, 0.218072, 0.228665, 0.239011, 0.259923, 0.30347, 0.330703, 0.344748, 0.3608, 0.387134, 0.406066, 0.419566, 0.451629, 0.488271, 0.524593");
-            values ( \
-              "-0.0188889, -0.102276, -0.10997, -0.114763, -0.117362, -0.118753, -0.119724, -0.119114, -0.118178, -0.115013, -0.112132, -0.108277, -0.0954671, -0.0589343, -0.0399104, -0.0320932, -0.0247267, -0.0158512, -0.0113752, -0.00895417, -0.00502849, -0.00252113, -0.00139425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0231513");
-            index_3 ("0.110316, 0.126122, 0.126984, 0.132152, 0.137319, 0.14637, 0.154308, 0.166796, 0.180289, 0.186723, 0.19959, 0.218983, 0.251746, 0.26437, 0.285304, 0.302263, 0.320314, 0.355837, 0.406448, 0.432147, 0.466216, 0.483882, 0.512007, 0.539528, 0.563461, 0.595371, 0.622768, 0.635024, 0.659537, 0.708564, 0.761717, 0.81487, 0.868023, 0.921176");
-            values ( \
-              "-0.00145758, -0.104101, -0.105621, -0.11265, -0.117743, -0.12361, -0.126487, -0.12886, -0.129609, -0.129677, -0.129359, -0.128468, -0.126027, -0.12479, -0.122229, -0.119434, -0.115337, -0.101879, -0.0748876, -0.0617026, -0.0463787, -0.0395917, -0.0304413, -0.0232796, -0.0183238, -0.0131809, -0.00990599, -0.00869923, -0.00671553, -0.00392587, -0.00218932, -0.00120428, -0.000673439, -0.000364119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0418893");
-            index_3 ("0.117601, 0.132019, 0.140872, 0.150134, 0.160928, 0.173396, 0.18816, 0.206818, 0.26166, 0.290011, 0.355102, 0.405758, 0.458912, 0.46697, 0.483087, 0.515321, 0.533915, 0.654828, 0.705645, 0.736198, 0.783859, 0.837012, 0.891094, 0.929771, 0.982924, 1.02819, 1.10868, 1.16184, 1.21499, 1.26814, 1.37445, 1.53391");
-            values ( \
-              "-0.0919789, -0.117387, -0.125568, -0.130724, -0.133735, -0.13545, -0.136044, -0.135995, -0.13438, -0.133289, -0.130139, -0.126667, -0.120869, -0.11966, -0.116857, -0.109495, -0.10424, -0.0660952, -0.0519688, -0.0445796, -0.0346476, -0.0258134, -0.0189488, -0.0150698, -0.010988, -0.00833221, -0.00507865, -0.00368439, -0.00261423, -0.00190711, -0.000988445, -0.000336838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.198415, 0.201799, 0.204967, 0.209441, 0.217052, 0.220081, 0.223768, 0.230049, 0.236146, 0.242239, 0.246376, 0.248958, 0.262831, 0.268377, 0.271353, 0.276548, 0.280742, 0.28741, 0.294163, 0.297326, 0.302119, 0.30851, 0.321293, 0.339958, 0.362904");
-            values ( \
-              "-0.0124809, -0.0335471, -0.0398479, -0.0466665, -0.0550503, -0.0577893, -0.0604197, -0.0634164, -0.0642795, -0.0620525, -0.057827, -0.0541286, -0.0313913, -0.0238505, -0.0204243, -0.015383, -0.0121248, -0.00823329, -0.00548489, -0.0045398, -0.00340264, -0.00230986, -0.00102569, -0.000297317, -6.01152e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390831");
-            index_3 ("0.200025, 0.203996, 0.210248, 0.215972, 0.223441, 0.232225, 0.240584, 0.248847, 0.257109, 0.260073, 0.266524, 0.2863, 0.293585, 0.304405, 0.31534, 0.329568, 0.34511, 0.358614, 0.37356");
-            values ( \
-              "-0.0221798, -0.048778, -0.0610607, -0.0690548, -0.0771668, -0.0828446, -0.0852452, -0.0851314, -0.0812626, -0.0786737, -0.0705683, -0.040235, -0.0310212, -0.0204429, -0.0130492, -0.00708597, -0.00359307, -0.00194714, -0.00111349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0070716");
-            index_3 ("0.201853, 0.206674, 0.219895, 0.231078, 0.23807, 0.245909, 0.258131, 0.270408, 0.283109, 0.29435, 0.33625, 0.352852, 0.371802, 0.393496, 0.4189, 0.442989");
-            values ( \
-              "-0.0410546, -0.0646941, -0.0876983, -0.0981534, -0.101659, -0.103715, -0.104699, -0.102797, -0.0971148, -0.0874087, -0.0387667, -0.0255156, -0.0152736, -0.00824643, -0.0039296, -0.00198027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127952");
-            index_3 ("0.202084, 0.210045, 0.222859, 0.229222, 0.240042, 0.249473, 0.259375, 0.268872, 0.285464, 0.305015, 0.313966, 0.325901, 0.333919, 0.342044, 0.351256, 0.386884, 0.416626, 0.435986, 0.451557, 0.474798, 0.488198, 0.514439, 0.529427, 0.559402, 0.612555, 0.623521");
-            values ( \
-              "-0.0359277, -0.0799307, -0.101742, -0.108272, -0.114956, -0.11778, -0.119073, -0.119322, -0.118407, -0.115502, -0.113377, -0.109413, -0.105728, -0.100884, -0.0940209, -0.0638338, -0.0421221, -0.0312299, -0.0242277, -0.0163687, -0.012972, -0.00814434, -0.0062196, -0.00357331, -0.00123975, -0.00107242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0231513");
-            index_3 ("0.201639, 0.214557, 0.222544, 0.234339, 0.243862, 0.255704, 0.266268, 0.276435, 0.308741, 0.353409, 0.375067, 0.392023, 0.410078, 0.445601, 0.521907, 0.555977, 0.601778, 0.629293, 0.653219, 0.685121, 0.712524, 0.749302, 0.798338, 0.851491, 0.904644, 1.01095");
-            values ( \
-              "-0.0342339, -0.0949095, -0.108429, -0.120095, -0.124973, -0.128005, -0.129112, -0.129431, -0.128409, -0.124863, -0.122228, -0.119434, -0.115336, -0.101879, -0.0617039, -0.0463803, -0.0304392, -0.0232799, -0.0183254, -0.0131827, -0.00990725, -0.00671599, -0.0039252, -0.00218947, -0.00120386, -0.000363773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0418893");
-            index_3 ("0.210305, 0.23992, 0.250863, 0.263019, 0.278936, 0.297447, 0.323912, 0.380068, 0.433221, 0.46108, 0.495817, 0.54897, 0.557029, 0.573146, 0.605381, 0.623974, 0.744887, 0.795703, 0.826257, 0.873921, 0.927074, 0.981147, 1.01982, 1.07297, 1.11826, 1.19875, 1.25191, 1.35821, 1.46452, 1.62398");
-            values ( \
-              "-0.126246, -0.128494, -0.132698, -0.134857, -0.135833, -0.135938, -0.13532, -0.133274, -0.130811, -0.129167, -0.12667, -0.120865, -0.11966, -0.116856, -0.109493, -0.10424, -0.0660896, -0.051975, -0.0445734, -0.0346559, -0.0258042, -0.0189377, -0.0150831, -0.0109769, -0.00834571, -0.00509213, -0.0036704, -0.00189304, -0.000974255, -0.000351218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.382965, 0.387024, 0.397161, 0.409195, 0.417064, 0.424144, 0.430848, 0.437485, 0.444116, 0.459569, 0.466008, 0.477064, 0.484992, 0.495437, 0.510767, 0.514369");
-            values ( \
-              "-0.014239, -0.0172467, -0.0323027, -0.045997, -0.0528982, -0.0569465, -0.0590376, -0.0582753, -0.0524851, -0.0295682, -0.021605, -0.0118986, -0.00755843, -0.00408994, -0.00159362, -0.00140166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390831");
-            index_3 ("0.384755, 0.390381, 0.40391, 0.416436, 0.426315, 0.435428, 0.444265, 0.453088, 0.45687, 0.462667, 0.48844, 0.500862, 0.5126, 0.529511, 0.549056, 0.551237");
-            values ( \
-              "-0.0213277, -0.0275226, -0.0517598, -0.06743, -0.07508, -0.0791341, -0.0804015, -0.0780559, -0.075245, -0.0688667, -0.0326176, -0.0204218, -0.0125661, -0.00622074, -0.00269955, -0.00252511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0070716");
-            index_3 ("0.376837, 0.42098, 0.427316, 0.439987, 0.44612, 0.453732, 0.466492, 0.479254, 0.484053, 0.488652, 0.493779, 0.511384, 0.521619, 0.536502, 0.548674, 0.559752, 0.571797, 0.579868, 0.591061, 0.595331, 0.60387, 0.620948, 0.654829, 0.694045");
-            values ( \
-              "-0.00478842, -0.0853128, -0.0905559, -0.0972175, -0.0989538, -0.0999996, -0.0995823, -0.0951154, -0.092112, -0.0883396, -0.0831026, -0.0619313, -0.0503343, -0.0358135, -0.0264187, -0.019681, -0.014165, -0.0112939, -0.00820134, -0.00725496, -0.00566621, -0.00341109, -0.00114452, -0.000304264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127952");
-            index_3 ("0.377975, 0.417372, 0.43323, 0.444519, 0.459266, 0.474802, 0.484741, 0.504698, 0.525856, 0.536484, 0.548586, 0.59592, 0.614842, 0.642046, 0.65959, 0.679053, 0.709087, 0.7469, 0.787234, 0.808015");
-            values ( \
-              "-0.000106759, -0.0915442, -0.106091, -0.111365, -0.114969, -0.115737, -0.115217, -0.112848, -0.107368, -0.102281, -0.0938495, -0.0546889, -0.0416146, -0.0271599, -0.0202913, -0.0145385, -0.00853872, -0.00431247, -0.00200168, -0.00148832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0231513");
-            index_3 ("0.377122, 0.427102, 0.452404, 0.467505, 0.482375, 0.528002, 0.555237, 0.609761, 0.643583, 0.764905, 0.827496, 0.872379, 0.942131, 1.01888");
-            values ( \
-              "-0.00599483, -0.109227, -0.122792, -0.125533, -0.12632, -0.125908, -0.124177, -0.114686, -0.10144, -0.0417333, -0.0230191, -0.014619, -0.00702842, -0.00311056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0418893");
-            index_3 ("0.39651, 0.423827, 0.446986, 0.460836, 0.471494, 0.485703, 0.509955, 0.535746, 0.577469, 0.615572, 0.663499, 0.693324, 0.746478, 0.77057, 0.821453, 0.942373, 1.02374, 1.07139, 1.12454, 1.17865, 1.21735, 1.2705, 1.34301, 1.39616, 1.44932, 1.55562, 1.66193, 1.82139");
-            values ( \
-              "-0.0766994, -0.110592, -0.126655, -0.130476, -0.132043, -0.132857, -0.133118, -0.134153, -0.133242, -0.131574, -0.128862, -0.126651, -0.120877, -0.116878, -0.104251, -0.0660795, -0.0445647, -0.0346656, -0.0257996, -0.0189296, -0.0150848, -0.0109678, -0.00707313, -0.00509991, -0.00366656, -0.00188845, -0.000969291, -0.000356746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.797227, 0.806304, 0.810139, 0.827336, 0.847954, 0.856878, 0.864955, 0.872597, 0.88024, 0.881104, 0.897628, 0.909012, 0.915628, 0.925, 0.932393, 0.937143, 0.946643, 0.962373");
-            values ( \
-              "-0.00699721, -0.0108299, -0.0132925, -0.0269141, -0.0408676, -0.0462275, -0.0500636, -0.0517289, -0.0484485, -0.0476201, -0.0266863, -0.015257, -0.010679, -0.00630366, -0.00413622, -0.00315399, -0.00182229, -0.000757978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390831");
-            index_3 ("0.798104, 0.810247, 0.814992, 0.83117, 0.859281, 0.870054, 0.879977, 0.889654, 0.89974, 0.905738, 0.920797, 0.927622, 0.931975, 0.93933, 0.945339, 0.949441, 0.954911, 0.964366, 0.96766, 0.975321, 0.984076, 0.993149");
-            values ( \
-              "-0.010233, -0.0171268, -0.0215507, -0.0379649, -0.0619736, -0.068752, -0.0728534, -0.0728873, -0.0662415, -0.0587415, -0.0384382, -0.030462, -0.0260325, -0.0196908, -0.0155204, -0.0131522, -0.0105002, -0.0070405, -0.00612631, -0.00442507, -0.00303359, -0.00217921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070716");
-            index_3 ("0.800896, 0.813051, 0.859929, 0.875047, 0.88288, 0.889642, 0.903165, 0.916686, 0.930266, 0.955718, 0.974382, 0.994812, 1.00802, 1.01655, 1.03328, 1.06245, 1.07866");
-            values ( \
-              "-0.0150402, -0.0240093, -0.075435, -0.0869539, -0.0908258, -0.0931645, -0.0952063, -0.0926231, -0.0830821, -0.0540194, -0.0354303, -0.0210274, -0.0147145, -0.0115938, -0.0072016, -0.00305008, -0.00208715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127952");
-            index_3 ("0.809585, 0.823102, 0.834271, 0.854048, 0.860263, 0.866479, 0.87292, 0.879362, 0.883628, 0.89216, 0.89876, 0.905762, 0.910431, 0.916066, 0.926826, 0.93195, 0.942198, 0.947323, 0.957574, 0.963277, 0.966162, 0.971356, 0.976618, 0.986197, 0.998211, 1.02313, 1.03611, 1.04999, 1.06451, 1.07612, 1.08541, 1.09492, 1.10744, 1.11679, 1.12518, 1.13574, 1.14893");
-            values ( \
-              "-0.0285666, -0.0396457, -0.0544528, -0.079561, -0.0866343, -0.0927998, -0.0976207, -0.101719, -0.103895, -0.107577, -0.109813, -0.111593, -0.112435, -0.112502, -0.112217, -0.111892, -0.110873, -0.110019, -0.107895, -0.106473, -0.105328, -0.102735, -0.0996427, -0.0929194, -0.0832812, -0.0623365, -0.0525261, -0.0432131, -0.0344274, -0.0287325, -0.0247539, -0.0211731, -0.0168971, -0.0144587, -0.0125413, -0.0104438, -0.00827069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0231513");
-            index_3 ("0.787233, 0.896938, 0.908522, 0.925879, 0.94217, 0.967494, 0.991612, 1.00954, 1.04373, 1.05209, 1.06165, 1.08077, 1.15013, 1.20053, 1.22481, 1.24375, 1.27336, 1.29411, 1.33561, 1.35984, 1.40036, 1.45351, 1.50666, 1.53483");
-            values ( \
-              "-0.00815084, -0.118493, -0.121748, -0.124434, -0.125196, -0.12474, -0.122968, -0.12092, -0.11474, -0.112403, -0.109252, -0.101165, -0.0649712, -0.0426424, -0.0341907, -0.0286486, -0.0214917, -0.0174448, -0.0113227, -0.00878472, -0.00571077, -0.00318067, -0.00176766, -0.00134765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0418893");
-            index_3 ("0.787012, 0.899248, 0.931451, 0.960722, 1.00956, 1.07178, 1.14254, 1.19242, 1.25989, 1.45123, 1.51086, 1.62127, 1.71481, 1.84589, 1.92596");
-            values ( \
-              "-0.00845995, -0.125429, -0.131806, -0.133038, -0.132111, -0.129461, -0.124709, -0.118899, -0.10416, -0.0471201, -0.0344583, -0.0184846, -0.0105938, -0.00474449, -0.00324635" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00274518, 0.00274573, 0.00274623, 0.0027466, 0.00274686, 0.00274701", \
-            "0.00320959, 0.00320985, 0.00321014, 0.00321038, 0.00321057, 0.0032107", \
-            "0.0034725, 0.00347249, 0.00347251, 0.00347255, 0.00347259, 0.00347262", \
-            "0.00361934, 0.00361923, 0.00361915, 0.00361915, 0.00361897, 0.00361895", \
-            "0.00369976, 0.00369973, 0.00369968, 0.00369964, 0.0036996, 0.00369958", \
-            "0.00375288, 0.00375285, 0.00375282, 0.00375279, 0.00375275, 0.00375272" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00365368, 0.00365432, 0.00365498, 0.00365554, 0.00365595, 0.00365622", \
-            "0.00404059, 0.00404036, 0.00404024, 0.00404024, 0.0040403, 0.00404035", \
-            "0.0043284, 0.00432708, 0.00432576, 0.00432467, 0.0043239, 0.00432341", \
-            "0.00469539, 0.00469337, 0.00469103, 0.00468881, 0.00468702, 0.00468571", \
-            "0.00517346, 0.00517938, 0.00518415, 0.00518738, 0.00519043, 0.00519305", \
-            "0.00583399, 0.00581813, 0.00580267, 0.00582243, 0.00584086, 0.00584967" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "~A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "!A";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0836451, 0.103568, 0.13897, 0.202514, 0.317005, 0.523972", \
-            "0.0882766, 0.108285, 0.143783, 0.207415, 0.321985, 0.528981", \
-            "0.0999174, 0.120004, 0.1556, 0.219354, 0.334028, 0.541109", \
-            "0.126238, 0.146318, 0.181944, 0.245771, 0.360632, 0.567802", \
-            "0.176472, 0.201918, 0.242731, 0.307933, 0.422994, 0.630445", \
-            "0.262835, 0.299356, 0.355266, 0.440433, 0.570953, 0.780965" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0834685, 0.111076, 0.160662, 0.250114, 0.412355, 0.705768", \
-            "0.0834677, 0.111074, 0.160625, 0.250112, 0.412382, 0.705769", \
-            "0.0834609, 0.111067, 0.160656, 0.250112, 0.412381, 0.705768", \
-            "0.0870336, 0.113277, 0.161069, 0.250143, 0.412289, 0.705768", \
-            "0.111571, 0.135821, 0.177984, 0.258522, 0.413486, 0.705771", \
-            "0.165854, 0.193495, 0.240958, 0.317173, 0.450878, 0.719287" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0552044, 0.0674403, 0.0889195, 0.126825, 0.194297, 0.315272", \
-            "0.0580233, 0.0703069, 0.0918414, 0.129802, 0.197286, 0.318277", \
-            "0.0643135, 0.0768513, 0.0984848, 0.136584, 0.204187, 0.325242", \
-            "0.0787704, 0.0925261, 0.114831, 0.153281, 0.221193, 0.342534", \
-            "0.0976868, 0.116639, 0.146015, 0.191642, 0.263319, 0.385472", \
-            "0.106615, 0.134729, 0.177662, 0.243127, 0.339915, 0.483585" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0519546, 0.0680536, 0.0970407, 0.148783, 0.239919, 0.402621", \
-            "0.0518111, 0.0678867, 0.0969038, 0.148778, 0.23991, 0.402624", \
-            "0.0514527, 0.0672502, 0.0962854, 0.148454, 0.239869, 0.402805", \
-            "0.0589578, 0.0727931, 0.0994981, 0.149461, 0.239764, 0.40262", \
-            "0.0844972, 0.0999785, 0.126902, 0.172606, 0.252565, 0.405664", \
-            "0.131988, 0.152939, 0.186627, 0.243331, 0.32481, 0.456475" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0328941, 0.0361622, 0.0393131, 0.0433152, 0.0539658, 0.0553349, 0.0608114, 0.0661836, 0.0744318, 0.0911678, 0.105271, 0.11109, 0.137969, 0.149563, 0.171459, 0.183984, 0.200247, 0.223225, 0.240321, 0.268862, 0.306917, 0.35501, 0.415752");
-            values ( \
-              "0.0125719, 0.0350846, 0.0390017, 0.0415343, 0.0344101, 0.0340739, 0.0328476, 0.032122, 0.0313367, 0.0303482, 0.0286647, 0.0272023, 0.0166912, 0.0131894, 0.00827947, 0.00627514, 0.00434207, 0.00254938, 0.0017125, 0.000871789, 0.000362315, 8.97462e-05, 3.97233e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390831");
-            index_3 ("0.0329736, 0.0372928, 0.0431147, 0.045343, 0.0562949, 0.0621761, 0.0749655, 0.111198, 0.128667, 0.142804, 0.171002, 0.187577, 0.21402, 0.234166, 0.249112, 0.262513, 0.296592, 0.334947, 0.368383, 0.399917");
-            values ( \
-              "0.0167465, 0.0484962, 0.0569655, 0.0562173, 0.0467856, 0.0452775, 0.043738, 0.0413861, 0.0389442, 0.0350793, 0.0239171, 0.0187747, 0.0121885, 0.00871454, 0.00666349, 0.00525879, 0.00283206, 0.00143421, 0.000748721, 0.000481167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0070716");
-            index_3 ("0.0329756, 0.0388505, 0.0418072, 0.0442919, 0.0539633, 0.0634046, 0.0760775, 0.105384, 0.131251, 0.146625, 0.172041, 0.18763, 0.236885, 0.256311, 0.299144, 0.323925, 0.344312, 0.381477, 0.419616, 0.463753, 0.510833, 0.560095");
-            values ( \
-              "0.0230716, 0.0637038, 0.0706372, 0.0719757, 0.0609635, 0.0574193, 0.0558856, 0.0546547, 0.0530693, 0.0517439, 0.0483252, 0.044726, 0.0293004, 0.0240124, 0.0148524, 0.0110368, 0.0086285, 0.00536275, 0.00326996, 0.00183732, 0.00100211, 0.000571004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127952");
-            index_3 ("0.0357767, 0.0447797, 0.0534803, 0.0660641, 0.0830443, 0.113918, 0.172654, 0.212412, 0.242656, 0.264704, 0.292321, 0.348196, 0.390904, 0.443575, 0.487377, 0.516887, 0.563721, 0.611707, 0.668196, 0.713613, 0.796934, 0.851496");
-            values ( \
-              "0.0824829, 0.0844829, 0.0724143, 0.0672496, 0.0658705, 0.0652955, 0.062552, 0.0598311, 0.0566233, 0.0532874, 0.0476888, 0.0349526, 0.0264301, 0.0180334, 0.0128818, 0.0101244, 0.00692602, 0.00458133, 0.00283324, 0.00194122, 0.000897577, 0.00072712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0231513");
-            index_3 ("0.0389326, 0.0389526, 0.126953, 0.145012, 0.199165, 0.257415, 0.294834, 0.324743, 0.390573, 0.426648, 0.464344, 0.573177, 0.60406, 0.665824, 0.702377, 0.74295, 0.797046, 0.831146, 0.889773, 0.956776, 1.01619, 1.10744, 1.19076, 1.27408, 1.44072, 1.60736");
-            values ( \
-              "1e-22, 0.0864818, 0.0731074, 0.072726, 0.0710269, 0.0689167, 0.0673047, 0.0658334, 0.0607584, 0.0567553, 0.0515827, 0.0357327, 0.0316439, 0.0242953, 0.0206179, 0.0170657, 0.0131682, 0.0111042, 0.00830023, 0.00584718, 0.00429076, 0.00264826, 0.00172391, 0.00107402, 0.000428703, 0.000166023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0418893");
-            index_3 ("0.0415378, 0.0415578, 0.196676, 0.323517, 0.463363, 0.531653, 0.614974, 0.70242, 0.770927, 0.956726, 1.07392, 1.15724, 1.21987, 1.29003, 1.41144, 1.48763, 1.5608, 1.64412, 1.73956, 1.87626, 2.0429, 2.20954, 2.4595, 2.70947");
-            values ( \
-              "1e-22, 0.0894441, 0.0775635, 0.074997, 0.0716828, 0.0695598, 0.0657975, 0.0600844, 0.0544556, 0.0379473, 0.0287185, 0.023144, 0.0195481, 0.0160864, 0.0113373, 0.00903764, 0.00726564, 0.00562902, 0.00421286, 0.00276069, 0.00164249, 0.000975801, 0.000438282, 0.000206846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0481924, 0.0512679, 0.0544538, 0.058029, 0.0696435, 0.0808934, 0.0814233, 0.0824833, 0.0888427, 0.106418, 0.119525, 0.130352, 0.151233, 0.16499, 0.184598, 0.198754, 0.211511, 0.223349, 0.239133, 0.255601, 0.283196, 0.31999, 0.344259");
-            values ( \
-              "0.0164148, 0.034221, 0.0388455, 0.04135, 0.0341024, 0.0319858, 0.0321678, 0.0319136, 0.0313882, 0.0303714, 0.028865, 0.0258393, 0.0173409, 0.0132134, 0.00867461, 0.00636595, 0.00476108, 0.00363931, 0.00252659, 0.00172481, 0.000903899, 0.000369117, 0.000237606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390831");
-            index_3 ("0.0482387, 0.0524708, 0.0565116, 0.0597471, 0.0664844, 0.0715015, 0.0774884, 0.0842516, 0.126495, 0.143963, 0.157986, 0.186295, 0.202877, 0.229313, 0.264401, 0.291762, 0.311881, 0.350202, 0.395184");
-            values ( \
-              "0.0185257, 0.0480488, 0.0558145, 0.056526, 0.0495882, 0.0466768, 0.0452113, 0.0442306, 0.0414306, 0.0389851, 0.0350817, 0.0239555, 0.0187416, 0.0122146, 0.0066866, 0.00411566, 0.00285283, 0.0014184, 0.00084221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0070716");
-            index_3 ("0.0481679, 0.0540724, 0.0591673, 0.0692684, 0.0790052, 0.0914245, 0.128909, 0.155668, 0.187353, 0.20726, 0.258629, 0.297232, 0.324018, 0.359698, 0.424967, 0.479348, 0.526778, 0.557024");
-            values ( \
-              "0.0222852, 0.0640484, 0.071651, 0.0607058, 0.0572876, 0.0558892, 0.0542627, 0.0523307, 0.0482684, 0.0435878, 0.0274698, 0.0180724, 0.013254, 0.00856077, 0.00371075, 0.00186874, 0.000955127, 0.000794584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127952");
-            index_3 ("0.0511329, 0.0591723, 0.0703381, 0.0815515, 0.0895971, 0.144972, 0.188144, 0.227918, 0.258401, 0.307776, 0.405659, 0.459163, 0.532556, 0.626913, 0.730689, 0.789673");
-            values ( \
-              "0.0833705, 0.0840151, 0.0709659, 0.0671723, 0.0662432, 0.06471, 0.0625113, 0.0598199, 0.056595, 0.0476938, 0.0265671, 0.0180205, 0.0101104, 0.00459237, 0.00191397, 0.00118575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0231513");
-            index_3 ("0.0541963, 0.0542163, 0.142706, 0.218578, 0.264597, 0.30582, 0.340271, 0.402812, 0.450636, 0.588605, 0.619252, 0.680545, 0.717898, 0.759374, 0.814676, 0.847176, 0.903014, 0.966828, 1.03124, 1.12981, 1.21313, 1.29645, 1.46309, 1.62973");
-            values ( \
-              "1e-22, 0.0859403, 0.073151, 0.0708552, 0.069231, 0.0675473, 0.065796, 0.0610902, 0.0556581, 0.0357596, 0.0316785, 0.0243958, 0.0206328, 0.0170075, 0.0130215, 0.0110897, 0.00838526, 0.00603056, 0.0043149, 0.0025651, 0.00165036, 0.00104688, 0.000424812, 0.000171598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0418893");
-            index_3 ("0.0569235, 0.0569435, 0.212529, 0.422553, 0.479079, 0.547368, 0.630689, 0.718136, 0.786643, 0.97244, 1.08964, 1.19383, 1.23558, 1.30575, 1.42716, 1.50334, 1.57651, 1.65983, 1.75526, 1.89196, 2.0586, 2.22524, 2.39188, 2.72517");
-            values ( \
-              "1e-22, 0.0890421, 0.0776005, 0.0731625, 0.0717209, 0.0695215, 0.0658365, 0.0601254, 0.0544146, 0.0379089, 0.0286807, 0.0218662, 0.0195847, 0.0160499, 0.0113015, 0.0090736, 0.00723007, 0.00566462, 0.00417891, 0.00272709, 0.00160909, 0.000942609, 0.000547047, 0.000174325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0791976, 0.0908507, 0.0933611, 0.0971401, 0.0986082, 0.106379, 0.11428, 0.118679, 0.127083, 0.143651, 0.157838, 0.164176, 0.188526, 0.202017, 0.216305, 0.223953, 0.23654, 0.252728, 0.27558, 0.287995, 0.305635, 0.329154, 0.37233, 0.423068, 0.487665");
-            values ( \
-              "0.000139499, 0.032318, 0.0360188, 0.0389111, 0.038743, 0.0344686, 0.0327123, 0.0321366, 0.03136, 0.0303302, 0.0286439, 0.0270064, 0.0173892, 0.0132115, 0.00979725, 0.00828544, 0.00627172, 0.00434843, 0.00256273, 0.00194264, 0.0012928, 0.000724455, 0.000259315, 6.12285e-05, 2.63551e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390831");
-            index_3 ("0.0792227, 0.0958969, 0.0980935, 0.103526, 0.108691, 0.113829, 0.116628, 0.125431, 0.138723, 0.14702, 0.163774, 0.172241, 0.181243, 0.195224, 0.211048, 0.223576, 0.240157, 0.258963, 0.269499, 0.286735, 0.306149, 0.329036, 0.356158, 0.358247, 0.362424, 0.370779, 0.387489, 0.420908, 0.480475, 0.551223");
-            values ( \
-              "0.00406818, 0.0532823, 0.0538947, 0.0498178, 0.046764, 0.0454678, 0.0449428, 0.0438844, 0.0430241, 0.0426351, 0.0414406, 0.040375, 0.0389986, 0.0350796, 0.0286365, 0.023977, 0.0187114, 0.013844, 0.0116148, 0.00864879, 0.00614482, 0.00406849, 0.0024673, 0.00247773, 0.0021889, 0.00198953, 0.00136323, 0.000821531, 0.00017114, 0.000117343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0070716");
-            index_3 ("0.0874403, 0.096846, 0.106674, 0.11703, 0.128818, 0.169545, 0.186586, 0.199359, 0.224775, 0.239731, 0.289618, 0.335005, 0.376612, 0.402822, 0.434223, 0.462465, 0.516394, 0.563387, 0.601211");
-            values ( \
-              "0.0672866, 0.0681066, 0.060629, 0.0572309, 0.0559043, 0.054076, 0.0528726, 0.0517435, 0.0483203, 0.0449011, 0.0292958, 0.0180916, 0.0110399, 0.0079824, 0.00535918, 0.00376211, 0.00183773, 0.00100644, 0.00067244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127952");
-            index_3 ("0.0887975, 0.0888175, 0.144765, 0.182032, 0.225487, 0.269568, 0.302838, 0.31751, 0.345152, 0.401032, 0.4437, 0.49641, 0.540229, 0.569724, 0.616507, 0.664516, 0.721039, 0.766489, 0.84981, 0.931725");
-            values ( \
-              "1e-22, 0.0778245, 0.0657799, 0.064711, 0.0625178, 0.0594474, 0.0556234, 0.0532951, 0.0476865, 0.0349544, 0.0264386, 0.0180343, 0.012879, 0.0101251, 0.00692787, 0.00458315, 0.00283378, 0.00193974, 0.000897984, 0.00045669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0231513");
-            index_3 ("0.0909558, 0.0909758, 0.179435, 0.221141, 0.256217, 0.301354, 0.345235, 0.377914, 0.440492, 0.487753, 0.626254, 0.656914, 0.718235, 0.755541, 0.796965, 0.852197, 0.892788, 0.940782, 1.00477, 1.06891, 1.10163, 1.16707, 1.25039, 1.33371, 1.50035, 1.66699");
-            values ( \
-              "1e-22, 0.0844703, 0.0731632, 0.072016, 0.070862, 0.0692585, 0.0674644, 0.0657985, 0.0610863, 0.0557214, 0.0357667, 0.0316678, 0.024399, 0.0206422, 0.0170219, 0.013019, 0.0106538, 0.00836807, 0.006033, 0.00432683, 0.00363264, 0.00258311, 0.00164095, 0.00106193, 0.000438713, 0.000185106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0418893");
-            index_3 ("0.0951093, 0.0951293, 0.27012, 0.376875, 0.516721, 0.585011, 0.668332, 0.755778, 0.824285, 0.984052, 1.12728, 1.2106, 1.27322, 1.34338, 1.42671, 1.4648, 1.54098, 1.69747, 1.84628, 1.9296, 2.01292, 2.17956, 2.3462, 2.76281");
-            values ( \
-              "1e-22, 0.0886054, 0.0771947, 0.0750182, 0.0716638, 0.0695788, 0.0657784, 0.060065, 0.0544752, 0.0401606, 0.0287384, 0.0231244, 0.0195284, 0.0161064, 0.0126354, 0.0113574, 0.00901789, 0.00560916, 0.00354415, 0.00278109, 0.00209987, 0.00123603, 0.000722367, 0.000227263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.148282, 0.170469, 0.177152, 0.182932, 0.191169, 0.197976, 0.204937, 0.210284, 0.213496, 0.217599, 0.220339, 0.223993, 0.231386, 0.242366, 0.244532, 0.248864, 0.257529, 0.259089, 0.26221, 0.268451, 0.276876, 0.278277, 0.286688, 0.306714, 0.309776, 0.315899, 0.328145, 0.337577, 0.340212, 0.345481, 0.356018, 0.373241, 0.379474, 0.381577, 0.385782, 0.394193, 0.411014, 0.444656, 0.493686, 0.553913");
-            values ( \
-              "0.000176948, 0.0209805, 0.0251415, 0.0280489, 0.0290924, 0.0279559, 0.0280037, 0.0279539, 0.0288777, 0.0295969, 0.0300175, 0.0302944, 0.0302739, 0.0291415, 0.0288527, 0.0277672, 0.0248981, 0.0240153, 0.0229233, 0.020143, 0.0172731, 0.016638, 0.0140554, 0.00920056, 0.00872942, 0.00753859, 0.00582196, 0.0046218, 0.00443972, 0.00386338, 0.00309948, 0.0020132, 0.00180978, 0.00166278, 0.00156084, 0.00123803, 0.000876569, 0.000353735, 0.000133542, 2.75576e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390831");
-            index_3 ("0.148293, 0.174794, 0.178133, 0.18247, 0.188734, 0.200306, 0.210289, 0.21284, 0.215509, 0.223231, 0.22901, 0.234703, 0.251482, 0.259952, 0.268961, 0.282872, 0.298778, 0.311315, 0.318415, 0.327883, 0.346693, 0.363056, 0.37448, 0.389422, 0.402816, 0.41677, 0.436886, 0.4585, 0.475197, 0.50859, 0.568089, 0.638725");
-            values ( \
-              "0.000231389, 0.032174, 0.0355394, 0.0389199, 0.0401248, 0.0387172, 0.0389852, 0.040066, 0.040836, 0.0424443, 0.0426225, 0.0424977, 0.0413398, 0.0404161, 0.0389255, 0.0351353, 0.0286772, 0.0239228, 0.0215692, 0.0187719, 0.0137869, 0.0105333, 0.00871743, 0.00666235, 0.00525748, 0.00414073, 0.00283033, 0.00191418, 0.00144058, 0.000745918, 0.000248394, 4.10235e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0070716");
-            index_3 ("0.157674, 0.167687, 0.177835, 0.183648, 0.190163, 0.199519, 0.207295, 0.210326, 0.219133, 0.225038, 0.231209, 0.239569, 0.251155, 0.263018, 0.279111, 0.287163, 0.299385, 0.312551, 0.332062, 0.374173, 0.383831, 0.422462, 0.449173, 0.4646, 0.484879, 0.502006, 0.521865, 0.550156, 0.580784, 0.604464, 0.651824, 0.734987, 0.818308");
-            values ( \
-              "0.0200394, 0.0308604, 0.0449565, 0.0503527, 0.0503564, 0.049553, 0.0495319, 0.0498882, 0.0534344, 0.0547829, 0.055003, 0.054881, 0.0543098, 0.0536762, 0.0524585, 0.0517433, 0.0503485, 0.0482653, 0.0436998, 0.030224, 0.0274739, 0.0180708, 0.0132642, 0.0110725, 0.0085657, 0.00691302, 0.00542109, 0.00371362, 0.00249782, 0.00186959, 0.000958359, 0.000314981, 7.21837e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127952");
-            index_3 ("0.157574, 0.180213, 0.185549, 0.190853, 0.19582, 0.202591, 0.210232, 0.220689, 0.22805, 0.234901, 0.241574, 0.265692, 0.313327, 0.350931, 0.361259, 0.381915, 0.408182, 0.433184, 0.488502, 0.538597, 0.571884, 0.598563, 0.634135, 0.664413, 0.677368, 0.703277, 0.768854, 0.809569, 0.856099, 0.939421, 1.02274, 1.18938");
-            values ( \
-              "0.0180956, 0.0567546, 0.0593962, 0.0584415, 0.0586911, 0.0582214, 0.0589829, 0.0638439, 0.0653927, 0.0655373, 0.0655188, 0.0648205, 0.0625, 0.0599941, 0.059099, 0.0567997, 0.0527889, 0.0476381, 0.0350299, 0.0251698, 0.019836, 0.0162203, 0.0122125, 0.00956888, 0.00865527, 0.00694419, 0.00397821, 0.00285022, 0.00187373, 0.000918028, 0.000407553, 8.01108e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0231513");
-            index_3 ("0.167269, 0.213492, 0.228938, 0.248666, 0.273809, 0.308255, 0.403738, 0.463887, 0.519883, 0.554433, 0.600499, 0.749393, 0.814691, 0.874716, 0.914492, 0.966248, 1.01097, 1.05939, 1.12847, 1.20017, 1.2551, 1.33842, 1.50506, 1.75503");
-            values ( \
-              "0.0650667, 0.0678754, 0.0731184, 0.0733987, 0.0729801, 0.0720354, 0.0686736, 0.0659261, 0.0618559, 0.0583049, 0.0522844, 0.0310663, 0.0234309, 0.017794, 0.0147676, 0.0114075, 0.0091189, 0.00717163, 0.00496541, 0.00341253, 0.00259336, 0.00162337, 0.000650221, 0.000198847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0418893");
-            index_3 ("0.170423, 0.219866, 0.226325, 0.233706, 0.257513, 0.307405, 0.414529, 0.548279, 0.604804, 0.673094, 0.756415, 0.843861, 0.912368, 1.09816, 1.21536, 1.29868, 1.36131, 1.43147, 1.55288, 1.62905, 1.70223, 1.78555, 1.88097, 2.01766, 2.18431, 2.35095, 2.60091, 2.85087");
-            values ( \
-              "0.0740355, 0.075746, 0.0777121, 0.0784446, 0.0787234, 0.0781087, 0.0760671, 0.0731283, 0.071688, 0.0695547, 0.0658024, 0.0600886, 0.0544518, 0.0379451, 0.0287167, 0.0231463, 0.0195498, 0.0160853, 0.0113365, 0.00903905, 0.00726509, 0.0056301, 0.00421325, 0.00276123, 0.00164306, 0.00097644, 0.000437585, 0.000207825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.303262, 0.321615, 0.334643, 0.350439, 0.362349, 0.374203, 0.389034, 0.393881, 0.4033, 0.427337, 0.429391, 0.43982, 0.446049, 0.458437, 0.483955, 0.505739, 0.511806, 0.536947, 0.548457, 0.558442, 0.569623, 0.585676, 0.593112, 0.603525, 0.617409, 0.645178, 0.692688, 0.749795");
-            values ( \
-              "0.00103141, 0.00744727, 0.0105554, 0.0140723, 0.0164415, 0.0185427, 0.0203958, 0.0209108, 0.0213206, 0.0229134, 0.023145, 0.023486, 0.0232639, 0.0216941, 0.0152026, 0.0104319, 0.00975442, 0.00601699, 0.00465564, 0.00372151, 0.00288748, 0.00198961, 0.00167826, 0.00132067, 0.000958794, 0.000494952, 0.000151192, 3.70885e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390831");
-            index_3 ("0.305243, 0.325687, 0.374401, 0.393989, 0.410588, 0.434014, 0.449059, 0.454901, 0.465157, 0.475221, 0.490591, 0.505795, 0.543101, 0.563684, 0.575176, 0.586002, 0.600111, 0.611857, 0.626215, 0.646642, 0.669446, 0.687187, 0.722668, 0.782823, 0.855094");
-            values ( \
-              "0.00259672, 0.0111728, 0.0262352, 0.0288428, 0.0301304, 0.0327844, 0.0341407, 0.0344878, 0.0347902, 0.0343657, 0.0320776, 0.0275621, 0.0171724, 0.0123333, 0.0101828, 0.00846802, 0.0066139, 0.00537842, 0.0041663, 0.00287039, 0.00190081, 0.00137846, 0.000705504, 0.000214634, 4.90999e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0070716");
-            index_3 ("0.319681, 0.34566, 0.362448, 0.371248, 0.377506, 0.384398, 0.393563, 0.408989, 0.420895, 0.450678, 0.468772, 0.488874, 0.505753, 0.512595, 0.520502, 0.522198, 0.532374, 0.545942, 0.578981, 0.592723, 0.615307, 0.638599, 0.658614, 0.674285, 0.694573, 0.711857, 0.731682, 0.759945, 0.790455, 0.814028, 0.861172, 0.944204, 1.02753");
-            values ( \
-              "0.0183953, 0.0210718, 0.0293566, 0.0327878, 0.0333657, 0.0344568, 0.0364782, 0.0381766, 0.0398587, 0.0445868, 0.0464434, 0.0477478, 0.0479359, 0.0481854, 0.047949, 0.0477869, 0.0460298, 0.0423205, 0.031649, 0.0277262, 0.0218667, 0.0168699, 0.0133365, 0.0110554, 0.00859462, 0.00692518, 0.00539495, 0.00373266, 0.00251708, 0.00185782, 0.000980186, 0.000301149, 8.90598e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127952");
-            index_3 ("0.319562, 0.340844, 0.346093, 0.364769, 0.37147, 0.378988, 0.393998, 0.413715, 0.445759, 0.46146, 0.484856, 0.505661, 0.513139, 0.522168, 0.525298, 0.529471, 0.537817, 0.560744, 0.573212, 0.59815, 0.614735, 0.633689, 0.69697, 0.731024, 0.769942, 0.790385, 0.813207, 0.836383, 0.866879, 0.891895, 0.922326, 0.965411, 1.01187, 1.04774, 1.11947, 1.20279, 1.28611, 1.36943");
-            values ( \
-              "0.0209219, 0.0223918, 0.0245211, 0.0367479, 0.0380819, 0.038665, 0.042816, 0.0456946, 0.0522906, 0.055022, 0.058051, 0.0598811, 0.0610984, 0.0620044, 0.0621029, 0.0620145, 0.0616027, 0.0600027, 0.0588841, 0.055966, 0.0533973, 0.0496774, 0.0353696, 0.0284344, 0.0215816, 0.0185668, 0.0156012, 0.0130289, 0.0101833, 0.00830482, 0.00646512, 0.00447689, 0.00301928, 0.00222993, 0.00117393, 0.000566454, 0.00026112, 0.000135178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0231513");
-            index_3 ("0.319238, 0.353149, 0.365266, 0.376676, 0.392514, 0.412686, 0.464884, 0.521779, 0.530226, 0.613696, 0.660558, 0.705821, 0.741314, 0.789603, 0.954464, 1.01567, 1.0948, 1.15032, 1.20624, 1.30109, 1.3664, 1.46626, 1.54958, 1.6329, 1.79954, 1.96618");
-            values ( \
-              "0.0171864, 0.0319904, 0.0408705, 0.0419997, 0.0471178, 0.050661, 0.0624675, 0.0712592, 0.0715738, 0.0686939, 0.0666081, 0.0638257, 0.0607603, 0.0551794, 0.0316928, 0.0244084, 0.0169906, 0.0130031, 0.00984446, 0.00605953, 0.00431525, 0.00254678, 0.001642, 0.00103826, 0.000420325, 0.000168911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0418893");
-            index_3 ("0.337798, 0.390718, 0.475953, 0.527041, 0.616934, 0.814946, 0.883162, 0.966484, 1.05393, 1.42543, 1.57137, 1.76294, 1.83912, 1.99561, 2.22772, 2.49105");
-            values ( \
-              "0.0445606, 0.0495035, 0.0692348, 0.0778003, 0.0762284, 0.0716869, 0.0695542, 0.0658029, 0.0600888, 0.0287193, 0.0195469, 0.0113398, 0.00903605, 0.00562697, 0.0027648, 0.00135461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.636663, 0.675845, 0.696926, 0.707348, 0.728193, 0.766979, 0.783795, 0.816531, 0.82675, 0.836242, 0.844768, 0.87082, 0.892025, 0.895737, 0.90316, 0.918008, 0.9257, 0.973055, 0.993072, 1.02312, 1.04672, 1.08007, 1.08725, 1.08957, 1.0942, 1.10348, 1.12202, 1.15912, 1.2159, 1.2394, 1.26974, 1.29948, 1.35897");
-            values ( \
-              "0.000891602, 0.00307838, 0.0038741, 0.00447292, 0.00598097, 0.00955724, 0.010895, 0.0128049, 0.0136182, 0.0142219, 0.014546, 0.0153704, 0.0158604, 0.0158607, 0.0158413, 0.0152207, 0.0145582, 0.00862476, 0.00649039, 0.00394067, 0.00258309, 0.00134614, 0.00122942, 0.00111772, 0.00106876, 0.000843849, 0.000618133, 0.000246544, 9.78732e-05, 0.000238755, 0.000206545, 7.91976e-05, 3.01771e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390831");
-            index_3 ("0.66204, 0.715173, 0.740529, 0.788776, 0.805447, 0.838789, 0.864583, 0.90749, 0.931203, 0.945754, 0.963798, 1.04985, 1.06632, 1.08828, 1.10151, 1.12429, 1.16002, 1.18482, 1.21317, 1.23163, 1.27656, 1.28534");
-            values ( \
-              "0.00536323, 0.00691295, 0.00959625, 0.015368, 0.0171104, 0.0199977, 0.0209492, 0.0240882, 0.0250575, 0.0249097, 0.0234149, 0.0100353, 0.0080462, 0.00590549, 0.00481294, 0.00337263, 0.00187396, 0.00125634, 0.000753604, 0.000934194, 0.000464091, 0.000412276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070716");
-            index_3 ("0.661972, 0.70481, 0.73609, 0.766766, 0.804614, 0.823425, 0.837146, 0.870257, 0.926483, 0.963357, 0.998504, 1.01492, 1.03472, 1.14358, 1.1697, 1.21599, 1.27984, 1.30656, 1.34217, 1.40219");
-            values ( \
-              "0.00752502, 0.0081066, 0.0113886, 0.0158057, 0.0224481, 0.023446, 0.0251713, 0.0269827, 0.0330105, 0.0360175, 0.0365243, 0.0356133, 0.0330528, 0.0141397, 0.0107184, 0.00629408, 0.00316086, 0.00224244, 0.00140772, 0.000660513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127952");
-            index_3 ("0.662164, 0.711303, 0.761553, 0.787026, 0.81176, 0.837404, 0.871781, 0.932792, 0.976339, 1.00075, 1.04881, 1.09579, 1.13369, 1.29986, 1.36089, 1.38712, 1.43015, 1.49018, 1.52623, 1.59833, 1.64805");
-            values ( \
-              "0.0082693, 0.0104859, 0.0174135, 0.0244353, 0.0259364, 0.0293819, 0.0318775, 0.0398495, 0.0447437, 0.0467903, 0.0492687, 0.048747, 0.0452421, 0.0179331, 0.0111251, 0.0089977, 0.00630412, 0.00379459, 0.00279068, 0.00147606, 0.00101485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0231513");
-            index_3 ("0.692296, 0.75983, 0.786948, 0.793632, 0.802753, 0.839425, 0.878416, 0.962178, 0.992535, 1.03009, 1.06266, 1.11169, 1.14533, 1.1789, 1.19733, 1.23004, 1.24614, 1.28939, 1.45946, 1.52085, 1.59932, 1.65438, 1.71138, 1.80807, 1.87145, 1.96852, 2.05184, 2.13516, 2.24015");
-            values ( \
-              "0.018067, 0.0193032, 0.0274038, 0.0271227, 0.0275802, 0.0328382, 0.0361849, 0.0485253, 0.0522152, 0.055876, 0.0583532, 0.0609966, 0.06209, 0.0624904, 0.0623779, 0.0616584, 0.0607136, 0.0558111, 0.031674, 0.0243738, 0.017019, 0.0130533, 0.00983238, 0.00599414, 0.00431189, 0.00258388, 0.00166377, 0.0010543, 0.000659487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0418893");
-            index_3 ("0.722267, 0.815277, 0.842052, 0.883, 0.957846, 1.02864, 1.06787, 1.12854, 1.17902, 1.21557, 1.23658, 1.25967, 1.38875, 1.50147, 1.55957, 1.62808, 1.81388, 1.93108, 2.0144, 2.077, 2.14716, 2.26858, 2.34478, 2.41794, 2.50126, 2.59667, 2.73335, 2.89999, 3.06663, 3.3166, 3.56656");
-            values ( \
-              "0.0307398, 0.0311971, 0.0351949, 0.0391403, 0.051313, 0.060362, 0.0640758, 0.0685165, 0.0711002, 0.0725133, 0.0732471, 0.0733251, 0.0695807, 0.0640903, 0.0600608, 0.0544774, 0.0379547, 0.0287246, 0.023138, 0.0195446, 0.0160917, 0.0113421, 0.00903355, 0.00726998, 0.00562518, 0.0042174, 0.00276527, 0.00164691, 0.000980163, 0.000434082, 0.000211284" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00290249, 0.002904, 0.00290531, 0.00290625, 0.0029069, 0.0029073", \
-            "0.00374149, 0.0037455, 0.00374937, 0.00375253, 0.00375476, 0.0037562", \
-            "0.00429256, 0.00429844, 0.00430499, 0.00431109, 0.0043158, 0.00431903", \
-            "0.00466393, 0.0046671, 0.00467158, 0.00467674, 0.0046815, 0.00468517", \
-            "0.00490952, 0.00490313, 0.00489756, 0.0048939, 0.00489184, 0.00489137", \
-            "0.00511807, 0.00511029, 0.0050986, 0.0050828, 0.00506595, 0.0050527" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00446533, 0.00446963, 0.00447367, 0.00447686, 0.00447906, 0.00448049", \
-            "0.00490529, 0.0049117, 0.00491886, 0.00492527, 0.00493007, 0.00493323", \
-            "0.00486882, 0.00485186, 0.00483494, 0.00482107, 0.00481104, 0.00480461", \
-            "0.00509084, 0.00505715, 0.0050078, 0.00496475, 0.00492887, 0.00490399", \
-            "0.00518914, 0.00511955, 0.00506219, 0.00502678, 0.00498833, 0.00495785", \
-            "0.00493867, 0.00495032, 0.0049575, 0.00489947, 0.00481283, 0.00478062" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0190357, 0.0216426, 0.0241356, 0.0283008, 0.032462, 0.0363145, 0.0487013, 0.0536237, 0.0582753, 0.0691266, 0.0741456, 0.0787457, 0.0967006, 0.109814, 0.121453, 0.138661, 0.159009, 0.180055");
-            values ( \
-              "-0.012576, -0.04719, -0.0465141, -0.046785, -0.048988, -0.0495199, -0.0424804, -0.0411592, -0.0420773, -0.0502507, -0.0498625, -0.0463077, -0.0249475, -0.0133802, -0.00741902, -0.00296174, -0.00103839, -0.000634594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00390831");
-            index_3 ("0.0204199, 0.0226836, 0.0360227, 0.0455614, 0.0533229, 0.0615213, 0.0666213, 0.0856635, 0.0966756, 0.133333, 0.151113, 0.173335, 0.190948");
-            values ( \
-              "-0.0582256, -0.0664315, -0.0725547, -0.0679065, -0.0604915, -0.0572291, -0.057721, -0.0693844, -0.0628591, -0.021573, -0.0109882, -0.00456699, -0.00233767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0070716");
-            index_3 ("0.021801, 0.0349648, 0.0398347, 0.043894, 0.0480687, 0.0598913, 0.0693386, 0.0769189, 0.0800933, 0.0864422, 0.0964763, 0.111478, 0.127347, 0.158289, 0.180765, 0.19781, 0.205908, 0.215757, 0.229891, 0.246926, 0.260418, 0.287402, 0.31934");
-            values ( \
-              "-0.0962731, -0.0972196, -0.098903, -0.0972398, -0.0933245, -0.0788515, -0.0747293, -0.0743288, -0.0746044, -0.076306, -0.0817621, -0.0882632, -0.07813, -0.0455286, -0.0270221, -0.0175045, -0.0141514, -0.0108704, -0.00739438, -0.00465902, -0.00322282, -0.00152136, -0.00072897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0127952");
-            index_3 ("0.0218077, 0.032001, 0.0370774, 0.0420579, 0.0457673, 0.0502224, 0.063733, 0.0663448, 0.0715684, 0.078242, 0.0853619, 0.0974086, 0.110348, 0.13224, 0.134457, 0.138893, 0.147763, 0.157228, 0.176157, 0.190736, 0.225189, 0.245339, 0.254546, 0.276667, 0.292346, 0.305843, 0.320866, 0.342409, 0.366373, 0.385032, 0.422349, 0.47988, 0.537411, 0.594941");
-            values ( \
-              "-0.10973, -0.116286, -0.123465, -0.126105, -0.124394, -0.119081, -0.0999477, -0.0976798, -0.0947817, -0.0928522, -0.0920632, -0.0919471, -0.0928996, -0.0976891, -0.0990332, -0.100585, -0.102478, -0.101701, -0.093856, -0.0832927, -0.0562996, -0.0425545, -0.0371786, -0.0264523, -0.0204735, -0.0163735, -0.0127438, -0.00875228, -0.00578441, -0.00421083, -0.00213254, -0.000762179, -0.000262808, -0.000141644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0231513");
-            index_3 ("0.0235302, 0.038492, 0.0428964, 0.0474075, 0.0628375, 0.0692425, 0.0770051, 0.0869751, 0.0991507, 0.151856, 0.164028, 0.183276, 0.201975, 0.239157, 0.278729, 0.326956, 0.365327, 0.399859, 0.41973, 0.439158, 0.464279, 0.484858, 0.510008, 0.545636, 0.584701, 0.614991, 0.672521, 0.730052, 0.787583, 0.902644");
-            values ( \
-              "-0.144887, -0.14564, -0.149722, -0.147636, -0.123431, -0.116262, -0.111323, -0.109277, -0.1083, -0.108119, -0.108379, -0.109487, -0.111924, -0.112396, -0.0986272, -0.0737866, -0.0549598, -0.040895, -0.0341788, -0.0284863, -0.0223255, -0.0182182, -0.0141388, -0.00978371, -0.00652912, -0.00475231, -0.00255664, -0.00138125, -0.000751374, -0.000235174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0418893");
-            index_3 ("0.0216334, 0.0439075, 0.0819954, 0.107082, 0.259943, 0.32314, 0.380671, 0.449571, 0.640635, 0.726703, 0.812247, 0.911904, 1.03201, 1.14368");
-            values ( \
-              "-0.113184, -0.167396, -0.124161, -0.121513, -0.119306, -0.120322, -0.118771, -0.10459, -0.0481143, -0.0306306, -0.018892, -0.0104831, -0.00508145, -0.00293258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0319945, 0.0352437, 0.038537, 0.0419799, 0.0499366, 0.053872, 0.0622489, 0.0671433, 0.0717677, 0.082413, 0.084277, 0.0880051, 0.0922228, 0.110039, 0.123358, 0.134878, 0.142615, 0.152141, 0.159047, 0.172858, 0.194094, 0.206072");
-            values ( \
-              "-0.0068897, -0.0409819, -0.0477661, -0.0479289, -0.0495243, -0.0481945, -0.0427585, -0.0413754, -0.042235, -0.0501799, -0.0501917, -0.0497202, -0.0462713, -0.0251448, -0.0133852, -0.00739177, -0.00492592, -0.00301177, -0.00211133, -0.00106799, -0.000392806, -0.000329019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00390831");
-            index_3 ("0.0319571, 0.0362402, 0.0376193, 0.0394588, 0.0445098, 0.0476679, 0.0523999, 0.0580801, 0.0628717, 0.064746, 0.0684945, 0.0723946, 0.0766607, 0.0827644, 0.0884879, 0.0985485, 0.0990952, 0.102375, 0.105831, 0.109562, 0.131202, 0.139427, 0.146895, 0.151982, 0.158651, 0.164383, 0.171346, 0.181461, 0.186719, 0.194783, 0.205535, 0.22704, 0.258473, 0.297268, 0.464115");
-            values ( \
-              "-0.00621263, -0.0595893, -0.0658209, -0.0685157, -0.0708319, -0.0721029, -0.0722369, -0.0687638, -0.0648646, -0.0621585, -0.0597504, -0.0579269, -0.0573879, -0.0587423, -0.0631064, -0.0697411, -0.0693875, -0.0685646, -0.0665819, -0.0631593, -0.0366476, -0.0278849, -0.0214026, -0.0177288, -0.0137582, -0.0110268, -0.00838953, -0.00560996, -0.0045642, -0.00333184, -0.00219472, -0.000947502, -0.000305472, -0.00011617, -2.08704e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0070716");
-            index_3 ("0.0340798, 0.0383645, 0.0398577, 0.045708, 0.0486002, 0.052958, 0.0570556, 0.0616926, 0.0734702, 0.0827252, 0.0900096, 0.0935856, 0.100737, 0.109971, 0.124966, 0.140843, 0.171595, 0.183661, 0.194423, 0.21134, 0.219308, 0.229187, 0.243342, 0.260474, 0.274054, 0.301214, 0.344074, 0.396696, 0.511757");
-            values ( \
-              "-0.0609, -0.0873023, -0.0897913, -0.0951042, -0.0972412, -0.0988794, -0.0976035, -0.093652, -0.0794485, -0.0749911, -0.0743883, -0.0746863, -0.0766115, -0.0818585, -0.0882144, -0.0781354, -0.0457067, -0.0348445, -0.0269078, -0.0174847, -0.0141842, -0.0108871, -0.00740148, -0.00465112, -0.00320943, -0.00150729, -0.000449736, -0.000135908, -4.07398e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0127952");
-            index_3 ("0.0364577, 0.0523295, 0.0552433, 0.0598439, 0.0807044, 0.0962279, 0.104533, 0.124014, 0.143433, 0.157513, 0.170861, 0.194701, 0.247525, 0.269828, 0.306183, 0.334342, 0.355959, 0.380244, 0.437072, 0.462266");
-            values ( \
-              "-0.120046, -0.124368, -0.126046, -0.124485, -0.09716, -0.0921276, -0.0918764, -0.0929215, -0.0969706, -0.101951, -0.101911, -0.0905873, -0.0501095, -0.0363362, -0.0204517, -0.0127657, -0.0087956, -0.00578451, -0.00211037, -0.00150551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0231513");
-            index_3 ("0.0372268, 0.0530427, 0.0559978, 0.060108, 0.0764789, 0.0832402, 0.0912529, 0.100515, 0.112368, 0.138965, 0.165707, 0.177558, 0.196807, 0.215506, 0.252687, 0.29226, 0.340482, 0.379588, 0.413436, 0.432591, 0.452252, 0.477634, 0.498709, 0.523743, 0.559285, 0.598003, 0.627986, 0.685516, 0.743047, 0.800578, 0.915639");
-            values ( \
-              "-0.144199, -0.146633, -0.149481, -0.14891, -0.124057, -0.116385, -0.111248, -0.109374, -0.108332, -0.10804, -0.108124, -0.108375, -0.109488, -0.111922, -0.112398, -0.0986278, -0.0737882, -0.0546295, -0.0408777, -0.0343915, -0.0286011, -0.0223641, -0.0181602, -0.0141065, -0.00977306, -0.00654599, -0.00477746, -0.00257265, -0.00138735, -0.000757087, -0.000237948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0418893");
-            index_3 ("0.0352114, 0.0416243, 0.054632, 0.059921, 0.0781633, 0.0972258, 0.107099, 0.134304, 0.268064, 0.3367, 0.39423, 0.432405, 0.462271, 0.583684, 0.671146, 0.721613, 0.779144, 0.822249, 0.853208, 0.943303, 1.01626, 1.07379, 1.24638, 1.25864");
-            values ( \
-              "-0.0999687, -0.134319, -0.164608, -0.167456, -0.138646, -0.124245, -0.122425, -0.12139, -0.119334, -0.12028, -0.118812, -0.11203, -0.104854, -0.0672455, -0.0442322, -0.0338817, -0.0246577, -0.0192858, -0.0161025, -0.00942088, -0.00607656, -0.00426756, -0.00148536, -0.00143314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.058793, 0.0628237, 0.0748931, 0.0821084, 0.0888159, 0.0904332, 0.0949928, 0.097999, 0.101406, 0.104835, 0.114238, 0.116073, 0.119745, 0.124026, 0.141822, 0.155217, 0.166711, 0.174417, 0.184003, 0.19095, 0.204844, 0.225917, 0.240447");
-            values ( \
-              "-0.0130269, -0.0256172, -0.039445, -0.0457186, -0.0473351, -0.0472157, -0.044216, -0.0429764, -0.0426808, -0.0436902, -0.0502064, -0.0502149, -0.0496788, -0.0462224, -0.0251557, -0.0133513, -0.0074024, -0.00492708, -0.00300061, -0.00211595, -0.00105201, -0.000404256, -0.000325921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00390831");
-            index_3 ("0.0587956, 0.064391, 0.0760855, 0.0828373, 0.0895868, 0.101822, 0.106779, 0.108883, 0.113089, 0.119439, 0.127223, 0.131097, 0.138844, 0.147086, 0.167338, 0.173778, 0.18171, 0.18983, 0.1998, 0.206024, 0.218885, 0.226692, 0.242307, 0.268874, 0.301002, 0.30315");
-            values ( \
-              "-0.0115193, -0.0388542, -0.05742, -0.0667868, -0.0711547, -0.060737, -0.0585938, -0.0583243, -0.0587719, -0.0623378, -0.0683698, -0.0691983, -0.0659583, -0.0568518, -0.0321095, -0.0257698, -0.0193427, -0.0142152, -0.0096714, -0.00756488, -0.00454783, -0.00335394, -0.00180665, -0.000642161, -0.00022249, -0.000215875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0070716");
-            index_3 ("0.0617244, 0.066445, 0.0774461, 0.0808481, 0.0892129, 0.0918535, 0.0944957, 0.0981304, 0.107028, 0.109105, 0.113261, 0.119344, 0.125824, 0.134299, 0.142169, 0.157147, 0.173043, 0.203382, 0.215744, 0.226981, 0.234192, 0.243616, 0.251299, 0.261242, 0.275443, 0.28246, 0.292788, 0.30656, 0.334103, 0.376618, 0.428883, 0.543944");
-            values ( \
-              "-0.053118, -0.0548674, -0.0791234, -0.0855351, -0.0964075, -0.0970245, -0.0950436, -0.0913046, -0.0809973, -0.0792245, -0.0768419, -0.0752081, -0.0750619, -0.0772941, -0.0820205, -0.0881606, -0.0781407, -0.0460957, -0.0349221, -0.0266578, -0.0222437, -0.0174422, -0.0142566, -0.0109236, -0.00741742, -0.00613302, -0.00463413, -0.00318045, -0.00147712, -0.000447008, -0.000136856, -4.0966e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0127952");
-            index_3 ("0.0644221, 0.076416, 0.0837093, 0.0910616, 0.0953767, 0.113218, 0.119765, 0.127474, 0.131254, 0.138814, 0.151789, 0.165107, 0.180305, 0.203173, 0.228932, 0.266309, 0.279498, 0.300623, 0.326968, 0.342328, 0.362114, 0.386384, 0.395029, 0.416437, 0.440903, 0.489836, 0.547367, 0.662428");
-            values ( \
-              "-0.0826142, -0.0930991, -0.111473, -0.125176, -0.123047, -0.0998009, -0.0950409, -0.0930313, -0.0923532, -0.0921261, -0.0924306, -0.0943127, -0.0990329, -0.102729, -0.0893064, -0.0599227, -0.0503522, -0.0371637, -0.0246407, -0.0191835, -0.0137781, -0.00906615, -0.00780849, -0.00539549, -0.00350855, -0.00143584, -0.000504071, -9.04014e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0231513");
-            index_3 ("0.0648161, 0.0803283, 0.089096, 0.091187, 0.0931047, 0.0959817, 0.0989162, 0.114887, 0.121634, 0.129926, 0.133139, 0.139566, 0.151983, 0.19747, 0.210141, 0.22939, 0.248088, 0.285268, 0.324841, 0.373063, 0.412143, 0.446015, 0.465198, 0.48485, 0.510221, 0.531277, 0.556316, 0.591861, 0.630592, 0.660586, 0.718117, 0.775647, 0.833178, 0.94824");
-            values ( \
-              "-0.0854833, -0.117768, -0.143564, -0.147776, -0.148733, -0.147275, -0.143855, -0.120177, -0.113561, -0.110509, -0.109711, -0.108952, -0.108279, -0.108081, -0.1084, -0.109469, -0.111938, -0.112383, -0.0986332, -0.0738018, -0.0546277, -0.040863, -0.0343641, -0.0286159, -0.0223434, -0.0181435, -0.0141269, -0.00975457, -0.00652727, -0.00479464, -0.00255404, -0.00140513, -0.000738878, -0.000219878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0418893");
-            index_3 ("0.0700374, 0.0889923, 0.0909786, 0.0942703, 0.0971878, 0.118505, 0.125596, 0.133338, 0.144763, 0.158823, 0.282066, 0.306171, 0.354381, 0.369355, 0.399302, 0.432311, 0.489842, 0.624102, 0.681633, 0.705986, 0.744819, 0.789199, 0.847753, 0.880791, 0.938322, 0.97434, 1.0102, 1.05802, 1.11555, 1.23061, 1.28814, 1.4032, 1.57579");
-            values ( \
-              "-0.148351, -0.15853, -0.164076, -0.166401, -0.164716, -0.132687, -0.126948, -0.124025, -0.12216, -0.121608, -0.119666, -0.119383, -0.11964, -0.120364, -0.120434, -0.117685, -0.106165, -0.0649898, -0.0494756, -0.0437524, -0.0357236, -0.0280146, -0.0201482, -0.0166171, -0.0118407, -0.00952599, -0.00767959, -0.00577004, -0.00403411, -0.00198379, -0.0014185, -0.000711374, -0.000235506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.112931, 0.120332, 0.130055, 0.151775, 0.163279, 0.169048, 0.17402, 0.179339, 0.190739, 0.192541, 0.196145, 0.200905, 0.211582, 0.223756, 0.235626, 0.245779, 0.253672, 0.264953, 0.273328, 0.290078, 0.312121");
-            values ( \
-              "-0.00707595, -0.0139629, -0.0183058, -0.0317241, -0.0357925, -0.0361968, -0.03694, -0.0388785, -0.0471514, -0.0473886, -0.047281, -0.0443911, -0.0340798, -0.0202532, -0.0112688, -0.00667548, -0.00440328, -0.00247873, -0.00162872, -0.000723743, -0.00029177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00390831");
-            index_3 ("0.116271, 0.123001, 0.131617, 0.138345, 0.151196, 0.15874, 0.165322, 0.172138, 0.178289, 0.185318, 0.191903, 0.197686, 0.2061, 0.210317, 0.212366, 0.214707, 0.218924, 0.223294, 0.237982, 0.246528, 0.250984, 0.255991, 0.261112, 0.267914, 0.273862, 0.280776, 0.290858, 0.294735, 0.298706, 0.304, 0.314589, 0.335767, 0.366168, 0.404247, 0.569224");
-            values ( \
-              "-0.0201065, -0.0217539, -0.0273366, -0.0328634, -0.0443128, -0.0500599, -0.0531736, -0.0536315, -0.0531689, -0.053687, -0.0562959, -0.061417, -0.0681832, -0.068489, -0.0685147, -0.0673719, -0.0633425, -0.0582394, -0.039658, -0.0300838, -0.0258121, -0.0215817, -0.0178701, -0.0137906, -0.0109621, -0.00836187, -0.00559499, -0.00480967, -0.00411794, -0.00334834, -0.00221956, -0.000971239, -0.000324799, -0.000122457, -2.11237e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0070716");
-            index_3 ("0.120382, 0.135671, 0.15945, 0.168867, 0.176166, 0.183267, 0.185937, 0.191276, 0.197435, 0.203566, 0.210234, 0.218236, 0.228656, 0.23476, 0.246967, 0.256079, 0.278014, 0.29077, 0.303243, 0.310864, 0.323785, 0.329621, 0.339324, 0.353353, 0.3699, 0.382955, 0.409064, 0.45056, 0.501475, 0.616537");
-            values ( \
-              "-0.0357876, -0.0402799, -0.0679315, -0.0748896, -0.075672, -0.0736442, -0.0724836, -0.0717703, -0.0720277, -0.0733301, -0.0761569, -0.0818508, -0.0870383, -0.0873458, -0.0814386, -0.0726125, -0.0490474, -0.0370387, -0.0275381, -0.0227832, -0.0163181, -0.0139775, -0.0107936, -0.00735091, -0.00469381, -0.00329582, -0.00158892, -0.000500629, -0.000145985, -3.68832e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0127952");
-            index_3 ("0.120453, 0.131651, 0.169337, 0.176454, 0.194546, 0.209576, 0.214119, 0.231461, 0.240324, 0.258501, 0.276147, 0.291594, 0.30497, 0.349382, 0.382606, 0.417904, 0.436544, 0.457846, 0.475777, 0.504155, 0.541993, 0.585094");
-            values ( \
-              "-0.0380055, -0.0443623, -0.0970319, -0.0986766, -0.0909749, -0.0910645, -0.0930816, -0.0929299, -0.0939576, -0.0992442, -0.102967, -0.0986131, -0.0903363, -0.0561325, -0.0350203, -0.0199911, -0.0146609, -0.0101772, -0.00747429, -0.00456334, -0.00231815, -0.00117839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0231513");
-            index_3 ("0.126842, 0.146307, 0.162603, 0.169536, 0.174543, 0.181455, 0.197399, 0.204396, 0.210397, 0.214401, 0.223437, 0.23897, 0.269262, 0.288586, 0.326507, 0.363739, 0.40095, 0.403316, 0.451496, 0.490816, 0.524503, 0.543491, 0.563207, 0.588649, 0.609841, 0.634848, 0.670369, 0.709003, 0.738911, 0.796442, 0.853973, 0.911504, 1.02656");
-            values ( \
-              "-0.0675578, -0.0726751, -0.105509, -0.116122, -0.118661, -0.116962, -0.10938, -0.107966, -0.10837, -0.110185, -0.109161, -0.108303, -0.10806, -0.108374, -0.111331, -0.11273, -0.0987678, -0.0987086, -0.0738295, -0.054532, -0.0408608, -0.0344444, -0.0286248, -0.0223763, -0.0181499, -0.0140959, -0.00977396, -0.00655383, -0.0047802, -0.00258026, -0.00138532, -0.000762109, -0.000242206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0418893");
-            index_3 ("0.126937, 0.1473, 0.168687, 0.176096, 0.206418, 0.217433, 0.227778, 0.246821, 0.379532, 0.448168, 0.505699, 0.543873, 0.573487, 0.688985, 0.790558, 0.847979, 0.896073, 0.940144, 0.997675, 1.06642, 1.10926, 1.22432, 1.34645");
-            values ( \
-              "-0.0674758, -0.0807502, -0.128922, -0.133667, -0.122105, -0.123839, -0.122442, -0.121483, -0.119343, -0.120282, -0.11881, -0.11203, -0.104925, -0.0690877, -0.0424077, -0.0312406, -0.0239366, -0.0186092, -0.0132289, -0.00877132, -0.0068039, -0.00337374, -0.00180017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.235455, 0.252947, 0.26018, 0.27155, 0.301359, 0.31965, 0.328113, 0.335554, 0.337043, 0.342999, 0.350514, 0.360496, 0.366491, 0.373589, 0.382283, 0.403446, 0.410811, 0.417828, 0.425816, 0.431548, 0.439857, 0.450314, 0.458679, 0.475408, 0.501155, 0.505684, 0.510576, 0.523801, 0.548976, 0.735967");
-            values ( \
-              "-0.00725976, -0.00791734, -0.00918408, -0.0115236, -0.0196433, -0.022861, -0.0237229, -0.02462, -0.0249074, -0.0264186, -0.0296564, -0.0345574, -0.035345, -0.0345569, -0.0307299, -0.016113, -0.0119771, -0.00883469, -0.00615582, -0.00471339, -0.00317608, -0.00194848, -0.00132228, -0.000614905, -0.000216028, -0.000192682, -0.000418, -0.000205006, -8.82595e-05, -8.16213e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00390831");
-            index_3 ("0.236129, 0.249975, 0.266295, 0.27524, 0.30349, 0.312181, 0.329563, 0.346303, 0.352254, 0.361696, 0.381159, 0.388851, 0.397074, 0.407983, 0.430314, 0.443357, 0.452042, 0.460083, 0.470804, 0.483328, 0.492133, 0.505721, 0.514194, 0.533126, 0.552121, 0.573353, 0.573491");
-            values ( \
-              "-0.00929168, -0.0110599, -0.0149791, -0.0177636, -0.028323, -0.0310149, -0.0355409, -0.0368889, -0.0376624, -0.040341, -0.0525982, -0.0534637, -0.0513472, -0.0446078, -0.0261593, -0.0174371, -0.0129793, -0.0097587, -0.00654876, -0.00409042, -0.00294266, -0.00174271, -0.00164254, -0.000784958, -0.000445722, -0.000212188, -0.000211827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0070716");
-            index_3 ("0.240329, 0.256471, 0.275511, 0.308818, 0.327851, 0.33993, 0.352935, 0.360746, 0.369395, 0.377537, 0.38953, 0.399028, 0.417044, 0.434628, 0.451376, 0.475551, 0.494859, 0.505821, 0.5216, 0.543366, 0.560532, 0.58342, 0.621009, 0.621442");
-            values ( \
-              "-0.01467, -0.0168854, -0.0234855, -0.0404344, -0.0488962, -0.0517511, -0.0506073, -0.0508314, -0.0519661, -0.0542542, -0.0592122, -0.065796, -0.0753923, -0.0698922, -0.05848, -0.0375866, -0.0245521, -0.0188584, -0.0128742, -0.00712946, -0.00446931, -0.00237029, -0.000809004, -0.000803698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0127952");
-            index_3 ("0.252989, 0.286762, 0.296913, 0.307243, 0.327703, 0.339268, 0.349837, 0.351407, 0.357689, 0.366064, 0.3759, 0.385391, 0.399787, 0.417037, 0.440053, 0.444566, 0.453592, 0.468992, 0.492223, 0.493706, 0.496672, 0.502606, 0.509785, 0.523063, 0.532042, 0.550002, 0.563799, 0.567665, 0.575396, 0.587956, 0.604682, 0.609831, 0.620128, 0.640722, 0.657533, 0.665487, 0.681396, 0.713213, 0.770744, 0.828274, 0.885805, 0.943336");
-            values ( \
-              "-0.0304566, -0.0347431, -0.0413997, -0.0486388, -0.0638926, -0.0675493, -0.0667645, -0.0664486, -0.0654527, -0.0643666, -0.0659735, -0.0679698, -0.0723693, -0.0779079, -0.087328, -0.0902051, -0.0942757, -0.0969201, -0.0895502, -0.0885773, -0.0870124, -0.0830292, -0.078389, -0.0678185, -0.0608274, -0.0479396, -0.0393319, -0.0371336, -0.0330407, -0.0271497, -0.0207214, -0.0190341, -0.0160479, -0.0112933, -0.00846332, -0.00737203, -0.00560019, -0.00317863, -0.00108627, -0.000390662, -0.0001664, -7.68934e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0231513");
-            index_3 ("0.253022, 0.296343, 0.328203, 0.335676, 0.347087, 0.357176, 0.372575, 0.388439, 0.429661, 0.448226, 0.487124, 0.516336, 0.532372, 0.542799, 0.553193, 0.571799, 0.592657, 0.673893, 0.715366, 0.754194, 0.77881, 0.798435, 0.823815, 0.859619, 0.899366, 0.930257, 0.987787, 1.04532, 1.06625");
-            values ( \
-              "-0.0279947, -0.0467052, -0.0769954, -0.0807529, -0.0805052, -0.0784677, -0.077161, -0.0805639, -0.0933191, -0.0980298, -0.105697, -0.112129, -0.113453, -0.112971, -0.111629, -0.10685, -0.0983927, -0.0575685, -0.0404411, -0.0282444, -0.0222555, -0.0183349, -0.0141887, -0.00981056, -0.0065017, -0.00469606, -0.00253241, -0.00136306, -0.00113905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0418893");
-            index_3 ("0.266031, 0.309132, 0.338896, 0.36937, 0.447155, 0.512676, 0.57562, 0.638773, 0.685366, 0.733432, 0.97061, 1.03895, 1.13073, 1.23569, 1.35771, 1.45632");
-            values ( \
-              "-0.0493007, -0.064001, -0.091221, -0.0872291, -0.108842, -0.12085, -0.119402, -0.120348, -0.119677, -0.112526, -0.0447885, -0.0312602, -0.0185829, -0.00997345, -0.00479082, -0.00298983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.498304, 0.52692, 0.540865, 0.558672, 0.582841, 0.608316, 0.635143, 0.663548, 0.676527, 0.691737, 0.697016, 0.703049, 0.714649, 0.731556, 0.743626, 0.750012, 0.762785, 0.767168, 0.797658, 0.811716, 0.820226, 0.831572, 0.838458, 0.85009, 0.8656, 0.894593, 0.928977, 0.988733");
-            values ( \
-              "-0.00245861, -0.00298, -0.00353934, -0.00445837, -0.00606399, -0.00859882, -0.0115497, -0.014013, -0.0146212, -0.0155344, -0.0159961, -0.0167227, -0.0188541, -0.0224974, -0.0235457, -0.0236726, -0.0222906, -0.0212056, -0.0101381, -0.00628067, -0.00460286, -0.00298203, -0.00229154, -0.00146665, -0.000805864, -0.000267764, -0.000108304, -8.68782e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00390831");
-            index_3 ("0.510584, 0.557638, 0.568393, 0.588379, 0.651556, 0.672543, 0.698672, 0.716209, 0.722727, 0.733661, 0.759884, 0.77338, 0.783937, 0.801196, 0.840328, 0.859572, 0.872875, 0.885008, 0.898628, 0.909259, 0.930522, 0.966702, 1.01063, 1.01078");
-            values ( \
-              "-0.00512888, -0.00660248, -0.00753042, -0.00952044, -0.0185923, -0.0205614, -0.0234972, -0.0244959, -0.0250947, -0.0267833, -0.0346775, -0.036294, -0.0361607, -0.0328557, -0.0156037, -0.00926766, -0.00626734, -0.00430719, -0.00282128, -0.00202637, -0.00101599, -0.000319415, -0.000107175, -0.000107137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070716");
-            index_3 ("0.520097, 0.570136, 0.583134, 0.60832, 0.654943, 0.676387, 0.694219, 0.715599, 0.730669, 0.75309, 0.765863, 0.776807, 0.800817, 0.812131, 0.834758, 0.848432, 0.862969, 0.899798, 0.922389, 0.945387, 0.962466, 0.975398, 0.996226, 1.024, 1.07052, 1.12576, 1.28393");
-            values ( \
-              "-0.00888562, -0.0106294, -0.0122589, -0.0160583, -0.0252396, -0.0299612, -0.0329068, -0.0337733, -0.033395, -0.0352746, -0.0376818, -0.0405992, -0.0493609, -0.0514914, -0.0521902, -0.0492877, -0.043682, -0.0257953, -0.0168398, -0.0103948, -0.00707854, -0.0052624, -0.00322894, -0.00164262, -0.000488342, -0.000147727, -5.96398e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0127952");
-            index_3 ("0.533134, 0.589904, 0.608349, 0.629312, 0.651358, 0.681818, 0.705268, 0.720845, 0.73548, 0.749563, 0.763567, 0.781542, 0.811267, 0.833738, 0.851178, 0.885074, 0.91761, 0.949979, 1.00481, 1.03136, 1.06148, 1.07823, 1.10186, 1.11801, 1.149, 1.19033, 1.21602, 1.23888");
-            values ( \
-              "-0.0144448, -0.0166735, -0.0200401, -0.0248787, -0.030794, -0.0401518, -0.044543, -0.0437562, -0.0425295, -0.0430333, -0.0444206, -0.0471661, -0.052654, -0.0578169, -0.06359, -0.072578, -0.069492, -0.0586583, -0.0331954, -0.0234997, -0.0152693, -0.0118224, -0.00816394, -0.00628857, -0.00378058, -0.00187139, -0.00119921, -0.000996218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0231513");
-            index_3 ("0.533109, 0.581943, 0.608366, 0.634408, 0.686415, 0.709341, 0.729883, 0.747599, 0.766767, 0.805672, 0.896974, 0.944922, 0.967141, 0.987117, 0.993457, 1.00614, 1.0315, 1.03872, 1.05315, 1.17656, 1.21604, 1.2477, 1.27526, 1.312, 1.33174, 1.36073, 1.39937, 1.45691, 1.49784");
-            values ( \
-              "-0.0159311, -0.0182206, -0.0235259, -0.0301369, -0.0502398, -0.0535389, -0.0515402, -0.0509544, -0.0524526, -0.0590358, -0.0761495, -0.0863795, -0.092211, -0.0943958, -0.0944988, -0.0939347, -0.0895977, -0.087706, -0.0832233, -0.037113, -0.02614, -0.0194784, -0.0147856, -0.0101304, -0.00826592, -0.00611859, -0.00407056, -0.00219398, -0.00147809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0418893");
-            index_3 ("0.56293, 0.631024, 0.689924, 0.71512, 0.740507, 0.748575, 0.76471, 0.805214, 0.898388, 0.943977, 1.00151, 1.09162, 1.14916, 1.17631, 1.22129, 1.35517, 1.4336, 1.50056, 1.54583, 1.58466, 1.62701, 1.68454, 1.7537, 1.80647, 1.864, 1.97906, 2.09412, 2.26671");
-            values ( \
-              "-0.030164, -0.0326512, -0.0580354, -0.0595733, -0.057589, -0.057574, -0.0584576, -0.06547, -0.0836614, -0.0915935, -0.100371, -0.111676, -0.114999, -0.112834, -0.104768, -0.0636617, -0.0435046, -0.0304791, -0.0236705, -0.0189636, -0.0148103, -0.0105128, -0.00694425, -0.00503475, -0.00354016, -0.00174758, -0.00086587, -0.00030739" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00275804, 0.00275997, 0.0027617, 0.00276303, 0.00276394, 0.00276451", \
-            "0.00323796, 0.00323941, 0.00324097, 0.00324221, 0.00324317, 0.0032438", \
-            "0.00351914, 0.00351974, 0.00352055, 0.00352141, 0.00352216, 0.00352271", \
-            "0.00367623, 0.00367634, 0.00367654, 0.00367687, 0.00367726, 0.00367763", \
-            "0.00376472, 0.00376471, 0.0037647, 0.00376473, 0.00376481, 0.00376495", \
-            "0.00382132, 0.00382145, 0.00382158, 0.0038217, 0.00382178, 0.00382186" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0037148, 0.00371786, 0.00372065, 0.00372278, 0.00372422, 0.00372511", \
-            "0.00408925, 0.00409118, 0.00409292, 0.00409419, 0.00409501, 0.0040955", \
-            "0.00431891, 0.00431981, 0.00432051, 0.00432095, 0.00432115, 0.00432127", \
-            "0.00461542, 0.00460767, 0.00460003, 0.00459371, 0.00458921, 0.00458635", \
-            "0.00521787, 0.00516722, 0.00511892, 0.00507981, 0.00505159, 0.00503305", \
-            "0.00592369, 0.00578387, 0.00569802, 0.00561985, 0.0055613, 0.00552329" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00373749, 0.00373987, 0.00373442, 0.0036937, 0.00366414, 0.00364104", \
-            "0.00360443, 0.00361648, 0.00360565, 0.00357908, 0.00354895, 0.00352371", \
-            "0.00340283, 0.00342408, 0.00343327, 0.00342472, 0.00340354, 0.00337912", \
-            "0.0032206, 0.00324558, 0.00328338, 0.00330707, 0.0032972, 0.00329311", \
-            "0.00331599, 0.00334239, 0.00338782, 0.00343671, 0.00345312, 0.00345051", \
-            "0.004067, 0.00407725, 0.00411544, 0.0041719, 0.00422444, 0.00424774" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0111035, 0.0110251, 0.0109317, 0.0108495, 0.0107683, 0.0107126", \
-            "0.0109919, 0.0109185, 0.0108295, 0.0107428, 0.0106479, 0.0106035", \
-            "0.010925, 0.010857, 0.010749, 0.010655, 0.010587, 0.0105086", \
-            "0.0110091, 0.0109611, 0.0108555, 0.010754, 0.0106766, 0.0106008", \
-            "0.0113486, 0.0113059, 0.0112324, 0.0111651, 0.0110732, 0.0109445", \
-            "0.0124464, 0.0124235, 0.0123974, 0.0123213, 0.0122072, 0.0121054" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00910938, 0.00913141, 0.00914967, 0.0091634, 0.00917129, 0.00917461", \
-            "0.00889309, 0.0089355, 0.00897044, 0.00900242, 0.00902204, 0.00903302", \
-            "0.00869749, 0.00874761, 0.00880104, 0.00883126, 0.00888685, 0.00890748", \
-            "0.00874739, 0.00875634, 0.00880133, 0.00885068, 0.00888081, 0.0089336", \
-            "0.00942282, 0.00937229, 0.00933039, 0.00931348, 0.00929387, 0.0093097", \
-            "0.0114122, 0.0112857, 0.0111249, 0.0109272, 0.0107618, 0.010629" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00253421, 0.00256572, 0.00259113, 0.00260864, 0.00262061, 0.00262738", \
-            "0.00232693, 0.00238564, 0.00243914, 0.00247603, 0.00250179, 0.00251793", \
-            "0.00202404, 0.00211428, 0.00220513, 0.00227975, 0.00234672, 0.00236763", \
-            "0.0017893, 0.00186418, 0.00197345, 0.00208411, 0.00217933, 0.00224806", \
-            "0.00191421, 0.00195276, 0.00202453, 0.00213608, 0.00224001, 0.00234308", \
-            "0.00338978, 0.00329203, 0.00320396, 0.00318037, 0.00319414, 0.00324704" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00147191, 0.00153577, 0.00159275, 0.00163436, 0.00166073, 0.00167427", \
-            "0.00136873, 0.00143326, 0.00149065, 0.00153171, 0.00155672, 0.00156879", \
-            "0.00117507, 0.00123646, 0.00129667, 0.00134411, 0.0013747, 0.00139098", \
-            "0.00100584, 0.00107042, 0.00113892, 0.00119379, 0.00123652, 0.00126775", \
-            "0.00112179, 0.00117308, 0.00123522, 0.00129213, 0.0013526, 0.00139133", \
-            "0.00206031, 0.00208365, 0.00212668, 0.00220297, 0.00227384, 0.00232228" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.0102929, 0.0103522, 0.010404, 0.0104412, 0.0104648, 0.0104787", \
-            "0.01018, 0.0102426, 0.0102973, 0.0103378, 0.0103636, 0.0103788", \
-            "0.01006, 0.010128, 0.0101825, 0.0102334, 0.0102688, 0.0102904", \
-            "0.0100068, 0.0100804, 0.0101538, 0.0102266, 0.0102944, 0.0103381", \
-            "0.0102761, 0.0103317, 0.010417, 0.0105, 0.0105756, 0.0106427", \
-            "0.0113974, 0.0114263, 0.0115056, 0.0115648, 0.0116478, 0.0117378" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00968775, 0.00969717, 0.00970505, 0.00971055, 0.00971359, 0.00971393", \
-            "0.00953499, 0.00955187, 0.00956772, 0.00958053, 0.00958896, 0.00959283", \
-            "0.00940946, 0.00943085, 0.00946276, 0.00948477, 0.00950213, 0.00951261", \
-            "0.00944461, 0.00945235, 0.00947279, 0.00950366, 0.00953091, 0.00954671", \
-            "0.00982721, 0.00983453, 0.00983938, 0.00985501, 0.00987606, 0.00988723", \
-            "0.0113574, 0.0112725, 0.0112074, 0.0111779, 0.0111352, 0.0111177" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.00390831, 0.0070716, 0.0127952, 0.0231513, 0.0418893");
-          values ( \
-            "0.00332002, 0.00332266, 0.00332591, 0.00332629, 0.00332523, 0.00332048", \
-            "0.00314006, 0.00315141, 0.00316301, 0.00315899, 0.003161, 0.00315874", \
-            "0.0028885, 0.00291463, 0.00293366, 0.00296381, 0.00297084, 0.00297773", \
-            "0.00268355, 0.00271091, 0.00274544, 0.0027983, 0.00283418, 0.00284844", \
-            "0.00281544, 0.00282273, 0.00285836, 0.0029081, 0.00295285, 0.00300089", \
-            "0.00416333, 0.00410467, 0.00404399, 0.00399758, 0.00397634, 0.00397596" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00564123;
-      rise_capacitance : 0.00564123;
-      rise_capacitance_range (0.0037815, 0.00564123);
-      fall_capacitance : 0.00559396;
-      fall_capacitance_range (0.00390539, 0.00559396);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00476644;
-      rise_capacitance : 0.00476644;
-      rise_capacitance_range (0.003665, 0.00476644);
-      fall_capacitance : 0.00474142;
-      fall_capacitance_range (0.00326292, 0.00474142);
-    }
-  }
-  cell (XOR2XL) {
-    area : 14.1636;
-    cell_footprint : "XOR2";
-    cell_leakage_power : 0.0493477;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0772676;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0316006;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0321516;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0563711;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0493477;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * !B) + (!A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0437871;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "~B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "!B";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0971996, 0.114884, 0.147606, 0.20836, 0.320612, 0.527138", \
-            "0.101235, 0.119119, 0.152089, 0.213043, 0.325569, 0.532314", \
-            "0.10954, 0.127791, 0.161162, 0.222479, 0.335238, 0.542124", \
-            "0.122975, 0.141694, 0.175622, 0.237457, 0.35056, 0.557576", \
-            "0.137119, 0.156069, 0.190011, 0.251194, 0.364603, 0.571886", \
-            "0.140002, 0.159129, 0.193604, 0.256132, 0.369917, 0.576096" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0783326, 0.104844, 0.153158, 0.24127, 0.402082, 0.695591", \
-            "0.078673, 0.105282, 0.153698, 0.241838, 0.402688, 0.69606", \
-            "0.0788731, 0.105491, 0.153959, 0.242224, 0.403088, 0.696602", \
-            "0.0783032, 0.105192, 0.154188, 0.242335, 0.40323, 0.6968", \
-            "0.0759034, 0.102199, 0.151361, 0.239994, 0.401998, 0.696869", \
-            "0.0784199, 0.103455, 0.150563, 0.2382, 0.399211, 0.693763" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0854431, 0.0976394, 0.119002, 0.157316, 0.226923, 0.35391", \
-            "0.0900289, 0.102243, 0.12367, 0.161931, 0.231548, 0.358538", \
-            "0.102323, 0.114656, 0.136156, 0.174547, 0.244198, 0.371196", \
-            "0.13033, 0.142892, 0.164726, 0.203443, 0.27326, 0.400348", \
-            "0.177216, 0.190324, 0.21276, 0.252064, 0.322435, 0.449794", \
-            "0.255766, 0.270044, 0.293448, 0.333369, 0.404059, 0.531758" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0379817, 0.0531429, 0.0810666, 0.13236, 0.226518, 0.398421", \
-            "0.0379712, 0.0531291, 0.0810209, 0.132359, 0.226518, 0.398421", \
-            "0.037998, 0.0531701, 0.0810228, 0.13236, 0.226518, 0.398422", \
-            "0.0386783, 0.053597, 0.0812335, 0.132405, 0.226446, 0.398421", \
-            "0.0410597, 0.0554934, 0.0828281, 0.133347, 0.22693, 0.398578", \
-            "0.0474989, 0.0607431, 0.0860122, 0.134915, 0.227537, 0.399164" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0401762, 0.0476974, 0.0524013, 0.0560104, 0.0585823, 0.0637259, 0.0699099, 0.0755276, 0.0965882, 0.116737, 0.119216, 0.127714, 0.146253, 0.160665, 0.173606, 0.182099, 0.199628, 0.206438, 0.224368, 0.238475, 0.256751, 0.281119, 0.324548, 0.376611");
-            values ( \
-              "0.00044345, 0.0202644, 0.0287068, 0.0322228, 0.0334231, 0.0346026, 0.0346476, 0.0343932, 0.0328475, 0.0310007, 0.0305405, 0.0276027, 0.0186604, 0.0137005, 0.0101434, 0.00837093, 0.00551528, 0.00468764, 0.00303903, 0.00213215, 0.00135405, 0.000737224, 0.000217836, 5.98237e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0039431");
-            index_3 ("0.00652866, 0.0173835, 0.0221479, 0.0444452, 0.0598798, 0.0678037, 0.078149, 0.145597, 0.208212, 0.233211, 0.268395, 0.269335");
-            values ( \
-              "1e-22, 0.0441687, 1e-22, 2e-22, 0.0411026, 0.0474819, 0.0482073, 0.0416816, 0.0179165, 0.0114304, 0.00594443, 0.00586372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00719805");
-            index_3 ("0.00356469, 0.0861462, 0.0877324, 0.0909048, 0.0972497, 0.109553, 0.1552, 0.171757, 0.179536, 0.191967, 0.20646, 0.226413, 0.240884, 0.25332, 0.2694, 0.290004, 0.300489, 0.320064, 0.347593, 0.359929, 0.384599, 0.41523, 0.434401, 0.472742, 0.549424, 0.626377");
-            values ( \
-              "2.53281e-05, 0.0604331, 0.0601321, 0.0600772, 0.059451, 0.058724, 0.0547961, 0.0527807, 0.0515101, 0.0486864, 0.0439046, 0.0364035, 0.031536, 0.0276377, 0.0232095, 0.0180688, 0.0159344, 0.0124484, 0.00868564, 0.00741953, 0.00526413, 0.00345198, 0.00269058, 0.0015249, 0.000497355, 0.000123611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0131399");
-            index_3 ("0.00622864, 0.0850045, 0.161562, 0.215005, 0.254498, 0.297283, 0.37912, 0.415817, 0.45802, 0.515407, 0.548308, 0.592176, 0.659771, 0.714512, 0.791465, 0.809637");
-            values ( \
-              "0.0034967, 0.0714797, 0.0673396, 0.0636541, 0.0592014, 0.050565, 0.0306642, 0.0235113, 0.0169012, 0.010387, 0.00780421, 0.00534962, 0.00293123, 0.00170801, 0.000879456, 0.000803242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0239866");
-            index_3 ("0.00907142, 0.0837191, 0.103472, 0.116601, 0.134183, 0.208983, 0.306985, 0.370966, 0.392595, 0.464322, 0.598621, 0.657292, 0.733358, 0.824592, 0.929097, 1.02357, 1.08715, 1.16411, 1.30703");
-            values ( \
-              "0.00763905, 0.0799748, 0.0787867, 0.0786436, 0.0776141, 0.0751433, 0.0706917, 0.0665258, 0.0645357, 0.0551863, 0.0341441, 0.0264506, 0.0184555, 0.011561, 0.00667546, 0.00394179, 0.00281865, 0.0017819, 0.00080587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437871");
-            index_3 ("0.04131, 0.0730355, 0.135182, 0.371268, 0.506974, 0.588468, 0.723296, 1.06879, 1.20891, 1.27601, 1.39181, 1.53787, 1.66875, 1.8314, 1.9853, 2.13921, 2.23652");
-            values ( \
-              "0.0805695, 0.0855783, 0.0836888, 0.0781936, 0.0744223, 0.071217, 0.0623765, 0.030692, 0.0211257, 0.017309, 0.0122444, 0.00777603, 0.0051184, 0.00300803, 0.00179802, 0.00106067, 0.000874916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0553732, 0.062034, 0.066715, 0.069439, 0.0729309, 0.0784523, 0.0843513, 0.0957592, 0.119388, 0.130924, 0.133396, 0.136693, 0.143287, 0.156939, 0.163045, 0.174319, 0.187203, 0.198619, 0.206635, 0.218124, 0.228647, 0.254544, 0.27125, 0.293525, 0.335887, 0.359772");
-            values ( \
-              "0.00279686, 0.0205767, 0.028609, 0.0313305, 0.0332059, 0.0343287, 0.034406, 0.0337545, 0.0320596, 0.0309448, 0.030531, 0.0297695, 0.0271126, 0.0203036, 0.0178518, 0.0140175, 0.010386, 0.00800617, 0.00668064, 0.00502481, 0.00389494, 0.00204936, 0.00134918, 0.000809482, 0.000225139, 0.000178641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0039431");
-            index_3 ("0.0566403, 0.0625249, 0.0677371, 0.0724274, 0.0756909, 0.0815418, 0.0980901, 0.120984, 0.137275, 0.153919, 0.169956, 0.196005, 0.216046, 0.237933, 0.252028, 0.271492, 0.297932, 0.320259, 0.362687, 0.417312, 0.481352");
-            values ( \
-              "0.0278182, 0.0295666, 0.041071, 0.0457221, 0.0470355, 0.0477546, 0.0469648, 0.0451626, 0.0436956, 0.0415482, 0.0364247, 0.0247025, 0.0180289, 0.0122686, 0.00951745, 0.00663281, 0.00400217, 0.00259725, 0.00111402, 0.000377551, 6.60194e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00719805");
-            index_3 ("0.00398251, 0.0052691, 0.0179097, 0.0274213, 0.0414104, 0.058805, 0.0736077, 0.0917117, 0.16491, 0.198738, 0.309939, 0.375392, 0.416903");
-            values ( \
-              "1e-22, 0.0126596, 0.0110626, 0.0229056, 1e-22, 2e-22, 0.0546397, 0.0607183, 0.0554989, 0.0513753, 0.0178861, 0.00771073, 0.00444753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0131399");
-            index_3 ("0.0138698, 0.107869, 0.173197, 0.194656, 0.230205, 0.245887, 0.269807, 0.28419, 0.310658, 0.363959, 0.383831, 0.406542, 0.441978, 0.4731, 0.495294, 0.524022, 0.547435, 0.576259, 0.616969, 0.660552, 0.694145, 0.761331, 0.838284, 0.915237, 0.99219");
-            values ( \
-              "0.00451975, 0.0706115, 0.0672141, 0.0659274, 0.0634155, 0.0620162, 0.0591011, 0.0567557, 0.0509879, 0.0375713, 0.0330755, 0.0281357, 0.0216413, 0.016872, 0.0141082, 0.011031, 0.00902307, 0.00705415, 0.00486781, 0.0032923, 0.00246046, 0.00128479, 0.000648606, 0.000286343, 0.000167749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0239866");
-            index_3 ("0.0532708, 0.0673037, 0.0739422, 0.0793412, 0.0843798, 0.091324, 0.187197, 0.282876, 0.343736, 0.385454, 0.40808, 0.45333, 0.599323, 0.694706, 0.778275, 0.827485, 0.868666, 0.915177, 0.981206, 1.02358, 1.10252, 1.17947, 1.25643, 1.41033, 1.56424");
-            values ( \
-              "0.0570774, 0.0660518, 0.0763025, 0.0789397, 0.0794759, 0.0794159, 0.0761252, 0.0724239, 0.0694529, 0.0665449, 0.0644779, 0.0590728, 0.0362965, 0.023868, 0.0159103, 0.0123993, 0.0100067, 0.00778737, 0.00546332, 0.00431343, 0.00278625, 0.00183008, 0.00116553, 0.000481944, 0.000195861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437871");
-            index_3 ("0.0623733, 0.0623933, 0.185709, 0.387152, 0.522646, 0.604236, 0.662133, 0.739085, 0.852368, 0.969544, 1.08479, 1.18274, 1.22474, 1.29191, 1.40783, 1.55393, 1.6849, 1.69757, 1.7229, 1.85053, 2.00444, 2.15834, 2.23529, 2.31225, 2.3892, 2.46615, 2.54311, 2.62006");
-            values ( \
-              "1e-22, 0.092926, 0.0826511, 0.0781168, 0.0743879, 0.071194, 0.0678272, 0.062369, 0.0518886, 0.0405747, 0.0306736, 0.0236141, 0.0211273, 0.0173066, 0.0122394, 0.00777298, 0.00511613, 0.00503953, 0.00452638, 0.00298131, 0.00178418, 0.00105507, 0.000932319, 0.000613102, 0.000588154, 0.000345951, 0.000380109, 0.000184742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.000727461, 0.00217748, 0.0159942, 0.0327721, 0.04449, 0.0568825, 0.0856264, 0.0882088, 0.0898635, 0.100869, 0.118887, 0.166415, 0.209388, 0.263396, 0.290344");
-            values ( \
-              "1e-22, 0.00321222, 0.00116146, 0.000871507, 0.00449601, 1e-22, 2e-22, 0.00180908, 0.000186456, 0.026653, 0.034264, 0.0307416, 0.0138342, 0.00393152, 0.00264212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0039431");
-            index_3 ("0.00130631, 0.00275633, 0.0165622, 0.0245633, 0.0333328, 0.0450689, 0.0564166, 0.0633495, 0.0848598, 0.0887877, 0.0908284, 0.102958, 0.107961, 0.116887, 0.160995, 0.189554, 0.236659, 0.263941, 0.312826, 0.356442, 0.386922");
-            values ( \
-              "1e-22, 0.0041569, 0.00208184, 0.00154973, 0.00165099, 0.00681012, 0.00115973, 1e-22, 2e-22, 0.00203843, 0.00111685, 0.0392583, 0.0450931, 0.0475607, 0.044673, 0.0414834, 0.0228048, 0.0144228, 0.00596266, 0.00257879, 0.0015112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00719805");
-            index_3 ("0.00043976, 0.00285258, 0.0324542, 0.0442023, 0.0612962, 0.0831201, 0.0900945, 0.104481, 0.119952, 0.182052, 0.229828, 0.341226, 0.40687, 0.453262, 0.512644");
-            values ( \
-              "1e-22, 0.00521706, 0.00287161, 0.00971221, 0.000368289, 1e-22, 0.00164421, 0.0538789, 0.0605109, 0.0565482, 0.0513013, 0.0178562, 0.00768893, 0.00406236, 0.00188152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0131399");
-            index_3 ("0.0426085, 0.142379, 0.171809, 0.183841, 0.1942, 0.211566, 0.229594, 0.247427, 0.26526, 0.268219, 0.274136, 0.280054, 0.286075, 0.292097, 0.295284, 0.30166, 0.304847, 0.309788, 0.314729, 0.31967, 0.324611, 0.330401, 0.33619, 0.347768, 0.362908, 0.378049, 0.414993, 0.421505, 0.434024, 0.446543, 0.462513, 0.470497, 0.484857, 0.486982, 0.495483, 0.503984, 0.512484, 0.520985, 0.529485, 0.537986, 0.549175, 0.563393, 0.578538, 0.590654, 0.60277, 0.614371, 0.625972, 0.637573, 0.649173, 0.669343");
-            values ( \
-              "3.19409e-05, 0.0704224, 0.0690006, 0.0683956, 0.067849, 0.0668721, 0.0657519, 0.0645519, 0.0632604, 0.0630776, 0.0625605, 0.0619817, 0.061338, 0.060639, 0.0602322, 0.0593543, 0.0588831, 0.0580808, 0.0572281, 0.056325, 0.0553714, 0.054136, 0.0528674, 0.0502305, 0.04644, 0.042705, 0.0337723, 0.0322428, 0.0296103, 0.0271112, 0.0241679, 0.0227396, 0.0202434, 0.0198819, 0.0185587, 0.0173185, 0.0162076, 0.015149, 0.0141426, 0.0131886, 0.0120342, 0.0106358, 0.00922284, 0.00832909, 0.00750593, 0.00679868, 0.0061463, 0.00554877, 0.00500609, 0.00417808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0239866");
-            index_3 ("0.0872693, 0.101759, 0.10794, 0.119011, 0.2021, 0.317953, 0.382292, 0.429997, 0.469875, 0.511926, 0.637096, 0.678591, 0.73129, 0.773, 0.828614, 0.895253, 0.934946, 1.03671, 1.17061, 1.32451, 1.39934");
-            values ( \
-              "0.055013, 0.0642123, 0.0753032, 0.0792238, 0.0767036, 0.072372, 0.0691844, 0.065682, 0.0614644, 0.0555896, 0.0359595, 0.0301164, 0.0237718, 0.0194883, 0.0147131, 0.0104828, 0.00844892, 0.00484952, 0.00230115, 0.00095432, 0.000758879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437871");
-            index_3 ("0.0977093, 0.0977293, 0.215722, 0.357963, 0.422617, 0.557879, 0.639588, 0.697519, 0.774471, 0.822254, 1.00515, 1.12052, 1.21839, 1.26022, 1.32749, 1.44361, 1.52057, 1.5898, 1.66675, 1.75911, 1.88695, 2.04085, 2.19476, 2.34866, 2.50257, 2.73343");
-            values ( \
-              "1e-22, 0.094178, 0.0825415, 0.0795135, 0.0779973, 0.074303, 0.0711119, 0.0678263, 0.0623038, 0.0580294, 0.040587, 0.0306859, 0.0236394, 0.0210881, 0.01734, 0.0122683, 0.00973698, 0.00780434, 0.00616909, 0.00455636, 0.00301228, 0.00181821, 0.00109082, 0.000649797, 0.00038313, 0.00021734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.156785, 0.187824, 0.193649, 0.201218, 0.210367, 0.211785, 0.214389, 0.219586, 0.228185, 0.23391, 0.240598, 0.251427, 0.254153, 0.269245, 0.282505, 0.293688, 0.304457, 0.31026, 0.321866, 0.330599, 0.341231, 0.355407, 0.365397, 0.376045, 0.390243, 0.418638, 0.464097, 0.519262");
-            values ( \
-              "0.00296248, 0.034135, 0.0352742, 0.0355196, 0.0349985, 0.0325073, 0.0323801, 0.0323539, 0.0319344, 0.0314714, 0.0307409, 0.0275912, 0.0260193, 0.0188077, 0.0141729, 0.0110311, 0.00861513, 0.00754456, 0.00570726, 0.00463459, 0.00358366, 0.00254273, 0.0019776, 0.00152177, 0.00108215, 0.000514945, 0.000159509, 3.00605e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0039431");
-            index_3 ("0.158389, 0.16991, 0.177335, 0.18145, 0.185833, 0.193873, 0.200508, 0.210369, 0.212646, 0.21522, 0.217998, 0.22922, 0.246934, 0.259822, 0.263611, 0.271191, 0.305797, 0.316155, 0.3268, 0.340668, 0.351599, 0.377841, 0.38893, 0.42162, 0.442956, 0.459551, 0.492741, 0.551278, 0.621194");
-            values ( \
-              "0.00381599, 0.0208371, 0.0362591, 0.0422319, 0.0460854, 0.0491485, 0.0494914, 0.0490657, 0.0461442, 0.0453485, 0.0455951, 0.0451059, 0.0435795, 0.0420656, 0.041438, 0.0396134, 0.0246434, 0.0209052, 0.0177186, 0.0137983, 0.0113849, 0.00701979, 0.00570193, 0.00302021, 0.00200042, 0.00153079, 0.00071863, 0.000263293, 3.42072e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00719805");
-            index_3 ("0.158901, 0.17152, 0.180778, 0.187478, 0.191953, 0.1997, 0.208232, 0.210271, 0.212713, 0.214636, 0.220835, 0.226745, 0.250855, 0.268858, 0.28078, 0.304333, 0.307099, 0.318164, 0.332329, 0.367037, 0.385509, 0.410137, 0.426044, 0.449441, 0.474228, 0.503851, 0.530467, 0.548002, 0.581025, 0.625055, 0.702008, 0.778961");
-            values ( \
-              "0.0108386, 0.0302594, 0.0522199, 0.0598477, 0.0618781, 0.063192, 0.0630654, 0.0628978, 0.0593068, 0.0586348, 0.0587658, 0.0586215, 0.0570224, 0.055583, 0.0544994, 0.0514412, 0.0509157, 0.04834, 0.0436724, 0.0313777, 0.0257431, 0.0194245, 0.0159835, 0.0119006, 0.00861183, 0.0057881, 0.00406624, 0.00315761, 0.00199357, 0.00109715, 0.000310909, 0.000127474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0131399");
-            index_3 ("0.158946, 0.17436, 0.178127, 0.186501, 0.193197, 0.1999, 0.208407, 0.210241, 0.212369, 0.21517, 0.21766, 0.228035, 0.251979, 0.305188, 0.342621, 0.36994, 0.381255, 0.401058, 0.424192, 0.478649, 0.506129, 0.542769, 0.559392, 0.585773, 0.615924, 0.643118, 0.67534, 0.739598, 0.761858, 0.79154, 0.850902, 0.927855, 1.00481, 1.15871");
-            values ( \
-              "0.0177061, 0.0436996, 0.0546386, 0.0690635, 0.0732502, 0.0745251, 0.0745729, 0.0745125, 0.0706772, 0.0692848, 0.069774, 0.0698831, 0.0688021, 0.0658473, 0.0632278, 0.0605014, 0.0590047, 0.0556401, 0.0504045, 0.0367952, 0.0305982, 0.0234828, 0.0206028, 0.0167863, 0.0130071, 0.0103306, 0.0078131, 0.004398, 0.00359962, 0.00281881, 0.00157054, 0.000814151, 0.000340588, 5.54961e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0239866");
-            index_3 ("0.158494, 0.186663, 0.196278, 0.210214, 0.218464, 0.223113, 0.241214, 0.335982, 0.394769, 0.465933, 0.520148, 0.5469, 0.588816, 0.693011, 0.755592, 0.808263, 0.849914, 0.905449, 0.952409, 1.01216, 1.08784, 1.14062, 1.24762, 1.40153, 1.55543, 1.70934");
-            values ( \
-              "0.0204945, 0.0768743, 0.082223, 0.0830191, 0.0774021, 0.0782751, 0.0778796, 0.0746515, 0.0723312, 0.0687426, 0.0643986, 0.0614247, 0.0555736, 0.0390181, 0.0300976, 0.0237599, 0.0194847, 0.0147185, 0.0115625, 0.00843628, 0.00563518, 0.00418543, 0.00230163, 0.000955251, 0.00038848, 0.000151339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437871");
-            index_3 ("0.174404, 0.174424, 0.33384, 0.456276, 0.557739, 0.662963, 0.774448, 0.899027, 1.14142, 1.27788, 1.40031, 1.47727, 1.56188, 1.68415, 1.76111, 1.81189, 1.9658, 2.1197, 2.27361, 2.58142, 2.81228");
-            values ( \
-              "1e-22, 0.0918511, 0.081582, 0.0789872, 0.0764942, 0.0733191, 0.0679053, 0.0581142, 0.0352611, 0.0247572, 0.0176278, 0.0139456, 0.0107949, 0.00737349, 0.00586589, 0.00490597, 0.00297373, 0.00178749, 0.00106505, 0.00036258, 0.000234059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.310142, 0.324965, 0.342118, 0.350938, 0.356099, 0.366422, 0.37901, 0.384373, 0.389985, 0.401408, 0.431076, 0.444998, 0.457683, 0.481214, 0.510823, 0.568332, 0.597027");
-            values ( \
-              "0.000445786, 0.0100474, 0.0246974, 0.0300974, 0.0320077, 0.0340591, 0.0353753, 0.0355094, 0.035178, 0.0328992, 0.0183705, 0.0134848, 0.0101054, 0.00583862, 0.00242029, 0.000704597, 0.000392586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0039431");
-            index_3 ("0.312669, 0.327095, 0.343888, 0.347366, 0.354322, 0.363737, 0.374483, 0.378853, 0.393854, 0.408858, 0.414358, 0.419326, 0.424591, 0.432616, 0.455367, 0.46603, 0.476586, 0.49066, 0.505703, 0.508572, 0.512043, 0.540789, 0.552638, 0.565853, 0.584287, 0.592288, 0.60829, 0.640293, 0.694525, 0.759109, 0.836062");
-            values ( \
-              "0.00356745, 0.0161221, 0.0358892, 0.0390162, 0.0434887, 0.0463962, 0.0472849, 0.0473463, 0.0473264, 0.0467871, 0.0459913, 0.0449795, 0.0435216, 0.0404952, 0.0293068, 0.024895, 0.0210213, 0.0166659, 0.0128192, 0.0115065, 0.0108649, 0.00674282, 0.00545103, 0.00424708, 0.00299739, 0.00256804, 0.00189414, 0.00100319, 0.000324774, 8.1217e-05, 2.21545e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00719805");
-            index_3 ("0.309229, 0.329459, 0.346692, 0.354452, 0.366567, 0.376558, 0.387365, 0.398179, 0.431299, 0.442769, 0.465496, 0.478821, 0.513335, 0.523174, 0.554699, 0.576676, 0.596713, 0.611305, 0.630218, 0.645934, 0.664801, 0.691577, 0.720673, 0.743178, 0.788189, 0.865142, 0.942094");
-            values ( \
-              "0.00195075, 0.0236803, 0.0487999, 0.0553889, 0.0596903, 0.060261, 0.0600321, 0.059503, 0.0574887, 0.0565914, 0.053201, 0.049253, 0.0359809, 0.0326926, 0.0235233, 0.0181315, 0.0142099, 0.0118194, 0.00923574, 0.00750772, 0.0058373, 0.00403916, 0.00271231, 0.00199328, 0.00104345, 0.000327648, 0.000100396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0131399");
-            index_3 ("0.310741, 0.333718, 0.348522, 0.355927, 0.366441, 0.376713, 0.387289, 0.399732, 0.433473, 0.472375, 0.505514, 0.508675, 0.513651, 0.517616, 0.533848, 0.559919, 0.585462, 0.639943, 0.667519, 0.72074, 0.74685, 0.776689, 0.804405, 0.837251, 0.881045, 0.901346, 0.922615, 0.950973, 1.00769, 1.08464, 1.16159, 1.3155");
-            values ( \
-              "0.00611742, 0.0350922, 0.0593528, 0.0661216, 0.0703411, 0.0713623, 0.0713254, 0.0709209, 0.0693207, 0.067041, 0.0645179, 0.0627882, 0.0620937, 0.0619416, 0.0601979, 0.0561231, 0.0503899, 0.0368014, 0.0305829, 0.0205999, 0.0168121, 0.0130678, 0.0103327, 0.00777189, 0.00533263, 0.00438002, 0.00361682, 0.0028664, 0.00164045, 0.000848392, 0.000356725, 5.89792e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0239866");
-            index_3 ("0.311997, 0.352992, 0.359941, 0.371497, 0.37967, 0.38744, 0.415999, 0.505651, 0.512339, 0.520784, 0.528419, 0.556478, 0.617535, 0.682002, 0.722896, 0.754012, 0.888153, 0.94647, 0.976741, 1.02441, 1.07888, 1.11509, 1.1577, 1.2009, 1.26177, 1.33409, 1.41104, 1.48799, 1.6419, 1.87276");
-            values ( \
-              "0.00944863, 0.0707253, 0.0756885, 0.07913, 0.0795985, 0.0796819, 0.0790619, 0.0760737, 0.0739478, 0.0734742, 0.07351, 0.0723357, 0.0693808, 0.0643889, 0.0595893, 0.0550579, 0.0341365, 0.0264855, 0.0230035, 0.0183197, 0.0138943, 0.0115315, 0.0092213, 0.00735477, 0.00525336, 0.00353, 0.00232497, 0.00148118, 0.000612982, 0.000189472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437871");
-            index_3 ("0.333145, 0.333165, 0.519617, 0.528015, 0.705359, 0.82486, 0.866466, 0.936263, 1.06107, 1.29796, 1.43645, 1.56639, 1.64335, 1.75959, 1.90582, 1.97289, 2.04945, 2.20336, 2.35726, 2.51117, 2.58549");
-            values ( \
-              "1e-22, 0.0883044, 0.0808126, 0.0810179, 0.0768532, 0.0732922, 0.0715796, 0.0678684, 0.0580808, 0.0357505, 0.0250115, 0.0173904, 0.0138007, 0.00968008, 0.00611419, 0.00494016, 0.00386458, 0.00234218, 0.00141164, 0.000846387, 0.000738025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.64172, 0.66401, 0.669065, 0.682119, 0.712267, 0.725307, 0.736981, 0.748304, 0.759632, 0.763838, 0.779985, 0.788865, 0.802068, 0.822302, 0.833652, 0.849756, 0.872601, 0.887731, 0.912862, 0.93771");
-            values ( \
-              "0.000836608, 0.00458489, 0.00622122, 0.0118439, 0.0269039, 0.0324554, 0.0343239, 0.0343381, 0.0326655, 0.0311556, 0.0226265, 0.0186185, 0.0138896, 0.00874722, 0.00671483, 0.00458788, 0.00264601, 0.00183657, 0.00099345, 0.000573653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0039431");
-            index_3 ("0.674798, 0.697854, 0.703445, 0.710154, 0.715676, 0.721198, 0.724385, 0.727571, 0.730758, 0.733944, 0.737315, 0.739001, 0.740686, 0.742371, 0.744057, 0.75027, 0.756081, 0.76356, 0.767299, 0.770386, 0.773607, 0.775754, 0.779453, 0.783151, 0.78662, 0.790089, 0.793558, 0.797027, 0.807083, 0.812134, 0.822123, 0.826471, 0.831689, 0.840408, 0.847152, 0.850991, 0.858667, 0.869014, 0.873352, 0.878456, 0.883561, 0.890205, 0.896849, 0.902331, 0.907814, 0.917929, 0.926078, 0.935632, 0.940409, 0.948135");
-            values ( \
-              "0.0261787, 0.0272097, 0.0312096, 0.0356957, 0.0386667, 0.0412838, 0.0426329, 0.0438642, 0.0449776, 0.0459732, 0.0467929, 0.0470916, 0.0473299, 0.0475077, 0.0476252, 0.0474091, 0.0471539, 0.0467498, 0.0465159, 0.0461297, 0.0455837, 0.0451366, 0.0441391, 0.0430034, 0.0418125, 0.0405001, 0.0390661, 0.0375105, 0.0324911, 0.0301279, 0.0258061, 0.0240356, 0.0220138, 0.0191591, 0.0171409, 0.0160665, 0.0140787, 0.011712, 0.0108066, 0.00988914, 0.00903268, 0.00803315, 0.00708933, 0.00635823, 0.00569928, 0.00474148, 0.00408181, 0.00341313, 0.00311607, 0.00268731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719805");
-            index_3 ("0.678025, 0.705347, 0.710781, 0.713498, 0.718932, 0.721649, 0.727523, 0.73046, 0.734587, 0.736965, 0.740533, 0.744995, 0.749458, 0.753684, 0.757911, 0.766224, 0.77038, 0.775812, 0.779638, 0.782189, 0.789842, 0.798875, 0.807488, 0.813091, 0.818693, 0.824296, 0.826381, 0.830551, 0.837848, 0.870307, 0.879006, 0.892712, 0.898949, 0.905186, 0.911424, 0.923899, 0.930136, 0.941081, 0.947203, 0.953326, 0.964538, 0.968276, 0.97803, 0.993374, 1.00455, 1.01839, 1.02957, 1.04272, 1.04929, 1.05962");
-            values ( \
-              "0.036882, 0.0409766, 0.0457083, 0.0474872, 0.0506322, 0.0519983, 0.0544017, 0.0554222, 0.056652, 0.0572284, 0.0579535, 0.0587052, 0.0592845, 0.0596291, 0.0598475, 0.0597452, 0.0596335, 0.0594268, 0.0592398, 0.0590633, 0.0583998, 0.0573459, 0.0561497, 0.0552716, 0.0543145, 0.0532785, 0.05274, 0.0515222, 0.0491195, 0.0370153, 0.0339636, 0.0293142, 0.0274097, 0.025597, 0.023884, 0.020718, 0.019265, 0.0169005, 0.0156194, 0.0144225, 0.0125727, 0.0119974, 0.0106196, 0.00862997, 0.00737859, 0.00616902, 0.00532555, 0.00446556, 0.00408378, 0.003546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131399");
-            index_3 ("0.670916, 0.702209, 0.711088, 0.718947, 0.724805, 0.73652, 0.753177, 0.760007, 0.773668, 0.801626, 0.815031, 0.82866, 0.855918, 0.880663, 0.901693, 0.931145, 0.966838, 1.00753, 1.02576, 1.06221, 1.08271, 1.10541, 1.13567, 1.15707, 1.17278, 1.19371, 1.23235, 1.24878, 1.26996, 1.31231, 1.38042, 1.45738, 1.53433, 1.68824");
-            values ( \
-              "0.0269839, 0.044931, 0.0534886, 0.0595531, 0.0628752, 0.0669863, 0.0691243, 0.0693173, 0.0693824, 0.0689434, 0.0685261, 0.067855, 0.0660342, 0.0635431, 0.0603173, 0.0539879, 0.0447495, 0.0347648, 0.0307559, 0.0235102, 0.0200912, 0.0167679, 0.0130983, 0.0108995, 0.00953088, 0.00798183, 0.0054278, 0.00479177, 0.00403405, 0.00275826, 0.00150161, 0.000720352, 0.000369466, 9.95628e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239866");
-            index_3 ("0.672004, 0.696533, 0.717371, 0.72633, 0.73757, 0.747243, 0.762447, 0.777482, 0.803181, 0.859902, 0.903936, 0.945986, 0.977832, 1.03826, 1.05881, 1.0823, 1.21575, 1.22908, 1.28833, 1.34335, 1.36205, 1.39944, 1.45684, 1.49411, 1.51965, 1.56263, 1.6223, 1.64544, 1.69172, 1.76868, 1.84563, 1.92258, 1.99953, 2.07649, 2.23039");
-            values ( \
-              "0.0398882, 0.0433248, 0.0650139, 0.0707539, 0.0748627, 0.076494, 0.0774158, 0.0774363, 0.0769515, 0.0753652, 0.0739298, 0.0722992, 0.0706783, 0.0656841, 0.0631719, 0.0599398, 0.0387642, 0.0364775, 0.0285284, 0.0221804, 0.0203077, 0.0168953, 0.012635, 0.0104137, 0.00910058, 0.00724049, 0.00523342, 0.00461895, 0.00357935, 0.0023332, 0.0015121, 0.000983895, 0.000635222, 0.000415255, 0.000176946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437871");
-            index_3 ("0.675568, 0.704395, 0.718808, 0.737999, 0.753286, 0.767361, 0.785828, 0.881395, 1.02068, 1.1566, 1.21549, 1.31808, 1.36439, 1.65866, 1.79639, 1.92352, 2.00047, 2.07965, 2.20017, 2.27712, 2.40937, 2.56328, 2.71718, 2.87109, 2.94803");
-            values ( \
-              "0.0537493, 0.055663, 0.0704882, 0.080094, 0.0824817, 0.0831187, 0.083169, 0.0817015, 0.0786818, 0.0749592, 0.0727223, 0.0663355, 0.0628496, 0.0356001, 0.0249505, 0.0174432, 0.0138887, 0.010936, 0.00752718, 0.00590557, 0.00387163, 0.00235477, 0.00142745, 0.000863941, 0.000751943" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00372733, 0.00373598, 0.00374425, 0.00375057, 0.00375504, 0.00375788", \
-            "0.00436117, 0.00436591, 0.00437412, 0.00438017, 0.00438487, 0.00438679", \
-            "0.00481906, 0.00482195, 0.00482606, 0.00483078, 0.00483516, 0.00483852", \
-            "0.00517276, 0.00517463, 0.00517703, 0.00518008, 0.00518336, 0.00518302", \
-            "0.00542258, 0.00542234, 0.00542247, 0.00542318, 0.0054244, 0.00542588", \
-            "0.00563185, 0.0056313, 0.00563052, 0.00562969, 0.00562914, 0.00562854" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00480953, 0.00482617, 0.00484104, 0.00486072, 0.00487085, 0.00487884", \
-            "0.00507725, 0.00508823, 0.00509877, 0.00511259, 0.00512411, 0.00513312", \
-            "0.00527351, 0.00527044, 0.00526838, 0.00527035, 0.00527449, 0.00527831", \
-            "0.00572856, 0.00573147, 0.00572951, 0.00572617, 0.00572598, 0.00573133", \
-            "0.00494505, 0.00508822, 0.00530299, 0.00552667, 0.00569456, 0.00580442", \
-            "0.0043495, 0.00435748, 0.00439952, 0.00454536, 0.00476812, 0.00508057" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0606099, 0.0637297, 0.0659663, 0.074802, 0.0815576, 0.0872798, 0.0929843, 0.0989181, 0.105502, 0.120657, 0.12633, 0.132122, 0.141102, 0.146797, 0.153362, 0.164049, 0.178298, 0.183475");
-            values ( \
-              "-0.000591854, -0.0494408, -0.0548641, -0.0643919, -0.0674377, -0.0683293, -0.067372, -0.0634534, -0.0546672, -0.0294378, -0.0220705, -0.0161134, -0.00971954, -0.00684566, -0.00460287, -0.00237829, -0.00102073, -0.000799701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0039431");
-            index_3 ("0.0612739, 0.0648637, 0.0679016, 0.0725442, 0.0795651, 0.0841248, 0.0891886, 0.097181, 0.105173, 0.107034, 0.115114, 0.122785, 0.138761, 0.150293, 0.160044, 0.172334, 0.180049, 0.18679, 0.195908, 0.208066, 0.230278");
-            values ( \
-              "-0.0128362, -0.0665216, -0.0736346, -0.0793192, -0.0857388, -0.0875436, -0.0886502, -0.0890564, -0.0873231, -0.0864843, -0.0808155, -0.0710546, -0.0459728, -0.0308054, -0.0211887, -0.0128247, -0.00923594, -0.00690999, -0.00464834, -0.00269481, -0.000945611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00719805");
-            index_3 ("0.0610528, 0.0661735, 0.0706261, 0.0773929, 0.08404, 0.0899564, 0.100641, 0.109656, 0.123362, 0.132783, 0.139394, 0.152418, 0.178622, 0.196126, 0.209188, 0.229751, 0.251606, 0.274141, 0.299895, 0.312054");
-            values ( \
-              "-0.0182482, -0.0831263, -0.0915435, -0.0995209, -0.103809, -0.105801, -0.107233, -0.106916, -0.104708, -0.101401, -0.0976964, -0.0861431, -0.0542011, -0.0363691, -0.0262684, -0.0150918, -0.00813507, -0.00429363, -0.0019076, -0.00148295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0131399");
-            index_3 ("0.06243, 0.0681463, 0.0721948, 0.0805846, 0.0854991, 0.0953283, 0.118637, 0.134719, 0.164529, 0.176038, 0.185972, 0.205838, 0.25034, 0.277979, 0.301438, 0.317755, 0.33245, 0.352044, 0.368061, 0.385652, 0.409107, 0.456017, 0.510387, 0.564756");
-            values ( \
-              "-0.0683649, -0.0973162, -0.104666, -0.113869, -0.116422, -0.119932, -0.121089, -0.119975, -0.115596, -0.112358, -0.108727, -0.0971382, -0.0604958, -0.0411766, -0.0287073, -0.0220296, -0.0172499, -0.012322, -0.00932496, -0.00684624, -0.00449006, -0.00184025, -0.000623544, -0.000218646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0239866");
-            index_3 ("0.0654669, 0.0915658, 0.0996917, 0.122569, 0.132862, 0.149413, 0.166173, 0.199586, 0.234703, 0.270856, 0.30753, 0.389462, 0.432484, 0.49038, 0.54002, 0.61686, 0.701871, 0.723996");
-            values ( \
-              "-0.124435, -0.126731, -0.129824, -0.131191, -0.129794, -0.130352, -0.128216, -0.126986, -0.122595, -0.1158, -0.102441, -0.0605732, -0.0423669, -0.0248636, -0.0152822, -0.00695702, -0.00281497, -0.0025555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437871");
-            index_3 ("0.065759, 0.0947366, 0.0954083, 0.0967518, 0.0994387, 0.104812, 0.114707, 0.12444, 0.142951, 0.162549, 0.182289, 0.211302, 0.240872, 0.295241, 0.300461, 0.310901, 0.33178, 0.361631, 0.416, 0.425391, 0.444173, 0.495087, 0.581039, 0.627569, 0.706945, 0.712247, 0.722851, 0.744059, 0.778491, 0.823426, 0.835649, 0.860097, 0.903889, 0.958258, 0.985352, 0.992882, 1.00794, 1.03806, 1.09243, 1.1468, 1.20117, 1.25554, 1.30991, 1.36428, 1.41865, 1.47302, 1.52739");
-            values ( \
-              "-0.132933, -0.133109, -0.134424, -0.133675, -0.135196, -0.135052, -0.136852, -0.136199, -0.137104, -0.135717, -0.136082, -0.134176, -0.13401, -0.130662, -0.131311, -0.129839, -0.129521, -0.126513, -0.121863, -0.119618, -0.117415, -0.105286, -0.0779802, -0.0641879, -0.0440834, -0.0437963, -0.0406854, -0.0373246, -0.0303636, -0.0245606, -0.0221362, -0.0201203, -0.0149405, -0.0116439, -0.00915883, -0.00959316, -0.00797815, -0.0074549, -0.00466974, -0.00409311, -0.00222925, -0.00232522, -0.000953473, -0.00140425, -0.000291286, -0.000926631, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0769376, 0.0789597, 0.0811903, 0.0846179, 0.0909089, 0.0967815, 0.102513, 0.10824, 0.113964, 0.120285, 0.128887, 0.139896, 0.148118, 0.153311, 0.159129, 0.168135, 0.179841, 0.192327, 0.196212");
-            values ( \
-              "-0.0272153, -0.0499372, -0.05468, -0.058812, -0.0649419, -0.0673123, -0.0685513, -0.067351, -0.0638591, -0.0553221, -0.0404821, -0.0240946, -0.0154707, -0.0115136, -0.00819589, -0.00477283, -0.00234839, -0.00106559, -0.000907436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0039431");
-            index_3 ("0.0755439, 0.0831392, 0.0877828, 0.0963238, 0.101362, 0.110542, 0.116148, 0.120454, 0.128536, 0.130513, 0.137293, 0.158113, 0.165172, 0.175975, 0.187057, 0.192955, 0.20502, 0.212454, 0.227323, 0.241991");
-            values ( \
-              "-0.0205141, -0.0730475, -0.0798808, -0.0863963, -0.0881642, -0.089156, -0.0885243, -0.0871963, -0.0825941, -0.0806859, -0.0721632, -0.0400937, -0.0311834, -0.0206014, -0.0130968, -0.0102106, -0.00606662, -0.00438047, -0.00223058, -0.00127789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00719805");
-            index_3 ("0.0774943, 0.0814968, 0.0859368, 0.0927119, 0.0994869, 0.105266, 0.117434, 0.129547, 0.141687, 0.157086, 0.168806, 0.210854, 0.225524, 0.244306, 0.266194, 0.291975, 0.307912");
-            values ( \
-              "-0.0461462, -0.0836454, -0.0914748, -0.0998514, -0.103839, -0.105921, -0.107223, -0.106426, -0.10389, -0.0961062, -0.0847668, -0.036875, -0.0255172, -0.0154283, -0.00833466, -0.0039485, -0.00266814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0131399");
-            index_3 ("0.0770816, 0.0834442, 0.0872078, 0.0903152, 0.100784, 0.110591, 0.120624, 0.140197, 0.159968, 0.180921, 0.191299, 0.201251, 0.221156, 0.265955, 0.293333, 0.316631, 0.332998, 0.347805, 0.367547, 0.383413, 0.400865, 0.424134, 0.470672, 0.497264");
-            values ( \
-              "-0.0513891, -0.0974842, -0.103993, -0.108674, -0.116829, -0.119588, -0.121059, -0.120779, -0.118888, -0.115147, -0.11235, -0.108741, -0.097097, -0.0602299, -0.0411277, -0.0287515, -0.0220392, -0.0172247, -0.0122776, -0.00930952, -0.00685104, -0.00451427, -0.00186054, -0.00126119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0239866");
-            index_3 ("0.0816796, 0.13782, 0.164658, 0.214831, 0.249947, 0.286101, 0.322774, 0.404699, 0.447727, 0.505654, 0.555186, 0.593007, 0.632164, 0.717259, 0.753599");
-            values ( \
-              "-0.129516, -0.130764, -0.129927, -0.126562, -0.12259, -0.115796, -0.102445, -0.0605741, -0.042365, -0.024858, -0.0152926, -0.0103989, -0.00695396, -0.00281198, -0.0021614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437871");
-            index_3 ("0.0816708, 0.121768, 0.134264, 0.139754, 0.150732, 0.17075, 0.197582, 0.226575, 0.256165, 0.310534, 0.315754, 0.326194, 0.376924, 0.440684, 0.459466, 0.497029, 0.537081, 0.61184, 0.697224, 0.759367, 0.838724, 0.875382, 0.919175, 0.973544, 1.00818, 1.05339, 1.16213, 1.27087, 1.3796, 1.48834, 1.54271");
-            values ( \
-              "-0.13519, -0.135979, -0.136394, -0.136879, -0.136529, -0.136552, -0.135436, -0.134823, -0.133365, -0.131305, -0.130679, -0.130465, -0.127134, -0.120228, -0.116809, -0.108644, -0.0970268, -0.0735677, -0.0501698, -0.0367699, -0.0240109, -0.0195781, -0.015483, -0.0111033, -0.0090596, -0.0069242, -0.0035645, -0.00179842, -0.000879048, -0.000402984, -0.000471718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.114404, 0.116678, 0.118935, 0.128123, 0.134585, 0.140324, 0.14543, 0.15197, 0.156806, 0.175825, 0.183158, 0.19242, 0.204469, 0.22089, 0.226564");
-            values ( \
-              "-0.0195184, -0.0491125, -0.0542557, -0.0643615, -0.0673676, -0.0682697, -0.0675986, -0.0634312, -0.0573621, -0.026533, -0.0180471, -0.0106819, -0.0052378, -0.00190309, -0.0014744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0039431");
-            index_3 ("0.115553, 0.117989, 0.121039, 0.125704, 0.133228, 0.13634, 0.142373, 0.150369, 0.158347, 0.162789, 0.166772, 0.174737, 0.190576, 0.202767, 0.210659, 0.223329, 0.232266, 0.23997, 0.249207, 0.261522, 0.281693");
-            values ( \
-              "-0.050192, -0.0666687, -0.0729796, -0.079264, -0.0857891, -0.0871469, -0.0887003, -0.0889894, -0.0873009, -0.085078, -0.0821937, -0.0729405, -0.0480533, -0.0316293, -0.0234627, -0.0140626, -0.00965307, -0.00692122, -0.0046311, -0.00266828, -0.00109329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00719805");
-            index_3 ("0.115244, 0.119515, 0.123969, 0.130756, 0.137543, 0.143321, 0.153229, 0.160024, 0.167606, 0.179748, 0.185504, 0.195329, 0.206869, 0.23345, 0.249899, 0.269331, 0.284549, 0.304969, 0.327331, 0.352887, 0.36312");
-            values ( \
-              "-0.0384585, -0.0831747, -0.0914021, -0.099587, -0.103892, -0.10574, -0.107256, -0.107211, -0.106301, -0.103996, -0.101644, -0.0959681, -0.084765, -0.0525245, -0.0360088, -0.0219318, -0.0145329, -0.00817505, -0.00428481, -0.00196614, -0.00158493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0131399");
-            index_3 ("0.11517, 0.121566, 0.125334, 0.128442, 0.138914, 0.148723, 0.158757, 0.178332, 0.198102, 0.219055, 0.229429, 0.239385, 0.259299, 0.304171, 0.331485, 0.354744, 0.371123, 0.385957, 0.405736, 0.421565, 0.438983, 0.462206, 0.508654, 0.563023, 0.617393");
-            values ( \
-              "-0.0507329, -0.0973602, -0.103977, -0.108568, -0.116745, -0.119628, -0.120995, -0.120719, -0.118829, -0.115146, -0.112352, -0.10874, -0.0970912, -0.0601673, -0.0411181, -0.0287602, -0.0220436, -0.0172205, -0.0122649, -0.00930768, -0.00685404, -0.00451837, -0.00186733, -0.000636123, -0.000219716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0239866");
-            index_3 ("0.119894, 0.176011, 0.202859, 0.253032, 0.288149, 0.324302, 0.360975, 0.442907, 0.48593, 0.543826, 0.593464, 0.631236, 0.670308, 0.755322, 0.791575");
-            values ( \
-              "-0.12959, -0.130764, -0.129925, -0.12656, -0.122593, -0.115799, -0.102442, -0.0605714, -0.042365, -0.0248653, -0.0152804, -0.0103967, -0.00695885, -0.00281684, -0.00216686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437871");
-            index_3 ("0.119821, 0.172378, 0.177971, 0.189157, 0.209299, 0.235799, 0.264792, 0.294381, 0.34875, 0.35397, 0.364411, 0.41514, 0.4789, 0.497681, 0.535243, 0.575301, 0.650055, 0.735437, 0.79759, 0.832013, 0.876942, 0.913595, 0.957389, 1.01176, 1.04639, 1.09162, 1.14598, 1.20035, 1.30909, 1.41783, 1.58094");
-            values ( \
-              "-0.134777, -0.136395, -0.136887, -0.136522, -0.13655, -0.135431, -0.134828, -0.133362, -0.131308, -0.130683, -0.130458, -0.127124, -0.12021, -0.11683, -0.108621, -0.0969964, -0.0736043, -0.0502079, -0.0368148, -0.0308651, -0.0240576, -0.0196273, -0.0154341, -0.0111528, -0.00911097, -0.00697623, -0.0051444, -0.00361703, -0.00185139, -0.00093239, -0.000417848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.201104, 0.204209, 0.206833, 0.222111, 0.223726, 0.226737, 0.229609, 0.235433, 0.243827, 0.26842, 0.283404, 0.293676, 0.304974, 0.311165");
-            values ( \
-              "-0.00903768, -0.0411326, -0.0479078, -0.0650226, -0.0641823, -0.0671563, -0.0660321, -0.067529, -0.0604032, -0.0226644, -0.00984991, -0.00534817, -0.0026984, -0.00219319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0039431");
-            index_3 ("0.20121, 0.205972, 0.207191, 0.210297, 0.212122, 0.217072, 0.223517, 0.229568, 0.231841, 0.235932, 0.23993, 0.247996, 0.250948, 0.256427, 0.260706, 0.265651, 0.280953, 0.292836, 0.303798, 0.314624, 0.320562, 0.328939, 0.337809, 0.344628, 0.358267, 0.374159");
-            values ( \
-              "-0.00174917, -0.0579421, -0.0615318, -0.0679266, -0.0691741, -0.076647, -0.0830986, -0.0864382, -0.0871451, -0.0879434, -0.0881429, -0.0869262, -0.085569, -0.0819521, -0.0776775, -0.0709304, -0.0469722, -0.031183, -0.0204777, -0.0131579, -0.0102421, -0.00713649, -0.00486081, -0.00360296, -0.00194438, -0.00106256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00719805");
-            index_3 ("0.201304, 0.208089, 0.210306, 0.220167, 0.226127, 0.233096, 0.243854, 0.248497, 0.257549, 0.269897, 0.282307, 0.285416, 0.296075, 0.328728, 0.337998, 0.347757, 0.360621, 0.373549, 0.382041, 0.398683, 0.427553, 0.458888");
-            values ( \
-              "-0.00122826, -0.0752924, -0.0802714, -0.0948709, -0.100576, -0.104249, -0.106515, -0.106666, -0.106137, -0.103627, -0.0980695, -0.0958885, -0.085674, -0.0467025, -0.0376536, -0.0295923, -0.0211322, -0.0148958, -0.0117676, -0.00733479, -0.00314159, -0.00122972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0131399");
-            index_3 ("0.20185, 0.210832, 0.215171, 0.222976, 0.239213, 0.249102, 0.258996, 0.26873, 0.284894, 0.28848, 0.295651, 0.308713, 0.329025, 0.352935, 0.390754, 0.420444, 0.448042, 0.485805, 0.516261, 0.554488, 0.595263, 0.600483");
-            values ( \
-              "-0.0180105, -0.0874012, -0.0983807, -0.11009, -0.119728, -0.119467, -0.121674, -0.119553, -0.120038, -0.117767, -0.118615, -0.114391, -0.110027, -0.094581, -0.063168, -0.0419791, -0.0274517, -0.0146701, -0.00862895, -0.00437506, -0.00203612, -0.00194979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0239866");
-            index_3 ("0.205784, 0.226087, 0.233749, 0.243379, 0.266007, 0.309956, 0.35118, 0.378484, 0.414661, 0.431628, 0.454234, 0.536891, 0.576745, 0.616577, 0.641533, 0.686563, 0.721889, 0.759159, 0.842159, 0.8979");
-            values ( \
-              "-0.094319, -0.119923, -0.125136, -0.128444, -0.130437, -0.128797, -0.125675, -0.122591, -0.115786, -0.110619, -0.100966, -0.0588344, -0.042166, -0.0293875, -0.02315, -0.0148624, -0.0103531, -0.00705776, -0.00291494, -0.00187773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437871");
-            index_3 ("0.210254, 0.262823, 0.27957, 0.32624, 0.355236, 0.384828, 0.454857, 0.505587, 0.559956, 0.569347, 0.588129, 0.625693, 0.665743, 0.771524, 0.825893, 0.850901, 0.88802, 0.922451, 0.979606, 1.00405, 1.04784, 1.10221, 1.1519, 1.18203, 1.2364, 1.29077, 1.34514, 1.45388, 1.56262, 1.67136");
-            values ( \
-              "-0.134805, -0.136373, -0.136647, -0.135627, -0.134633, -0.133553, -0.130291, -0.126963, -0.121414, -0.120064, -0.11697, -0.108485, -0.096874, -0.0646211, -0.0503093, -0.0445148, -0.0368999, -0.0307862, -0.0225571, -0.0197003, -0.0153604, -0.0112247, -0.00839298, -0.00703976, -0.00508357, -0.00367886, -0.00264267, -0.00136641, -0.000703669, -0.000360748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.386398, 0.397128, 0.409388, 0.417479, 0.423866, 0.430054, 0.436323, 0.43905, 0.464418, 0.472439, 0.480982, 0.491198, 0.507736, 0.513415");
-            values ( \
-              "-0.00177407, -0.0344888, -0.0511364, -0.058991, -0.0624743, -0.0634374, -0.0605977, -0.0580173, -0.0219783, -0.0143417, -0.00886374, -0.00486635, -0.00130257, -0.00107745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0039431");
-            index_3 ("0.389904, 0.395908, 0.406166, 0.411346, 0.417227, 0.426259, 0.434769, 0.443164, 0.451554, 0.454743, 0.461154, 0.48127, 0.488536, 0.498986, 0.508964, 0.514969, 0.526659, 0.539303, 0.553752, 0.572504");
-            values ( \
-              "-0.00642825, -0.0409628, -0.0603073, -0.0681796, -0.0752801, -0.0820089, -0.0847699, -0.0845122, -0.0805754, -0.0777845, -0.0699129, -0.0402299, -0.0312298, -0.0210531, -0.0133662, -0.0105108, -0.00649035, -0.00380773, -0.00200261, -0.000941881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00719805");
-            index_3 ("0.393293, 0.39897, 0.405171, 0.416893, 0.427662, 0.43998, 0.45299, 0.465496, 0.478487, 0.491602, 0.513776, 0.535339, 0.54984, 0.568836, 0.590733, 0.616146, 0.645189, 0.651375");
-            values ( \
-              "-0.0353949, -0.0559415, -0.069511, -0.0887532, -0.0984117, -0.103216, -0.104122, -0.10235, -0.0969128, -0.0856788, -0.0586406, -0.0366518, -0.025542, -0.015385, -0.00831557, -0.00398223, -0.00162109, -0.00143149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0131399");
-            index_3 ("0.392031, 0.402893, 0.416682, 0.423519, 0.432105, 0.444503, 0.458274, 0.464504, 0.484478, 0.504498, 0.506225, 0.514896, 0.526062, 0.536894, 0.549237, 0.597431, 0.620964, 0.644434, 0.662267, 0.682027, 0.712571, 0.751019, 0.792029, 0.81972");
-            values ( \
-              "-0.0151539, -0.0720204, -0.0987388, -0.106604, -0.112703, -0.117133, -0.118796, -0.118879, -0.117799, -0.114887, -0.113533, -0.111722, -0.108248, -0.102992, -0.0944864, -0.0551879, -0.0394532, -0.027421, -0.0204946, -0.0146938, -0.0086282, -0.00435512, -0.00202266, -0.00134253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0239866");
-            index_3 ("0.394361, 0.407845, 0.420692, 0.438921, 0.452414, 0.466704, 0.485225, 0.505511, 0.508213, 0.523589, 0.540461, 0.56122, 0.575172, 0.611352, 0.627906, 0.650925, 0.7337, 0.773448, 0.813203, 0.838201, 0.88329, 0.918602, 0.95582, 1.03877, 1.10695");
-            values ( \
-              "-0.0392345, -0.0890311, -0.11105, -0.124096, -0.127513, -0.128844, -0.128983, -0.128104, -0.127049, -0.127052, -0.126133, -0.124262, -0.12255, -0.115769, -0.110782, -0.100951, -0.0587861, -0.0421683, -0.0294003, -0.023162, -0.0148512, -0.0103574, -0.00706675, -0.00292397, -0.00165438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437871");
-            index_3 ("0.403106, 0.435002, 0.447219, 0.461244, 0.472179, 0.486759, 0.505627, 0.506931, 0.509541, 0.527729, 0.563666, 0.641566, 0.695935, 0.715967, 0.740532, 0.766389, 0.818104, 0.836199, 0.961866, 1.01478, 1.04614, 1.09491, 1.14928, 1.20763, 1.24935, 1.30372, 1.37283, 1.42435, 1.47872, 1.58745, 1.69619, 1.8593");
-            values ( \
-              "-0.119767, -0.127436, -0.132039, -0.134374, -0.135149, -0.135466, -0.135331, -0.134421, -0.134319, -0.134905, -0.134184, -0.130854, -0.127502, -0.125863, -0.123396, -0.120083, -0.109731, -0.104841, -0.0665787, -0.0523385, -0.0449548, -0.0350801, -0.0262202, -0.0189943, -0.0149864, -0.0109389, -0.00730412, -0.00537606, -0.00388393, -0.00201692, -0.00104405, -0.000385989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.808382, 0.815637, 0.821384, 0.82864, 0.839749, 0.848724, 0.863716, 0.870777, 0.877823, 0.894436, 0.90083, 0.910523, 0.917013, 0.921257, 0.929557, 0.934332, 0.943882, 0.961112, 0.981322");
-            values ( \
-              "-0.00377281, -0.0177099, -0.0229029, -0.0306746, -0.0393241, -0.0475501, -0.0558372, -0.0545458, -0.0495389, -0.0279391, -0.0208095, -0.0126584, -0.00892517, -0.00706301, -0.00442241, -0.00336336, -0.00193826, -0.000668207, -0.000199361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0039431");
-            index_3 ("0.808444, 0.818968, 0.83464, 0.859076, 0.868774, 0.877921, 0.887071, 0.8962, 0.92572, 0.93503, 0.948079, 0.962597, 0.978303, 0.994537");
-            values ( \
-              "-0.000476576, -0.0265213, -0.045606, -0.0701573, -0.0761529, -0.0790726, -0.0763891, -0.0683214, -0.0297849, -0.021087, -0.0125955, -0.00688724, -0.0035223, -0.00175362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719805");
-            index_3 ("0.812063, 0.82368, 0.843578, 0.860817, 0.868384, 0.87512, 0.888411, 0.901416, 0.914415, 0.923128, 0.929115, 0.957337, 0.969314, 0.984918, 0.999052, 1.0054, 1.0181, 1.027, 1.03667, 1.04955, 1.07531, 1.1124");
-            values ( \
-              "-0.00624417, -0.0384101, -0.0654582, -0.0849121, -0.0912721, -0.0954088, -0.0997053, -0.0997176, -0.0954687, -0.0892429, -0.0832805, -0.0507069, -0.0387345, -0.0264258, -0.0182394, -0.0153622, -0.0108044, -0.00841019, -0.0063961, -0.00441155, -0.00201751, -0.000598109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131399");
-            index_3 ("0.816596, 0.829492, 0.836842, 0.849855, 0.868326, 0.879504, 0.896942, 0.907456, 0.920858, 0.941184, 0.951221, 0.961417, 0.969427, 0.977146, 0.985805, 1.02316, 1.03479, 1.05342, 1.06908, 1.0805, 1.0952, 1.10692, 1.12144, 1.14176, 1.15057, 1.1682, 1.20347, 1.2285, 1.24502, 1.28878, 1.32824");
-            values ( \
-              "-0.0218232, -0.0516538, -0.0632162, -0.0818282, -0.102399, -0.109658, -0.115334, -0.116345, -0.116252, -0.114299, -0.111872, -0.108554, -0.104946, -0.10043, -0.0942301, -0.0635339, -0.0546413, -0.0420235, -0.033218, -0.0277658, -0.0218873, -0.018028, -0.0140994, -0.00991276, -0.00849981, -0.00622528, -0.00325752, -0.00181135, -0.00138569, -0.000644659, -0.000316846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239866");
-            index_3 ("0.824372, 0.874201, 0.889473, 0.909677, 0.943614, 0.977622, 1.01249, 1.05616, 1.08575, 1.16241, 1.20917, 1.25895, 1.3036, 1.3724, 1.45041, 1.49489");
-            values ( \
-              "-0.0611519, -0.114386, -0.122121, -0.126586, -0.127623, -0.125884, -0.122351, -0.113543, -0.102099, -0.0629746, -0.0429132, -0.0272319, -0.0176854, -0.00880522, -0.00386462, -0.00277186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437871");
-            index_3 ("0.831916, 0.891209, 0.901166, 0.920154, 0.940427, 0.986692, 1.06163, 1.10878, 1.13992, 1.19429, 1.21598, 1.27361, 1.39992, 1.48386, 1.53075, 1.58434, 1.64785, 1.68973, 1.7441, 1.8261, 1.88047, 2.04358, 2.07361");
-            values ( \
-              "-0.0944388, -0.128342, -0.131146, -0.133782, -0.134761, -0.134263, -0.131444, -0.12895, -0.1267, -0.121312, -0.118049, -0.104794, -0.0664034, -0.0448926, -0.0353594, -0.0265642, -0.0187016, -0.0147294, -0.0107567, -0.00664138, -0.00479269, -0.00180182, -0.00164028" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00318672, 0.00319248, 0.00319739, 0.00320184, 0.00320481, 0.00320668", \
-            "0.00355154, 0.00355486, 0.00355793, 0.00356121, 0.00356372, 0.00356539", \
-            "0.00376187, 0.00376292, 0.00376441, 0.0037661, 0.00376763, 0.00376877", \
-            "0.00388808, 0.00388792, 0.00388793, 0.00388819, 0.00388877, 0.00388926", \
-            "0.00396087, 0.00396068, 0.00396047, 0.00396033, 0.00396036, 0.00396051", \
-            "0.00401317, 0.00401301, 0.00401279, 0.00401254, 0.0040123, 0.00401214" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00417359, 0.0041752, 0.00418387, 0.00418308, 0.00418625, 0.00418837", \
-            "0.00448888, 0.00448931, 0.00449227, 0.00449782, 0.00449932, 0.00450057", \
-            "0.00482637, 0.00481931, 0.00481446, 0.00481084, 0.00480868, 0.00480756", \
-            "0.00531999, 0.00531109, 0.00530075, 0.00529099, 0.00528319, 0.005278", \
-            "0.00584629, 0.00588561, 0.00591544, 0.00593497, 0.00594556, 0.00595113", \
-            "0.00599099, 0.00599287, 0.00605579, 0.00625482, 0.00645343, 0.00657768" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "B";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0799038, 0.100301, 0.136399, 0.201535, 0.320073, 0.536127", \
-            "0.0840784, 0.104688, 0.141032, 0.206382, 0.325078, 0.541233", \
-            "0.0946974, 0.115397, 0.151958, 0.217582, 0.336516, 0.552907", \
-            "0.11945, 0.140345, 0.176944, 0.24272, 0.361948, 0.578651", \
-            "0.165693, 0.193259, 0.236107, 0.303821, 0.423317, 0.640352", \
-            "0.245598, 0.285965, 0.345756, 0.434759, 0.571033, 0.790362" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0704187, 0.0972814, 0.146635, 0.237533, 0.403999, 0.708236", \
-            "0.0704477, 0.0972866, 0.146627, 0.237523, 0.404011, 0.708235", \
-            "0.0707545, 0.0974823, 0.146691, 0.2375, 0.404083, 0.708235", \
-            "0.0755439, 0.100248, 0.147451, 0.237658, 0.404152, 0.708224", \
-            "0.101184, 0.124243, 0.164066, 0.245229, 0.40482, 0.708236", \
-            "0.15404, 0.18102, 0.225685, 0.301752, 0.44044, 0.719981" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.04947, 0.0621002, 0.0839991, 0.123234, 0.193761, 0.321476", \
-            "0.0526165, 0.065391, 0.0873319, 0.126669, 0.197255, 0.324993", \
-            "0.06113, 0.0739828, 0.0960859, 0.135471, 0.206198, 0.334017", \
-            "0.081067, 0.0955578, 0.117999, 0.157462, 0.228268, 0.356208", \
-            "0.10421, 0.125757, 0.158795, 0.208621, 0.28244, 0.410223", \
-            "0.117593, 0.149041, 0.197989, 0.272735, 0.382374, 0.5389" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0491926, 0.0653446, 0.0952239, 0.149464, 0.245708, 0.41739", \
-            "0.0491112, 0.0653004, 0.0951081, 0.149557, 0.24571, 0.417384", \
-            "0.0491979, 0.0649127, 0.0949013, 0.149446, 0.245701, 0.417475", \
-            "0.062464, 0.0752322, 0.101109, 0.151804, 0.245564, 0.417443", \
-            "0.0944924, 0.111713, 0.139991, 0.185398, 0.263533, 0.420344", \
-            "0.148329, 0.173348, 0.212899, 0.277303, 0.362974, 0.490131" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0370268, 0.0442092, 0.0464402, 0.0490335, 0.0538279, 0.0577973, 0.0585544, 0.0600687, 0.0630973, 0.0680718, 0.0776725, 0.0797849, 0.0835776, 0.0874987, 0.0953409, 0.0980481, 0.109948, 0.116718, 0.124456, 0.130156, 0.136917, 0.145419, 0.156457, 0.159517, 0.165637, 0.177878, 0.186628, 0.189057, 0.193914, 0.203629, 0.220549, 0.226487, 0.228468, 0.232429, 0.240351, 0.287886, 0.334505, 0.39179");
-            values ( \
-              "0.0143147, 0.03988, 0.0402154, 0.0409228, 0.0407371, 0.0410353, 0.0408148, 0.0409287, 0.0408103, 0.0407958, 0.0402441, 0.0400403, 0.0395316, 0.0387995, 0.0360445, 0.034459, 0.0265987, 0.022827, 0.0192564, 0.0169829, 0.0146594, 0.0122507, 0.00950854, 0.00898197, 0.00773734, 0.00593285, 0.00476747, 0.00460216, 0.00401986, 0.00329198, 0.00212055, 0.0019381, 0.00175176, 0.00169186, 0.00131002, 0.000371633, 0.000171212, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0039431");
-            index_3 ("0.0396425, 0.0432031, 0.0483208, 0.0537826, 0.0740408, 0.091067, 0.100127, 0.107884, 0.121788, 0.140472, 0.14923, 0.162106, 0.169866, 0.180212, 0.197977, 0.210965, 0.237186, 0.248248, 0.268415, 0.291106, 0.323158, 0.365895, 0.423637, 0.494811");
-            values ( \
-              "0.0441195, 0.0496377, 0.0516118, 0.0521687, 0.0532026, 0.0528237, 0.0518359, 0.0503164, 0.0456597, 0.0350052, 0.0306823, 0.0250776, 0.0222417, 0.0189609, 0.0140097, 0.0112466, 0.00705408, 0.00578093, 0.00398563, 0.00260116, 0.00140236, 0.000672614, 0.000134029, 8.70323e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00719805");
-            index_3 ("0.0396614, 0.0448316, 0.0472785, 0.0513095, 0.0690773, 0.0823139, 0.0924495, 0.102468, 0.122892, 0.144054, 0.165468, 0.20745, 0.229095, 0.249231, 0.289191, 0.311716, 0.339411, 0.377279, 0.409259, 0.468967, 0.542721, 0.626314");
-            values ( \
-              "0.0415263, 0.0588506, 0.0600998, 0.0610535, 0.0631026, 0.0642675, 0.0643698, 0.0642765, 0.0626724, 0.0597782, 0.0541904, 0.0377429, 0.030513, 0.0246713, 0.0156006, 0.0118523, 0.00835203, 0.00508832, 0.00331731, 0.00144335, 0.000569995, 8.04032e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0131399");
-            index_3 ("0.0425024, 0.104476, 0.14085, 0.174411, 0.209315, 0.246708, 0.323357, 0.389889, 0.452803, 0.516268, 0.563498, 0.60492, 0.659216, 0.731611, 0.791902");
-            values ( \
-              "0.0710353, 0.0731857, 0.0717426, 0.0694138, 0.0661929, 0.060001, 0.0409086, 0.026665, 0.0166971, 0.01002, 0.00674534, 0.00471899, 0.00294378, 0.00153481, 0.000937497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0239866");
-            index_3 ("0.0453585, 0.0453785, 0.153869, 0.2191, 0.267784, 0.327864, 0.35328, 0.411265, 0.575632, 0.677258, 0.765764, 0.821949, 0.871405, 0.937346, 0.989957, 1.12555, 1.29274, 1.3232");
-            values ( \
-              "1e-22, 0.0811494, 0.0781156, 0.0756399, 0.0735226, 0.0702136, 0.0682183, 0.0615827, 0.0368963, 0.0241078, 0.0159715, 0.0121117, 0.00944344, 0.0067118, 0.00509593, 0.00246981, 0.000992226, 0.000916165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437871");
-            index_3 ("0.0477567, 0.0477767, 0.219542, 0.335882, 0.437989, 0.475018, 0.543986, 0.627579, 0.715099, 1.02369, 1.173, 1.23623, 1.30628, 1.38987, 1.50156, 1.65853, 1.72672, 1.80615, 1.97333, 2.14052, 2.3077, 2.64208, 2.72567");
-            values ( \
-              "1e-22, 0.0863744, 0.0809991, 0.0785384, 0.0760094, 0.0748746, 0.072739, 0.0685859, 0.0622639, 0.0350119, 0.0241321, 0.0203703, 0.0168668, 0.0131936, 0.00948737, 0.00588259, 0.00476222, 0.00371473, 0.00218097, 0.00126561, 0.000722864, 0.000213239, 0.000262486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0516628, 0.0558419, 0.0580233, 0.0637585, 0.0726941, 0.073311, 0.084649, 0.0924509, 0.102259, 0.110724, 0.124585, 0.132375, 0.148943, 0.158166, 0.174277, 0.191039, 0.203805, 0.218109, 0.241218, 0.271634, 0.315692");
-            values ( \
-              "7.8278e-05, 0.0371968, 0.0388981, 0.0405892, 0.0408888, 0.0407643, 0.0407142, 0.0402603, 0.0388106, 0.0357118, 0.0266116, 0.0224491, 0.0155541, 0.0128019, 0.00889837, 0.00607968, 0.00452244, 0.00323058, 0.0018544, 0.000879197, 0.000432553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0039431");
-            index_3 ("0.054485, 0.0576348, 0.0602614, 0.0652057, 0.0687971, 0.0729816, 0.0776986, 0.0823369, 0.0957358, 0.109118, 0.115202, 0.122916, 0.136822, 0.151099, 0.164314, 0.177145, 0.184881, 0.195195, 0.212972, 0.226039, 0.235115, 0.252243, 0.263221, 0.283352, 0.307452, 0.32087, 0.347706, 0.399206, 0.460068");
-            values ( \
-              "0.041764, 0.0485473, 0.0502154, 0.0517578, 0.051894, 0.0523928, 0.0525063, 0.052929, 0.0532598, 0.0525287, 0.0517285, 0.0504092, 0.0455663, 0.0374487, 0.0306115, 0.025121, 0.0222858, 0.0189393, 0.0140547, 0.0112621, 0.0096726, 0.00707375, 0.00580169, 0.00400658, 0.00255053, 0.00205092, 0.00117136, 0.000458162, 7.82517e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00719805");
-            index_3 ("0.0544759, 0.0600351, 0.0624454, 0.0665411, 0.0844022, 0.0975424, 0.107673, 0.117689, 0.138113, 0.159274, 0.180687, 0.222659, 0.244314, 0.264458, 0.304407, 0.326915, 0.354628, 0.392522, 0.424471, 0.484117, 0.557446, 0.557833");
-            values ( \
-              "0.034853, 0.0584655, 0.0598089, 0.0609031, 0.0631255, 0.0642733, 0.0644112, 0.0642641, 0.0626522, 0.0597553, 0.0542141, 0.0377688, 0.0305344, 0.0246902, 0.0156217, 0.0118757, 0.00837254, 0.00510686, 0.00333776, 0.00146495, 0.000554258, 0.000552161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0131399");
-            index_3 ("0.0570626, 0.0851981, 0.10063, 0.123419, 0.156075, 0.189642, 0.22455, 0.242288, 0.402691, 0.470235, 0.523325, 0.577575, 0.619482, 0.69754, 0.765351, 0.805013");
-            values ( \
-              "0.0694965, 0.0704638, 0.0724121, 0.0730876, 0.0716835, 0.0693445, 0.0661135, 0.0636367, 0.0270855, 0.0163773, 0.0106758, 0.00684653, 0.00471111, 0.0024492, 0.00126937, 0.000972309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0239866");
-            index_3 ("0.0601829, 0.0602029, 0.162402, 0.183237, 0.283252, 0.343332, 0.368546, 0.397362, 0.427599, 0.573713, 0.672661, 0.718083, 0.777181, 0.835497, 0.890517, 0.963876, 1.00888, 1.05975, 1.12757, 1.21116, 1.29475, 1.46194, 1.62912");
-            values ( \
-              "1e-22, 0.0800771, 0.0783239, 0.0776049, 0.0734919, 0.0702134, 0.068236, 0.065324, 0.0614636, 0.039392, 0.0263404, 0.0215046, 0.0162831, 0.0122288, 0.00926955, 0.00632603, 0.00500187, 0.00382502, 0.00265562, 0.00168928, 0.0010671, 0.000425413, 0.000168637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437871");
-            index_3 ("0.0626335, 0.0626535, 0.211732, 0.32579, 0.453633, 0.537226, 0.604539, 0.67236, 0.755953, 0.987006, 1.10442, 1.25316, 1.32271, 1.44277, 1.58961, 1.6732, 1.76929, 1.90679, 2.07398, 2.24116, 2.36942");
-            values ( \
-              "1e-22, 0.0856813, 0.0815697, 0.0791003, 0.0759288, 0.0735167, 0.0707681, 0.0667082, 0.0602158, 0.03943, 0.0299563, 0.0203777, 0.0167514, 0.0118551, 0.00764549, 0.0059825, 0.00440085, 0.00285955, 0.00167866, 0.000976614, 0.000770871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0874214, 0.0909718, 0.0963912, 0.0994569, 0.104226, 0.108213, 0.11505, 0.118853, 0.128516, 0.132628, 0.138404, 0.148355, 0.158342, 0.168976, 0.180048, 0.192063, 0.205635, 0.222922, 0.236225, 0.250944, 0.274367, 0.290202, 0.311314, 0.352206, 0.400035");
-            values ( \
-              "0.0121335, 0.0328852, 0.0374223, 0.0389742, 0.0399636, 0.0402701, 0.0403944, 0.0403924, 0.0399706, 0.0395725, 0.0386211, 0.0349361, 0.0282415, 0.0221341, 0.017418, 0.0134197, 0.00992997, 0.00675031, 0.00496901, 0.00353297, 0.00203275, 0.0013966, 0.000843335, 0.000287461, 8.35791e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0039431");
-            index_3 ("0.0887419, 0.0929716, 0.0975884, 0.100264, 0.104259, 0.104711, 0.111037, 0.119047, 0.127399, 0.131878, 0.140836, 0.145318, 0.152094, 0.15915, 0.173095, 0.191759, 0.201332, 0.213529, 0.220903, 0.230737, 0.248713, 0.262998, 0.273023, 0.288959, 0.303373, 0.322593, 0.342494, 0.35332, 0.374972, 0.418277, 0.476274, 0.547725");
-            values ( \
-              "0.0235549, 0.0436673, 0.0482796, 0.0496767, 0.0510852, 0.0510113, 0.0518602, 0.0524724, 0.0528594, 0.0529254, 0.0526688, 0.0523302, 0.0515603, 0.0502962, 0.0455602, 0.0350493, 0.0302374, 0.0250975, 0.0223897, 0.0191439, 0.014196, 0.0111568, 0.00936787, 0.00705034, 0.00543943, 0.00381817, 0.00264297, 0.0021612, 0.00143562, 0.000608567, 0.000177721, 3.63035e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00719805");
-            index_3 ("0.0925342, 0.123829, 0.133907, 0.141759, 0.154097, 0.174539, 0.193021, 0.20113, 0.218474, 0.259774, 0.280733, 0.300277, 0.326336, 0.341066, 0.364739, 0.385122, 0.402927, 0.42187, 0.448923, 0.484512, 0.531965, 0.606559, 0.690152");
-            values ( \
-              "0.0618008, 0.0630481, 0.0639535, 0.0642711, 0.0641037, 0.062554, 0.0601965, 0.0586289, 0.0536147, 0.0375456, 0.0305715, 0.0248908, 0.0185414, 0.0156204, 0.011713, 0.00906792, 0.00722821, 0.00565706, 0.00395563, 0.0024632, 0.00128137, 0.000422932, 0.000120836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0131399");
-            index_3 ("0.0924891, 0.102842, 0.108689, 0.127495, 0.136624, 0.14681, 0.158404, 0.170908, 0.181792, 0.226422, 0.249268, 0.26133, 0.285455, 0.298736, 0.325299, 0.375364, 0.416595, 0.441792, 0.476113, 0.504823, 0.527023, 0.568231, 0.586364, 0.61542, 0.656853, 0.711198, 0.783657, 0.86725, 0.950844, 1.03444");
-            values ( \
-              "0.0643766, 0.0656937, 0.0675339, 0.0709673, 0.0720822, 0.0728198, 0.0729947, 0.0727248, 0.0722414, 0.0693174, 0.0673687, 0.0660882, 0.0626001, 0.0598856, 0.0535352, 0.0409167, 0.0316907, 0.026677, 0.0207718, 0.0166951, 0.0140073, 0.010016, 0.00862011, 0.00674351, 0.00472528, 0.00294832, 0.00152888, 0.000710933, 0.000323852, 0.000152451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0239866");
-            index_3 ("0.0976138, 0.0976338, 0.190646, 0.234043, 0.318996, 0.359335, 0.380419, 0.422587, 0.477568, 0.594015, 0.683881, 0.740571, 0.785607, 0.820297, 0.891189, 0.922513, 0.969577, 1.037, 1.12417, 1.20776, 1.29135, 1.45854, 1.62572");
-            values ( \
-              "1e-22, 0.0805622, 0.0785844, 0.0771023, 0.0735669, 0.0714871, 0.0701859, 0.066651, 0.0596454, 0.0418001, 0.0294789, 0.0229919, 0.018688, 0.015832, 0.0111537, 0.00952436, 0.00747621, 0.00525239, 0.00330996, 0.00210238, 0.00133603, 0.000535507, 0.000214634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437871");
-            index_3 ("0.103209, 0.103229, 0.282927, 0.388752, 0.472345, 0.527982, 0.596887, 0.68048, 0.709729, 0.767999, 1.02459, 1.14231, 1.2259, 1.28913, 1.35917, 1.44277, 1.48, 1.55446, 1.62783, 1.71142, 1.80608, 1.85903, 1.94263, 2.02622, 2.10981, 2.277, 2.52778, 2.77856");
-            values ( \
-              "1e-22, 0.0885923, 0.0808353, 0.0784891, 0.0764397, 0.0749233, 0.0726886, 0.0686361, 0.0667122, 0.0623144, 0.039421, 0.0299315, 0.0241835, 0.0204221, 0.0168152, 0.0132456, 0.0118842, 0.00953968, 0.00765205, 0.00593503, 0.0044397, 0.00376813, 0.00290563, 0.00223445, 0.00172004, 0.0010153, 0.000456272, 0.000208192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.151668, 0.174495, 0.178233, 0.185262, 0.191162, 0.206659, 0.21033, 0.212345, 0.216944, 0.222512, 0.228927, 0.235463, 0.246378, 0.256848, 0.265369, 0.275257, 0.286557, 0.297313, 0.311105, 0.323233, 0.335046, 0.350798, 0.365586, 0.389963, 0.422467, 0.447356");
-            values ( \
-              "0.000115093, 0.0252885, 0.0276346, 0.0306417, 0.032144, 0.0342162, 0.0344869, 0.0361482, 0.0368943, 0.0374837, 0.0365698, 0.0338815, 0.0270326, 0.0215109, 0.0178604, 0.0144844, 0.0112969, 0.00892204, 0.0065351, 0.00495142, 0.00376801, 0.00259299, 0.00183023, 0.00102204, 0.000457622, 0.000279187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0039431");
-            index_3 ("0.160182, 0.16785, 0.17504, 0.183802, 0.19206, 0.210292, 0.211632, 0.220911, 0.226326, 0.231512, 0.241884, 0.245505, 0.252575, 0.260396, 0.275701, 0.287284, 0.296927, 0.313537, 0.331961, 0.339863, 0.353885, 0.36991, 0.384139, 0.404984, 0.422941, 0.444544, 0.473349, 0.525956, 0.58754");
-            values ( \
-              "0.0104855, 0.0265772, 0.0326019, 0.0381326, 0.0411305, 0.0454194, 0.0474922, 0.0504124, 0.0511723, 0.0513235, 0.0505352, 0.0499036, 0.0480627, 0.0447813, 0.0362476, 0.0305267, 0.0263066, 0.0202801, 0.0150054, 0.0131443, 0.0103448, 0.00777726, 0.00604352, 0.00413449, 0.00295289, 0.00197354, 0.00114747, 0.000381132, 0.000109716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00719805");
-            index_3 ("0.160056, 0.172075, 0.182915, 0.190023, 0.210214, 0.21258, 0.219528, 0.225956, 0.233249, 0.240249, 0.250491, 0.260826, 0.277128, 0.291878, 0.304805, 0.328134, 0.350775, 0.370794, 0.393892, 0.417075, 0.429678, 0.452804, 0.472168, 0.488067, 0.507451, 0.53484, 0.552725, 0.586238, 0.630922, 0.710488, 0.794081");
-            values ( \
-              "0.00791953, 0.0352694, 0.0439706, 0.0477716, 0.0543885, 0.0571583, 0.0604785, 0.0622814, 0.0631664, 0.0634024, 0.0631092, 0.0623645, 0.0604522, 0.0575656, 0.0536258, 0.0443338, 0.035971, 0.0294547, 0.0229957, 0.0176353, 0.0152086, 0.0114706, 0.00900013, 0.00735206, 0.00571388, 0.00398533, 0.00314358, 0.00200832, 0.00108791, 0.000326035, 9.42967e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0131399");
-            index_3 ("0.16005, 0.178239, 0.183378, 0.192474, 0.21023, 0.212852, 0.22226, 0.22954, 0.238293, 0.246602, 0.259802, 0.274658, 0.312897, 0.344802, 0.365925, 0.38525, 0.481253, 0.526065, 0.562109, 0.593476, 0.616657, 0.654818, 0.700888, 0.742779, 0.820733, 0.888446, 0.972039, 0.973057");
-            values ( \
-              "0.00741015, 0.0446749, 0.0488827, 0.0540162, 0.0607725, 0.0642019, 0.0691126, 0.071093, 0.0720915, 0.0724752, 0.0723812, 0.0718286, 0.0693173, 0.0664518, 0.0635903, 0.0599253, 0.0364556, 0.0271084, 0.0208606, 0.0164256, 0.0136667, 0.0100156, 0.00680729, 0.00475131, 0.0024117, 0.00131215, 0.000604396, 0.000601488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0239866");
-            index_3 ("0.171169, 0.192566, 0.198026, 0.226004, 0.235344, 0.243226, 0.253735, 0.268988, 0.293072, 0.327049, 0.434082, 0.467049, 0.527755, 0.575952, 0.697303, 0.765725, 0.825721, 0.877299, 0.915385, 0.977948, 1.0534, 1.12205, 1.19417, 1.24952, 1.33311, 1.41671, 1.58389, 1.75108");
-            values ( \
-              "0.0539784, 0.0574508, 0.0595364, 0.0753921, 0.0774138, 0.0781251, 0.0785454, 0.0786194, 0.0780399, 0.0768298, 0.0721384, 0.0701918, 0.0646275, 0.0579077, 0.0394246, 0.0300928, 0.0231425, 0.0182482, 0.0152011, 0.0111547, 0.00759146, 0.00529525, 0.00362111, 0.00269544, 0.00170995, 0.00108495, 0.000434177, 0.000173694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437871");
-            index_3 ("0.17938, 0.217133, 0.226658, 0.232185, 0.242579, 0.254446, 0.267465, 0.301004, 0.366794, 0.47594, 0.578039, 0.684033, 0.767626, 0.796871, 0.855142, 0.924454, 1.11173, 1.22945, 1.31304, 1.37627, 1.44631, 1.5299, 1.56713, 1.6416, 1.71496, 1.79856, 1.89321, 1.94617, 2.02976, 2.11335, 2.19695, 2.36413, 2.61491, 2.86569");
-            values ( \
-              "0.0730644, 0.0739801, 0.07873, 0.079967, 0.0815597, 0.0821905, 0.0825457, 0.082256, 0.0809342, 0.078508, 0.0759772, 0.0727022, 0.0686221, 0.0667258, 0.0623015, 0.0563197, 0.039428, 0.0299375, 0.024178, 0.0204187, 0.016819, 0.0132427, 0.0118869, 0.00953752, 0.00765426, 0.00593339, 0.00443962, 0.00376888, 0.00290497, 0.00223542, 0.00171906, 0.00101406, 0.000457882, 0.00020629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.305221, 0.331309, 0.343212, 0.369271, 0.388577, 0.396988, 0.404086, 0.414602, 0.418621, 0.425771, 0.433159, 0.447669, 0.462221, 0.472181, 0.488329, 0.505755, 0.507822, 0.526352, 0.541842, 0.549756, 0.565586, 0.577127, 0.59493, 0.618669, 0.661993, 0.713203");
-            values ( \
-              "0.000503627, 0.0109332, 0.014148, 0.0200555, 0.0238677, 0.0252197, 0.0260989, 0.0271184, 0.0272834, 0.0270396, 0.0256451, 0.0211472, 0.0171686, 0.0146755, 0.0111406, 0.00798119, 0.00838064, 0.00584907, 0.00413166, 0.00343842, 0.00237781, 0.0018144, 0.00119048, 0.000668441, 0.000213979, 5.23666e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0039431");
-            index_3 ("0.305245, 0.334903, 0.349094, 0.355517, 0.369851, 0.387115, 0.396513, 0.414278, 0.421476, 0.428172, 0.441564, 0.446153, 0.455001, 0.464159, 0.498855, 0.505712, 0.511461, 0.527374, 0.543308, 0.554075, 0.559629, 0.570736, 0.585341, 0.598302, 0.611737, 0.630995, 0.639436, 0.650759, 0.665856, 0.69605, 0.75246, 0.819135");
-            values ( \
-              "0.000423394, 0.0150003, 0.0194763, 0.0212376, 0.0255224, 0.0301305, 0.0324146, 0.0360253, 0.0373931, 0.0385075, 0.0400572, 0.0402006, 0.0397971, 0.0379365, 0.0262335, 0.0240571, 0.0234426, 0.0187426, 0.0144596, 0.0120879, 0.0109951, 0.00905981, 0.00698978, 0.00553739, 0.00433171, 0.00303152, 0.00259457, 0.00210292, 0.00158341, 0.000887619, 0.000271889, 6.3495e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00719805");
-            index_3 ("0.318775, 0.335675, 0.384024, 0.423635, 0.439667, 0.453302, 0.464806, 0.483228, 0.488893, 0.500222, 0.505539, 0.509335, 0.513148, 0.51838, 0.528357, 0.559623, 0.573191, 0.60659, 0.62695, 0.642658, 0.658481, 0.686042, 0.698799, 0.724313, 0.746045, 0.775461, 0.814683, 0.88822, 0.971813");
-            values ( \
-              "0.0128321, 0.0178748, 0.0345354, 0.0457063, 0.0494124, 0.051984, 0.0532687, 0.0543861, 0.0542015, 0.0534418, 0.0525143, 0.0528598, 0.05214, 0.0509067, 0.0475112, 0.0357885, 0.0313715, 0.0219647, 0.0173959, 0.014441, 0.011894, 0.00841251, 0.00715394, 0.00512003, 0.00385404, 0.00260941, 0.0015207, 0.000521565, 0.000140158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0131399");
-            index_3 ("0.318831, 0.346268, 0.388971, 0.432073, 0.447837, 0.465044, 0.486202, 0.505635, 0.509946, 0.517021, 0.521678, 0.530993, 0.556681, 0.582814, 0.613493, 0.682596, 0.740726, 0.797199, 0.83, 0.862574, 0.903888, 0.9492, 1.00047, 1.04106, 1.12224, 1.17961");
-            values ( \
-              "0.010516, 0.0239853, 0.0397501, 0.0537602, 0.0581086, 0.0616433, 0.0646994, 0.0663931, 0.0677407, 0.0685451, 0.0685697, 0.0683087, 0.0660665, 0.062217, 0.0553368, 0.0380555, 0.0260162, 0.0170826, 0.0131741, 0.0101053, 0.00715466, 0.00483937, 0.00310704, 0.00217974, 0.00103149, 0.00065363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0239866");
-            index_3 ("0.336068, 0.372179, 0.39554, 0.437161, 0.449602, 0.466189, 0.485238, 0.505672, 0.510346, 0.516814, 0.522303, 0.533279, 0.558283, 0.616155, 0.676236, 0.702179, 0.731827, 0.757393, 0.78316, 0.906418, 0.968687, 1.03326, 1.06857, 1.09213, 1.12816, 1.18536, 1.20605, 1.24744, 1.32534, 1.36113, 1.41561, 1.48824, 1.57184, 1.65543, 1.73902, 1.90621");
-            values ( \
-              "0.0321967, 0.0354821, 0.0446601, 0.0592736, 0.0630648, 0.0670883, 0.0706832, 0.0735013, 0.0750893, 0.0760974, 0.0765804, 0.0767055, 0.0759331, 0.073489, 0.0702088, 0.0681752, 0.0651419, 0.0618882, 0.058184, 0.0394169, 0.0308678, 0.0232944, 0.0198328, 0.0177529, 0.0149266, 0.0112464, 0.0101333, 0.00820443, 0.00545109, 0.0045179, 0.00338615, 0.00229124, 0.00145221, 0.00092066, 0.000580625, 0.000231001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437871");
-            index_3 ("0.350505, 0.410094, 0.444424, 0.460475, 0.487563, 0.505439, 0.514417, 0.525282, 0.535999, 0.578592, 0.630313, 0.768663, 0.824201, 0.893172, 0.976765, 1.00601, 1.06428, 1.1336, 1.32087, 1.43859, 1.52218, 1.58541, 1.65545, 1.73904, 1.77627, 1.85072, 1.92409, 2.00769, 2.06263, 2.10233, 2.15527, 2.23886, 2.32245, 2.40605, 2.57323, 2.74042, 2.9076, 3.07479");
-            values ( \
-              "0.0450661, 0.0514917, 0.0642138, 0.0688874, 0.0747556, 0.0776436, 0.0799631, 0.0812726, 0.081554, 0.0808397, 0.0797359, 0.0764576, 0.0749443, 0.0726697, 0.0686552, 0.0666938, 0.0623329, 0.0562896, 0.0394061, 0.0299172, 0.0241984, 0.0204361, 0.0168023, 0.0132591, 0.0118718, 0.00955299, 0.00764006, 0.00594781, 0.00500257, 0.00442927, 0.0037797, 0.00289535, 0.00224551, 0.00170978, 0.00100515, 0.000588539, 0.000342996, 0.000198574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.643494, 0.67765, 0.693525, 0.706, 0.727439, 0.768157, 0.795645, 0.830808, 0.842806, 0.853448, 0.869464, 0.900525, 0.921297, 0.961213, 0.987548, 1.01523, 1.04141, 1.06186, 1.08753, 1.10811, 1.14925, 1.21577, 1.22416, 1.23817, 1.26794, 1.30297");
-            values ( \
-              "0.00111496, 0.00344347, 0.00412251, 0.00495044, 0.00680363, 0.0110471, 0.0136285, 0.0165002, 0.0173069, 0.0176949, 0.0173829, 0.0146092, 0.0124763, 0.00778266, 0.00526783, 0.00329913, 0.0020501, 0.00137675, 0.00082893, 0.000547494, 0.000220177, 4.50247e-05, 0.000367874, 0.000347986, 0.000146732, 9.63815e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0039431");
-            index_3 ("0.6439, 0.685882, 0.718516, 0.784362, 0.810619, 0.836757, 0.881737, 0.894167, 0.915184, 0.929507, 0.947888, 1.01153, 1.03367, 1.05643, 1.07552, 1.09354, 1.11758, 1.1371, 1.16905, 1.21612, 1.22508, 1.23809, 1.26847, 1.28001");
-            values ( \
-              "0.00146992, 0.00529362, 0.00780334, 0.0159943, 0.0190115, 0.0219205, 0.0263997, 0.027443, 0.0276437, 0.0261178, 0.0234063, 0.0131878, 0.010073, 0.00740942, 0.00562327, 0.00428114, 0.0029124, 0.00212116, 0.00123869, 0.000533252, 0.000922374, 0.000862325, 0.000486369, 0.000408304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719805");
-            index_3 ("0.669899, 0.711158, 0.727364, 0.737786, 0.788323, 0.838894, 0.872906, 0.920599, 0.945461, 0.953789, 0.969915, 0.986015, 1.02138, 1.08097, 1.12395, 1.14172, 1.1596, 1.18352, 1.20565, 1.21593, 1.22714, 1.26583, 1.29646, 1.3438, 1.42131, 1.5049");
-            values ( \
-              "0.00896566, 0.00897298, 0.0107169, 0.012047, 0.0193471, 0.0262072, 0.0306033, 0.0374782, 0.0399784, 0.0404106, 0.0405588, 0.0393583, 0.0339488, 0.0227183, 0.0153302, 0.012773, 0.0105396, 0.0080007, 0.00614958, 0.00544926, 0.00538141, 0.00337652, 0.00224357, 0.001169, 0.000364663, 0.000105232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131399");
-            index_3 ("0.669867, 0.716308, 0.742269, 0.863263, 0.918624, 0.949942, 0.975826, 0.997928, 1.04284, 1.08754, 1.13635, 1.21593, 1.22809, 1.29274, 1.33996, 1.36751, 1.40008, 1.44351, 1.47401, 1.52087, 1.58335, 1.66694, 1.68157");
-            values ( \
-              "0.00923536, 0.0111467, 0.0143826, 0.0325567, 0.0420236, 0.0466634, 0.0496779, 0.0515999, 0.0538527, 0.0520051, 0.044938, 0.0304156, 0.0289792, 0.0183142, 0.0126116, 0.010074, 0.00768005, 0.00529127, 0.00407104, 0.00270751, 0.00154984, 0.000714807, 0.00066539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239866");
-            index_3 ("0.700017, 0.768539, 0.875352, 0.937896, 0.970396, 1.01365, 1.04535, 1.11371, 1.17906, 1.19745, 1.21583, 1.22983, 1.24468, 1.26115, 1.28728, 1.3794, 1.43707, 1.47192, 1.53706, 1.59875, 1.64832, 1.68264, 1.70655, 1.73843, 1.80218, 1.86392, 1.89524, 1.95789, 2.04148, 2.12508, 2.20867, 2.29226, 2.45945");
-            values ( \
-              "0.0190171, 0.0195079, 0.0366571, 0.0484396, 0.0533409, 0.0584426, 0.0612706, 0.0653774, 0.0666215, 0.0663138, 0.0656171, 0.0653332, 0.0639944, 0.06198, 0.05822, 0.0440357, 0.0356896, 0.0310304, 0.0233693, 0.0175729, 0.0138211, 0.0116567, 0.0103379, 0.00879662, 0.00631208, 0.00456565, 0.003875, 0.00276609, 0.00176189, 0.00111125, 0.000707798, 0.000442256, 0.000174428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437871");
-            index_3 ("0.73011, 0.836078, 0.951207, 0.982087, 1.02231, 1.07996, 1.14023, 1.18957, 1.2345, 1.25763, 1.32797, 1.39881, 1.4824, 1.56997, 1.82656, 1.94427, 2.02787, 2.09109, 2.16113, 2.28195, 2.3564, 2.42977, 2.51336, 2.60801, 2.74453, 2.91172, 3.07891, 3.32968, 3.58046");
-            values ( \
-              "0.0267985, 0.0312971, 0.0526592, 0.0574367, 0.062549, 0.0682982, 0.0725138, 0.0750571, 0.0768564, 0.0768902, 0.0749938, 0.072679, 0.0686503, 0.0623221, 0.0394185, 0.0299295, 0.0241865, 0.0204246, 0.0168141, 0.0118833, 0.00954199, 0.00765141, 0.00593695, 0.00443941, 0.00290516, 0.00171934, 0.00101448, 0.000457364, 0.000207271" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00380844, 0.00382061, 0.00383188, 0.00384067, 0.00384669, 0.00385045", \
-            "0.00445385, 0.00446811, 0.0044828, 0.00449536, 0.00450451, 0.00451048", \
-            "0.00487147, 0.00488065, 0.00489227, 0.0049039, 0.0049134, 0.00492006", \
-            "0.00520317, 0.0051994, 0.00519325, 0.00519521, 0.00519867, 0.00520208", \
-            "0.0054774, 0.0054616, 0.00544504, 0.00543057, 0.00542027, 0.00541499", \
-            "0.00574994, 0.00573149, 0.00570889, 0.00568313, 0.00565574, 0.00563441" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00488859, 0.00489856, 0.00490965, 0.00491993, 0.00492764, 0.00493273", \
-            "0.00509751, 0.00508401, 0.00507345, 0.00506674, 0.00506301, 0.00506102", \
-            "0.00531764, 0.00527326, 0.00522624, 0.00518491, 0.00515639, 0.00513716", \
-            "0.00604211, 0.00595673, 0.00586596, 0.00577311, 0.00569655, 0.00564444", \
-            "0.00662675, 0.00640879, 0.00626269, 0.00613174, 0.00601534, 0.00592716", \
-            "0.00642034, 0.00644715, 0.00642853, 0.00615073, 0.00593341, 0.00577413" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0178023, 0.0200474, 0.0217024, 0.0254471, 0.0268395, 0.0331649, 0.0346759, 0.0374872, 0.040388, 0.0479252, 0.0494296, 0.0514356, 0.0554476, 0.0603459, 0.0650369, 0.071343, 0.072955, 0.0933348, 0.098143, 0.106056, 0.110928, 0.117119, 0.125373, 0.129532, 0.134793, 0.141807, 0.155835, 0.178901, 0.205237");
-            values ( \
-              "-0.0159124, -0.0535028, -0.053078, -0.0529255, -0.0530623, -0.05447, -0.0544862, -0.0539971, -0.0520026, -0.0452957, -0.0447148, -0.0445052, -0.0453434, -0.0487018, -0.0508327, -0.0482383, -0.046861, -0.0225643, -0.0178927, -0.0120004, -0.00930193, -0.00668868, -0.00435996, -0.00341186, -0.00258029, -0.00187457, -0.000847408, -0.000351134, -9.85783e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0039431");
-            index_3 ("0.0189599, 0.0209401, 0.0255235, 0.0331523, 0.0376987, 0.0422999, 0.0505797, 0.0583312, 0.0638969, 0.0753731, 0.0801109, 0.0894064, 0.11138, 0.128, 0.143263, 0.16635, 0.180038, 0.19829, 0.212346");
-            values ( \
-              "-0.0681132, -0.0753629, -0.0754523, -0.0772711, -0.0770568, -0.0746018, -0.0658626, -0.0610997, -0.0611799, -0.0694423, -0.0706581, -0.0658101, -0.0380221, -0.0213861, -0.011899, -0.00469342, -0.0026985, -0.00138432, -0.000895718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00719805");
-            index_3 ("0.020126, 0.0387543, 0.0442581, 0.0582364, 0.0634558, 0.0690285, 0.0753606, 0.0837267, 0.0916101, 0.106569, 0.122535, 0.155262, 0.175452, 0.195578, 0.210935, 0.224992, 0.241718, 0.254934, 0.281366, 0.306663");
-            values ( \
-              "-0.102174, -0.106079, -0.103403, -0.0841818, -0.0801613, -0.0777235, -0.0772226, -0.0790097, -0.0838284, -0.0896028, -0.0794793, -0.0444749, -0.0277947, -0.0166303, -0.01105, -0.00752758, -0.00477967, -0.00333135, -0.0015928, -0.000935247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0131399");
-            index_3 ("0.0201475, 0.0348329, 0.0441654, 0.0490989, 0.0691734, 0.081416, 0.104753, 0.117603, 0.135355, 0.144055, 0.153991, 0.173865, 0.229508, 0.257237, 0.272163, 0.295287, 0.326075, 0.351539, 0.400593, 0.456267, 0.459664");
-            values ( \
-              "-0.116144, -0.128938, -0.132778, -0.127831, -0.0980639, -0.0940504, -0.0940112, -0.0964519, -0.102129, -0.103955, -0.103096, -0.0947811, -0.0523792, -0.0351596, -0.0279649, -0.0192872, -0.0115181, -0.0074499, -0.00314008, -0.00119373, -0.00114638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0239866");
-            index_3 ("0.0216254, 0.0459084, 0.0497772, 0.0656831, 0.071915, 0.0794944, 0.083816, 0.0924591, 0.104532, 0.142799, 0.162572, 0.201418, 0.239612, 0.277772, 0.280196, 0.285044, 0.369983, 0.404287, 0.423479, 0.443729, 0.469844, 0.491667, 0.517205, 0.553497, 0.59284, 0.623279, 0.684157, 0.74537, 0.806584, 0.92901");
-            values ( \
-              "-0.147567, -0.157935, -0.154248, -0.124749, -0.117118, -0.112603, -0.111492, -0.11036, -0.109639, -0.109305, -0.109747, -0.112469, -0.113887, -0.0997777, -0.100039, -0.0968924, -0.0548883, -0.0411545, -0.0348222, -0.0291547, -0.0225537, -0.0182501, -0.0143954, -0.00978348, -0.00653969, -0.00497193, -0.00245097, -0.00145144, -0.000606701, -0.000107352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437871");
-            index_3 ("0.0199099, 0.045199, 0.0702639, 0.0868412, 0.112528, 0.26355, 0.329107, 0.39032, 0.441093, 0.501601, 0.564511, 0.640806, 0.683907, 0.73479, 0.814372, 0.852459, 0.94788, 1.01157, 1.07278, 1.13399, 1.25642, 1.37885, 1.56249");
-            values ( \
-              "-0.132364, -0.177739, -0.134805, -0.124485, -0.122589, -0.120331, -0.121067, -0.11956, -0.110486, -0.0934947, -0.0738, -0.0527126, -0.0427561, -0.0329294, -0.0213979, -0.017293, -0.00996676, -0.00685112, -0.00492841, -0.00326991, -0.00153223, -0.000696816, -0.000361313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0281998, 0.0363801, 0.0371052, 0.0404701, 0.0417079, 0.0441835, 0.0469925, 0.0541972, 0.0622144, 0.0645441, 0.0692034, 0.0708273, 0.0787867, 0.0849607, 0.0867047, 0.100648, 0.112045, 0.124741, 0.126363, 0.129607, 0.13452, 0.141756, 0.144438, 0.145309, 0.147052, 0.150538, 0.157509, 0.171452, 0.194908, 0.223679, 0.249146");
-            values ( \
-              "-0.000933411, -0.0465142, -0.0581762, -0.0542402, -0.0545821, -0.0541236, -0.0551419, -0.0525913, -0.0450203, -0.0448915, -0.0451095, -0.0467533, -0.051037, -0.0480996, -0.047066, -0.0295072, -0.0175386, -0.00904753, -0.00882968, -0.00693667, -0.00581495, -0.00348862, -0.00353328, -0.00285106, -0.00311354, -0.00211039, -0.00192667, -0.000558761, -0.000546, -1e-22, -0.000214227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0039431");
-            index_3 ("0.02824, 0.0387833, 0.0405166, 0.0485734, 0.0562071, 0.0645441, 0.0722295, 0.0777405, 0.0892684, 0.0940036, 0.103106, 0.124764, 0.142839, 0.159834, 0.179453, 0.196128, 0.213914, 0.223211");
-            values ( \
-              "-0.0014109, -0.0790844, -0.0772603, -0.0778652, -0.0748125, -0.0658451, -0.0610936, -0.0612467, -0.0695038, -0.0706002, -0.066045, -0.0386802, -0.0206914, -0.0107679, -0.00489106, -0.00251983, -0.00126028, -0.000985978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00719805");
-            index_3 ("0.0282336, 0.0399265, 0.041443, 0.0431763, 0.046567, 0.0522173, 0.0574891, 0.0712392, 0.0763483, 0.0817856, 0.0884807, 0.0943083, 0.100159, 0.105582, 0.116427, 0.120451, 0.127739, 0.135648, 0.16973, 0.182483, 0.195549, 0.206174, 0.216078, 0.229284, 0.245815, 0.256728, 0.278553, 0.316015, 0.361703, 0.480948");
-            values ( \
-              "-0.00633492, -0.100807, -0.100367, -0.100633, -0.101922, -0.106195, -0.104586, -0.0853716, -0.0807401, -0.0781726, -0.0770639, -0.078097, -0.0803435, -0.0839244, -0.0892111, -0.0886534, -0.0863585, -0.0798062, -0.0438752, -0.0327709, -0.0237725, -0.018151, -0.0140007, -0.00974622, -0.00620657, -0.00466548, -0.00249157, -0.000893842, -0.000227215, -1.43056e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0131399");
-            index_3 ("0.0332996, 0.0380429, 0.0412526, 0.0465434, 0.0558237, 0.0599641, 0.0790125, 0.0855714, 0.0992862, 0.107205, 0.120554, 0.140429, 0.153802, 0.167917, 0.192068, 0.245541, 0.268106, 0.304884, 0.333362, 0.355215, 0.379718, 0.437035, 0.471062");
-            values ( \
-              "-0.0782253, -0.121418, -0.120492, -0.126604, -0.132802, -0.131508, -0.103206, -0.0969538, -0.0940234, -0.093696, -0.0945268, -0.0985371, -0.10313, -0.103423, -0.0919371, -0.0508009, -0.0368532, -0.0207416, -0.0129916, -0.00891391, -0.00586373, -0.00213204, -0.00134412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0239866");
-            index_3 ("0.0364989, 0.0568624, 0.0604618, 0.0639851, 0.0806074, 0.0867703, 0.0944729, 0.0980471, 0.105195, 0.116773, 0.153697, 0.176783, 0.215651, 0.25385, 0.292015, 0.29443, 0.322051, 0.384253, 0.418519, 0.437673, 0.457934, 0.484062, 0.505912, 0.531443, 0.567729, 0.591839, 0.637467, 0.698304, 0.759517, 0.82073, 0.943156");
-            values ( \
-              "-0.156615, -0.157748, -0.158214, -0.154295, -0.123636, -0.116396, -0.112371, -0.11148, -0.110465, -0.109747, -0.109382, -0.109654, -0.112551, -0.113775, -0.0999067, -0.0999263, -0.0856197, -0.0549892, -0.0412721, -0.0349507, -0.0290458, -0.0226724, -0.0183639, -0.0142781, -0.00989968, -0.00775332, -0.00485825, -0.00256904, -0.00133682, -0.000723074, -0.000223237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437871");
-            index_3 ("0.034134, 0.0391875, 0.0597947, 0.0848744, 0.101108, 0.126289, 0.265395, 0.343726, 0.404939, 0.455712, 0.657043, 0.742107, 0.818366, 0.941558, 1.10317, 1.20458");
-            values ( \
-              "-0.0993201, -0.145908, -0.178092, -0.134694, -0.124608, -0.122672, -0.120497, -0.121104, -0.119518, -0.110433, -0.0523632, -0.0342744, -0.0227161, -0.0113909, -0.00436651, -0.0027925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0534349, 0.0602013, 0.0810363, 0.0875373, 0.0888129, 0.0913641, 0.0936793, 0.0976343, 0.0999876, 0.102479, 0.104949, 0.109151, 0.112884, 0.11878, 0.120809, 0.124867, 0.129581, 0.130266, 0.131635, 0.134374, 0.138356, 0.143487, 0.144786, 0.147384, 0.151517, 0.157112, 0.15898, 0.162716, 0.170188, 0.17614, 0.179489, 0.186186, 0.199581, 0.211316");
-            values ( \
-              "-0.00191559, -0.0211089, -0.0462921, -0.0518708, -0.0585149, -0.0496516, -0.0511326, -0.0441109, -0.04731, -0.0437544, -0.0488125, -0.0474591, -0.0525854, -0.0465709, -0.0486554, -0.0400561, -0.0382542, -0.0332852, -0.035709, -0.0281581, -0.02766, -0.018167, -0.0211224, -0.0147077, -0.0157337, -0.00814486, -0.0113496, -0.00554028, -0.00716796, -0.00163813, -0.00517933, -0.000124119, -0.00316126, -0.0012126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0039431");
-            index_3 ("0.0533546, 0.0626793, 0.0804314, 0.0874396, 0.0885972, 0.0902691, 0.0978959, 0.101815, 0.106345, 0.11158, 0.117176, 0.121027, 0.124676, 0.12805, 0.134799, 0.138457, 0.145773, 0.159974, 0.168031, 0.175251, 0.180314, 0.186939, 0.19266, 0.199496, 0.209428, 0.21455, 0.222365, 0.232786, 0.253627, 0.283965, 0.321847, 0.49424");
-            values ( \
-              "-0.00136802, -0.0341482, -0.0641373, -0.0734864, -0.0778798, -0.0779579, -0.0678768, -0.0641564, -0.061549, -0.0615461, -0.0647392, -0.0679283, -0.070085, -0.0706734, -0.0679647, -0.0643479, -0.0554643, -0.0372633, -0.0284536, -0.021976, -0.0181962, -0.0141025, -0.0112791, -0.008602, -0.00575725, -0.00469396, -0.00344131, -0.00228305, -0.000990013, -0.000325409, -0.000117796, -2.3038e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00719805");
-            index_3 ("0.0534736, 0.0688544, 0.0875336, 0.0878358, 0.0889158, 0.0911436, 0.0935429, 0.0963801, 0.106192, 0.10972, 0.114424, 0.121024, 0.123648, 0.128895, 0.138774, 0.14233, 0.147072, 0.154785, 0.168407, 0.17341, 0.200961, 0.213411, 0.224748, 0.231932, 0.241321, 0.248927, 0.258876, 0.273066, 0.280074, 0.290384, 0.304131, 0.331625, 0.374011, 0.426123, 0.548549");
-            values ( \
-              "-0.00535857, -0.0576416, -0.100205, -0.104878, -0.108617, -0.107422, -0.10282, -0.0995656, -0.0855821, -0.0821008, -0.0791093, -0.0773482, -0.0773445, -0.07815, -0.0828396, -0.0853684, -0.0878764, -0.0889059, -0.0816183, -0.0767452, -0.0469743, -0.0355605, -0.0270637, -0.02264, -0.0177374, -0.0145251, -0.0111654, -0.00754894, -0.00623865, -0.00470972, -0.00326773, -0.00148644, -0.000476321, -0.000117874, -1.8451e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0131399");
-            index_3 ("0.0536643, 0.0879859, 0.0885551, 0.0896934, 0.0924605, 0.111447, 0.122978, 0.12996, 0.139967, 0.151848, 0.155132, 0.161701, 0.174838, 0.179492, 0.1888, 0.202506, 0.225399, 0.226654, 0.229163, 0.234181, 0.242259, 0.256017, 0.279912, 0.295607, 0.300388, 0.301165, 0.302717, 0.305822, 0.31125, 0.321995, 0.339008, 0.343841, 0.353507, 0.368497, 0.390122, 0.397695, 0.399981, 0.404552, 0.413695, 0.430693, 0.459094, 0.501379, 0.509495");
-            values ( \
-              "-0.00983576, -0.13104, -0.130089, -0.13613, -0.1362, -0.104762, -0.0943115, -0.0966198, -0.0915609, -0.0963603, -0.092285, -0.0977655, -0.0965524, -0.103065, -0.101085, -0.105625, -0.0903467, -0.0942178, -0.0877252, -0.0886365, -0.0775978, -0.0712549, -0.0486614, -0.0432521, -0.035824, -0.0400325, -0.0345661, -0.0374909, -0.0301354, -0.0297485, -0.0186293, -0.0216229, -0.0142198, -0.015128, -0.00660727, -0.0100892, -0.0052455, -0.00921573, -0.00368218, -0.00669842, -0.000444018, -0.00354249, -0.00305381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0239866");
-            index_3 ("0.0624024, 0.0725188, 0.0875475, 0.0892871, 0.0916098, 0.0936081, 0.0960503, 0.0985389, 0.113243, 0.119164, 0.125371, 0.142658, 0.154508, 0.171763, 0.211249, 0.250094, 0.288288, 0.326448, 0.328861, 0.378234, 0.418693, 0.452963, 0.472127, 0.492386, 0.518509, 0.540351, 0.565884, 0.60217, 0.62628, 0.671902, 0.732732, 0.793945, 0.855158, 0.977584");
-            values ( \
-              "-0.0816439, -0.0892327, -0.14316, -0.153748, -0.158925, -0.158793, -0.157921, -0.154808, -0.126629, -0.119195, -0.113581, -0.109964, -0.10985, -0.109271, -0.109528, -0.112689, -0.113666, -0.0999994, -0.0998502, -0.0747481, -0.0550451, -0.0413208, -0.0349618, -0.0290299, -0.0226853, -0.0183756, -0.0142685, -0.00990989, -0.007749, -0.00485529, -0.00257281, -0.00133401, -0.000726135, -0.000225896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437871");
-            index_3 ("0.0624964, 0.0789303, 0.0889527, 0.0943431, 0.119335, 0.135144, 0.159543, 0.305338, 0.352713, 0.378151, 0.429028, 0.443353, 0.472001, 0.513782, 0.647186, 0.727027, 0.800319, 0.857418, 0.926344, 0.987557, 1.05896, 1.11383, 1.17505, 1.29747, 1.33883");
-            values ( \
-              "-0.0738406, -0.118193, -0.17045, -0.178377, -0.135043, -0.124775, -0.122715, -0.120376, -0.120528, -0.121229, -0.120311, -0.118373, -0.114276, -0.103981, -0.0641778, -0.0441552, -0.0303103, -0.0222414, -0.0151151, -0.0106293, -0.00701398, -0.00508516, -0.0035253, -0.00169795, -0.00150837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.104749, 0.123341, 0.150935, 0.162416, 0.175473, 0.181101, 0.193742, 0.1984, 0.213066, 0.234228, 0.246922, 0.267382, 0.275028");
-            values ( \
-              "-0.00733589, -0.0139497, -0.0283127, -0.032444, -0.0332898, -0.0352199, -0.0462752, -0.0474581, -0.0364962, -0.0138538, -0.00712496, -0.00240748, -0.00182005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0039431");
-            index_3 ("0.105195, 0.11704, 0.127795, 0.151585, 0.158801, 0.167126, 0.17366, 0.179835, 0.186077, 0.191508, 0.196554, 0.209039, 0.209675, 0.21031, 0.211344, 0.212607, 0.213975, 0.216039, 0.218678, 0.221569, 0.226926, 0.233133, 0.23407, 0.235945, 0.239693, 0.244917, 0.251658, 0.253321, 0.256649, 0.262133, 0.269496, 0.271884, 0.276661, 0.286213, 0.293738, 0.297669, 0.305533, 0.32126, 0.347659, 0.370064");
-            values ( \
-              "-0.00708859, -0.0168828, -0.023017, -0.0404485, -0.0448376, -0.0484357, -0.0500177, -0.0496515, -0.0503211, -0.0525127, -0.0565825, -0.0700851, -0.0698442, -0.0702276, -0.0737173, -0.0714772, -0.0723287, -0.0693881, -0.0686537, -0.0639417, -0.0589039, -0.0490476, -0.0494992, -0.0452839, -0.0422489, -0.0341913, -0.0288108, -0.0254228, -0.024273, -0.0181552, -0.0152918, -0.0121894, -0.0117849, -0.0064891, -0.00639811, -0.00376191, -0.00434373, -0.000895323, -0.00155316, -0.00043303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00719805");
-            index_3 ("0.105288, 0.122268, 0.133621, 0.160823, 0.169709, 0.170581, 0.175811, 0.181206, 0.188768, 0.193197, 0.197768, 0.206515, 0.210404, 0.212434, 0.214629, 0.216935, 0.226832, 0.232629, 0.238068, 0.248948, 0.26121, 0.280011, 0.291019, 0.303754, 0.311079, 0.326306, 0.337355, 0.34914, 0.359222, 0.369784, 0.383868, 0.412036, 0.452862, 0.454857");
-            values ( \
-              "-0.00445084, -0.0260848, -0.0353163, -0.062961, -0.070924, -0.0704152, -0.0715089, -0.0712485, -0.0688622, -0.0692621, -0.0702657, -0.0742513, -0.0770778, -0.0799523, -0.0810158, -0.0812946, -0.0865898, -0.0888562, -0.0889071, -0.0838794, -0.0718775, -0.0512704, -0.0404378, -0.0300552, -0.025071, -0.0169464, -0.0126621, -0.00921319, -0.0069997, -0.0052534, -0.00357448, -0.00161652, -0.000514142, -0.000499888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0131399");
-            index_3 ("0.105268, 0.128471, 0.138835, 0.170638, 0.179118, 0.197447, 0.207283, 0.213427, 0.222885, 0.23839, 0.250906, 0.262731, 0.285869, 0.312007, 0.361093, 0.386044, 0.422816, 0.451258, 0.473111, 0.497628, 0.516746, 0.554982, 0.58358");
-            values ( \
-              "-0.00297584, -0.0378225, -0.04839, -0.0923091, -0.0948066, -0.0888808, -0.0917635, -0.096303, -0.094608, -0.0947146, -0.096716, -0.100692, -0.103981, -0.0905416, -0.0525321, -0.0368826, -0.0207658, -0.0129764, -0.00894044, -0.00588486, -0.00423129, -0.00215047, -0.00147005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0239866");
-            index_3 ("0.12124, 0.141999, 0.155923, 0.166188, 0.172647, 0.177055, 0.183516, 0.191302, 0.198935, 0.20705, 0.212986, 0.216088, 0.219126, 0.231248, 0.255176, 0.274908, 0.294697, 0.333548, 0.371742, 0.409901, 0.412316, 0.417145, 0.502078, 0.536413, 0.55564, 0.575878, 0.60198, 0.623778, 0.649321, 0.685616, 0.702132, 0.724969, 0.755417, 0.816313, 0.877526, 0.938739, 1.06117");
-            values ( \
-              "-0.0534918, -0.0595431, -0.082704, -0.103599, -0.113082, -0.115394, -0.113304, -0.109336, -0.107697, -0.108283, -0.113085, -0.113001, -0.111906, -0.110245, -0.109428, -0.109426, -0.109628, -0.112586, -0.113772, -0.0998902, -0.0999433, -0.0970056, -0.0550033, -0.0412547, -0.0349105, -0.0290521, -0.0226503, -0.018352, -0.0142994, -0.00988306, -0.00836895, -0.00663806, -0.00487352, -0.0025484, -0.00135302, -0.00070459, -0.00020534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437871");
-            index_3 ("0.121445, 0.147294, 0.171212, 0.178326, 0.192847, 0.20106, 0.213884, 0.235943, 0.266287, 0.396338, 0.479079, 0.513676, 0.526967, 0.553548, 0.597413, 0.730892, 0.810708, 0.883911, 0.941082, 1.01004, 1.11518, 1.19743, 1.25864, 1.38525");
-            values ( \
-              "-0.0493964, -0.0726713, -0.125714, -0.13058, -0.12291, -0.121535, -0.126672, -0.123217, -0.122295, -0.120307, -0.121478, -0.120017, -0.118419, -0.114535, -0.104062, -0.0641764, -0.0441615, -0.0303179, -0.0222349, -0.0151043, -0.00822727, -0.00507264, -0.0035418, -0.00191282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.200605, 0.242433, 0.25588, 0.299958, 0.316195, 0.320714, 0.329752, 0.339908, 0.347965, 0.357136, 0.368575, 0.374624, 0.382241, 0.39275, 0.412077, 0.425776, 0.433527, 0.43941, 0.448124, 0.456118, 0.461876, 0.473392, 0.496424, 0.505751, 0.512775, 0.530063, 0.549769");
-            values ( \
-              "-0.000293019, -0.00595024, -0.0079605, -0.0172079, -0.0196582, -0.0199952, -0.0201943, -0.0206376, -0.0220405, -0.0252919, -0.0315356, -0.0334719, -0.0340666, -0.0302264, -0.0161947, -0.0088241, -0.00608906, -0.00455093, -0.00293316, -0.00197701, -0.00149246, -0.000856945, -0.000296567, -0.000218155, -0.000825282, -0.000277002, -0.000160669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0039431");
-            index_3 ("0.212492, 0.226059, 0.236825, 0.243796, 0.25627, 0.264433, 0.275316, 0.295172, 0.312367, 0.326464, 0.336505, 0.348093, 0.356835, 0.362697, 0.370513, 0.378949, 0.391829, 0.394761, 0.400626, 0.408865, 0.421532, 0.439547, 0.44573, 0.45292, 0.462506, 0.468103, 0.478069, 0.490064, 0.494944, 0.501124, 0.506002, 0.511082, 0.516654, 0.522412, 0.533927, 0.548295, 0.570501");
-            values ( \
-              "-0.00423839, -0.00616037, -0.00779049, -0.00908841, -0.011698, -0.0136814, -0.0168274, -0.0229345, -0.027427, -0.0295489, -0.0317741, -0.0318725, -0.0320467, -0.0328804, -0.0351752, -0.039868, -0.0482291, -0.0489334, -0.0508868, -0.0504999, -0.0440975, -0.0285889, -0.0237833, -0.0188203, -0.0134709, -0.0109665, -0.00753448, -0.00471804, -0.00389763, -0.00306837, -0.0025457, -0.00308234, -0.00256973, -0.0019027, -0.00127156, -0.000697073, -0.000384628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00719805");
-            index_3 ("0.218464, 0.225658, 0.244435, 0.260741, 0.269762, 0.312583, 0.32959, 0.33035, 0.340063, 0.347988, 0.358742, 0.372266, 0.383408, 0.395721, 0.411904, 0.431671, 0.449972, 0.468271, 0.48317, 0.499387, 0.505935, 0.507322, 0.522558, 0.53743, 0.553993, 0.56073, 0.56887, 0.579724, 0.601431, 0.640668, 0.648871");
-            values ( \
-              "-0.0068671, -0.00826821, -0.0126359, -0.017185, -0.0200554, -0.0367507, -0.0448212, -0.0447977, -0.0462211, -0.046298, -0.0441308, -0.0443429, -0.0463767, -0.0506118, -0.0595634, -0.0717442, -0.0699153, -0.0584212, -0.044782, -0.0318424, -0.0274905, -0.0277211, -0.0189343, -0.0127785, -0.00814014, -0.00677233, -0.00543386, -0.00404041, -0.00221667, -0.000717015, -0.000629745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0131399");
-            index_3 ("0.225213, 0.265426, 0.281959, 0.314779, 0.32947, 0.343538, 0.36657, 0.376394, 0.386631, 0.411632, 0.431819, 0.460133, 0.470326, 0.479065, 0.48694, 0.499187, 0.50976, 0.557282, 0.595289, 0.623984, 0.653522, 0.675141, 0.698674, 0.716918, 0.753407, 0.791177");
-            values ( \
-              "-0.00935848, -0.0231653, -0.0298654, -0.048081, -0.0580564, -0.0625353, -0.056947, -0.0568654, -0.0586135, -0.0655958, -0.072472, -0.0856113, -0.0922468, -0.0958269, -0.0973997, -0.0966958, -0.0932047, -0.0563867, -0.0328823, -0.0209141, -0.0128415, -0.00888044, -0.0059453, -0.00434485, -0.00227975, -0.0013276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0239866");
-            index_3 ("0.225149, 0.291504, 0.339464, 0.353399, 0.36422, 0.377614, 0.414593, 0.505906, 0.550899, 0.57349, 0.609151, 0.69608, 0.748911, 0.804852, 0.84307, 0.898725, 0.974191, 1.05026");
-            values ( \
-              "-0.00731716, -0.0395132, -0.0760174, -0.0739187, -0.0696946, -0.0683199, -0.0779757, -0.109284, -0.114565, -0.112883, -0.101658, -0.0587582, -0.0377328, -0.0224687, -0.0154888, -0.00883374, -0.00407466, -0.00224125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437871");
-            index_3 ("0.252968, 0.290355, 0.338522, 0.347505, 0.366773, 0.377975, 0.390119, 0.509403, 0.513628, 0.532554, 0.59776, 0.648217, 0.663284, 0.693416, 0.728646, 0.78742, 0.799238, 0.91677, 0.977983, 1.01743, 1.06429, 1.1234, 1.19274, 1.25042, 1.30145, 1.37703, 1.43824, 1.49945, 1.62188, 1.86673");
-            values ( \
-              "-0.0417498, -0.0426133, -0.0854996, -0.0852033, -0.0782603, -0.077512, -0.0790846, -0.122107, -0.122227, -0.121377, -0.120424, -0.120535, -0.121293, -0.121233, -0.118579, -0.107232, -0.104189, -0.0687369, -0.0521173, -0.0430375, -0.0338831, -0.0247147, -0.0168017, -0.0120921, -0.00900557, -0.00578789, -0.0040149, -0.00280166, -0.00135666, -0.000328597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.432712, 0.481718, 0.497893, 0.504576, 0.513487, 0.531307, 0.543348, 0.56743, 0.585045, 0.608608, 0.633287, 0.664561, 0.667765, 0.674174, 0.686718, 0.698759, 0.712919, 0.724701, 0.725956, 0.728466, 0.733486, 0.743525, 0.746611, 0.752782, 0.764227, 0.78159, 0.794687, 0.801722, 0.803544, 0.807187, 0.81292, 0.820475, 0.822789, 0.827418, 0.836676, 0.845057, 0.848773, 0.849895, 0.852139, 0.865603, 0.883554, 0.912421, 0.948317, 0.995953, 1.04296");
-            values ( \
-              "-0.00060652, -0.00149013, -0.00190883, -0.00211222, -0.0023946, -0.0030173, -0.00351894, -0.00475406, -0.0060088, -0.00799162, -0.0101606, -0.0122113, -0.012295, -0.0124068, -0.0125514, -0.012947, -0.0140637, -0.0160175, -0.0164, -0.0169835, -0.0182591, -0.0205044, -0.0210005, -0.021933, -0.022881, -0.0217802, -0.0170044, -0.0145064, -0.0134996, -0.0122573, -0.00996722, -0.00773036, -0.00694084, -0.00591713, -0.00395454, -0.00288445, -0.00236858, -0.00234335, -0.00207304, -0.00116962, -0.000586848, -0.000163198, -0.000107679, -2.47355e-05, -4.34934e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0039431");
-            index_3 ("0.437512, 0.48113, 0.508789, 0.542166, 0.553959, 0.577545, 0.598192, 0.650987, 0.69096, 0.70283, 0.725959, 0.743059, 0.75748, 0.772395, 0.781819, 0.800668, 0.803154, 0.808126, 0.815998, 0.824342, 0.863682, 0.881553, 0.889972, 0.907043, 0.918342, 0.931256, 0.957083, 0.993835, 1.04203");
-            values ( \
-              "-0.00107633, -0.00229027, -0.00347889, -0.00530617, -0.00611696, -0.00801626, -0.0102457, -0.0165547, -0.0189545, -0.0204061, -0.0208561, -0.0220783, -0.0255201, -0.0302079, -0.0320513, -0.0343544, -0.0342211, -0.0343399, -0.0335334, -0.0316962, -0.0145727, -0.00871509, -0.00666842, -0.00381606, -0.0026434, -0.00170129, -0.000707329, -0.000206481, -0.000130511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719805");
-            index_3 ("0.480316, 0.543343, 0.578732, 0.666395, 0.698837, 0.723192, 0.737837, 0.767771, 0.785314, 0.80624, 0.8366, 0.863776, 0.890893, 0.93356, 0.960916, 0.985095, 1.01707, 1.04277, 1.0862, 1.11151");
-            values ( \
-              "-0.00519519, -0.00767632, -0.0112501, -0.0240456, -0.030088, -0.0296837, -0.0282928, -0.0300779, -0.0330185, -0.0380495, -0.0471848, -0.0483972, -0.0445036, -0.0242341, -0.0139989, -0.00810665, -0.00376641, -0.00198166, -0.000615779, -0.000405494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131399");
-            index_3 ("0.479745, 0.540584, 0.594884, 0.634881, 0.698543, 0.716173, 0.732689, 0.749357, 0.778456, 0.815268, 0.834403, 0.872674, 0.897702, 0.919628, 0.955488, 0.991253, 1.04568, 1.06838, 1.08711, 1.10395, 1.1264, 1.14537, 1.17328, 1.21617, 1.22754, 1.2531, 1.30027");
-            values ( \
-              "-0.00586632, -0.00969415, -0.0167275, -0.023956, -0.0398247, -0.0407275, -0.0380627, -0.0366184, -0.0386397, -0.0433235, -0.0462272, -0.0535047, -0.0608984, -0.0654658, -0.0667625, -0.0580523, -0.0337091, -0.0250417, -0.0192144, -0.0149607, -0.0105079, -0.00778202, -0.00493355, -0.00238073, -0.00252776, -0.00160971, -0.000836667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239866");
-            index_3 ("0.4791, 0.566574, 0.600977, 0.620091, 0.64708, 0.69033, 0.695906, 0.70334, 0.71782, 0.731315, 0.74925, 0.771248, 0.800996, 0.863885, 0.932057, 0.960271, 0.990319, 1.04122, 1.09006, 1.13865, 1.17801, 1.21339, 1.24605, 1.27128, 1.29381, 1.31907, 1.35276, 1.37738, 1.40307, 1.43733, 1.49854, 1.55976, 1.62097, 1.7434");
-            values ( \
-              "-0.00423409, -0.0155142, -0.0208871, -0.0247618, -0.0310668, -0.0475793, -0.0489368, -0.0498555, -0.0488938, -0.0464953, -0.0445275, -0.045191, -0.0490626, -0.0581894, -0.0685701, -0.0735251, -0.0800739, -0.089851, -0.0875717, -0.0732079, -0.0567597, -0.0435767, -0.0332311, -0.0263053, -0.0212304, -0.0166082, -0.0118651, -0.00925916, -0.00713828, -0.00502119, -0.00263229, -0.00138334, -0.000734448, -0.000219954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437871");
-            index_3 ("0.540089, 0.616648, 0.633333, 0.658771, 0.690948, 0.696951, 0.708957, 0.745771, 0.757483, 0.773177, 0.814353, 0.942413, 1.07121, 1.14707, 1.17637, 1.19617, 1.20582, 1.21598, 1.21714, 1.2275, 1.25267, 1.28569, 1.41896, 1.47243, 1.49888, 1.53815, 1.57237, 1.61723, 1.65354, 1.69819, 1.7594, 1.78973, 1.83104, 1.88612, 1.94733, 2.00854, 2.06976, 2.19218, 2.37582");
-            values ( \
-              "-0.0251131, -0.0268586, -0.0309708, -0.0400157, -0.054566, -0.055671, -0.0564339, -0.0510411, -0.0506969, -0.0514445, -0.0573672, -0.0780738, -0.0978601, -0.110727, -0.115253, -0.117058, -0.117553, -0.117743, -0.118135, -0.116793, -0.112238, -0.104069, -0.0642381, -0.0502688, -0.044197, -0.0362488, -0.030296, -0.023775, -0.0194593, -0.0151251, -0.0106285, -0.0089191, -0.00701347, -0.00506758, -0.00352522, -0.00244836, -0.00170277, -0.000825759, -0.000284147" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00343265, 0.00344493, 0.00345613, 0.00346467, 0.00347043, 0.003474", \
-            "0.003854, 0.00386177, 0.00386993, 0.00387691, 0.00388211, 0.00388552", \
-            "0.00410118, 0.00410388, 0.00410773, 0.00411198, 0.00411568, 0.00411841", \
-            "0.0042459, 0.00424632, 0.00424717, 0.00424865, 0.00425055, 0.00425235", \
-            "0.00433767, 0.00433776, 0.0043379, 0.00433813, 0.0043386, 0.00433935", \
-            "0.00440311, 0.00440342, 0.00440378, 0.00440412, 0.00440442, 0.00440473" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00445919, 0.00446521, 0.00446899, 0.00447194, 0.00447457, 0.00447595", \
-            "0.00473842, 0.00474173, 0.00474369, 0.00474415, 0.00474342, 0.00474348", \
-            "0.00501498, 0.00501971, 0.00502273, 0.005024, 0.0050241, 0.00502369", \
-            "0.0054788, 0.00546298, 0.005449, 0.00543877, 0.00543187, 0.00542747", \
-            "0.00640748, 0.00630043, 0.00621374, 0.00615297, 0.00611295, 0.00608732", \
-            "0.00754216, 0.00728888, 0.0070256, 0.00684818, 0.00673277, 0.00666219" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "~A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "!A";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0878531, 0.108128, 0.144251, 0.209326, 0.327528, 0.543167", \
-            "0.0919199, 0.112305, 0.148599, 0.21391, 0.332357, 0.548217", \
-            "0.100482, 0.120855, 0.157253, 0.222785, 0.341505, 0.557639", \
-            "0.114453, 0.134787, 0.171321, 0.236863, 0.355839, 0.57222", \
-            "0.127386, 0.147323, 0.183834, 0.249852, 0.369102, 0.5857", \
-            "0.130447, 0.150375, 0.186631, 0.252535, 0.370952, 0.587906" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0671323, 0.0947154, 0.144846, 0.236211, 0.402915, 0.706909", \
-            "0.0674931, 0.095158, 0.145369, 0.236744, 0.403479, 0.707393", \
-            "0.0676388, 0.0953426, 0.145653, 0.23716, 0.403907, 0.707897", \
-            "0.0681548, 0.0956272, 0.145708, 0.237208, 0.404038, 0.708075", \
-            "0.0694651, 0.0965033, 0.146702, 0.23781, 0.40434, 0.70813", \
-            "0.0741701, 0.0996332, 0.148015, 0.238677, 0.405207, 0.708912" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0807628, 0.0932543, 0.114959, 0.153598, 0.22348, 0.35067", \
-            "0.0855095, 0.0980174, 0.119766, 0.1584, 0.2283, 0.355503", \
-            "0.0979147, 0.11051, 0.132328, 0.171043, 0.241013, 0.368262", \
-            "0.125665, 0.138483, 0.160506, 0.199401, 0.26956, 0.396905", \
-            "0.172063, 0.185488, 0.208275, 0.248017, 0.318601, 0.4462", \
-            "0.25039, 0.265166, 0.288588, 0.32876, 0.399551, 0.527726" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0384908, 0.0534973, 0.0812093, 0.13239, 0.226486, 0.39845", \
-            "0.0384929, 0.0534945, 0.0812099, 0.132349, 0.226443, 0.398476", \
-            "0.0385618, 0.0535546, 0.0812268, 0.132356, 0.226508, 0.398482", \
-            "0.0396338, 0.0542864, 0.0815888, 0.132472, 0.226522, 0.398414", \
-            "0.0432743, 0.0575683, 0.0844231, 0.134494, 0.227486, 0.398613", \
-            "0.0510718, 0.0643431, 0.0893751, 0.137304, 0.229208, 0.400594" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0491683, 0.0562578, 0.0604888, 0.0647224, 0.0757885, 0.0950279, 0.100834, 0.105575, 0.115056, 0.120635, 0.129034, 0.135138, 0.14742, 0.160519, 0.172288, 0.180574, 0.195642, 0.216548, 0.233142, 0.259869, 0.295506, 0.307305");
-            values ( \
-              "0.00960291, 0.0453071, 0.0465938, 0.047033, 0.0454109, 0.0408022, 0.0383143, 0.035514, 0.028563, 0.0250659, 0.0206329, 0.0179961, 0.0137218, 0.0102206, 0.00786174, 0.00649707, 0.00458132, 0.00279581, 0.00188439, 0.000987985, 0.000401384, 0.00032848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0039431");
-            index_3 ("0.0499108, 0.0591781, 0.0650464, 0.0653562, 0.0715529, 0.0936432, 0.10227, 0.115169, 0.123039, 0.130277, 0.144752, 0.159228, 0.170243, 0.182112, 0.193094, 0.211225, 0.234182, 0.245571, 0.267283, 0.28837, 0.31233, 0.331356, 0.369406, 0.395026");
-            values ( \
-              "0.0174889, 0.0591794, 0.0597353, 0.0599282, 0.0593322, 0.0558263, 0.0542979, 0.0515373, 0.048895, 0.0454966, 0.0369728, 0.0296628, 0.0249674, 0.0207304, 0.0173259, 0.0127778, 0.00854387, 0.00697503, 0.0046933, 0.00316878, 0.00202793, 0.00141095, 0.000668511, 0.000463836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00719805");
-            index_3 ("0.0506322, 0.0577891, 0.0633939, 0.0680302, 0.0726061, 0.130861, 0.151123, 0.156063, 0.173001, 0.214932, 0.233059, 0.256354, 0.282315, 0.296188, 0.318676, 0.339838, 0.358489, 0.383356, 0.405319, 0.434843, 0.474209, 0.552562, 0.630916");
-            values ( \
-              "0.00422373, 0.0682748, 0.070332, 0.0703849, 0.0701309, 0.0633559, 0.0603008, 0.0592728, 0.0544393, 0.0379494, 0.0317671, 0.0248743, 0.0185217, 0.0157511, 0.0119754, 0.0091762, 0.00723061, 0.00522061, 0.00390633, 0.00263549, 0.00153479, 0.000473291, 0.000143328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0131399");
-            index_3 ("0.0510433, 0.0610568, 0.0701935, 0.182359, 0.233024, 0.26038, 0.342441, 0.400656, 0.456665, 0.521858, 0.563305, 0.608137, 0.698024, 0.782013");
-            values ( \
-              "0.0173374, 0.0771716, 0.07821, 0.069772, 0.0641797, 0.0587528, 0.0382003, 0.0260739, 0.0171634, 0.0101569, 0.00717747, 0.00487055, 0.00222756, 0.00131739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0239866");
-            index_3 ("0.0510328, 0.0656785, 0.0685028, 0.0922176, 0.107812, 0.216509, 0.27531, 0.317436, 0.365649, 0.399105, 0.472384, 0.559792, 0.635707, 0.69373, 0.742351, 0.780129, 0.844966, 0.921152, 0.989147, 1.03242, 1.1127, 1.19106, 1.26941, 1.42612, 1.58283");
-            values ( \
-              "0.0352276, 0.0827957, 0.0831403, 0.0815729, 0.0808583, 0.0763869, 0.0737194, 0.0715201, 0.0679434, 0.0642574, 0.0536885, 0.0402482, 0.0298318, 0.0231395, 0.0184898, 0.0154202, 0.011185, 0.00757845, 0.00530776, 0.00422209, 0.00275047, 0.00180207, 0.00117028, 0.000493482, 0.000206254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437871");
-            index_3 ("0.057222, 0.057242, 0.195546, 0.444941, 0.523295, 0.604574, 0.663312, 0.741665, 0.982298, 1.10375, 1.23612, 1.30815, 1.43263, 1.59816, 1.7327, 1.8894, 2.20282, 2.29011");
-            values ( \
-              "1e-22, 0.0882075, 0.0822154, 0.0760904, 0.0738089, 0.0704124, 0.0667921, 0.06072, 0.0390796, 0.0293571, 0.0207864, 0.0170287, 0.0119081, 0.00726364, 0.00480932, 0.00295097, 0.00109265, 0.000933432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0615785, 0.072874, 0.0790811, 0.0908702, 0.0918856, 0.100788, 0.110126, 0.114781, 0.120325, 0.130862, 0.141647, 0.149579, 0.158894, 0.167201, 0.178157, 0.187203, 0.199265, 0.210521, 0.225162, 0.241847, 0.249616, 0.276571, 0.307377, 0.329234");
-            values ( \
-              "0.00606673, 0.0459534, 0.0465993, 0.0449727, 0.0446072, 0.0428866, 0.0403969, 0.0384954, 0.0353941, 0.0276923, 0.0216101, 0.0180736, 0.014745, 0.0122719, 0.00960348, 0.00783938, 0.00594502, 0.0045864, 0.0032563, 0.00218674, 0.00182108, 0.000955992, 0.000442432, 0.000296728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0039431");
-            index_3 ("0.0623786, 0.0731843, 0.0735981, 0.0744258, 0.0796195, 0.0917, 0.104133, 0.117019, 0.131459, 0.145147, 0.160214, 0.172359, 0.195804, 0.213514, 0.223449, 0.243953, 0.259448, 0.274354, 0.294229, 0.312956, 0.343624, 0.384516, 0.41147");
-            values ( \
-              "0.00287871, 0.0584057, 0.0582499, 0.0586974, 0.0593399, 0.0581036, 0.056191, 0.0540425, 0.0508785, 0.0453389, 0.0365253, 0.0304192, 0.0210865, 0.0157794, 0.0133719, 0.0093797, 0.00710793, 0.00542979, 0.00376425, 0.00266121, 0.00149502, 0.000661995, 0.000449421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00719805");
-            index_3 ("0.0635194, 0.077762, 0.0794813, 0.0813153, 0.0842377, 0.087165, 0.0930194, 0.125597, 0.145787, 0.163365, 0.173795, 0.187701, 0.200582, 0.216179, 0.24423, 0.26794, 0.283326, 0.303048, 0.307966, 0.317804, 0.333809, 0.355131, 0.37465, 0.400674, 0.42168, 0.42942, 0.444901, 0.475862, 0.537784, 0.616138, 0.694491");
-            values ( \
-              "0.020283, 0.0696634, 0.069805, 0.0698246, 0.0696905, 0.0694527, 0.068852, 0.065416, 0.0630765, 0.0605836, 0.0584761, 0.0544382, 0.0494668, 0.0431098, 0.0328534, 0.0258316, 0.0218127, 0.0173733, 0.0164111, 0.0145804, 0.0119966, 0.00916839, 0.00714608, 0.00509133, 0.00385096, 0.00348486, 0.00282836, 0.00186726, 0.000765091, 0.00023906, 6.93411e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0131399");
-            index_3 ("0.0638521, 0.0753957, 0.0846121, 0.111494, 0.127172, 0.197386, 0.232214, 0.248453, 0.274431, 0.362947, 0.401854, 0.470607, 0.53831, 0.604307, 0.642841, 0.705926, 0.784279, 0.807352");
-            values ( \
-              "0.00550402, 0.0765479, 0.0774813, 0.0752057, 0.0745089, 0.0695846, 0.0662489, 0.0640107, 0.0589177, 0.0370352, 0.0287453, 0.0173365, 0.010049, 0.00574428, 0.00412253, 0.00237133, 0.00116227, 0.000985296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0239866");
-            index_3 ("0.0700777, 0.0700977, 0.14379, 0.177125, 0.231834, 0.290739, 0.333691, 0.38121, 0.414686, 0.488026, 0.575488, 0.651537, 0.709542, 0.758124, 0.795862, 0.860888, 0.937202, 1.00526, 1.04861, 1.12907, 1.20742, 1.28577, 1.44248, 1.59919");
-            values ( \
-              "1e-22, 0.0833516, 0.0796898, 0.0782301, 0.0762847, 0.0735931, 0.0713881, 0.0678767, 0.0641942, 0.0536441, 0.0402259, 0.0298048, 0.0231181, 0.0184822, 0.0154149, 0.0111719, 0.00756533, 0.00530034, 0.00421221, 0.00274168, 0.00179923, 0.00116648, 0.000491605, 0.000205079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437871");
-            index_3 ("0.0701875, 0.0702075, 0.400043, 0.539264, 0.620643, 0.679404, 0.757758, 0.998539, 1.12006, 1.21636, 1.32406, 1.46375, 1.54617, 1.69489, 1.82763, 1.90598, 2.14105, 2.29775, 2.35851");
-            values ( \
-              "1e-22, 0.0852499, 0.0776958, 0.0738126, 0.0704242, 0.0667204, 0.0607461, 0.0390219, 0.0293, 0.0228459, 0.0170004, 0.011375, 0.00890756, 0.00566566, 0.0037546, 0.00298275, 0.0013922, 0.000840311, 0.000751455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0988483, 0.101828, 0.104596, 0.108941, 0.113291, 0.117399, 0.12406, 0.12598, 0.12982, 0.134885, 0.143872, 0.154469, 0.164622, 0.171901, 0.184045, 0.190888, 0.198709, 0.211536, 0.224716, 0.235349, 0.248771, 0.271254, 0.303321, 0.329269, 0.356841");
-            values ( \
-              "0.0120678, 0.0403006, 0.0439489, 0.0455041, 0.046516, 0.0456934, 0.0451841, 0.0443556, 0.0440204, 0.0425554, 0.0406206, 0.0352978, 0.0279389, 0.0236179, 0.0179496, 0.0154574, 0.0130009, 0.00975948, 0.00726572, 0.00569447, 0.00417436, 0.00245563, 0.00114611, 0.000609435, 0.000348939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0039431");
-            index_3 ("0.0988026, 0.10365, 0.106923, 0.110819, 0.113567, 0.119063, 0.138156, 0.15107, 0.16411, 0.171246, 0.179252, 0.194346, 0.206509, 0.216684, 0.230011, 0.24778, 0.260635, 0.278205, 0.293771, 0.308771, 0.328771, 0.347514, 0.378284, 0.419312, 0.45614");
-            values ( \
-              "0.00485796, 0.0537322, 0.057113, 0.0585204, 0.0589127, 0.0587297, 0.0560379, 0.0539, 0.0511915, 0.0488906, 0.0452591, 0.0364629, 0.0303682, 0.0259246, 0.0210439, 0.0157365, 0.0126998, 0.00936269, 0.00708979, 0.00540878, 0.00374184, 0.0026458, 0.00148459, 0.000657032, 0.000367926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00719805");
-            index_3 ("0.0988349, 0.106116, 0.111878, 0.116675, 0.12122, 0.171962, 0.195963, 0.211226, 0.223762, 0.247069, 0.269673, 0.297385, 0.312785, 0.342374, 0.356648, 0.371584, 0.390936, 0.406831, 0.426183, 0.453525, 0.47136, 0.504762, 0.549299, 0.606716");
-            values ( \
-              "0.00548728, 0.065796, 0.0692573, 0.0694528, 0.0693557, 0.0639432, 0.0607611, 0.0576207, 0.0536745, 0.0443995, 0.0359911, 0.0271837, 0.0230229, 0.0163685, 0.0138145, 0.0114836, 0.00901763, 0.00736546, 0.0057187, 0.00399558, 0.0031476, 0.00201202, 0.00109456, 0.000535826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0131399");
-            index_3 ("0.0995206, 0.109438, 0.114248, 0.11871, 0.125697, 0.134167, 0.198227, 0.233445, 0.254953, 0.266615, 0.28434, 0.303972, 0.377059, 0.399922, 0.420784, 0.444626, 0.480698, 0.512113, 0.535254, 0.573339, 0.589702, 0.619337, 0.661187, 0.679869, 0.705225, 0.739033, 0.80665, 0.885003, 0.963357, 1.04171");
-            values ( \
-              "0.0200692, 0.0754349, 0.0769241, 0.0770053, 0.0766578, 0.075943, 0.0718296, 0.0692538, 0.0673731, 0.0661389, 0.0637055, 0.0599607, 0.0418769, 0.0364794, 0.0319363, 0.0271399, 0.0208808, 0.0164314, 0.0136759, 0.0100267, 0.00875624, 0.00681736, 0.00475779, 0.00405151, 0.00325291, 0.00241636, 0.0013147, 0.000638242, 0.000311669, 0.000149085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0239866");
-            index_3 ("0.103113, 0.114291, 0.120288, 0.14666, 0.267489, 0.325265, 0.369182, 0.415846, 0.449337, 0.522734, 0.610256, 0.686411, 0.744423, 0.793008, 0.830745, 0.895907, 0.972344, 1.0405, 1.11087, 1.16469, 1.24304, 1.3214, 1.4781, 1.63481");
-            values ( \
-              "0.0729096, 0.0816907, 0.0818872, 0.0805445, 0.0760119, 0.0735122, 0.0712523, 0.0678065, 0.0641487, 0.0536115, 0.040191, 0.029771, 0.0231039, 0.0184643, 0.0154086, 0.0111623, 0.00755657, 0.00528631, 0.00364876, 0.00273475, 0.00178982, 0.00116606, 0.000493739, 0.000208166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437871");
-            index_3 ("0.108813, 0.108833, 0.255649, 0.294672, 0.393687, 0.495718, 0.601695, 0.655565, 0.714356, 0.792709, 1.0032, 1.09469, 1.15532, 1.23368, 1.28732, 1.35884, 1.4372, 1.48427, 1.52929, 1.65696, 1.78504, 1.94175, 2.09845, 2.25516, 2.41187, 2.72528");
-            values ( \
-              "1e-22, 0.0901816, 0.0815245, 0.0807833, 0.0785661, 0.076033, 0.0727363, 0.0702777, 0.0667893, 0.0606183, 0.0416817, 0.0339395, 0.0293629, 0.0239494, 0.0207502, 0.0170882, 0.0136089, 0.0118703, 0.0103984, 0.00708342, 0.0047791, 0.00292718, 0.00178023, 0.00107541, 0.000644374, 0.000221657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.163957, 0.187611, 0.192291, 0.199908, 0.203561, 0.210166, 0.21133, 0.215705, 0.219215, 0.222177, 0.225563, 0.229884, 0.238524, 0.245681, 0.249894, 0.258322, 0.26492, 0.273717, 0.286663, 0.301032, 0.312686, 0.320551, 0.329617, 0.339747, 0.359829, 0.371511, 0.394875, 0.438078, 0.488922");
-            values ( \
-              "0.00539138, 0.0431318, 0.0441146, 0.0439588, 0.0434372, 0.0422333, 0.0415578, 0.0409657, 0.0401904, 0.0392449, 0.0377763, 0.0352548, 0.0290379, 0.0245313, 0.0222371, 0.0183624, 0.0159124, 0.0131109, 0.00981358, 0.00711696, 0.00544444, 0.00454412, 0.00368109, 0.00289993, 0.00180269, 0.00136669, 0.000772079, 0.000248779, 6.02725e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0039431");
-            index_3 ("0.163952, 0.187029, 0.190685, 0.19556, 0.200813, 0.209331, 0.21188, 0.215287, 0.222652, 0.233019, 0.239979, 0.253681, 0.268985, 0.27913, 0.292657, 0.30339, 0.311228, 0.326903, 0.335963, 0.345731, 0.356365, 0.370358, 0.382174, 0.395852, 0.415288, 0.435802, 0.451561, 0.483077, 0.542242, 0.612679");
-            values ( \
-              "0.00123949, 0.0545129, 0.0560786, 0.0569661, 0.0568259, 0.0560428, 0.0553127, 0.0551395, 0.0544326, 0.0526467, 0.0510213, 0.0459036, 0.0369676, 0.0316445, 0.0256474, 0.0217446, 0.0191856, 0.0147936, 0.0127149, 0.0107507, 0.00892595, 0.00695022, 0.00561821, 0.00437812, 0.00304824, 0.00208334, 0.00155257, 0.000842519, 0.000242113, 4.72038e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00719805");
-            index_3 ("0.163993, 0.193851, 0.196339, 0.201317, 0.20841, 0.212493, 0.220485, 0.225674, 0.235226, 0.261418, 0.273371, 0.282862, 0.295516, 0.334543, 0.351508, 0.378006, 0.393348, 0.417925, 0.443779, 0.465183, 0.48484, 0.51105, 0.539799, 0.55532, 0.586361, 0.648443, 0.726796, 0.80515");
-            values ( \
-              "0.00869303, 0.0669095, 0.0679103, 0.0673816, 0.0675195, 0.0665558, 0.0665326, 0.066209, 0.065286, 0.0621631, 0.0603739, 0.0584914, 0.0549782, 0.0397814, 0.0337916, 0.0257351, 0.0217364, 0.0163701, 0.0119692, 0.00914864, 0.00712142, 0.00505684, 0.00346172, 0.00281615, 0.00185181, 0.00076372, 0.000234305, 7.3579e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0131399");
-            index_3 ("0.172215, 0.183838, 0.188471, 0.193698, 0.200919, 0.209443, 0.21194, 0.21637, 0.224806, 0.273642, 0.308758, 0.342059, 0.366239, 0.405878, 0.456305, 0.522032, 0.556662, 0.585838, 0.608459, 0.648842, 0.695731, 0.737244, 0.77998, 0.812646, 0.877978, 0.956331, 0.976076");
-            values ( \
-              "0.0133251, 0.0681539, 0.0723504, 0.07466, 0.0753597, 0.0751702, 0.0745955, 0.0748546, 0.0748855, 0.071802, 0.069234, 0.0661134, 0.0626077, 0.0535836, 0.0408686, 0.0267663, 0.020798, 0.0166504, 0.0139285, 0.0100264, 0.00676803, 0.00473113, 0.00327104, 0.00246062, 0.00136383, 0.000667218, 0.000603116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0239866");
-            index_3 ("0.172126, 0.189285, 0.194512, 0.205566, 0.213502, 0.221201, 0.232495, 0.378561, 0.445608, 0.491531, 0.525022, 0.598439, 0.685981, 0.76217, 0.820188, 0.868777, 0.906515, 0.971719, 1.04819, 1.11637, 1.18681, 1.24068, 1.31903, 1.39739, 1.55409, 1.7108");
-            values ( \
-              "0.023368, 0.07734, 0.0796885, 0.0804343, 0.0798691, 0.08036, 0.0801985, 0.0744947, 0.0711876, 0.0677882, 0.0641288, 0.0535957, 0.0401826, 0.0297627, 0.0230958, 0.0184601, 0.0154041, 0.0111573, 0.00755206, 0.00528392, 0.00364634, 0.0027312, 0.00178869, 0.0011644, 0.000492795, 0.000207486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437871");
-            index_3 ("0.181534, 0.181554, 0.31201, 0.448831, 0.571597, 0.64995, 0.731484, 0.790287, 0.868641, 1.10972, 1.2314, 1.32759, 1.43463, 1.57548, 1.66619, 1.81198, 1.93962, 2.01797, 2.17468, 2.33139, 2.4638");
-            values ( \
-              "1e-22, 0.0869348, 0.0819557, 0.0789968, 0.0759537, 0.0736915, 0.0703169, 0.0667213, 0.0606611, 0.0390312, 0.0293075, 0.0228654, 0.017056, 0.0113775, 0.00869314, 0.00558353, 0.0037651, 0.00295798, 0.00181126, 0.0011064, 0.000865691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.320203, 0.328497, 0.334115, 0.341031, 0.347958, 0.351812, 0.359518, 0.361357, 0.365036, 0.370691, 0.380039, 0.38369, 0.390628, 0.402606, 0.407366, 0.412807, 0.423849, 0.437389, 0.448657, 0.458567, 0.466235, 0.481806, 0.488867, 0.502988, 0.511242, 0.532041, 0.545828, 0.573402, 0.597755");
-            values ( \
-              "0.00141912, 0.017354, 0.0244094, 0.0317627, 0.0376063, 0.0394691, 0.0416804, 0.041684, 0.0418744, 0.041352, 0.0397631, 0.0385025, 0.0350094, 0.0267814, 0.0239671, 0.0211253, 0.0165429, 0.0122741, 0.00955465, 0.0076693, 0.00644774, 0.00451576, 0.00383625, 0.00275698, 0.00216541, 0.00141826, 0.00103685, 0.000518632, 0.000331207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0039431");
-            index_3 ("0.332457, 0.350618, 0.352634, 0.354651, 0.356115, 0.359042, 0.360505, 0.363312, 0.36612, 0.368927, 0.371734, 0.373538, 0.377145, 0.380752, 0.386068, 0.390056, 0.393479, 0.398046, 0.403776, 0.407223, 0.41067, 0.414117, 0.415154, 0.432876, 0.43607, 0.439265, 0.44246, 0.445655, 0.452044, 0.460949, 0.466091, 0.471232, 0.476373, 0.484342, 0.492312, 0.498129, 0.500919, 0.503379, 0.505838, 0.509834, 0.512578, 0.516811, 0.522841, 0.53105, 0.538718, 0.545293, 0.551869, 0.558444, 0.572608, 0.585375");
-            values ( \
-              "0.0491972, 0.0504682, 0.0517321, 0.0527413, 0.0531899, 0.0539336, 0.0542286, 0.0544938, 0.0546756, 0.054774, 0.0547891, 0.0547547, 0.0543962, 0.053985, 0.0532765, 0.0526104, 0.0518981, 0.050783, 0.049149, 0.0480402, 0.0468369, 0.0455391, 0.0450578, 0.0349227, 0.0332428, 0.0316442, 0.030144, 0.0287135, 0.0260616, 0.022686, 0.0208114, 0.0192148, 0.0177103, 0.0155604, 0.0136321, 0.0123618, 0.0118089, 0.0110301, 0.00997847, 0.00967751, 0.00938739, 0.00886761, 0.00805096, 0.00700631, 0.00608425, 0.00535531, 0.00476836, 0.00423342, 0.00327848, 0.00251816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00719805");
-            index_3 ("0.310433, 0.366205, 0.375328, 0.385156, 0.395133, 0.41021, 0.425842, 0.43675, 0.458244, 0.45966, 0.48648, 0.50047, 0.511232, 0.518334, 0.529859, 0.542521, 0.563223, 0.578421, 0.583744, 0.59439, 0.615683, 0.628907, 0.638679, 0.651709, 0.677769, 0.695323, 0.701332, 0.713348, 0.737382, 0.78545, 0.863804, 0.942157");
-            values ( \
-              "0.00556413, 0.0658344, 0.066064, 0.0655528, 0.0646411, 0.063112, 0.0611792, 0.0594501, 0.0541283, 0.0534838, 0.04297, 0.037703, 0.0339493, 0.0316261, 0.0282184, 0.024704, 0.0195631, 0.0164064, 0.0154132, 0.0135483, 0.0104105, 0.00881281, 0.00778476, 0.00658284, 0.00467756, 0.00371141, 0.00342955, 0.00292276, 0.00211905, 0.00108629, 0.000336983, 0.000102891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0131399");
-            index_3 ("0.310912, 0.369313, 0.379905, 0.434021, 0.469272, 0.502778, 0.523447, 0.670833, 0.732239, 0.765768, 0.809527, 0.869199, 0.901742, 0.985552, 1.07896");
-            values ( \
-              "0.0072292, 0.0738092, 0.0744104, 0.0714585, 0.068909, 0.065857, 0.063162, 0.0290801, 0.0185955, 0.0143082, 0.0100232, 0.00605792, 0.00458329, 0.00219821, 0.00119858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0239866");
-            index_3 ("0.331266, 0.348115, 0.357269, 0.365678, 0.376245, 0.384629, 0.392881, 0.406672, 0.426593, 0.447814, 0.490255, 0.504129, 0.505641, 0.508665, 0.525026, 0.56192, 0.622029, 0.670271, 0.717931, 0.835497, 0.925988, 0.982284, 1.02675, 1.06093, 1.13273, 1.16452, 1.2281, 1.28306, 1.35707, 1.43542, 1.59213, 1.74884, 1.90554");
-            values ( \
-              "0.0364473, 0.0661795, 0.0755095, 0.0781877, 0.0798951, 0.0794499, 0.079733, 0.078896, 0.0786575, 0.0775302, 0.0763938, 0.0754625, 0.0757351, 0.0753558, 0.0751045, 0.0734937, 0.070187, 0.0660367, 0.0598515, 0.0418426, 0.0294235, 0.022981, 0.0187313, 0.0159082, 0.0111573, 0.00950485, 0.00683814, 0.00513083, 0.00346877, 0.00226895, 0.000965155, 0.000407764, 0.000171229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437871");
-            index_3 ("0.34257, 0.34259, 0.526715, 0.630723, 0.709076, 0.780279, 0.838795, 0.917148, 0.951588, 1.00972, 1.27303, 1.33823, 1.41659, 1.48774, 1.55639, 1.61867, 1.69703, 1.7723, 1.85065, 1.91015, 1.98851, 2.07543, 2.15379, 2.23214, 2.38885, 2.54556, 2.78062, 3.01568");
-            values ( \
-              "1e-22, 0.0861497, 0.0808115, 0.0784918, 0.0765854, 0.0746313, 0.0726855, 0.068956, 0.0667146, 0.0623341, 0.0388518, 0.0334735, 0.0275886, 0.0229333, 0.0190439, 0.0160121, 0.0127754, 0.0102466, 0.0081142, 0.00677106, 0.00533551, 0.00408345, 0.00319469, 0.00250675, 0.00153302, 0.000935608, 0.000440054, 0.000212414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.658392, 0.674394, 0.691357, 0.705939, 0.716321, 0.720132, 0.724247, 0.72812, 0.735867, 0.738405, 0.74348, 0.749131, 0.762511, 0.769504, 0.777496, 0.785526, 0.794722, 0.807046, 0.81482, 0.828028, 0.841017, 0.855587, 0.873033, 0.885266, 0.89346, 0.909849, 0.942626, 0.991106, 1.05074");
-            values ( \
-              "0.00148469, 0.0113529, 0.0223686, 0.0308481, 0.0353683, 0.036464, 0.0373235, 0.0377702, 0.037881, 0.0375897, 0.036639, 0.034337, 0.0258388, 0.0219976, 0.018356, 0.0154088, 0.012604, 0.00956266, 0.00806422, 0.00599557, 0.00446862, 0.00320458, 0.00214357, 0.00161161, 0.00133981, 0.00090551, 0.000411233, 0.000107993, 2.42104e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0039431");
-            index_3 ("0.677721, 0.700295, 0.706503, 0.708871, 0.713607, 0.715975, 0.719071, 0.722167, 0.725263, 0.728359, 0.730644, 0.732928, 0.735212, 0.739876, 0.74454, 0.748007, 0.751473, 0.75494, 0.758407, 0.761272, 0.763564, 0.765856, 0.770441, 0.773546, 0.782331, 0.790055, 0.794033, 0.799337, 0.807293, 0.809945, 0.81667, 0.824961, 0.830268, 0.835575, 0.839484, 0.847303, 0.851212, 0.859594, 0.864976, 0.867667, 0.87305, 0.879326, 0.886495, 0.894157, 0.902313, 0.909178, 0.916044, 0.920929, 0.930699, 0.943269");
-            values ( \
-              "0.0336189, 0.0356568, 0.04062, 0.0421299, 0.0448117, 0.0459837, 0.0473173, 0.0484775, 0.0494645, 0.0502781, 0.0507672, 0.0508969, 0.0509862, 0.0510342, 0.0509304, 0.0507505, 0.0504896, 0.0501478, 0.049725, 0.0490804, 0.0484223, 0.0476855, 0.0459762, 0.0445823, 0.0399136, 0.0355826, 0.0334667, 0.0308405, 0.0273313, 0.0262536, 0.0236816, 0.0206265, 0.0189916, 0.0174558, 0.0163878, 0.0144131, 0.0135065, 0.0116988, 0.0106131, 0.0101497, 0.00926885, 0.00833458, 0.00735136, 0.00637471, 0.00546122, 0.00484881, 0.00429306, 0.00393866, 0.00330277, 0.00262486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719805");
-            index_3 ("0.681575, 0.705212, 0.709573, 0.712373, 0.715172, 0.717972, 0.720772, 0.723686, 0.726599, 0.729513, 0.732427, 0.73717, 0.741912, 0.746655, 0.751397, 0.758342, 0.763551, 0.773773, 0.795467, 0.798771, 0.803576, 0.810783, 0.814415, 0.818104, 0.83218, 0.844901, 0.846679, 0.858054, 0.875117, 0.888754, 0.891481, 0.899992, 0.908504, 0.914097, 0.91969, 0.930877, 0.943052, 0.950822, 0.958449, 0.966076, 0.975931, 0.985787, 0.991278, 0.999514, 1.00226, 1.0119, 1.02154, 1.02837, 1.04203, 1.05962");
-            values ( \
-              "0.045208, 0.0468883, 0.050806, 0.0530319, 0.0550552, 0.0568761, 0.0584945, 0.0595017, 0.0603965, 0.0611788, 0.0618488, 0.0626675, 0.0632093, 0.0634742, 0.0634622, 0.0631109, 0.0627427, 0.0617681, 0.0591005, 0.0584867, 0.0574004, 0.0555152, 0.0544372, 0.0532312, 0.0479273, 0.0428299, 0.0422405, 0.037948, 0.0321497, 0.027832, 0.0270156, 0.0247293, 0.0225756, 0.0212325, 0.0199466, 0.0175467, 0.0151747, 0.0137572, 0.0125785, 0.0114766, 0.0101919, 0.00898392, 0.00834422, 0.00746258, 0.00718948, 0.00638102, 0.00564709, 0.00518116, 0.00434401, 0.00344806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131399");
-            index_3 ("0.668644, 0.700505, 0.715923, 0.725143, 0.741114, 0.758539, 0.759108, 0.777923, 0.791534, 0.818755, 0.838574, 0.860478, 0.895911, 0.910734, 0.974705, 1.0163, 1.0417, 1.07585, 1.1042, 1.12606, 1.15867, 1.16788, 1.1863, 1.21584, 1.21951, 1.25313, 1.27525, 1.31947, 1.39782, 1.47617, 1.55453, 1.63288");
-            values ( \
-              "0.0106356, 0.0472994, 0.0619245, 0.0671293, 0.0717346, 0.072276, 0.072378, 0.0717267, 0.0710207, 0.0693156, 0.0678199, 0.0657649, 0.0603018, 0.0568732, 0.0408657, 0.0316187, 0.0265969, 0.0207445, 0.0167259, 0.014083, 0.0108082, 0.0100274, 0.0086036, 0.00671076, 0.00636017, 0.00488093, 0.00405323, 0.00273455, 0.00135308, 0.000646119, 0.000326321, 0.000145744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239866");
-            index_3 ("0.656611, 0.731214, 0.74896, 0.764014, 0.780009, 0.838817, 0.904564, 0.947198, 0.979028, 1.03993, 1.08793, 1.17412, 1.22853, 1.27638, 1.31994, 1.3492, 1.38513, 1.42464, 1.49063, 1.52003, 1.56775, 1.63567, 1.70513, 1.75814, 1.83649, 1.91485, 2.07155, 2.22826");
-            values ( \
-              "0.0050765, 0.074453, 0.0779476, 0.0783191, 0.0781689, 0.0764043, 0.0738534, 0.0718631, 0.0699962, 0.0645218, 0.0578673, 0.0446285, 0.0366919, 0.0303414, 0.0251632, 0.0220595, 0.0186834, 0.0154609, 0.0111559, 0.00962059, 0.00752644, 0.00527394, 0.00365776, 0.00275352, 0.00180264, 0.00117446, 0.000497488, 0.000209883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437871");
-            index_3 ("0.691115, 0.732666, 0.74317, 0.773618, 0.79142, 0.813326, 0.847925, 0.888453, 1.09014, 1.19635, 1.252, 1.30914, 1.36718, 1.6306, 1.77418, 1.91392, 2.05456, 2.12992, 2.20827, 2.34612, 2.43294, 2.58965, 2.74636, 2.90307, 2.94967");
-            values ( \
-              "0.0719706, 0.0777493, 0.080658, 0.0822672, 0.0818426, 0.0818776, 0.0810004, 0.0805389, 0.0759501, 0.0726921, 0.0701976, 0.0667208, 0.0623353, 0.0388532, 0.0275887, 0.0190478, 0.0127781, 0.0102465, 0.00811133, 0.00533342, 0.00408281, 0.00250584, 0.00153192, 0.000934377, 0.000862668" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00341787, 0.00341852, 0.00341914, 0.00341962, 0.00341996, 0.00342017", \
-            "0.00401483, 0.00401498, 0.00401522, 0.00401546, 0.00401567, 0.00401581", \
-            "0.00440463, 0.00440444, 0.00440428, 0.00440419, 0.00440416, 0.00440416", \
-            "0.00469365, 0.00469353, 0.00469337, 0.00469322, 0.0046931, 0.00469302", \
-            "0.00488358, 0.00488348, 0.00488336, 0.00488324, 0.00488313, 0.00488305", \
-            "0.00502387, 0.00502381, 0.00502373, 0.00502428, 0.00502402, 0.00502392" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00433796, 0.0043376, 0.00433748, 0.00433751, 0.00433758, 0.00433766", \
-            "0.00451851, 0.00451729, 0.00451614, 0.00451525, 0.00451468, 0.00451434", \
-            "0.00456426, 0.00456349, 0.00456256, 0.00456034, 0.00455956, 0.00455903", \
-            "0.00482, 0.00482045, 0.00482046, 0.00482301, 0.00482308, 0.00482301", \
-            "0.00494588, 0.00495918, 0.00496622, 0.00497078, 0.00497394, 0.00497577", \
-            "0.00477321, 0.00477226, 0.0047708, 0.00477317, 0.00478384, 0.00478649" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0519384, 0.062126, 0.0704432, 0.0765698, 0.0824682, 0.0883015, 0.0951541, 0.0984372, 0.120213, 0.127668, 0.136019, 0.140227, 0.148915, 0.165005, 0.167294");
-            values ( \
-              "-0.00490369, -0.0533164, -0.0617177, -0.0652125, -0.066786, -0.0666329, -0.0625154, -0.058593, -0.0238043, -0.0159162, -0.00985544, -0.00768812, -0.00455899, -0.00168204, -0.00152829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0039431");
-            index_3 ("0.055604, 0.059323, 0.0674301, 0.0763013, 0.0845939, 0.0918924, 0.100778, 0.107254, 0.11303, 0.145527, 0.156337, 0.167314, 0.181563, 0.197079, 0.211281");
-            values ( \
-              "-0.0129063, -0.0635737, -0.0763442, -0.0837961, -0.0870746, -0.088129, -0.0868839, -0.0836682, -0.0784319, -0.0312022, -0.020593, -0.0131316, -0.00713429, -0.00363311, -0.00250137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00719805");
-            index_3 ("0.0537793, 0.0637527, 0.0696574, 0.0793784, 0.0857351, 0.0963369, 0.101425, 0.110179, 0.122514, 0.1349, 0.138145, 0.14947, 0.172728, 0.190862, 0.207601, 0.22389, 0.232906, 0.24559, 0.269186, 0.289683, 0.31127");
-            values ( \
-              "-0.008266, -0.0845804, -0.0932891, -0.101571, -0.104285, -0.106576, -0.106729, -0.106218, -0.103762, -0.0981044, -0.095879, -0.0848244, -0.0563521, -0.0374495, -0.0245931, -0.0158933, -0.0123775, -0.00863591, -0.00437912, -0.002371, -0.00140099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0131399");
-            index_3 ("0.0527511, 0.0709636, 0.0816389, 0.0906651, 0.101641, 0.111533, 0.121243, 0.14099, 0.157841, 0.167831, 0.182259, 0.203548, 0.245994, 0.27413, 0.297936, 0.328574, 0.347824, 0.364167, 0.386075, 0.415287, 0.467671, 0.468393");
-            values ( \
-              "-0.023762, -0.106167, -0.115143, -0.118504, -0.120662, -0.120741, -0.120669, -0.118814, -0.116106, -0.113735, -0.108728, -0.0961472, -0.0610232, -0.0412837, -0.0286278, -0.0173154, -0.0124318, -0.00936675, -0.00637133, -0.00373019, -0.00135937, -0.00135146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0239866");
-            index_3 ("0.0586076, 0.0675782, 0.078795, 0.087868, 0.0959916, 0.107966, 0.118657, 0.129199, 0.162496, 0.209608, 0.230942, 0.245313, 0.267166, 0.303748, 0.378282, 0.426323, 0.454567, 0.486845, 0.516385, 0.537556, 0.574477, 0.612075, 0.641317, 0.695709, 0.750101, 0.804493, 0.913277");
-            values ( \
-              "-0.0843253, -0.108359, -0.121234, -0.126455, -0.128709, -0.130302, -0.130535, -0.130455, -0.128872, -0.125107, -0.122598, -0.120412, -0.115801, -0.102509, -0.0641578, -0.043299, -0.0336669, -0.0248102, -0.0186254, -0.0151024, -0.0103466, -0.00703029, -0.0051886, -0.0028835, -0.00160791, -0.000881954, -0.000265784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437871");
-            index_3 ("0.0590571, 0.0657561, 0.0736955, 0.0821197, 0.0912138, 0.0977483, 0.105216, 0.119013, 0.125615, 0.152021, 0.22195, 0.297233, 0.351625, 0.37157, 0.39616, 0.422043, 0.47381, 0.491848, 0.617583, 0.670601, 0.701802, 0.750335, 0.804726, 0.863441, 0.90545, 0.959842, 1.02754, 1.07792, 1.13232, 1.2411, 1.34988, 1.51306");
-            values ( \
-              "-0.0914573, -0.108965, -0.121347, -0.128709, -0.133072, -0.134687, -0.13583, -0.136686, -0.136769, -0.136415, -0.134165, -0.130862, -0.127519, -0.125888, -0.123403, -0.120101, -0.109717, -0.104859, -0.0665511, -0.052311, -0.0449405, -0.0351365, -0.0262359, -0.0189617, -0.0149623, -0.0108924, -0.00732719, -0.0054579, -0.0039148, -0.00202439, -0.00103957, -0.00040631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0708678, 0.0731214, 0.0792197, 0.0858579, 0.0919779, 0.09788, 0.103714, 0.109546, 0.111724, 0.135624, 0.143117, 0.151421, 0.164337, 0.180472, 0.183196");
-            values ( \
-              "-0.0229226, -0.0463715, -0.0555488, -0.0616223, -0.0653047, -0.0667048, -0.0666973, -0.0634577, -0.0612825, -0.0238038, -0.0158822, -0.00986407, -0.00455533, -0.00167491, -0.00149306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0039431");
-            index_3 ("0.070732, 0.0747054, 0.0828239, 0.0916993, 0.0969072, 0.106172, 0.111977, 0.116204, 0.124337, 0.126119, 0.132227, 0.149265, 0.161662, 0.170001, 0.183859, 0.19827, 0.212687, 0.229163, 0.238403");
-            values ( \
-              "-0.0080501, -0.0635263, -0.076275, -0.0837329, -0.0861546, -0.0880232, -0.0877794, -0.086834, -0.0824838, -0.0808474, -0.0735314, -0.0467059, -0.0303493, -0.0220399, -0.0125058, -0.00673581, -0.00358241, -0.00167871, -0.00123576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00719805");
-            index_3 ("0.0706163, 0.0766317, 0.0882896, 0.0953053, 0.101119, 0.110949, 0.118283, 0.125551, 0.137739, 0.148492, 0.155236, 0.164834, 0.19524, 0.206393, 0.222538, 0.239584, 0.248494, 0.26666, 0.277779, 0.300018, 0.327953");
-            values ( \
-              "-0.00646947, -0.0797708, -0.0968512, -0.101745, -0.104443, -0.10637, -0.106645, -0.106195, -0.103828, -0.0991314, -0.0945095, -0.084827, -0.0483532, -0.0373198, -0.0248823, -0.0157579, -0.0123075, -0.0073443, -0.00532631, -0.00273157, -0.00125666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0131399");
-            index_3 ("0.0706884, 0.0792459, 0.0863133, 0.0969988, 0.10693, 0.117004, 0.126891, 0.136615, 0.154062, 0.168674, 0.176583, 0.1924, 0.197663, 0.208189, 0.220775, 0.258655, 0.288316, 0.315803, 0.342076, 0.356799, 0.37748, 0.399297, 0.416055, 0.449571, 0.48656");
-            values ( \
-              "-0.0162218, -0.0944761, -0.105725, -0.114912, -0.118862, -0.120506, -0.120837, -0.120547, -0.119031, -0.116881, -0.115315, -0.11083, -0.108734, -0.103414, -0.0945891, -0.0631415, -0.0419803, -0.0275053, -0.0178361, -0.0139113, -0.00967747, -0.00659416, -0.00491694, -0.00262952, -0.00146755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0239866");
-            index_3 ("0.0705979, 0.0829171, 0.0941563, 0.108751, 0.126896, 0.142858, 0.177853, 0.228736, 0.246373, 0.285069, 0.30011, 0.322122, 0.404731, 0.444645, 0.484516, 0.509443, 0.554427, 0.589752, 0.627038, 0.655682, 0.710074, 0.786146");
-            values ( \
-              "-0.031985, -0.108356, -0.121401, -0.128187, -0.130381, -0.130481, -0.128826, -0.124717, -0.122589, -0.115148, -0.110416, -0.100987, -0.0588792, -0.0421804, -0.0293744, -0.0231587, -0.014862, -0.0103647, -0.00706607, -0.00523845, -0.00292058, -0.00150579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437871");
-            index_3 ("0.0787417, 0.126233, 0.136624, 0.155358, 0.194462, 0.253042, 0.312668, 0.36706, 0.387018, 0.411606, 0.437487, 0.489249, 0.507295, 0.633028, 0.686036, 0.717257, 0.765822, 0.820214, 0.847408, 0.878896, 0.920879, 0.975271, 1.01789, 1.09369, 1.14808, 1.20247, 1.25686, 1.36565, 1.52882");
-            values ( \
-              "-0.136071, -0.136302, -0.136693, -0.136672, -0.13563, -0.133549, -0.130861, -0.127503, -0.125868, -0.123407, -0.120083, -0.109726, -0.10484, -0.0665667, -0.0522958, -0.0449556, -0.0351095, -0.0262497, -0.022618, -0.0189829, -0.0149425, -0.0109158, -0.00850055, -0.00542468, -0.00393096, -0.00281931, -0.0020442, -0.00106134, -0.000381967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.107684, 0.110821, 0.117043, 0.123739, 0.131725, 0.135389, 0.138307, 0.141636, 0.147481, 0.149725, 0.154173, 0.165014, 0.1736, 0.180895, 0.189466, 0.19365, 0.202297, 0.207613, 0.218244, 0.23198");
-            values ( \
-              "-0.004461, -0.0452113, -0.0548641, -0.0612977, -0.0655729, -0.0665011, -0.0667357, -0.066432, -0.0634754, -0.0611483, -0.0548437, -0.0361404, -0.0237734, -0.0160395, -0.00980913, -0.00766394, -0.00455661, -0.00329641, -0.00169767, -0.000764879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0039431");
-            index_3 ("0.107666, 0.115819, 0.12077, 0.129692, 0.138015, 0.146136, 0.154255, 0.162377, 0.164254, 0.170687, 0.186526, 0.198764, 0.210258, 0.217259, 0.224888, 0.237576, 0.254114, 0.271755, 0.278128");
-            values ( \
-              "-0.0194413, -0.0677276, -0.0756891, -0.0834153, -0.0869231, -0.0879973, -0.0868803, -0.0824319, -0.0807588, -0.0729334, -0.0479042, -0.0314259, -0.0201986, -0.0151931, -0.0110443, -0.00638536, -0.00308923, -0.0013615, -0.00111774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00719805");
-            index_3 ("0.107663, 0.119369, 0.126426, 0.133484, 0.139287, 0.148841, 0.157035, 0.163737, 0.175929, 0.186449, 0.193883, 0.203022, 0.233294, 0.244546, 0.260908, 0.277672, 0.29925, 0.322476, 0.342627, 0.356684");
-            values ( \
-              "-0.0237077, -0.0871072, -0.0965472, -0.101503, -0.104295, -0.106275, -0.106628, -0.106118, -0.103859, -0.0992544, -0.0941267, -0.0848233, -0.0484922, -0.0373465, -0.0247613, -0.0158007, -0.00861432, -0.00441564, -0.00241775, -0.00177281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0131399");
-            index_3 ("0.107667, 0.117365, 0.124467, 0.135177, 0.145079, 0.155199, 0.16509, 0.174814, 0.194567, 0.206897, 0.230553, 0.235863, 0.246483, 0.258976, 0.296842, 0.326509, 0.354023, 0.380264, 0.394993, 0.415679, 0.454284, 0.48783, 0.522558");
-            values ( \
-              "-0.00383839, -0.0938403, -0.10543, -0.11482, -0.118698, -0.120537, -0.120745, -0.120605, -0.118787, -0.11693, -0.110897, -0.108682, -0.103404, -0.0945422, -0.063127, -0.0419592, -0.0274719, -0.0178643, -0.0138883, -0.00970258, -0.00488913, -0.00265206, -0.00152991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0239866");
-            index_3 ("0.107686, 0.121229, 0.132495, 0.146084, 0.156907, 0.182936, 0.21621, 0.26331, 0.277441, 0.299106, 0.32089, 0.357477, 0.432185, 0.480145, 0.5079, 0.53962, 0.571245, 0.593921, 0.629157, 0.644011, 0.673721, 0.728113, 0.782505, 0.836897, 0.94568");
-            values ( \
-              "-0.0197419, -0.107936, -0.121335, -0.12794, -0.129873, -0.130506, -0.128921, -0.125093, -0.123538, -0.120392, -0.115788, -0.102502, -0.0640678, -0.0432606, -0.0337892, -0.0250393, -0.0184195, -0.0147098, -0.0102507, -0.00881955, -0.00646372, -0.00362411, -0.00200094, -0.00112096, -0.000347996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437871");
-            index_3 ("0.115573, 0.144243, 0.154656, 0.174815, 0.213016, 0.271932, 0.350863, 0.425217, 0.475684, 0.527444, 0.545493, 0.671226, 0.755457, 0.804025, 0.858417, 0.917105, 0.959096, 1.04347, 1.1319, 1.18629, 1.34947, 1.35791");
-            values ( \
-              "-0.128682, -0.132599, -0.135305, -0.136763, -0.136284, -0.134355, -0.130784, -0.125933, -0.120145, -0.109664, -0.104897, -0.0665185, -0.0449085, -0.0351495, -0.0262085, -0.0189472, -0.0149752, -0.00913637, -0.00545459, -0.00390079, -0.00148561, -0.00144387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.194131, 0.197061, 0.210285, 0.218628, 0.224801, 0.230813, 0.236787, 0.239873, 0.265716, 0.275099, 0.281909, 0.290891, 0.302531, 0.306897");
-            values ( \
-              "-0.0252894, -0.0349528, -0.0545698, -0.0615514, -0.0644227, -0.0650943, -0.0626884, -0.0595923, -0.0205324, -0.0121834, -0.00818801, -0.00477331, -0.00235657, -0.00190711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0039431");
-            index_3 ("0.184778, 0.214678, 0.222038, 0.227129, 0.235433, 0.243654, 0.251872, 0.255233, 0.26132, 0.274577, 0.285342, 0.295798, 0.303091, 0.311362, 0.317062, 0.328275, 0.334883, 0.348098, 0.371003, 0.397978");
-            values ( \
-              "-0.000827262, -0.0747883, -0.0815925, -0.0843959, -0.0866591, -0.0861482, -0.082064, -0.0789688, -0.0710731, -0.0500614, -0.0349317, -0.0236203, -0.017643, -0.0125449, -0.00985535, -0.00607175, -0.00454812, -0.00250733, -0.00082519, -0.000210589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00719805");
-            index_3 ("0.186535, 0.215078, 0.217869, 0.223452, 0.228548, 0.238145, 0.241056, 0.246877, 0.253328, 0.265581, 0.273523, 0.278551, 0.288607, 0.29269, 0.31835, 0.329206, 0.335545, 0.345426, 0.356719, 0.362158, 0.368496, 0.376947, 0.38929, 0.394482, 0.404864, 0.42563, 0.460385, 0.501449");
-            values ( \
-              "-0.00161021, -0.0892324, -0.0928513, -0.0981489, -0.101511, -0.104906, -0.105417, -0.10584, -0.105688, -0.103615, -0.10049, -0.097697, -0.0893184, -0.0848208, -0.0535341, -0.0420211, -0.0361297, -0.0282591, -0.0210085, -0.0181603, -0.0152817, -0.0120879, -0.0085114, -0.00734359, -0.00544031, -0.00292829, -0.000953408, -0.000237851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0131399");
-            index_3 ("0.198728, 0.205318, 0.219857, 0.224456, 0.233228, 0.243338, 0.253891, 0.264536, 0.282195, 0.296408, 0.304519, 0.32074, 0.325603, 0.335329, 0.348713, 0.386708, 0.416317, 0.443607, 0.470124, 0.48481, 0.505463, 0.527171, 0.543833, 0.577159, 0.618354");
-            values ( \
-              "-0.059705, -0.083907, -0.106433, -0.110952, -0.116342, -0.119328, -0.120315, -0.120293, -0.118945, -0.116893, -0.115297, -0.110683, -0.108726, -0.103887, -0.0945833, -0.0630398, -0.0419314, -0.0275508, -0.0178211, -0.0138792, -0.00968767, -0.00661968, -0.0049148, -0.00266471, -0.0013358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0239866");
-            index_3 ("0.198502, 0.209932, 0.221927, 0.229536, 0.239527, 0.250442, 0.261796, 0.272898, 0.306187, 0.353163, 0.374714, 0.392236, 0.410866, 0.447539, 0.529457, 0.572485, 0.600515, 0.630413, 0.649329, 0.679896, 0.717727, 0.756899, 0.787641, 0.842033, 0.920656");
-            values ( \
-              "-0.0521877, -0.0990192, -0.116465, -0.122845, -0.127382, -0.129535, -0.130272, -0.13028, -0.128818, -0.125111, -0.122585, -0.119828, -0.115791, -0.102455, -0.0605746, -0.0423648, -0.0329464, -0.024864, -0.0206999, -0.0152981, -0.0104056, -0.00695716, -0.00503716, -0.00281262, -0.0014059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437871");
-            index_3 ("0.198426, 0.216632, 0.225835, 0.234578, 0.24221, 0.250933, 0.264933, 0.281127, 0.300909, 0.3518, 0.381376, 0.451358, 0.502133, 0.556525, 0.565893, 0.584628, 0.622098, 0.662565, 0.767865, 0.822257, 0.847396, 0.884773, 0.919122, 0.963967, 1.00047, 1.04429, 1.09868, 1.14843, 1.1786, 1.23299, 1.28738, 1.34177, 1.45056, 1.55934, 1.66813");
-            values ( \
-              "-0.0592577, -0.114054, -0.125114, -0.130834, -0.133612, -0.135337, -0.136484, -0.136622, -0.136261, -0.134654, -0.133538, -0.130308, -0.126977, -0.121401, -0.120076, -0.11697, -0.108534, -0.0967995, -0.0646878, -0.0503462, -0.0445346, -0.0368545, -0.0307729, -0.0241265, -0.0197083, -0.015375, -0.0112226, -0.00839941, -0.00703212, -0.00508743, -0.00367059, -0.00264647, -0.00137066, -0.000708422, -0.000365888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.378251, 0.380485, 0.394335, 0.405048, 0.411439, 0.41841, 0.425038, 0.4316, 0.438558, 0.453509, 0.459708, 0.466671, 0.477924, 0.489538, 0.505824, 0.507755");
-            values ( \
-              "-0.0146084, -0.0161834, -0.0360548, -0.0481578, -0.0536723, -0.0578061, -0.0597403, -0.0589126, -0.0524906, -0.0297263, -0.0219488, -0.0151639, -0.00801651, -0.00404313, -0.00153254, -0.0014059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0039431");
-            index_3 ("0.380272, 0.382615, 0.398121, 0.410848, 0.418515, 0.424781, 0.429638, 0.438411, 0.447183, 0.452237, 0.484777, 0.494422, 0.505763, 0.515919, 0.525554, 0.534625, 0.551405, 0.553679");
-            values ( \
-              "-0.0221496, -0.0245535, -0.0524118, -0.0688741, -0.0751912, -0.0786134, -0.0803869, -0.0815124, -0.0789182, -0.0748383, -0.0303819, -0.0210839, -0.0133415, -0.00878135, -0.00590739, -0.00403447, -0.00190082, -0.00177924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00719805");
-            index_3 ("0.3829, 0.403105, 0.414461, 0.422056, 0.435026, 0.443283, 0.448444, 0.461251, 0.466216, 0.474427, 0.487721, 0.513819, 0.528582, 0.54444, 0.555585, 0.565401, 0.587262, 0.610138, 0.636281, 0.644724");
-            values ( \
-              "-0.0310479, -0.0711246, -0.0862062, -0.0925695, -0.0991751, -0.100943, -0.101431, -0.100527, -0.0993144, -0.0957417, -0.0849769, -0.0540273, -0.0390987, -0.0265051, -0.0197688, -0.015156, -0.00819072, -0.00423043, -0.00189991, -0.00159591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0131399");
-            index_3 ("0.385635, 0.394926, 0.404655, 0.417492, 0.428053, 0.439458, 0.453875, 0.459966, 0.470112, 0.480221, 0.500536, 0.514833, 0.525713, 0.532818, 0.545367, 0.593545, 0.617083, 0.640554, 0.658377, 0.678118, 0.708655, 0.726591, 0.74709, 0.788086, 0.814619");
-            values ( \
-              "-0.0391542, -0.0627722, -0.0827308, -0.100066, -0.108405, -0.113524, -0.116407, -0.116822, -0.116834, -0.116275, -0.113555, -0.110229, -0.106591, -0.103024, -0.0944734, -0.0552025, -0.0394607, -0.0274293, -0.0205043, -0.0147053, -0.00863563, -0.0062972, -0.00436008, -0.00202078, -0.00137127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0239866");
-            index_3 ("0.385319, 0.400891, 0.408703, 0.425555, 0.433874, 0.447587, 0.468537, 0.485568, 0.509619, 0.528556, 0.552872, 0.571395, 0.606337, 0.615102, 0.647148, 0.72977, 0.769676, 0.80954, 0.834471, 0.879461, 0.914785, 0.952065, 0.980705, 1.0351, 1.10991");
-            values ( \
-              "-0.0304932, -0.0805447, -0.09602, -0.114974, -0.119761, -0.124846, -0.127251, -0.127271, -0.126362, -0.126391, -0.124685, -0.122579, -0.116139, -0.113729, -0.100984, -0.058874, -0.04218, -0.0293756, -0.0231592, -0.0148612, -0.0103646, -0.00706647, -0.00523881, -0.00292102, -0.00152945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437871");
-            index_3 ("0.385374, 0.409238, 0.420254, 0.430229, 0.443, 0.460814, 0.485116, 0.5099, 0.519746, 0.529568, 0.542274, 0.56029, 0.607872, 0.638082, 0.692474, 0.737023, 0.762904, 0.814664, 0.832713, 0.958444, 1.01145, 1.04268, 1.09126, 1.14565, 1.17283, 1.20431, 1.24627, 1.30067, 1.34334, 1.41924, 1.47363, 1.52802, 1.58241, 1.6912, 1.85437");
-            values ( \
-              "-0.0329592, -0.099241, -0.11518, -0.122381, -0.129409, -0.13296, -0.134149, -0.133838, -0.134486, -0.134688, -0.13465, -0.134157, -0.132292, -0.130869, -0.127488, -0.123411, -0.120074, -0.10973, -0.104833, -0.0665679, -0.0522961, -0.0449557, -0.0351084, -0.026246, -0.022614, -0.0189796, -0.014949, -0.0109132, -0.00850495, -0.00542643, -0.00392213, -0.00282315, -0.00203667, -0.00105442, -0.000388012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.793606, 0.79994, 0.807358, 0.815418, 0.82556, 0.841834, 0.850602, 0.858558, 0.866102, 0.873648, 0.874628, 0.889382, 0.899766, 0.907659, 0.915869, 0.922402, 0.929866, 0.935703, 0.947377, 0.951048");
-            values ( \
-              "-0.00835146, -0.0107034, -0.0159379, -0.023108, -0.0305574, -0.041661, -0.0469907, -0.0507703, -0.0523402, -0.0488908, -0.0479362, -0.0287592, -0.0174594, -0.0114538, -0.00724211, -0.00497759, -0.00325393, -0.00233172, -0.00118526, -0.00103118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0039431");
-            index_3 ("0.796128, 0.8001, 0.805438, 0.821672, 0.840711, 0.852908, 0.863539, 0.873379, 0.883001, 0.892605, 0.914147, 0.923929, 0.932627, 0.938653, 0.949582, 0.958204, 0.967488, 0.974652, 0.98898, 0.99703");
-            values ( \
-              "-0.0128352, -0.014943, -0.0189443, -0.0358044, -0.0531975, -0.0633108, -0.0701733, -0.0739438, -0.0739007, -0.0673302, -0.0386978, -0.0275855, -0.0198728, -0.0156582, -0.0100173, -0.00696073, -0.00469832, -0.00345548, -0.00183601, -0.00142546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719805");
-            index_3 ("0.79534, 0.820625, 0.843545, 0.853222, 0.868227, 0.872638, 0.877679, 0.882959, 0.893518, 0.896551, 0.902617, 0.910139, 0.923849, 0.930707, 0.950825, 0.963601, 0.968581, 0.976645, 0.983621, 0.995186, 1.00006, 1.00915, 1.02193, 1.02764, 1.0354, 1.04574, 1.06643, 1.10362, 1.14775");
-            values ( \
-              "-0.00951775, -0.0414721, -0.0671432, -0.0768629, -0.088396, -0.0906861, -0.0928354, -0.0945023, -0.0962492, -0.0962424, -0.0957115, -0.0934125, -0.0838098, -0.076359, -0.0529863, -0.0399622, -0.0355229, -0.0291565, -0.0244037, -0.0179846, -0.0157746, -0.0122816, -0.00856979, -0.00729281, -0.00584872, -0.00433915, -0.00234723, -0.00069761, -0.000152527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131399");
-            index_3 ("0.795317, 0.816401, 0.84747, 0.863026, 0.872948, 0.890703, 0.903254, 0.915796, 0.93662, 0.957502, 0.967139, 0.98154, 1.03211, 1.05369, 1.09124, 1.11865, 1.13918, 1.16023, 1.20847, 1.23804");
-            values ( \
-              "-0.00442071, -0.040481, -0.0805069, -0.0963743, -0.103259, -0.11083, -0.113241, -0.114032, -0.112824, -0.107462, -0.103182, -0.0938105, -0.0533486, -0.0392337, -0.0217413, -0.0137265, -0.00961007, -0.00664968, -0.00277393, -0.00192009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239866");
-            index_3 ("0.795494, 0.825267, 0.844021, 0.858387, 0.86671, 0.881432, 0.903695, 0.921712, 0.938201, 0.961548, 0.97252, 0.989803, 1.00762, 1.0429, 1.05142, 1.06115, 1.08061, 1.15552, 1.18538, 1.20376, 1.2261, 1.24834, 1.27154, 1.29365, 1.31827, 1.35318, 1.38893, 1.41622, 1.47062, 1.52501, 1.5794, 1.68818");
-            values ( \
-              "-0.00210982, -0.0559538, -0.0821833, -0.0991611, -0.107107, -0.116314, -0.123659, -0.125698, -0.126249, -0.125497, -0.124871, -0.123407, -0.121478, -0.115253, -0.113005, -0.109933, -0.102017, -0.0640123, -0.050498, -0.0432136, -0.0355107, -0.0290536, -0.0233164, -0.0188069, -0.0147206, -0.0103042, -0.00713706, -0.00537159, -0.00299231, -0.00166315, -0.00091827, -0.000279412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437871");
-            index_3 ("0.795393, 0.836316, 0.861843, 0.868212, 0.885584, 0.895059, 0.914009, 0.934283, 0.954831, 0.981358, 1.01403, 1.05982, 1.1028, 1.1357, 1.19009, 1.21579, 1.24398, 1.2698, 1.37366, 1.4095, 1.46389, 1.51026, 1.5411, 1.59451, 1.64152, 1.68288, 1.73727, 1.8062, 1.85756, 1.91196, 2.02074, 2.12952, 2.2927");
-            values ( \
-              "-0.00220918, -0.0749314, -0.107796, -0.113307, -0.123951, -0.127482, -0.131643, -0.133371, -0.133758, -0.133387, -0.132405, -0.13056, -0.128336, -0.126126, -0.120762, -0.116896, -0.111458, -0.104794, -0.072975, -0.0626102, -0.0485659, -0.0385405, -0.0328374, -0.0245991, -0.0189496, -0.0149899, -0.0109314, -0.00730576, -0.00538887, -0.00388542, -0.00201501, -0.00104074, -0.000389886" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00296792, 0.00296847, 0.00296898, 0.00296937, 0.00296964, 0.00296981", \
-            "0.00337183, 0.0033721, 0.00337241, 0.00337268, 0.00337289, 0.00337302", \
-            "0.00357929, 0.0035793, 0.00357933, 0.00357939, 0.00357945, 0.00357949", \
-            "0.00369258, 0.00369249, 0.00369242, 0.00369236, 0.00369232, 0.00369231", \
-            "0.00375727, 0.00375725, 0.0037572, 0.00375715, 0.0037571, 0.00375707", \
-            "0.00380353, 0.0038035, 0.00380346, 0.00380341, 0.00380335, 0.00380331" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00408609, 0.00408605, 0.00408668, 0.00408724, 0.00408766, 0.00408793", \
-            "0.00432311, 0.00432266, 0.00432234, 0.00432222, 0.0043222, 0.0043222", \
-            "0.00456104, 0.00455937, 0.00455763, 0.00455616, 0.00455513, 0.00455448", \
-            "0.00490427, 0.00490191, 0.00489911, 0.00489644, 0.00489419, 0.00489261", \
-            "0.00538045, 0.00539051, 0.00539842, 0.00540424, 0.0054068, 0.00540647", \
-            "0.00592772, 0.00591529, 0.00589578, 0.00590508, 0.00592204, 0.0059301" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "A";
-        cell_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0772554, 0.0964012, 0.130975, 0.193692, 0.307819, 0.515885", \
-            "0.0822172, 0.101451, 0.136114, 0.198915, 0.313107, 0.521207", \
-            "0.0937103, 0.11294, 0.14776, 0.2107, 0.324968, 0.533151", \
-            "0.119425, 0.138776, 0.173536, 0.236602, 0.351032, 0.559351", \
-            "0.168115, 0.193045, 0.233439, 0.298368, 0.413124, 0.621717", \
-            "0.252404, 0.28816, 0.344154, 0.429659, 0.560788, 0.772316" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0781977, 0.105213, 0.153979, 0.242393, 0.403449, 0.696957", \
-            "0.0782198, 0.105225, 0.153978, 0.242394, 0.403451, 0.696957", \
-            "0.0781755, 0.105173, 0.153963, 0.242368, 0.403446, 0.696904", \
-            "0.0820157, 0.10768, 0.15453, 0.242375, 0.403443, 0.696957", \
-            "0.106271, 0.130464, 0.172116, 0.251415, 0.404911, 0.696927", \
-            "0.15957, 0.187562, 0.23513, 0.31122, 0.443412, 0.710929" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0532898, 0.065622, 0.087501, 0.126718, 0.197222, 0.32491", \
-            "0.0562006, 0.0685996, 0.090529, 0.129769, 0.200323, 0.328036", \
-            "0.063128, 0.075759, 0.0978004, 0.137169, 0.207806, 0.335598", \
-            "0.0787505, 0.0926615, 0.115344, 0.1549, 0.22588, 0.353861", \
-            "0.0983654, 0.117637, 0.147619, 0.194529, 0.268943, 0.397549", \
-            "0.108078, 0.136587, 0.180368, 0.247623, 0.34766, 0.497375" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0503461, 0.0666134, 0.0964185, 0.150318, 0.245732, 0.417463", \
-            "0.0502318, 0.0664903, 0.0963202, 0.150311, 0.245726, 0.417519", \
-            "0.0500777, 0.0659749, 0.095704, 0.14993, 0.245724, 0.417519", \
-            "0.0587107, 0.0724798, 0.0995925, 0.151143, 0.245411, 0.417518", \
-            "0.0850562, 0.100583, 0.127619, 0.174726, 0.257989, 0.419979", \
-            "0.132744, 0.153862, 0.188416, 0.246238, 0.330158, 0.468933" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0287901, 0.0360842, 0.0400341, 0.0482417, 0.054858, 0.0614387, 0.0729688, 0.0909571, 0.0972465, 0.108101, 0.110797, 0.125638, 0.14031, 0.15268, 0.160433, 0.172826, 0.187583, 0.208297, 0.226453, 0.261464, 0.302056, 0.309827");
-            values ( \
-              "0.0109422, 0.0424157, 0.0440759, 0.037164, 0.0354656, 0.0343941, 0.0330457, 0.0316657, 0.0308243, 0.0275613, 0.0258773, 0.0188245, 0.0137832, 0.0104772, 0.00873516, 0.00653175, 0.00458822, 0.00276208, 0.00176329, 0.000723174, 0.000275977, 0.000239485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0039431");
-            index_3 ("0.0299646, 0.0340366, 0.0361758, 0.0377661, 0.040284, 0.0457788, 0.049564, 0.0533745, 0.0575862, 0.0643339, 0.0724978, 0.088009, 0.098983, 0.112115, 0.120674, 0.134482, 0.13905, 0.160548, 0.162896, 0.167592, 0.176985, 0.194595, 0.200095, 0.201356, 0.208921, 0.234107, 0.24782, 0.266104, 0.280948, 0.287866, 0.301701, 0.329373, 0.378835, 0.408344");
-            values ( \
-              "0.0174614, 0.0523638, 0.0582672, 0.0606968, 0.0601596, 0.0539803, 0.051246, 0.0499675, 0.0488444, 0.0476474, 0.04653, 0.045029, 0.0441215, 0.0427778, 0.0414215, 0.0374088, 0.0351148, 0.0255287, 0.0248362, 0.0228941, 0.019839, 0.0145574, 0.0134255, 0.0129775, 0.0113559, 0.0071442, 0.00552167, 0.00398693, 0.00290569, 0.00264301, 0.00193247, 0.00120008, 0.000361246, 0.000282442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00719805");
-            index_3 ("0.0322632, 0.0322832, 0.0646951, 0.0707624, 0.0894008, 0.112214, 0.138622, 0.163073, 0.18178, 0.22488, 0.243176, 0.267571, 0.284066, 0.307631, 0.332147, 0.361649, 0.388362, 0.439524, 0.484122, 0.560633, 0.643154");
-            values ( \
-              "1e-22, 0.0761566, 0.0611443, 0.06003, 0.0583465, 0.0568892, 0.0545612, 0.0513333, 0.0465672, 0.031368, 0.0257844, 0.019513, 0.0159397, 0.01184, 0.008596, 0.00578493, 0.00407266, 0.0019662, 0.00109105, 0.000297829, 0.000127866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0131399");
-            index_3 ("0.0321787, 0.0398637, 0.0458088, 0.0501697, 0.0547587, 0.0628567, 0.071671, 0.0912551, 0.152493, 0.188951, 0.219901, 0.239939, 0.268919, 0.346451, 0.400772, 0.445386, 0.476675, 0.497031, 0.53207, 0.572116, 0.605596, 0.657254, 0.726131, 0.808651, 0.973693");
-            values ( \
-              "0.0849665, 0.0901385, 0.0809482, 0.0770615, 0.0748986, 0.0725883, 0.0712477, 0.069778, 0.0665261, 0.0641742, 0.0615111, 0.0589657, 0.0537467, 0.0346947, 0.0235336, 0.0165907, 0.012863, 0.0107772, 0.00802394, 0.00557762, 0.00413328, 0.00258355, 0.00140487, 0.000598455, 0.000104201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0239866");
-            index_3 ("0.0355032, 0.0355232, 0.119612, 0.195677, 0.279738, 0.315461, 0.379937, 0.42166, 0.45196, 0.586085, 0.644372, 0.674703, 0.722474, 0.777069, 0.813114, 0.855534, 0.898815, 0.959778, 1.03235, 1.11487, 1.19739, 1.3606");
-            values ( \
-              "1e-22, 0.0936062, 0.0772104, 0.0746189, 0.0711409, 0.0693623, 0.0643916, 0.0594602, 0.0550606, 0.0341248, 0.0264994, 0.0229898, 0.0183191, 0.0138661, 0.0115161, 0.00921624, 0.00736745, 0.00524164, 0.00351407, 0.0022595, 0.00137485, 0.000534666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437871");
-            index_3 ("0.0380761, 0.0380961, 0.190898, 0.317013, 0.4185, 0.523746, 0.635288, 0.69269, 0.75988, 0.916055, 1.05452, 1.13698, 1.19482, 1.34591, 1.45302, 1.52426, 1.60678, 1.7485, 1.91354, 2.07858, 2.40866, 2.65622");
-            values ( \
-              "1e-22, 0.0954239, 0.0816173, 0.0789927, 0.0765041, 0.0733323, 0.067908, 0.0637358, 0.0581174, 0.0430257, 0.0310817, 0.0248504, 0.0211666, 0.0135946, 0.00979144, 0.00799242, 0.00601852, 0.00380538, 0.00219904, 0.00125224, 0.000374714, 0.000279296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0456098, 0.0484017, 0.0513849, 0.05377, 0.063209, 0.0706211, 0.076848, 0.0836881, 0.10035, 0.112681, 0.123343, 0.141557, 0.153712, 0.161394, 0.173874, 0.187588, 0.199256, 0.210523, 0.225547, 0.240959, 0.266788, 0.301227, 0.346147, 0.40197");
-            values ( \
-              "0.0199049, 0.0358669, 0.0419578, 0.0437832, 0.0371489, 0.0352973, 0.0343206, 0.0334933, 0.0321424, 0.0309068, 0.0275674, 0.0186871, 0.0144554, 0.012185, 0.00917741, 0.00666314, 0.00504401, 0.00384923, 0.00266058, 0.00182385, 0.000961377, 0.00039823, 0.000116599, 3.31019e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0039431");
-            index_3 ("0.0457005, 0.0495872, 0.0517448, 0.0533469, 0.0558008, 0.0615909, 0.0653318, 0.0691226, 0.0732753, 0.0802677, 0.0881986, 0.119721, 0.127817, 0.136375, 0.150223, 0.16381, 0.176237, 0.183317, 0.192756, 0.210346, 0.215809, 0.217058, 0.224552, 0.234544, 0.249762, 0.263602, 0.282054, 0.296727, 0.313464, 0.335781, 0.380415, 0.437611, 0.508601");
-            values ( \
-              "0.0213953, 0.0517452, 0.0578993, 0.0602634, 0.0596905, 0.0534341, 0.0510404, 0.0498365, 0.0487969, 0.0475687, 0.046533, 0.043622, 0.0427637, 0.0414346, 0.0373794, 0.0310086, 0.025547, 0.0229014, 0.0198007, 0.0145609, 0.0134067, 0.0129943, 0.0113873, 0.00958803, 0.00716711, 0.00552998, 0.0039509, 0.00291803, 0.00210751, 0.00141762, 0.00052141, 0.000197075, 6.66481e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00719805");
-            index_3 ("0.0456704, 0.0511088, 0.0530426, 0.0547035, 0.0651165, 0.0730902, 0.0865308, 0.154394, 0.178867, 0.191189, 0.205917, 0.240648, 0.259182, 0.299645, 0.323016, 0.347912, 0.377617, 0.413527, 0.454763, 0.498748, 0.571952, 0.654473");
-            values ( \
-              "0.0250818, 0.0703021, 0.0755117, 0.076442, 0.0650396, 0.0624321, 0.0600878, 0.0545035, 0.0512738, 0.0484807, 0.043731, 0.0312927, 0.0256436, 0.01592, 0.0118457, 0.00854858, 0.00572454, 0.0034772, 0.00194965, 0.0011401, 0.000293776, 0.000165864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0131399");
-            index_3 ("0.0480673, 0.0480873, 0.105623, 0.168294, 0.204939, 0.235766, 0.255803, 0.2848, 0.362314, 0.416632, 0.461252, 0.512896, 0.547924, 0.587956, 0.621455, 0.673143, 0.742061, 0.824581, 0.833898");
-            values ( \
-              "1e-22, 0.0824757, 0.0698515, 0.0665348, 0.0641675, 0.0615124, 0.0589641, 0.0537448, 0.0346956, 0.023535, 0.0165923, 0.0107793, 0.0080218, 0.00558181, 0.00413673, 0.00258639, 0.00140052, 0.000601544, 0.000573663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0239866");
-            index_3 ("0.0508705, 0.0508905, 0.13601, 0.21169, 0.270518, 0.33147, 0.395945, 0.4382, 0.602074, 0.660334, 0.690702, 0.738531, 0.793193, 0.829126, 0.871414, 0.97574, 1.04843, 1.13095, 1.21347, 1.37852, 1.62608");
-            values ( \
-              "1e-22, 0.0922865, 0.0771701, 0.074645, 0.07233, 0.0693901, 0.0644213, 0.0593622, 0.034104, 0.0265288, 0.0229674, 0.0183374, 0.0138354, 0.0114939, 0.00920085, 0.00522141, 0.00349112, 0.00227982, 0.00135293, 0.000503776, 0.000186573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437871");
-            index_3 ("0.0533319, 0.0533519, 0.207109, 0.332957, 0.434444, 0.53969, 0.651232, 0.708633, 0.775823, 0.931998, 1.07046, 1.15293, 1.21076, 1.36185, 1.46896, 1.54021, 1.62273, 1.76444, 1.92948, 2.09452, 2.42461, 2.67217");
-            values ( \
-              "1e-22, 0.0946122, 0.0816136, 0.0789906, 0.0765022, 0.0733307, 0.067908, 0.0637359, 0.0581172, 0.0430275, 0.0310791, 0.0248531, 0.0211701, 0.0135982, 0.00979528, 0.00798846, 0.00602258, 0.00381026, 0.00220404, 0.00125735, 0.00038004, 0.000273822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0790654, 0.0841345, 0.08805, 0.0918721, 0.0931528, 0.0957144, 0.0988628, 0.103118, 0.108307, 0.114109, 0.125637, 0.143607, 0.149896, 0.160846, 0.163447, 0.178053, 0.187261, 0.194001, 0.207181, 0.215163, 0.231629, 0.238432, 0.240074, 0.243357, 0.249924, 0.274331, 0.278309, 0.286264, 0.333996, 0.432216");
-            values ( \
-              "0.00442485, 0.0262931, 0.0343906, 0.0403054, 0.0408447, 0.0407313, 0.0385145, 0.0364923, 0.035378, 0.0344518, 0.0331025, 0.0316466, 0.030866, 0.0274871, 0.0258993, 0.0189515, 0.0156127, 0.0135652, 0.00994226, 0.00826211, 0.00557295, 0.00490657, 0.00453854, 0.00437307, 0.00355813, 0.00191508, 0.00191792, 0.00140463, 0.000351295, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0039431");
-            index_3 ("0.0769429, 0.0882941, 0.091655, 0.0936636, 0.104676, 0.110271, 0.115529, 0.125186, 0.156669, 0.169778, 0.180467, 0.191637, 0.204583, 0.226202, 0.251213, 0.259898, 0.286449, 0.301128, 0.33424, 0.349878, 0.370728, 0.412428, 0.457964");
-            values ( \
-              "0.000194666, 0.0468888, 0.055223, 0.0567103, 0.0501602, 0.0488772, 0.0479098, 0.046593, 0.0436644, 0.0420839, 0.0397524, 0.0352456, 0.0290798, 0.0207997, 0.0136221, 0.0116929, 0.00717583, 0.00544458, 0.00286057, 0.00210679, 0.00149747, 0.000561245, 0.000357919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00719805");
-            index_3 ("0.0819747, 0.0901061, 0.0935467, 0.096232, 0.10295, 0.112404, 0.123804, 0.132645, 0.165617, 0.191652, 0.210012, 0.216125, 0.228351, 0.277906, 0.296443, 0.321159, 0.3369, 0.360267, 0.385167, 0.414871, 0.450776, 0.492001, 0.535974, 0.610084, 0.692605");
-            values ( \
-              "0.0356322, 0.0655543, 0.0722918, 0.0710227, 0.0652173, 0.0620929, 0.0602018, 0.0592727, 0.0567596, 0.0544764, 0.0522657, 0.0512477, 0.0485323, 0.0313139, 0.025663, 0.0194328, 0.0159377, 0.0118633, 0.00856476, 0.00574021, 0.00349264, 0.00196367, 0.00112734, 0.000301252, 0.000151102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0131399");
-            index_3 ("0.0818076, 0.0902854, 0.0942608, 0.103593, 0.114542, 0.123622, 0.142765, 0.199715, 0.253467, 0.280336, 0.293082, 0.31286, 0.33603, 0.390503, 0.418001, 0.454665, 0.471282, 0.497657, 0.5278, 0.555051, 0.587352, 0.63042, 0.67386, 0.703472, 0.762696, 0.845216, 0.927737, 1.01026");
-            values ( \
-              "0.0338982, 0.0777592, 0.084728, 0.0763266, 0.0729135, 0.0713597, 0.0698363, 0.0668927, 0.0633231, 0.0606691, 0.0589932, 0.055635, 0.0504015, 0.036776, 0.0305814, 0.0234725, 0.0205902, 0.0167793, 0.013004, 0.0103243, 0.00780402, 0.00539053, 0.0035977, 0.00281762, 0.00157441, 0.000771204, 0.000301686, 0.000191451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0239866");
-            index_3 ("0.0882643, 0.0882843, 0.1894, 0.293742, 0.336025, 0.368788, 0.430343, 0.474157, 0.505282, 0.610278, 0.639442, 0.697769, 0.728043, 0.775724, 0.830216, 0.866431, 0.909049, 0.952268, 1.01317, 1.08553, 1.16806, 1.25058, 1.41562, 1.66318");
-            values ( \
-              "1e-22, 0.0904194, 0.0766843, 0.0728712, 0.0710322, 0.0693329, 0.0646834, 0.0595972, 0.0550379, 0.0384401, 0.0341411, 0.0264707, 0.0230084, 0.0183077, 0.0138971, 0.0115339, 0.00922361, 0.00734613, 0.00525633, 0.00353288, 0.00224529, 0.00139188, 0.000541481, 0.000150005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437871");
-            index_3 ("0.0923848, 0.0924048, 0.258952, 0.471842, 0.577123, 0.688533, 0.745194, 0.813177, 0.969359, 1.10785, 1.1903, 1.2481, 1.3992, 1.50634, 1.57758, 1.6601, 1.80178, 1.96682, 2.13187, 2.46195, 2.70951");
-            values ( \
-              "1e-22, 0.0953814, 0.0814346, 0.0765094, 0.0733371, 0.067917, 0.063793, 0.0581196, 0.0430336, 0.0310692, 0.024861, 0.0211812, 0.0136091, 0.00980563, 0.00797714, 0.0060336, 0.0038228, 0.00221659, 0.0012699, 0.00039262, 0.000261217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.147358, 0.162486, 0.173098, 0.180026, 0.186335, 0.192723, 0.19932, 0.205859, 0.210298, 0.212289, 0.215773, 0.218958, 0.221798, 0.224582, 0.236915, 0.246876, 0.257599, 0.266569, 0.272119, 0.292861, 0.303998, 0.311813, 0.32366, 0.334577, 0.349132, 0.360714, 0.377113, 0.398978, 0.436282, 0.483566, 0.542034");
-            values ( \
-              "0.000981187, 0.0185794, 0.0266254, 0.0302314, 0.0306557, 0.0296798, 0.0298119, 0.0297637, 0.0301478, 0.030971, 0.0312466, 0.032161, 0.0318706, 0.0320643, 0.0309667, 0.0278272, 0.0224235, 0.0183866, 0.0163296, 0.0102411, 0.00798369, 0.00669614, 0.00500345, 0.00385223, 0.00272505, 0.00202658, 0.00135462, 0.00079848, 0.000289004, 9.45166e-05, 1.21978e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0039431");
-            index_3 ("0.150554, 0.160652, 0.174633, 0.17816, 0.185213, 0.195095, 0.201776, 0.210355, 0.212058, 0.215465, 0.219612, 0.223344, 0.227971, 0.23587, 0.244002, 0.260662, 0.266798, 0.278091, 0.284152, 0.301277, 0.323383, 0.341416, 0.364474, 0.378269, 0.396197, 0.425015, 0.427605, 0.432784, 0.463858, 0.505291, 0.563099, 0.635567");
-            values ( \
-              "0.00557303, 0.0225691, 0.0386034, 0.0413754, 0.0424618, 0.0414515, 0.0416181, 0.0421272, 0.0434389, 0.0438534, 0.0453552, 0.0448395, 0.0451269, 0.0441981, 0.043801, 0.0415569, 0.0399307, 0.0357549, 0.0326154, 0.0252076, 0.0176625, 0.0129502, 0.00852038, 0.00659361, 0.00468612, 0.00266364, 0.00266903, 0.00229037, 0.00122398, 0.000619536, 9.87357e-05, 0.000100512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00719805");
-            index_3 ("0.150485, 0.164038, 0.173705, 0.179116, 0.182776, 0.192363, 0.197917, 0.204186, 0.2103, 0.212875, 0.21869, 0.224488, 0.232793, 0.246278, 0.271263, 0.290547, 0.303177, 0.322608, 0.361941, 0.383249, 0.407601, 0.433232, 0.447784, 0.466906, 0.48292, 0.50171, 0.528433, 0.557339, 0.579683, 0.624372, 0.702357, 0.784877");
-            values ( \
-              "0.00568998, 0.0325513, 0.048389, 0.0534297, 0.0535976, 0.0531557, 0.0531164, 0.0534843, 0.0543442, 0.0558778, 0.0578847, 0.0584909, 0.0582204, 0.0572579, 0.0551927, 0.0532094, 0.051221, 0.0464576, 0.032319, 0.0258922, 0.0194352, 0.0142356, 0.0119079, 0.00921785, 0.00746006, 0.00585673, 0.00400699, 0.00269538, 0.00202177, 0.00103198, 0.00034467, 6.87083e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0131399");
-            index_3 ("0.158672, 0.168809, 0.174568, 0.17999, 0.181207, 0.183642, 0.188511, 0.193669, 0.200073, 0.210241, 0.217466, 0.222175, 0.227336, 0.235237, 0.287023, 0.337159, 0.350715, 0.365075, 0.380379, 0.408884, 0.477823, 0.504999, 0.541232, 0.55844, 0.58577, 0.617004, 0.6525, 0.672594, 0.71278, 0.737474, 0.763123, 0.797321, 0.865717, 0.948238, 1.03076, 1.11328");
-            values ( \
-              "0.0466388, 0.0467375, 0.0586894, 0.0630273, 0.0625354, 0.0627276, 0.0625756, 0.0628016, 0.0628471, 0.0643078, 0.0685366, 0.0696072, 0.0697471, 0.069659, 0.0668633, 0.0635874, 0.0624707, 0.0609311, 0.0590265, 0.0537963, 0.0368095, 0.0306842, 0.0235754, 0.02065, 0.016641, 0.0128297, 0.00951617, 0.0079755, 0.00560755, 0.00448222, 0.0035623, 0.00263269, 0.00137564, 0.000643926, 0.000280167, 0.000145474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0239866");
-            index_3 ("0.164654, 0.212307, 0.217682, 0.222527, 0.230229, 0.239031, 0.328416, 0.41698, 0.456249, 0.517674, 0.539016, 0.563407, 0.592752, 0.697717, 0.726834, 0.78507, 0.81547, 0.863353, 0.918076, 0.953904, 0.99606, 1.03942, 1.10046, 1.17327, 1.25579, 1.33831, 1.50335, 1.75092");
-            values ( \
-              "0.071475, 0.0735914, 0.076637, 0.0778412, 0.078138, 0.0779803, 0.074892, 0.0713316, 0.069334, 0.064694, 0.0624197, 0.0593522, 0.0550373, 0.0384444, 0.0341503, 0.026491, 0.0230114, 0.0182942, 0.0138673, 0.0115314, 0.0092437, 0.00736012, 0.00525898, 0.00352527, 0.00224384, 0.00138757, 0.00053849, 0.000151946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437871");
-            index_3 ("0.166638, 0.214596, 0.223434, 0.231723, 0.457771, 0.597191, 0.664505, 0.747025, 0.83345, 0.900638, 1.13138, 1.27774, 1.40414, 1.48666, 1.59376, 1.66501, 1.74753, 1.81266, 1.88923, 2.05427, 2.21931, 2.38435, 2.42621");
-            values ( \
-              "0.0798663, 0.0803572, 0.0833949, 0.0837346, 0.0789379, 0.075403, 0.0732736, 0.0695463, 0.0638034, 0.0580494, 0.036314, 0.0249184, 0.0174718, 0.0136617, 0.00985845, 0.0079262, 0.00608513, 0.00494666, 0.00387037, 0.00226358, 0.00131638, 0.000761732, 0.000706398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.303871, 0.325093, 0.340081, 0.356967, 0.368359, 0.387105, 0.395637, 0.404452, 0.420846, 0.42335, 0.431362, 0.436932, 0.448072, 0.472878, 0.500208, 0.505716, 0.507815, 0.512014, 0.534689, 0.543363, 0.55411, 0.569428, 0.58685, 0.600467, 0.627702, 0.672574, 0.726828");
-            values ( \
-              "0.00355138, 0.00952668, 0.0133675, 0.017254, 0.0194871, 0.0219702, 0.0223463, 0.0230162, 0.0239687, 0.024265, 0.0246014, 0.0245276, 0.0230566, 0.0159771, 0.00964761, 0.00870849, 0.00866143, 0.00815091, 0.0048802, 0.00397584, 0.0030842, 0.00210889, 0.00138551, 0.000993412, 0.000499752, 0.000152701, 3.77648e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0039431");
-            index_3 ("0.303995, 0.321968, 0.368429, 0.387729, 0.440502, 0.456037, 0.465764, 0.481028, 0.505851, 0.511889, 0.535873, 0.550675, 0.566487, 0.587015, 0.601196, 0.630537, 0.650836, 0.6779, 0.727293, 0.86198");
-            values ( \
-              "0.00417825, 0.0116264, 0.0276712, 0.0304729, 0.035477, 0.0363002, 0.0361088, 0.0337983, 0.0253584, 0.0244955, 0.0164736, 0.0128177, 0.00967259, 0.00661616, 0.00511165, 0.00289784, 0.00194782, 0.00121685, 0.000373361, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00719805");
-            index_3 ("0.316912, 0.341257, 0.363337, 0.374806, 0.392064, 0.40193, 0.44203, 0.459973, 0.486268, 0.512214, 0.522657, 0.530544, 0.574171, 0.61662, 0.642619, 0.681453, 0.710864, 0.737609, 0.788998, 0.833806, 0.866");
-            values ( \
-              "0.0208356, 0.0223303, 0.0341332, 0.035728, 0.0394095, 0.0403851, 0.0464406, 0.0484031, 0.0499718, 0.0500311, 0.0488278, 0.0466751, 0.0313633, 0.0195655, 0.0142695, 0.00860492, 0.00580175, 0.00406691, 0.00197388, 0.00107341, 0.000751457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0131399");
-            index_3 ("0.316952, 0.338635, 0.350043, 0.359762, 0.372725, 0.388059, 0.407494, 0.438383, 0.454112, 0.476742, 0.500073, 0.505708, 0.514586, 0.520224, 0.531501, 0.551236, 0.563587, 0.588289, 0.599591, 0.612507, 0.632898, 0.687343, 0.714693, 0.75116, 0.768048, 0.794863, 0.825509, 0.85193, 0.883233, 0.924969, 0.947802, 0.97161, 1.00335, 1.06684, 1.14936, 1.23188, 1.3144");
-            values ( \
-              "0.0232693, 0.024628, 0.0315285, 0.0391126, 0.0410205, 0.0455503, 0.0486232, 0.0548478, 0.0575817, 0.060665, 0.062875, 0.0631822, 0.0648183, 0.0651278, 0.0646722, 0.0632396, 0.0621047, 0.0592461, 0.0574852, 0.0550498, 0.0503612, 0.0367991, 0.0306352, 0.023513, 0.0206238, 0.0167122, 0.0129268, 0.0103372, 0.00788553, 0.00548742, 0.00443645, 0.00358303, 0.00272887, 0.00148018, 0.000708646, 0.000292666, 0.000168019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0239866");
-            index_3 ("0.316653, 0.348811, 0.354373, 0.36008, 0.365027, 0.370906, 0.386894, 0.406557, 0.460267, 0.487476, 0.505431, 0.514658, 0.525627, 0.53588, 0.60477, 0.665811, 0.727206, 0.7489, 0.773694, 0.802339, 0.907291, 0.93639, 0.994589, 1.03189, 1.073, 1.12782, 1.16349, 1.20546, 1.24888, 1.30998, 1.38296, 1.46548, 1.548, 1.63052, 1.71304, 1.79556, 1.96061");
-            values ( \
-              "0.0192518, 0.0344772, 0.0400644, 0.0433021, 0.0436383, 0.0444765, 0.0500975, 0.0538331, 0.0659081, 0.0703369, 0.0724808, 0.0742557, 0.075248, 0.0750102, 0.0722879, 0.0693385, 0.0646972, 0.0623792, 0.0592621, 0.05503, 0.0384391, 0.034161, 0.0264921, 0.0222937, 0.0182821, 0.0138623, 0.0115371, 0.00925831, 0.00735929, 0.0052666, 0.00352875, 0.0022369, 0.00139229, 0.000887435, 0.00054369, 0.000355177, 0.000146379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437871");
-            index_3 ("0.335067, 0.384472, 0.455635, 0.524932, 0.534972, 0.69106, 0.848232, 0.926455, 0.985722, 1.06568, 1.11053, 1.3403, 1.48703, 1.61447, 1.69699, 1.8024, 1.87404, 1.95656, 2.02238, 2.09999, 2.26503, 2.43007, 2.59511, 2.64061");
-            values ( \
-              "0.050629, 0.0524478, 0.0697964, 0.0819039, 0.0818503, 0.0783868, 0.0741628, 0.0711116, 0.067834, 0.0620001, 0.0580421, 0.036395, 0.024958, 0.0174339, 0.0136477, 0.00990269, 0.00793449, 0.00610934, 0.00495799, 0.00386858, 0.00226689, 0.00132275, 0.000769902, 0.000707619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.644554, 0.671813, 0.685279, 0.70241, 0.726014, 0.754394, 0.776883, 0.808384, 0.824682, 0.835474, 0.848509, 0.860429, 0.884289, 0.886572, 0.891139, 0.899467, 0.908265, 0.935215, 0.954078, 0.973198, 0.990434, 1.00413, 1.01695, 1.03165, 1.05124, 1.06881, 1.07868, 1.09843, 1.13791, 1.19463, 1.21594, 1.23229, 1.25412, 1.27283, 1.36313");
-            values ( \
-              "0.00257379, 0.00313601, 0.00370516, 0.00453624, 0.00642536, 0.00928815, 0.0112827, 0.0133672, 0.0146557, 0.0151955, 0.0155782, 0.0160421, 0.0165788, 0.0165378, 0.0165268, 0.016157, 0.0154792, 0.0121708, 0.00964648, 0.00733206, 0.00559918, 0.00442789, 0.00352088, 0.00267475, 0.00181989, 0.0012777, 0.0010433, 0.000688769, 0.00028416, 7.37995e-05, 5.14047e-05, 0.000231058, 0.000191807, 9.83184e-05, 9.99546e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0039431");
-            index_3 ("0.64476, 0.679034, 0.695499, 0.71357, 0.797695, 0.818324, 0.833865, 0.851174, 0.86646, 0.896384, 0.909336, 0.924208, 0.944217, 0.952249, 1.0129, 1.04271, 1.07441, 1.09098, 1.11307, 1.13158, 1.16022, 1.1984, 1.21613, 1.23104, 1.26696, 1.28224");
-            values ( \
-              "0.00327052, 0.00502001, 0.00602467, 0.00748002, 0.017926, 0.0199246, 0.0211357, 0.0217355, 0.0226725, 0.0248752, 0.0255751, 0.0259913, 0.0251545, 0.0242267, 0.0138668, 0.00944792, 0.00593419, 0.0045765, 0.00319474, 0.00232098, 0.00140695, 0.000706716, 0.000500726, 0.000685687, 0.000382865, 0.000308604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719805");
-            index_3 ("0.644549, 0.689638, 0.718266, 0.730365, 0.754562, 0.795272, 0.81464, 0.82864, 0.860719, 0.89736, 0.915753, 0.952155, 0.986656, 1.00454, 1.02185, 1.03991, 1.099, 1.1369, 1.1543, 1.17733, 1.19853, 1.2159, 1.23016, 1.25837, 1.28244, 1.32394, 1.38721, 1.46973, 1.55225");
-            values ( \
-              "0.00395168, 0.00754274, 0.0103116, 0.0117802, 0.0154987, 0.0233834, 0.0245598, 0.0264976, 0.0283606, 0.0319683, 0.0340194, 0.0371686, 0.038043, 0.0370959, 0.0347277, 0.0314902, 0.0198554, 0.0135582, 0.0111298, 0.00851971, 0.00657456, 0.00524502, 0.00481801, 0.00337628, 0.00243662, 0.00136095, 0.000539255, 0.000156534, 4.77058e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131399");
-            index_3 ("0.670723, 0.72916, 0.755538, 0.778922, 0.791353, 0.802576, 0.832502, 0.866731, 0.939009, 0.965854, 0.990419, 1.03792, 1.08404, 1.12235, 1.15241, 1.21605, 1.29333, 1.31572, 1.34776, 1.37606, 1.4138, 1.46156, 1.49145, 1.55124, 1.63376, 1.66566");
-            values ( \
-              "0.012051, 0.0139077, 0.0182639, 0.0253852, 0.0265896, 0.0270953, 0.0313921, 0.0340092, 0.0432358, 0.0462901, 0.0485056, 0.0513309, 0.0511956, 0.0477057, 0.0426313, 0.0303572, 0.0175133, 0.0146008, 0.0111555, 0.0087564, 0.00628936, 0.00411356, 0.00314102, 0.00181252, 0.000826928, 0.000654475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239866");
-            index_3 ("0.67053, 0.729905, 0.75862, 0.778911, 0.787546, 0.798109, 0.832938, 0.869871, 0.953754, 1.02127, 1.05395, 1.10233, 1.13116, 1.16884, 1.21586, 1.23541, 1.28329, 1.43027, 1.52344, 1.56485, 1.62006, 1.65746, 1.68765, 1.78757, 1.83753, 1.90891, 2.07395, 2.23899, 2.40404");
-            values ( \
-              "0.0132122, 0.0158873, 0.0215169, 0.0288088, 0.028769, 0.0291263, 0.0348471, 0.038142, 0.0504506, 0.0582595, 0.0609558, 0.0638153, 0.0649119, 0.0655522, 0.0649087, 0.0644729, 0.0585862, 0.0356647, 0.023643, 0.0193923, 0.0148529, 0.0121333, 0.0103673, 0.00604897, 0.00460382, 0.00309666, 0.00119476, 0.0004418, 0.000146985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437871");
-            index_3 ("0.703093, 0.779324, 0.795314, 0.983594, 1.06194, 1.12252, 1.17269, 1.23752, 1.26545, 1.38124, 1.49277, 1.61736, 1.84809, 1.99446, 2.12086, 2.20338, 2.31047, 2.38172, 2.52937, 2.60592, 2.77097, 3.04827");
-            values ( \
-              "0.0244652, 0.0300293, 0.0305415, 0.0582272, 0.0671552, 0.0718542, 0.0747104, 0.0770659, 0.0768662, 0.0732617, 0.0678278, 0.058037, 0.0363209, 0.0249243, 0.0174678, 0.0136657, 0.00986216, 0.00792334, 0.00494891, 0.00387227, 0.00226518, 0.00100311" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00347747, 0.00348106, 0.00348433, 0.0034868, 0.00348849, 0.00348953", \
-            "0.00408503, 0.0040913, 0.00409756, 0.00410278, 0.00410653, 0.00410895", \
-            "0.00445797, 0.00446381, 0.00447053, 0.00447688, 0.0044819, 0.00448535", \
-            "0.00474844, 0.00475118, 0.00475511, 0.00475967, 0.00476392, 0.00476718", \
-            "0.00496623, 0.00495912, 0.0049524, 0.00494762, 0.00494502, 0.00494398", \
-            "0.00516023, 0.00515342, 0.00514208, 0.00512688, 0.00511028, 0.00509723" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00447525, 0.00448366, 0.00449181, 0.00449828, 0.004503, 0.00450597", \
-            "0.0046616, 0.00466438, 0.00466774, 0.00467082, 0.00467311, 0.00467459", \
-            "0.00473377, 0.00471601, 0.00469714, 0.00467988, 0.00466795, 0.00466011", \
-            "0.00507938, 0.00504497, 0.00499647, 0.0049518, 0.00491644, 0.00489077", \
-            "0.00523268, 0.0051607, 0.00511012, 0.00507678, 0.00503863, 0.00500838", \
-            "0.0050075, 0.00501754, 0.00502241, 0.00495597, 0.00487298, 0.00484036" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00216004");
-            index_3 ("0.0206447, 0.0223687, 0.0263342, 0.0282028, 0.0318911, 0.0355647, 0.0473484, 0.0520346, 0.0564902, 0.0668445, 0.068746, 0.0725489, 0.0765538, 0.093999, 0.107096, 0.118251, 0.125698, 0.134978, 0.141664, 0.155035, 0.175568, 0.19538");
-            values ( \
-              "-0.0354836, -0.0548562, -0.0527911, -0.0526781, -0.0530011, -0.0525419, -0.0444785, -0.0428494, -0.0434891, -0.0510628, -0.0511156, -0.0505449, -0.0470266, -0.0256783, -0.0136681, -0.00767119, -0.00507673, -0.0030845, -0.00223082, -0.00106169, -0.000440388, -0.000202562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0039431");
-            index_3 ("0.0206802, 0.0232468, 0.0252903, 0.0260305, 0.0275109, 0.0354069, 0.0399616, 0.0515067, 0.0543218, 0.05787, 0.0616943, 0.0676458, 0.0827255, 0.0836748, 0.0855734, 0.0893705, 0.0940589, 0.117602, 0.125891, 0.134666, 0.142059, 0.149294, 0.158941, 0.170298, 0.178098, 0.193698, 0.220266, 0.249174");
-            values ( \
-              "-0.0337158, -0.0770944, -0.0763725, -0.0755775, -0.0754121, -0.0777318, -0.0757524, -0.0635035, -0.0615878, -0.0599865, -0.0593296, -0.0606463, -0.0711669, -0.0705483, -0.0705006, -0.0685504, -0.0644248, -0.0348943, -0.0262845, -0.0190564, -0.0143972, -0.0108566, -0.0073371, -0.00465123, -0.00344654, -0.00180824, -0.000652456, -0.000245391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.00719805");
-            index_3 ("0.0205058, 0.0242917, 0.0274671, 0.0345403, 0.0393405, 0.0472633, 0.0586688, 0.0680902, 0.0753054, 0.0787442, 0.0856217, 0.0950456, 0.110022, 0.125972, 0.15869, 0.178951, 0.199056, 0.214413, 0.228484, 0.245245, 0.258492, 0.284986, 0.314447");
-            values ( \
-              "-0.0376405, -0.0994939, -0.0998964, -0.103727, -0.103867, -0.0969403, -0.0823235, -0.0771572, -0.0763846, -0.0765734, -0.0783768, -0.0835745, -0.0897602, -0.079479, -0.0444928, -0.02776, -0.0166194, -0.0110446, -0.00752309, -0.00477372, -0.00332508, -0.0015882, -0.000824542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0131399");
-            index_3 ("0.0221114, 0.0367272, 0.0410953, 0.0453285, 0.0664781, 0.073581, 0.0818229, 0.0908295, 0.110108, 0.1294, 0.144482, 0.157448, 0.181601, 0.235092, 0.257653, 0.294442, 0.322938, 0.369322, 0.426684, 0.441853");
-            values ( \
-              "-0.116118, -0.130202, -0.131302, -0.129367, -0.0995046, -0.0961697, -0.0940197, -0.093605, -0.0945123, -0.0984269, -0.103415, -0.103314, -0.0918873, -0.050814, -0.0368685, -0.0207585, -0.0129593, -0.00588384, -0.00215436, -0.00178629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0239866");
-            index_3 ("0.0235845, 0.0418321, 0.0459356, 0.0625794, 0.0695371, 0.0777128, 0.0871495, 0.0988432, 0.12644, 0.165947, 0.185655, 0.204824, 0.242958, 0.281082, 0.28354, 0.371642, 0.407543, 0.428418, 0.448122, 0.473631, 0.494299, 0.520113, 0.556618, 0.596788, 0.627957, 0.686709, 0.745461, 0.804212, 0.921715");
-            values ( \
-              "-0.152432, -0.155643, -0.154496, -0.126362, -0.118173, -0.112626, -0.111089, -0.109782, -0.10934, -0.109611, -0.110804, -0.113083, -0.113519, -0.100171, -0.0998154, -0.0558069, -0.0413421, -0.0344315, -0.028775, -0.0225671, -0.018487, -0.0143593, -0.00991192, -0.00660248, -0.00480731, -0.00257935, -0.00140378, -0.000754822, -0.000232222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.00756633;
-            index_1 ("0.0090796");
-            index_2 ("0.0437871");
-            index_3 ("0.0223415, 0.038047, 0.0460988, 0.064403, 0.0781215, 0.0870016, 0.0994012, 0.25172, 0.29803, 0.332716, 0.391468, 0.411316, 0.4684, 0.576623, 0.663851, 0.744274, 0.811792, 0.90124, 0.950459, 1.07568, 1.25194, 1.25784");
-            values ( \
-              "-0.135961, -0.167775, -0.173355, -0.140277, -0.127189, -0.124864, -0.123138, -0.120462, -0.120343, -0.121191, -0.119675, -0.11694, -0.104308, -0.0713899, -0.0481202, -0.0320892, -0.0222772, -0.0134566, -0.0100935, -0.0048711, -0.00168886, -0.00166133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00216004");
-            index_3 ("0.0325577, 0.0358315, 0.0374037, 0.0405022, 0.0443358, 0.0467647, 0.0492028, 0.0623125, 0.0655682, 0.0700397, 0.0804342, 0.0822591, 0.085909, 0.09007, 0.107638, 0.120568, 0.131822, 0.139362, 0.148503, 0.168252, 0.188661, 0.206668");
-            values ( \
-              "-0.00273896, -0.044856, -0.0505289, -0.0529878, -0.0535712, -0.0534745, -0.0529288, -0.043947, -0.0430568, -0.0435706, -0.0510577, -0.0511092, -0.0505857, -0.047022, -0.0255402, -0.0137035, -0.00765021, -0.00503973, -0.0030869, -0.00108237, -0.000446201, -0.000226706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0039431");
-            index_3 ("0.0325284, 0.037598, 0.0413812, 0.0428897, 0.044367, 0.0517395, 0.0615354, 0.0678912, 0.0752058, 0.0799668, 0.0867684, 0.0959239, 0.0971613, 0.0996359, 0.107546, 0.13539, 0.143488, 0.155794, 0.162297, 0.178787, 0.182485, 0.18372, 0.186192, 0.191135, 0.219499, 0.279288");
-            values ( \
-              "-0.00795301, -0.071726, -0.0760379, -0.0761044, -0.0769773, -0.0776419, -0.0668242, -0.061586, -0.0592755, -0.0604711, -0.0645778, -0.071358, -0.0702689, -0.0705671, -0.064629, -0.029999, -0.0224781, -0.014033, -0.0108425, -0.00550392, -0.00512383, -0.00448912, -0.00444873, -0.00329636, -0.000938371, -0.000105099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.00719805");
-            index_3 ("0.0349725, 0.037991, 0.0393165, 0.0420049, 0.0445921, 0.0475705, 0.0498686, 0.0529328, 0.0568564, 0.0675455, 0.07259, 0.075695, 0.0816199, 0.0868856, 0.0923999, 0.100875, 0.108715, 0.12368, 0.139643, 0.172373, 0.18218, 0.192584, 0.200125, 0.212711, 0.228075, 0.242142, 0.258885, 0.272115, 0.298576, 0.341331, 0.393692, 0.511195");
-            values ( \
-              "-0.0769382, -0.0934245, -0.0963866, -0.100341, -0.102307, -0.103873, -0.10425, -0.103994, -0.10147, -0.0896223, -0.0825306, -0.0801567, -0.0774095, -0.0765224, -0.076675, -0.078962, -0.0837532, -0.0896403, -0.079472, -0.0444694, -0.035653, -0.0277774, -0.0230075, -0.0166207, -0.0110435, -0.0075231, -0.00477614, -0.00332836, -0.00159125, -0.000473428, -0.000139305, -3.93341e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0131399");
-            index_3 ("0.0353807, 0.0381378, 0.0413083, 0.0491145, 0.0548231, 0.0603245, 0.0801802, 0.0870724, 0.0950639, 0.105212, 0.118743, 0.133511, 0.147999, 0.171151, 0.197274, 0.246512, 0.271324, 0.292489, 0.308093, 0.336618, 0.358467, 0.382944, 0.402025, 0.440188, 0.485682");
-            values ( \
-              "-0.0925059, -0.11107, -0.119562, -0.129084, -0.131643, -0.128286, -0.0998698, -0.0963312, -0.0942032, -0.0936465, -0.0940364, -0.0960823, -0.100563, -0.104082, -0.0905537, -0.0524273, -0.0368806, -0.0266658, -0.020767, -0.0129608, -0.00893148, -0.00588416, -0.00423403, -0.00215584, -0.00105563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0239866");
-            index_3 ("0.0355356, 0.0406754, 0.047909, 0.0550133, 0.0589875, 0.0645116, 0.0773679, 0.084222, 0.0919663, 0.100804, 0.112524, 0.140086, 0.167824, 0.179595, 0.199304, 0.218472, 0.256606, 0.29473, 0.297188, 0.38529, 0.42119, 0.442068, 0.461771, 0.487279, 0.507944, 0.533759, 0.570263, 0.61043, 0.641596, 0.700348, 0.7591, 0.817851, 0.935354");
-            values ( \
-              "-0.103953, -0.13298, -0.147113, -0.155551, -0.155332, -0.148331, -0.125317, -0.117461, -0.112699, -0.111071, -0.109861, -0.109383, -0.109456, -0.109645, -0.110773, -0.113113, -0.11349, -0.100199, -0.0997978, -0.055818, -0.0413517, -0.0344336, -0.0287729, -0.0225695, -0.0184893, -0.0143581, -0.0099139, -0.00660384, -0.00480691, -0.00258047, -0.00140307, -0.000755728, -0.00023305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0181922;
-            index_1 ("0.0218306");
-            index_2 ("0.0437871");
-            index_3 ("0.0356797, 0.0422595, 0.051123, 0.0577166, 0.0654543, 0.080916, 0.0958155, 0.119511, 0.150775, 0.280796, 0.319856, 0.346311, 0.399219, 0.436219, 0.481956, 0.619494, 0.677809, 0.709001, 0.757164, 0.825438, 0.856528, 0.915279, 0.982252, 1.07695, 1.19446, 1.25321, 1.42946, 1.54697");
-            values ( \
-              "-0.11148, -0.147424, -0.166636, -0.174395, -0.165423, -0.136919, -0.125562, -0.122826, -0.122258, -0.120307, -0.120473, -0.121248, -0.120131, -0.115, -0.103999, -0.0630045, -0.0481795, -0.0412087, -0.0321516, -0.0222167, -0.0187642, -0.0133693, -0.00907228, -0.00518982, -0.00256837, -0.00186676, -0.000617569, -0.000298375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00216004");
-            index_3 ("0.0584006, 0.0632475, 0.072855, 0.0818338, 0.0846236, 0.0874134, 0.0885187, 0.0981765, 0.102509, 0.112536, 0.114702, 0.118524, 0.122533, 0.139984, 0.153151, 0.164292, 0.17172, 0.181049, 0.187768, 0.201206, 0.221726, 0.2431");
-            values ( \
-              "-0.005737, -0.0264092, -0.0383548, -0.0475463, -0.0487869, -0.0486764, -0.0493659, -0.0444315, -0.0443723, -0.0508133, -0.050971, -0.0504025, -0.0468677, -0.0257139, -0.0136817, -0.00762481, -0.00512653, -0.00312566, -0.00218341, -0.00110019, -0.000396679, -0.00027669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0039431");
-            index_3 ("0.0608606, 0.0648115, 0.0761736, 0.0827329, 0.0894661, 0.0922857, 0.101404, 0.106282, 0.108062, 0.111621, 0.117608, 0.123366, 0.129885, 0.139784, 0.16308, 0.176837, 0.181988, 0.194636, 0.201429, 0.215121, 0.224116, 0.234397, 0.254957, 0.285265, 0.291547");
-            values ( \
-              "-0.0317137, -0.0401764, -0.0595951, -0.0687451, -0.073875, -0.0720498, -0.0630178, -0.0606099, -0.0603749, -0.0605299, -0.0635277, -0.0683542, -0.0708607, -0.0651627, -0.0358196, -0.0222011, -0.0182928, -0.0112324, -0.0085519, -0.00492708, -0.00347057, -0.00228869, -0.00102811, -0.000314947, -0.000295508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.00719805");
-            index_3 ("0.0609058, 0.0669083, 0.0810422, 0.0888921, 0.0910246, 0.0927049, 0.0978297, 0.107621, 0.115793, 0.123371, 0.129251, 0.135676, 0.14161, 0.153477, 0.162514, 0.171685, 0.199851, 0.21093, 0.221077, 0.237076, 0.243689, 0.255411, 0.268808, 0.281325, 0.301577, 0.328579, 0.369245, 0.41903, 0.536533");
-            values ( \
-              "-0.0290634, -0.0573915, -0.0894914, -0.10058, -0.10102, -0.100322, -0.0949297, -0.0821591, -0.0779647, -0.0768918, -0.077721, -0.0800862, -0.0839188, -0.0894265, -0.0870681, -0.0797979, -0.0498056, -0.0391025, -0.0308777, -0.020712, -0.0174148, -0.0128232, -0.00888186, -0.00631706, -0.00362465, -0.00173575, -0.000519193, -0.000188261, -6.55824e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0131399");
-            index_3 ("0.0644965, 0.0747925, 0.088222, 0.0915893, 0.0934115, 0.0960928, 0.107537, 0.118382, 0.131412, 0.156584, 0.175019, 0.180948, 0.192807, 0.203959, 0.226264, 0.268961, 0.290823, 0.302474, 0.316407, 0.342593, 0.350103, 0.373937, 0.401688, 0.449541, 0.503567, 0.562319, 0.679822");
-            values ( \
-              "-0.0845464, -0.0908921, -0.126421, -0.129936, -0.129389, -0.127014, -0.109676, -0.0973287, -0.0939111, -0.0942072, -0.0984094, -0.100583, -0.103936, -0.103025, -0.0932734, -0.0601228, -0.0446142, -0.0376891, -0.0305211, -0.0200992, -0.0177693, -0.0119092, -0.00737752, -0.00313935, -0.00133274, -0.000311245, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0239866");
-            index_3 ("0.0645179, 0.0736819, 0.0890188, 0.0910157, 0.0928386, 0.0959609, 0.114961, 0.121693, 0.129883, 0.134037, 0.142345, 0.15524, 0.19303, 0.212801, 0.25165, 0.289845, 0.328006, 0.33042, 0.335249, 0.420152, 0.454526, 0.473791, 0.494021, 0.520115, 0.541897, 0.567451, 0.603759, 0.627907, 0.673621, 0.732372, 0.791124, 0.908627, 0.967379");
-            values ( \
-              "-0.0895981, -0.0991173, -0.148862, -0.15321, -0.154454, -0.153124, -0.122276, -0.115303, -0.112209, -0.1112, -0.110341, -0.109683, -0.109359, -0.109681, -0.112531, -0.113819, -0.0998404, -0.0999387, -0.0970113, -0.0550341, -0.041274, -0.0349273, -0.0290082, -0.0226762, -0.0183821, -0.0142646, -0.00991255, -0.00773704, -0.0048398, -0.00263992, -0.0013933, -0.000404438, -0.000262832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0437407;
-            index_1 ("0.0524888");
-            index_2 ("0.0437871");
-            index_3 ("0.0692002, 0.0859426, 0.0946423, 0.11237, 0.13205, 0.15821, 0.314116, 0.379631, 0.432535, 0.469546, 0.515275, 0.652807, 0.711115, 0.79051, 0.858757, 0.948584, 1.01557, 1.11028, 1.28653, 1.30996");
-            values ( \
-              "-0.144789, -0.152978, -0.173066, -0.141993, -0.125493, -0.122747, -0.120323, -0.121249, -0.12013, -0.114992, -0.104008, -0.0630318, -0.0481568, -0.0321797, -0.0222501, -0.013404, -0.00910651, -0.00522394, -0.00183234, -0.00171277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00216004");
-            index_3 ("0.115864, 0.127964, 0.151667, 0.163137, 0.168885, 0.173914, 0.179272, 0.190685, 0.19241, 0.195861, 0.200683, 0.211059, 0.223778, 0.231152, 0.236962, 0.244259, 0.249122, 0.25955, 0.266277, 0.279732, 0.300327, 0.325527, 0.358061, 0.522031");
-            values ( \
-              "-0.0149744, -0.017184, -0.0318523, -0.0358878, -0.0359721, -0.0366686, -0.0387764, -0.0475862, -0.0478679, -0.0478145, -0.0449508, -0.0345423, -0.0201008, -0.0139461, -0.0103144, -0.00702403, -0.00541977, -0.00311109, -0.0021886, -0.00109098, -0.000404467, -0.000166989, -7.95661e-05, -1.46735e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0039431");
-            index_3 ("0.115882, 0.128251, 0.131782, 0.15107, 0.158834, 0.165339, 0.172352, 0.17844, 0.185562, 0.192145, 0.197809, 0.206206, 0.208451, 0.210306, 0.21234, 0.214656, 0.218835, 0.237742, 0.246262, 0.255367, 0.260472, 0.267235, 0.273183, 0.279976, 0.289885, 0.294957, 0.302663, 0.312938, 0.333488, 0.363386, 0.400743, 0.56721");
-            values ( \
-              "-0.0173741, -0.0250362, -0.0276507, -0.0445271, -0.0500429, -0.0531273, -0.05365, -0.0534343, -0.0544349, -0.0574926, -0.0627356, -0.0695154, -0.0695315, -0.069811, -0.0697282, -0.0685486, -0.0646379, -0.0403842, -0.0305769, -0.0221497, -0.0183276, -0.014124, -0.011199, -0.00856185, -0.00573456, -0.00468761, -0.00345379, -0.00230486, -0.00101394, -0.000337316, -0.000122899, -2.33707e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.00719805");
-            index_3 ("0.119877, 0.131967, 0.160578, 0.169929, 0.171856, 0.174425, 0.179564, 0.186578, 0.201934, 0.208911, 0.21443, 0.225096, 0.233126, 0.239792, 0.24868, 0.254233, 0.280913, 0.293684, 0.300983, 0.315752, 0.322527, 0.333959, 0.347023, 0.356555, 0.367077, 0.381108, 0.409168, 0.449812, 0.500009, 0.617512");
-            values ( \
-              "-0.0357338, -0.0365117, -0.0699238, -0.0753407, -0.0758286, -0.0760309, -0.075671, -0.0726851, -0.0738355, -0.0767483, -0.0810064, -0.0871017, -0.0892825, -0.0876958, -0.0816114, -0.0762646, -0.0474798, -0.0357011, -0.0300237, -0.0207483, -0.0174202, -0.0128816, -0.00905178, -0.00698139, -0.00524595, -0.00357814, -0.00162286, -0.000521493, -0.000155414, -3.89269e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0131399");
-            index_3 ("0.120017, 0.140566, 0.161015, 0.170639, 0.177713, 0.194785, 0.202663, 0.210473, 0.211818, 0.216814, 0.225174, 0.233007, 0.241761, 0.251272, 0.260262, 0.278241, 0.293541, 0.307331, 0.362922, 0.389222, 0.419257, 0.435323, 0.456744, 0.492131, 0.517446, 0.568078, 0.57983");
-            values ( \
-              "-0.0344145, -0.0554706, -0.0877049, -0.0984204, -0.0995029, -0.0925965, -0.091934, -0.0928652, -0.0942808, -0.0951192, -0.0944627, -0.09458, -0.0955269, -0.0975984, -0.100763, -0.104335, -0.100115, -0.091545, -0.049465, -0.0338175, -0.0210818, -0.0162106, -0.0113035, -0.00618254, -0.00398511, -0.00158658, -0.00137906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0239866");
-            index_3 ("0.126109, 0.147289, 0.163435, 0.175618, 0.205945, 0.216079, 0.247237, 0.287122, 0.303003, 0.345549, 0.369238, 0.40986, 0.492874, 0.533874, 0.575334, 0.640003, 0.694738, 0.767716, 0.843326");
-            values ( \
-              "-0.0612972, -0.0748107, -0.10777, -0.119959, -0.109165, -0.111819, -0.109549, -0.109526, -0.110151, -0.114491, -0.112905, -0.0995734, -0.058268, -0.0414653, -0.0285426, -0.0153099, -0.008815, -0.00417443, -0.00227142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.105168;
-            index_1 ("0.126202");
-            index_2 ("0.0437871");
-            index_3 ("0.134828, 0.177199, 0.191684, 0.209087, 0.21505, 0.24924, 0.387452, 0.459477, 0.512392, 0.549373, 0.595122, 0.732121, 0.809831, 0.874121, 0.924077, 1.02618, 1.14524, 1.26274, 1.37177");
-            values ( \
-              "-0.0972485, -0.134987, -0.127124, -0.122869, -0.125381, -0.122628, -0.120341, -0.121197, -0.120181, -0.115044, -0.10396, -0.0631431, -0.0437983, -0.0315155, -0.0241429, -0.0135512, -0.00683857, -0.00342505, -0.00202771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00216004");
-            index_3 ("0.235143, 0.252907, 0.260479, 0.271945, 0.301405, 0.319141, 0.328409, 0.335931, 0.337365, 0.343101, 0.351217, 0.361181, 0.363228, 0.367321, 0.374331, 0.383112, 0.403797, 0.410919, 0.417882, 0.425771, 0.431345, 0.439414, 0.449386, 0.457334, 0.47323, 0.498284, 0.505643, 0.511875, 0.527715, 0.560254, 0.762484");
-            values ( \
-              "-0.00686335, -0.00781826, -0.00913863, -0.0117347, -0.019603, -0.0226516, -0.0233693, -0.024061, -0.0243275, -0.0257447, -0.0292462, -0.0344402, -0.0346407, -0.0354373, -0.0347046, -0.0309935, -0.016391, -0.0122675, -0.00904185, -0.00628664, -0.00483471, -0.00326012, -0.0020186, -0.00138896, -0.000645533, -0.000227237, -0.000177972, -0.000369905, -0.000184868, -7.64886e-05, -9.98745e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0039431");
-            index_3 ("0.235342, 0.266705, 0.312581, 0.331271, 0.353277, 0.36286, 0.382317, 0.389851, 0.398154, 0.409067, 0.444029, 0.460637, 0.483526, 0.505832, 0.51563");
-            values ( \
-              "-0.00824313, -0.0150598, -0.0310301, -0.0353642, -0.0375849, -0.0405539, -0.0530944, -0.0540551, -0.0519736, -0.0452764, -0.0178057, -0.00997539, -0.00418676, -0.00176131, -0.00148154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.00719805");
-            index_3 ("0.241009, 0.259055, 0.274579, 0.327614, 0.328539, 0.33409, 0.339717, 0.346893, 0.354073, 0.36584, 0.376737, 0.392476, 0.400501, 0.41655, 0.418537, 0.42251, 0.429062, 0.435935, 0.449682, 0.48458, 0.505404, 0.527839, 0.548138, 0.561276, 0.576291, 0.606321, 0.626123");
-            values ( \
-              "-0.0160842, -0.0175798, -0.0232527, -0.0492955, -0.0491934, -0.0506516, -0.0513548, -0.0511476, -0.0502937, -0.0515589, -0.0539131, -0.0611666, -0.0668983, -0.0761195, -0.0757154, -0.0760992, -0.0744249, -0.071405, -0.0613832, -0.0326903, -0.0200499, -0.0113973, -0.00656409, -0.00460185, -0.00305312, -0.00131772, -0.000893834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0131399");
-            index_3 ("0.2531, 0.289016, 0.327832, 0.3404, 0.36661, 0.387874, 0.419804, 0.443516, 0.455661, 0.472241, 0.495649, 0.500312, 0.557279, 0.578316, 0.608905, 0.626288, 0.649465, 0.669855, 0.704377, 0.750407, 0.78441");
-            values ( \
-              "-0.0293033, -0.0366008, -0.0638975, -0.0677673, -0.0644128, -0.068921, -0.0793155, -0.0892431, -0.0954215, -0.0986114, -0.0909828, -0.088364, -0.046595, -0.0343283, -0.0212324, -0.0159773, -0.0107993, -0.00763514, -0.00421099, -0.0018424, -0.00114145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0239866");
-            index_3 ("0.25303, 0.29724, 0.329764, 0.337252, 0.358559, 0.373988, 0.439906, 0.516271, 0.53329, 0.546593, 0.559767, 0.586115, 0.717785, 0.762693, 0.812743, 0.874159, 0.942549, 1.0013, 1.01686");
-            values ( \
-              "-0.0281484, -0.047535, -0.0785787, -0.081351, -0.078116, -0.077428, -0.0968272, -0.112645, -0.114427, -0.114321, -0.112763, -0.105468, -0.0439132, -0.0293947, -0.0182307, -0.00986793, -0.00492212, -0.00265055, -0.00232831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.252863;
-            index_1 ("0.303436");
-            index_2 ("0.0437871");
-            index_3 ("0.265071, 0.311464, 0.335027, 0.343092, 0.36248, 0.372885, 0.452757, 0.489132, 0.519619, 0.585269, 0.650797, 0.709199, 0.730076, 0.78648, 0.894699, 1.00531, 1.11686, 1.2002, 1.29044, 1.44735, 1.52442");
-            values ( \
-              "-0.0459325, -0.0670384, -0.0907547, -0.0913643, -0.0872368, -0.0872735, -0.110997, -0.118254, -0.121642, -0.12041, -0.121072, -0.119792, -0.116897, -0.104366, -0.0713594, -0.0429046, -0.0238634, -0.0150525, -0.00889007, -0.00351583, -0.0025324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00216004");
-            index_3 ("0.506776, 0.548645, 0.560065, 0.582905, 0.608343, 0.635973, 0.664563, 0.676123, 0.689335, 0.699739, 0.70876, 0.730899, 0.74284, 0.751548, 0.767595, 0.798093, 0.807476, 0.815763, 0.821777, 0.837275, 0.848463, 0.861249, 0.886822, 0.919178, 1.01625, 1.21601, 1.23322, 1.25605");
-            values ( \
-              "-0.00320766, -0.00382794, -0.00445588, -0.00602506, -0.0085819, -0.0115936, -0.0139912, -0.014447, -0.0150933, -0.0158578, -0.0170679, -0.022058, -0.0235166, -0.0237092, -0.0217029, -0.0105475, -0.00769337, -0.00571428, -0.00456488, -0.00249434, -0.00160667, -0.000972463, -0.000351135, -0.000128798, -3.62162e-05, -1.02355e-05, -7.22757e-05, -2.50215e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0039431");
-            index_3 ("0.510741, 0.559074, 0.569572, 0.588917, 0.652424, 0.680475, 0.701326, 0.724676, 0.735567, 0.761823, 0.774867, 0.785731, 0.803134, 0.842773, 0.863263, 0.881675, 0.906838, 0.927482, 0.962573, 0.988123");
-            values ( \
-              "-0.00497668, -0.00663264, -0.007581, -0.00957145, -0.0187184, -0.0211504, -0.0234647, -0.025095, -0.026946, -0.0349995, -0.0366245, -0.0364533, -0.0332267, -0.0154927, -0.00882779, -0.00505745, -0.00229068, -0.00116689, -0.000361604, -0.000281076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719805");
-            index_3 ("0.519957, 0.567982, 0.584519, 0.608261, 0.656677, 0.677964, 0.695325, 0.70709, 0.717614, 0.732515, 0.74951, 0.767825, 0.788389, 0.815053, 0.839817, 0.864452, 0.866413, 0.900584, 0.918606, 0.932052, 0.944324, 0.960687, 0.97317, 0.99118, 1.01519, 1.05673, 1.10811, 1.16686, 1.21593, 1.2372, 1.29454");
-            values ( \
-              "-0.00884857, -0.0103156, -0.0124171, -0.0161262, -0.0256127, -0.0302746, -0.0330844, -0.0337985, -0.0334279, -0.0335285, -0.0347574, -0.0380113, -0.0442085, -0.0529154, -0.0521339, -0.0457488, -0.0441701, -0.0273409, -0.0198035, -0.0150477, -0.0116238, -0.00812917, -0.00607327, -0.0039932, -0.00226016, -0.000755977, -0.000237434, -6.71456e-05, -6.77847e-05, -0.000172812, -4.90438e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131399");
-            index_3 ("0.535033, 0.589504, 0.618777, 0.653434, 0.683759, 0.701333, 0.713091, 0.746319, 0.780948, 0.815573, 0.849052, 0.869509, 0.88986, 0.922722, 0.954822, 1.01177, 1.04354, 1.0777, 1.103, 1.12811, 1.14543, 1.18007, 1.21614, 1.24303");
-            values ( \
-              "-0.0154044, -0.0165665, -0.0223111, -0.0315217, -0.040768, -0.0444752, -0.0442295, -0.0425024, -0.0471822, -0.0535976, -0.0619845, -0.069245, -0.0733688, -0.0708005, -0.0597485, -0.033369, -0.0219879, -0.0134242, -0.00910277, -0.0061012, -0.00462154, -0.00257802, -0.00140924, -0.00107121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239866");
-            index_3 ("0.534057, 0.583417, 0.608237, 0.636639, 0.689055, 0.712156, 0.731994, 0.750141, 0.771832, 0.811875, 0.903799, 0.955361, 0.958013, 0.967107, 0.979232, 1.00181, 1.04078, 1.04817, 1.06296, 1.15975, 1.18903, 1.21591, 1.23938, 1.2541, 1.28267, 1.32408, 1.34661, 1.38262, 1.43063, 1.48938, 1.54813, 1.60688, 1.72438");
-            values ( \
-              "-0.0165274, -0.0185027, -0.0235077, -0.0309327, -0.0513451, -0.0535665, -0.0514194, -0.0511555, -0.0534163, -0.0605072, -0.0777627, -0.0888041, -0.0899308, -0.0922137, -0.0945401, -0.0962194, -0.0912377, -0.089212, -0.0847003, -0.0475187, -0.0375682, -0.0298179, -0.0243378, -0.0211126, -0.0159934, -0.0105302, -0.00839981, -0.00582612, -0.00353606, -0.00191016, -0.00103497, -0.000570187, -0.000184458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0437871");
-            index_3 ("0.561263, 0.637004, 0.674031, 0.694181, 0.715618, 0.742121, 0.758133, 0.774978, 0.794992, 0.901974, 0.954239, 0.998415, 1.10551, 1.13243, 1.1738, 1.21594, 1.2297, 1.38809, 1.4638, 1.50317, 1.54816, 1.5875, 1.63205, 1.6908, 1.74677, 1.83255, 1.8913, 1.95005, 2.06755, 2.30256");
-            values ( \
-              "-0.0272798, -0.0346029, -0.0523726, -0.0591893, -0.0594764, -0.0574718, -0.0579497, -0.0600932, -0.0637534, -0.0846888, -0.0937914, -0.100544, -0.11371, -0.115875, -0.115987, -0.111076, -0.108069, -0.0614408, -0.0429067, -0.0351294, -0.0276787, -0.0223449, -0.0174417, -0.0124703, -0.00902668, -0.00545897, -0.00385144, -0.00272009, -0.00135531, -0.000344896" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00300041, 0.00300185, 0.00300315, 0.00300414, 0.00300481, 0.00300522", \
-            "0.00341864, 0.00341995, 0.00342131, 0.00342246, 0.00342331, 0.00342385", \
-            "0.00363662, 0.0036372, 0.00363798, 0.00363881, 0.00363953, 0.00364005", \
-            "0.00375422, 0.00375433, 0.00375453, 0.00375485, 0.00375525, 0.00375561", \
-            "0.00382569, 0.00382568, 0.00382567, 0.0038257, 0.00382578, 0.00382594", \
-            "0.00387437, 0.00387446, 0.00387458, 0.00387468, 0.00387476, 0.00387482" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00413539, 0.00413797, 0.00414035, 0.00414224, 0.00414354, 0.00414436", \
-            "0.00434034, 0.00434195, 0.00434348, 0.00434472, 0.00434559, 0.00434613", \
-            "0.00452787, 0.00452873, 0.00452958, 0.00453017, 0.0045305, 0.00453067", \
-            "0.00483644, 0.00482644, 0.00481677, 0.00480837, 0.00480223, 0.00479849", \
-            "0.00549717, 0.00544133, 0.00538659, 0.0053406, 0.00530851, 0.00528965", \
-            "0.00608678, 0.00594624, 0.00584961, 0.00576987, 0.00571079, 0.00567161" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0108892, 0.0109237, 0.0109524, 0.0109738, 0.0109869, 0.0109947", \
-            "0.0106932, 0.010744, 0.0107923, 0.0108306, 0.0108567, 0.0108732", \
-            "0.0105217, 0.0105732, 0.0106357, 0.0106959, 0.0107439, 0.010776", \
-            "0.0104964, 0.0105194, 0.0105886, 0.0106475, 0.0107222, 0.0107912", \
-            "0.0108554, 0.0108898, 0.0109052, 0.0109398, 0.0110163, 0.0111063", \
-            "0.0124023, 0.0123505, 0.0123106, 0.0122793, 0.012259, 0.0122764" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00207672, 0.00208483, 0.00209133, 0.00209599, 0.00209754, 0.00209482", \
-            "0.00186255, 0.00187419, 0.00189578, 0.00189924, 0.00190214, 0.00190361", \
-            "0.00159501, 0.00161504, 0.00165943, 0.0016911, 0.00169819, 0.00172468", \
-            "0.00139268, 0.00143675, 0.00144177, 0.00149432, 0.00153665, 0.00156356", \
-            "0.00152669, 0.00153987, 0.00156877, 0.00159072, 0.00163634, 0.00168756", \
-            "0.00294995, 0.00287773, 0.00280254, 0.00273891, 0.00269514, 0.00267643" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00167328, 0.00159211, 0.00146848, 0.00134884, 0.00124477, 0.00115886", \
-            "0.00152442, 0.00144675, 0.00133599, 0.00121284, 0.00111016, 0.00102488", \
-            "0.0012983, 0.00123488, 0.00115004, 0.00104673, 0.000950882, 0.000869871", \
-            "0.00110679, 0.00107469, 0.00103129, 0.000965015, 0.000871459, 0.00079068", \
-            "0.00115328, 0.00115664, 0.00113275, 0.00110274, 0.00103683, 0.000965256", \
-            "0.00205025, 0.00205311, 0.00203028, 0.00203792, 0.00201662, 0.00195919" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.011691, 0.0117027, 0.0117154, 0.0116986, 0.0116756, 0.0116618", \
-            "0.0115558, 0.0115935, 0.0116099, 0.0115954, 0.01158, 0.0115655", \
-            "0.0114547, 0.011496, 0.011517, 0.0115189, 0.0115086, 0.0114954", \
-            "0.0113891, 0.0114601, 0.011515, 0.0115346, 0.0115642, 0.0115639", \
-            "0.0116279, 0.0116947, 0.0117938, 0.0118467, 0.0118914, 0.0119085", \
-            "0.0126715, 0.0127266, 0.0127924, 0.0128949, 0.0129656, 0.0130217" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0107864, 0.0107964, 0.0108048, 0.0108105, 0.0108142, 0.0108143", \
-            "0.0106535, 0.01067, 0.0106862, 0.0106988, 0.0107069, 0.0107103", \
-            "0.0105497, 0.0105661, 0.0105927, 0.0106146, 0.0106317, 0.0106417", \
-            "0.0105804, 0.0105944, 0.0106387, 0.0106543, 0.0106811, 0.0106932", \
-            "0.0109972, 0.0109943, 0.0110289, 0.0110306, 0.0110722, 0.011094", \
-            "0.0126243, 0.012561, 0.0125018, 0.0124346, 0.0123561, 0.0123644" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00203458, 0.00203822, 0.00204149, 0.00204477, 0.0020424, 0.00203894", \
-            "0.00183381, 0.00185085, 0.00184942, 0.00185455, 0.00185821, 0.00185736", \
-            "0.00158198, 0.00160955, 0.00163641, 0.00166292, 0.00166698, 0.00167612", \
-            "0.00139962, 0.00142265, 0.0014648, 0.00151313, 0.00154216, 0.00156394", \
-            "0.00154805, 0.00155553, 0.00160008, 0.00162715, 0.00167153, 0.00170301", \
-            "0.00292542, 0.00286601, 0.00280454, 0.002751, 0.00272421, 0.00271006" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.00129126, 0.00135678, 0.00141363, 0.00145324, 0.00147682, 0.00148816", \
-            "0.00117135, 0.00123617, 0.00129286, 0.00133261, 0.00135596, 0.00136668", \
-            "0.000966881, 0.00102928, 0.00109044, 0.00116, 0.0011905, 0.00120675", \
-            "0.000844407, 0.000897461, 0.000961871, 0.00102927, 0.00106695, 0.0011048", \
-            "0.000947039, 0.000984509, 0.00105044, 0.00111743, 0.00118794, 0.00121821", \
-            "0.00191388, 0.00193611, 0.00196454, 0.00204575, 0.00212913, 0.00217036" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0090796, 0.0218306, 0.0524888, 0.126202, 0.303436, 0.72957");
-          index_2 ("0.00216004, 0.0039431, 0.00719805, 0.0131399, 0.0239866, 0.0437871");
-          values ( \
-            "0.0103976, 0.0104499, 0.0105004, 0.0105382, 0.0105627, 0.0105769", \
-            "0.0103173, 0.0103777, 0.0104309, 0.0104711, 0.010497, 0.0105121", \
-            "0.0102168, 0.0102829, 0.0103463, 0.0103973, 0.0104324, 0.0104538", \
-            "0.0101647, 0.0102488, 0.0103362, 0.0103984, 0.0104456, 0.0104915", \
-            "0.0104661, 0.0105343, 0.010603, 0.0106981, 0.0107879, 0.0108459", \
-            "0.0115773, 0.0116276, 0.0117151, 0.0117914, 0.0118801, 0.0119614" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00564338;
-      rise_capacitance : 0.00564338;
-      rise_capacitance_range (0.00428744, 0.00564338);
-      fall_capacitance : 0.00563856;
-      fall_capacitance_range (0.00375891, 0.00563856);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00481025;
-      rise_capacitance : 0.00481025;
-      rise_capacitance_range (0.00388146, 0.00481025);
-      fall_capacitance : 0.00479716;
-      fall_capacitance_range (0.00358326, 0.00479716);
-    }
-  }
-}
diff --git a/outputs/sky130_osu_sc_18T_hs.lef b/outputs/sky130_osu_sc_18T_hs.lef
deleted file mode 100644
index a627652..0000000
--- a/outputs/sky130_osu_sc_18T_hs.lef
+++ /dev/null
@@ -1,3495 +0,0 @@
-VERSION 5.6 ;
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-
-MACRO ADDFX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ADDFX1 0 0 ;
-  SIZE 7.04 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 7.04 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 7.04 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.01 1.735 5.3 1.965 ;
-        RECT 0.34 1.765 5.3 1.935 ;
-        RECT 2.35 1.735 2.64 1.965 ;
-        RECT 0.34 1.735 0.63 1.965 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.12 2.475 4.41 2.705 ;
-        RECT 0.34 2.51 4.41 2.675 ;
-        RECT 4.06 2.505 4.41 2.675 ;
-        RECT 0.34 2.505 3.67 2.675 ;
-        RECT 2.83 2.475 3.12 2.705 ;
-        RECT 2.16 2.475 2.45 2.705 ;
-        RECT 0.34 2.475 0.63 2.705 ;
-    END
-  END B
-  PIN CI
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.6 2.105 4.89 2.335 ;
-        RECT 0.4 2.135 4.89 2.305 ;
-        RECT 3.27 2.105 3.56 2.335 ;
-        RECT 1.18 2.105 1.47 2.335 ;
-    END
-  END CI
-  PIN CO
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.605 2.845 6.895 3.075 ;
-        RECT 6.495 2.875 6.895 3.045 ;
-    END
-  END CO
-  PIN CON
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.995 1.365 6.285 1.595 ;
-        RECT 1.405 1.395 6.285 1.565 ;
-        RECT 3.825 1.365 4.115 1.595 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-    END
-  END CON
-  PIN S
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.655 3.22 5.945 3.45 ;
-        RECT 5.545 3.25 5.945 3.42 ;
-    END
-  END S
-END ADDFX1
-
-MACRO ADDFXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ADDFXL 0 0 ;
-  SIZE 7.04 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 7.04 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 7.04 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.01 1.735 5.3 1.965 ;
-        RECT 0.34 1.765 5.3 1.935 ;
-        RECT 2.35 1.735 2.64 1.965 ;
-        RECT 0.34 1.735 0.63 1.965 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.12 2.475 4.41 2.705 ;
-        RECT 0.34 2.51 4.41 2.675 ;
-        RECT 4.06 2.505 4.41 2.675 ;
-        RECT 0.34 2.505 3.67 2.675 ;
-        RECT 2.83 2.475 3.12 2.705 ;
-        RECT 2.16 2.475 2.45 2.705 ;
-        RECT 0.34 2.475 0.63 2.705 ;
-    END
-  END B
-  PIN CI
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.6 2.105 4.89 2.335 ;
-        RECT 0.4 2.135 4.89 2.305 ;
-        RECT 3.27 2.105 3.56 2.335 ;
-        RECT 1.18 2.105 1.47 2.335 ;
-    END
-  END CI
-  PIN CO
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.605 2.845 6.895 3.075 ;
-        RECT 6.495 2.875 6.895 3.045 ;
-    END
-  END CO
-  PIN CON
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.995 1.365 6.285 1.595 ;
-        RECT 1.405 1.395 6.285 1.565 ;
-        RECT 3.825 1.365 4.115 1.595 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-    END
-  END CON
-  PIN S
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.655 3.25 5.945 3.48 ;
-        RECT 5.545 3.28 5.945 3.45 ;
-    END
-  END S
-END ADDFXL
-
-MACRO ADDHX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ADDHX1 0 0 ;
-  SIZE 4.18 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.18 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.18 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.54 2.475 3.83 2.705 ;
-        RECT 1.24 2.5 3.83 2.675 ;
-        RECT 1.24 2.475 1.53 2.705 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.06 2.105 3.35 2.335 ;
-        RECT 0.76 2.135 3.35 2.31 ;
-        RECT 0.76 2.105 1.05 2.335 ;
-    END
-  END B
-  PIN CO
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.03 2.875 2.43 3.045 ;
-        RECT 2.03 2.845 2.32 3.075 ;
-    END
-  END CO
-  PIN CON
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.275 1.735 3.565 1.965 ;
-        RECT 3.165 1.765 3.565 1.935 ;
-    END
-  END CON
-  PIN S
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.115 3.215 0.405 3.445 ;
-        RECT 0.115 1.36 0.405 1.59 ;
-        RECT 0.175 1.36 0.345 3.445 ;
-    END
-  END S
-  OBS
-    LAYER met1 ;
-      RECT 2.475 1.735 2.765 1.965 ;
-      RECT 0.49 1.735 0.78 1.965 ;
-      RECT 0.49 1.765 2.765 1.935 ;
-  END
-END ADDHX1
-
-MACRO ADDHXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ADDHXL 0 0 ;
-  SIZE 4.18 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.18 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.18 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.54 2.475 3.83 2.705 ;
-        RECT 1.24 2.5 3.83 2.675 ;
-        RECT 1.24 2.475 1.53 2.705 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.06 2.105 3.35 2.335 ;
-        RECT 0.76 2.135 3.35 2.31 ;
-        RECT 0.76 2.105 1.05 2.335 ;
-    END
-  END B
-  PIN CO
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.03 2.875 2.43 3.045 ;
-        RECT 2.03 2.845 2.32 3.075 ;
-    END
-  END CO
-  PIN CON
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.275 1.735 3.565 1.965 ;
-        RECT 3.165 1.765 3.565 1.935 ;
-    END
-  END CON
-  PIN S
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.115 3.215 0.405 3.445 ;
-        RECT 0.115 1.36 0.405 1.59 ;
-        RECT 0.175 1.36 0.345 3.445 ;
-    END
-  END S
-  OBS
-    LAYER met1 ;
-      RECT 2.475 1.735 2.765 1.965 ;
-      RECT 0.49 1.735 0.78 1.965 ;
-      RECT 0.49 1.765 2.765 1.935 ;
-  END
-END ADDHXL
-
-MACRO AND2X1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AND2X1 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 3.245 0.525 3.415 ;
-        RECT 0.125 3.215 0.415 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.845 1.095 3.075 ;
-        RECT 0.7 2.875 1.095 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END AND2X1
-
-MACRO AND2X2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AND2X2 0 0 ;
-  SIZE 2.31 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.31 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 2.31 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 3.245 0.525 3.415 ;
-        RECT 0.125 3.215 0.415 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.845 1.095 3.075 ;
-        RECT 0.7 2.875 1.095 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END AND2X2
-
-MACRO AND2X4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AND2X4 0 0 ;
-  SIZE 3.19 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.19 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 3.19 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 3.245 0.525 3.415 ;
-        RECT 0.125 3.215 0.415 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.845 1.095 3.075 ;
-        RECT 0.7 2.875 1.095 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.265 2.475 2.555 2.705 ;
-        RECT 2.265 1.365 2.555 1.595 ;
-        RECT 2.325 1.365 2.495 2.705 ;
-        RECT 1.405 2.505 2.555 2.675 ;
-        RECT 1.405 1.395 2.555 1.565 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END AND2X4
-
-MACRO AND2X6
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AND2X6 0 0 ;
-  SIZE 4.07 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.07 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.07 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.09 3.245 0.49 3.415 ;
-        RECT 0.09 3.215 0.38 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.77 2.845 1.06 3.075 ;
-        RECT 0.66 2.875 1.06 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.125 2.475 3.415 2.705 ;
-        RECT 3.125 1.365 3.415 1.595 ;
-        RECT 3.185 1.365 3.355 2.705 ;
-        RECT 1.405 2.505 3.415 2.675 ;
-        RECT 1.405 1.395 3.415 1.565 ;
-        RECT 2.265 2.475 2.555 2.705 ;
-        RECT 2.265 1.365 2.555 1.595 ;
-        RECT 2.325 1.365 2.495 2.705 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END AND2X6
-
-MACRO AND2X8
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AND2X8 0 0 ;
-  SIZE 4.95 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.95 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.95 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 3.245 0.525 3.415 ;
-        RECT 0.125 3.215 0.415 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.845 1.095 3.075 ;
-        RECT 0.7 2.875 1.095 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.985 2.475 4.275 2.705 ;
-        RECT 3.985 1.365 4.275 1.595 ;
-        RECT 4.045 1.365 4.215 2.705 ;
-        RECT 1.405 2.505 4.275 2.675 ;
-        RECT 3.56 1.395 4.275 1.565 ;
-        RECT 3.125 2.475 3.415 2.705 ;
-        RECT 3.125 1.365 3.415 1.595 ;
-        RECT 3.185 1.365 3.355 2.705 ;
-        RECT 1.405 1.395 3.415 1.565 ;
-        RECT 2.265 2.475 2.555 2.705 ;
-        RECT 2.265 1.365 2.555 1.595 ;
-        RECT 2.325 1.365 2.495 2.705 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END AND2X8
-
-MACRO AND2XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AND2XL 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 3.245 0.525 3.415 ;
-        RECT 0.125 3.215 0.415 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 2.845 1.095 3.075 ;
-        RECT 0.7 2.875 1.095 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END AND2XL
-
-MACRO ANT
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ANT 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.475 0.54 2.705 ;
-    END
-  END A
-END ANT
-
-MACRO ANTFILL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN ANTFILL 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 2.475 0.54 2.705 ;
-    END
-  END A
-END ANTFILL
-
-MACRO AOI21XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN AOI21XL 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A0
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.24 3.245 0.64 3.415 ;
-        RECT 0.24 3.215 0.53 3.445 ;
-    END
-  END A0
-  PIN A1
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.58 2.875 0.98 3.045 ;
-        RECT 0.58 2.845 0.87 3.075 ;
-    END
-  END A1
-  PIN B0
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.02 2.475 1.31 2.705 ;
-        RECT 0.91 2.505 1.31 2.675 ;
-    END
-  END B0
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.105 1.695 2.335 ;
-        RECT 1.465 1.395 1.635 2.335 ;
-        RECT 0.905 1.395 1.635 1.565 ;
-        RECT 0.905 1.365 1.195 1.595 ;
-    END
-  END Y
-END AOI21XL
-
-MACRO BUFX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFX1 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END BUFX1
-
-MACRO BUFX2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFX2 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END BUFX2
-
-MACRO BUFX4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFX4 0 0 ;
-  SIZE 2.75 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.75 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 2.75 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.835 2.845 2.125 3.075 ;
-        RECT 1.835 1.365 2.125 1.595 ;
-        RECT 1.895 1.365 2.065 3.075 ;
-        RECT 0.975 2.875 2.125 3.045 ;
-        RECT 0.975 1.395 2.125 1.565 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END BUFX4
-
-MACRO BUFX6
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFX6 0 0 ;
-  SIZE 3.63 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.63 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 3.63 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.695 2.845 2.985 3.075 ;
-        RECT 2.695 1.365 2.985 1.595 ;
-        RECT 2.755 1.365 2.925 3.075 ;
-        RECT 0.975 2.875 2.985 3.045 ;
-        RECT 0.975 1.395 2.985 1.565 ;
-        RECT 1.835 2.845 2.125 3.075 ;
-        RECT 1.835 1.365 2.125 1.595 ;
-        RECT 1.895 1.365 2.065 3.075 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END BUFX6
-
-MACRO BUFX8
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFX8 0 0 ;
-  SIZE 4.51 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.51 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.51 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.555 2.845 3.845 3.075 ;
-        RECT 3.555 1.365 3.845 1.595 ;
-        RECT 3.615 1.365 3.785 3.075 ;
-        RECT 0.975 2.875 3.845 3.045 ;
-        RECT 0.975 1.395 3.845 1.565 ;
-        RECT 2.695 2.845 2.985 3.075 ;
-        RECT 2.695 1.365 2.985 1.595 ;
-        RECT 2.755 1.365 2.925 3.075 ;
-        RECT 1.835 2.845 2.125 3.075 ;
-        RECT 1.835 1.365 2.125 1.595 ;
-        RECT 1.895 1.365 2.065 3.075 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END BUFX8
-
-MACRO BUFXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN BUFXL 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END BUFXL
-
-MACRO CLKBUFX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN CLKBUFX1 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END CLKBUFX1
-
-MACRO CLKINVX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN CLKINVX1 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END CLKINVX1
-
-MACRO CLKINVX2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN CLKINVX2 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END CLKINVX2
-
-MACRO CLKINVX4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN CLKINVX4 0 0 ;
-  SIZE 2.31 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.31 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 2.31 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.845 1.695 3.075 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 3.075 ;
-        RECT 0.545 2.875 1.695 3.045 ;
-        RECT 0.545 1.395 1.695 1.565 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END CLKINVX4
-
-MACRO DECAPX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DECAPX1 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-END DECAPX1
-
-MACRO DECAPXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DECAPXL 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-END DECAPXL
-
-MACRO DFFSRX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DFFSRX1 0 0 ;
-  SIZE 10.45 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 10.45 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 10.45 6.66 ;
-    END
-  END vdd
-  PIN CK
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.735 2.475 7.025 2.705 ;
-        RECT 3.51 2.505 7.025 2.675 ;
-        RECT 5.74 2.475 6.03 2.705 ;
-        RECT 3.51 2.475 3.8 2.705 ;
-    END
-  END CK
-  PIN D
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.15 2.135 3.55 2.305 ;
-        RECT 3.15 2.105 3.44 2.335 ;
-    END
-  END D
-  PIN Q
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 9.995 3.215 10.285 3.445 ;
-        RECT 9.885 3.245 10.285 3.415 ;
-    END
-  END Q
-  PIN QN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 9.135 2.845 9.425 3.075 ;
-        RECT 9.02 2.875 9.425 3.045 ;
-    END
-  END QN
-  PIN RN
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END RN
-  PIN SN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 7.79 2.845 8.08 3.075 ;
-        RECT 1.565 2.875 8.08 3.045 ;
-        RECT 1.565 2.845 1.855 3.075 ;
-    END
-  END SN
-  OBS
-    LAYER met1 ;
-      RECT 9.235 2.075 9.525 2.305 ;
-      RECT 6.22 2.075 6.51 2.305 ;
-      RECT 6.22 2.105 9.525 2.275 ;
-      RECT 8.715 1.365 9.005 1.595 ;
-      RECT 1.085 1.365 1.375 1.595 ;
-      RECT 1.085 1.395 9.005 1.565 ;
-      RECT 7.45 1.735 7.74 1.965 ;
-      RECT 4.92 1.735 5.21 1.965 ;
-      RECT 4.92 1.765 7.74 1.935 ;
-      RECT 4.49 1.735 4.78 1.965 ;
-      RECT 1.565 1.735 1.855 1.965 ;
-      RECT 1.565 1.765 4.78 1.935 ;
-  END
-END DFFSRX1
-
-MACRO DFFSRXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DFFSRXL 0 0 ;
-  SIZE 10.45 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 10.45 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 10.45 6.66 ;
-    END
-  END vdd
-  PIN CK
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.735 2.475 7.025 2.705 ;
-        RECT 3.51 2.505 7.025 2.675 ;
-        RECT 5.74 2.475 6.03 2.705 ;
-        RECT 3.51 2.475 3.8 2.705 ;
-    END
-  END CK
-  PIN D
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.15 2.135 3.55 2.305 ;
-        RECT 3.15 2.105 3.44 2.335 ;
-    END
-  END D
-  PIN Q
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 9.99 3.215 10.28 3.445 ;
-        RECT 9.88 3.245 10.28 3.415 ;
-    END
-  END Q
-  PIN QN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 9.135 2.845 9.425 3.075 ;
-        RECT 9.02 2.875 9.425 3.045 ;
-    END
-  END QN
-  PIN RN
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END RN
-  PIN SN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 7.79 2.845 8.08 3.075 ;
-        RECT 1.565 2.875 8.08 3.045 ;
-        RECT 1.565 2.845 1.855 3.075 ;
-    END
-  END SN
-  OBS
-    LAYER met1 ;
-      RECT 9.235 2.075 9.525 2.305 ;
-      RECT 6.22 2.075 6.51 2.305 ;
-      RECT 6.22 2.105 9.525 2.275 ;
-      RECT 8.715 1.365 9.005 1.595 ;
-      RECT 1.085 1.365 1.375 1.595 ;
-      RECT 1.085 1.395 9.005 1.565 ;
-      RECT 7.45 1.735 7.74 1.965 ;
-      RECT 4.92 1.735 5.21 1.965 ;
-      RECT 4.92 1.765 7.74 1.935 ;
-      RECT 4.49 1.735 4.78 1.965 ;
-      RECT 1.565 1.735 1.855 1.965 ;
-      RECT 1.565 1.765 4.78 1.935 ;
-  END
-END DFFSRXL
-
-MACRO DFFX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DFFX1 0 0 ;
-  SIZE 7.26 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 7.26 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 7.26 6.66 ;
-    END
-  END vdd
-  PIN CK
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.43 2.475 4.72 2.705 ;
-        RECT 1.205 2.505 4.72 2.675 ;
-        RECT 3.435 2.475 3.725 2.705 ;
-        RECT 1.205 2.475 1.495 2.705 ;
-    END
-  END CK
-  PIN D
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.845 2.135 1.245 2.305 ;
-        RECT 0.845 2.105 1.135 2.335 ;
-    END
-  END D
-  PIN Q
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.83 3.215 7.12 3.445 ;
-        RECT 6.715 3.245 7.12 3.415 ;
-    END
-  END Q
-  PIN QN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.97 2.845 6.26 3.075 ;
-        RECT 5.86 2.875 6.26 3.045 ;
-    END
-  END QN
-  OBS
-    LAYER met1 ;
-      RECT 6.07 2.075 6.36 2.305 ;
-      RECT 3.915 2.075 4.205 2.305 ;
-      RECT 3.915 2.105 6.36 2.275 ;
-      RECT 5.03 1.735 5.32 1.965 ;
-      RECT 2.615 1.735 2.905 1.965 ;
-      RECT 2.615 1.765 5.32 1.935 ;
-      RECT 2.185 1.735 2.475 1.965 ;
-      RECT 0.14 1.735 0.43 1.965 ;
-      RECT 0.14 1.765 2.475 1.935 ;
-  END
-END DFFX1
-
-MACRO DFFXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DFFXL 0 0 ;
-  SIZE 7.26 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 7.26 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 7.26 6.66 ;
-    END
-  END vdd
-  PIN CK
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 4.43 2.475 4.72 2.705 ;
-        RECT 1.205 2.505 4.72 2.675 ;
-        RECT 3.435 2.475 3.725 2.705 ;
-        RECT 1.205 2.475 1.495 2.705 ;
-    END
-  END CK
-  PIN D
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.845 2.135 1.245 2.305 ;
-        RECT 0.845 2.105 1.135 2.335 ;
-    END
-  END D
-  PIN Q
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 6.825 3.215 7.115 3.445 ;
-        RECT 6.715 3.245 7.115 3.415 ;
-    END
-  END Q
-  PIN QN
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 5.97 2.845 6.26 3.075 ;
-        RECT 5.86 2.875 6.26 3.045 ;
-    END
-  END QN
-  OBS
-    LAYER met1 ;
-      RECT 6.07 2.075 6.36 2.305 ;
-      RECT 3.915 2.075 4.205 2.305 ;
-      RECT 3.915 2.105 6.36 2.275 ;
-      RECT 5.03 1.735 5.32 1.965 ;
-      RECT 2.615 1.735 2.905 1.965 ;
-      RECT 2.615 1.765 5.32 1.935 ;
-      RECT 2.185 1.735 2.475 1.965 ;
-      RECT 0.14 1.735 0.43 1.965 ;
-      RECT 0.14 1.765 2.475 1.935 ;
-  END
-END DFFXL
-
-MACRO DLY1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DLY1 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END DLY1
-
-MACRO DLY2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DLY2 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END DLY2
-
-MACRO DLY3
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DLY3 0 0 ;
-  SIZE 2.75 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.75 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 2.75 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.835 2.845 2.125 3.075 ;
-        RECT 1.835 1.365 2.125 1.595 ;
-        RECT 1.895 1.365 2.065 3.075 ;
-        RECT 0.975 2.875 2.125 3.045 ;
-        RECT 0.975 1.395 2.125 1.565 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END DLY3
-
-MACRO DLY4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN DLY4 0 0 ;
-  SIZE 4.51 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.51 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.51 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.49 3.215 0.78 3.445 ;
-        RECT 0.32 3.245 0.78 3.415 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.555 2.845 3.845 3.075 ;
-        RECT 3.555 1.365 3.845 1.595 ;
-        RECT 3.615 1.365 3.785 3.075 ;
-        RECT 0.975 2.875 3.845 3.045 ;
-        RECT 0.975 1.395 3.845 1.565 ;
-        RECT 2.695 2.845 2.985 3.075 ;
-        RECT 2.695 1.365 2.985 1.595 ;
-        RECT 2.755 1.365 2.925 3.075 ;
-        RECT 1.835 2.845 2.125 3.075 ;
-        RECT 1.835 1.365 2.125 1.595 ;
-        RECT 1.895 1.365 2.065 3.075 ;
-        RECT 0.975 2.845 1.265 3.075 ;
-        RECT 0.975 1.365 1.265 1.595 ;
-        RECT 1.035 1.365 1.205 3.075 ;
-    END
-  END Y
-END DLY4
-
-MACRO FILLX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX1 0 0 ;
-  SIZE 0.11 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.11 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.11 6.66 ;
-    END
-  END vdd
-END FILLX1
-
-MACRO FILLX16
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX16 0 0 ;
-  SIZE 1.76 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.76 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.76 6.66 ;
-    END
-  END vdd
-END FILLX16
-
-MACRO FILLX2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX2 0 0 ;
-  SIZE 0.22 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.22 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.22 6.66 ;
-    END
-  END vdd
-END FILLX2
-
-MACRO FILLX32
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX32 0 0 ;
-  SIZE 3.52 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.52 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 3.52 6.66 ;
-    END
-  END vdd
-END FILLX32
-
-MACRO FILLX4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX4 0 0 ;
-  SIZE 0.44 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.44 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.44 6.66 ;
-    END
-  END vdd
-END FILLX4
-
-MACRO FILLX8
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN FILLX8 0 0 ;
-  SIZE 0.88 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.88 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.88 6.66 ;
-    END
-  END vdd
-END FILLX8
-
-MACRO INVX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX1 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END INVX1
-
-MACRO INVX10
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX10 0 0 ;
-  SIZE 4.95 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.95 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.95 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.985 2.845 4.275 3.075 ;
-        RECT 3.985 1.365 4.275 1.595 ;
-        RECT 4.045 1.365 4.215 3.075 ;
-        RECT 0.545 2.875 4.275 3.045 ;
-        RECT 0.545 1.395 4.275 1.565 ;
-        RECT 3.125 2.845 3.415 3.075 ;
-        RECT 3.125 1.365 3.415 1.595 ;
-        RECT 3.185 1.365 3.355 3.075 ;
-        RECT 2.265 2.845 2.555 3.075 ;
-        RECT 2.265 1.365 2.555 1.595 ;
-        RECT 2.325 1.365 2.495 3.075 ;
-        RECT 1.405 2.845 1.695 3.075 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 3.075 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END INVX10
-
-MACRO INVX2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX2 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END INVX2
-
-MACRO INVX3
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX3 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.845 1.695 3.075 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 3.075 ;
-        RECT 0.545 2.875 1.695 3.045 ;
-        RECT 0.545 1.395 1.695 1.565 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END INVX3
-
-MACRO INVX4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX4 0 0 ;
-  SIZE 2.31 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.31 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 2.31 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.845 1.695 3.075 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 3.075 ;
-        RECT 0.545 2.875 1.695 3.045 ;
-        RECT 0.545 1.395 1.695 1.565 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END INVX4
-
-MACRO INVX6
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX6 0 0 ;
-  SIZE 3.19 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.19 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 3.19 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.265 2.845 2.555 3.075 ;
-        RECT 2.265 1.365 2.555 1.595 ;
-        RECT 2.325 1.365 2.495 3.075 ;
-        RECT 0.545 2.875 2.555 3.045 ;
-        RECT 0.545 1.395 2.555 1.565 ;
-        RECT 1.405 2.845 1.695 3.075 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 3.075 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END INVX6
-
-MACRO INVX8
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVX8 0 0 ;
-  SIZE 4.07 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.07 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.07 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.125 2.845 3.415 3.075 ;
-        RECT 3.125 1.365 3.415 1.595 ;
-        RECT 3.185 1.365 3.355 3.075 ;
-        RECT 0.545 2.875 3.415 3.045 ;
-        RECT 0.545 1.395 3.415 1.565 ;
-        RECT 2.265 2.845 2.555 3.075 ;
-        RECT 2.265 1.365 2.555 1.595 ;
-        RECT 2.325 1.365 2.495 3.075 ;
-        RECT 1.405 2.845 1.695 3.075 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 3.075 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END INVX8
-
-MACRO INVXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN INVXL 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.635 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.605 1.365 0.775 3.075 ;
-    END
-  END Y
-END INVXL
-
-MACRO NAND2X1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN NAND2X1 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.575 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.915 2.845 1.205 3.075 ;
-        RECT 0.805 2.875 1.205 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.475 0.835 2.705 ;
-        RECT 0.605 1.395 0.775 2.705 ;
-        RECT 0.115 1.395 0.775 1.565 ;
-        RECT 0.115 1.365 0.405 1.595 ;
-    END
-  END Y
-END NAND2X1
-
-MACRO NAND2XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN NAND2XL 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.175 3.245 0.575 3.415 ;
-        RECT 0.175 3.215 0.465 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.915 2.845 1.205 3.075 ;
-        RECT 0.805 2.875 1.205 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.475 0.835 2.705 ;
-        RECT 0.605 1.395 0.775 2.705 ;
-        RECT 0.115 1.395 0.775 1.565 ;
-        RECT 0.115 1.365 0.405 1.595 ;
-    END
-  END Y
-END NAND2XL
-
-MACRO NOR2X1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN NOR2X1 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.845 3.215 1.135 3.445 ;
-        RECT 0.74 3.245 1.135 3.415 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.505 2.845 0.795 3.075 ;
-        RECT 0.395 2.875 0.795 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.115 2.505 0.775 2.675 ;
-        RECT 0.605 1.365 0.775 2.675 ;
-        RECT 0.115 2.475 0.405 2.705 ;
-    END
-  END Y
-END NOR2X1
-
-MACRO NOR2XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN NOR2XL 0 0 ;
-  SIZE 1.43 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.43 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.43 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.845 3.215 1.135 3.445 ;
-        RECT 0.74 3.245 1.135 3.415 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.505 2.845 0.795 3.075 ;
-        RECT 0.395 2.875 0.795 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 1.365 0.835 1.595 ;
-        RECT 0.115 2.505 0.775 2.675 ;
-        RECT 0.605 1.365 0.775 2.675 ;
-        RECT 0.115 2.475 0.405 2.705 ;
-    END
-  END Y
-END NOR2XL
-
-MACRO OAI21XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OAI21XL 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A0
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.27 3.245 0.67 3.415 ;
-        RECT 0.27 3.215 0.56 3.445 ;
-    END
-  END A0
-  PIN A1
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.75 2.875 1.15 3.045 ;
-        RECT 0.75 2.845 1.04 3.075 ;
-    END
-  END A1
-  PIN B0
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.055 2.475 1.345 2.705 ;
-        RECT 0.945 2.505 1.345 2.675 ;
-    END
-  END B0
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.395 2.105 1.685 2.335 ;
-        RECT 1.465 1.365 1.635 2.335 ;
-    END
-  END Y
-END OAI21XL
-
-MACRO OR2X1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OR2X1 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 3.215 1.095 3.445 ;
-        RECT 0.7 3.245 1.095 3.415 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.875 0.525 3.045 ;
-        RECT 0.125 2.845 0.415 3.075 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END OR2X1
-
-MACRO OR2X2
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OR2X2 0 0 ;
-  SIZE 2.31 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 2.31 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 2.31 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 3.215 1.095 3.445 ;
-        RECT 0.7 3.245 1.095 3.415 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.875 0.525 3.045 ;
-        RECT 0.125 2.845 0.415 3.075 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END OR2X2
-
-MACRO OR2X4
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OR2X4 0 0 ;
-  SIZE 3.19 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.19 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 3.19 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 3.215 1.095 3.445 ;
-        RECT 0.7 3.245 1.095 3.415 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.875 0.525 3.045 ;
-        RECT 0.125 2.845 0.415 3.075 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.265 2.475 2.555 2.705 ;
-        RECT 2.265 1.365 2.555 1.595 ;
-        RECT 2.325 1.365 2.495 2.705 ;
-        RECT 1.405 2.505 2.555 2.675 ;
-        RECT 1.405 1.395 2.555 1.565 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END OR2X4
-
-MACRO OR2X8
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OR2X8 0 0 ;
-  SIZE 4.95 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 4.95 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 4.95 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 3.215 1.095 3.445 ;
-        RECT 0.7 3.245 1.095 3.415 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.875 0.525 3.045 ;
-        RECT 0.125 2.845 0.415 3.075 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 3.985 2.475 4.275 2.705 ;
-        RECT 3.985 1.365 4.275 1.595 ;
-        RECT 4.045 1.365 4.215 2.705 ;
-        RECT 1.405 2.505 4.275 2.675 ;
-        RECT 3.56 1.395 4.275 1.565 ;
-        RECT 3.125 2.475 3.415 2.705 ;
-        RECT 3.125 1.365 3.415 1.595 ;
-        RECT 3.185 1.365 3.355 2.705 ;
-        RECT 1.405 1.395 3.415 1.565 ;
-        RECT 2.265 2.475 2.555 2.705 ;
-        RECT 2.265 1.365 2.555 1.595 ;
-        RECT 2.325 1.365 2.495 2.705 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END OR2X8
-
-MACRO OR2XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN OR2XL 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.805 3.215 1.095 3.445 ;
-        RECT 0.7 3.245 1.095 3.415 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.125 2.875 0.525 3.045 ;
-        RECT 0.125 2.845 0.415 3.075 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.405 2.475 1.695 2.705 ;
-        RECT 1.405 1.365 1.695 1.595 ;
-        RECT 1.465 1.365 1.635 2.705 ;
-    END
-  END Y
-END OR2XL
-
-MACRO TBUFIX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TBUFIX1 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.995 3.215 1.285 3.445 ;
-        RECT 0.885 3.245 1.285 3.415 ;
-    END
-  END A
-  PIN OE
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.875 0.945 3.045 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.735 0.835 1.965 ;
-        RECT 0.605 1.735 0.775 3.075 ;
-    END
-  END OE
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.335 2.475 1.625 2.705 ;
-        RECT 1.335 1.365 1.625 1.595 ;
-        RECT 1.395 1.365 1.565 2.705 ;
-    END
-  END Y
-END TBUFIX1
-
-MACRO TBUFIXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TBUFIXL 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.995 3.215 1.285 3.445 ;
-        RECT 0.885 3.245 1.285 3.415 ;
-    END
-  END A
-  PIN OE
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.875 0.945 3.045 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-        RECT 0.545 1.735 0.835 1.965 ;
-        RECT 0.605 1.735 0.775 3.075 ;
-    END
-  END OE
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.335 2.475 1.625 2.705 ;
-        RECT 1.335 1.365 1.625 1.595 ;
-        RECT 1.395 1.365 1.565 2.705 ;
-    END
-  END Y
-END TBUFIXL
-
-MACRO TIEHI
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TIEHI 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.47 2.845 0.835 3.075 ;
-    END
-  END Y
-END TIEHI
-
-MACRO TIELO
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TIELO 0 0 ;
-  SIZE 0.99 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 0.99 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 0.99 6.66 ;
-    END
-  END vdd
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.47 1.735 0.835 1.965 ;
-    END
-  END Y
-END TIELO
-
-MACRO TNBUFIX1
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TNBUFIX1 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.995 3.215 1.285 3.445 ;
-        RECT 0.885 3.245 1.285 3.415 ;
-    END
-  END A
-  PIN OE
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.875 0.945 3.045 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-    END
-  END OE
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.335 2.475 1.625 2.705 ;
-        RECT 1.335 1.365 1.625 1.595 ;
-        RECT 1.395 1.365 1.565 2.705 ;
-    END
-  END Y
-END TNBUFIX1
-
-MACRO TNBUFIXL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN TNBUFIXL 0 0 ;
-  SIZE 1.87 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 1.87 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 1.87 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.995 3.215 1.285 3.445 ;
-        RECT 0.885 3.245 1.285 3.415 ;
-    END
-  END A
-  PIN OE
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 0.545 2.875 0.945 3.045 ;
-        RECT 0.545 2.845 0.835 3.075 ;
-    END
-  END OE
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.335 2.475 1.625 2.705 ;
-        RECT 1.335 1.365 1.625 1.595 ;
-        RECT 1.395 1.365 1.565 2.705 ;
-    END
-  END Y
-END TNBUFIXL
-
-MACRO XNOR2XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN XNOR2XL 0 0 ;
-  SIZE 3.19 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.19 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 3.19 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2 1.365 2.29 1.595 ;
-        RECT 0.7 1.395 2.29 1.565 ;
-        RECT 0.7 1.365 0.99 1.595 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.385 1.735 2.675 1.965 ;
-        RECT 2.275 1.765 2.675 1.935 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.28 3.215 1.57 3.445 ;
-        RECT 1.28 1.735 1.57 1.965 ;
-        RECT 1.34 1.735 1.51 3.445 ;
-    END
-  END Y
-END XNOR2XL
-
-MACRO XOR2XL
-  CLASS CORE ;
-  ORIGIN 0 0 ;
-  FOREIGN XOR2XL 0 0 ;
-  SIZE 3.19 BY 6.66 ;
-  SYMMETRY X Y ;
-  SITE 18T ;
-  PIN gnd
-    DIRECTION INOUT ;
-    USE GROUND ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 0 3.19 0.305 ;
-    END
-  END gnd
-  PIN vdd
-    DIRECTION INOUT ;
-    USE POWER ;
-    SHAPE ABUTMENT ;
-    PORT
-      LAYER met1 ;
-        RECT 0 6.355 3.19 6.66 ;
-    END
-  END vdd
-  PIN A
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2 3.215 2.29 3.445 ;
-        RECT 0.94 3.245 2.29 3.415 ;
-        RECT 0.94 3.215 1.23 3.445 ;
-    END
-  END A
-  PIN B
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 2.385 2.845 2.675 3.075 ;
-        RECT 2.275 2.875 2.675 3.045 ;
-    END
-  END B
-  PIN Y
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met1 ;
-        RECT 1.42 1.365 1.71 1.595 ;
-        RECT 1.28 2.475 1.57 2.705 ;
-        RECT 1.34 1.395 1.51 2.705 ;
-    END
-  END Y
-END XOR2XL
-
-END LIBRARY
diff --git a/outputs/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.db b/outputs/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.db
deleted file mode 100644
index 7ad0183..0000000
--- a/outputs/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.db
+++ /dev/null
Binary files differ
diff --git a/outputs/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.lib b/outputs/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.lib
deleted file mode 100644
index 07ece31..0000000
--- a/outputs/sky130_osu_sc_18T_hs_TT_1P8_25C.ccs.lib
+++ /dev/null
@@ -1,190278 +0,0 @@
-library (sky130_osu_sc_18T_hs_TT_1P8_25C.ccs) {
-  /* Models written by Liberate 19.2.1.215 from Cadence Design Systems, Inc. on Tue Sep 22 03:49:26 CDT 2020 */
-  comment : "";
-  date : "$Date: Tue Sep 22 03:23:35 2020 $";
-  revision : "1.0";
-  delay_model : table_lookup;
-  capacitive_load_unit (1,pf);
-  current_unit : "1mA";
-  leakage_power_unit : "1nW";
-  pulling_resistance_unit : "1kohm";
-  time_unit : "1ns";
-  voltage_unit : "1V";
-  voltage_map (VDD, 1.8);
-  voltage_map (GND, 0);
-  voltage_map (VSS, 0);
-  default_cell_leakage_power : 0;
-  default_fanout_load : 1;
-  default_max_transition : 0.72957;
-  default_output_pin_cap : 0;
-  in_place_swap_mode : match_footprint;
-  input_threshold_pct_fall : 50;
-  input_threshold_pct_rise : 50;
-  nom_process : 1;
-  nom_temperature : 25;
-  nom_voltage : 1.8;
-  output_threshold_pct_fall : 50;
-  output_threshold_pct_rise : 50;
-  slew_derate_from_library : 1;
-  slew_lower_threshold_pct_fall : 20;
-  slew_lower_threshold_pct_rise : 20;
-  slew_upper_threshold_pct_fall : 80;
-  slew_upper_threshold_pct_rise : 80;
-  operating_conditions (PVT_1P8V_25C) {
-    process : 1;
-    temperature : 25;
-    voltage : 1.8;
-  }
-  default_operating_conditions : PVT_1P8V_25C;
-  output_current_template (ccs_template) {
-    variable_1 : input_net_transition;
-    variable_2 : total_output_net_capacitance;
-    variable_3 : time;
-  }
-  lu_table_template (constraint_template) {
-    variable_1 : constrained_pin_transition;
-    variable_2 : related_pin_transition;
-    index_1 ("0.1, 2.5, 5");
-    index_2 ("0.1, 0.9, 1.8");
-  }
-  lu_table_template (delay_template) {
-    variable_1 : input_net_transition;
-    variable_2 : total_output_net_capacitance;
-    index_1 ("0.1, 0.5, 1.2, 3, 4, 5");
-    index_2 ("0.06, 0.24, 0.48, 0.9, 1.2, 1.8");
-  }
-  lu_table_template (mpw_constraint_template) {
-    variable_1 : constrained_pin_transition;
-    index_1 ("0.1, 2.5, 5");
-  }
-  power_lut_template (passive_power_template) {
-    variable_1 : input_transition_time;
-    index_1 ("0.1, 0.5, 1.2, 3, 4, 5");
-  }
-  power_lut_template (power_template) {
-    variable_1 : input_transition_time;
-    variable_2 : total_output_net_capacitance;
-    index_1 ("0.1, 0.5, 1.2, 3, 4, 5");
-    index_2 ("0.06, 0.24, 0.48, 0.9, 1.2, 1.8");
-  }
-  lu_table_template (receiver_cap_power_template) {
-    variable_1 : input_net_transition;
-    index_1 ("0.1, 0.5, 1.2, 3, 4, 5");
-  }
-  define(char_when, receiver_capacitance, string);
-  define(is_propagating, receiver_capacitance, string);
-  cell (ADDFX1) {
-    area : 46.8864;
-    cell_footprint : "ADDF";
-    cell_leakage_power : 0.613216;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * CI * CO * !CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.279303;
-      when : "(A * B * CI * CO * !CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.469141;
-      when : "(A * B * !CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.654104;
-      when : "(A * !B * CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.64258;
-      when : "(A * !B * !CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.826659;
-      when : "(!A * B * CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.826805;
-      when : "(!A * B * !CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.651169;
-      when : "(!A * !B * CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !CI * !CO * CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.555968;
-      when : "(!A * !B * !CI * !CO * CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.613216;
-      related_pg_pin : VDD;
-    }
-    pin (CO) {
-      direction : output;
-      function : "(A * B) + (A * CI) + (B * CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.207137;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.123839, 0.156819, 0.227784, 0.383775, 0.730008, 1.50188", \
-            "0.12778, 0.160856, 0.23188, 0.387695, 0.733711, 1.50561", \
-            "0.136508, 0.169652, 0.24089, 0.396852, 0.742658, 1.51442", \
-            "0.155352, 0.189036, 0.260656, 0.417198, 0.763293, 1.53516", \
-            "0.185031, 0.223125, 0.300213, 0.460521, 0.808579, 1.58113", \
-            "0.212335, 0.2601, 0.35431, 0.53843, 0.90314, 1.68068" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0348017, 0.0532608, 0.0939127, 0.184882, 0.385706, 0.835827", \
-            "0.0347613, 0.053095, 0.0938568, 0.18479, 0.385657, 0.835743", \
-            "0.0347574, 0.0530156, 0.0937706, 0.184644, 0.38568, 0.835721", \
-            "0.0351809, 0.0532243, 0.093706, 0.184558, 0.385544, 0.835656", \
-            "0.0383323, 0.0561374, 0.0954139, 0.184781, 0.385358, 0.835629", \
-            "0.0458971, 0.0640545, 0.103289, 0.19087, 0.38643, 0.835422" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.175538, 0.217927, 0.308964, 0.508427, 0.951273, 1.93982", \
-            "0.181353, 0.223779, 0.315034, 0.514892, 0.957412, 1.94627", \
-            "0.194754, 0.237129, 0.328345, 0.528578, 0.971312, 1.96034", \
-            "0.222859, 0.265244, 0.356454, 0.556494, 0.999985, 1.98888", \
-            "0.28123, 0.325693, 0.418079, 0.618886, 1.06208, 2.05175", \
-            "0.380471, 0.433492, 0.540667, 0.75351, 1.20078, 2.19041" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0377019, 0.0562479, 0.0965818, 0.185491, 0.380099, 0.817194", \
-            "0.0377105, 0.0562523, 0.0965617, 0.185197, 0.381171, 0.817211", \
-            "0.0377173, 0.05631, 0.0966998, 0.185199, 0.380995, 0.8172", \
-            "0.037722, 0.0562549, 0.0966182, 0.185348, 0.380568, 0.817258", \
-            "0.0397308, 0.057342, 0.0969565, 0.185164, 0.38116, 0.817176", \
-            "0.0463549, 0.0650377, 0.103766, 0.187178, 0.38096, 0.817266" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0956243, 0.10067, 0.113735, 0.117959, 0.124304, 0.129969, 0.135317, 0.140587, 0.145853, 0.15573, 0.158785, 0.165139, 0.169512, 0.175437, 0.184133, 0.190071, 0.196158, 0.208944, 0.217846, 0.230905, 0.258422, 0.325006");
-            values ( \
-              "0.0317333, 0.0334309, 0.0831326, 0.0956066, 0.111087, 0.120238, 0.124797, 0.124184, 0.114913, 0.0672603, 0.0555382, 0.0372505, 0.0280176, 0.0186502, 0.0106134, 0.00696968, 0.00477058, 0.00223242, 0.00149516, 0.00140891, 0.000344641, 6.65602e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.101556, 0.114544, 0.142306, 0.151802, 0.160333, 0.168454, 0.176532, 0.184607, 0.201766, 0.213933, 0.227228, 0.238281, 0.254394, 0.275943, 0.279871");
-            values ( \
-              "0.0176844, 0.0417117, 0.143631, 0.166233, 0.178491, 0.183709, 0.180643, 0.164324, 0.093666, 0.0580999, 0.0329912, 0.0200013, 0.00961807, 0.00423904, 0.00404461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.151457, 0.177541, 0.183905, 0.187087, 0.188878, 0.194252, 0.197835, 0.201418, 0.205, 0.210504, 0.213384, 0.216265, 0.220106, 0.222841, 0.225284, 0.228541, 0.231799, 0.237613, 0.242672, 0.245793, 0.252035, 0.253897, 0.256691, 0.259484, 0.261346, 0.263208, 0.266127, 0.269297, 0.277361, 0.292696, 0.29716, 0.300137, 0.30609, 0.309066, 0.314138, 0.32037, 0.323398, 0.326426, 0.332482, 0.33551, 0.338538, 0.342337, 0.347264, 0.352144, 0.359226, 0.361586, 0.364858, 0.371403, 0.376051, 0.380178");
-            values ( \
-              "0.138312, 0.150359, 0.167113, 0.17493, 0.178823, 0.18978, 0.196373, 0.20256, 0.208342, 0.216434, 0.219765, 0.222599, 0.225915, 0.22803, 0.229745, 0.231581, 0.232927, 0.231829, 0.230521, 0.229552, 0.227239, 0.225843, 0.222446, 0.218463, 0.215481, 0.212239, 0.206528, 0.19944, 0.178252, 0.135924, 0.124485, 0.1174, 0.104014, 0.0977116, 0.0876775, 0.0755988, 0.0705252, 0.0657379, 0.0571471, 0.0531867, 0.0494496, 0.045106, 0.0397035, 0.0348168, 0.0291956, 0.0274872, 0.0253535, 0.0213664, 0.0187618, 0.0166072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.220614, 0.261636, 0.26937, 0.275506, 0.287777, 0.293913, 0.300694, 0.307476, 0.316193, 0.320552, 0.328387, 0.335338, 0.338814, 0.346402, 0.353991, 0.35735, 0.364069, 0.367428, 0.375615, 0.379841, 0.387004, 0.395817, 0.401409, 0.407, 0.412592, 0.418183, 0.424378, 0.427476, 0.433671, 0.436768, 0.441336, 0.455039, 0.477452, 0.491481, 0.50619, 0.517958, 0.527281, 0.544815, 0.55147, 0.559456, 0.570105, 0.580753, 0.596204, 0.608379, 0.616495, 0.624612, 0.63913, 0.646388, 0.653647, 0.666043");
-            values ( \
-              "0.149154, 0.152654, 0.166503, 0.176881, 0.196013, 0.204768, 0.213713, 0.221954, 0.231375, 0.23565, 0.242603, 0.247986, 0.250401, 0.254403, 0.257765, 0.25905, 0.261242, 0.26215, 0.26361, 0.263681, 0.263427, 0.262466, 0.261485, 0.260215, 0.258657, 0.256811, 0.253827, 0.251688, 0.246777, 0.244005, 0.239175, 0.223349, 0.192564, 0.172329, 0.149926, 0.133655, 0.121586, 0.0999984, 0.0922301, 0.0837548, 0.0738945, 0.0648842, 0.0533214, 0.0448713, 0.0404166, 0.036317, 0.0299583, 0.0271386, 0.0245587, 0.0206598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.30917, 0.373886, 0.424843, 0.479071, 0.5187, 0.556103, 0.602237, 0.622763, 0.653154, 0.682891, 0.725956, 0.741488, 0.770681, 0.800908, 0.849062, 0.897894, 0.978151, 1.02418, 1.06082, 1.10007, 1.1524, 1.17698, 1.21788, 1.27242, 1.3084, 1.36104, 1.43124, 1.54291, 1.65459, 1.76626");
-            values ( \
-              "0.0319839, 0.0707547, 0.125733, 0.179653, 0.213094, 0.238418, 0.261138, 0.268834, 0.277342, 0.282587, 0.284849, 0.284161, 0.280517, 0.273024, 0.251074, 0.217808, 0.157253, 0.125249, 0.10296, 0.0823901, 0.0601728, 0.0516639, 0.0398842, 0.0279322, 0.0220322, 0.0154989, 0.0095776, 0.00430886, 0.00192633, 0.000859349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.582127, 0.72061, 0.842521, 0.91838, 1.0214, 1.08889, 1.11722, 1.17386, 1.25731, 1.36898, 1.42251, 1.49388, 1.55236, 1.63035, 1.66667, 1.71511, 1.78282, 1.89449, 2.05448, 2.16615, 2.20257, 2.25389, 2.31254, 2.41695, 2.50814, 2.61981, 2.71072, 2.80868, 2.92036, 3.03203, 3.1437, 3.25538, 3.47873, 3.70208");
-            values ( \
-              "0.0352374, 0.0725189, 0.136255, 0.173568, 0.21748, 0.241243, 0.249872, 0.2647, 0.280884, 0.2929, 0.295063, 0.294748, 0.291688, 0.283172, 0.277031, 0.266499, 0.24763, 0.209833, 0.153294, 0.118018, 0.10782, 0.09448, 0.0808319, 0.0604738, 0.0465577, 0.0334324, 0.0254167, 0.0188622, 0.0133379, 0.00941234, 0.00661992, 0.00465859, 0.00229755, 0.00113229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.111377, 0.117611, 0.128162, 0.136009, 0.142347, 0.145951, 0.152102, 0.155831, 0.158593, 0.163855, 0.173606, 0.182436, 0.187767, 0.192238, 0.201148, 0.210408, 0.214817, 0.228881, 0.248792");
-            values ( \
-              "0.0142203, 0.0298776, 0.0708403, 0.0957298, 0.110991, 0.117402, 0.124095, 0.125159, 0.124154, 0.114949, 0.0678492, 0.0389873, 0.0275696, 0.0204249, 0.0112309, 0.00595405, 0.00450616, 0.00195725, 0.0013501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.124038, 0.128925, 0.13294, 0.13767, 0.146197, 0.154202, 0.160465, 0.1699, 0.178396, 0.186493, 0.194552, 0.202609, 0.213555, 0.219662, 0.225817, 0.231979, 0.239515, 0.245252, 0.250052, 0.256166, 0.265051, 0.272362, 0.2774, 0.284212, 0.293764, 0.302782, 0.315901");
-            values ( \
-              "0.0224659, 0.0323152, 0.0443985, 0.0623424, 0.097734, 0.126162, 0.144803, 0.167054, 0.179103, 0.184186, 0.181008, 0.164571, 0.117282, 0.0940741, 0.0742567, 0.057984, 0.0422626, 0.03294, 0.0265873, 0.0200852, 0.013308, 0.00961504, 0.00788148, 0.00610097, 0.00426224, 0.00301545, 0.00192316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.147512, 0.162477, 0.192459, 0.212283, 0.228525, 0.243287, 0.257581, 0.271848, 0.277864, 0.287288, 0.309536, 0.329556, 0.349627, 0.368664, 0.391989, 0.417122, 0.43891, 0.449609");
-            values ( \
-              "0.0209023, 0.0487359, 0.141037, 0.18981, 0.216694, 0.229603, 0.233155, 0.226525, 0.219095, 0.200178, 0.13952, 0.0924928, 0.0584602, 0.0366168, 0.0199834, 0.0102307, 0.0055883, 0.00439551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.19493, 0.235486, 0.270723, 0.286845, 0.311523, 0.324966, 0.342396, 0.356298, 0.37147, 0.385164, 0.397779, 0.411236, 0.417352, 0.425506, 0.441794, 0.469332, 0.479094, 0.535345, 0.566159, 0.580101, 0.59461, 0.613265, 0.628083, 0.646676, 0.672773, 0.684393, 0.700152, 0.721163, 0.763185, 0.817772");
-            values ( \
-              "0.00879957, 0.064275, 0.135189, 0.165018, 0.203935, 0.221041, 0.238828, 0.249693, 0.257926, 0.262271, 0.263859, 0.263654, 0.262861, 0.261071, 0.25442, 0.229876, 0.216721, 0.134081, 0.0957678, 0.0812613, 0.067999, 0.0536261, 0.0441903, 0.0344334, 0.0240381, 0.0204656, 0.0164242, 0.0121918, 0.00659986, 0.00332523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.350103, 0.408085, 0.465872, 0.512902, 0.560186, 0.5936, 0.613064, 0.640344, 0.672167, 0.700442, 0.746116, 0.784876, 0.818453, 0.864806, 0.91659, 1.02757, 1.07898, 1.13147, 1.17492, 1.21372, 1.26546, 1.30793, 1.35437, 1.4163, 1.52798, 1.63965, 1.75132");
-            values ( \
-              "0.0738792, 0.0877177, 0.149857, 0.193841, 0.229868, 0.249039, 0.258329, 0.268893, 0.27778, 0.282488, 0.284956, 0.281266, 0.272985, 0.252257, 0.217061, 0.134605, 0.102531, 0.0758577, 0.0584432, 0.0458742, 0.0327242, 0.0248746, 0.0182819, 0.0119022, 0.00545456, 0.00235577, 0.00113559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.636756, 0.758967, 0.860328, 0.964494, 1.03926, 1.0736, 1.13493, 1.19175, 1.27502, 1.3867, 1.44009, 1.51129, 1.53102, 1.57048, 1.64941, 1.68154, 1.72439, 1.80043, 1.9121, 2.07218, 2.18385, 2.2202, 2.27141, 2.32994, 2.43449, 2.52584, 2.63752, 2.72835, 2.82622, 2.93789, 3.04956, 3.16124, 3.27291, 3.49626, 3.71961");
-            values ( \
-              "0.0656379, 0.0827529, 0.136281, 0.186578, 0.217561, 0.230196, 0.249902, 0.264793, 0.280927, 0.292959, 0.295117, 0.294793, 0.294053, 0.291687, 0.283007, 0.277594, 0.268524, 0.247687, 0.209845, 0.153291, 0.117989, 0.107833, 0.0944963, 0.0808934, 0.0604752, 0.0465344, 0.0334332, 0.0254035, 0.0188554, 0.013351, 0.00940347, 0.00663156, 0.00464879, 0.00228736, 0.00112194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.15819, 0.167721, 0.168984, 0.171509, 0.172772, 0.174174, 0.175577, 0.178382, 0.179784, 0.181915, 0.183372, 0.185014, 0.186063, 0.187569, 0.189578, 0.191586, 0.192912, 0.193571, 0.19423, 0.195547, 0.196853, 0.198159, 0.199474, 0.200789, 0.202105, 0.203647, 0.204102, 0.204557, 0.205921, 0.211766, 0.213174, 0.213949, 0.216274, 0.218335, 0.220396, 0.222757, 0.224277, 0.227316, 0.228436, 0.230675, 0.231794, 0.233314, 0.235593, 0.237442, 0.239621, 0.240711, 0.243386, 0.246061, 0.248978, 0.251429");
-            values ( \
-              "0.06672, 0.0709199, 0.0754233, 0.0838517, 0.0877767, 0.091848, 0.0957378, 0.10294, 0.106293, 0.111074, 0.113939, 0.116864, 0.118503, 0.120494, 0.122537, 0.1242, 0.12509, 0.125169, 0.12515, 0.124815, 0.124094, 0.122986, 0.121427, 0.119438, 0.117022, 0.113603, 0.111948, 0.109953, 0.103475, 0.0735994, 0.0671452, 0.0640993, 0.0556331, 0.0489776, 0.0430954, 0.0370106, 0.0333159, 0.0274937, 0.0255293, 0.0218912, 0.0202175, 0.0181993, 0.0156593, 0.0138429, 0.0119458, 0.0110875, 0.00927228, 0.00774599, 0.00644459, 0.00546173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.164365, 0.167757, 0.172431, 0.18851, 0.200077, 0.208511, 0.211442, 0.21796, 0.224231, 0.226047, 0.229678, 0.234083, 0.24215, 0.256033, 0.265188, 0.271566, 0.280701, 0.286245, 0.292905, 0.30178, 0.307005, 0.313347, 0.327305, 0.346486, 0.356147");
-            values ( \
-              "0.0238799, 0.0307059, 0.0441639, 0.107895, 0.145138, 0.165205, 0.170478, 0.179212, 0.183574, 0.183984, 0.183787, 0.180938, 0.164473, 0.105745, 0.0747541, 0.0578643, 0.0393241, 0.0308571, 0.0228528, 0.0151494, 0.011906, 0.0090552, 0.00533206, 0.00255182, 0.00187727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.187708, 0.206289, 0.227653, 0.232285, 0.24155, 0.252035, 0.268246, 0.28299, 0.297271, 0.311525, 0.317725, 0.324024, 0.326961, 0.349388, 0.356432, 0.369982, 0.380468, 0.38772, 0.397723, 0.407055, 0.413172, 0.425407, 0.434377, 0.443157, 0.454862, 0.47568, 0.499655, 0.529097, 0.566216");
-            values ( \
-              "0.0105803, 0.060505, 0.12856, 0.141809, 0.166854, 0.19027, 0.21702, 0.229836, 0.233344, 0.22666, 0.218928, 0.20722, 0.200256, 0.139057, 0.121339, 0.0909575, 0.0719165, 0.0606874, 0.0476395, 0.0378164, 0.0324103, 0.023579, 0.0186383, 0.0147682, 0.0107628, 0.00607157, 0.00311143, 0.0013877, 0.000494055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.247261, 0.276176, 0.326835, 0.341963, 0.365318, 0.37959, 0.402819, 0.411637, 0.424932, 0.438286, 0.453035, 0.473983, 0.481548, 0.495997, 0.512189, 0.579223, 0.605895, 0.637498, 0.657305, 0.682005, 0.720662, 0.743324, 0.769223, 0.821022, 0.903316, 1.00346");
-            values ( \
-              "0.0358058, 0.0667213, 0.165636, 0.190764, 0.222045, 0.236751, 0.253909, 0.258404, 0.262444, 0.264091, 0.26348, 0.258311, 0.254396, 0.243838, 0.225467, 0.128441, 0.0957686, 0.0653007, 0.0506735, 0.0365168, 0.0214207, 0.0156347, 0.0107834, 0.00500438, 0.00127163, 0.000238063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.362781, 0.429766, 0.481701, 0.536313, 0.575302, 0.611498, 0.65662, 0.680231, 0.708652, 0.740372, 0.780727, 0.798961, 0.828142, 0.858357, 0.904987, 0.956497, 1.0347, 1.0796, 1.11888, 1.16477, 1.2128, 1.25729, 1.31661, 1.35127, 1.39031, 1.44237, 1.54648, 1.65815, 1.8815");
-            values ( \
-              "0.0308993, 0.0683614, 0.124427, 0.178958, 0.212023, 0.236906, 0.25973, 0.268702, 0.276867, 0.282566, 0.284953, 0.284192, 0.280613, 0.273082, 0.252038, 0.216996, 0.157918, 0.126545, 0.102579, 0.0789174, 0.0591062, 0.0447614, 0.0303822, 0.0242486, 0.0187159, 0.0131162, 0.0063265, 0.0027948, 0.000533898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.698856, 0.816477, 0.92951, 1.00541, 1.07972, 1.17456, 1.22961, 1.30596, 1.33156, 1.36569, 1.424, 1.48422, 1.57049, 1.66982, 1.69988, 1.76, 1.84001, 1.95168, 2.10999, 2.25941, 2.3743, 2.47534, 2.56308, 2.67475, 2.76694, 2.86688, 2.97856, 3.09023, 3.20191, 3.31358, 3.53693, 3.76028");
-            values ( \
-              "0.0894491, 0.0919415, 0.15107, 0.187041, 0.217769, 0.249909, 0.264305, 0.279579, 0.28344, 0.287579, 0.292728, 0.295147, 0.293956, 0.285754, 0.281236, 0.269571, 0.247647, 0.209952, 0.153847, 0.107888, 0.0798156, 0.0603114, 0.0469015, 0.0336355, 0.0255212, 0.0188296, 0.0132716, 0.00940678, 0.00657472, 0.00466685, 0.00231248, 0.00115017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.233494, 0.235514, 0.24205, 0.25694, 0.268607, 0.27436, 0.279762, 0.285069, 0.290372, 0.300578, 0.310239, 0.319921, 0.329206, 0.338666, 0.358325, 0.379053");
-            values ( \
-              "0.00882755, 0.00984026, 0.0232443, 0.0769152, 0.109067, 0.118733, 0.123805, 0.123459, 0.114526, 0.065802, 0.0358944, 0.0186934, 0.0102236, 0.00540682, 0.00175173, 0.00115621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.253587, 0.263116, 0.275602, 0.287387, 0.296892, 0.305415, 0.31353, 0.321601, 0.329669, 0.340617, 0.346879, 0.353082, 0.358971, 0.36643, 0.372257, 0.377236, 0.38331, 0.392169, 0.399394, 0.410949, 0.429205, 0.453619");
-            values ( \
-              "0.04256, 0.0551469, 0.105982, 0.14342, 0.166569, 0.178407, 0.184047, 0.180622, 0.164611, 0.117259, 0.0935273, 0.0736375, 0.0581602, 0.0425396, 0.0330213, 0.0264415, 0.0200155, 0.0132776, 0.00964383, 0.00618694, 0.00309043, 0.00138069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.275591, 0.294108, 0.320194, 0.333849, 0.339975, 0.352226, 0.356146, 0.363858, 0.370896, 0.385164, 0.390996, 0.397217, 0.403918, 0.411895, 0.414834, 0.437268, 0.444312, 0.457867, 0.468357, 0.475612, 0.489577, 0.501257, 0.513715, 0.525231, 0.542234, 0.561693, 0.585268, 0.613769, 0.649857");
-            values ( \
-              "0.0111337, 0.0602241, 0.142067, 0.176829, 0.190508, 0.21129, 0.216827, 0.224642, 0.229821, 0.232974, 0.231776, 0.228288, 0.221293, 0.207103, 0.20019, 0.139031, 0.121309, 0.0909554, 0.0719041, 0.0606762, 0.0432378, 0.0322512, 0.0233189, 0.0172177, 0.010915, 0.00640858, 0.00331832, 0.00152252, 0.000554494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.326172, 0.349323, 0.362435, 0.415974, 0.440129, 0.453864, 0.471243, 0.485112, 0.50025, 0.513933, 0.532654, 0.542003, 0.556037, 0.570505, 0.597208, 0.661239, 0.693294, 0.715454, 0.739091, 0.766155, 0.788343, 0.823749, 0.846935, 0.893309, 0.933869");
-            values ( \
-              "0.0185496, 0.0413185, 0.0620223, 0.166373, 0.204458, 0.221753, 0.239485, 0.250139, 0.258387, 0.262648, 0.264287, 0.263685, 0.26078, 0.25458, 0.230964, 0.137925, 0.097515, 0.074913, 0.0555767, 0.0389566, 0.0288175, 0.0176265, 0.0127047, 0.00640835, 0.00402167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.428906, 0.51953, 0.592973, 0.624982, 0.663149, 0.699079, 0.749971, 0.769066, 0.798258, 0.829171, 0.87092, 0.88774, 0.916916, 0.947126, 0.993676, 1.04525, 1.12345, 1.16836, 1.20762, 1.25349, 1.30153, 1.34603, 1.40536, 1.44, 1.47902, 1.53105, 1.6351, 1.74678, 1.97013");
-            values ( \
-              "0.00376319, 0.0695931, 0.148199, 0.179154, 0.211507, 0.236367, 0.261804, 0.268853, 0.277207, 0.282664, 0.285047, 0.284262, 0.280698, 0.273125, 0.252129, 0.217032, 0.157928, 0.126542, 0.102586, 0.0789331, 0.0591093, 0.044758, 0.0303828, 0.0242448, 0.0187132, 0.0131264, 0.00632546, 0.0028026, 0.000540148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.764069, 0.888875, 1.00011, 1.09689, 1.18496, 1.2636, 1.31725, 1.38847, 1.43335, 1.48429, 1.53278, 1.62978, 1.65948, 1.71888, 1.78869, 1.90036, 1.98705, 2.18355, 2.28236, 2.35528, 2.43116, 2.53038, 2.58071, 2.66456, 2.74318, 2.85299, 2.98409, 3.09577, 3.20744, 3.43079, 3.65414, 3.87749");
-            values ( \
-              "0.0634777, 0.0834182, 0.141946, 0.188284, 0.224001, 0.249953, 0.264138, 0.278501, 0.285134, 0.290603, 0.29379, 0.295087, 0.294037, 0.29007, 0.281307, 0.25636, 0.2288, 0.159181, 0.126921, 0.106011, 0.0870095, 0.0663702, 0.0575231, 0.0452449, 0.0358345, 0.0257723, 0.0172713, 0.0121665, 0.00861448, 0.0042688, 0.00211221, 0.00104779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.40736, 0.416579, 0.41914, 0.423919, 0.434486, 0.440377, 0.447738, 0.454152, 0.460088, 0.465854, 0.47161, 0.473585, 0.483408, 0.488572, 0.490992, 0.494985, 0.501887, 0.507959, 0.513285, 0.518314, 0.524539, 0.53262, 0.540932, 0.550149, 0.552298, 0.556595, 0.588245, 0.588892");
-            values ( \
-              "0.00943645, 0.0190216, 0.0237776, 0.0355215, 0.0669206, 0.0813757, 0.0969682, 0.107281, 0.113198, 0.114586, 0.108085, 0.101335, 0.0590601, 0.0430365, 0.0372869, 0.0290416, 0.0184877, 0.0126697, 0.00879421, 0.00640052, 0.00433677, 0.00280682, 0.00178057, 0.00161834, 0.0013009, 0.00114007, 0.000314666, 0.000312639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.420801, 0.433202, 0.436925, 0.439233, 0.442311, 0.447424, 0.457059, 0.47029, 0.480699, 0.489857, 0.498455, 0.506917, 0.51537, 0.525803, 0.530498, 0.53542, 0.541761, 0.546951, 0.554074, 0.560177, 0.562815, 0.56809, 0.577508, 0.583655, 0.587118, 0.592398, 0.600152, 0.609217, 0.61814, 0.621194");
-            values ( \
-              "0.0105624, 0.0230315, 0.0303825, 0.035799, 0.0440165, 0.0600371, 0.0926197, 0.129656, 0.153596, 0.16732, 0.174566, 0.173479, 0.159851, 0.118013, 0.100366, 0.0838007, 0.0655512, 0.0533411, 0.0397608, 0.0303503, 0.0270834, 0.0213561, 0.0139282, 0.0106267, 0.00925906, 0.00756658, 0.00566536, 0.00401198, 0.00284255, 0.00255075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.47986, 0.503849, 0.511622, 0.518533, 0.521988, 0.525564, 0.52914, 0.532716, 0.536292, 0.539868, 0.543444, 0.545953, 0.547074, 0.549316, 0.550437, 0.553075, 0.555713, 0.56703, 0.573448, 0.578667, 0.581746, 0.587903, 0.590106, 0.592309, 0.594511, 0.596495, 0.598479, 0.600463, 0.602447, 0.605617, 0.611957, 0.628022, 0.633127, 0.637762, 0.640694, 0.64656, 0.656373, 0.660194, 0.661468, 0.666563, 0.671658, 0.677394, 0.68486, 0.688563, 0.692266, 0.695819, 0.699371, 0.702924, 0.706477, 0.716341");
-            values ( \
-              "0.109739, 0.120452, 0.141499, 0.158948, 0.167226, 0.174906, 0.182149, 0.188957, 0.195329, 0.201265, 0.206765, 0.209952, 0.211825, 0.216406, 0.219114, 0.219915, 0.221096, 0.228465, 0.228091, 0.227363, 0.226756, 0.225145, 0.22369, 0.221234, 0.218431, 0.215609, 0.212505, 0.209119, 0.205452, 0.198643, 0.1823, 0.138399, 0.125391, 0.114401, 0.107806, 0.0953842, 0.0764507, 0.0698625, 0.0677956, 0.0603877, 0.0535865, 0.0467162, 0.0383589, 0.034943, 0.0317933, 0.0291287, 0.0266379, 0.024321, 0.0221779, 0.0170303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.51441, 0.55738, 0.600606, 0.609171, 0.626302, 0.647765, 0.659953, 0.678693, 0.688722, 0.698384, 0.707548, 0.721751, 0.735719, 0.755409, 0.764216, 0.778657, 0.794864, 0.849312, 0.864771, 0.888574, 0.913355, 0.934247, 0.953092, 0.978217, 0.998032, 1.0198, 1.04882, 1.10316, 1.18268, 1.27937");
-            values ( \
-              "0.00566451, 0.0632899, 0.149104, 0.164385, 0.192649, 0.221247, 0.234114, 0.249562, 0.255263, 0.259657, 0.261919, 0.264018, 0.263234, 0.258788, 0.254227, 0.243878, 0.225348, 0.145832, 0.124644, 0.0957778, 0.0711561, 0.0545814, 0.0426603, 0.0303438, 0.0230942, 0.0170555, 0.0112622, 0.00500247, 0.00135748, 0.00026915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.672309, 0.720382, 0.799525, 0.828623, 0.859197, 0.879115, 0.91006, 0.946122, 0.965052, 0.994043, 1.02512, 1.06646, 1.08366, 1.11282, 1.14303, 1.18862, 1.24109, 1.31936, 1.36431, 1.40349, 1.44926, 1.49737, 1.54194, 1.60138, 1.63591, 1.67483, 1.72672, 1.8305, 1.94217, 2.05385, 2.16552");
-            values ( \
-              "0.073383, 0.0751417, 0.159304, 0.186502, 0.211981, 0.226407, 0.245185, 0.262124, 0.269099, 0.277344, 0.282826, 0.285148, 0.284367, 0.280761, 0.273193, 0.25269, 0.217065, 0.157901, 0.126506, 0.102597, 0.0789881, 0.059114, 0.0447405, 0.0303587, 0.0242335, 0.0187173, 0.0131472, 0.00634421, 0.00281557, 0.00128302, 0.000545064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.881875, 1.06283, 1.21379, 1.29093, 1.36604, 1.46007, 1.51489, 1.58864, 1.62266, 1.66802, 1.72936, 1.84104, 1.88636, 1.93485, 1.98529, 2.08617, 2.19933, 2.44068, 2.55294, 2.66739, 2.76195, 2.84641, 2.95808, 3.05148, 3.17596, 3.28764, 3.51099, 3.62266, 3.73434, 4.06936");
-            values ( \
-              "0.0105229, 0.0719366, 0.150457, 0.187056, 0.218095, 0.249922, 0.264271, 0.279126, 0.284214, 0.28934, 0.293843, 0.294647, 0.292347, 0.28817, 0.281276, 0.259355, 0.223367, 0.138883, 0.105678, 0.078138, 0.0601578, 0.047231, 0.0338253, 0.0256238, 0.0175357, 0.0123007, 0.00607456, 0.00435884, 0.00297058, 0.00109315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.71844, 0.758893, 0.76222, 0.773363, 0.801255, 0.813161, 0.8204, 0.82726, 0.834096, 0.856813, 0.865816, 0.882247, 0.897874, 0.904911");
-            values ( \
-              "0.00117093, 0.00675228, 0.00959606, 0.022134, 0.0721998, 0.0873236, 0.0937161, 0.0973042, 0.0946221, 0.0312752, 0.0181622, 0.00645651, 0.00271794, 0.00212356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.772405, 0.790531, 0.797107, 0.805227, 0.8246, 0.834639, 0.847253, 0.858116, 0.868033, 0.877598, 0.887142, 0.892789, 0.906944, 0.91389, 0.919154, 0.929397, 0.935485, 0.944963, 0.95368, 0.957909, 0.971057, 0.979443, 0.997606, 1.00789, 1.01546");
-            values ( \
-              "0.0126948, 0.0197581, 0.0280392, 0.042142, 0.0857332, 0.105536, 0.12771, 0.143308, 0.152651, 0.155621, 0.146855, 0.130613, 0.0832878, 0.0646807, 0.0531588, 0.0352422, 0.0273309, 0.0181177, 0.0123422, 0.0104124, 0.00636274, 0.00464384, 0.00230312, 0.00155547, 0.00137281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.816398, 0.846787, 0.853944, 0.862869, 0.885452, 0.902459, 0.910339, 0.929572, 0.936519, 0.946439, 0.961379, 0.967941, 0.975441, 0.982579, 0.990848, 1.00059, 1.02378, 1.03723, 1.0582, 1.07697, 1.08674, 1.10055, 1.11481, 1.12572, 1.14755, 1.17338, 1.17605");
-            values ( \
-              "0.0102625, 0.0331942, 0.0437367, 0.0599706, 0.108521, 0.141718, 0.156313, 0.186282, 0.194583, 0.204041, 0.212027, 0.213186, 0.212012, 0.207829, 0.197478, 0.177192, 0.12021, 0.0914911, 0.0575241, 0.036588, 0.0286184, 0.0199992, 0.0137708, 0.0103101, 0.0056803, 0.00280765, 0.00268875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.90826, 0.950476, 1.03814, 1.07236, 1.08682, 1.10253, 1.12382, 1.14618, 1.16759, 1.19008, 1.2156, 1.2565, 1.29535, 1.33438, 1.34878, 1.3753, 1.40541, 1.41911, 1.44017, 1.46825, 1.52442, 1.60599, 1.70644");
-            values ( \
-              "0.020415, 0.0497931, 0.185604, 0.224339, 0.23639, 0.24626, 0.253934, 0.256185, 0.252806, 0.240219, 0.211163, 0.151867, 0.10147, 0.0638383, 0.0529478, 0.0374639, 0.0246961, 0.0204527, 0.0152391, 0.0102346, 0.00437783, 0.00116736, 0.00018665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.08198, 1.13836, 1.21551, 1.2466, 1.28871, 1.30736, 1.334, 1.36354, 1.39195, 1.4169, 1.45219, 1.49568, 1.51087, 1.5401, 1.57033, 1.61579, 1.63475, 1.66846, 1.74674, 1.79166, 1.83091, 1.87677, 1.92482, 1.96934, 2.02869, 2.06331, 2.10231, 2.15431, 2.25831, 2.36999, 2.48166, 2.59334");
-            values ( \
-              "0.051816, 0.066092, 0.14628, 0.17575, 0.211595, 0.225136, 0.241658, 0.256472, 0.267721, 0.275168, 0.281925, 0.284471, 0.283808, 0.280318, 0.27287, 0.252597, 0.24067, 0.216964, 0.157887, 0.126526, 0.102581, 0.0789408, 0.0591048, 0.0447524, 0.0303799, 0.0242418, 0.0187141, 0.013131, 0.00632926, 0.0028054, 0.00128196, 0.000541183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.40437, 1.51795, 1.63941, 1.74601, 1.79738, 1.86306, 1.94912, 2.02157, 2.09626, 2.16158, 2.27325, 2.31848, 2.36699, 2.41746, 2.51838, 2.63148, 2.87282, 2.96371, 3.02781, 3.09953, 3.19409, 3.27855, 3.39022, 3.48362, 3.60809, 3.71977, 3.83144, 3.94312, 4.05479, 4.27814, 4.50149");
-            values ( \
-              "0.076562, 0.0837751, 0.147419, 0.197212, 0.218023, 0.241157, 0.264948, 0.27934, 0.289094, 0.293895, 0.294742, 0.292435, 0.288178, 0.281342, 0.259325, 0.223344, 0.138912, 0.111638, 0.0947562, 0.0781629, 0.0601354, 0.04721, 0.0338466, 0.0256033, 0.0175165, 0.0123203, 0.00874486, 0.0060934, 0.00434039, 0.0021545, 0.00107571" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0125397, 0.0125559, 0.0125742, 0.0125891, 0.0125986, 0.0126037", \
-            "0.0167219, 0.0167376, 0.0167581, 0.0167771, 0.0167905, 0.016798", \
-            "0.0198004, 0.0198121, 0.0198304, 0.0198511, 0.0198675, 0.0198776", \
-            "0.0214875, 0.0214902, 0.0214975, 0.0215098, 0.0215229, 0.0215324", \
-            "0.0222973, 0.0222806, 0.0222595, 0.0222427, 0.0222342, 0.0222319", \
-            "0.022778, 0.0227503, 0.0227057, 0.022649, 0.0225983, 0.0225664" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0206661, 0.0206842, 0.0207086, 0.0207318, 0.020748, 0.0207572", \
-            "0.0227407, 0.0227287, 0.0227228, 0.0227263, 0.0227329, 0.0227381", \
-            "0.0215267, 0.0214554, 0.0213698, 0.0212876, 0.0212305, 0.0211988", \
-            "0.0203948, 0.0202725, 0.0201208, 0.0199613, 0.0198247, 0.019736", \
-            "0.0206028, 0.0203033, 0.0199395, 0.0196001, 0.0193316, 0.0191462", \
-            "0.0200311, 0.0200835, 0.0203142, 0.0199641, 0.0194247, 0.0190873" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.132621, 0.14317, 0.147954, 0.156811, 0.163095, 0.173381, 0.180965, 0.187083, 0.192826, 0.198411, 0.203994, 0.213527, 0.219824, 0.226925, 0.230325, 0.234211, 0.241234, 0.24953, 0.25852, 0.336813");
-            values ( \
-              "-0.00101052, -0.0238652, -0.0321411, -0.0568375, -0.0707615, -0.0904504, -0.102939, -0.11123, -0.116736, -0.118092, -0.107325, -0.0561468, -0.0298396, -0.0133544, -0.00896331, -0.00563987, -0.00240397, -0.000897132, -0.000355907, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.159312, 0.169835, 0.182233, 0.189844, 0.199149, 0.207725, 0.218066, 0.227604, 0.236121, 0.239696, 0.242069, 0.245302, 0.247503, 0.251126, 0.254071, 0.258094, 0.268872, 0.274466, 0.281875, 0.285723, 0.290717");
-            values ( \
-              "-0.0447148, -0.0471101, -0.0800912, -0.0986807, -0.118563, -0.134859, -0.15195, -0.16508, -0.173561, -0.175786, -0.176416, -0.175529, -0.173683, -0.167511, -0.160156, -0.142829, -0.0852688, -0.0608881, -0.0365702, -0.02801, -0.0193626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.187643, 0.225584, 0.244044, 0.268445, 0.283397, 0.301091, 0.320314, 0.327939, 0.335083, 0.345591, 0.349613, 0.356916, 0.365027, 0.385907, 0.398107, 0.406932, 0.422405, 0.437009, 0.448886, 0.471385, 0.494275, 0.518903");
-            values ( \
-              "-0.0056031, -0.0753923, -0.113973, -0.155763, -0.178024, -0.200802, -0.219094, -0.224039, -0.22699, -0.227412, -0.225151, -0.217242, -0.197943, -0.118463, -0.07916, -0.0575097, -0.0316258, -0.0176341, -0.0108204, -0.00401048, -0.00154259, -0.000602637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.264072, 0.309668, 0.315896, 0.340682, 0.363813, 0.380011, 0.412906, 0.441045, 0.455267, 0.471588, 0.499909, 0.524048, 0.532078, 0.548284, 0.576159, 0.604065, 0.6447, 0.659156, 0.675677, 0.682186, 0.692902, 0.705149, 0.723051, 0.730548, 0.745541, 0.775528, 0.824636, 0.878697");
-            values ( \
-              "-0.0110726, -0.0539257, -0.0605557, -0.0906581, -0.121016, -0.139871, -0.175334, -0.201972, -0.213981, -0.226363, -0.245275, -0.25818, -0.261515, -0.26643, -0.264688, -0.232692, -0.139132, -0.11006, -0.0825583, -0.0733843, -0.0602145, -0.0477407, -0.0335994, -0.0289996, -0.0214349, -0.0114792, -0.00377349, -0.00112938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.432198, 0.530561, 0.677773, 0.737612, 0.815395, 0.86729, 0.901696, 0.962735, 0.998629, 1.02064, 1.06467, 1.07779, 1.10402, 1.13922, 1.21628, 1.24393, 1.28081, 1.30314, 1.33107, 1.36831, 1.40135, 1.45132, 1.51794, 1.62913, 1.75081");
-            values ( \
-              "-0.00993855, -0.057668, -0.153536, -0.187617, -0.227248, -0.250127, -0.263235, -0.281515, -0.288682, -0.291297, -0.29051, -0.287663, -0.276854, -0.245702, -0.152418, -0.12358, -0.0914927, -0.0756755, -0.0592666, -0.0422675, -0.0311597, -0.0194482, -0.0100788, -0.00302929, -0.000797193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.938763, 1.09794, 1.26718, 1.39597, 1.51443, 1.6775, 1.81992, 1.95093, 2.07261, 2.11952, 2.15896, 2.19854, 2.26068, 2.33169, 2.49945, 2.56045, 2.68965, 2.74964, 2.82962, 2.9418, 3.01687, 3.13855, 3.26023, 3.38191, 3.62527");
-            values ( \
-              "-0.0805794, -0.0819513, -0.13759, -0.17604, -0.208788, -0.24797, -0.275384, -0.293846, -0.303392, -0.304115, -0.302853, -0.299115, -0.285449, -0.25365, -0.156682, -0.126685, -0.0775504, -0.061015, -0.0438639, -0.0272754, -0.0197439, -0.0114609, -0.00665704, -0.00380635, -0.00124617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.155106, 0.163107, 0.16524, 0.168626, 0.172068, 0.176791, 0.185602, 0.186529, 0.191582, 0.194246, 0.199574, 0.203775, 0.20709, 0.21284, 0.218429, 0.224012, 0.225639, 0.227498, 0.23098, 0.23356, 0.235449, 0.237868, 0.239835, 0.242246, 0.245469, 0.246946, 0.248398, 0.250334, 0.254207, 0.261335, 0.261555");
-            values ( \
-              "-0.0158482, -0.0235332, -0.02704, -0.0334984, -0.0430241, -0.0569923, -0.0756721, -0.0777413, -0.0872377, -0.0919034, -0.100786, -0.106976, -0.111159, -0.116799, -0.118017, -0.107412, -0.100174, -0.0903872, -0.0700527, -0.0560806, -0.0468721, -0.0367321, -0.0298713, -0.0229103, -0.0158517, -0.0133384, -0.0112585, -0.00898289, -0.00564482, -0.00238628, -0.00234625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.179141, 0.189604, 0.191738, 0.193677, 0.198202, 0.201985, 0.204476, 0.209094, 0.213712, 0.218863, 0.224014, 0.2303, 0.234606, 0.237548, 0.240267, 0.244427, 0.24917, 0.251536, 0.253817, 0.255922, 0.257974, 0.260091, 0.262209, 0.26377, 0.26533, 0.266347, 0.267871, 0.269182, 0.270788, 0.271592, 0.27336, 0.275129, 0.27741, 0.279691, 0.288443, 0.289546, 0.291753, 0.295062, 0.299371, 0.301623, 0.303775, 0.306577, 0.307978, 0.310366");
-            values ( \
-              "-0.0466395, -0.046993, -0.0517582, -0.0567792, -0.0700581, -0.0801996, -0.0864605, -0.0972065, -0.107297, -0.11778, -0.127795, -0.139413, -0.146742, -0.151435, -0.155568, -0.161128, -0.166906, -0.169496, -0.171738, -0.17357, -0.175131, -0.175571, -0.17575, -0.175714, -0.175535, -0.174932, -0.173185, -0.171102, -0.167816, -0.16587, -0.160513, -0.154128, -0.143905, -0.132919, -0.0861147, -0.080785, -0.0708585, -0.0576228, -0.0426633, -0.0366286, -0.0315706, -0.0258614, -0.0233532, -0.0195677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.218192, 0.231827, 0.237934, 0.242515, 0.257135, 0.264425, 0.271715, 0.281455, 0.293421, 0.303158, 0.312403, 0.31883, 0.32512, 0.33173, 0.334155, 0.337426, 0.342599, 0.348305, 0.350087, 0.353652, 0.355434, 0.358056, 0.360679, 0.363302, 0.366934, 0.369963, 0.371788, 0.373614, 0.375439, 0.377265, 0.379292, 0.38132, 0.385375, 0.38836, 0.391345, 0.397315, 0.406254, 0.408288, 0.412354, 0.414388, 0.418454, 0.422171, 0.427278, 0.429857, 0.432435, 0.435013, 0.437592, 0.44017, 0.442749, 0.448076");
-            values ( \
-              "-0.0467478, -0.0501175, -0.0604022, -0.0689824, -0.100273, -0.11453, -0.127592, -0.1441, -0.163061, -0.177287, -0.189762, -0.197864, -0.205006, -0.211785, -0.214096, -0.216948, -0.221014, -0.224869, -0.2258, -0.227313, -0.227895, -0.228109, -0.227957, -0.227442, -0.226125, -0.224492, -0.222784, -0.220593, -0.217922, -0.214768, -0.210364, -0.205536, -0.194612, -0.184643, -0.174321, -0.152617, -0.117811, -0.110394, -0.0968685, -0.090645, -0.0789908, -0.0693214, -0.0567848, -0.0516214, -0.0468438, -0.0425736, -0.0386079, -0.0349468, -0.0315901, -0.025524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.308333, 0.340332, 0.347015, 0.384344, 0.40068, 0.41235, 0.425558, 0.433504, 0.44127, 0.452753, 0.461939, 0.46923, 0.481746, 0.494285, 0.508018, 0.518596, 0.526454, 0.529986, 0.540579, 0.551091, 0.561604, 0.568869, 0.572355, 0.575841, 0.579327, 0.582812, 0.586259, 0.589706, 0.593152, 0.596599, 0.603492, 0.610385, 0.617278, 0.624171, 0.626553, 0.631318, 0.655143, 0.659908, 0.664525, 0.674873, 0.679906, 0.68494, 0.692469, 0.703184, 0.708493, 0.712741, 0.721235, 0.72973, 0.74077, 0.751012");
-            values ( \
-              "-0.0608723, -0.0653644, -0.0732767, -0.121075, -0.140138, -0.15314, -0.167324, -0.175616, -0.183346, -0.194419, -0.202562, -0.208779, -0.218917, -0.228274, -0.237864, -0.244753, -0.249564, -0.251525, -0.256875, -0.261185, -0.26514, -0.267667, -0.267904, -0.267813, -0.267395, -0.266649, -0.26559, -0.26421, -0.26251, -0.26049, -0.255482, -0.249188, -0.241609, -0.232743, -0.228777, -0.218183, -0.161722, -0.150577, -0.140359, -0.118195, -0.108925, -0.100145, -0.0881907, -0.0721457, -0.0650576, -0.059926, -0.0513188, -0.0436828, -0.0353647, -0.0282841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.488874, 0.548899, 0.725981, 0.800335, 0.866535, 0.921305, 0.982364, 1.04042, 1.09787, 1.15526, 1.24571, 1.28824, 1.33911, 1.40578, 1.44608, 1.47692, 1.53861, 1.65141, 1.77309");
-            values ( \
-              "-0.0540317, -0.0567359, -0.170151, -0.210008, -0.241417, -0.263054, -0.281412, -0.2913, -0.287869, -0.250631, -0.142585, -0.102103, -0.0661324, -0.036102, -0.0248097, -0.0185617, -0.0100782, -0.00300367, -0.000750413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.912018, 1.04556, 1.42412, 1.60305, 1.69706, 1.81874, 1.97049, 2.09217, 2.13909, 2.17852, 2.2181, 2.28024, 2.35125, 2.58001, 2.70921, 2.84918, 2.96136, 3.03643, 3.15811, 3.27979, 3.33471");
-            values ( \
-              "-0.0575585, -0.0599559, -0.178539, -0.226333, -0.247935, -0.27187, -0.293811, -0.303423, -0.304113, -0.302853, -0.299112, -0.285449, -0.253649, -0.126684, -0.0775512, -0.0438629, -0.0272762, -0.0197431, -0.0114618, -0.00665628, -0.00537035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.200059, 0.205695, 0.20731, 0.210541, 0.213928, 0.219167, 0.220924, 0.224439, 0.230646, 0.238378, 0.245098, 0.251216, 0.256957, 0.262547, 0.268127, 0.268359, 0.269754, 0.271613, 0.275797, 0.277672, 0.279561, 0.28198, 0.283947, 0.286357, 0.289583, 0.29154, 0.292506, 0.294439, 0.298305, 0.305461, 0.313735");
-            values ( \
-              "-0.0163655, -0.0219351, -0.0237066, -0.0291643, -0.0364861, -0.0522345, -0.0564372, -0.0650543, -0.0775166, -0.0921548, -0.102813, -0.111415, -0.116683, -0.11823, -0.107289, -0.106346, -0.10025, -0.0903579, -0.066113, -0.0560503, -0.0469242, -0.0366983, -0.0298375, -0.022956, -0.0158077, -0.0125916, -0.0113009, -0.00895156, -0.00569094, -0.0023426, -0.000914529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.22202, 0.23196, 0.234919, 0.237877, 0.241904, 0.245943, 0.248657, 0.254086, 0.256338, 0.260841, 0.265957, 0.268821, 0.271684, 0.277045, 0.28204, 0.285785, 0.28953, 0.293647, 0.295813, 0.297978, 0.301179, 0.302246, 0.303685, 0.305123, 0.306054, 0.306985, 0.307915, 0.30929, 0.31062, 0.311507, 0.312394, 0.313281, 0.314167, 0.315152, 0.317121, 0.318105, 0.319413, 0.32203, 0.327263, 0.332311, 0.334923, 0.33616, 0.338634, 0.339871, 0.343113, 0.345404, 0.347695, 0.349986, 0.352584, 0.35464");
-            values ( \
-              "-0.0400074, -0.0428974, -0.0491678, -0.0560757, -0.0679092, -0.0790614, -0.0860857, -0.0989939, -0.104027, -0.113675, -0.123936, -0.129373, -0.134601, -0.143829, -0.151768, -0.157281, -0.162353, -0.167324, -0.16961, -0.171671, -0.174207, -0.174925, -0.175674, -0.176247, -0.176301, -0.176219, -0.176003, -0.175437, -0.174611, -0.173582, -0.172365, -0.170958, -0.169362, -0.167369, -0.162682, -0.159989, -0.155384, -0.143133, -0.115398, -0.0879793, -0.0757336, -0.0703257, -0.0602643, -0.0556109, -0.0444309, -0.0377533, -0.0323182, -0.0274976, -0.0228471, -0.0194176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.257236, 0.275155, 0.278516, 0.281877, 0.286918, 0.293212, 0.299506, 0.30614, 0.312298, 0.320191, 0.330673, 0.339716, 0.348267, 0.356343, 0.363878, 0.371289, 0.37819, 0.381506, 0.384821, 0.388638, 0.392455, 0.394241, 0.397813, 0.3996, 0.402224, 0.404848, 0.407472, 0.411107, 0.41414, 0.415967, 0.417793, 0.41962, 0.421447, 0.423475, 0.425503, 0.42956, 0.432547, 0.435533, 0.441506, 0.450447, 0.45248, 0.456545, 0.462644, 0.466365, 0.471477, 0.476639, 0.479219, 0.484381, 0.486962, 0.492278");
-            values ( \
-              "-0.0253291, -0.0483433, -0.0537572, -0.0595991, -0.0690499, -0.0824846, -0.0963621, -0.109499, -0.12085, -0.13464, -0.151929, -0.165914, -0.178217, -0.189161, -0.19863, -0.206865, -0.21373, -0.216634, -0.219316, -0.222127, -0.224643, -0.225582, -0.22711, -0.227699, -0.227921, -0.227779, -0.227272, -0.225968, -0.224345, -0.222642, -0.220459, -0.217793, -0.214647, -0.210251, -0.205431, -0.194522, -0.184563, -0.17425, -0.15256, -0.117782, -0.110371, -0.0968537, -0.0789868, -0.0693166, -0.0567694, -0.0468259, -0.0425548, -0.0349262, -0.0315686, -0.025518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.354768, 0.391088, 0.39612, 0.411555, 0.42699, 0.444964, 0.46113, 0.478077, 0.485801, 0.497332, 0.510238, 0.517597, 0.525979, 0.539928, 0.552073, 0.563149, 0.571031, 0.574551, 0.585112, 0.595493, 0.605874, 0.613402, 0.616888, 0.620375, 0.623861, 0.627347, 0.630793, 0.634239, 0.637685, 0.641131, 0.648023, 0.654915, 0.661807, 0.668699, 0.671086, 0.675859, 0.699722, 0.704495, 0.709079, 0.719352, 0.724349, 0.729346, 0.736922, 0.747707, 0.753055, 0.757334, 0.76589, 0.774447, 0.785392, 0.795545");
-            values ( \
-              "-0.0621228, -0.0728616, -0.0790186, -0.0991395, -0.118735, -0.139823, -0.157725, -0.175641, -0.183338, -0.194459, -0.205797, -0.211951, -0.218671, -0.229114, -0.237556, -0.244749, -0.249577, -0.251537, -0.256884, -0.261169, -0.265072, -0.267665, -0.267902, -0.267812, -0.267394, -0.266648, -0.265589, -0.26421, -0.26251, -0.260491, -0.255483, -0.249191, -0.241612, -0.232748, -0.228775, -0.218164, -0.161612, -0.150448, -0.140317, -0.1183, -0.109087, -0.100357, -0.0883174, -0.0721505, -0.0650178, -0.0598574, -0.0511999, -0.0435276, -0.0353035, -0.0282929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.530894, 0.593908, 0.773308, 0.844628, 0.913772, 0.966073, 1.01537, 1.05051, 1.08504, 1.14259, 1.19988, 1.28685, 1.33296, 1.38364, 1.45037, 1.49075, 1.52166, 1.58349, 1.69629, 1.81797");
-            values ( \
-              "-0.0485741, -0.0569273, -0.171673, -0.209828, -0.242543, -0.263106, -0.278419, -0.286434, -0.291251, -0.287791, -0.250613, -0.146448, -0.101999, -0.0661899, -0.0361241, -0.0248103, -0.0185277, -0.0100645, -0.00298303, -0.000761024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.956565, 1.0906, 1.4624, 1.57902, 1.7421, 1.88452, 2.01553, 2.13721, 2.18414, 2.22357, 2.26315, 2.32528, 2.3963, 2.62506, 2.75425, 2.89422, 3.0064, 3.08147, 3.20315, 3.35744");
-            values ( \
-              "-0.0570601, -0.0599829, -0.176554, -0.208795, -0.247976, -0.275392, -0.293854, -0.303381, -0.304114, -0.302851, -0.299114, -0.285447, -0.25365, -0.126685, -0.07755, -0.0438641, -0.027275, -0.0197443, -0.0114606, -0.0066077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.295584, 0.303687, 0.305802, 0.308218, 0.310236, 0.317265, 0.323256, 0.326991, 0.33472, 0.341451, 0.34757, 0.353312, 0.358902, 0.364482, 0.367971, 0.374022, 0.375912, 0.37899, 0.382713, 0.385919, 0.387893, 0.390812, 0.394705, 0.401919, 0.402218");
-            values ( \
-              "-0.0156049, -0.0237633, -0.0270464, -0.0316115, -0.0364892, -0.056637, -0.0701049, -0.0775848, -0.0919978, -0.102912, -0.111303, -0.116771, -0.118137, -0.107369, -0.0903623, -0.0560979, -0.0469244, -0.0343315, -0.0229378, -0.015858, -0.012609, -0.00894693, -0.00564427, -0.00232632, -0.00227397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.314846, 0.325478, 0.334759, 0.349985, 0.370719, 0.385683, 0.394116, 0.401277, 0.406764, 0.41095, 0.414753, 0.43484, 0.443932, 0.450972, 0.46382, 0.473677");
-            values ( \
-              "-0.0235398, -0.0373258, -0.0577773, -0.0984485, -0.139788, -0.162603, -0.171761, -0.176176, -0.175292, -0.169292, -0.158886, -0.0601137, -0.0322851, -0.0192831, -0.00711897, -0.00349591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.359901, 0.376057, 0.379974, 0.387623, 0.39368, 0.399737, 0.402239, 0.407241, 0.414745, 0.42557, 0.434282, 0.44424, 0.451346, 0.455336, 0.461051, 0.467599, 0.474573, 0.479451, 0.484891, 0.488704, 0.490491, 0.492277, 0.495849, 0.498477, 0.501104, 0.503731, 0.507364, 0.510383, 0.512209, 0.514035, 0.515861, 0.517687, 0.519715, 0.521742, 0.525798, 0.528784, 0.53177, 0.537741, 0.546681, 0.548714, 0.55278, 0.558879, 0.562598, 0.567707, 0.570286, 0.572865, 0.575445, 0.580603, 0.583183, 0.588506");
-            values ( \
-              "-0.0521323, -0.0564776, -0.063758, -0.0788346, -0.0913345, -0.10433, -0.109291, -0.118731, -0.132026, -0.149931, -0.163628, -0.1781, -0.187707, -0.192868, -0.199876, -0.207091, -0.213997, -0.218177, -0.222267, -0.224775, -0.225711, -0.22653, -0.227816, -0.228034, -0.227887, -0.227374, -0.22606, -0.224437, -0.222731, -0.220543, -0.217873, -0.21472, -0.210319, -0.205495, -0.194577, -0.184612, -0.174293, -0.152594, -0.117799, -0.110385, -0.0968636, -0.0789906, -0.0693191, -0.0567791, -0.0516158, -0.0468379, -0.042566, -0.0349374, -0.0315808, -0.0255222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.427012, 0.470664, 0.486101, 0.540777, 0.573629, 0.601918, 0.631501, 0.666624, 0.680793, 0.702066, 0.7091, 0.723048, 0.736842, 0.764429, 0.806304, 0.825428, 0.84451, 0.865518, 0.891225, 0.906628, 0.937435, 0.986795, 1.04098");
-            values ( \
-              "-0.013808, -0.0540702, -0.0712599, -0.139883, -0.17533, -0.202032, -0.225709, -0.248836, -0.256261, -0.264741, -0.266365, -0.267707, -0.26438, -0.233812, -0.13699, -0.100064, -0.0712186, -0.0479881, -0.0289606, -0.0213002, -0.0111327, -0.0036896, -0.00104812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.603546, 0.692291, 0.851442, 0.925227, 0.996742, 1.06314, 1.1164, 1.13971, 1.17079, 1.20504, 1.23927, 1.29661, 1.36402, 1.4103, 1.46501, 1.49331, 1.53104, 1.57963, 1.61224, 1.67745, 1.78821, 1.90989");
-            values ( \
-              "-0.0170384, -0.0578843, -0.160871, -0.201541, -0.236517, -0.263265, -0.27958, -0.284995, -0.290192, -0.292148, -0.287708, -0.251105, -0.168846, -0.119401, -0.0756428, -0.059042, -0.0420276, -0.0266996, -0.0196895, -0.0102779, -0.00317719, -0.0007694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.998255, 1.18045, 1.56175, 1.67576, 1.83885, 1.98128, 2.1123, 2.23398, 2.28101, 2.32039, 2.35992, 2.42206, 2.49307, 2.72184, 2.85103, 2.99101, 3.10318, 3.17825, 3.29993, 3.451");
-            values ( \
-              "-0.023931, -0.0579627, -0.177277, -0.208753, -0.247942, -0.275364, -0.29383, -0.303375, -0.304102, -0.302839, -0.299105, -0.285441, -0.253646, -0.126684, -0.07755, -0.0438624, -0.0272755, -0.0197445, -0.0114614, -0.00670946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.49986, 0.507525, 0.50899, 0.510454, 0.511919, 0.513976, 0.515751, 0.523931, 0.525653, 0.529098, 0.531784, 0.534675, 0.53676, 0.538845, 0.540929, 0.543014, 0.543942, 0.544869, 0.545797, 0.546724, 0.547592, 0.54846, 0.550095, 0.550434, 0.551114, 0.552011, 0.55376, 0.555876, 0.557753, 0.562622, 0.563298, 0.564652, 0.566103, 0.566878, 0.568428, 0.569568, 0.570707, 0.571847, 0.572987, 0.574417, 0.575847, 0.577278, 0.584098, 0.585559, 0.587608, 0.59043, 0.592373, 0.594902, 0.595745, 0.597884");
-            values ( \
-              "-0.0153746, -0.0188383, -0.0204982, -0.0223349, -0.0243484, -0.0275505, -0.030844, -0.0504336, -0.0541251, -0.0610887, -0.0661301, -0.0711758, -0.0753987, -0.0799983, -0.0849745, -0.0903274, -0.090569, -0.0909905, -0.0915919, -0.0923733, -0.0932672, -0.0943187, -0.0966392, -0.0975981, -0.0982075, -0.0992365, -0.101819, -0.104587, -0.106735, -0.11189, -0.112256, -0.112736, -0.112878, -0.112795, -0.1123, -0.111432, -0.110207, -0.108623, -0.106682, -0.102608, -0.0964919, -0.0898847, -0.0540356, -0.0472622, -0.038797, -0.0286662, -0.0232479, -0.0176599, -0.0160572, -0.0125257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.517659, 0.53362, 0.53868, 0.543023, 0.554311, 0.560497, 0.572941, 0.583956, 0.593934, 0.598567, 0.603052, 0.606304, 0.609621, 0.612849, 0.615557, 0.617858, 0.619864, 0.622352, 0.625057, 0.62693, 0.630372, 0.640853, 0.646913, 0.651317, 0.653619, 0.658558, 0.662317");
-            values ( \
-              "-0.0105095, -0.0331977, -0.0420152, -0.0512975, -0.0794677, -0.0936814, -0.119781, -0.140213, -0.155812, -0.162049, -0.167285, -0.170533, -0.17313, -0.173844, -0.173998, -0.173559, -0.1714, -0.166925, -0.159604, -0.15306, -0.137731, -0.0831614, -0.0575718, -0.0423936, -0.0362945, -0.0256759, -0.0194903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.560179, 0.588364, 0.626003, 0.646137, 0.664104, 0.677512, 0.693118, 0.707926, 0.718434, 0.722488, 0.729803, 0.737922, 0.771013, 0.779861, 0.795372, 0.809897, 0.821711, 0.840953");
-            values ( \
-              "-0.0157836, -0.0548796, -0.1297, -0.162563, -0.187928, -0.204058, -0.218408, -0.226444, -0.226998, -0.224745, -0.216932, -0.197706, -0.0791691, -0.057465, -0.0315607, -0.0176552, -0.010878, -0.0049973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.658122, 0.684926, 0.765737, 0.806062, 0.834872, 0.863992, 0.89383, 0.914713, 0.936059, 0.949843, 0.97741, 1.03809, 1.06602, 1.09773, 1.11729, 1.14337, 1.17961");
-            values ( \
-              "-0.0544783, -0.0561299, -0.153391, -0.194376, -0.218805, -0.239406, -0.256491, -0.26477, -0.267814, -0.264524, -0.233868, -0.100649, -0.0608535, -0.0329509, -0.0223105, -0.0129988, -0.00656939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.795422, 0.903376, 1.05878, 1.1785, 1.22089, 1.27568, 1.33675, 1.3948, 1.45224, 1.50963, 1.59664, 1.64261, 1.69348, 1.72124, 1.76016, 1.80045, 1.8313, 1.89299, 2.00574, 2.12742");
-            values ( \
-              "-0.00480684, -0.0567738, -0.157542, -0.221876, -0.24142, -0.263068, -0.281415, -0.291311, -0.287868, -0.250639, -0.146426, -0.102098, -0.066138, -0.0517108, -0.0361082, -0.024816, -0.0185554, -0.0100846, -0.00299916, -0.000757174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.25248, 1.39454, 1.77647, 1.88928, 2.05233, 2.19474, 2.32575, 2.44743, 2.4943, 2.53376, 2.57336, 2.63549, 2.70651, 2.93527, 3.06446, 3.20443, 3.31661, 3.39169, 3.51337, 3.66595");
-            values ( \
-              "-0.0468433, -0.0581978, -0.177685, -0.208805, -0.247978, -0.27539, -0.29385, -0.303399, -0.304119, -0.302858, -0.299118, -0.285451, -0.253652, -0.126685, -0.0775507, -0.0438642, -0.0272754, -0.0197435, -0.0114609, -0.00666154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.917333, 0.92778, 0.930377, 0.932849, 0.934023, 0.936372, 0.937696, 0.93902, 0.940343, 0.942991, 0.944315, 0.94698, 0.949645, 0.95198, 0.956651, 0.958986, 0.962785, 0.967107, 0.972683, 0.976898, 0.981113, 0.984882, 0.98865, 0.992139, 0.995628, 0.997305, 0.998981, 1.00065, 1.00233, 1.004, 1.00568, 1.00735, 1.00902, 1.00915, 1.00988, 1.01086, 1.01282, 1.01575, 1.01951, 1.02057, 1.02163, 1.02504, 1.02594, 1.02684, 1.02774, 1.02954, 1.03044, 1.03189, 1.03335, 1.03486");
-            values ( \
-              "-0.0119675, -0.0131366, -0.0149808, -0.0169277, -0.0179301, -0.0200799, -0.0215123, -0.0230655, -0.0248565, -0.0287241, -0.0308007, -0.0355773, -0.0401248, -0.0437842, -0.0508491, -0.0542547, -0.0596141, -0.0655545, -0.0730768, -0.078346, -0.0833424, -0.0875267, -0.0915966, -0.0952625, -0.0988304, -0.0986349, -0.098277, -0.0977569, -0.0970744, -0.0962313, -0.0952265, -0.09406, -0.0927318, -0.0925274, -0.0904056, -0.0872789, -0.0798415, -0.0673837, -0.0509056, -0.0467047, -0.0427143, -0.031056, -0.0283521, -0.0258605, -0.0237061, -0.0197837, -0.0180158, -0.0155247, -0.0131863, -0.0109164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.92899, 0.951166, 0.956845, 0.962364, 0.969723, 0.973731, 0.981746, 0.987881, 1.00015, 1.00601, 1.01772, 1.02386, 1.0315, 1.03682, 1.04208, 1.04673, 1.05191, 1.05676, 1.06016, 1.06135, 1.06374, 1.06726, 1.07106, 1.07607, 1.08731, 1.09156, 1.09555, 1.10133, 1.10645, 1.10867, 1.11312, 1.12201, 1.12287");
-            values ( \
-              "-0.0072631, -0.0149619, -0.0191561, -0.0246198, -0.0331721, -0.0389886, -0.0533768, -0.0656217, -0.0868074, -0.0963983, -0.114239, -0.122957, -0.133006, -0.139399, -0.145152, -0.149643, -0.15374, -0.156285, -0.15683, -0.156574, -0.155415, -0.151257, -0.14273, -0.124108, -0.0734152, -0.0573653, -0.0447739, -0.030599, -0.0214486, -0.0183337, -0.0133255, -0.00682876, -0.00652818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.986649, 1.01053, 1.01995, 1.0226, 1.0279, 1.0385, 1.04362, 1.05386, 1.06437, 1.08306, 1.09222, 1.10226, 1.11284, 1.12256, 1.12563, 1.12897, 1.13213, 1.13662, 1.14193, 1.14884, 1.15975, 1.16457, 1.17231, 1.1799, 1.19372, 1.19602, 1.20064, 1.21594, 1.21792, 1.22117, 1.22549, 1.23397, 1.23632, 1.24102, 1.25041, 1.25732, 1.25991, 1.2651, 1.27547, 1.29621, 1.31053");
-            values ( \
-              "-0.00659846, -0.0227603, -0.0315528, -0.0343408, -0.0401981, -0.0536612, -0.0617511, -0.0792603, -0.0974175, -0.1259, -0.13929, -0.153047, -0.166838, -0.178772, -0.182354, -0.186094, -0.189449, -0.194026, -0.19904, -0.204914, -0.212164, -0.214359, -0.216276, -0.214956, -0.197466, -0.191645, -0.177057, -0.120953, -0.11402, -0.10327, -0.0899241, -0.067269, -0.0618804, -0.0521932, -0.036562, -0.0279805, -0.0252664, -0.0205966, -0.0135319, -0.00557774, -0.00339197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.08531, 1.14232, 1.17195, 1.20248, 1.24526, 1.27214, 1.29518, 1.33122, 1.36134, 1.38201, 1.38983, 1.40387, 1.41773, 1.44543, 1.49671, 1.52166, 1.54634, 1.57236, 1.58809, 1.61956, 1.66851, 1.72307");
-            values ( \
-              "-0.00549601, -0.0464639, -0.0768809, -0.115342, -0.162769, -0.189782, -0.210152, -0.236702, -0.254348, -0.262832, -0.264874, -0.266337, -0.263296, -0.23309, -0.117899, -0.0764899, -0.0482474, -0.0289628, -0.021128, -0.0109127, -0.00363408, -0.00104852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.30834, 1.37631, 1.53763, 1.60472, 1.68106, 1.74738, 1.80839, 1.86644, 1.92387, 1.98124, 2.06826, 2.11417, 2.16511, 2.19285, 2.23176, 2.27203, 2.30285, 2.36448, 2.47697, 2.59865");
-            values ( \
-              "-0.0401365, -0.0577374, -0.161993, -0.199016, -0.23652, -0.263231, -0.281507, -0.291416, -0.287915, -0.250693, -0.146412, -0.102126, -0.0661343, -0.051695, -0.0361161, -0.0248311, -0.0185548, -0.0101046, -0.00300353, -0.000770188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.696, 1.86564, 2.23438, 2.36113, 2.52404, 2.66647, 2.79749, 2.91917, 2.96619, 3.00558, 3.04511, 3.10725, 3.17827, 3.40703, 3.53622, 3.6762, 3.78837, 3.86344, 3.98512, 4.12892");
-            values ( \
-              "-0.0299937, -0.0579622, -0.173643, -0.208806, -0.247949, -0.275369, -0.293835, -0.303373, -0.304103, -0.30284, -0.299106, -0.285441, -0.253646, -0.126684, -0.0775498, -0.0438628, -0.0272753, -0.0197446, -0.0114611, -0.00693773" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0123174, 0.0123321, 0.0123495, 0.0123645, 0.0123744, 0.0123798", \
-            "0.0146429, 0.0146506, 0.0146621, 0.0146743, 0.0146835, 0.0146889", \
-            "0.0160682, 0.0160708, 0.0160762, 0.0160838, 0.0160909, 0.0160957", \
-            "0.0169173, 0.0169181, 0.0169198, 0.0169229, 0.016927, 0.0169306", \
-            "0.0173771, 0.0173774, 0.0173779, 0.0173788, 0.0173805, 0.0173825", \
-            "0.0176263, 0.0176268, 0.0176274, 0.0176282, 0.017629, 0.01763" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0168334, 0.0168445, 0.0168607, 0.0168762, 0.0168873, 0.0168935", \
-            "0.0190075, 0.0190167, 0.0190291, 0.0190414, 0.0190504, 0.0190555", \
-            "0.0205759, 0.0205868, 0.0205993, 0.0206104, 0.0206181, 0.0206224", \
-            "0.0218742, 0.021851, 0.0218257, 0.0218064, 0.0217947, 0.0217885", \
-            "0.0229364, 0.0228201, 0.0226702, 0.0225286, 0.0224293, 0.0223686", \
-            "0.0237091, 0.0236006, 0.0233545, 0.0230341, 0.0227783, 0.022628" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.134731, 0.170822, 0.248771, 0.419459, 0.799806, 1.64806", \
-            "0.138536, 0.174757, 0.252636, 0.423447, 0.803893, 1.65214", \
-            "0.146253, 0.182522, 0.260615, 0.431628, 0.812178, 1.66053", \
-            "0.162988, 0.199891, 0.278274, 0.449777, 0.830436, 1.67915", \
-            "0.190736, 0.231303, 0.314568, 0.488906, 0.871032, 1.72015", \
-            "0.217491, 0.267333, 0.366438, 0.561671, 0.956942, 1.80982" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0372593, 0.0568029, 0.0994112, 0.194723, 0.405618, 0.877021", \
-            "0.0372606, 0.0567527, 0.0993349, 0.194624, 0.405351, 0.877014", \
-            "0.0372344, 0.0567574, 0.0994333, 0.194533, 0.405561, 0.877042", \
-            "0.0376416, 0.0567794, 0.0993041, 0.194541, 0.405662, 0.877018", \
-            "0.0404402, 0.0593698, 0.100742, 0.194702, 0.405478, 0.877063", \
-            "0.0474248, 0.0667378, 0.108021, 0.199708, 0.406075, 0.876799" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.168748, 0.208923, 0.29521, 0.485145, 0.906375, 1.85021", \
-            "0.17441, 0.214554, 0.300855, 0.490989, 0.912846, 1.85637", \
-            "0.187498, 0.227758, 0.314344, 0.504641, 0.926571, 1.87014", \
-            "0.216466, 0.256666, 0.34332, 0.533868, 0.955967, 1.89981", \
-            "0.276574, 0.319214, 0.407698, 0.59891, 1.02129, 1.96546", \
-            "0.378198, 0.4302, 0.534121, 0.739003, 1.16668, 2.11107" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0363972, 0.0544303, 0.093919, 0.180689, 0.374206, 0.804521", \
-            "0.0363765, 0.0545157, 0.0940882, 0.180991, 0.373879, 0.804492", \
-            "0.0364093, 0.0544601, 0.0937812, 0.180582, 0.373827, 0.803265", \
-            "0.0364037, 0.0544257, 0.0937961, 0.180787, 0.373852, 0.804442", \
-            "0.0389368, 0.0559297, 0.0942867, 0.180584, 0.373871, 0.803016", \
-            "0.0460856, 0.0640545, 0.102005, 0.18288, 0.373629, 0.802929" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.10013, 0.105276, 0.107391, 0.119055, 0.127558, 0.134427, 0.13836, 0.144941, 0.149117, 0.151994, 0.157652, 0.171876, 0.178793, 0.187228, 0.191526, 0.202762, 0.207693, 0.216317, 0.229489, 0.254864");
-            values ( \
-              "0.0116597, 0.0206483, 0.0262598, 0.0653481, 0.0883396, 0.10237, 0.108385, 0.114789, 0.116131, 0.115575, 0.10843, 0.0507961, 0.0333845, 0.0193837, 0.0146988, 0.00689515, 0.00508982, 0.0030604, 0.00147729, 0.000953838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.109196, 0.123824, 0.154146, 0.16728, 0.174884, 0.18235, 0.191019, 0.199654, 0.225541, 0.240544, 0.256371, 0.271848, 0.292576");
-            values ( \
-              "0.0148131, 0.0369629, 0.132675, 0.157792, 0.166625, 0.170858, 0.169415, 0.156018, 0.0668469, 0.036467, 0.0181846, 0.00916796, 0.00429112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.140618, 0.162472, 0.190036, 0.199556, 0.211538, 0.229118, 0.245055, 0.253026, 0.2603, 0.26788, 0.275498, 0.286133, 0.297956, 0.319752, 0.338349, 0.354196, 0.365366, 0.37565, 0.389362, 0.400193, 0.412388, 0.428649, 0.448815, 0.472161, 0.499854, 0.535852");
-            values ( \
-              "0.0099626, 0.0562815, 0.130113, 0.152008, 0.175049, 0.200519, 0.213618, 0.217183, 0.218347, 0.218043, 0.21444, 0.203679, 0.178873, 0.123647, 0.0843533, 0.0588096, 0.0448507, 0.0348288, 0.0246748, 0.0185896, 0.0135247, 0.0088524, 0.00508187, 0.00279435, 0.0012937, 0.000596562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.18859, 0.238128, 0.294862, 0.312977, 0.337106, 0.359506, 0.373266, 0.386953, 0.408096, 0.417438, 0.430889, 0.445688, 0.460778, 0.487191, 0.503931, 0.55934, 0.588713, 0.615813, 0.636063, 0.671144, 0.697335, 0.724066, 0.744469, 0.785275, 0.866655, 0.96278");
-            values ( \
-              "0.0032685, 0.056522, 0.151125, 0.176598, 0.204244, 0.224257, 0.233822, 0.241215, 0.248173, 0.249655, 0.250067, 0.248179, 0.243247, 0.224271, 0.204274, 0.128646, 0.0940353, 0.0684267, 0.0531814, 0.0336447, 0.0235862, 0.0163427, 0.0122708, 0.00683494, 0.0018385, 0.000380148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.351535, 0.414412, 0.501969, 0.52962, 0.584099, 0.625865, 0.659166, 0.684856, 0.717335, 0.74915, 0.794161, 0.811405, 0.842243, 0.873748, 0.923734, 0.974201, 1.08807, 1.14033, 1.19337, 1.23722, 1.27617, 1.3281, 1.37101, 1.41781, 1.4802, 1.59279, 1.71036, 1.82792");
-            values ( \
-              "0.0420473, 0.0636617, 0.142933, 0.165036, 0.203837, 0.227198, 0.241791, 0.250699, 0.259315, 0.265158, 0.268957, 0.269014, 0.266961, 0.261476, 0.243075, 0.212479, 0.132939, 0.101461, 0.0752262, 0.0579138, 0.04553, 0.0326257, 0.0246923, 0.0181338, 0.0118715, 0.00536086, 0.00227901, 0.000993434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.640311, 0.803482, 0.944471, 1.03537, 1.11871, 1.23643, 1.29155, 1.3426, 1.38724, 1.45957, 1.52551, 1.64308, 1.69287, 1.74308, 1.79473, 1.89803, 1.93983, 2.0155, 2.25909, 2.37389, 2.48968, 2.5847, 2.66882, 2.78097, 2.87511, 3.00049, 3.11806, 3.23563, 3.3532, 3.47076, 3.7059, 3.94103");
-            values ( \
-              "0.0263945, 0.065044, 0.127706, 0.165334, 0.19565, 0.230716, 0.243894, 0.254166, 0.261649, 0.270911, 0.27641, 0.279722, 0.278527, 0.275616, 0.270477, 0.251989, 0.241171, 0.218497, 0.137765, 0.104791, 0.0775539, 0.0597216, 0.0470246, 0.0337866, 0.0255009, 0.0174214, 0.0120824, 0.00838554, 0.00577613, 0.0040026, 0.00190479, 0.000906852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.117744, 0.124797, 0.140636, 0.145382, 0.152251, 0.158382, 0.164157, 0.169828, 0.175493, 0.185756, 0.19109, 0.19563, 0.19839, 0.206426, 0.214287, 0.220155, 0.225481, 0.234263, 0.247491, 0.281092");
-            values ( \
-              "0.0127437, 0.0249105, 0.0763803, 0.0883608, 0.102567, 0.111184, 0.115681, 0.115731, 0.108493, 0.0647322, 0.0466452, 0.0354893, 0.0298215, 0.0178642, 0.0106504, 0.00723248, 0.00516348, 0.0030711, 0.00148994, 0.000783578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.127719, 0.136537, 0.140485, 0.172073, 0.182342, 0.191538, 0.200264, 0.208901, 0.217533, 0.232747, 0.246196, 0.259677, 0.276738, 0.295177, 0.321675");
-            values ( \
-              "0.015423, 0.0253884, 0.0344089, 0.13297, 0.154021, 0.165697, 0.171329, 0.169509, 0.15633, 0.0993436, 0.0601805, 0.0345696, 0.0162631, 0.00724709, 0.00287215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.159532, 0.169632, 0.177443, 0.208045, 0.229524, 0.24499, 0.251238, 0.262977, 0.269793, 0.278234, 0.288241, 0.293381, 0.301051, 0.311402, 0.339434, 0.358671, 0.372548, 0.383502, 0.402876, 0.425828, 0.44257, 0.461531, 0.484256, 0.493064");
-            values ( \
-              "0.0196302, 0.0332621, 0.0492621, 0.130422, 0.17522, 0.19798, 0.204677, 0.213549, 0.21662, 0.21856, 0.217059, 0.214599, 0.207508, 0.189126, 0.119495, 0.080033, 0.0581405, 0.0446495, 0.0275832, 0.0152504, 0.00982442, 0.00592662, 0.00321219, 0.00268392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.206571, 0.24799, 0.264703, 0.313483, 0.332441, 0.355847, 0.379933, 0.396134, 0.405666, 0.419615, 0.435272, 0.445991, 0.456089, 0.467546, 0.479276, 0.502737, 0.527883, 0.587503, 0.61484, 0.630072, 0.660014, 0.674054, 0.702133, 0.726118, 0.76528, 0.817497, 0.836473");
-            values ( \
-              "0.0114666, 0.0446606, 0.0697156, 0.151512, 0.177918, 0.204587, 0.225811, 0.236574, 0.24136, 0.246513, 0.249633, 0.25025, 0.249592, 0.247387, 0.243276, 0.227091, 0.196905, 0.11631, 0.0862464, 0.0719782, 0.0495456, 0.0413609, 0.028339, 0.020436, 0.0118289, 0.00552109, 0.0045761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.369836, 0.433322, 0.520116, 0.548003, 0.581282, 0.602338, 0.620824, 0.64858, 0.669142, 0.693967, 0.721431, 0.757722, 0.767382, 0.786701, 0.82534, 0.83779, 0.85439, 0.887589, 0.900474, 0.917653, 0.952011, 0.97186, 1.09428, 1.14527, 1.17022, 1.21742, 1.2575, 1.28987, 1.32887, 1.38371, 1.40787, 1.44041, 1.48378, 1.57054, 1.68811, 1.80567, 1.92324");
-            values ( \
-              "0.0402678, 0.0644293, 0.143006, 0.165289, 0.189883, 0.203975, 0.215033, 0.229549, 0.238618, 0.247881, 0.256003, 0.263684, 0.265206, 0.267419, 0.269103, 0.268756, 0.267572, 0.262487, 0.25921, 0.253522, 0.237586, 0.225794, 0.140779, 0.108817, 0.0950941, 0.0726388, 0.0571071, 0.0467709, 0.0365318, 0.0255533, 0.0218099, 0.0175896, 0.0131523, 0.00721233, 0.00310341, 0.00132451, 0.000569383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.640748, 0.821682, 0.968754, 1.05958, 1.16342, 1.25447, 1.30802, 1.35993, 1.40529, 1.47764, 1.54356, 1.66113, 1.71092, 1.76113, 1.81278, 1.91608, 2.03355, 2.27715, 2.39194, 2.50773, 2.60275, 2.68687, 2.79903, 2.89317, 3.01855, 3.13612, 3.25369, 3.37125, 3.48882, 3.72396, 3.95909");
-            values ( \
-              "0.0173169, 0.0651301, 0.130398, 0.16774, 0.204388, 0.230707, 0.243565, 0.254023, 0.261665, 0.270903, 0.276424, 0.279711, 0.278517, 0.275628, 0.270466, 0.252, 0.218507, 0.137755, 0.104782, 0.0775444, 0.0597307, 0.0470336, 0.033777, 0.0255101, 0.0174306, 0.0120727, 0.00839496, 0.0057665, 0.00401213, 0.00191441, 0.000916536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.156617, 0.162092, 0.164025, 0.167892, 0.172965, 0.175503, 0.18058, 0.183967, 0.19083, 0.196954, 0.202725, 0.208393, 0.214055, 0.216779, 0.221217, 0.224282, 0.229625, 0.234202, 0.23699, 0.242567, 0.244986, 0.248224, 0.252541, 0.258349, 0.263997, 0.26714, 0.273425, 0.279991, 0.286547, 0.292016, 0.304992, 0.313661");
-            values ( \
-              "0.0139095, 0.0223032, 0.0268648, 0.0385552, 0.0571122, 0.0656038, 0.080137, 0.0885163, 0.102661, 0.111287, 0.11574, 0.115794, 0.108524, 0.0987211, 0.0782211, 0.0648849, 0.0467136, 0.0354665, 0.0297452, 0.0206985, 0.0178599, 0.0145318, 0.0108707, 0.00740179, 0.00517827, 0.00434948, 0.00296597, 0.00212827, 0.0014561, 0.00130908, 0.00126707, 0.000974547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.167954, 0.174139, 0.178799, 0.186107, 0.198254, 0.210897, 0.221149, 0.230349, 0.239076, 0.247714, 0.256347, 0.271567, 0.285009, 0.291955, 0.298609, 0.305486, 0.315256, 0.325754, 0.334836, 0.343499, 0.362586");
-            values ( \
-              "0.0165218, 0.0230001, 0.0333528, 0.05564, 0.0984525, 0.133208, 0.153813, 0.165854, 0.17115, 0.169647, 0.156173, 0.0993225, 0.0601807, 0.0455678, 0.0343971, 0.0254649, 0.0164803, 0.0103334, 0.00699375, 0.00506557, 0.00257986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.194606, 0.205422, 0.214384, 0.246994, 0.268528, 0.286121, 0.302066, 0.317346, 0.33251, 0.342831, 0.395957, 0.421757, 0.436101, 0.457476, 0.488526, 0.501462");
-            values ( \
-              "0.0174079, 0.0275636, 0.0440724, 0.129934, 0.174975, 0.200314, 0.213631, 0.218448, 0.214588, 0.203948, 0.0833535, 0.0455418, 0.0320038, 0.0185271, 0.00816818, 0.00649988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.260093, 0.296266, 0.343872, 0.368792, 0.393547, 0.417965, 0.434842, 0.444438, 0.458224, 0.473449, 0.483807, 0.49626, 0.512864, 0.517817, 0.527722, 0.547534, 0.562738, 0.60435, 0.627471, 0.645431, 0.664748, 0.690488, 0.719914, 0.744384, 0.77535, 0.795655, 0.836266, 0.912973, 1.0047");
-            values ( \
-              "0.0231329, 0.0587904, 0.139505, 0.175248, 0.203847, 0.225498, 0.236733, 0.24152, 0.24659, 0.249649, 0.250315, 0.249446, 0.245351, 0.243325, 0.237892, 0.220603, 0.20174, 0.144217, 0.114693, 0.0943811, 0.0754476, 0.0548811, 0.037546, 0.0270078, 0.0176865, 0.0133229, 0.00743667, 0.00221451, 0.000491888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.418455, 0.472076, 0.560472, 0.598973, 0.639998, 0.695358, 0.741979, 0.772773, 0.806211, 0.848961, 0.868469, 0.899302, 0.930796, 0.980823, 1.03117, 1.15695, 1.1974, 1.24425, 1.2924, 1.33672, 1.3958, 1.43136, 1.47124, 1.5244, 1.63073, 1.7483, 1.86587");
-            values ( \
-              "0.0597104, 0.0642891, 0.144243, 0.174542, 0.203199, 0.233234, 0.250818, 0.258983, 0.265212, 0.268886, 0.269042, 0.266946, 0.261495, 0.243037, 0.212518, 0.125326, 0.101446, 0.0779737, 0.0585611, 0.0445302, 0.0303991, 0.0241433, 0.0185632, 0.0129534, 0.00615455, 0.00261628, 0.00114404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.679477, 0.860816, 1.01733, 1.1064, 1.18862, 1.29365, 1.35567, 1.42246, 1.48839, 1.58283, 1.70039, 1.74989, 1.80019, 1.85194, 1.95543, 1.99704, 2.07271, 2.3163, 2.43109, 2.54689, 2.64191, 2.72602, 2.83818, 2.93232, 3.05771, 3.17528, 3.29284, 3.41041, 3.52798, 3.76311, 3.99825");
-            values ( \
-              "0.0171235, 0.0651319, 0.134487, 0.170675, 0.199892, 0.230749, 0.245408, 0.258138, 0.267676, 0.27645, 0.27973, 0.278543, 0.275643, 0.27048, 0.251956, 0.241169, 0.218504, 0.137759, 0.104784, 0.0775461, 0.0597288, 0.047032, 0.0337784, 0.0255085, 0.017429, 0.0120737, 0.00839353, 0.00576765, 0.00401084, 0.0019132, 0.000915393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.238021, 0.240845, 0.244792, 0.246473, 0.251289, 0.259381, 0.265297, 0.268204, 0.274016, 0.277589, 0.281418, 0.287259, 0.292975, 0.298686, 0.30124, 0.311988, 0.318772, 0.323627, 0.328207, 0.332846, 0.337373, 0.343244, 0.348799, 0.358115, 0.370999, 0.389237, 0.412349, 0.432238, 0.505565");
-            values ( \
-              "0.00831215, 0.0110432, 0.0172328, 0.0208394, 0.0333044, 0.0619844, 0.0786937, 0.0861589, 0.0985141, 0.104667, 0.109859, 0.114589, 0.11498, 0.107921, 0.0990164, 0.0538187, 0.0356126, 0.0262234, 0.0194538, 0.0144166, 0.0109119, 0.00720564, 0.00511025, 0.00295392, 0.00147118, 0.00129155, 0.000485956, 0.000178638, 6.35359e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.253607, 0.266872, 0.28351, 0.296234, 0.306509, 0.31571, 0.324441, 0.333081, 0.341716, 0.356931, 0.370385, 0.383846, 0.400976, 0.419347, 0.442898");
-            values ( \
-              "0.00698741, 0.0410814, 0.0984504, 0.132759, 0.154021, 0.165514, 0.17134, 0.169362, 0.156357, 0.0993393, 0.0601732, 0.0346015, 0.0162335, 0.00725896, 0.00333003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.29028, 0.304186, 0.332593, 0.354051, 0.371561, 0.379901, 0.387462, 0.394351, 0.402714, 0.409702, 0.417913, 0.428347, 0.440437, 0.46175, 0.480672, 0.496713, 0.507824, 0.517977, 0.531515, 0.54253, 0.557697, 0.574051, 0.594221, 0.617201, 0.6812");
-            values ( \
-              "0.0400855, 0.0549262, 0.131033, 0.175659, 0.200629, 0.208357, 0.213774, 0.216601, 0.218466, 0.218046, 0.214518, 0.203899, 0.178656, 0.124655, 0.0845392, 0.058638, 0.0448149, 0.03492, 0.0248107, 0.0186499, 0.0125544, 0.00815396, 0.00472177, 0.00259028, 0.00053509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.356198, 0.38357, 0.422621, 0.438746, 0.464273, 0.49039, 0.50649, 0.521579, 0.530568, 0.537719, 0.552316, 0.570211, 0.582473, 0.598822, 0.614778, 0.636084, 0.69775, 0.722402, 0.74164, 0.75435, 0.778089, 0.798749, 0.826295, 0.851004, 0.864409, 0.89122, 0.944842, 1.02383, 1.12141");
-            values ( \
-              "0.0474046, 0.0608838, 0.127628, 0.15264, 0.186902, 0.214148, 0.227382, 0.237182, 0.241598, 0.244614, 0.24869, 0.250446, 0.249571, 0.245553, 0.237466, 0.218189, 0.135076, 0.104696, 0.0844004, 0.0726382, 0.0540983, 0.0414738, 0.028686, 0.0204866, 0.0170197, 0.0116896, 0.00529705, 0.00151023, 0.000293077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.458535, 0.557512, 0.641023, 0.671418, 0.721185, 0.758374, 0.798032, 0.828435, 0.864126, 0.89271, 0.93816, 0.954977, 0.985823, 1.01734, 1.06633, 1.11898, 1.23117, 1.28393, 1.33717, 1.3809, 1.4196, 1.4712, 1.51446, 1.57207, 1.64888, 1.76644, 1.88401, 2.00158");
-            values ( \
-              "0.00413979, 0.0630211, 0.1389, 0.163605, 0.199497, 0.221473, 0.2399, 0.250617, 0.259954, 0.26508, 0.268905, 0.268951, 0.266911, 0.261431, 0.243537, 0.211648, 0.133259, 0.101457, 0.0751317, 0.0578839, 0.0455802, 0.0327279, 0.0247219, 0.0168925, 0.00996228, 0.00432775, 0.00182224, 0.000809285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.767928, 0.947683, 1.09366, 1.18532, 1.28319, 1.38046, 1.43245, 1.48521, 1.5313, 1.60367, 1.66958, 1.78714, 1.83694, 1.88714, 1.93879, 2.04209, 2.15956, 2.40316, 2.51795, 2.63375, 2.72877, 2.81289, 2.92504, 3.01918, 3.14457, 3.26214, 3.37971, 3.49727, 3.61484, 3.84998, 4.08511");
-            values ( \
-              "0.0178688, 0.0651201, 0.129928, 0.16765, 0.202388, 0.230699, 0.243217, 0.253882, 0.261666, 0.2709, 0.276426, 0.279706, 0.278512, 0.27563, 0.270462, 0.252003, 0.21851, 0.137751, 0.104778, 0.0775407, 0.0597337, 0.0470366, 0.0337733, 0.0255131, 0.0174336, 0.0120691, 0.0083981, 0.00576307, 0.00401538, 0.0019177, 0.000919861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.405637, 0.416625, 0.421255, 0.427953, 0.439057, 0.445187, 0.452933, 0.459724, 0.46603, 0.472157, 0.478275, 0.479943, 0.491784, 0.500668, 0.504054, 0.512957, 0.517605, 0.523391, 0.529111, 0.538489, 0.553427, 0.564679");
-            values ( \
-              "0.00613337, 0.0125976, 0.0192635, 0.0335738, 0.0641971, 0.0776455, 0.0918148, 0.101114, 0.106457, 0.107864, 0.102616, 0.0981576, 0.0529733, 0.0312648, 0.0253195, 0.0145516, 0.0108184, 0.00744158, 0.00524256, 0.00306249, 0.00118607, 0.00121954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.423595, 0.435795, 0.441987, 0.481052, 0.497004, 0.506173, 0.515175, 0.524167, 0.554631, 0.568482, 0.58475, 0.601594, 0.618019");
-            values ( \
-              "0.00988799, 0.0198177, 0.0308253, 0.132765, 0.157031, 0.163588, 0.163578, 0.152047, 0.0562594, 0.0319082, 0.0156949, 0.00760357, 0.0047413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.467074, 0.4857, 0.527292, 0.539808, 0.550059, 0.566513, 0.574086, 0.58206, 0.589566, 0.596694, 0.60492, 0.615324, 0.627386, 0.657768, 0.675331, 0.695043, 0.705417, 0.719249, 0.729985, 0.742096, 0.758057, 0.777685, 0.800377, 0.815772");
-            values ( \
-              "0.0260613, 0.0448185, 0.145211, 0.1692, 0.185596, 0.20439, 0.209689, 0.214017, 0.215652, 0.215957, 0.212671, 0.202923, 0.178458, 0.104569, 0.071561, 0.0448504, 0.0347711, 0.024522, 0.0185685, 0.0135504, 0.00890138, 0.00523369, 0.00288783, 0.00204002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.54997, 0.585731, 0.619068, 0.645642, 0.680981, 0.701644, 0.720638, 0.733304, 0.745434, 0.763872, 0.778674, 0.793789, 0.820084, 0.837172, 0.889747, 0.921713, 0.951584, 0.974001, 0.998147, 1.03817, 1.06213, 1.08951, 1.14428, 1.227, 1.32814");
-            values ( \
-              "0.0567468, 0.0809346, 0.13813, 0.176227, 0.214707, 0.230993, 0.24167, 0.246345, 0.249037, 0.250112, 0.24821, 0.24327, 0.224376, 0.203955, 0.131984, 0.0940286, 0.0661575, 0.0499438, 0.0364613, 0.0211573, 0.015223, 0.0103302, 0.00461142, 0.0011973, 0.00023396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.675393, 0.75018, 0.83657, 0.864637, 0.917956, 0.949718, 0.991996, 1.01689, 1.03698, 1.05994, 1.08393, 1.13045, 1.14617, 1.17701, 1.20852, 1.25645, 1.3101, 1.42234, 1.47508, 1.52831, 1.57205, 1.61076, 1.66237, 1.70561, 1.7632, 1.83999, 1.95755, 2.07512, 2.19269");
-            values ( \
-              "0.0242073, 0.0646989, 0.142935, 0.165418, 0.203397, 0.2218, 0.241175, 0.249978, 0.255729, 0.261088, 0.26518, 0.269055, 0.269013, 0.267007, 0.261462, 0.244105, 0.211709, 0.133242, 0.101453, 0.075131, 0.0578918, 0.0455829, 0.0327202, 0.0247247, 0.0168968, 0.00996249, 0.0043314, 0.0018203, 0.000811826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.986569, 1.13896, 1.28136, 1.37836, 1.47424, 1.57201, 1.62362, 1.67659, 1.72288, 1.79526, 1.86116, 1.97873, 2.02855, 2.07875, 2.13039, 2.23256, 2.27548, 2.35111, 2.59477, 2.70955, 2.82534, 2.92036, 3.00449, 3.11666, 3.21079, 3.33616, 3.45373, 3.57129, 3.68886, 3.80643, 4.04156, 4.2767");
-            values ( \
-              "0.0329386, 0.0649442, 0.128214, 0.168154, 0.202164, 0.230645, 0.243093, 0.25381, 0.26164, 0.270872, 0.27641, 0.279688, 0.278496, 0.275626, 0.27045, 0.252266, 0.241149, 0.218524, 0.13774, 0.104772, 0.0775361, 0.0597399, 0.0470417, 0.0337655, 0.0255185, 0.01744, 0.0120636, 0.00840422, 0.0057574, 0.00402136, 0.00192361, 0.00092573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.747273, 0.765973, 0.771288, 0.777811, 0.797219, 0.805749, 0.818004, 0.825503, 0.832645, 0.839791, 0.846884, 0.853247, 0.865383, 0.878409, 0.890669, 0.902815, 0.91526, 0.932718");
-            values ( \
-              "0.00279574, 0.0112286, 0.0156, 0.0233322, 0.0585236, 0.0705767, 0.0845001, 0.0901975, 0.0933224, 0.0908691, 0.0746572, 0.0525335, 0.026607, 0.0123801, 0.00587891, 0.00301251, 0.00166718, 0.00125636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.764744, 0.782492, 0.789882, 0.795976, 0.799071, 0.805259, 0.810637, 0.823786, 0.840745, 0.853826, 0.865128, 0.875518, 0.885557, 0.895576, 0.896713, 0.900611, 0.914018, 0.921645, 0.930229, 0.93512, 0.943474, 0.947283, 0.953724, 0.958455, 0.965417, 0.972518, 0.980613, 0.988516, 1.00021, 1.01062, 1.01585");
-            values ( \
-              "0.00458169, 0.00990056, 0.0148175, 0.0204263, 0.0239045, 0.0322501, 0.0416876, 0.0704628, 0.102408, 0.122878, 0.13714, 0.145445, 0.148213, 0.140745, 0.138292, 0.128849, 0.086383, 0.0666113, 0.0486079, 0.0402192, 0.0285889, 0.0243386, 0.0185322, 0.0151422, 0.0112622, 0.00841613, 0.00622695, 0.00470935, 0.00309092, 0.0021156, 0.00184359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.832905, 0.853828, 0.868776, 0.893819, 0.909492, 0.919702, 0.939927, 0.960256, 0.968165, 0.974461, 0.982211, 0.990791, 0.998086, 1.00606, 1.01718, 1.03648, 1.05823, 1.06994, 1.08215, 1.09736, 1.10974, 1.12883, 1.14142, 1.16142, 1.18412, 1.19908");
-            values ( \
-              "0.0308555, 0.0322693, 0.0548376, 0.104783, 0.132699, 0.149373, 0.176762, 0.19491, 0.199283, 0.201501, 0.202826, 0.201598, 0.197609, 0.188197, 0.166243, 0.121383, 0.0786353, 0.0605622, 0.0455022, 0.0314869, 0.0230852, 0.0141527, 0.010207, 0.00599162, 0.00330799, 0.00238524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.961512, 1.00728, 1.01524, 1.02738, 1.0338, 1.04665, 1.05777, 1.06716, 1.08012, 1.09124, 1.09975, 1.10937, 1.1174, 1.12613, 1.13158, 1.13946, 1.14598, 1.15449, 1.15973, 1.16231, 1.17006, 1.17763, 1.18521, 1.18904, 1.19287, 1.20053, 1.20838, 1.2123, 1.21623, 1.22021, 1.22419, 1.22856, 1.23293, 1.24364, 1.25435, 1.26506, 1.28489, 1.30195, 1.31298, 1.32948, 1.33767, 1.34586, 1.36224, 1.37395, 1.39007, 1.39822, 1.40636, 1.42122, 1.43607, 1.44873");
-            values ( \
-              "0.103033, 0.111151, 0.123002, 0.140089, 0.148556, 0.164533, 0.177332, 0.187398, 0.200125, 0.210057, 0.216875, 0.22386, 0.228916, 0.23352, 0.235956, 0.23902, 0.241204, 0.243276, 0.244143, 0.244173, 0.244019, 0.243517, 0.242667, 0.241945, 0.241069, 0.238857, 0.235428, 0.230578, 0.226796, 0.224866, 0.222413, 0.219111, 0.215178, 0.202416, 0.188686, 0.174397, 0.146973, 0.125247, 0.112108, 0.0932582, 0.0847389, 0.0771489, 0.0636007, 0.0550732, 0.044196, 0.0398706, 0.0358823, 0.0295518, 0.0241939, 0.0203375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.10527, 1.16474, 1.25755, 1.2928, 1.34299, 1.38914, 1.4314, 1.45187, 1.47801, 1.5026, 1.54833, 1.56493, 1.5958, 1.62733, 1.67567, 1.69415, 1.72898, 1.84121, 1.89397, 1.94721, 1.99095, 2.02965, 2.08126, 2.14371, 2.18212, 2.25893, 2.37649, 2.49406, 2.61163");
-            values ( \
-              "0.0507493, 0.0610267, 0.143777, 0.171639, 0.206386, 0.231299, 0.247981, 0.254157, 0.260439, 0.264753, 0.268683, 0.268731, 0.266749, 0.26129, 0.243785, 0.233643, 0.211623, 0.133235, 0.10144, 0.0751188, 0.0578906, 0.0455876, 0.0327175, 0.0217452, 0.0169016, 0.00995357, 0.00433704, 0.00180793, 0.000826944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.47321, 1.61041, 1.74574, 1.84098, 1.95109, 2.04582, 2.09878, 2.14508, 2.21742, 2.28331, 2.40087, 2.45058, 2.5008, 2.55248, 2.65349, 2.69754, 2.77312, 3.01684, 3.13159, 3.24737, 3.3424, 3.42654, 3.53872, 3.63283, 3.75818, 3.87575, 3.99332, 4.11089, 4.22845, 4.46359, 4.69872");
-            values ( \
-              "0.0747171, 0.0864019, 0.146464, 0.183429, 0.219118, 0.243266, 0.253972, 0.261777, 0.27099, 0.276497, 0.279756, 0.278556, 0.275668, 0.27049, 0.252546, 0.241172, 0.218544, 0.13774, 0.104777, 0.0775421, 0.059738, 0.0470382, 0.0337661, 0.0255154, 0.0174383, 0.0120667, 0.00840214, 0.00576012, 0.00401914, 0.00192136, 0.000923502" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.012524, 0.0125434, 0.012565, 0.0125827, 0.0125939, 0.0125999", \
-            "0.0167146, 0.0167341, 0.0167596, 0.0167833, 0.0167997, 0.016809", \
-            "0.0198075, 0.0198221, 0.0198449, 0.0198707, 0.0198912, 0.0199037", \
-            "0.0215513, 0.0215588, 0.0215723, 0.0215912, 0.0216095, 0.0216224", \
-            "0.0224195, 0.0224062, 0.0223909, 0.0223803, 0.0223776, 0.0223795", \
-            "0.0229153, 0.0228863, 0.02284, 0.0227831, 0.0227349, 0.0227061" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0206298, 0.0206496, 0.0206767, 0.0207027, 0.020721, 0.0207313", \
-            "0.023032, 0.0230317, 0.0230407, 0.0230574, 0.0230726, 0.0230823", \
-            "0.0218858, 0.0218194, 0.0217374, 0.0216612, 0.0216092, 0.0215803", \
-            "0.0206138, 0.0204905, 0.0203191, 0.0201518, 0.0200238, 0.019943", \
-            "0.0206218, 0.020344, 0.0200031, 0.0196653, 0.0194066, 0.0192543", \
-            "0.0201772, 0.0202056, 0.0203469, 0.0199706, 0.0194595, 0.0191429" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.12909, 0.136963, 0.137763, 0.139364, 0.142548, 0.144419, 0.148159, 0.151298, 0.157426, 0.16074, 0.168226, 0.169938, 0.173363, 0.174721, 0.177439, 0.180615, 0.186148, 0.191525, 0.196896, 0.197617, 0.198578, 0.2005, 0.203757, 0.204709, 0.206008, 0.207739, 0.210324, 0.211049, 0.212499, 0.214801, 0.218143, 0.219378, 0.219782, 0.220592, 0.222212, 0.225451, 0.229987");
-            values ( \
-              "-0.0149485, -0.0231217, -0.0232748, -0.0260829, -0.0320629, -0.0370825, -0.0485821, -0.0583522, -0.0728316, -0.0800693, -0.0950495, -0.0982088, -0.104355, -0.106626, -0.110988, -0.115482, -0.121432, -0.122662, -0.110944, -0.107621, -0.102853, -0.0917017, -0.0714313, -0.0658058, -0.0584803, -0.049484, -0.0378964, -0.0350721, -0.0299445, -0.0230998, -0.015637, -0.0135319, -0.0129039, -0.0117313, -0.00968792, -0.00657944, -0.0040598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.147309, 0.162551, 0.182869, 0.201897, 0.220283, 0.228559, 0.236677, 0.245244, 0.266789, 0.273821, 0.283963, 0.291947, 0.301442, 0.302296");
-            values ( \
-              "-0.0174167, -0.0475424, -0.103703, -0.144594, -0.173934, -0.181274, -0.181593, -0.160243, -0.0512833, -0.0311446, -0.0145147, -0.00771812, -0.00360698, -0.00344464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.176935, 0.19877, 0.2091, 0.243464, 0.275582, 0.292003, 0.307044, 0.321422, 0.332084, 0.342513, 0.350649, 0.371179, 0.385978, 0.398559, 0.408662, 0.41964, 0.428395, 0.445906, 0.450495");
-            values ( \
-              "-0.0195083, -0.0451832, -0.0638638, -0.136884, -0.190465, -0.211286, -0.226451, -0.233692, -0.233487, -0.222492, -0.201426, -0.119812, -0.0725037, -0.045319, -0.0302516, -0.0194189, -0.013611, -0.0063585, -0.00556217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.251895, 0.291497, 0.311127, 0.344076, 0.375412, 0.403312, 0.425511, 0.447255, 0.48156, 0.496698, 0.511021, 0.524323, 0.546696, 0.561248, 0.580675, 0.616969, 0.64692, 0.670944, 0.688735, 0.703736, 0.72658, 0.757038, 0.806012, 0.859329");
-            values ( \
-              "-0.0210338, -0.0538019, -0.077131, -0.122995, -0.161453, -0.191963, -0.213422, -0.231177, -0.254413, -0.262663, -0.268778, -0.272478, -0.271777, -0.262803, -0.232084, -0.14477, -0.0874759, -0.056097, -0.0396413, -0.029452, -0.0185522, -0.0097706, -0.00320649, -0.000981232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.421006, 0.488038, 0.65667, 0.735915, 0.792678, 0.857762, 0.917662, 0.97465, 1.03114, 1.08758, 1.19571, 1.24731, 1.29852, 1.33694, 1.376, 1.40578, 1.46535, 1.53511");
-            values ( \
-              "-0.0472277, -0.0519905, -0.167566, -0.2127, -0.241273, -0.268413, -0.286862, -0.296511, -0.292388, -0.253603, -0.124645, -0.081206, -0.0516542, -0.0361611, -0.0250981, -0.0189229, -0.0104991, -0.0059025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.790501, 0.938897, 1.30589, 1.43198, 1.59189, 1.77399, 1.86042, 1.97933, 2.00619, 2.0369, 2.10434, 2.22324, 2.46925, 2.57713, 2.68538, 2.77039, 2.85904, 3.04588, 3.19925");
-            values ( \
-              "-0.0459692, -0.054571, -0.176131, -0.212622, -0.252632, -0.287478, -0.299113, -0.308551, -0.309135, -0.308924, -0.303616, -0.264042, -0.124677, -0.0826152, -0.053492, -0.0372144, -0.0254895, -0.011109, -0.00635366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.149323, 0.156472, 0.15741, 0.159286, 0.162255, 0.164015, 0.167534, 0.17087, 0.177015, 0.180295, 0.187773, 0.189608, 0.193278, 0.196235, 0.200157, 0.205684, 0.211061, 0.216429, 0.217151, 0.218114, 0.220039, 0.223291, 0.224241, 0.225536, 0.227263, 0.229854, 0.23058, 0.232034, 0.234335, 0.237675, 0.238908, 0.239313, 0.240121, 0.241739, 0.244973, 0.251442, 0.25214");
-            values ( \
-              "-0.0155314, -0.022985, -0.0234715, -0.026856, -0.0324896, -0.0372959, -0.0480848, -0.0585503, -0.0729756, -0.0802009, -0.095144, -0.098501, -0.105071, -0.109906, -0.115538, -0.121483, -0.122698, -0.110973, -0.107641, -0.102862, -0.091685, -0.071437, -0.065819, -0.0585086, -0.0495292, -0.0379094, -0.0350771, -0.0299359, -0.0230964, -0.0156365, -0.013533, -0.0129057, -0.0117344, -0.00969284, -0.00658613, -0.00298778, -0.00281674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.164911, 0.177512, 0.183579, 0.18691, 0.193571, 0.20348, 0.210896, 0.221996, 0.231626, 0.240413, 0.248698, 0.256823, 0.265395, 0.268148, 0.278701, 0.281761, 0.286947, 0.28929, 0.293976, 0.298134, 0.300132, 0.304129, 0.312122, 0.317623");
-            values ( \
-              "-0.0200573, -0.036362, -0.0498127, -0.0584881, -0.0793802, -0.104581, -0.120947, -0.144301, -0.160977, -0.173754, -0.181068, -0.181492, -0.160157, -0.146597, -0.0868015, -0.0720606, -0.0512704, -0.0436108, -0.0311487, -0.0228657, -0.0196929, -0.014507, -0.007709, -0.00533052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.193569, 0.199606, 0.218528, 0.228564, 0.262666, 0.294852, 0.311311, 0.326376, 0.340772, 0.351461, 0.361849, 0.370022, 0.391647, 0.40535, 0.417977, 0.428063, 0.447733, 0.465182, 0.479383");
-            values ( \
-              "-0.0167469, -0.019825, -0.0459239, -0.0631873, -0.135907, -0.189748, -0.211071, -0.225956, -0.233616, -0.233123, -0.222275, -0.201443, -0.115786, -0.0725741, -0.0452017, -0.0302874, -0.0135847, -0.00644641, -0.00390413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.260824, 0.310141, 0.315411, 0.334439, 0.373913, 0.403915, 0.440172, 0.46922, 0.500326, 0.516374, 0.535694, 0.544057, 0.55767, 0.571135, 0.598066, 0.634837, 0.655327, 0.679684, 0.692364, 0.70927, 0.723639, 0.744693, 0.772765, 0.820652, 0.873272");
-            values ( \
-              "-0.00782711, -0.0522599, -0.0581349, -0.0817285, -0.135587, -0.171057, -0.208635, -0.232688, -0.253796, -0.262572, -0.27027, -0.272384, -0.273221, -0.269545, -0.237426, -0.149198, -0.106858, -0.0690344, -0.0543762, -0.0391014, -0.029413, -0.0192242, -0.0106761, -0.00362152, -0.00111165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.419792, 0.51071, 0.673394, 0.794154, 0.842701, 0.878022, 0.937935, 0.994828, 1.05129, 1.10771, 1.17635, 1.21898, 1.24759, 1.28526, 1.30618, 1.34801, 1.37321, 1.41173, 1.46309, 1.56581, 1.68471");
-            values ( \
-              "-0.0192606, -0.053898, -0.165684, -0.232617, -0.254852, -0.268649, -0.287089, -0.29665, -0.292515, -0.253656, -0.167835, -0.121575, -0.096268, -0.0695572, -0.0578451, -0.0393082, -0.0310992, -0.0216101, -0.0131556, -0.00438392, -0.00121574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.842411, 1.01107, 1.19178, 1.32703, 1.45332, 1.61324, 1.73214, 1.79533, 1.88177, 2.00067, 2.0275, 2.05817, 2.12567, 2.24457, 2.42266, 2.49056, 2.59845, 2.7067, 2.79172, 2.88036, 2.94829, 3.06719, 3.1861, 3.305, 3.5428");
-            values ( \
-              "-0.0556099, -0.0705149, -0.133381, -0.17607, -0.212629, -0.252644, -0.27687, -0.287487, -0.299123, -0.308563, -0.309145, -0.308937, -0.303626, -0.264048, -0.158767, -0.124685, -0.0826171, -0.053492, -0.0372142, -0.02549, -0.0190956, -0.0111093, -0.00660803, -0.00373567, -0.00121685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.192813, 0.200156, 0.201186, 0.203246, 0.207367, 0.214745, 0.221037, 0.224201, 0.230529, 0.234006, 0.238179, 0.244091, 0.249618, 0.254998, 0.260369, 0.260609, 0.26205, 0.269481, 0.271214, 0.273797, 0.275971, 0.278274, 0.281616, 0.283255, 0.285685, 0.288925, 0.295405, 0.2955");
-            values ( \
-              "-0.0147542, -0.0227193, -0.0230871, -0.0268551, -0.0351216, -0.0582722, -0.0731496, -0.0800048, -0.092746, -0.099327, -0.106581, -0.115521, -0.121385, -0.122704, -0.110902, -0.109866, -0.102856, -0.0584754, -0.0494735, -0.0378947, -0.0299487, -0.0231017, -0.0156384, -0.0129046, -0.00968764, -0.00657773, -0.00298048, -0.00295719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.210547, 0.221753, 0.224981, 0.230984, 0.238407, 0.240884, 0.24584, 0.254863, 0.265943, 0.275559, 0.284338, 0.292617, 0.300737, 0.308847, 0.310224, 0.312061, 0.319768, 0.322607, 0.325667, 0.329682, 0.330853, 0.333196, 0.337882, 0.342038, 0.344035, 0.34803, 0.35602, 0.365515, 0.367552");
-            values ( \
-              "-0.0222215, -0.0375646, -0.0442604, -0.0587247, -0.082593, -0.0879534, -0.101286, -0.121037, -0.144709, -0.160978, -0.174063, -0.181029, -0.181726, -0.161528, -0.156238, -0.146613, -0.101986, -0.0868217, -0.0720791, -0.0554764, -0.051281, -0.0436162, -0.0311517, -0.0228682, -0.0196975, -0.0145105, -0.00771347, -0.00360394, -0.0032173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.239162, 0.275673, 0.296664, 0.311955, 0.320404, 0.337302, 0.355586, 0.367041, 0.377857, 0.384967, 0.392069, 0.399073, 0.409169, 0.41421, 0.434195, 0.442085, 0.4505, 0.455954, 0.465528, 0.473619, 0.482911, 0.495301, 0.517217, 0.540017, 0.588303");
-            values ( \
-              "-0.00345102, -0.069935, -0.116675, -0.145737, -0.160629, -0.187612, -0.21171, -0.223311, -0.231003, -0.233862, -0.234217, -0.230948, -0.215963, -0.201669, -0.121802, -0.0942421, -0.0699795, -0.0572152, -0.0395921, -0.0286725, -0.0196883, -0.0117431, -0.00447442, -0.00168028, -0.000317753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.327545, 0.356214, 0.372872, 0.409011, 0.43894, 0.470067, 0.487348, 0.500355, 0.531961, 0.545657, 0.56027, 0.572476, 0.585496, 0.595162, 0.605497, 0.615814, 0.636449, 0.645073, 0.684382, 0.70085, 0.722085, 0.731577, 0.75056, 0.767687, 0.776982, 0.795573, 0.832755, 0.882101, 0.937325");
-            values ( \
-              "-0.04892, -0.0544486, -0.0739671, -0.123998, -0.1607, -0.194494, -0.211212, -0.222467, -0.245635, -0.254323, -0.262329, -0.267747, -0.271856, -0.273367, -0.272965, -0.26964, -0.248749, -0.232017, -0.137849, -0.104987, -0.071744, -0.0600883, -0.0415807, -0.0296299, -0.0245933, -0.0168144, -0.00754815, -0.00246088, -0.000721767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.50274, 0.58455, 0.720982, 0.802576, 0.857462, 0.922475, 0.982382, 1.03925, 1.09571, 1.15212, 1.2363, 1.27776, 1.31978, 1.37849, 1.41628, 1.47729, 1.55864, 1.67107, 1.78997");
-            values ( \
-              "-0.0535159, -0.0727942, -0.167982, -0.214214, -0.241599, -0.268666, -0.287154, -0.296647, -0.292559, -0.253639, -0.150049, -0.108203, -0.0757668, -0.0446707, -0.0314475, -0.0175584, -0.00778681, -0.00219469, -0.000687981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.825487, 1.00565, 1.24501, 1.36484, 1.49862, 1.63615, 1.70324, 1.79839, 1.92716, 1.98637, 2.04934, 2.16824, 2.21113, 2.25356, 2.30211, 2.46686, 2.53138, 2.649, 2.70792, 2.7642, 2.87372, 2.936, 3.05491, 3.17381, 3.29271, 3.30771");
-            values ( \
-              "-0.028191, -0.0546168, -0.136065, -0.173838, -0.212718, -0.247612, -0.262415, -0.280593, -0.299196, -0.304972, -0.308622, -0.304117, -0.295549, -0.280831, -0.256107, -0.158488, -0.126285, -0.0805468, -0.0636042, -0.0504606, -0.0317544, -0.0242814, -0.014328, -0.00839115, -0.00489829, -0.00463944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.290683, 0.292821, 0.297455, 0.298079, 0.301819, 0.30472, 0.315534, 0.328535, 0.340928, 0.346452, 0.35183, 0.357439, 0.36631, 0.372799, 0.378442, 0.382509, 0.385746, 0.392221, 0.398753, 0.405562, 0.421437, 0.482358");
-            values ( \
-              "-0.0158715, -0.0181807, -0.0211252, -0.0236649, -0.0300472, -0.0368391, -0.0680832, -0.0952001, -0.115693, -0.121329, -0.122839, -0.109867, -0.0584875, -0.0299537, -0.0156432, -0.00969282, -0.00658187, -0.00298353, -0.00138101, -0.000616578, -0.000158993, -0.000158326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.304806, 0.325152, 0.337604, 0.3466, 0.362642, 0.372255, 0.384691, 0.38931, 0.397425, 0.405991, 0.419308, 0.427542, 0.434552, 0.444734, 0.452751, 0.462251, 0.472859, 0.496689");
-            values ( \
-              "-0.00570832, -0.051963, -0.0886084, -0.110232, -0.14429, -0.161286, -0.177608, -0.181231, -0.181409, -0.160287, -0.0867477, -0.0512704, -0.03119, -0.0144947, -0.00768681, -0.00359219, -0.00158441, -0.00114082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.353501, 0.373825, 0.377184, 0.385051, 0.393976, 0.401678, 0.40938, 0.41773, 0.42608, 0.436004, 0.442336, 0.447167, 0.448606, 0.452921, 0.458653, 0.461519, 0.467983, 0.471581, 0.47338, 0.476961, 0.478743, 0.482306, 0.484082, 0.485857, 0.487633, 0.489409, 0.49116, 0.492911, 0.494662, 0.496413, 0.498938, 0.501462, 0.503986, 0.50651, 0.509031, 0.511552, 0.515507, 0.519463, 0.526473, 0.535219, 0.539426, 0.543634, 0.547842, 0.549992, 0.553297, 0.557074, 0.559973, 0.562873, 0.56894, 0.574059");
-            values ( \
-              "-0.065444, -0.0720696, -0.0793582, -0.0982723, -0.116953, -0.132079, -0.146301, -0.160698, -0.174316, -0.189662, -0.198645, -0.205098, -0.206872, -0.211934, -0.217959, -0.220783, -0.226692, -0.229359, -0.230531, -0.232541, -0.233382, -0.234745, -0.234669, -0.234399, -0.233938, -0.233284, -0.23245, -0.231429, -0.230221, -0.228826, -0.226004, -0.22254, -0.218431, -0.213679, -0.207357, -0.20002, -0.185478, -0.170361, -0.141601, -0.107721, -0.093883, -0.0812958, -0.06996, -0.0646733, -0.0568729, -0.0489499, -0.0439182, -0.0392884, -0.031049, -0.0247695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.423023, 0.453692, 0.469751, 0.506375, 0.536069, 0.561697, 0.582917, 0.597585, 0.629215, 0.658351, 0.671072, 0.683718, 0.690409, 0.699332, 0.713043, 0.740367, 0.780906, 0.794169, 0.819355, 0.831861, 0.847796, 0.860896, 0.87398, 0.891425, 0.925391, 0.974279, 1.02869");
-            values ( \
-              "-0.0417598, -0.0548579, -0.0731837, -0.123916, -0.160383, -0.188636, -0.209842, -0.222467, -0.245697, -0.262814, -0.268327, -0.272088, -0.273194, -0.273414, -0.269693, -0.236739, -0.139856, -0.112666, -0.0720112, -0.0569768, -0.0418428, -0.0322936, -0.0248644, -0.0174156, -0.00843826, -0.00278728, -0.000824712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.605753, 0.689265, 0.818127, 0.899993, 0.954962, 1.01998, 1.07989, 1.13676, 1.19322, 1.24964, 1.33382, 1.37528, 1.4173, 1.47599, 1.51379, 1.57482, 1.65618, 1.76964, 1.88854");
-            values ( \
-              "-0.0593209, -0.0776763, -0.167662, -0.214093, -0.241614, -0.268685, -0.287101, -0.296674, -0.292513, -0.253673, -0.150018, -0.108224, -0.0757915, -0.0446981, -0.0314706, -0.0175792, -0.00776311, -0.00218367, -0.000657522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.909854, 1.10184, 1.46618, 1.59461, 1.75447, 1.93651, 2.02297, 2.14187, 2.16866, 2.19927, 2.26686, 2.38576, 2.63174, 2.73964, 2.8479, 2.93291, 3.02154, 3.20837, 3.36183");
-            values ( \
-              "-0.0230447, -0.0546621, -0.175455, -0.212695, -0.252689, -0.287482, -0.299159, -0.308554, -0.309155, -0.308949, -0.303634, -0.264052, -0.124693, -0.0826185, -0.0534915, -0.0372136, -0.0254903, -0.0111096, -0.0063509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.492592, 0.504359, 0.505666, 0.506974, 0.508281, 0.509071, 0.51144, 0.512942, 0.515944, 0.519621, 0.522884, 0.52554, 0.530245, 0.532299, 0.536407, 0.540223, 0.545508, 0.547924, 0.55034, 0.554774, 0.555509, 0.556243, 0.557713, 0.558874, 0.560035, 0.561196, 0.562357, 0.563372, 0.56388, 0.564388, 0.565403, 0.566349, 0.567295, 0.56824, 0.569186, 0.5703, 0.571414, 0.573642, 0.575504, 0.577798, 0.579472, 0.580811, 0.583417, 0.584507, 0.585052, 0.586686, 0.587629, 0.589514, 0.590456, 0.592272");
-            values ( \
-              "-0.006945, -0.0195917, -0.0212173, -0.0230094, -0.0249677, -0.0263032, -0.0307626, -0.0341819, -0.0413535, -0.0507397, -0.0579734, -0.0634427, -0.0726124, -0.0764699, -0.0839199, -0.0905229, -0.0991647, -0.10268, -0.106058, -0.112102, -0.112929, -0.113686, -0.114987, -0.115745, -0.116288, -0.116614, -0.116724, -0.116592, -0.116165, -0.115596, -0.114032, -0.111876, -0.109353, -0.106464, -0.10321, -0.0986758, -0.0937874, -0.082947, -0.0727698, -0.0596207, -0.0512048, -0.0450763, -0.0344355, -0.0303069, -0.0286158, -0.023973, -0.0216431, -0.0175197, -0.0157261, -0.0127259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.51087, 0.526758, 0.534206, 0.537849, 0.549001, 0.563943, 0.575557, 0.585521, 0.594526, 0.602965, 0.61121, 0.619442, 0.620749, 0.633343, 0.640398, 0.647384, 0.652449, 0.655884, 0.662483, 0.671282, 0.678146");
-            values ( \
-              "-0.0132147, -0.0297307, -0.0429142, -0.0508615, -0.0806198, -0.114653, -0.138726, -0.15665, -0.170012, -0.178218, -0.179157, -0.16019, -0.15514, -0.0859709, -0.0551327, -0.0339326, -0.0233445, -0.0180616, -0.0109073, -0.00541427, -0.00339588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.560689, 0.578609, 0.622521, 0.651021, 0.667551, 0.682645, 0.697063, 0.707715, 0.718266, 0.726341, 0.754046, 0.76898, 0.783731, 0.806376, 0.826492, 0.834254");
-            values ( \
-              "-0.0493097, -0.0514832, -0.14197, -0.189107, -0.210597, -0.225575, -0.233301, -0.232898, -0.221924, -0.201325, -0.0948489, -0.0554318, -0.0311127, -0.0123324, -0.00515895, -0.00404727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.638139, 0.66926, 0.68558, 0.721891, 0.751719, 0.798463, 0.827979, 0.857869, 0.873909, 0.886315, 0.89873, 0.907072, 0.917851, 0.92861, 0.950126, 0.957892, 0.991674, 1.01304, 1.03683, 1.04838, 1.06373, 1.07658, 1.08897, 1.10549, 1.13854, 1.18731, 1.24139");
-            values ( \
-              "-0.0403115, -0.0549034, -0.0734923, -0.123834, -0.160471, -0.209814, -0.233999, -0.254044, -0.26282, -0.268214, -0.271967, -0.273308, -0.273092, -0.269649, -0.247334, -0.232002, -0.150238, -0.106116, -0.0692395, -0.0557232, -0.0413789, -0.0320951, -0.0250547, -0.0178957, -0.00885838, -0.0029389, -0.000871268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.763609, 0.869226, 1.02228, 1.08604, 1.15308, 1.20264, 1.23657, 1.29641, 1.35337, 1.40984, 1.46625, 1.53866, 1.57426, 1.62594, 1.6772, 1.7156, 1.75461, 1.78435, 1.84383, 1.95525, 2.07416");
-            values ( \
-              "-0.0090891, -0.053852, -0.159654, -0.197355, -0.232818, -0.255447, -0.268651, -0.28708, -0.296651, -0.292522, -0.253654, -0.163334, -0.12475, -0.0812212, -0.0516528, -0.0361481, -0.0250989, -0.0189458, -0.0105086, -0.00316726, -0.000826605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.16195, 1.31981, 1.5465, 1.70182, 1.81261, 1.97263, 2.09154, 2.15408, 2.24096, 2.35986, 2.38652, 2.41698, 2.48483, 2.52643, 2.5681, 2.84446, 2.95532, 3.06593, 3.15039, 3.23698, 3.3031, 3.42201, 3.54091, 3.57979");
-            values ( \
-              "-0.0397742, -0.0547238, -0.131884, -0.180798, -0.212685, -0.252717, -0.276908, -0.287419, -0.299148, -0.308558, -0.30915, -0.308951, -0.303617, -0.295101, -0.28047, -0.126615, -0.0830534, -0.0531032, -0.03719, -0.025706, -0.0192872, -0.0113376, -0.00662981, -0.00572449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.914575, 0.927768, 0.935722, 0.939826, 0.952185, 0.966223, 0.978823, 0.993229, 0.996537, 0.999845, 1.00656, 1.00991, 1.02126, 1.0259, 1.03197");
-            values ( \
-              "-0.0128682, -0.0143309, -0.0212918, -0.0268922, -0.0472202, -0.0671859, -0.0835952, -0.10013, -0.0996394, -0.0984826, -0.0939815, -0.0821058, -0.0347658, -0.0217019, -0.0110798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.931872, 0.956192, 0.969756, 0.997108, 1.01358, 1.02775, 1.03814, 1.04776, 1.05706, 1.0673, 1.0846, 1.09201, 1.10117, 1.10736, 1.11222, 1.12195, 1.13227, 1.15233, 1.21589");
-            values ( \
-              "-0.00177868, -0.0224284, -0.0379524, -0.0881838, -0.114859, -0.13536, -0.148182, -0.157033, -0.160048, -0.143532, -0.0665269, -0.0419002, -0.0222631, -0.0142604, -0.00995314, -0.00470764, -0.00215739, -0.000747367, -0.000182859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.984116, 1.01562, 1.02905, 1.06994, 1.08648, 1.10767, 1.12582, 1.14223, 1.15764, 1.16931, 1.17269, 1.17947, 1.18849, 1.21604, 1.23168, 1.23781, 1.24798, 1.25977, 1.26917, 1.28797, 1.29296");
-            values ( \
-              "-0.0106772, -0.0312428, -0.0469999, -0.115304, -0.140669, -0.170865, -0.193079, -0.209304, -0.218722, -0.220122, -0.218525, -0.21265, -0.193948, -0.0957933, -0.0562234, -0.0446888, -0.030058, -0.0188375, -0.0128536, -0.00577684, -0.0049874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.10247, 1.13685, 1.16466, 1.20112, 1.23772, 1.28054, 1.3033, 1.32847, 1.35686, 1.37446, 1.39873, 1.40821, 1.41896, 1.43109, 1.47169, 1.49673, 1.5222, 1.54104, 1.55441, 1.57485, 1.6021, 1.64941, 1.70209");
-            values ( \
-              "-0.0483783, -0.0509775, -0.0819738, -0.128988, -0.171366, -0.214292, -0.232404, -0.249767, -0.264906, -0.270527, -0.269731, -0.264457, -0.253036, -0.231311, -0.135137, -0.088361, -0.0552254, -0.0382478, -0.0293524, -0.0194353, -0.0109938, -0.00379721, -0.00115829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.30282, 1.37805, 1.51266, 1.61057, 1.67983, 1.71414, 1.77388, 1.83088, 1.88732, 1.94372, 2.05165, 2.10338, 2.15469, 2.19307, 2.23204, 2.26174, 2.32115, 2.4313, 2.55021");
-            values ( \
-              "-0.0648807, -0.0742286, -0.168131, -0.222883, -0.255432, -0.26889, -0.287152, -0.296816, -0.292544, -0.253755, -0.124865, -0.0812705, -0.0516149, -0.036174, -0.0251298, -0.0189328, -0.0105461, -0.00320284, -0.000866063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.71078, 1.92968, 2.05316, 2.19053, 2.29062, 2.45033, 2.56923, 2.63232, 2.71881, 2.83771, 2.86443, 2.89496, 2.96268, 3.00253, 3.04502, 3.09373, 3.25857, 3.32288, 3.44054, 3.50006, 3.55618, 3.66538, 3.72734, 3.84624, 3.96515, 4.08405, 4.20295, 4.44076");
-            values ( \
-              "-0.0610584, -0.0986232, -0.141418, -0.184095, -0.212788, -0.252734, -0.276896, -0.287496, -0.299186, -0.308566, -0.30917, -0.308968, -0.303633, -0.295582, -0.280878, -0.256096, -0.158448, -0.126348, -0.0805788, -0.0634762, -0.0503929, -0.0317547, -0.0243136, -0.0143495, -0.00840177, -0.00490671, -0.0028498, -0.000961636" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0122829, 0.012297, 0.0123136, 0.0123278, 0.0123371, 0.0123421", \
-            "0.0146008, 0.0146087, 0.0146202, 0.014632, 0.0146407, 0.0146458", \
-            "0.0160441, 0.0160474, 0.0160533, 0.0160611, 0.0160682, 0.0160728", \
-            "0.0169177, 0.0169187, 0.0169209, 0.0169246, 0.016929, 0.0169326", \
-            "0.017392, 0.0173924, 0.0173932, 0.0173944, 0.0173965, 0.0173988", \
-            "0.0176524, 0.0176529, 0.0176539, 0.0176551, 0.0176562, 0.0176577" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0167475, 0.0167602, 0.0167773, 0.0167937, 0.0168053, 0.0168118", \
-            "0.0190102, 0.0190204, 0.0190342, 0.0190481, 0.0190581, 0.0190639", \
-            "0.0206867, 0.0207006, 0.0207173, 0.0207326, 0.0207434, 0.0207496", \
-            "0.0218324, 0.0217982, 0.0217565, 0.0217206, 0.0216983, 0.0216868", \
-            "0.0227075, 0.0225834, 0.0224211, 0.0222667, 0.022157, 0.0220901", \
-            "0.0234931, 0.0233501, 0.023068, 0.0227219, 0.0224432, 0.0222773" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.134731, 0.170822, 0.248771, 0.419459, 0.799806, 1.64806", \
-            "0.138536, 0.174757, 0.252636, 0.423447, 0.803893, 1.65214", \
-            "0.146253, 0.182522, 0.260615, 0.431628, 0.812178, 1.66053", \
-            "0.162988, 0.199891, 0.278274, 0.449777, 0.830436, 1.67915", \
-            "0.190736, 0.231303, 0.314568, 0.488906, 0.871032, 1.72015", \
-            "0.217491, 0.267333, 0.366438, 0.561671, 0.956942, 1.80982" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0372593, 0.0568029, 0.0994112, 0.194723, 0.405618, 0.877021", \
-            "0.0372606, 0.0567527, 0.0993349, 0.194624, 0.405351, 0.877014", \
-            "0.0372344, 0.0567574, 0.0994333, 0.194533, 0.405561, 0.877042", \
-            "0.0376416, 0.0567794, 0.0993041, 0.194541, 0.405662, 0.877018", \
-            "0.0404402, 0.0593698, 0.100742, 0.194702, 0.405478, 0.877063", \
-            "0.0474248, 0.0667378, 0.108021, 0.199708, 0.406075, 0.876799" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.175538, 0.217927, 0.308964, 0.508427, 0.951273, 1.93982", \
-            "0.181353, 0.223779, 0.315034, 0.514892, 0.957412, 1.94627", \
-            "0.194754, 0.237129, 0.328345, 0.528578, 0.971312, 1.96034", \
-            "0.222859, 0.265244, 0.356454, 0.556494, 0.999985, 1.98888", \
-            "0.28123, 0.325693, 0.418079, 0.618886, 1.06208, 2.05175", \
-            "0.380471, 0.433492, 0.540667, 0.75351, 1.20078, 2.19041" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0377019, 0.0562479, 0.0965818, 0.185491, 0.380099, 0.817194", \
-            "0.0377105, 0.0562523, 0.0965617, 0.185197, 0.381171, 0.817211", \
-            "0.0377173, 0.05631, 0.0966998, 0.185199, 0.380995, 0.8172", \
-            "0.037722, 0.0562549, 0.0966182, 0.185348, 0.380568, 0.817258", \
-            "0.0397308, 0.057342, 0.0969565, 0.185164, 0.38116, 0.817176", \
-            "0.0463549, 0.0650377, 0.103766, 0.187178, 0.38096, 0.817266" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.10013, 0.105276, 0.107391, 0.119055, 0.127558, 0.134427, 0.13836, 0.144941, 0.149117, 0.151994, 0.157652, 0.171876, 0.178793, 0.187228, 0.191526, 0.202762, 0.207693, 0.216317, 0.229489, 0.254864");
-            values ( \
-              "0.0116597, 0.0206483, 0.0262598, 0.0653481, 0.0883396, 0.10237, 0.108385, 0.114789, 0.116131, 0.115575, 0.10843, 0.0507961, 0.0333845, 0.0193837, 0.0146988, 0.00689515, 0.00508982, 0.0030604, 0.00147729, 0.000953838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.109196, 0.123824, 0.154146, 0.16728, 0.174884, 0.18235, 0.191019, 0.199654, 0.225541, 0.240544, 0.256371, 0.271848, 0.292576");
-            values ( \
-              "0.0148131, 0.0369629, 0.132675, 0.157792, 0.166625, 0.170858, 0.169415, 0.156018, 0.0668469, 0.036467, 0.0181846, 0.00916796, 0.00429112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.140618, 0.162472, 0.190036, 0.199556, 0.211538, 0.229118, 0.245055, 0.253026, 0.2603, 0.26788, 0.275498, 0.286133, 0.297956, 0.319752, 0.338349, 0.354196, 0.365366, 0.37565, 0.389362, 0.400193, 0.412388, 0.428649, 0.448815, 0.472161, 0.499854, 0.535852");
-            values ( \
-              "0.0099626, 0.0562815, 0.130113, 0.152008, 0.175049, 0.200519, 0.213618, 0.217183, 0.218347, 0.218043, 0.21444, 0.203679, 0.178873, 0.123647, 0.0843533, 0.0588096, 0.0448507, 0.0348288, 0.0246748, 0.0185896, 0.0135247, 0.0088524, 0.00508187, 0.00279435, 0.0012937, 0.000596562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.18859, 0.238128, 0.294862, 0.312977, 0.337106, 0.359506, 0.373266, 0.386953, 0.408096, 0.417438, 0.430889, 0.445688, 0.460778, 0.487191, 0.503931, 0.55934, 0.588713, 0.615813, 0.636063, 0.671144, 0.697335, 0.724066, 0.744469, 0.785275, 0.866655, 0.96278");
-            values ( \
-              "0.0032685, 0.056522, 0.151125, 0.176598, 0.204244, 0.224257, 0.233822, 0.241215, 0.248173, 0.249655, 0.250067, 0.248179, 0.243247, 0.224271, 0.204274, 0.128646, 0.0940353, 0.0684267, 0.0531814, 0.0336447, 0.0235862, 0.0163427, 0.0122708, 0.00683494, 0.0018385, 0.000380148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.351535, 0.414412, 0.501969, 0.52962, 0.584099, 0.625865, 0.659166, 0.684856, 0.717335, 0.74915, 0.794161, 0.811405, 0.842243, 0.873748, 0.923734, 0.974201, 1.08807, 1.14033, 1.19337, 1.23722, 1.27617, 1.3281, 1.37101, 1.41781, 1.4802, 1.59279, 1.71036, 1.82792");
-            values ( \
-              "0.0420473, 0.0636617, 0.142933, 0.165036, 0.203837, 0.227198, 0.241791, 0.250699, 0.259315, 0.265158, 0.268957, 0.269014, 0.266961, 0.261476, 0.243075, 0.212479, 0.132939, 0.101461, 0.0752262, 0.0579138, 0.04553, 0.0326257, 0.0246923, 0.0181338, 0.0118715, 0.00536086, 0.00227901, 0.000993434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.640311, 0.803482, 0.944471, 1.03537, 1.11871, 1.23643, 1.29155, 1.3426, 1.38724, 1.45957, 1.52551, 1.64308, 1.69287, 1.74308, 1.79473, 1.89803, 1.93983, 2.0155, 2.25909, 2.37389, 2.48968, 2.5847, 2.66882, 2.78097, 2.87511, 3.00049, 3.11806, 3.23563, 3.3532, 3.47076, 3.7059, 3.94103");
-            values ( \
-              "0.0263945, 0.065044, 0.127706, 0.165334, 0.19565, 0.230716, 0.243894, 0.254166, 0.261649, 0.270911, 0.27641, 0.279722, 0.278527, 0.275616, 0.270477, 0.251989, 0.241171, 0.218497, 0.137765, 0.104791, 0.0775539, 0.0597216, 0.0470246, 0.0337866, 0.0255009, 0.0174214, 0.0120824, 0.00838554, 0.00577613, 0.0040026, 0.00190479, 0.000906852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.117744, 0.124797, 0.140636, 0.145382, 0.152251, 0.158382, 0.164157, 0.169828, 0.175493, 0.185756, 0.19109, 0.19563, 0.19839, 0.206426, 0.214287, 0.220155, 0.225481, 0.234263, 0.247491, 0.281092");
-            values ( \
-              "0.0127437, 0.0249105, 0.0763803, 0.0883608, 0.102567, 0.111184, 0.115681, 0.115731, 0.108493, 0.0647322, 0.0466452, 0.0354893, 0.0298215, 0.0178642, 0.0106504, 0.00723248, 0.00516348, 0.0030711, 0.00148994, 0.000783578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.127719, 0.136537, 0.140485, 0.172073, 0.182342, 0.191538, 0.200264, 0.208901, 0.217533, 0.232747, 0.246196, 0.259677, 0.276738, 0.295177, 0.321675");
-            values ( \
-              "0.015423, 0.0253884, 0.0344089, 0.13297, 0.154021, 0.165697, 0.171329, 0.169509, 0.15633, 0.0993436, 0.0601805, 0.0345696, 0.0162631, 0.00724709, 0.00287215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.159532, 0.169632, 0.177443, 0.208045, 0.229524, 0.24499, 0.251238, 0.262977, 0.269793, 0.278234, 0.288241, 0.293381, 0.301051, 0.311402, 0.339434, 0.358671, 0.372548, 0.383502, 0.402876, 0.425828, 0.44257, 0.461531, 0.484256, 0.493064");
-            values ( \
-              "0.0196302, 0.0332621, 0.0492621, 0.130422, 0.17522, 0.19798, 0.204677, 0.213549, 0.21662, 0.21856, 0.217059, 0.214599, 0.207508, 0.189126, 0.119495, 0.080033, 0.0581405, 0.0446495, 0.0275832, 0.0152504, 0.00982442, 0.00592662, 0.00321219, 0.00268392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.206571, 0.24799, 0.264703, 0.313483, 0.332441, 0.355847, 0.379933, 0.396134, 0.405666, 0.419615, 0.435272, 0.445991, 0.456089, 0.467546, 0.479276, 0.502737, 0.527883, 0.587503, 0.61484, 0.630072, 0.660014, 0.674054, 0.702133, 0.726118, 0.76528, 0.817497, 0.836473");
-            values ( \
-              "0.0114666, 0.0446606, 0.0697156, 0.151512, 0.177918, 0.204587, 0.225811, 0.236574, 0.24136, 0.246513, 0.249633, 0.25025, 0.249592, 0.247387, 0.243276, 0.227091, 0.196905, 0.11631, 0.0862464, 0.0719782, 0.0495456, 0.0413609, 0.028339, 0.020436, 0.0118289, 0.00552109, 0.0045761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.369836, 0.433322, 0.520116, 0.548003, 0.581282, 0.602338, 0.620824, 0.64858, 0.669142, 0.693967, 0.721431, 0.757722, 0.767382, 0.786701, 0.82534, 0.83779, 0.85439, 0.887589, 0.900474, 0.917653, 0.952011, 0.97186, 1.09428, 1.14527, 1.17022, 1.21742, 1.2575, 1.28987, 1.32887, 1.38371, 1.40787, 1.44041, 1.48378, 1.57054, 1.68811, 1.80567, 1.92324");
-            values ( \
-              "0.0402678, 0.0644293, 0.143006, 0.165289, 0.189883, 0.203975, 0.215033, 0.229549, 0.238618, 0.247881, 0.256003, 0.263684, 0.265206, 0.267419, 0.269103, 0.268756, 0.267572, 0.262487, 0.25921, 0.253522, 0.237586, 0.225794, 0.140779, 0.108817, 0.0950941, 0.0726388, 0.0571071, 0.0467709, 0.0365318, 0.0255533, 0.0218099, 0.0175896, 0.0131523, 0.00721233, 0.00310341, 0.00132451, 0.000569383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.640748, 0.821682, 0.968754, 1.05958, 1.16342, 1.25447, 1.30802, 1.35993, 1.40529, 1.47764, 1.54356, 1.66113, 1.71092, 1.76113, 1.81278, 1.91608, 2.03355, 2.27715, 2.39194, 2.50773, 2.60275, 2.68687, 2.79903, 2.89317, 3.01855, 3.13612, 3.25369, 3.37125, 3.48882, 3.72396, 3.95909");
-            values ( \
-              "0.0173169, 0.0651301, 0.130398, 0.16774, 0.204388, 0.230707, 0.243565, 0.254023, 0.261665, 0.270903, 0.276424, 0.279711, 0.278517, 0.275628, 0.270466, 0.252, 0.218507, 0.137755, 0.104782, 0.0775444, 0.0597307, 0.0470336, 0.033777, 0.0255101, 0.0174306, 0.0120727, 0.00839496, 0.0057665, 0.00401213, 0.00191441, 0.000916536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.156617, 0.162092, 0.164025, 0.167892, 0.172965, 0.175503, 0.18058, 0.183967, 0.19083, 0.196954, 0.202725, 0.208393, 0.214055, 0.216779, 0.221217, 0.224282, 0.229625, 0.234202, 0.23699, 0.242567, 0.244986, 0.248224, 0.252541, 0.258349, 0.263997, 0.26714, 0.273425, 0.279991, 0.286547, 0.292016, 0.304992, 0.313661");
-            values ( \
-              "0.0139095, 0.0223032, 0.0268648, 0.0385552, 0.0571122, 0.0656038, 0.080137, 0.0885163, 0.102661, 0.111287, 0.11574, 0.115794, 0.108524, 0.0987211, 0.0782211, 0.0648849, 0.0467136, 0.0354665, 0.0297452, 0.0206985, 0.0178599, 0.0145318, 0.0108707, 0.00740179, 0.00517827, 0.00434948, 0.00296597, 0.00212827, 0.0014561, 0.00130908, 0.00126707, 0.000974547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.167954, 0.174139, 0.178799, 0.186107, 0.198254, 0.210897, 0.221149, 0.230349, 0.239076, 0.247714, 0.256347, 0.271567, 0.285009, 0.291955, 0.298609, 0.305486, 0.315256, 0.325754, 0.334836, 0.343499, 0.362586");
-            values ( \
-              "0.0165218, 0.0230001, 0.0333528, 0.05564, 0.0984525, 0.133208, 0.153813, 0.165854, 0.17115, 0.169647, 0.156173, 0.0993225, 0.0601807, 0.0455678, 0.0343971, 0.0254649, 0.0164803, 0.0103334, 0.00699375, 0.00506557, 0.00257986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.194606, 0.205422, 0.214384, 0.246994, 0.268528, 0.286121, 0.302066, 0.317346, 0.33251, 0.342831, 0.395957, 0.421757, 0.436101, 0.457476, 0.488526, 0.501462");
-            values ( \
-              "0.0174079, 0.0275636, 0.0440724, 0.129934, 0.174975, 0.200314, 0.213631, 0.218448, 0.214588, 0.203948, 0.0833535, 0.0455418, 0.0320038, 0.0185271, 0.00816818, 0.00649988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.260093, 0.296266, 0.343872, 0.368792, 0.393547, 0.417965, 0.434842, 0.444438, 0.458224, 0.473449, 0.483807, 0.49626, 0.512864, 0.517817, 0.527722, 0.547534, 0.562738, 0.60435, 0.627471, 0.645431, 0.664748, 0.690488, 0.719914, 0.744384, 0.77535, 0.795655, 0.836266, 0.912973, 1.0047");
-            values ( \
-              "0.0231329, 0.0587904, 0.139505, 0.175248, 0.203847, 0.225498, 0.236733, 0.24152, 0.24659, 0.249649, 0.250315, 0.249446, 0.245351, 0.243325, 0.237892, 0.220603, 0.20174, 0.144217, 0.114693, 0.0943811, 0.0754476, 0.0548811, 0.037546, 0.0270078, 0.0176865, 0.0133229, 0.00743667, 0.00221451, 0.000491888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.418455, 0.472076, 0.560472, 0.598973, 0.639998, 0.695358, 0.741979, 0.772773, 0.806211, 0.848961, 0.868469, 0.899302, 0.930796, 0.980823, 1.03117, 1.15695, 1.1974, 1.24425, 1.2924, 1.33672, 1.3958, 1.43136, 1.47124, 1.5244, 1.63073, 1.7483, 1.86587");
-            values ( \
-              "0.0597104, 0.0642891, 0.144243, 0.174542, 0.203199, 0.233234, 0.250818, 0.258983, 0.265212, 0.268886, 0.269042, 0.266946, 0.261495, 0.243037, 0.212518, 0.125326, 0.101446, 0.0779737, 0.0585611, 0.0445302, 0.0303991, 0.0241433, 0.0185632, 0.0129534, 0.00615455, 0.00261628, 0.00114404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.679477, 0.860816, 1.01733, 1.1064, 1.18862, 1.29365, 1.35567, 1.42246, 1.48839, 1.58283, 1.70039, 1.74989, 1.80019, 1.85194, 1.95543, 1.99704, 2.07271, 2.3163, 2.43109, 2.54689, 2.64191, 2.72602, 2.83818, 2.93232, 3.05771, 3.17528, 3.29284, 3.41041, 3.52798, 3.76311, 3.99825");
-            values ( \
-              "0.0171235, 0.0651319, 0.134487, 0.170675, 0.199892, 0.230749, 0.245408, 0.258138, 0.267676, 0.27645, 0.27973, 0.278543, 0.275643, 0.27048, 0.251956, 0.241169, 0.218504, 0.137759, 0.104784, 0.0775461, 0.0597288, 0.047032, 0.0337784, 0.0255085, 0.017429, 0.0120737, 0.00839353, 0.00576765, 0.00401084, 0.0019132, 0.000915393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.238021, 0.240845, 0.244792, 0.246473, 0.251289, 0.259381, 0.265297, 0.268204, 0.274016, 0.277589, 0.281418, 0.287259, 0.292975, 0.298686, 0.30124, 0.311988, 0.318772, 0.323627, 0.328207, 0.332846, 0.337373, 0.343244, 0.348799, 0.358115, 0.370999, 0.389237, 0.412349, 0.432238, 0.505565");
-            values ( \
-              "0.00831215, 0.0110432, 0.0172328, 0.0208394, 0.0333044, 0.0619844, 0.0786937, 0.0861589, 0.0985141, 0.104667, 0.109859, 0.114589, 0.11498, 0.107921, 0.0990164, 0.0538187, 0.0356126, 0.0262234, 0.0194538, 0.0144166, 0.0109119, 0.00720564, 0.00511025, 0.00295392, 0.00147118, 0.00129155, 0.000485956, 0.000178638, 6.35359e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.253607, 0.266872, 0.28351, 0.296234, 0.306509, 0.31571, 0.324441, 0.333081, 0.341716, 0.356931, 0.370385, 0.383846, 0.400976, 0.419347, 0.442898");
-            values ( \
-              "0.00698741, 0.0410814, 0.0984504, 0.132759, 0.154021, 0.165514, 0.17134, 0.169362, 0.156357, 0.0993393, 0.0601732, 0.0346015, 0.0162335, 0.00725896, 0.00333003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.29028, 0.304186, 0.332593, 0.354051, 0.371561, 0.379901, 0.387462, 0.394351, 0.402714, 0.409702, 0.417913, 0.428347, 0.440437, 0.46175, 0.480672, 0.496713, 0.507824, 0.517977, 0.531515, 0.54253, 0.557697, 0.574051, 0.594221, 0.617201, 0.6812");
-            values ( \
-              "0.0400855, 0.0549262, 0.131033, 0.175659, 0.200629, 0.208357, 0.213774, 0.216601, 0.218466, 0.218046, 0.214518, 0.203899, 0.178656, 0.124655, 0.0845392, 0.058638, 0.0448149, 0.03492, 0.0248107, 0.0186499, 0.0125544, 0.00815396, 0.00472177, 0.00259028, 0.00053509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.356198, 0.38357, 0.422621, 0.438746, 0.464273, 0.49039, 0.50649, 0.521579, 0.530568, 0.537719, 0.552316, 0.570211, 0.582473, 0.598822, 0.614778, 0.636084, 0.69775, 0.722402, 0.74164, 0.75435, 0.778089, 0.798749, 0.826295, 0.851004, 0.864409, 0.89122, 0.944842, 1.02383, 1.12141");
-            values ( \
-              "0.0474046, 0.0608838, 0.127628, 0.15264, 0.186902, 0.214148, 0.227382, 0.237182, 0.241598, 0.244614, 0.24869, 0.250446, 0.249571, 0.245553, 0.237466, 0.218189, 0.135076, 0.104696, 0.0844004, 0.0726382, 0.0540983, 0.0414738, 0.028686, 0.0204866, 0.0170197, 0.0116896, 0.00529705, 0.00151023, 0.000293077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.458535, 0.557512, 0.641023, 0.671418, 0.721185, 0.758374, 0.798032, 0.828435, 0.864126, 0.89271, 0.93816, 0.954977, 0.985823, 1.01734, 1.06633, 1.11898, 1.23117, 1.28393, 1.33717, 1.3809, 1.4196, 1.4712, 1.51446, 1.57207, 1.64888, 1.76644, 1.88401, 2.00158");
-            values ( \
-              "0.00413979, 0.0630211, 0.1389, 0.163605, 0.199497, 0.221473, 0.2399, 0.250617, 0.259954, 0.26508, 0.268905, 0.268951, 0.266911, 0.261431, 0.243537, 0.211648, 0.133259, 0.101457, 0.0751317, 0.0578839, 0.0455802, 0.0327279, 0.0247219, 0.0168925, 0.00996228, 0.00432775, 0.00182224, 0.000809285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.767928, 0.947683, 1.09366, 1.18532, 1.28319, 1.38046, 1.43245, 1.48521, 1.5313, 1.60367, 1.66958, 1.78714, 1.83694, 1.88714, 1.93879, 2.04209, 2.15956, 2.40316, 2.51795, 2.63375, 2.72877, 2.81289, 2.92504, 3.01918, 3.14457, 3.26214, 3.37971, 3.49727, 3.61484, 3.84998, 4.08511");
-            values ( \
-              "0.0178688, 0.0651201, 0.129928, 0.16765, 0.202388, 0.230699, 0.243217, 0.253882, 0.261666, 0.2709, 0.276426, 0.279706, 0.278512, 0.27563, 0.270462, 0.252003, 0.21851, 0.137751, 0.104778, 0.0775407, 0.0597337, 0.0470366, 0.0337733, 0.0255131, 0.0174336, 0.0120691, 0.0083981, 0.00576307, 0.00401538, 0.0019177, 0.000919861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.405637, 0.416625, 0.421255, 0.427953, 0.439057, 0.445187, 0.452933, 0.459724, 0.46603, 0.472157, 0.478275, 0.479943, 0.491784, 0.500668, 0.504054, 0.512957, 0.517605, 0.523391, 0.529111, 0.538489, 0.553427, 0.564679");
-            values ( \
-              "0.00613337, 0.0125976, 0.0192635, 0.0335738, 0.0641971, 0.0776455, 0.0918148, 0.101114, 0.106457, 0.107864, 0.102616, 0.0981576, 0.0529733, 0.0312648, 0.0253195, 0.0145516, 0.0108184, 0.00744158, 0.00524256, 0.00306249, 0.00118607, 0.00121954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.423595, 0.435795, 0.441987, 0.481052, 0.497004, 0.506173, 0.515175, 0.524167, 0.554631, 0.568482, 0.58475, 0.601594, 0.618019");
-            values ( \
-              "0.00988799, 0.0198177, 0.0308253, 0.132765, 0.157031, 0.163588, 0.163578, 0.152047, 0.0562594, 0.0319082, 0.0156949, 0.00760357, 0.0047413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.467074, 0.4857, 0.527292, 0.539808, 0.550059, 0.566513, 0.574086, 0.58206, 0.589566, 0.596694, 0.60492, 0.615324, 0.627386, 0.657768, 0.675331, 0.695043, 0.705417, 0.719249, 0.729985, 0.742096, 0.758057, 0.777685, 0.800377, 0.815772");
-            values ( \
-              "0.0260613, 0.0448185, 0.145211, 0.1692, 0.185596, 0.20439, 0.209689, 0.214017, 0.215652, 0.215957, 0.212671, 0.202923, 0.178458, 0.104569, 0.071561, 0.0448504, 0.0347711, 0.024522, 0.0185685, 0.0135504, 0.00890138, 0.00523369, 0.00288783, 0.00204002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.54997, 0.585731, 0.619068, 0.645642, 0.680981, 0.701644, 0.720638, 0.733304, 0.745434, 0.763872, 0.778674, 0.793789, 0.820084, 0.837172, 0.889747, 0.921713, 0.951584, 0.974001, 0.998147, 1.03817, 1.06213, 1.08951, 1.14428, 1.227, 1.32814");
-            values ( \
-              "0.0567468, 0.0809346, 0.13813, 0.176227, 0.214707, 0.230993, 0.24167, 0.246345, 0.249037, 0.250112, 0.24821, 0.24327, 0.224376, 0.203955, 0.131984, 0.0940286, 0.0661575, 0.0499438, 0.0364613, 0.0211573, 0.015223, 0.0103302, 0.00461142, 0.0011973, 0.00023396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.675393, 0.75018, 0.83657, 0.864637, 0.917956, 0.949718, 0.991996, 1.01689, 1.03698, 1.05994, 1.08393, 1.13045, 1.14617, 1.17701, 1.20852, 1.25645, 1.3101, 1.42234, 1.47508, 1.52831, 1.57205, 1.61076, 1.66237, 1.70561, 1.7632, 1.83999, 1.95755, 2.07512, 2.19269");
-            values ( \
-              "0.0242073, 0.0646989, 0.142935, 0.165418, 0.203397, 0.2218, 0.241175, 0.249978, 0.255729, 0.261088, 0.26518, 0.269055, 0.269013, 0.267007, 0.261462, 0.244105, 0.211709, 0.133242, 0.101453, 0.075131, 0.0578918, 0.0455829, 0.0327202, 0.0247247, 0.0168968, 0.00996249, 0.0043314, 0.0018203, 0.000811826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.986569, 1.13896, 1.28136, 1.37836, 1.47424, 1.57201, 1.62362, 1.67659, 1.72288, 1.79526, 1.86116, 1.97873, 2.02855, 2.07875, 2.13039, 2.23256, 2.27548, 2.35111, 2.59477, 2.70955, 2.82534, 2.92036, 3.00449, 3.11666, 3.21079, 3.33616, 3.45373, 3.57129, 3.68886, 3.80643, 4.04156, 4.2767");
-            values ( \
-              "0.0329386, 0.0649442, 0.128214, 0.168154, 0.202164, 0.230645, 0.243093, 0.25381, 0.26164, 0.270872, 0.27641, 0.279688, 0.278496, 0.275626, 0.27045, 0.252266, 0.241149, 0.218524, 0.13774, 0.104772, 0.0775361, 0.0597399, 0.0470417, 0.0337655, 0.0255185, 0.01744, 0.0120636, 0.00840422, 0.0057574, 0.00402136, 0.00192361, 0.00092573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.747273, 0.765973, 0.771288, 0.777811, 0.797219, 0.805749, 0.818004, 0.825503, 0.832645, 0.839791, 0.846884, 0.853247, 0.865383, 0.878409, 0.890669, 0.902815, 0.91526, 0.932718");
-            values ( \
-              "0.00279574, 0.0112286, 0.0156, 0.0233322, 0.0585236, 0.0705767, 0.0845001, 0.0901975, 0.0933224, 0.0908691, 0.0746572, 0.0525335, 0.026607, 0.0123801, 0.00587891, 0.00301251, 0.00166718, 0.00125636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.764744, 0.782492, 0.789882, 0.795976, 0.799071, 0.805259, 0.810637, 0.823786, 0.840745, 0.853826, 0.865128, 0.875518, 0.885557, 0.895576, 0.896713, 0.900611, 0.914018, 0.921645, 0.930229, 0.93512, 0.943474, 0.947283, 0.953724, 0.958455, 0.965417, 0.972518, 0.980613, 0.988516, 1.00021, 1.01062, 1.01585");
-            values ( \
-              "0.00458169, 0.00990056, 0.0148175, 0.0204263, 0.0239045, 0.0322501, 0.0416876, 0.0704628, 0.102408, 0.122878, 0.13714, 0.145445, 0.148213, 0.140745, 0.138292, 0.128849, 0.086383, 0.0666113, 0.0486079, 0.0402192, 0.0285889, 0.0243386, 0.0185322, 0.0151422, 0.0112622, 0.00841613, 0.00622695, 0.00470935, 0.00309092, 0.0021156, 0.00184359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.832905, 0.853828, 0.868776, 0.893819, 0.909492, 0.919702, 0.939927, 0.960256, 0.968165, 0.974461, 0.982211, 0.990791, 0.998086, 1.00606, 1.01718, 1.03648, 1.05823, 1.06994, 1.08215, 1.09736, 1.10974, 1.12883, 1.14142, 1.16142, 1.18412, 1.19908");
-            values ( \
-              "0.0308555, 0.0322693, 0.0548376, 0.104783, 0.132699, 0.149373, 0.176762, 0.19491, 0.199283, 0.201501, 0.202826, 0.201598, 0.197609, 0.188197, 0.166243, 0.121383, 0.0786353, 0.0605622, 0.0455022, 0.0314869, 0.0230852, 0.0141527, 0.010207, 0.00599162, 0.00330799, 0.00238524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.961512, 1.00728, 1.01524, 1.02738, 1.0338, 1.04665, 1.05777, 1.06716, 1.08012, 1.09124, 1.09975, 1.10937, 1.1174, 1.12613, 1.13158, 1.13946, 1.14598, 1.15449, 1.15973, 1.16231, 1.17006, 1.17763, 1.18521, 1.18904, 1.19287, 1.20053, 1.20838, 1.2123, 1.21623, 1.22021, 1.22419, 1.22856, 1.23293, 1.24364, 1.25435, 1.26506, 1.28489, 1.30195, 1.31298, 1.32948, 1.33767, 1.34586, 1.36224, 1.37395, 1.39007, 1.39822, 1.40636, 1.42122, 1.43607, 1.44873");
-            values ( \
-              "0.103033, 0.111151, 0.123002, 0.140089, 0.148556, 0.164533, 0.177332, 0.187398, 0.200125, 0.210057, 0.216875, 0.22386, 0.228916, 0.23352, 0.235956, 0.23902, 0.241204, 0.243276, 0.244143, 0.244173, 0.244019, 0.243517, 0.242667, 0.241945, 0.241069, 0.238857, 0.235428, 0.230578, 0.226796, 0.224866, 0.222413, 0.219111, 0.215178, 0.202416, 0.188686, 0.174397, 0.146973, 0.125247, 0.112108, 0.0932582, 0.0847389, 0.0771489, 0.0636007, 0.0550732, 0.044196, 0.0398706, 0.0358823, 0.0295518, 0.0241939, 0.0203375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.10527, 1.16474, 1.25755, 1.2928, 1.34299, 1.38914, 1.4314, 1.45187, 1.47801, 1.5026, 1.54833, 1.56493, 1.5958, 1.62733, 1.67567, 1.69415, 1.72898, 1.84121, 1.89397, 1.94721, 1.99095, 2.02965, 2.08126, 2.14371, 2.18212, 2.25893, 2.37649, 2.49406, 2.61163");
-            values ( \
-              "0.0507493, 0.0610267, 0.143777, 0.171639, 0.206386, 0.231299, 0.247981, 0.254157, 0.260439, 0.264753, 0.268683, 0.268731, 0.266749, 0.26129, 0.243785, 0.233643, 0.211623, 0.133235, 0.10144, 0.0751188, 0.0578906, 0.0455876, 0.0327175, 0.0217452, 0.0169016, 0.00995357, 0.00433704, 0.00180793, 0.000826944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.47321, 1.61041, 1.74574, 1.84098, 1.95109, 2.04582, 2.09878, 2.14508, 2.21742, 2.28331, 2.40087, 2.45058, 2.5008, 2.55248, 2.65349, 2.69754, 2.77312, 3.01684, 3.13159, 3.24737, 3.3424, 3.42654, 3.53872, 3.63283, 3.75818, 3.87575, 3.99332, 4.11089, 4.22845, 4.46359, 4.69872");
-            values ( \
-              "0.0747171, 0.0864019, 0.146464, 0.183429, 0.219118, 0.243266, 0.253972, 0.261777, 0.27099, 0.276497, 0.279756, 0.278556, 0.275668, 0.27049, 0.252546, 0.241172, 0.218544, 0.13774, 0.104777, 0.0775421, 0.059738, 0.0470382, 0.0337661, 0.0255154, 0.0174383, 0.0120667, 0.00840214, 0.00576012, 0.00401914, 0.00192136, 0.000923502" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.012524, 0.0125434, 0.012565, 0.0125827, 0.0125939, 0.0125999", \
-            "0.0167146, 0.0167341, 0.0167596, 0.0167833, 0.0167997, 0.016809", \
-            "0.0198075, 0.0198221, 0.0198449, 0.0198707, 0.0198912, 0.0199037", \
-            "0.0215513, 0.0215588, 0.0215723, 0.0215912, 0.0216095, 0.0216224", \
-            "0.0224195, 0.0224062, 0.0223909, 0.0223803, 0.0223776, 0.0223795", \
-            "0.0229153, 0.0228863, 0.02284, 0.0227831, 0.0227349, 0.0227061" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0206298, 0.0206496, 0.0206767, 0.0207027, 0.020721, 0.0207313", \
-            "0.023032, 0.0230317, 0.0230407, 0.0230574, 0.0230726, 0.0230823", \
-            "0.0218858, 0.0218194, 0.0217374, 0.0216612, 0.0216092, 0.0215803", \
-            "0.0206138, 0.0204905, 0.0203191, 0.0201518, 0.0200238, 0.019943", \
-            "0.0206218, 0.020344, 0.0200031, 0.0196653, 0.0194066, 0.0192543", \
-            "0.0201772, 0.0202056, 0.0203469, 0.0199706, 0.0194595, 0.0191429" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.132621, 0.14317, 0.147954, 0.156811, 0.163095, 0.173381, 0.180965, 0.187083, 0.192826, 0.198411, 0.203994, 0.213527, 0.219824, 0.226925, 0.230325, 0.234211, 0.241234, 0.24953, 0.25852, 0.336813");
-            values ( \
-              "-0.00101052, -0.0238652, -0.0321411, -0.0568375, -0.0707615, -0.0904504, -0.102939, -0.11123, -0.116736, -0.118092, -0.107325, -0.0561468, -0.0298396, -0.0133544, -0.00896331, -0.00563987, -0.00240397, -0.000897132, -0.000355907, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.159312, 0.169835, 0.182233, 0.189844, 0.199149, 0.207725, 0.218066, 0.227604, 0.236121, 0.239696, 0.242069, 0.245302, 0.247503, 0.251126, 0.254071, 0.258094, 0.268872, 0.274466, 0.281875, 0.285723, 0.290717");
-            values ( \
-              "-0.0447148, -0.0471101, -0.0800912, -0.0986807, -0.118563, -0.134859, -0.15195, -0.16508, -0.173561, -0.175786, -0.176416, -0.175529, -0.173683, -0.167511, -0.160156, -0.142829, -0.0852688, -0.0608881, -0.0365702, -0.02801, -0.0193626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.187643, 0.225584, 0.244044, 0.268445, 0.283397, 0.301091, 0.320314, 0.327939, 0.335083, 0.345591, 0.349613, 0.356916, 0.365027, 0.385907, 0.398107, 0.406932, 0.422405, 0.437009, 0.448886, 0.471385, 0.494275, 0.518903");
-            values ( \
-              "-0.0056031, -0.0753923, -0.113973, -0.155763, -0.178024, -0.200802, -0.219094, -0.224039, -0.22699, -0.227412, -0.225151, -0.217242, -0.197943, -0.118463, -0.07916, -0.0575097, -0.0316258, -0.0176341, -0.0108204, -0.00401048, -0.00154259, -0.000602637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.264072, 0.309668, 0.315896, 0.340682, 0.363813, 0.380011, 0.412906, 0.441045, 0.455267, 0.471588, 0.499909, 0.524048, 0.532078, 0.548284, 0.576159, 0.604065, 0.6447, 0.659156, 0.675677, 0.682186, 0.692902, 0.705149, 0.723051, 0.730548, 0.745541, 0.775528, 0.824636, 0.878697");
-            values ( \
-              "-0.0110726, -0.0539257, -0.0605557, -0.0906581, -0.121016, -0.139871, -0.175334, -0.201972, -0.213981, -0.226363, -0.245275, -0.25818, -0.261515, -0.26643, -0.264688, -0.232692, -0.139132, -0.11006, -0.0825583, -0.0733843, -0.0602145, -0.0477407, -0.0335994, -0.0289996, -0.0214349, -0.0114792, -0.00377349, -0.00112938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.432198, 0.530561, 0.677773, 0.737612, 0.815395, 0.86729, 0.901696, 0.962735, 0.998629, 1.02064, 1.06467, 1.07779, 1.10402, 1.13922, 1.21628, 1.24393, 1.28081, 1.30314, 1.33107, 1.36831, 1.40135, 1.45132, 1.51794, 1.62913, 1.75081");
-            values ( \
-              "-0.00993855, -0.057668, -0.153536, -0.187617, -0.227248, -0.250127, -0.263235, -0.281515, -0.288682, -0.291297, -0.29051, -0.287663, -0.276854, -0.245702, -0.152418, -0.12358, -0.0914927, -0.0756755, -0.0592666, -0.0422675, -0.0311597, -0.0194482, -0.0100788, -0.00302929, -0.000797193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.938763, 1.09794, 1.26718, 1.39597, 1.51443, 1.6775, 1.81992, 1.95093, 2.07261, 2.11952, 2.15896, 2.19854, 2.26068, 2.33169, 2.49945, 2.56045, 2.68965, 2.74964, 2.82962, 2.9418, 3.01687, 3.13855, 3.26023, 3.38191, 3.62527");
-            values ( \
-              "-0.0805794, -0.0819513, -0.13759, -0.17604, -0.208788, -0.24797, -0.275384, -0.293846, -0.303392, -0.304115, -0.302853, -0.299115, -0.285449, -0.25365, -0.156682, -0.126685, -0.0775504, -0.061015, -0.0438639, -0.0272754, -0.0197439, -0.0114609, -0.00665704, -0.00380635, -0.00124617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.155106, 0.163107, 0.16524, 0.168626, 0.172068, 0.176791, 0.185602, 0.186529, 0.191582, 0.194246, 0.199574, 0.203775, 0.20709, 0.21284, 0.218429, 0.224012, 0.225639, 0.227498, 0.23098, 0.23356, 0.235449, 0.237868, 0.239835, 0.242246, 0.245469, 0.246946, 0.248398, 0.250334, 0.254207, 0.261335, 0.261555");
-            values ( \
-              "-0.0158482, -0.0235332, -0.02704, -0.0334984, -0.0430241, -0.0569923, -0.0756721, -0.0777413, -0.0872377, -0.0919034, -0.100786, -0.106976, -0.111159, -0.116799, -0.118017, -0.107412, -0.100174, -0.0903872, -0.0700527, -0.0560806, -0.0468721, -0.0367321, -0.0298713, -0.0229103, -0.0158517, -0.0133384, -0.0112585, -0.00898289, -0.00564482, -0.00238628, -0.00234625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.179141, 0.189604, 0.191738, 0.193677, 0.198202, 0.201985, 0.204476, 0.209094, 0.213712, 0.218863, 0.224014, 0.2303, 0.234606, 0.237548, 0.240267, 0.244427, 0.24917, 0.251536, 0.253817, 0.255922, 0.257974, 0.260091, 0.262209, 0.26377, 0.26533, 0.266347, 0.267871, 0.269182, 0.270788, 0.271592, 0.27336, 0.275129, 0.27741, 0.279691, 0.288443, 0.289546, 0.291753, 0.295062, 0.299371, 0.301623, 0.303775, 0.306577, 0.307978, 0.310366");
-            values ( \
-              "-0.0466395, -0.046993, -0.0517582, -0.0567792, -0.0700581, -0.0801996, -0.0864605, -0.0972065, -0.107297, -0.11778, -0.127795, -0.139413, -0.146742, -0.151435, -0.155568, -0.161128, -0.166906, -0.169496, -0.171738, -0.17357, -0.175131, -0.175571, -0.17575, -0.175714, -0.175535, -0.174932, -0.173185, -0.171102, -0.167816, -0.16587, -0.160513, -0.154128, -0.143905, -0.132919, -0.0861147, -0.080785, -0.0708585, -0.0576228, -0.0426633, -0.0366286, -0.0315706, -0.0258614, -0.0233532, -0.0195677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.218192, 0.231827, 0.237934, 0.242515, 0.257135, 0.264425, 0.271715, 0.281455, 0.293421, 0.303158, 0.312403, 0.31883, 0.32512, 0.33173, 0.334155, 0.337426, 0.342599, 0.348305, 0.350087, 0.353652, 0.355434, 0.358056, 0.360679, 0.363302, 0.366934, 0.369963, 0.371788, 0.373614, 0.375439, 0.377265, 0.379292, 0.38132, 0.385375, 0.38836, 0.391345, 0.397315, 0.406254, 0.408288, 0.412354, 0.414388, 0.418454, 0.422171, 0.427278, 0.429857, 0.432435, 0.435013, 0.437592, 0.44017, 0.442749, 0.448076");
-            values ( \
-              "-0.0467478, -0.0501175, -0.0604022, -0.0689824, -0.100273, -0.11453, -0.127592, -0.1441, -0.163061, -0.177287, -0.189762, -0.197864, -0.205006, -0.211785, -0.214096, -0.216948, -0.221014, -0.224869, -0.2258, -0.227313, -0.227895, -0.228109, -0.227957, -0.227442, -0.226125, -0.224492, -0.222784, -0.220593, -0.217922, -0.214768, -0.210364, -0.205536, -0.194612, -0.184643, -0.174321, -0.152617, -0.117811, -0.110394, -0.0968685, -0.090645, -0.0789908, -0.0693214, -0.0567848, -0.0516214, -0.0468438, -0.0425736, -0.0386079, -0.0349468, -0.0315901, -0.025524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.308333, 0.340332, 0.347015, 0.384344, 0.40068, 0.41235, 0.425558, 0.433504, 0.44127, 0.452753, 0.461939, 0.46923, 0.481746, 0.494285, 0.508018, 0.518596, 0.526454, 0.529986, 0.540579, 0.551091, 0.561604, 0.568869, 0.572355, 0.575841, 0.579327, 0.582812, 0.586259, 0.589706, 0.593152, 0.596599, 0.603492, 0.610385, 0.617278, 0.624171, 0.626553, 0.631318, 0.655143, 0.659908, 0.664525, 0.674873, 0.679906, 0.68494, 0.692469, 0.703184, 0.708493, 0.712741, 0.721235, 0.72973, 0.74077, 0.751012");
-            values ( \
-              "-0.0608723, -0.0653644, -0.0732767, -0.121075, -0.140138, -0.15314, -0.167324, -0.175616, -0.183346, -0.194419, -0.202562, -0.208779, -0.218917, -0.228274, -0.237864, -0.244753, -0.249564, -0.251525, -0.256875, -0.261185, -0.26514, -0.267667, -0.267904, -0.267813, -0.267395, -0.266649, -0.26559, -0.26421, -0.26251, -0.26049, -0.255482, -0.249188, -0.241609, -0.232743, -0.228777, -0.218183, -0.161722, -0.150577, -0.140359, -0.118195, -0.108925, -0.100145, -0.0881907, -0.0721457, -0.0650576, -0.059926, -0.0513188, -0.0436828, -0.0353647, -0.0282841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.488874, 0.548899, 0.725981, 0.800335, 0.866535, 0.921305, 0.982364, 1.04042, 1.09787, 1.15526, 1.24571, 1.28824, 1.33911, 1.40578, 1.44608, 1.47692, 1.53861, 1.65141, 1.77309");
-            values ( \
-              "-0.0540317, -0.0567359, -0.170151, -0.210008, -0.241417, -0.263054, -0.281412, -0.2913, -0.287869, -0.250631, -0.142585, -0.102103, -0.0661324, -0.036102, -0.0248097, -0.0185617, -0.0100782, -0.00300367, -0.000750413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.912018, 1.04556, 1.42412, 1.60305, 1.69706, 1.81874, 1.97049, 2.09217, 2.13909, 2.17852, 2.2181, 2.28024, 2.35125, 2.58001, 2.70921, 2.84918, 2.96136, 3.03643, 3.15811, 3.27979, 3.33471");
-            values ( \
-              "-0.0575585, -0.0599559, -0.178539, -0.226333, -0.247935, -0.27187, -0.293811, -0.303423, -0.304113, -0.302853, -0.299112, -0.285449, -0.253649, -0.126684, -0.0775512, -0.0438629, -0.0272762, -0.0197431, -0.0114618, -0.00665628, -0.00537035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.200059, 0.205695, 0.20731, 0.210541, 0.213928, 0.219167, 0.220924, 0.224439, 0.230646, 0.238378, 0.245098, 0.251216, 0.256957, 0.262547, 0.268127, 0.268359, 0.269754, 0.271613, 0.275797, 0.277672, 0.279561, 0.28198, 0.283947, 0.286357, 0.289583, 0.29154, 0.292506, 0.294439, 0.298305, 0.305461, 0.313735");
-            values ( \
-              "-0.0163655, -0.0219351, -0.0237066, -0.0291643, -0.0364861, -0.0522345, -0.0564372, -0.0650543, -0.0775166, -0.0921548, -0.102813, -0.111415, -0.116683, -0.11823, -0.107289, -0.106346, -0.10025, -0.0903579, -0.066113, -0.0560503, -0.0469242, -0.0366983, -0.0298375, -0.022956, -0.0158077, -0.0125916, -0.0113009, -0.00895156, -0.00569094, -0.0023426, -0.000914529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.22202, 0.23196, 0.234919, 0.237877, 0.241904, 0.245943, 0.248657, 0.254086, 0.256338, 0.260841, 0.265957, 0.268821, 0.271684, 0.277045, 0.28204, 0.285785, 0.28953, 0.293647, 0.295813, 0.297978, 0.301179, 0.302246, 0.303685, 0.305123, 0.306054, 0.306985, 0.307915, 0.30929, 0.31062, 0.311507, 0.312394, 0.313281, 0.314167, 0.315152, 0.317121, 0.318105, 0.319413, 0.32203, 0.327263, 0.332311, 0.334923, 0.33616, 0.338634, 0.339871, 0.343113, 0.345404, 0.347695, 0.349986, 0.352584, 0.35464");
-            values ( \
-              "-0.0400074, -0.0428974, -0.0491678, -0.0560757, -0.0679092, -0.0790614, -0.0860857, -0.0989939, -0.104027, -0.113675, -0.123936, -0.129373, -0.134601, -0.143829, -0.151768, -0.157281, -0.162353, -0.167324, -0.16961, -0.171671, -0.174207, -0.174925, -0.175674, -0.176247, -0.176301, -0.176219, -0.176003, -0.175437, -0.174611, -0.173582, -0.172365, -0.170958, -0.169362, -0.167369, -0.162682, -0.159989, -0.155384, -0.143133, -0.115398, -0.0879793, -0.0757336, -0.0703257, -0.0602643, -0.0556109, -0.0444309, -0.0377533, -0.0323182, -0.0274976, -0.0228471, -0.0194176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.257236, 0.275155, 0.278516, 0.281877, 0.286918, 0.293212, 0.299506, 0.30614, 0.312298, 0.320191, 0.330673, 0.339716, 0.348267, 0.356343, 0.363878, 0.371289, 0.37819, 0.381506, 0.384821, 0.388638, 0.392455, 0.394241, 0.397813, 0.3996, 0.402224, 0.404848, 0.407472, 0.411107, 0.41414, 0.415967, 0.417793, 0.41962, 0.421447, 0.423475, 0.425503, 0.42956, 0.432547, 0.435533, 0.441506, 0.450447, 0.45248, 0.456545, 0.462644, 0.466365, 0.471477, 0.476639, 0.479219, 0.484381, 0.486962, 0.492278");
-            values ( \
-              "-0.0253291, -0.0483433, -0.0537572, -0.0595991, -0.0690499, -0.0824846, -0.0963621, -0.109499, -0.12085, -0.13464, -0.151929, -0.165914, -0.178217, -0.189161, -0.19863, -0.206865, -0.21373, -0.216634, -0.219316, -0.222127, -0.224643, -0.225582, -0.22711, -0.227699, -0.227921, -0.227779, -0.227272, -0.225968, -0.224345, -0.222642, -0.220459, -0.217793, -0.214647, -0.210251, -0.205431, -0.194522, -0.184563, -0.17425, -0.15256, -0.117782, -0.110371, -0.0968537, -0.0789868, -0.0693166, -0.0567694, -0.0468259, -0.0425548, -0.0349262, -0.0315686, -0.025518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.354768, 0.391088, 0.39612, 0.411555, 0.42699, 0.444964, 0.46113, 0.478077, 0.485801, 0.497332, 0.510238, 0.517597, 0.525979, 0.539928, 0.552073, 0.563149, 0.571031, 0.574551, 0.585112, 0.595493, 0.605874, 0.613402, 0.616888, 0.620375, 0.623861, 0.627347, 0.630793, 0.634239, 0.637685, 0.641131, 0.648023, 0.654915, 0.661807, 0.668699, 0.671086, 0.675859, 0.699722, 0.704495, 0.709079, 0.719352, 0.724349, 0.729346, 0.736922, 0.747707, 0.753055, 0.757334, 0.76589, 0.774447, 0.785392, 0.795545");
-            values ( \
-              "-0.0621228, -0.0728616, -0.0790186, -0.0991395, -0.118735, -0.139823, -0.157725, -0.175641, -0.183338, -0.194459, -0.205797, -0.211951, -0.218671, -0.229114, -0.237556, -0.244749, -0.249577, -0.251537, -0.256884, -0.261169, -0.265072, -0.267665, -0.267902, -0.267812, -0.267394, -0.266648, -0.265589, -0.26421, -0.26251, -0.260491, -0.255483, -0.249191, -0.241612, -0.232748, -0.228775, -0.218164, -0.161612, -0.150448, -0.140317, -0.1183, -0.109087, -0.100357, -0.0883174, -0.0721505, -0.0650178, -0.0598574, -0.0511999, -0.0435276, -0.0353035, -0.0282929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.530894, 0.593908, 0.773308, 0.844628, 0.913772, 0.966073, 1.01537, 1.05051, 1.08504, 1.14259, 1.19988, 1.28685, 1.33296, 1.38364, 1.45037, 1.49075, 1.52166, 1.58349, 1.69629, 1.81797");
-            values ( \
-              "-0.0485741, -0.0569273, -0.171673, -0.209828, -0.242543, -0.263106, -0.278419, -0.286434, -0.291251, -0.287791, -0.250613, -0.146448, -0.101999, -0.0661899, -0.0361241, -0.0248103, -0.0185277, -0.0100645, -0.00298303, -0.000761024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.956565, 1.0906, 1.4624, 1.57902, 1.7421, 1.88452, 2.01553, 2.13721, 2.18414, 2.22357, 2.26315, 2.32528, 2.3963, 2.62506, 2.75425, 2.89422, 3.0064, 3.08147, 3.20315, 3.35744");
-            values ( \
-              "-0.0570601, -0.0599829, -0.176554, -0.208795, -0.247976, -0.275392, -0.293854, -0.303381, -0.304114, -0.302851, -0.299114, -0.285447, -0.25365, -0.126685, -0.07755, -0.0438641, -0.027275, -0.0197443, -0.0114606, -0.0066077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.295584, 0.303687, 0.305802, 0.308218, 0.310236, 0.317265, 0.323256, 0.326991, 0.33472, 0.341451, 0.34757, 0.353312, 0.358902, 0.364482, 0.367971, 0.374022, 0.375912, 0.37899, 0.382713, 0.385919, 0.387893, 0.390812, 0.394705, 0.401919, 0.402218");
-            values ( \
-              "-0.0156049, -0.0237633, -0.0270464, -0.0316115, -0.0364892, -0.056637, -0.0701049, -0.0775848, -0.0919978, -0.102912, -0.111303, -0.116771, -0.118137, -0.107369, -0.0903623, -0.0560979, -0.0469244, -0.0343315, -0.0229378, -0.015858, -0.012609, -0.00894693, -0.00564427, -0.00232632, -0.00227397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.314846, 0.325478, 0.334759, 0.349985, 0.370719, 0.385683, 0.394116, 0.401277, 0.406764, 0.41095, 0.414753, 0.43484, 0.443932, 0.450972, 0.46382, 0.473677");
-            values ( \
-              "-0.0235398, -0.0373258, -0.0577773, -0.0984485, -0.139788, -0.162603, -0.171761, -0.176176, -0.175292, -0.169292, -0.158886, -0.0601137, -0.0322851, -0.0192831, -0.00711897, -0.00349591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.359901, 0.376057, 0.379974, 0.387623, 0.39368, 0.399737, 0.402239, 0.407241, 0.414745, 0.42557, 0.434282, 0.44424, 0.451346, 0.455336, 0.461051, 0.467599, 0.474573, 0.479451, 0.484891, 0.488704, 0.490491, 0.492277, 0.495849, 0.498477, 0.501104, 0.503731, 0.507364, 0.510383, 0.512209, 0.514035, 0.515861, 0.517687, 0.519715, 0.521742, 0.525798, 0.528784, 0.53177, 0.537741, 0.546681, 0.548714, 0.55278, 0.558879, 0.562598, 0.567707, 0.570286, 0.572865, 0.575445, 0.580603, 0.583183, 0.588506");
-            values ( \
-              "-0.0521323, -0.0564776, -0.063758, -0.0788346, -0.0913345, -0.10433, -0.109291, -0.118731, -0.132026, -0.149931, -0.163628, -0.1781, -0.187707, -0.192868, -0.199876, -0.207091, -0.213997, -0.218177, -0.222267, -0.224775, -0.225711, -0.22653, -0.227816, -0.228034, -0.227887, -0.227374, -0.22606, -0.224437, -0.222731, -0.220543, -0.217873, -0.21472, -0.210319, -0.205495, -0.194577, -0.184612, -0.174293, -0.152594, -0.117799, -0.110385, -0.0968636, -0.0789906, -0.0693191, -0.0567791, -0.0516158, -0.0468379, -0.042566, -0.0349374, -0.0315808, -0.0255222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.427012, 0.470664, 0.486101, 0.540777, 0.573629, 0.601918, 0.631501, 0.666624, 0.680793, 0.702066, 0.7091, 0.723048, 0.736842, 0.764429, 0.806304, 0.825428, 0.84451, 0.865518, 0.891225, 0.906628, 0.937435, 0.986795, 1.04098");
-            values ( \
-              "-0.013808, -0.0540702, -0.0712599, -0.139883, -0.17533, -0.202032, -0.225709, -0.248836, -0.256261, -0.264741, -0.266365, -0.267707, -0.26438, -0.233812, -0.13699, -0.100064, -0.0712186, -0.0479881, -0.0289606, -0.0213002, -0.0111327, -0.0036896, -0.00104812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.603546, 0.692291, 0.851442, 0.925227, 0.996742, 1.06314, 1.1164, 1.13971, 1.17079, 1.20504, 1.23927, 1.29661, 1.36402, 1.4103, 1.46501, 1.49331, 1.53104, 1.57963, 1.61224, 1.67745, 1.78821, 1.90989");
-            values ( \
-              "-0.0170384, -0.0578843, -0.160871, -0.201541, -0.236517, -0.263265, -0.27958, -0.284995, -0.290192, -0.292148, -0.287708, -0.251105, -0.168846, -0.119401, -0.0756428, -0.059042, -0.0420276, -0.0266996, -0.0196895, -0.0102779, -0.00317719, -0.0007694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.998255, 1.18045, 1.56175, 1.67576, 1.83885, 1.98128, 2.1123, 2.23398, 2.28101, 2.32039, 2.35992, 2.42206, 2.49307, 2.72184, 2.85103, 2.99101, 3.10318, 3.17825, 3.29993, 3.451");
-            values ( \
-              "-0.023931, -0.0579627, -0.177277, -0.208753, -0.247942, -0.275364, -0.29383, -0.303375, -0.304102, -0.302839, -0.299105, -0.285441, -0.253646, -0.126684, -0.07755, -0.0438624, -0.0272755, -0.0197445, -0.0114614, -0.00670946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.49986, 0.507525, 0.50899, 0.510454, 0.511919, 0.513976, 0.515751, 0.523931, 0.525653, 0.529098, 0.531784, 0.534675, 0.53676, 0.538845, 0.540929, 0.543014, 0.543942, 0.544869, 0.545797, 0.546724, 0.547592, 0.54846, 0.550095, 0.550434, 0.551114, 0.552011, 0.55376, 0.555876, 0.557753, 0.562622, 0.563298, 0.564652, 0.566103, 0.566878, 0.568428, 0.569568, 0.570707, 0.571847, 0.572987, 0.574417, 0.575847, 0.577278, 0.584098, 0.585559, 0.587608, 0.59043, 0.592373, 0.594902, 0.595745, 0.597884");
-            values ( \
-              "-0.0153746, -0.0188383, -0.0204982, -0.0223349, -0.0243484, -0.0275505, -0.030844, -0.0504336, -0.0541251, -0.0610887, -0.0661301, -0.0711758, -0.0753987, -0.0799983, -0.0849745, -0.0903274, -0.090569, -0.0909905, -0.0915919, -0.0923733, -0.0932672, -0.0943187, -0.0966392, -0.0975981, -0.0982075, -0.0992365, -0.101819, -0.104587, -0.106735, -0.11189, -0.112256, -0.112736, -0.112878, -0.112795, -0.1123, -0.111432, -0.110207, -0.108623, -0.106682, -0.102608, -0.0964919, -0.0898847, -0.0540356, -0.0472622, -0.038797, -0.0286662, -0.0232479, -0.0176599, -0.0160572, -0.0125257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.517659, 0.53362, 0.53868, 0.543023, 0.554311, 0.560497, 0.572941, 0.583956, 0.593934, 0.598567, 0.603052, 0.606304, 0.609621, 0.612849, 0.615557, 0.617858, 0.619864, 0.622352, 0.625057, 0.62693, 0.630372, 0.640853, 0.646913, 0.651317, 0.653619, 0.658558, 0.662317");
-            values ( \
-              "-0.0105095, -0.0331977, -0.0420152, -0.0512975, -0.0794677, -0.0936814, -0.119781, -0.140213, -0.155812, -0.162049, -0.167285, -0.170533, -0.17313, -0.173844, -0.173998, -0.173559, -0.1714, -0.166925, -0.159604, -0.15306, -0.137731, -0.0831614, -0.0575718, -0.0423936, -0.0362945, -0.0256759, -0.0194903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.560179, 0.588364, 0.626003, 0.646137, 0.664104, 0.677512, 0.693118, 0.707926, 0.718434, 0.722488, 0.729803, 0.737922, 0.771013, 0.779861, 0.795372, 0.809897, 0.821711, 0.840953");
-            values ( \
-              "-0.0157836, -0.0548796, -0.1297, -0.162563, -0.187928, -0.204058, -0.218408, -0.226444, -0.226998, -0.224745, -0.216932, -0.197706, -0.0791691, -0.057465, -0.0315607, -0.0176552, -0.010878, -0.0049973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.658122, 0.684926, 0.765737, 0.806062, 0.834872, 0.863992, 0.89383, 0.914713, 0.936059, 0.949843, 0.97741, 1.03809, 1.06602, 1.09773, 1.11729, 1.14337, 1.17961");
-            values ( \
-              "-0.0544783, -0.0561299, -0.153391, -0.194376, -0.218805, -0.239406, -0.256491, -0.26477, -0.267814, -0.264524, -0.233868, -0.100649, -0.0608535, -0.0329509, -0.0223105, -0.0129988, -0.00656939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.795422, 0.903376, 1.05878, 1.1785, 1.22089, 1.27568, 1.33675, 1.3948, 1.45224, 1.50963, 1.59664, 1.64261, 1.69348, 1.72124, 1.76016, 1.80045, 1.8313, 1.89299, 2.00574, 2.12742");
-            values ( \
-              "-0.00480684, -0.0567738, -0.157542, -0.221876, -0.24142, -0.263068, -0.281415, -0.291311, -0.287868, -0.250639, -0.146426, -0.102098, -0.066138, -0.0517108, -0.0361082, -0.024816, -0.0185554, -0.0100846, -0.00299916, -0.000757174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.25248, 1.39454, 1.77647, 1.88928, 2.05233, 2.19474, 2.32575, 2.44743, 2.4943, 2.53376, 2.57336, 2.63549, 2.70651, 2.93527, 3.06446, 3.20443, 3.31661, 3.39169, 3.51337, 3.66595");
-            values ( \
-              "-0.0468433, -0.0581978, -0.177685, -0.208805, -0.247978, -0.27539, -0.29385, -0.303399, -0.304119, -0.302858, -0.299118, -0.285451, -0.253652, -0.126685, -0.0775507, -0.0438642, -0.0272754, -0.0197435, -0.0114609, -0.00666154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.917333, 0.92778, 0.930377, 0.932849, 0.934023, 0.936372, 0.937696, 0.93902, 0.940343, 0.942991, 0.944315, 0.94698, 0.949645, 0.95198, 0.956651, 0.958986, 0.962785, 0.967107, 0.972683, 0.976898, 0.981113, 0.984882, 0.98865, 0.992139, 0.995628, 0.997305, 0.998981, 1.00065, 1.00233, 1.004, 1.00568, 1.00735, 1.00902, 1.00915, 1.00988, 1.01086, 1.01282, 1.01575, 1.01951, 1.02057, 1.02163, 1.02504, 1.02594, 1.02684, 1.02774, 1.02954, 1.03044, 1.03189, 1.03335, 1.03486");
-            values ( \
-              "-0.0119675, -0.0131366, -0.0149808, -0.0169277, -0.0179301, -0.0200799, -0.0215123, -0.0230655, -0.0248565, -0.0287241, -0.0308007, -0.0355773, -0.0401248, -0.0437842, -0.0508491, -0.0542547, -0.0596141, -0.0655545, -0.0730768, -0.078346, -0.0833424, -0.0875267, -0.0915966, -0.0952625, -0.0988304, -0.0986349, -0.098277, -0.0977569, -0.0970744, -0.0962313, -0.0952265, -0.09406, -0.0927318, -0.0925274, -0.0904056, -0.0872789, -0.0798415, -0.0673837, -0.0509056, -0.0467047, -0.0427143, -0.031056, -0.0283521, -0.0258605, -0.0237061, -0.0197837, -0.0180158, -0.0155247, -0.0131863, -0.0109164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.92899, 0.951166, 0.956845, 0.962364, 0.969723, 0.973731, 0.981746, 0.987881, 1.00015, 1.00601, 1.01772, 1.02386, 1.0315, 1.03682, 1.04208, 1.04673, 1.05191, 1.05676, 1.06016, 1.06135, 1.06374, 1.06726, 1.07106, 1.07607, 1.08731, 1.09156, 1.09555, 1.10133, 1.10645, 1.10867, 1.11312, 1.12201, 1.12287");
-            values ( \
-              "-0.0072631, -0.0149619, -0.0191561, -0.0246198, -0.0331721, -0.0389886, -0.0533768, -0.0656217, -0.0868074, -0.0963983, -0.114239, -0.122957, -0.133006, -0.139399, -0.145152, -0.149643, -0.15374, -0.156285, -0.15683, -0.156574, -0.155415, -0.151257, -0.14273, -0.124108, -0.0734152, -0.0573653, -0.0447739, -0.030599, -0.0214486, -0.0183337, -0.0133255, -0.00682876, -0.00652818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.986649, 1.01053, 1.01995, 1.0226, 1.0279, 1.0385, 1.04362, 1.05386, 1.06437, 1.08306, 1.09222, 1.10226, 1.11284, 1.12256, 1.12563, 1.12897, 1.13213, 1.13662, 1.14193, 1.14884, 1.15975, 1.16457, 1.17231, 1.1799, 1.19372, 1.19602, 1.20064, 1.21594, 1.21792, 1.22117, 1.22549, 1.23397, 1.23632, 1.24102, 1.25041, 1.25732, 1.25991, 1.2651, 1.27547, 1.29621, 1.31053");
-            values ( \
-              "-0.00659846, -0.0227603, -0.0315528, -0.0343408, -0.0401981, -0.0536612, -0.0617511, -0.0792603, -0.0974175, -0.1259, -0.13929, -0.153047, -0.166838, -0.178772, -0.182354, -0.186094, -0.189449, -0.194026, -0.19904, -0.204914, -0.212164, -0.214359, -0.216276, -0.214956, -0.197466, -0.191645, -0.177057, -0.120953, -0.11402, -0.10327, -0.0899241, -0.067269, -0.0618804, -0.0521932, -0.036562, -0.0279805, -0.0252664, -0.0205966, -0.0135319, -0.00557774, -0.00339197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.08531, 1.14232, 1.17195, 1.20248, 1.24526, 1.27214, 1.29518, 1.33122, 1.36134, 1.38201, 1.38983, 1.40387, 1.41773, 1.44543, 1.49671, 1.52166, 1.54634, 1.57236, 1.58809, 1.61956, 1.66851, 1.72307");
-            values ( \
-              "-0.00549601, -0.0464639, -0.0768809, -0.115342, -0.162769, -0.189782, -0.210152, -0.236702, -0.254348, -0.262832, -0.264874, -0.266337, -0.263296, -0.23309, -0.117899, -0.0764899, -0.0482474, -0.0289628, -0.021128, -0.0109127, -0.00363408, -0.00104852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.30834, 1.37631, 1.53763, 1.60472, 1.68106, 1.74738, 1.80839, 1.86644, 1.92387, 1.98124, 2.06826, 2.11417, 2.16511, 2.19285, 2.23176, 2.27203, 2.30285, 2.36448, 2.47697, 2.59865");
-            values ( \
-              "-0.0401365, -0.0577374, -0.161993, -0.199016, -0.23652, -0.263231, -0.281507, -0.291416, -0.287915, -0.250693, -0.146412, -0.102126, -0.0661343, -0.051695, -0.0361161, -0.0248311, -0.0185548, -0.0101046, -0.00300353, -0.000770188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.696, 1.86564, 2.23438, 2.36113, 2.52404, 2.66647, 2.79749, 2.91917, 2.96619, 3.00558, 3.04511, 3.10725, 3.17827, 3.40703, 3.53622, 3.6762, 3.78837, 3.86344, 3.98512, 4.12892");
-            values ( \
-              "-0.0299937, -0.0579622, -0.173643, -0.208806, -0.247949, -0.275369, -0.293835, -0.303373, -0.304103, -0.30284, -0.299106, -0.285441, -0.253646, -0.126684, -0.0775498, -0.0438628, -0.0272753, -0.0197446, -0.0114611, -0.00693773" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0123174, 0.0123321, 0.0123495, 0.0123645, 0.0123744, 0.0123798", \
-            "0.0146429, 0.0146506, 0.0146621, 0.0146743, 0.0146835, 0.0146889", \
-            "0.0160682, 0.0160708, 0.0160762, 0.0160838, 0.0160909, 0.0160957", \
-            "0.0169173, 0.0169181, 0.0169198, 0.0169229, 0.016927, 0.0169306", \
-            "0.0173771, 0.0173774, 0.0173779, 0.0173788, 0.0173805, 0.0173825", \
-            "0.0176263, 0.0176268, 0.0176274, 0.0176282, 0.017629, 0.01763" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0168334, 0.0168445, 0.0168607, 0.0168762, 0.0168873, 0.0168935", \
-            "0.0190075, 0.0190167, 0.0190291, 0.0190414, 0.0190504, 0.0190555", \
-            "0.0205759, 0.0205868, 0.0205993, 0.0206104, 0.0206181, 0.0206224", \
-            "0.0218742, 0.021851, 0.0218257, 0.0218064, 0.0217947, 0.0217885", \
-            "0.0229364, 0.0228201, 0.0226702, 0.0225286, 0.0224293, 0.0223686", \
-            "0.0237091, 0.0236006, 0.0233545, 0.0230341, 0.0227783, 0.022628" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.127638, 0.160754, 0.231993, 0.388091, 0.734439, 1.50632", \
-            "0.132177, 0.165458, 0.236746, 0.392923, 0.738969, 1.51109", \
-            "0.142373, 0.175665, 0.247166, 0.403596, 0.749962, 1.52179", \
-            "0.165481, 0.198847, 0.270424, 0.427048, 0.77363, 1.5459", \
-            "0.202365, 0.241719, 0.320324, 0.479809, 0.826901, 1.59887", \
-            "0.235088, 0.285779, 0.385322, 0.577401, 0.944384, 1.71745" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0348284, 0.0532999, 0.094031, 0.184864, 0.385727, 0.835868", \
-            "0.0348206, 0.0531456, 0.0939418, 0.184742, 0.385872, 0.835943", \
-            "0.0347473, 0.0531337, 0.0938204, 0.184699, 0.385548, 0.835576", \
-            "0.0348268, 0.0530981, 0.0936585, 0.184562, 0.385515, 0.835594", \
-            "0.0388875, 0.0566271, 0.0953493, 0.184817, 0.385382, 0.835619", \
-            "0.0474785, 0.0659847, 0.10566, 0.191629, 0.386313, 0.835338" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.156295, 0.196875, 0.283923, 0.473588, 0.893165, 1.82931", \
-            "0.162136, 0.202866, 0.290103, 0.480032, 0.899881, 1.83591", \
-            "0.175521, 0.216232, 0.303393, 0.493778, 0.914295, 1.85036", \
-            "0.20488, 0.245369, 0.332521, 0.522757, 0.94327, 1.88016", \
-            "0.263786, 0.307675, 0.396553, 0.587878, 1.00857, 1.94553", \
-            "0.361058, 0.413703, 0.518719, 0.727399, 1.15307, 2.09052" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0368188, 0.0560129, 0.0963657, 0.183728, 0.374654, 0.796873", \
-            "0.036816, 0.0559748, 0.0964905, 0.183724, 0.374618, 0.797932", \
-            "0.036778, 0.0559133, 0.0966084, 0.18371, 0.374381, 0.796872", \
-            "0.036578, 0.0557601, 0.0963256, 0.183648, 0.374613, 0.796856", \
-            "0.0385469, 0.0571568, 0.096697, 0.183236, 0.374284, 0.7979", \
-            "0.0443896, 0.0627834, 0.102322, 0.18569, 0.374234, 0.796744" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0984856, 0.103505, 0.113997, 0.121869, 0.128223, 0.133889, 0.139242, 0.144517, 0.149788, 0.159668, 0.169092, 0.1794, 0.187796, 0.193742, 0.200304, 0.213819, 0.222704, 0.230503");
-            values ( \
-              "0.0220734, 0.0301943, 0.0712316, 0.0953316, 0.111266, 0.119917, 0.124888, 0.123852, 0.115006, 0.0672326, 0.0372073, 0.018612, 0.0108137, 0.00711359, 0.00471395, 0.00211985, 0.00147375, 0.00127931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.104804, 0.116799, 0.146291, 0.155793, 0.16433, 0.172458, 0.180543, 0.188624, 0.205913, 0.217877, 0.231191, 0.242417, 0.25841, 0.280028, 0.280853");
-            values ( \
-              "0.0170558, 0.0362954, 0.143504, 0.166161, 0.178312, 0.183579, 0.180473, 0.164215, 0.0932033, 0.0582866, 0.0330777, 0.0199056, 0.00963169, 0.00423087, 0.0041905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.12963, 0.149715, 0.174827, 0.198684, 0.214974, 0.229769, 0.244092, 0.25866, 0.268756, 0.30173, 0.324891, 0.342917, 0.366255, 0.395612, 0.419593");
-            values ( \
-              "0.0183303, 0.0499607, 0.129113, 0.188904, 0.216354, 0.22892, 0.232938, 0.225808, 0.211261, 0.125143, 0.0761884, 0.0496913, 0.0276486, 0.0127203, 0.0076694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.17466, 0.201095, 0.218862, 0.266438, 0.28898, 0.312528, 0.327112, 0.348929, 0.372233, 0.389837, 0.400344, 0.421357, 0.443368, 0.555065, 0.599732, 0.634747, 0.671629, 0.693932, 0.738538, 0.751154");
-            values ( \
-              "0.0141891, 0.0319305, 0.0584808, 0.152089, 0.190221, 0.221677, 0.236654, 0.252909, 0.262094, 0.26387, 0.26314, 0.258002, 0.243593, 0.0938176, 0.0540732, 0.0340283, 0.0204572, 0.0149198, 0.00779403, 0.00688259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.3282, 0.378314, 0.463203, 0.491122, 0.523578, 0.564885, 0.60361, 0.627208, 0.657188, 0.687343, 0.729454, 0.745942, 0.775135, 0.805363, 0.853584, 0.902226, 0.982699, 1.05878, 1.10432, 1.15637, 1.19564, 1.22367, 1.27972, 1.31301, 1.36171, 1.42666, 1.53913, 1.6516, 1.76407");
-            values ( \
-              "0.0620372, 0.0707225, 0.160642, 0.186617, 0.213479, 0.240925, 0.259773, 0.268878, 0.277164, 0.282635, 0.284782, 0.284207, 0.280438, 0.273074, 0.250966, 0.217836, 0.157131, 0.106734, 0.0825422, 0.0603044, 0.0472246, 0.0395962, 0.0273602, 0.0219583, 0.0158503, 0.0102483, 0.00451631, 0.00207493, 0.00085186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.609486, 0.724991, 0.846925, 0.95165, 1.04289, 1.12166, 1.17666, 1.25043, 1.28399, 1.32873, 1.39103, 1.5035, 1.54657, 1.59582, 1.64705, 1.7495, 1.86122, 2.10251, 2.19345, 2.32932, 2.42389, 2.50834, 2.62081, 2.71365, 2.81514, 2.92761, 3.04008, 3.15255, 3.26502, 3.48996, 3.7149");
-            values ( \
-              "0.0566504, 0.0725407, 0.136291, 0.186814, 0.223883, 0.249864, 0.264306, 0.27905, 0.284056, 0.289213, 0.29371, 0.294574, 0.292401, 0.288118, 0.281236, 0.258824, 0.223251, 0.138911, 0.111607, 0.0781611, 0.0601094, 0.0471889, 0.0337763, 0.0255676, 0.0187736, 0.0132102, 0.00932778, 0.00651441, 0.00460036, 0.00226426, 0.00111686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.112858, 0.121555, 0.140402, 0.148309, 0.152423, 0.157772, 0.163045, 0.168316, 0.17822, 0.187598, 0.197905, 0.206342, 0.218782, 0.238717");
-            values ( \
-              "0.0131866, 0.0270854, 0.0953171, 0.113707, 0.120034, 0.124544, 0.124162, 0.114784, 0.0671351, 0.0372556, 0.0186293, 0.0107931, 0.00472717, 0.0018016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.127381, 0.137084, 0.16472, 0.174174, 0.182679, 0.190784, 0.198849, 0.206912, 0.224107, 0.236196, 0.249488, 0.260588, 0.276647, 0.298126, 0.315034");
-            values ( \
-              "0.020479, 0.0435691, 0.144518, 0.166823, 0.178927, 0.184017, 0.180885, 0.164461, 0.0935701, 0.0582006, 0.0330415, 0.0199863, 0.00963449, 0.00425368, 0.00340907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.1492, 0.17005, 0.193956, 0.217346, 0.233625, 0.248404, 0.262711, 0.27699, 0.287375, 0.320305, 0.343446, 0.361446, 0.384802, 0.414108, 0.440802");
-            values ( \
-              "0.018789, 0.0543462, 0.130287, 0.189261, 0.216394, 0.229337, 0.233018, 0.226374, 0.211397, 0.125214, 0.0762375, 0.049742, 0.0276567, 0.0127379, 0.00708178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.197159, 0.230202, 0.246131, 0.292643, 0.30951, 0.331092, 0.343179, 0.361974, 0.377005, 0.387557, 0.397415, 0.408212, 0.418936, 0.439704, 0.447471, 0.461924, 0.478123, 0.543775, 0.57185, 0.605369, 0.623373, 0.645928, 0.676002, 0.689797, 0.711049, 0.739385, 0.791174");
-            values ( \
-              "0.0150468, 0.0461775, 0.0740342, 0.165308, 0.193127, 0.221734, 0.234427, 0.249826, 0.25781, 0.261596, 0.263427, 0.26389, 0.263529, 0.258108, 0.254441, 0.243599, 0.225524, 0.13031, 0.0957683, 0.0637429, 0.0506114, 0.0375521, 0.0248055, 0.0204935, 0.015219, 0.0101645, 0.00481899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.333831, 0.395406, 0.447266, 0.483863, 0.501901, 0.541841, 0.562149, 0.578366, 0.594906, 0.612834, 0.645867, 0.664596, 0.696857, 0.706005, 0.724301, 0.764542, 0.823049, 0.839967, 0.858013, 0.888434, 1.00559, 1.05002, 1.07826, 1.11936, 1.16634, 1.19317, 1.22422, 1.2609, 1.31187, 1.33255, 1.37389, 1.45658, 1.56905, 1.68153, 1.794");
-            values ( \
-              "0.039576, 0.0683851, 0.124365, 0.161986, 0.178884, 0.21271, 0.227254, 0.237615, 0.246719, 0.255523, 0.268647, 0.274322, 0.281204, 0.282476, 0.284203, 0.28413, 0.273528, 0.26725, 0.258856, 0.240848, 0.154178, 0.12358, 0.106354, 0.0842987, 0.0637045, 0.0540156, 0.0444491, 0.0351068, 0.0251225, 0.0218999, 0.0166198, 0.00937634, 0.00420348, 0.00185304, 0.000833966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.659269, 0.789614, 0.896111, 0.971043, 1.04629, 1.14018, 1.19549, 1.28033, 1.39052, 1.44826, 1.52524, 1.55853, 1.60292, 1.66555, 1.77802, 1.86239, 2.06152, 2.22216, 2.29501, 2.36126, 2.44758, 2.51697, 2.60148, 2.71395, 2.79902, 2.95575, 3.06822, 3.18069, 3.29316, 3.5181, 3.74304");
-            values ( \
-              "0.0783114, 0.096037, 0.151593, 0.187098, 0.218131, 0.249921, 0.26433, 0.280975, 0.292775, 0.29508, 0.29457, 0.292935, 0.289258, 0.281356, 0.256013, 0.229214, 0.158723, 0.108746, 0.090129, 0.0753526, 0.0592553, 0.0485847, 0.0378584, 0.0270376, 0.0208398, 0.012835, 0.00907853, 0.00632149, 0.00448214, 0.00221184, 0.0010972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.156715, 0.18138, 0.187713, 0.193367, 0.198705, 0.203968, 0.209225, 0.219089, 0.228504, 0.2388, 0.247103, 0.257334, 0.273335, 0.289821");
-            values ( \
-              "0.0104553, 0.0958555, 0.111284, 0.120464, 0.124983, 0.124377, 0.115048, 0.067327, 0.0372502, 0.018642, 0.0108908, 0.00550006, 0.00211079, 0.00151209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.166698, 0.17448, 0.177844, 0.20588, 0.215331, 0.223843, 0.231944, 0.240007, 0.248066, 0.265262, 0.277346, 0.290631, 0.301712, 0.317771, 0.339114, 0.351173");
-            values ( \
-              "0.019579, 0.031786, 0.0415575, 0.144465, 0.166752, 0.179015, 0.184047, 0.181014, 0.164491, 0.0935832, 0.0582075, 0.0330462, 0.020004, 0.00964301, 0.00427745, 0.00305868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.192215, 0.200425, 0.208864, 0.238751, 0.258548, 0.274779, 0.289534, 0.303823, 0.318084, 0.324175, 0.333522, 0.355841, 0.376312, 0.395019, 0.416709, 0.440276, 0.464061, 0.485259, 0.48991");
-            values ( \
-              "0.0208856, 0.0301225, 0.0490288, 0.141479, 0.19012, 0.216678, 0.229828, 0.233113, 0.226711, 0.219041, 0.200222, 0.139342, 0.0914222, 0.0596324, 0.0349641, 0.0189158, 0.0100063, 0.00556016, 0.00504321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.260235, 0.282888, 0.332339, 0.352321, 0.371639, 0.389298, 0.402944, 0.418096, 0.43287, 0.447988, 0.459885, 0.481572, 0.502103, 0.519055, 0.586194, 0.612769, 0.644237, 0.664172, 0.689024, 0.727565, 0.75009, 0.775833, 0.827319, 0.869438");
-            values ( \
-              "0.0644381, 0.0664302, 0.163577, 0.19591, 0.221307, 0.239338, 0.24985, 0.258153, 0.262642, 0.264119, 0.263556, 0.257933, 0.24446, 0.225534, 0.12831, 0.0957741, 0.0654199, 0.0506879, 0.036456, 0.0214245, 0.015647, 0.0108376, 0.00503755, 0.00312463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.369059, 0.438167, 0.489518, 0.543873, 0.583043, 0.619639, 0.658757, 0.682473, 0.697884, 0.718433, 0.74769, 0.793527, 0.806267, 0.831748, 0.86567, 0.911229, 0.963748, 1.04203, 1.08698, 1.12617, 1.17195, 1.22006, 1.26463, 1.32406, 1.3586, 1.39754, 1.44945, 1.55327, 1.66574, 1.77821, 1.89068");
-            values ( \
-              "0.0264994, 0.0697338, 0.125246, 0.179435, 0.212596, 0.237605, 0.257685, 0.267089, 0.272138, 0.277573, 0.282651, 0.284957, 0.28426, 0.281322, 0.273127, 0.252675, 0.217044, 0.157898, 0.12649, 0.102579, 0.0789688, 0.0591167, 0.0447456, 0.0303502, 0.0242391, 0.018721, 0.0131366, 0.0063475, 0.00278949, 0.001273, 0.000527554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.717252, 0.871117, 0.978725, 1.06136, 1.15386, 1.18208, 1.23853, 1.31352, 1.37267, 1.43739, 1.45149, 1.47968, 1.52888, 1.57783, 1.67573, 1.70725, 1.77029, 1.84739, 1.95986, 2.11797, 2.23045, 2.27447, 2.37837, 2.48184, 2.57207, 2.68454, 2.77519, 2.87329, 2.98576, 3.09824, 3.21071, 3.32318, 3.54812, 3.77306");
-            values ( \
-              "0.0847644, 0.11734, 0.171488, 0.20771, 0.241359, 0.249978, 0.264752, 0.279617, 0.287607, 0.293096, 0.293794, 0.29488, 0.295269, 0.294018, 0.285849, 0.281303, 0.268807, 0.247711, 0.209582, 0.153716, 0.118088, 0.1058, 0.0805945, 0.0603945, 0.0466187, 0.0334466, 0.0253934, 0.0188289, 0.0133308, 0.00933258, 0.00659677, 0.00458008, 0.00223212, 0.00107949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.245607, 0.249058, 0.253125, 0.257455, 0.264759, 0.269172, 0.272681, 0.27905, 0.284724, 0.290072, 0.295341, 0.300605, 0.310499, 0.313548, 0.317618, 0.319897, 0.324272, 0.328934, 0.332352, 0.339009, 0.345277, 0.348828, 0.354482, 0.36093, 0.369526, 0.387938, 0.393142");
-            values ( \
-              "0.0115353, 0.0162074, 0.0241922, 0.0400031, 0.069414, 0.0847578, 0.0950137, 0.110919, 0.120087, 0.124873, 0.124186, 0.115046, 0.0671491, 0.055452, 0.0429049, 0.0371762, 0.0279606, 0.0204256, 0.0163691, 0.0104687, 0.00680776, 0.00542245, 0.00384485, 0.00267256, 0.0016893, 0.00134455, 0.00111001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.26362, 0.2703, 0.285655, 0.297264, 0.306703, 0.3152, 0.323296, 0.331355, 0.33941, 0.353283, 0.364395, 0.37416, 0.389618, 0.406719, 0.430536, 0.442791");
-            values ( \
-              "0.042001, 0.0461204, 0.107203, 0.144775, 0.166991, 0.179149, 0.184167, 0.181057, 0.164528, 0.105821, 0.0690692, 0.0463665, 0.0234231, 0.0106937, 0.0042567, 0.00302013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.287102, 0.293367, 0.301505, 0.330189, 0.349925, 0.362228, 0.366079, 0.37378, 0.380818, 0.394896, 0.400629, 0.406548, 0.41497, 0.423347, 0.451252, 0.470206, 0.48631, 0.496678, 0.507475, 0.521871, 0.531285, 0.541928, 0.556119, 0.577169, 0.598733");
-            values ( \
-              "0.0245974, 0.0342054, 0.0532139, 0.142515, 0.190753, 0.211632, 0.216976, 0.224818, 0.229909, 0.233075, 0.231952, 0.22878, 0.21973, 0.203667, 0.12863, 0.0863709, 0.0595479, 0.0463134, 0.0353906, 0.0243744, 0.0190339, 0.0143488, 0.00977301, 0.0054635, 0.00309436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.336501, 0.362497, 0.380306, 0.425754, 0.443196, 0.464031, 0.47506, 0.494893, 0.509913, 0.520498, 0.530181, 0.541022, 0.551792, 0.572253, 0.580304, 0.594744, 0.610939, 0.662444, 0.699511, 0.730273, 0.750679, 0.768465, 0.786354, 0.822837, 0.844712, 0.888461, 0.938143");
-            values ( \
-              "0.0186977, 0.046083, 0.0770984, 0.166188, 0.194774, 0.222199, 0.233843, 0.250178, 0.258143, 0.261879, 0.263658, 0.26415, 0.263736, 0.258429, 0.254601, 0.243759, 0.225625, 0.150105, 0.101562, 0.0704163, 0.0543327, 0.0430546, 0.0338677, 0.0204632, 0.0150311, 0.00794117, 0.00424785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.434747, 0.49836, 0.530262, 0.635794, 0.674604, 0.710296, 0.758143, 0.779486, 0.8082, 0.839587, 0.880499, 0.898152, 0.927325, 0.957535, 1.00369, 1.05563, 1.17879, 1.21802, 1.26386, 1.31192, 1.35645, 1.41582, 1.45042, 1.4894, 1.54138, 1.64534, 1.75781");
-            values ( \
-              "0.0105053, 0.0421807, 0.0700921, 0.179501, 0.21241, 0.236932, 0.260927, 0.268886, 0.277126, 0.282683, 0.285069, 0.284269, 0.280705, 0.273128, 0.252357, 0.217044, 0.126524, 0.102586, 0.0789489, 0.0591137, 0.0447544, 0.0303706, 0.0242434, 0.0187172, 0.0131307, 0.00633476, 0.00278667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.749131, 0.877789, 1.02091, 1.10517, 1.17982, 1.27419, 1.33119, 1.40513, 1.46772, 1.54351, 1.65598, 1.69874, 1.74807, 1.79938, 1.90147, 2.01347, 2.25474, 2.34565, 2.48149, 2.57605, 2.66049, 2.77297, 2.86578, 2.96724, 3.07971, 3.19218, 3.30465, 3.41712, 3.64206, 3.867");
-            values ( \
-              "0.0434511, 0.0725345, 0.147105, 0.187226, 0.218097, 0.24999, 0.264886, 0.279552, 0.287938, 0.293872, 0.294676, 0.292513, 0.288262, 0.281315, 0.259011, 0.223332, 0.138907, 0.111647, 0.0781507, 0.0601417, 0.0472178, 0.0337565, 0.0255937, 0.0187994, 0.0131902, 0.0093508, 0.006493, 0.00462227, 0.00228568, 0.00113802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.416991, 0.431648, 0.434775, 0.457372, 0.464947, 0.471506, 0.477523, 0.483343, 0.489152, 0.502235, 0.508347, 0.518544, 0.52729, 0.532415, 0.538273, 0.555663, 0.571222, 0.575371");
-            values ( \
-              "0.00145898, 0.0164346, 0.0212281, 0.0785703, 0.0944373, 0.105562, 0.11189, 0.113829, 0.107556, 0.0544949, 0.0376764, 0.0197338, 0.0112707, 0.00793489, 0.00551431, 0.00184566, 0.00168239, 0.00150381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.434634, 0.454813, 0.460719, 0.480881, 0.498833, 0.508106, 0.516766, 0.525271, 0.533764, 0.550131, 0.562065, 0.576106, 0.5907, 0.598686, 0.609214, 0.633967, 0.639967");
-            values ( \
-              "0.00384642, 0.0305659, 0.0447433, 0.106387, 0.151016, 0.165992, 0.173389, 0.172971, 0.159219, 0.0959754, 0.0607122, 0.033859, 0.0177079, 0.0124051, 0.00819137, 0.00305013, 0.0025411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.482115, 0.497382, 0.503317, 0.535944, 0.546887, 0.558769, 0.565663, 0.573731, 0.580797, 0.596088, 0.600732, 0.609751, 0.615407, 0.625232, 0.653587, 0.664269, 0.676605, 0.694028, 0.703393, 0.717794, 0.73076, 0.746926, 0.764621, 0.78727, 0.814284, 0.848218, 0.895694");
-            values ( \
-              "0.0399746, 0.0465726, 0.0604923, 0.151264, 0.177016, 0.199254, 0.209652, 0.219153, 0.224935, 0.230011, 0.229318, 0.22438, 0.218061, 0.199459, 0.124135, 0.0995666, 0.0758969, 0.0502933, 0.0399213, 0.0276567, 0.0196759, 0.0127839, 0.00786489, 0.00420843, 0.00197633, 0.000786821, 0.000203718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.550161, 0.576315, 0.619798, 0.628353, 0.645464, 0.66946, 0.679325, 0.697939, 0.708859, 0.718066, 0.7268, 0.741003, 0.754977, 0.774792, 0.783476, 0.797919, 0.814126, 0.868755, 0.884151, 0.907833, 0.932564, 0.953484, 0.972386, 0.99759, 1.01732, 1.03901, 1.06793, 1.1211, 1.20047, 1.29659");
-            values ( \
-              "0.0510562, 0.0622268, 0.148858, 0.164123, 0.192377, 0.223888, 0.234121, 0.249502, 0.255611, 0.259757, 0.261829, 0.263987, 0.263181, 0.258742, 0.254199, 0.243887, 0.225333, 0.145574, 0.124489, 0.0957794, 0.0711987, 0.0545993, 0.0426417, 0.0302928, 0.0230852, 0.0170679, 0.011283, 0.00511404, 0.00139007, 0.000281534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.682646, 0.735108, 0.785801, 0.839889, 0.877654, 0.918336, 0.942137, 0.963563, 0.983394, 1.01228, 1.04346, 1.08462, 1.10201, 1.13117, 1.16138, 1.20698, 1.25944, 1.33771, 1.38266, 1.42184, 1.46762, 1.51572, 1.56029, 1.61972, 1.65426, 1.69318, 1.74507, 1.84886, 1.96133, 2.07381, 2.18628");
-            values ( \
-              "0.0552984, 0.0714105, 0.126173, 0.180003, 0.212001, 0.2395, 0.252108, 0.261725, 0.26906, 0.2773, 0.2828, 0.285143, 0.284348, 0.280759, 0.273179, 0.252689, 0.217072, 0.157912, 0.126499, 0.10259, 0.0789793, 0.0591223, 0.044749, 0.0303524, 0.0242414, 0.0187242, 0.01314, 0.00635035, 0.00279058, 0.00127338, 0.000527612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.924731, 1.08068, 1.21371, 1.30721, 1.40789, 1.47774, 1.53417, 1.607, 1.68162, 1.74704, 1.85951, 1.90244, 1.95172, 2.00296, 2.10481, 2.21705, 2.45833, 2.54924, 2.68507, 2.77963, 2.86408, 2.97655, 3.06936, 3.1708, 3.28328, 3.39575, 3.50822, 3.62069, 3.84563, 4.07057");
-            values ( \
-              "0.0235965, 0.0720699, 0.14155, 0.186469, 0.22711, 0.249874, 0.264746, 0.279182, 0.289028, 0.293784, 0.294707, 0.292536, 0.288197, 0.281353, 0.259029, 0.223291, 0.138944, 0.111611, 0.0781858, 0.0601097, 0.0471877, 0.0337876, 0.025564, 0.0187716, 0.013219, 0.00932314, 0.00652084, 0.00459503, 0.00225898, 0.00111192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.763933, 0.780687, 0.7921, 0.823076, 0.835485, 0.843007, 0.850102, 0.857128, 0.864146, 0.870421, 0.882432, 0.89077, 0.899919, 0.911925, 0.926307, 0.952427");
-            values ( \
-              "0.00592265, 0.00972297, 0.0192004, 0.0692384, 0.0838941, 0.0903348, 0.094344, 0.09244, 0.0755173, 0.0531745, 0.0269177, 0.0164332, 0.00939792, 0.0046651, 0.00245193, 0.00124629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.788885, 0.811488, 0.818567, 0.829388, 0.853647, 0.86932, 0.877661, 0.883397, 0.893667, 0.903472, 0.913259, 0.920457, 0.931183, 0.938465, 0.944376, 0.952196, 0.958421, 0.970153, 0.978136, 0.986924, 1.00091, 1.00926, 1.01874, 1.04163, 1.08018");
-            values ( \
-              "0.00764851, 0.0183853, 0.0256248, 0.0422697, 0.0908893, 0.117596, 0.130273, 0.137901, 0.148345, 0.152274, 0.144766, 0.124364, 0.0884075, 0.0683949, 0.0551186, 0.040567, 0.0313963, 0.0190037, 0.0135804, 0.00961384, 0.00556553, 0.00404445, 0.00272055, 0.00112026, 0.000343441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.87317, 0.90545, 0.913038, 0.923293, 0.935616, 0.943971, 0.952465, 0.959928, 0.963144, 0.96636, 0.971859, 0.977358, 0.980878, 0.984398, 0.987918, 0.991438, 0.99355, 0.997773, 1.00179, 1.0058, 1.00755, 1.0093, 1.01104, 1.01279, 1.01454, 1.01629, 1.01865, 1.021, 1.02336, 1.02572, 1.02892, 1.03532, 1.04153, 1.05363, 1.05667, 1.06237, 1.06806, 1.07531, 1.08013, 1.08254, 1.08449, 1.09031, 1.09536, 1.10311, 1.1085, 1.11588, 1.1218, 1.12872, 1.13218, 1.13763");
-            values ( \
-              "0.0761307, 0.0850354, 0.0997815, 0.119088, 0.140681, 0.154479, 0.167957, 0.179452, 0.184099, 0.18848, 0.194032, 0.198885, 0.201625, 0.204078, 0.206246, 0.208127, 0.209082, 0.209417, 0.209494, 0.209336, 0.20884, 0.208172, 0.207085, 0.205745, 0.204151, 0.202303, 0.199179, 0.195748, 0.192008, 0.187961, 0.181573, 0.16789, 0.153376, 0.123796, 0.116844, 0.104697, 0.0933866, 0.08011, 0.0717684, 0.0678819, 0.0650564, 0.0570623, 0.0508317, 0.0418861, 0.0363372, 0.0303581, 0.0261958, 0.0219576, 0.020072, 0.0174002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.952207, 0.998657, 1.0656, 1.09157, 1.10887, 1.12565, 1.14201, 1.16047, 1.17728, 1.18535, 1.19986, 1.21583, 1.22796, 1.23647, 1.26197, 1.32085, 1.33991, 1.36209, 1.38492, 1.40599, 1.43409, 1.45093, 1.46986, 1.49511, 1.54561, 1.62248, 1.71535");
-            values ( \
-              "0.0375268, 0.0640668, 0.165459, 0.19984, 0.218763, 0.233758, 0.244311, 0.251924, 0.255077, 0.255268, 0.254172, 0.248797, 0.240854, 0.233133, 0.201018, 0.1179, 0.0954649, 0.0733344, 0.0550193, 0.0417841, 0.0284994, 0.0226183, 0.01738, 0.01214, 0.00575145, 0.00166291, 0.000352764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.10638, 1.17779, 1.26346, 1.28828, 1.33654, 1.36791, 1.40298, 1.41885, 1.4334, 1.46062, 1.4937, 1.53387, 1.55237, 1.58159, 1.61181, 1.65713, 1.70993, 1.82095, 1.8626, 1.89188, 1.92484, 1.96828, 2.00708, 2.05881, 2.10127, 2.14769, 2.20958, 2.31394, 2.42641, 2.53888");
-            values ( \
-              "0.0302364, 0.0628731, 0.152181, 0.175986, 0.216491, 0.237441, 0.255691, 0.262383, 0.267871, 0.275784, 0.28209, 0.284501, 0.283934, 0.280291, 0.272978, 0.252619, 0.216905, 0.134745, 0.108248, 0.0919733, 0.0759812, 0.0583449, 0.0457874, 0.0328243, 0.0247898, 0.0182081, 0.011988, 0.00569689, 0.00256322, 0.00110716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.46627, 1.60523, 1.71725, 1.80825, 1.86565, 1.89991, 1.92942, 1.98323, 2.05675, 2.09436, 2.1445, 2.19864, 2.3069, 2.32538, 2.36235, 2.43628, 2.49017, 2.56204, 2.66034, 2.84382, 2.91319, 3.00181, 3.06247, 3.13507, 3.23043, 3.31664, 3.42911, 3.52126, 3.62167, 3.73414, 3.84661, 3.95908, 4.07155, 4.2965, 4.52144");
-            values ( \
-              "0.0917293, 0.110314, 0.167331, 0.207579, 0.229461, 0.240954, 0.250039, 0.264133, 0.279, 0.284597, 0.290067, 0.293882, 0.294772, 0.294153, 0.291884, 0.284179, 0.274892, 0.257317, 0.226031, 0.160914, 0.137878, 0.111242, 0.0952545, 0.0785287, 0.0601692, 0.0469849, 0.0337108, 0.0254843, 0.0187625, 0.0132813, 0.009299, 0.00657165, 0.00456329, 0.00222365, 0.001075" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.012669, 0.0127195, 0.0127793, 0.0128308, 0.0128643, 0.0128825", \
-            "0.0159057, 0.0159695, 0.0160574, 0.0161463, 0.0162121, 0.0162505", \
-            "0.0179772, 0.0180305, 0.0181176, 0.0182221, 0.0183125, 0.0183706", \
-            "0.0192416, 0.0192565, 0.0192925, 0.019355, 0.0194253, 0.0194789", \
-            "0.0200989, 0.0200683, 0.02003, 0.0200029, 0.0200026, 0.0200181", \
-            "0.0207573, 0.0207067, 0.0206197, 0.020501, 0.020391, 0.020325" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0189135, 0.0189573, 0.0190283, 0.0191044, 0.0191612, 0.0191942", \
-            "0.0200709, 0.0200413, 0.0200195, 0.020015, 0.0200195, 0.0200243", \
-            "0.0197952, 0.0196754, 0.0195076, 0.0193404, 0.0192108, 0.0191334", \
-            "0.0194119, 0.0192529, 0.019021, 0.0187608, 0.0185354, 0.0183854", \
-            "0.019605, 0.0193977, 0.0190621, 0.018661, 0.0183027, 0.0180709", \
-            "0.0169707, 0.0172028, 0.0178718, 0.0189107, 0.0184909, 0.0181107" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.118918, 0.139269, 0.148148, 0.155402, 0.167799, 0.173501, 0.179099, 0.184963, 0.200376, 0.206371, 0.211698, 0.216131, 0.221311");
-            values ( \
-              "-0.00208287, -0.0629911, -0.0836292, -0.0971691, -0.113258, -0.117048, -0.117349, -0.105156, -0.0306545, -0.0157057, -0.00850252, -0.00504913, -0.00311248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.138102, 0.148375, 0.148906, 0.149472, 0.150605, 0.152869, 0.156544, 0.16263, 0.165657, 0.171711, 0.179076, 0.189953, 0.190063, 0.190283, 0.19336, 0.196716, 0.199822, 0.204564, 0.208897, 0.217562, 0.226097, 0.234624, 0.235079, 0.235989, 0.23781, 0.241451, 0.245927, 0.246919, 0.248904, 0.252052, 0.256177, 0.257365, 0.25974, 0.263323, 0.268466, 0.270242, 0.270773, 0.271836, 0.27396, 0.278208, 0.285869");
-            values ( \
-              "-0.0279037, -0.0442732, -0.0452729, -0.0464449, -0.0490085, -0.0548014, -0.0660012, -0.0861729, -0.0937093, -0.109011, -0.123971, -0.143713, -0.143767, -0.144013, -0.148546, -0.153166, -0.157116, -0.162515, -0.16673, -0.172646, -0.172382, -0.155121, -0.153484, -0.149826, -0.141585, -0.122666, -0.0987719, -0.0937236, -0.084, -0.0698531, -0.0539288, -0.0499348, -0.0426584, -0.0333743, -0.0231431, -0.020365, -0.0195952, -0.0181397, -0.0155141, -0.0112715, -0.00629376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.169259, 0.215565, 0.230943, 0.244229, 0.26077, 0.279744, 0.295325, 0.310154, 0.324857, 0.336636, 0.340358, 0.369931, 0.384517, 0.393394, 0.400677, 0.410645, 0.423936, 0.440773, 0.462121, 0.508388");
-            values ( \
-              "-0.00458123, -0.11136, -0.140403, -0.161443, -0.183889, -0.205031, -0.21837, -0.226082, -0.224468, -0.206534, -0.196832, -0.0891545, -0.0530858, -0.0378569, -0.0284425, -0.0190824, -0.0109845, -0.00537543, -0.00222458, -0.000461747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.238441, 0.292278, 0.341725, 0.373518, 0.407347, 0.44058, 0.468329, 0.493531, 0.508063, 0.52341, 0.540844, 0.564659, 0.620992, 0.644846, 0.668615, 0.686969, 0.706117, 0.720804, 0.750178, 0.797129, 0.847191");
-            values ( \
-              "-0.00775836, -0.072083, -0.139227, -0.174259, -0.206315, -0.232572, -0.249252, -0.260743, -0.2656, -0.267874, -0.264521, -0.240516, -0.115167, -0.0759765, -0.0487726, -0.0340081, -0.0232508, -0.0173119, -0.00931449, -0.00329889, -0.00106022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.384606, 0.48402, 0.610788, 0.719323, 0.778887, 0.844115, 0.904045, 0.961191, 1.01778, 1.07435, 1.1829, 1.2343, 1.28537, 1.32387, 1.36311, 1.39306, 1.45295, 1.56456, 1.68254");
-            values ( \
-              "-0.00681616, -0.0600892, -0.149389, -0.211975, -0.240919, -0.26779, -0.286082, -0.295846, -0.291747, -0.253256, -0.124232, -0.0810985, -0.0516433, -0.0361657, -0.0250649, -0.0188523, -0.0104466, -0.00311857, -0.000850146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.854517, 1.04442, 1.18358, 1.35484, 1.47366, 1.5744, 1.71266, 1.83064, 1.90094, 1.96199, 2.07997, 2.12544, 2.16644, 2.21343, 2.37708, 2.44264, 2.55245, 2.60193, 2.66214, 2.7467, 2.83394, 2.90064, 3.01862, 3.1366, 3.25457, 3.49052");
-            values ( \
-              "-0.0674329, -0.0966352, -0.145131, -0.197955, -0.230803, -0.255285, -0.282957, -0.300372, -0.307245, -0.310723, -0.305779, -0.296265, -0.281383, -0.25696, -0.159162, -0.126272, -0.0830529, -0.068168, -0.053259, -0.0372648, -0.0256749, -0.0192095, -0.011334, -0.00665525, -0.00389059, -0.00132548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.140696, 0.145749, 0.147539, 0.15112, 0.153212, 0.15738, 0.163361, 0.16826, 0.175517, 0.181957, 0.187913, 0.193614, 0.19921, 0.205072, 0.206697, 0.214119, 0.216909, 0.220481, 0.222587, 0.226474, 0.228477, 0.231802, 0.236236, 0.240624");
-            values ( \
-              "-0.0199531, -0.0260999, -0.0277914, -0.03616, -0.0424557, -0.0573038, -0.0733074, -0.0835633, -0.0971693, -0.106335, -0.113295, -0.11707, -0.117402, -0.105187, -0.0980762, -0.0573919, -0.0441646, -0.0306631, -0.0244252, -0.0157104, -0.0124947, -0.00850322, -0.00504842, -0.00340811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.153677, 0.190974, 0.19856, 0.209424, 0.212864, 0.224024, 0.228355, 0.237015, 0.245546, 0.254069, 0.268342, 0.275617, 0.282763, 0.287905, 0.293396, 0.297641, 0.306132, 0.316104, 0.327294, 0.338349, 0.408383");
-            values ( \
-              "-0.00175526, -0.10871, -0.124101, -0.14387, -0.148718, -0.162622, -0.166833, -0.172738, -0.172457, -0.155173, -0.0840351, -0.0539423, -0.033378, -0.0231466, -0.0155197, -0.011278, -0.00575562, -0.0025599, -0.00107081, -0.000519999, -0.00012614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.193616, 0.236683, 0.264193, 0.281821, 0.299992, 0.315569, 0.330435, 0.345096, 0.35955, 0.390077, 0.405201, 0.421346, 0.438748, 0.455127, 0.470813");
-            values ( \
-              "-0.0189121, -0.112835, -0.161043, -0.184884, -0.20503, -0.218393, -0.226176, -0.224508, -0.199719, -0.0897286, -0.0524296, -0.0280753, -0.0138761, -0.00692023, -0.00378666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.264228, 0.313427, 0.362147, 0.39407, 0.427759, 0.460998, 0.488725, 0.514046, 0.528621, 0.543539, 0.561261, 0.585022, 0.641318, 0.66524, 0.689058, 0.7074, 0.726506, 0.741154, 0.770452, 0.817356, 0.867301");
-            values ( \
-              "-0.0171034, -0.0728942, -0.139213, -0.174382, -0.206299, -0.232562, -0.24923, -0.2608, -0.265624, -0.267853, -0.264544, -0.240591, -0.115353, -0.076019, -0.0487352, -0.0340094, -0.0232739, -0.017325, -0.00935316, -0.00330309, -0.00107812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.411543, 0.504866, 0.631499, 0.738117, 0.799597, 0.864821, 0.924745, 0.981889, 1.03848, 1.09504, 1.20387, 1.25506, 1.30598, 1.34451, 1.38389, 1.41395, 1.47407, 1.58346, 1.70144");
-            values ( \
-              "-0.0110089, -0.0602541, -0.149335, -0.211165, -0.241042, -0.267732, -0.286023, -0.295939, -0.291682, -0.253338, -0.123999, -0.0810891, -0.0516507, -0.0362149, -0.0250698, -0.0187922, -0.0104254, -0.0031761, -0.000895704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.877453, 1.04802, 1.2062, 1.37373, 1.49784, 1.63219, 1.73327, 1.86071, 1.97869, 2.00642, 2.03813, 2.10314, 2.22112, 2.46574, 2.57428, 2.68302, 2.7678, 2.85586, 3.04127, 3.16401");
-            values ( \
-              "-0.0737293, -0.0904865, -0.145837, -0.197433, -0.231757, -0.263503, -0.283022, -0.301436, -0.310565, -0.311139, -0.310773, -0.30526, -0.26514, -0.125398, -0.0828194, -0.0534704, -0.0372219, -0.0255477, -0.0112014, -0.0073514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.185483, 0.191622, 0.194034, 0.197301, 0.201664, 0.203476, 0.207102, 0.212363, 0.219614, 0.226047, 0.231997, 0.237691, 0.24328, 0.248865, 0.249136, 0.25076, 0.252927, 0.256085, 0.258174, 0.260959, 0.262952, 0.264533, 0.266641, 0.270523, 0.272522, 0.273628, 0.27584, 0.280263, 0.289111, 0.291357");
-            values ( \
-              "-0.0200853, -0.0281725, -0.0330257, -0.0421333, -0.0580348, -0.0626984, -0.0725872, -0.0835122, -0.0973581, -0.106347, -0.113517, -0.117124, -0.117627, -0.106243, -0.105279, -0.098157, -0.0866563, -0.0686253, -0.0574395, -0.0442123, -0.0361825, -0.0306817, -0.0244345, -0.0157212, -0.0125062, -0.0110154, -0.00851695, -0.00506228, -0.00174004, -0.00149889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.203069, 0.211943, 0.212594, 0.213897, 0.216504, 0.220679, 0.229028, 0.229763, 0.231233, 0.239245, 0.243125, 0.249235, 0.254071, 0.263306, 0.263848, 0.264933, 0.27144, 0.272906, 0.275839, 0.281552, 0.290071, 0.298587, 0.299044, 0.299959, 0.301789, 0.30545, 0.309892, 0.312845, 0.315997, 0.320125, 0.321316, 0.323697, 0.327275, 0.332414, 0.334717, 0.335777, 0.337897, 0.342136, 0.350615, 0.354105");
-            values ( \
-              "-0.0289097, -0.0433418, -0.0445563, -0.0473105, -0.0536516, -0.0662854, -0.0933201, -0.0941255, -0.0982212, -0.116635, -0.12434, -0.135908, -0.14365, -0.156811, -0.157294, -0.158771, -0.165738, -0.166917, -0.169497, -0.172777, -0.172698, -0.15514, -0.153607, -0.149944, -0.141617, -0.122554, -0.0987941, -0.0841146, -0.0699298, -0.053969, -0.0499623, -0.04266, -0.0333823, -0.023151, -0.0196058, -0.0181528, -0.0155285, -0.0112894, -0.00576575, -0.00464569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.234122, 0.245463, 0.252942, 0.255251, 0.259869, 0.26718, 0.274561, 0.284402, 0.288016, 0.302021, 0.308946, 0.319598, 0.327955, 0.344864, 0.360427, 0.375313, 0.38998, 0.404439, 0.405621, 0.41271, 0.426584, 0.434972, 0.442917, 0.450096, 0.459668, 0.466243, 0.473703, 0.483649, 0.496318");
-            values ( \
-              "-0.0266153, -0.0382761, -0.0493787, -0.054477, -0.0626354, -0.0791006, -0.0969158, -0.118326, -0.1252, -0.149778, -0.160461, -0.1756, -0.186138, -0.204906, -0.218204, -0.226102, -0.224386, -0.1997, -0.196742, -0.171085, -0.117399, -0.089729, -0.0680993, -0.0524122, -0.0363373, -0.0280611, -0.0208353, -0.0138833, -0.00848931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.305443, 0.343812, 0.356528, 0.369466, 0.390785, 0.4077, 0.425241, 0.441307, 0.45283, 0.473227, 0.489155, 0.506575, 0.521224, 0.53429, 0.546601, 0.550951, 0.55965, 0.574099, 0.579166, 0.589301, 0.606832, 0.630334, 0.636643, 0.64926, 0.668865, 0.67741, 0.686459, 0.697039, 0.710699, 0.714388, 0.721767, 0.734748, 0.753035, 0.761085, 0.764703, 0.771939, 0.78641, 0.815354, 0.833259");
-            values ( \
-              "-0.0197301, -0.054473, -0.0698128, -0.0873651, -0.117789, -0.139069, -0.159223, -0.175992, -0.187466, -0.206151, -0.219591, -0.232515, -0.241982, -0.24918, -0.255278, -0.257251, -0.260861, -0.265564, -0.266647, -0.267827, -0.264579, -0.24099, -0.228925, -0.199994, -0.153208, -0.134441, -0.116184, -0.0971079, -0.0761823, -0.071227, -0.062162, -0.0485937, -0.0339827, -0.0289927, -0.0269716, -0.0233559, -0.017413, -0.00950924, -0.0071501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.486395, 0.551808, 0.696788, 0.755488, 0.838192, 0.881935, 0.941817, 0.970054, 1.02717, 1.08374, 1.14029, 1.24874, 1.30021, 1.35132, 1.3898, 1.429, 1.45891, 1.51873, 1.60502");
-            values ( \
-              "-0.0399571, -0.0616638, -0.162293, -0.196661, -0.23804, -0.257187, -0.278523, -0.286251, -0.295974, -0.29184, -0.25331, -0.124324, -0.0811164, -0.0516367, -0.0361594, -0.0250677, -0.0188694, -0.0104567, -0.00479266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.879969, 1.00744, 1.24626, 1.37114, 1.48259, 1.64064, 1.71531, 1.77898, 1.89696, 1.96688, 2.02827, 2.14928, 2.19168, 2.23269, 2.27971, 2.44337, 2.50889, 2.61873, 2.66824, 2.72844, 2.81299, 2.90021, 2.9669, 3.08487, 3.20285, 3.32083, 3.55678");
-            values ( \
-              "-0.0556698, -0.0613873, -0.143888, -0.183015, -0.215512, -0.255227, -0.271232, -0.282917, -0.3004, -0.307176, -0.310746, -0.305326, -0.296273, -0.281389, -0.256957, -0.159155, -0.12629, -0.0830554, -0.0681619, -0.0532563, -0.0372632, -0.0256758, -0.0192122, -0.0113352, -0.00665641, -0.00389079, -0.00132535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.28335, 0.289413, 0.291391, 0.295014, 0.301117, 0.301157, 0.309971, 0.317176, 0.323576, 0.329492, 0.335156, 0.340717, 0.346274, 0.355538, 0.361915, 0.364058, 0.369323, 0.373109, 0.377436, 0.386089, 0.39002");
-            values ( \
-              "-0.0202988, -0.028401, -0.032841, -0.0422654, -0.0643292, -0.0640851, -0.0843529, -0.0976509, -0.107229, -0.113875, -0.117983, -0.117964, -0.106888, -0.0576986, -0.0307138, -0.0243487, -0.0133585, -0.00861645, -0.00517519, -0.00181787, -0.00136937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.300895, 0.309551, 0.309989, 0.312615, 0.314987, 0.318038, 0.323984, 0.333269, 0.340408, 0.351333, 0.361058, 0.370074, 0.378712, 0.387209, 0.395698, 0.396158, 0.398917, 0.406992, 0.40993, 0.413081, 0.418398, 0.420781, 0.425546, 0.429808, 0.431803, 0.435795, 0.443309, 0.452969, 0.45581");
-            values ( \
-              "-0.029495, -0.0427133, -0.0447271, -0.0505776, -0.0570479, -0.0666396, -0.0865476, -0.110128, -0.124737, -0.144651, -0.157788, -0.167849, -0.173261, -0.173269, -0.155416, -0.153891, -0.141771, -0.0989035, -0.0842587, -0.0700371, -0.0500193, -0.0426956, -0.0306986, -0.0226463, -0.0195972, -0.0145851, -0.00813824, -0.00372329, -0.00316009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.332667, 0.374413, 0.405494, 0.424385, 0.44125, 0.456749, 0.471599, 0.486241, 0.500589, 0.530631, 0.546573, 0.562699, 0.578832, 0.594999, 0.613443, 0.634809, 0.683592");
-            values ( \
-              "-0.00976651, -0.105547, -0.161376, -0.186892, -0.205635, -0.218739, -0.226582, -0.224687, -0.200185, -0.0914802, -0.0519134, -0.027779, -0.0144831, -0.00727816, -0.0033931, -0.00139786, -0.00028397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.416143, 0.444857, 0.453533, 0.462209, 0.478002, 0.491768, 0.504527, 0.517285, 0.523665, 0.534951, 0.544765, 0.554882, 0.565303, 0.574372, 0.58595, 0.598993, 0.610672, 0.618002, 0.624511, 0.63102, 0.647721, 0.652115, 0.656509, 0.667394, 0.675926, 0.678378, 0.683282, 0.685734, 0.690198, 0.694662, 0.699125, 0.703589, 0.709456, 0.715322, 0.721189, 0.727055, 0.733396, 0.750971, 0.765657, 0.777159, 0.783156, 0.793754, 0.804014, 0.811136, 0.818539, 0.821782, 0.831511, 0.840651, 0.849791, 0.856928");
-            values ( \
-              "-0.0436884, -0.059466, -0.0703687, -0.0819625, -0.104874, -0.123793, -0.139838, -0.154495, -0.16151, -0.173369, -0.183187, -0.192908, -0.202497, -0.210468, -0.220077, -0.229897, -0.237758, -0.24223, -0.24587, -0.249326, -0.257582, -0.259442, -0.26116, -0.264565, -0.267652, -0.267656, -0.26729, -0.26692, -0.265926, -0.264519, -0.262698, -0.260463, -0.256451, -0.251505, -0.245624, -0.238809, -0.228143, -0.188041, -0.15306, -0.127851, -0.11548, -0.0968953, -0.0810645, -0.0707979, -0.0612012, -0.0577248, -0.0481949, -0.0405461, -0.0339372, -0.0294727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.594679, 0.661937, 0.74075, 0.80749, 0.867873, 0.951465, 0.996591, 1.02982, 1.06758, 1.12471, 1.18132, 1.23788, 1.30994, 1.34641, 1.39783, 1.44891, 1.4874, 1.52664, 1.55657, 1.61645, 1.72603, 1.844");
-            values ( \
-              "-0.0568061, -0.0694083, -0.127034, -0.170114, -0.20436, -0.245038, -0.263727, -0.275383, -0.286126, -0.295851, -0.291783, -0.253248, -0.163676, -0.124234, -0.0810872, -0.0516558, -0.0361507, -0.025053, -0.0188675, -0.0104362, -0.00322025, -0.000860838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.941868, 1.10583, 1.29804, 1.42153, 1.5807, 1.71429, 1.7878, 1.87704, 1.99501, 2.06534, 2.12637, 2.24737, 2.28978, 2.33079, 2.3778, 2.54146, 2.60698, 2.71682, 2.76632, 2.82653, 2.91108, 2.9983, 3.06499, 3.18297, 3.30094, 3.41892, 3.65487");
-            values ( \
-              "-0.0294761, -0.0615254, -0.128384, -0.16838, -0.215522, -0.249749, -0.266028, -0.282952, -0.300392, -0.307239, -0.310742, -0.305338, -0.296278, -0.281394, -0.256961, -0.159157, -0.126287, -0.0830557, -0.0681636, -0.0532567, -0.0372639, -0.0256759, -0.0192115, -0.0113352, -0.00665596, -0.00389097, -0.00132559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.485364, 0.487714, 0.492698, 0.493859, 0.49618, 0.499812, 0.501041, 0.503501, 0.508329, 0.518192, 0.525975, 0.529648, 0.532772, 0.539022, 0.544921, 0.550311, 0.55151, 0.55311, 0.55631, 0.557598, 0.559316, 0.56517, 0.568302, 0.570839, 0.572922, 0.575397, 0.578957, 0.581661, 0.583475, 0.587104, 0.594361, 0.604003");
-            values ( \
-              "-0.0134496, -0.0153948, -0.018907, -0.021792, -0.0245722, -0.0316756, -0.0345756, -0.0414097, -0.0557013, -0.077303, -0.0911506, -0.0969485, -0.101471, -0.108716, -0.113112, -0.113316, -0.112219, -0.11046, -0.103144, -0.0986249, -0.0910919, -0.0603991, -0.0456907, -0.0356841, -0.0288286, -0.0221073, -0.014871, -0.0109575, -0.00892635, -0.00587602, -0.00252103, -0.000931844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.504989, 0.516549, 0.518197, 0.521494, 0.527913, 0.53554, 0.538083, 0.543168, 0.552403, 0.556152, 0.560328, 0.56383, 0.569247, 0.573872, 0.583124, 0.5919, 0.600513, 0.609118, 0.609556, 0.612185, 0.620398, 0.623531, 0.627709, 0.631804, 0.633478, 0.636826, 0.642588, 0.645332, 0.646737, 0.649547, 0.655167, 0.66522, 0.66528");
-            values ( \
-              "-0.0180336, -0.0326968, -0.0350949, -0.0415088, -0.0567381, -0.0805362, -0.0860787, -0.0987809, -0.117957, -0.125193, -0.132932, -0.138761, -0.147318, -0.153665, -0.16439, -0.170792, -0.171121, -0.154174, -0.152665, -0.141466, -0.0986843, -0.0832752, -0.0650386, -0.0501666, -0.0449452, -0.0358325, -0.0238181, -0.0195349, -0.0176279, -0.014313, -0.00932093, -0.00415118, -0.00413803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.541184, 0.550315, 0.561051, 0.569035, 0.579789, 0.591088, 0.607287, 0.620215, 0.638745, 0.639321, 0.647389, 0.656191, 0.671786, 0.686666, 0.701342, 0.714645, 0.716947, 0.721552, 0.735584, 0.742585, 0.75192, 0.755598, 0.762376, 0.768501, 0.777095, 0.780569, 0.787517, 0.801413, 0.818575, 0.841093, 0.864928, 0.889017");
-            values ( \
-              "-0.0197948, -0.0262474, -0.0406246, -0.0554314, -0.0788135, -0.106625, -0.138451, -0.158996, -0.185645, -0.185872, -0.195466, -0.204702, -0.218228, -0.225923, -0.224303, -0.202689, -0.196718, -0.180194, -0.125746, -0.101319, -0.0740475, -0.0647662, -0.0506416, -0.0400689, -0.0286766, -0.0249202, -0.0188977, -0.0105261, -0.00515742, -0.001956, -0.000823783, -0.000366263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.635891, 0.661905, 0.720444, 0.746277, 0.785998, 0.818756, 0.846199, 0.863053, 0.886593, 0.900425, 0.918832, 0.942114, 0.998084, 1.02255, 1.04677, 1.06501, 1.08375, 1.09809, 1.12676, 1.17311, 1.22297");
-            values ( \
-              "-0.0517745, -0.0621574, -0.140091, -0.169708, -0.207802, -0.233495, -0.249875, -0.257981, -0.26631, -0.26834, -0.264843, -0.241636, -0.116801, -0.0762815, -0.0485477, -0.0339277, -0.0233844, -0.0175238, -0.00959023, -0.00343838, -0.00111983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.762819, 0.830817, 0.877238, 1.0028, 1.11802, 1.17664, 1.22348, 1.28339, 1.31267, 1.34039, 1.39691, 1.4535, 1.56162, 1.61336, 1.66467, 1.70311, 1.74214, 1.7719, 1.83141, 1.90387");
-            values ( \
-              "-0.0121081, -0.0405365, -0.0693468, -0.157694, -0.221923, -0.249367, -0.268008, -0.286259, -0.292383, -0.295882, -0.291872, -0.253285, -0.124661, -0.0811668, -0.0515912, -0.0361308, -0.0250896, -0.0189193, -0.0105125, -0.00573249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.18987, 1.3214, 1.51917, 1.68248, 1.85682, 1.99306, 2.09278, 2.22022, 2.33819, 2.36594, 2.39766, 2.46265, 2.58062, 2.7589, 2.82521, 2.93377, 3.04253, 3.1273, 3.21535, 3.28277, 3.40075, 3.6367, 3.87265");
-            values ( \
-              "-0.051962, -0.0614999, -0.130283, -0.182305, -0.231596, -0.263857, -0.283082, -0.301492, -0.310538, -0.31115, -0.310786, -0.305267, -0.265144, -0.158893, -0.125414, -0.0828215, -0.0534691, -0.0372204, -0.0255482, -0.0191739, -0.011202, -0.00379979, -0.00125021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.896119, 0.912634, 0.91941, 0.926707, 0.940594, 0.957904, 0.968899, 0.975601, 0.982048, 0.988483, 1.00594, 1.01372, 1.02234, 1.03181, 1.03353");
-            values ( \
-              "-0.00298511, -0.0151333, -0.0213101, -0.0321515, -0.0570268, -0.082009, -0.0949548, -0.10076, -0.102992, -0.0957558, -0.0269621, -0.0118453, -0.00454195, -0.00152288, -0.00139517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.926319, 0.944318, 0.953972, 0.970364, 0.987385, 1.00043, 1.01161, 1.02178, 1.03129, 1.04055, 1.04979, 1.05093, 1.06544, 1.0735, 1.07942, 1.08486, 1.09107, 1.09593, 1.10566, 1.11654, 1.12785");
-            values ( \
-              "-0.00702793, -0.0256654, -0.0370528, -0.0708334, -0.102134, -0.123504, -0.139241, -0.150555, -0.158395, -0.159924, -0.145744, -0.142013, -0.0773174, -0.0480733, -0.0327235, -0.022467, -0.014447, -0.0101018, -0.00476285, -0.00204866, -0.00124003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.994899, 1.01483, 1.01951, 1.02653, 1.04572, 1.05534, 1.06368, 1.07202, 1.08215, 1.09227, 1.09327, 1.09728, 1.10262, 1.11046, 1.11438, 1.11831, 1.12223, 1.12697, 1.12943, 1.13324, 1.13733, 1.1413, 1.14262, 1.14526, 1.1479, 1.15055, 1.15319, 1.15562, 1.15804, 1.15998, 1.16192, 1.16385, 1.16579, 1.16793, 1.17006, 1.17434, 1.1803, 1.18627, 1.1961, 1.20043, 1.20476, 1.20692, 1.2115, 1.21517, 1.21616, 1.21814, 1.22529, 1.2291, 1.23291, 1.23936");
-            values ( \
-              "-0.0481421, -0.0494236, -0.0566157, -0.0683969, -0.104272, -0.121296, -0.134045, -0.146335, -0.160635, -0.174259, -0.17519, -0.180215, -0.186552, -0.193928, -0.197308, -0.200484, -0.203454, -0.20677, -0.208291, -0.21042, -0.21246, -0.214044, -0.214491, -0.214672, -0.21457, -0.214187, -0.21352, -0.21266, -0.211561, -0.21006, -0.208148, -0.205827, -0.203095, -0.19924, -0.194951, -0.185078, -0.167492, -0.148355, -0.113729, -0.0996094, -0.0870922, -0.0812305, -0.0696984, -0.0614126, -0.0564619, -0.0541147, -0.0421402, -0.0366913, -0.0318054, -0.0247461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.07534, 1.10687, 1.12169, 1.13223, 1.14627, 1.17761, 1.2138, 1.23624, 1.25071, 1.26882, 1.28224, 1.29463, 1.30577, 1.31792, 1.33104, 1.3451, 1.35973, 1.36419, 1.37311, 1.39205, 1.41841, 1.42918, 1.4629, 1.47111, 1.48753, 1.49952, 1.51441, 1.53425, 1.54625, 1.55239, 1.56466, 1.58921, 1.63309");
-            values ( \
-              "-0.0141275, -0.0351112, -0.0486818, -0.0598233, -0.0765007, -0.118656, -0.16053, -0.182901, -0.196572, -0.212397, -0.223012, -0.231927, -0.23899, -0.246055, -0.252883, -0.259225, -0.2642, -0.265183, -0.266473, -0.263565, -0.235521, -0.212593, -0.134058, -0.117553, -0.0886892, -0.0715218, -0.0542177, -0.0368933, -0.0291279, -0.0257692, -0.0201498, -0.0121238, -0.0046552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.28855, 1.37421, 1.44774, 1.50969, 1.56159, 1.63604, 1.68028, 1.73264, 1.76104, 1.81814, 1.8747, 1.93124, 1.99584, 2.04594, 2.09879, 2.14724, 2.1977, 2.22659, 2.28437, 2.3856, 2.50358");
-            values ( \
-              "-0.0481669, -0.0825428, -0.136523, -0.175863, -0.204995, -0.241519, -0.26042, -0.278742, -0.286172, -0.296207, -0.291728, -0.2535, -0.172765, -0.118388, -0.0759306, -0.0493846, -0.0309497, -0.0235122, -0.0134139, -0.00456818, -0.00127894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.69802, 1.85539, 2.04022, 2.16149, 2.27396, 2.43201, 2.57023, 2.68821, 2.7584, 2.81953, 2.94053, 2.98289, 3.02392, 3.07095, 3.23462, 3.3001, 3.40996, 3.45949, 3.51969, 3.60423, 3.69143, 3.75811, 3.87609, 3.99406, 4.11204, 4.34799");
-            values ( \
-              "-0.0649246, -0.0802085, -0.144876, -0.182795, -0.215598, -0.255339, -0.282995, -0.300427, -0.307259, -0.310766, -0.305355, -0.296305, -0.281416, -0.256969, -0.159155, -0.126306, -0.0830597, -0.0681595, -0.0532545, -0.0372633, -0.0256774, -0.0192137, -0.0113367, -0.00665664, -0.00389152, -0.00132584" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0121383, 0.0121644, 0.012195, 0.0122211, 0.012238, 0.0122471", \
-            "0.0142472, 0.014263, 0.0142861, 0.0143101, 0.014328, 0.0143387", \
-            "0.0154487, 0.0154547, 0.0154659, 0.015481, 0.0154949, 0.0155042", \
-            "0.0161466, 0.0161482, 0.0161517, 0.016158, 0.016166, 0.0161729", \
-            "0.0165253, 0.0165261, 0.0165271, 0.0165289, 0.0165319, 0.0165357", \
-            "0.0167343, 0.016735, 0.016736, 0.0167372, 0.0167386, 0.0167404" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0167291, 0.0167429, 0.0167672, 0.0167894, 0.0168067, 0.0168167", \
-            "0.0182353, 0.0182498, 0.0182673, 0.0182823, 0.0182914, 0.0182971", \
-            "0.019183, 0.0191997, 0.0192177, 0.0192314, 0.0192393, 0.0192426", \
-            "0.0198402, 0.0198028, 0.0197627, 0.0197305, 0.0197111, 0.0197", \
-            "0.0207642, 0.02059, 0.0203754, 0.020182, 0.0200504, 0.0199731", \
-            "0.0201871, 0.0208733, 0.0210553, 0.0206612, 0.0203403, 0.0201563" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.117078, 0.151074, 0.223952, 0.384079, 0.740171, 1.53445", \
-            "0.121182, 0.155351, 0.228501, 0.388629, 0.744805, 1.53914", \
-            "0.129706, 0.164, 0.237367, 0.397853, 0.754265, 1.54873", \
-            "0.147259, 0.182568, 0.256743, 0.417844, 0.774736, 1.5695", \
-            "0.170434, 0.211897, 0.294253, 0.461088, 0.819795, 1.61524", \
-            "0.187984, 0.240256, 0.341875, 0.535894, 0.914029, 1.71501" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0357047, 0.0543418, 0.0955422, 0.187806, 0.391723, 0.84749", \
-            "0.0357117, 0.0543199, 0.0954908, 0.187799, 0.391705, 0.84748", \
-            "0.0356919, 0.0543369, 0.0955445, 0.187792, 0.391701, 0.847492", \
-            "0.0367786, 0.054627, 0.0955084, 0.187803, 0.391697, 0.847501", \
-            "0.0411117, 0.0594314, 0.0986961, 0.188095, 0.391709, 0.847535", \
-            "0.0488684, 0.0678422, 0.107687, 0.196044, 0.392973, 0.847585" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.15562, 0.196077, 0.282934, 0.473183, 0.89507, 1.83888", \
-            "0.159883, 0.200372, 0.287531, 0.478163, 0.900609, 1.84432", \
-            "0.171296, 0.211801, 0.299045, 0.490189, 0.912707, 1.85698", \
-            "0.20024, 0.240249, 0.326926, 0.517846, 0.940906, 1.88521", \
-            "0.264363, 0.306991, 0.393571, 0.583248, 1.0046, 1.94859", \
-            "0.366467, 0.42146, 0.528902, 0.733345, 1.15452, 2.09477" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0362924, 0.0544291, 0.0937741, 0.180656, 0.373982, 0.804429", \
-            "0.0362125, 0.0544043, 0.0938703, 0.180896, 0.373735, 0.804404", \
-            "0.0362093, 0.0543893, 0.0937628, 0.1808, 0.37395, 0.804391", \
-            "0.0361729, 0.0543116, 0.0937539, 0.180652, 0.373679, 0.804414", \
-            "0.039997, 0.0562208, 0.0945051, 0.180522, 0.373833, 0.804404", \
-            "0.0511759, 0.0694042, 0.105859, 0.184237, 0.373742, 0.804272" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0875302, 0.0916464, 0.102832, 0.110821, 0.117338, 0.123174, 0.128688, 0.134117, 0.13954, 0.148133, 0.156228, 0.166965, 0.176332, 0.185678, 0.201048, 0.217456, 0.222245");
-            values ( \
-              "0.0208746, 0.0294071, 0.0707091, 0.0934167, 0.108048, 0.116461, 0.121158, 0.120582, 0.112507, 0.0725285, 0.0440627, 0.0223811, 0.0119496, 0.00626423, 0.00226354, 0.000927604, 0.00087377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.100555, 0.124012, 0.135881, 0.145597, 0.154365, 0.1627, 0.17097, 0.179237, 0.193375, 0.204988, 0.218208, 0.229658, 0.245824, 0.259068, 0.269294, 0.284396");
-            values ( \
-              "0.0210454, 0.106008, 0.141013, 0.161688, 0.174005, 0.178879, 0.177033, 0.161824, 0.104573, 0.0669579, 0.0388892, 0.0235367, 0.0111953, 0.00603383, 0.0039134, 0.00290147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.129759, 0.142573, 0.168722, 0.189004, 0.203982, 0.209026, 0.220794, 0.232171, 0.235392, 0.241836, 0.249967, 0.264656, 0.299784, 0.313363, 0.328798, 0.338764, 0.356814, 0.370729, 0.386183, 0.415466, 0.457124");
-            values ( \
-              "0.0408146, 0.0622205, 0.138799, 0.184951, 0.208714, 0.214599, 0.224209, 0.228095, 0.228231, 0.22704, 0.222491, 0.201125, 0.109861, 0.0820131, 0.0572206, 0.0446656, 0.0284998, 0.0198299, 0.0132519, 0.00601532, 0.00194137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.193961, 0.215752, 0.251991, 0.266695, 0.291433, 0.305583, 0.333882, 0.345324, 0.356529, 0.367104, 0.378866, 0.390671, 0.405652, 0.424643, 0.446899, 0.472057, 0.525564, 0.55026, 0.575433, 0.596295, 0.614881, 0.639663, 0.667435, 0.682275, 0.711954, 0.76532, 0.806497");
-            values ( \
-              "0.0674221, 0.0685194, 0.137117, 0.162223, 0.199055, 0.216092, 0.24187, 0.248977, 0.254262, 0.257791, 0.259749, 0.259874, 0.257586, 0.25048, 0.233126, 0.200736, 0.124577, 0.0951106, 0.0704937, 0.0543305, 0.0426798, 0.0304367, 0.0207529, 0.0170111, 0.0110324, 0.00509017, 0.00309385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.328914, 0.376723, 0.428156, 0.483501, 0.522852, 0.546584, 0.577963, 0.592305, 0.630546, 0.654365, 0.681436, 0.713152, 0.751685, 0.802867, 0.830258, 0.866779, 0.897999, 0.988513, 1.0444, 1.07377, 1.10692, 1.13584, 1.17384, 1.19867, 1.23178, 1.29089, 1.32178, 1.36781, 1.42368, 1.53278, 1.64611, 1.75943");
-            values ( \
-              "0.0682072, 0.0708334, 0.123457, 0.175679, 0.207242, 0.223387, 0.241448, 0.248419, 0.262857, 0.269324, 0.275215, 0.278946, 0.27906, 0.272211, 0.263419, 0.245012, 0.224672, 0.158174, 0.119965, 0.102487, 0.0850217, 0.0719742, 0.0569435, 0.0487996, 0.0397515, 0.0268232, 0.0218724, 0.0160734, 0.0111605, 0.00499731, 0.00234543, 0.0008968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.645702, 0.78736, 0.873028, 0.987279, 1.08139, 1.14181, 1.18845, 1.23981, 1.28494, 1.3538, 1.41699, 1.53032, 1.57706, 1.62598, 1.67669, 1.7781, 1.89253, 2.13395, 2.22574, 2.36218, 2.45678, 2.54099, 2.65328, 2.74651, 2.84831, 2.96164, 3.07497, 3.18829, 3.30162, 3.52827, 3.75493");
-            values ( \
-              "0.0690067, 0.0967249, 0.13966, 0.190697, 0.225221, 0.243756, 0.255843, 0.266985, 0.27483, 0.283658, 0.28851, 0.290295, 0.288349, 0.284527, 0.278267, 0.257354, 0.222053, 0.138796, 0.111429, 0.078009, 0.0600306, 0.0471795, 0.0338041, 0.0255684, 0.018759, 0.0131695, 0.0092722, 0.00646198, 0.00454708, 0.00222388, 0.00108849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.104113, 0.118431, 0.125629, 0.128788, 0.135298, 0.141141, 0.146656, 0.152085, 0.157509, 0.160517, 0.166115, 0.169303, 0.17421, 0.180041, 0.184826, 0.190464, 0.194615, 0.201023, 0.20373, 0.211275, 0.218487, 0.234632, 0.250648, 0.256759, 0.2885, 0.312007");
-            values ( \
-              "0.00749674, 0.0619289, 0.0851569, 0.0935238, 0.107842, 0.1166, 0.120982, 0.120724, 0.112343, 0.0998032, 0.0724623, 0.0595588, 0.0440348, 0.0307663, 0.0225434, 0.0155278, 0.011694, 0.00744358, 0.00624449, 0.00390282, 0.00234936, 0.000977848, 0.000749057, 0.00105647, 0.000217412, 4.68146e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.119205, 0.125511, 0.142197, 0.154104, 0.163828, 0.172581, 0.180913, 0.18918, 0.197444, 0.21157, 0.223187, 0.236475, 0.247793, 0.264009, 0.287591, 0.298966");
-            values ( \
-              "0.0285372, 0.0439818, 0.106174, 0.140825, 0.16213, 0.173798, 0.179212, 0.176818, 0.1621, 0.10462, 0.0669753, 0.0387843, 0.0236105, 0.0112064, 0.00390195, 0.00313929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.152455, 0.166409, 0.187216, 0.207591, 0.224262, 0.239379, 0.253983, 0.268713, 0.275179, 0.281854, 0.28415, 0.314742, 0.328055, 0.342943, 0.354989, 0.361863, 0.373095, 0.387396, 0.399714, 0.407773, 0.42234, 0.439916, 0.46034, 0.483904, 0.514071, 0.555148");
-            values ( \
-              "0.0704118, 0.0777481, 0.139167, 0.184992, 0.211358, 0.224266, 0.228755, 0.222587, 0.215387, 0.203678, 0.198394, 0.118615, 0.0893572, 0.0635543, 0.0473264, 0.0399244, 0.0301318, 0.0208603, 0.0151574, 0.0122684, 0.00830574, 0.00516584, 0.00296455, 0.00157822, 0.000710728, 0.00025541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.208407, 0.234214, 0.269841, 0.285143, 0.309966, 0.324027, 0.356137, 0.375138, 0.385544, 0.397001, 0.414104, 0.424907, 0.443087, 0.465256, 0.49049, 0.544002, 0.568697, 0.593869, 0.614732, 0.633319, 0.658101, 0.678453, 0.700711, 0.730388, 0.785592, 0.860325, 0.955982");
-            values ( \
-              "0.0461145, 0.068689, 0.135942, 0.162367, 0.199062, 0.216222, 0.244386, 0.254444, 0.25768, 0.259833, 0.259465, 0.257279, 0.250577, 0.233103, 0.200635, 0.124668, 0.0952001, 0.070582, 0.0542432, 0.0425945, 0.0305208, 0.023061, 0.0169289, 0.0111152, 0.00485739, 0.00148577, 0.000284826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.346438, 0.395362, 0.471298, 0.502143, 0.542059, 0.565434, 0.596316, 0.630653, 0.672859, 0.699611, 0.732573, 0.770274, 0.821534, 0.848683, 0.884883, 0.917533, 1.0544, 1.09284, 1.14092, 1.18753, 1.2305, 1.2878, 1.32468, 1.36586, 1.42076, 1.53057, 1.63815");
-            values ( \
-              "0.0649585, 0.0709747, 0.147785, 0.175847, 0.207639, 0.223674, 0.24144, 0.256285, 0.269405, 0.275078, 0.278933, 0.279151, 0.272113, 0.263405, 0.245376, 0.224085, 0.125516, 0.102304, 0.0777889, 0.0588058, 0.0450006, 0.0309844, 0.0243942, 0.0185758, 0.012747, 0.00590484, 0.00272312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.666905, 0.798649, 0.917576, 1.0108, 1.11089, 1.16038, 1.20651, 1.25813, 1.3035, 1.37236, 1.43554, 1.54887, 1.59561, 1.64453, 1.69524, 1.79665, 1.83692, 1.91108, 2.15294, 2.24437, 2.30886, 2.38065, 2.4753, 2.55962, 2.67205, 2.76513, 2.86679, 2.98012, 3.09345, 3.20677, 3.3201, 3.54675, 3.77341");
-            values ( \
-              "0.0746549, 0.0929775, 0.151836, 0.192686, 0.228845, 0.243808, 0.255688, 0.266992, 0.274788, 0.283714, 0.288462, 0.29035, 0.288411, 0.284468, 0.27833, 0.257291, 0.245951, 0.221988, 0.138723, 0.111346, 0.0944682, 0.078086, 0.0599795, 0.047116, 0.0338347, 0.0255105, 0.0187145, 0.0132202, 0.00922714, 0.00650982, 0.00450246, 0.00218025, 0.00104615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.145048, 0.149011, 0.160269, 0.168218, 0.174724, 0.180558, 0.18607, 0.191497, 0.196919, 0.208627, 0.217113, 0.227694, 0.24011, 0.246648, 0.259671, 0.276309, 0.278781");
-            values ( \
-              "0.0213021, 0.02935, 0.0705112, 0.0936528, 0.107955, 0.11667, 0.121048, 0.120755, 0.112383, 0.0598791, 0.0356194, 0.0179631, 0.00759824, 0.0050078, 0.00210831, 0.000861338, 0.000838321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.158827, 0.170191, 0.181616, 0.193482, 0.203201, 0.21196, 0.220294, 0.228564, 0.23683, 0.250967, 0.262582, 0.275804, 0.287248, 0.303417, 0.316675, 0.326662, 0.350143");
-            values ( \
-              "0.0269283, 0.0624477, 0.105902, 0.140945, 0.161848, 0.173911, 0.179009, 0.176929, 0.161941, 0.104571, 0.0669517, 0.0388799, 0.0235403, 0.0111952, 0.00603088, 0.00395628, 0.00234865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.18907, 0.200921, 0.222121, 0.227032, 0.236853, 0.247308, 0.263987, 0.279119, 0.29373, 0.308311, 0.314721, 0.321182, 0.323904, 0.353016, 0.371075, 0.378464, 0.386435, 0.396808, 0.405814, 0.415558, 0.429451, 0.434193, 0.443815, 0.454812, 0.471817, 0.490736, 0.512902, 0.539344, 0.574942");
-            values ( \
-              "0.0489856, 0.0624518, 0.125534, 0.138801, 0.163082, 0.184966, 0.210927, 0.224359, 0.228447, 0.222792, 0.21564, 0.204525, 0.19837, 0.121922, 0.0831219, 0.0702608, 0.0580867, 0.0450074, 0.0359852, 0.0281239, 0.01967, 0.0173839, 0.0135372, 0.0101048, 0.00639551, 0.00381997, 0.0021063, 0.00103644, 0.000420756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.250972, 0.27437, 0.311221, 0.325294, 0.34993, 0.364173, 0.39266, 0.403533, 0.414932, 0.425689, 0.437311, 0.448854, 0.454248, 0.465035, 0.48323, 0.505159, 0.530621, 0.584145, 0.608836, 0.634007, 0.65487, 0.673459, 0.698245, 0.718593, 0.740849, 0.770524, 0.826796, 0.86379");
-            values ( \
-              "0.0579012, 0.0687658, 0.138276, 0.162325, 0.198932, 0.216173, 0.241986, 0.24874, 0.254261, 0.257761, 0.259799, 0.259862, 0.259416, 0.257351, 0.250527, 0.233406, 0.200698, 0.124633, 0.0951752, 0.0705611, 0.0542654, 0.0426119, 0.0305013, 0.0230778, 0.0169437, 0.0111012, 0.00478551, 0.00312885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.387075, 0.435681, 0.511702, 0.542443, 0.581989, 0.605579, 0.636483, 0.670842, 0.712876, 0.739358, 0.773965, 0.810567, 0.861835, 0.870877, 0.888962, 0.925133, 0.957914, 1.093, 1.12475, 1.18342, 1.2284, 1.26974, 1.32486, 1.36399, 1.4073, 1.46504, 1.57779, 1.69112, 1.80444");
-            values ( \
-              "0.0658464, 0.0709719, 0.147864, 0.175883, 0.207348, 0.223607, 0.241402, 0.256269, 0.269357, 0.274969, 0.278989, 0.279167, 0.272094, 0.269445, 0.263395, 0.245416, 0.224038, 0.126636, 0.107165, 0.076798, 0.0585936, 0.0452968, 0.031674, 0.0245516, 0.0184299, 0.0124166, 0.00560308, 0.00243514, 0.00111455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.7155, 0.832642, 0.956928, 1.05147, 1.1511, 1.20079, 1.2467, 1.29844, 1.3439, 1.41277, 1.47596, 1.58928, 1.63602, 1.68495, 1.73565, 1.83707, 1.87734, 1.95149, 2.12135, 2.21763, 2.28904, 2.34089, 2.41002, 2.51221, 2.60661, 2.71994, 2.80854, 2.90391, 3.01724, 3.13056, 3.24389, 3.35722, 3.58387, 3.81052");
-            values ( \
-              "0.0889192, 0.0897123, 0.151334, 0.19277, 0.228763, 0.243788, 0.255634, 0.266957, 0.274788, 0.283701, 0.288464, 0.290339, 0.288401, 0.284473, 0.278321, 0.257298, 0.245942, 0.221996, 0.162527, 0.131073, 0.110193, 0.0965672, 0.0804422, 0.0605756, 0.0462229, 0.033064, 0.0252879, 0.0189152, 0.0133415, 0.0093341, 0.00656398, 0.00456143, 0.00221529, 0.00106936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.228799, 0.23423, 0.242556, 0.248467, 0.259949, 0.266051, 0.271732, 0.277284, 0.282828, 0.285376, 0.292568, 0.295665, 0.300004, 0.304136, 0.307342, 0.312927, 0.315628, 0.319972, 0.326569, 0.329454, 0.33055, 0.337126, 0.351562, 0.370858, 0.378016, 0.410236, 0.45258");
-            values ( \
-              "0.0292792, 0.0310979, 0.0587769, 0.0763635, 0.102535, 0.112596, 0.117925, 0.118548, 0.110871, 0.100967, 0.067391, 0.0557568, 0.0428167, 0.0332443, 0.0271139, 0.0188409, 0.0157325, 0.0117191, 0.00738017, 0.00646138, 0.00570405, 0.00369753, 0.00158921, 0.00078356, 0.0010891, 0.000237642, 5.88768e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.245573, 0.253628, 0.265235, 0.273296, 0.28006, 0.289892, 0.298715, 0.30709, 0.31539, 0.323684, 0.337909, 0.349518, 0.360785, 0.368054, 0.377288, 0.386064, 0.393028, 0.407248, 0.415378, 0.438858");
-            values ( \
-              "0.0452729, 0.0499732, 0.0931683, 0.119868, 0.138779, 0.160502, 0.172817, 0.178333, 0.176359, 0.161643, 0.10412, 0.0667309, 0.0422101, 0.0308283, 0.0204112, 0.0135964, 0.00987095, 0.00514211, 0.00383684, 0.00205436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.276969, 0.28816, 0.314476, 0.335248, 0.340377, 0.351489, 0.359454, 0.366631, 0.380986, 0.388448, 0.395822, 0.409993, 0.440756, 0.458511, 0.474397, 0.484535, 0.50291, 0.516342, 0.526121, 0.544269, 0.561447, 0.580724, 0.59936");
-            values ( \
-              "0.0566225, 0.0614193, 0.138862, 0.185731, 0.194785, 0.210702, 0.218979, 0.224134, 0.228289, 0.226795, 0.222447, 0.202263, 0.121675, 0.0834593, 0.0575353, 0.0448294, 0.0282895, 0.020022, 0.0155285, 0.00960494, 0.00604411, 0.00357634, 0.00223255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.340361, 0.362445, 0.398639, 0.413401, 0.438149, 0.452291, 0.480573, 0.492068, 0.503255, 0.513813, 0.525246, 0.536818, 0.542373, 0.553484, 0.571356, 0.593382, 0.618752, 0.672271, 0.696965, 0.722136, 0.742999, 0.761587, 0.786371, 0.806721, 0.828978, 0.858654, 0.9133, 0.953576");
-            values ( \
-              "0.0656289, 0.0685075, 0.136951, 0.162261, 0.199004, 0.216139, 0.241795, 0.248927, 0.254331, 0.257722, 0.25978, 0.259834, 0.259419, 0.257239, 0.250534, 0.233286, 0.200676, 0.124643, 0.0951803, 0.0705642, 0.0542617, 0.0426104, 0.0305038, 0.0230768, 0.0169436, 0.0111008, 0.00491748, 0.00306233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.472601, 0.524354, 0.599912, 0.63108, 0.670488, 0.694156, 0.725075, 0.759435, 0.801386, 0.82778, 0.863008, 0.899194, 0.950539, 0.97744, 1.01331, 1.04744, 1.16167, 1.20907, 1.27459, 1.31871, 1.35521, 1.39296, 1.44672, 1.46981, 1.51599, 1.60835, 1.72168, 1.83501, 1.94833");
-            values ( \
-              "0.0574441, 0.07106, 0.147504, 0.175875, 0.207289, 0.223557, 0.241367, 0.25624, 0.269312, 0.27496, 0.279038, 0.279151, 0.272095, 0.263471, 0.245665, 0.223392, 0.139993, 0.109667, 0.0757203, 0.0579617, 0.0461816, 0.036309, 0.025496, 0.0219161, 0.0160662, 0.00846794, 0.0037435, 0.00165956, 0.000726283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.780775, 0.886313, 1.04947, 1.139, 1.23601, 1.2898, 1.33486, 1.38706, 1.43292, 1.5018, 1.56498, 1.6783, 1.72505, 1.77397, 1.82468, 1.92564, 2.04049, 2.28238, 2.37381, 2.43829, 2.51008, 2.60473, 2.68906, 2.80149, 2.89457, 2.99623, 3.10955, 3.22288, 3.33621, 3.44953, 3.67619, 3.90284");
-            values ( \
-              "0.0716504, 0.0725488, 0.152962, 0.192142, 0.227396, 0.243757, 0.255434, 0.266854, 0.274798, 0.283679, 0.288477, 0.290319, 0.288381, 0.284488, 0.278302, 0.25743, 0.222017, 0.138696, 0.111369, 0.0944925, 0.0780634, 0.0600028, 0.0471383, 0.0338113, 0.0255328, 0.0187368, 0.0131982, 0.00924922, 0.00648786, 0.0045244, 0.00220207, 0.00106782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.399507, 0.409765, 0.414587, 0.416902, 0.419216, 0.42052, 0.423127, 0.425572, 0.426715, 0.428999, 0.43144, 0.433543, 0.436123, 0.438765, 0.442581, 0.445682, 0.447244, 0.448805, 0.450367, 0.451928, 0.453489, 0.455051, 0.456612, 0.458251, 0.459337, 0.459958, 0.460578, 0.461682, 0.462786, 0.464166, 0.465547, 0.466928, 0.468308, 0.469689, 0.471069, 0.474503, 0.477197, 0.479338, 0.47995, 0.481785, 0.483009, 0.484232, 0.486679, 0.490176, 0.49317, 0.495393, 0.497616, 0.502566, 0.506219, 0.507596");
-            values ( \
-              "0.0407462, 0.0417744, 0.0555643, 0.0613789, 0.066776, 0.0696012, 0.0749157, 0.0794942, 0.0814974, 0.0852459, 0.0888251, 0.0916693, 0.0949377, 0.0980791, 0.1021, 0.105622, 0.10548, 0.105218, 0.104836, 0.104334, 0.103712, 0.102971, 0.10211, 0.101038, 0.0984124, 0.096639, 0.0947228, 0.0909622, 0.08675, 0.0801641, 0.0740686, 0.0686952, 0.0636579, 0.0589567, 0.0545917, 0.045468, 0.0386102, 0.0338828, 0.0326671, 0.0292451, 0.0272076, 0.025283, 0.0217724, 0.0174312, 0.0140685, 0.0122431, 0.0106458, 0.00806871, 0.00627658, 0.00565798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.424998, 0.441665, 0.444076, 0.447816, 0.451842, 0.456035, 0.460157, 0.465749, 0.468171, 0.470642, 0.473114, 0.475585, 0.478057, 0.480351, 0.482645, 0.48494, 0.487234, 0.489476, 0.491718, 0.49396, 0.496203, 0.498442, 0.500681, 0.50292, 0.505445, 0.506017, 0.507161, 0.510593, 0.514025, 0.516444, 0.520072, 0.521281, 0.523316, 0.527385, 0.52942, 0.532868, 0.53371, 0.537922, 0.539849, 0.543102, 0.545997, 0.548893, 0.550421, 0.55195, 0.553479, 0.561247, 0.563128, 0.565009, 0.568771, 0.573986");
-            values ( \
-              "0.0811594, 0.0836363, 0.090075, 0.0994287, 0.108888, 0.118345, 0.127179, 0.138647, 0.143442, 0.147724, 0.151558, 0.154942, 0.157877, 0.159396, 0.160616, 0.161535, 0.162154, 0.162468, 0.162496, 0.162236, 0.16169, 0.16026, 0.158306, 0.155826, 0.152326, 0.150886, 0.147357, 0.13544, 0.122376, 0.112444, 0.0990495, 0.0949092, 0.0885138, 0.0766905, 0.0712624, 0.0627998, 0.0604972, 0.051009, 0.0471977, 0.0414489, 0.0368342, 0.0326915, 0.0274174, 0.0265577, 0.0255001, 0.0184307, 0.0170086, 0.0156778, 0.0132899, 0.0105447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.469229, 0.495016, 0.505818, 0.509597, 0.513376, 0.517156, 0.520935, 0.525387, 0.529839, 0.534291, 0.538743, 0.543155, 0.547566, 0.550507, 0.55457, 0.556843, 0.56031, 0.563893, 0.5697, 0.574793, 0.579886, 0.582269, 0.584652, 0.586539, 0.588426, 0.590313, 0.5922, 0.594273, 0.596345, 0.60049, 0.606581, 0.608611, 0.619703, 0.624118, 0.627061, 0.631384, 0.637085, 0.641793, 0.652302, 0.657238, 0.661906, 0.66795, 0.670972, 0.679024, 0.683481, 0.690168, 0.695606, 0.698815, 0.705233, 0.712153");
-            values ( \
-              "0.108578, 0.114349, 0.141956, 0.150175, 0.157985, 0.165388, 0.172382, 0.180098, 0.187248, 0.193831, 0.199848, 0.204993, 0.209695, 0.212004, 0.214964, 0.216443, 0.218476, 0.220293, 0.22056, 0.220339, 0.219693, 0.218672, 0.217339, 0.215753, 0.213868, 0.211686, 0.209207, 0.206067, 0.202616, 0.194784, 0.180772, 0.175841, 0.146874, 0.135024, 0.127555, 0.117283, 0.104639, 0.0949852, 0.0747083, 0.0666932, 0.0598307, 0.051838, 0.0481968, 0.0394162, 0.034998, 0.0296897, 0.0259489, 0.0239479, 0.0203308, 0.0169726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.533778, 0.563997, 0.594226, 0.606645, 0.63141, 0.645875, 0.673204, 0.687927, 0.707597, 0.718342, 0.727313, 0.736204, 0.75049, 0.765212, 0.787097, 0.812622, 0.865285, 0.890838, 0.916187, 0.936949, 0.955316, 0.979804, 1.00965, 1.02803, 1.0648, 1.1181, 1.15138");
-            values ( \
-              "0.0652714, 0.0812696, 0.139037, 0.160025, 0.197381, 0.214834, 0.24034, 0.249547, 0.25729, 0.259198, 0.259577, 0.25903, 0.256143, 0.250309, 0.233371, 0.200654, 0.125751, 0.0951599, 0.0703868, 0.0542392, 0.0427274, 0.0306581, 0.0203204, 0.0157885, 0.00923814, 0.00423229, 0.00292244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.669423, 0.719929, 0.796153, 0.826735, 0.866102, 0.889803, 0.92073, 0.955095, 0.997019, 1.02337, 1.05887, 1.09487, 1.1462, 1.17316, 1.20912, 1.24286, 1.35829, 1.4051, 1.46789, 1.51377, 1.55205, 1.60309, 1.64639, 1.70417, 1.7812, 1.89452, 2.00785, 2.12118");
-            values ( \
-              "0.0607299, 0.0709416, 0.14802, 0.175822, 0.207225, 0.223508, 0.241332, 0.256214, 0.269285, 0.274935, 0.279041, 0.279142, 0.272097, 0.263451, 0.245585, 0.223576, 0.139355, 0.109457, 0.0767902, 0.058178, 0.0458407, 0.0330214, 0.0248335, 0.0169097, 0.00997731, 0.00442429, 0.00195847, 0.000861547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.00429, 1.12896, 1.23095, 1.34777, 1.4504, 1.53056, 1.58304, 1.62915, 1.72137, 1.76126, 1.82621, 1.89009, 1.98957, 2.02096, 2.08375, 2.16235, 2.27568, 2.4258, 2.5176, 2.59051, 2.66314, 2.75431, 2.81382, 2.91199, 3.0189, 3.10066, 3.18225, 3.29103, 3.40436, 3.51768, 3.63101, 3.74434, 3.85766, 4.08432");
-            values ( \
-              "0.0890059, 0.0953056, 0.146084, 0.197121, 0.233213, 0.255393, 0.266737, 0.274886, 0.285788, 0.288591, 0.290536, 0.289795, 0.282428, 0.278307, 0.266585, 0.246022, 0.208463, 0.156108, 0.12652, 0.105759, 0.0876124, 0.0684821, 0.0578413, 0.0437064, 0.0317156, 0.024881, 0.0194237, 0.0137874, 0.00976196, 0.00675347, 0.00480513, 0.00327481, 0.00236676, 0.00117501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.736851, 0.752574, 0.754573, 0.756566, 0.758558, 0.759887, 0.762544, 0.766314, 0.770085, 0.774135, 0.77988, 0.78327, 0.784539, 0.788346, 0.792108, 0.795869, 0.796594, 0.799491, 0.801473, 0.803454, 0.804923, 0.806393, 0.807862, 0.809332, 0.810757, 0.812183, 0.813608, 0.814936, 0.816264, 0.817592, 0.818975, 0.822383, 0.825023, 0.82708, 0.829435, 0.830613, 0.8336, 0.834826, 0.83646, 0.838095, 0.840775, 0.842115, 0.845258, 0.847923, 0.849745, 0.853388, 0.856121, 0.857639, 0.860677, 0.865872");
-            values ( \
-              "0.0304343, 0.0318578, 0.0354218, 0.0395001, 0.0432356, 0.0455898, 0.0500448, 0.0556114, 0.06085, 0.0660095, 0.0730301, 0.0769246, 0.0781433, 0.081594, 0.084701, 0.0875076, 0.0878593, 0.0898208, 0.0898706, 0.0897871, 0.089639, 0.0894176, 0.0891228, 0.0887547, 0.0876474, 0.0853893, 0.0827538, 0.0798499, 0.0766912, 0.0732775, 0.0694517, 0.0577423, 0.0503275, 0.0451675, 0.0398769, 0.0374659, 0.0317594, 0.0295193, 0.0270129, 0.0246728, 0.0212871, 0.0196934, 0.0161737, 0.0137767, 0.0122767, 0.00995637, 0.00842608, 0.00765371, 0.00627609, 0.00464464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.739428, 0.758005, 0.764441, 0.767707, 0.774241, 0.781627, 0.782298, 0.786326, 0.795688, 0.802207, 0.808709, 0.812961, 0.82056, 0.826221, 0.832539, 0.837756, 0.84336, 0.848416, 0.858527, 0.86083, 0.863286, 0.868198, 0.870478, 0.873517, 0.879595, 0.883329, 0.888308, 0.893958, 0.898287, 0.904124, 0.910796, 0.916675, 0.919078, 0.923884, 0.933495, 0.938811, 0.940949, 0.945225, 0.952336, 0.959169, 0.96857, 0.977577, 0.98701, 0.993131");
-            values ( \
-              "0.0039262, 0.0128311, 0.0178005, 0.0209983, 0.0284411, 0.0399061, 0.0411639, 0.0491578, 0.0690534, 0.0816293, 0.0931849, 0.100394, 0.112498, 0.120697, 0.128641, 0.133962, 0.138483, 0.141657, 0.145027, 0.144778, 0.144007, 0.139753, 0.135875, 0.128898, 0.110399, 0.0983279, 0.0838288, 0.0690774, 0.0591305, 0.047617, 0.0366381, 0.0289176, 0.0262186, 0.0214124, 0.0141323, 0.0112176, 0.0102551, 0.00857559, 0.00662504, 0.00520309, 0.00376165, 0.00270257, 0.00191428, 0.00153834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.800255, 0.829529, 0.835638, 0.843785, 0.869166, 0.886647, 0.900481, 0.915413, 0.925147, 0.933236, 0.947839, 0.953906, 0.959999, 0.966051, 0.977594, 0.982874, 0.991536, 1.01142, 1.03318, 1.04541, 1.05612, 1.07333, 1.08709, 1.097, 1.11156, 1.13018, 1.15146, 1.17739, 1.20865");
-            values ( \
-              "0.0116011, 0.0335058, 0.0416671, 0.0547834, 0.104592, 0.135228, 0.156038, 0.175879, 0.186662, 0.193866, 0.202316, 0.203812, 0.20435, 0.203109, 0.194671, 0.186593, 0.169099, 0.122257, 0.0789807, 0.0601145, 0.0468624, 0.0308787, 0.0217847, 0.0168923, 0.0115528, 0.00701352, 0.00396376, 0.0019802, 0.00088765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.891538, 0.940038, 0.953116, 1.0243, 1.04762, 1.06663, 1.08237, 1.10501, 1.11365, 1.12645, 1.14355, 1.16163, 1.17346, 1.19511, 1.22696, 1.26889, 1.29072, 1.31021, 1.34643, 1.36714, 1.39475, 1.41888, 1.43198, 1.45818, 1.51058, 1.58697, 1.67978");
-            values ( \
-              "0.0142533, 0.0468261, 0.0637026, 0.168272, 0.196437, 0.215311, 0.228093, 0.241368, 0.244769, 0.248172, 0.249628, 0.247682, 0.243799, 0.230027, 0.192056, 0.133397, 0.105947, 0.0851445, 0.0545476, 0.041711, 0.0287576, 0.0206558, 0.017204, 0.0118995, 0.00546239, 0.00162544, 0.00033398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.10629, 1.18304, 1.1948, 1.21557, 1.24817, 1.25742, 1.26976, 1.2941, 1.31585, 1.33305, 1.35312, 1.36758, 1.39132, 1.40021, 1.41798, 1.4406, 1.46194, 1.49229, 1.52177, 1.57544, 1.58225, 1.59588, 1.62026, 1.64739, 1.70163, 1.72316, 1.72619, 1.74433, 1.76775, 1.81087, 1.84417, 1.85532, 1.87761, 1.9222, 1.94727, 1.96525, 1.98923, 2.03719, 2.07709, 2.09048, 2.11725, 2.1708, 2.27791, 2.39123, 2.50456, 2.61789");
-            values ( \
-              "0.0755929, 0.10653, 0.118486, 0.138815, 0.168439, 0.176486, 0.186784, 0.205695, 0.220825, 0.231524, 0.242187, 0.248847, 0.258098, 0.261225, 0.266515, 0.272045, 0.275645, 0.278598, 0.278559, 0.271135, 0.269097, 0.264766, 0.254035, 0.238672, 0.20037, 0.184592, 0.182111, 0.168632, 0.151785, 0.122509, 0.102571, 0.0965306, 0.0849684, 0.0653143, 0.0559659, 0.050064, 0.0431013, 0.0315683, 0.0243419, 0.0222338, 0.0186565, 0.0129517, 0.0061042, 0.00266574, 0.00120928, 0.000497412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.38997, 1.51313, 1.63976, 1.74556, 1.82725, 1.91895, 1.96359, 2.01604, 2.06211, 2.15426, 2.19423, 2.25919, 2.32307, 2.4225, 2.45394, 2.51683, 2.59534, 2.70867, 2.86555, 2.96976, 3.02397, 3.07803, 3.14741, 3.23705, 3.31256, 3.39573, 3.50906, 3.56478, 3.63833, 3.73639, 3.84972, 3.96305, 4.07637, 4.30303, 4.52968");
-            values ( \
-              "0.0497569, 0.0713803, 0.134461, 0.182739, 0.214362, 0.243662, 0.255346, 0.26673, 0.274832, 0.285781, 0.288548, 0.290544, 0.289759, 0.282449, 0.278275, 0.266582, 0.245993, 0.208486, 0.15381, 0.120814, 0.105637, 0.0919049, 0.07641, 0.0594661, 0.0479126, 0.0376092, 0.0266826, 0.0225427, 0.0180094, 0.0133506, 0.00931665, 0.00657499, 0.00454644, 0.00220178, 0.00105686" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0126229, 0.0126692, 0.0127218, 0.0127654, 0.0127929, 0.0128076", \
-            "0.0159492, 0.0160024, 0.0160704, 0.0161334, 0.0161772, 0.0162019", \
-            "0.0180727, 0.0181139, 0.0181738, 0.0182375, 0.0182869, 0.0183165", \
-            "0.0192635, 0.0192825, 0.019316, 0.0193593, 0.019398, 0.0194248", \
-            "0.019994, 0.019968, 0.0199426, 0.0199288, 0.0199306, 0.0199384", \
-            "0.0204679, 0.0204239, 0.0203607, 0.0202852, 0.0202229, 0.0201886" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0189523, 0.019012, 0.0190862, 0.0191524, 0.0191951, 0.0192177", \
-            "0.0201431, 0.0201579, 0.0201735, 0.0201848, 0.0201927, 0.0201915", \
-            "0.0196612, 0.0195358, 0.0193822, 0.0192446, 0.0191524, 0.0191009", \
-            "0.0193349, 0.0190807, 0.0187693, 0.0184966, 0.0183057, 0.0181941", \
-            "0.0198671, 0.0194778, 0.0189021, 0.0183767, 0.0180123, 0.0178156", \
-            "0.0178653, 0.0180059, 0.018404, 0.0187358, 0.0181995, 0.0178035" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.115504, 0.124645, 0.129113, 0.14307, 0.155082, 0.161549, 0.167428, 0.17294, 0.178308, 0.183911, 0.194448, 0.199284, 0.204902, 0.212117, 0.216684");
-            values ( \
-              "-0.0142985, -0.0231572, -0.03125, -0.0703269, -0.0955386, -0.107046, -0.11591, -0.121678, -0.122928, -0.109991, -0.0498028, -0.029903, -0.0156584, -0.00663935, -0.00404965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.133708, 0.142483, 0.145185, 0.150588, 0.154516, 0.161376, 0.164442, 0.170574, 0.178404, 0.189467, 0.199076, 0.207851, 0.216127, 0.224246, 0.232355, 0.233736, 0.235579, 0.243281, 0.246118, 0.24918, 0.253198, 0.254372, 0.256722, 0.261422, 0.265579, 0.267579, 0.27158, 0.279582, 0.289417, 0.291891");
-            values ( \
-              "-0.0209219, -0.0333382, -0.0366946, -0.048606, -0.0586262, -0.0805135, -0.0881026, -0.104108, -0.121339, -0.144775, -0.161165, -0.17405, -0.181137, -0.181666, -0.161576, -0.15619, -0.146543, -0.101949, -0.0868053, -0.0720318, -0.0554291, -0.0512105, -0.0435459, -0.0310647, -0.0228197, -0.0196474, -0.0144818, -0.00769712, -0.00350419, -0.00304687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.167318, 0.174354, 0.184981, 0.18852, 0.195599, 0.204099, 0.220865, 0.237612, 0.24459, 0.263335, 0.280433, 0.284289, 0.289432, 0.294819, 0.304971, 0.309148, 0.31623, 0.323257, 0.333114, 0.33839, 0.356668, 0.363469, 0.372538, 0.377238, 0.383137, 0.389104, 0.397509, 0.400878, 0.407617, 0.421094, 0.440538");
-            values ( \
-              "-0.0224448, -0.0294451, -0.0427798, -0.049558, -0.0610852, -0.0796506, -0.117412, -0.147968, -0.161139, -0.190708, -0.212471, -0.21667, -0.221694, -0.22622, -0.232398, -0.233757, -0.234193, -0.230911, -0.21653, -0.201672, -0.128486, -0.103554, -0.0756234, -0.063744, -0.051084, -0.0405361, -0.0290076, -0.0253335, -0.0192667, -0.0109443, -0.0050076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.233116, 0.279152, 0.296809, 0.332441, 0.362597, 0.39022, 0.407568, 0.431136, 0.451421, 0.464088, 0.48476, 0.49901, 0.512401, 0.536324, 0.546318, 0.556845, 0.568739, 0.609251, 0.617606, 0.634315, 0.645584, 0.659637, 0.678374, 0.691865, 0.69874, 0.712489, 0.739989, 0.788542, 0.840981");
-            values ( \
-              "-0.0113048, -0.0530726, -0.0738546, -0.123272, -0.160308, -0.190635, -0.207804, -0.22788, -0.242603, -0.250947, -0.26259, -0.268686, -0.27242, -0.271226, -0.265399, -0.25375, -0.232, -0.135352, -0.118158, -0.0883745, -0.0720326, -0.0553397, -0.038398, -0.0293905, -0.0256034, -0.0193822, -0.0108898, -0.00363945, -0.00111157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.386427, 0.478654, 0.640256, 0.691636, 0.763085, 0.811259, 0.846646, 0.906585, 0.963479, 1.01995, 1.07638, 1.14276, 1.18951, 1.24363, 1.27155, 1.30878, 1.34139, 1.39078, 1.45664, 1.56698, 1.68549");
-            values ( \
-              "-0.0187981, -0.0533165, -0.164513, -0.194712, -0.232647, -0.254711, -0.268599, -0.286999, -0.296626, -0.292447, -0.253659, -0.170569, -0.119804, -0.0761932, -0.0595729, -0.0423506, -0.0312878, -0.0195824, -0.0102283, -0.0030677, -0.000863462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.749254, 0.929089, 1.01936, 1.17085, 1.323, 1.42193, 1.58171, 1.72167, 1.76455, 1.85032, 1.96883, 1.99774, 2.03078, 2.09419, 2.2127, 2.39147, 2.45785, 2.5666, 2.61492, 2.67548, 2.76035, 2.84844, 2.91589, 3.0344, 3.15291, 3.27142, 3.50844");
-            values ( \
-              "-0.0283211, -0.0546373, -0.0839616, -0.136936, -0.184408, -0.21276, -0.252729, -0.280616, -0.287549, -0.299206, -0.308487, -0.309161, -0.308821, -0.303605, -0.264197, -0.158549, -0.12521, -0.082711, -0.0682227, -0.0534101, -0.0371867, -0.0255317, -0.0191623, -0.0111749, -0.00665402, -0.00377479, -0.00123703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.135737, 0.142989, 0.149497, 0.159701, 0.173088, 0.185404, 0.190906, 0.196267, 0.201863, 0.217235, 0.222845, 0.230035, 0.231911");
-            values ( \
-              "-0.0163153, -0.0236941, -0.0370333, -0.067649, -0.0960575, -0.116315, -0.121688, -0.12324, -0.110052, -0.0298916, -0.0156626, -0.00665666, -0.00558832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.151234, 0.163566, 0.167093, 0.172893, 0.182822, 0.192762, 0.196786, 0.203069, 0.20781, 0.217448, 0.226218, 0.234493, 0.242612, 0.25072, 0.2521, 0.264484, 0.267546, 0.272737, 0.279784, 0.283943, 0.289946, 0.297951, 0.303462");
-            values ( \
-              "-0.0204063, -0.0368735, -0.0443158, -0.0583726, -0.0897034, -0.111691, -0.122434, -0.13438, -0.145011, -0.160937, -0.174287, -0.180949, -0.181869, -0.161413, -0.156225, -0.0868001, -0.072032, -0.0512113, -0.0310684, -0.0228196, -0.0144793, -0.0076944, -0.00534597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.188345, 0.20699, 0.210397, 0.213804, 0.22275, 0.235384, 0.239596, 0.245557, 0.251518, 0.257479, 0.263439, 0.272847, 0.282254, 0.29047, 0.294578, 0.298686, 0.302445, 0.306205, 0.309965, 0.313724, 0.316314, 0.321494, 0.324083, 0.328086, 0.331628, 0.335169, 0.336925, 0.338681, 0.340437, 0.342193, 0.344659, 0.347124, 0.34959, 0.352056, 0.354691, 0.357327, 0.362597, 0.367868, 0.377871, 0.382409, 0.384679, 0.389217, 0.391487, 0.396666, 0.39912, 0.402064, 0.405049, 0.408034, 0.41434, 0.419814");
-            values ( \
-              "-0.0224157, -0.0484725, -0.0542281, -0.0607128, -0.0812065, -0.108972, -0.11792, -0.129475, -0.140623, -0.151364, -0.161698, -0.177178, -0.191644, -0.202773, -0.207894, -0.212719, -0.216698, -0.220364, -0.223716, -0.226755, -0.228525, -0.231496, -0.232697, -0.233401, -0.233557, -0.233277, -0.232576, -0.231609, -0.230376, -0.228877, -0.226136, -0.222773, -0.218789, -0.214182, -0.207373, -0.199652, -0.180035, -0.159654, -0.119032, -0.102885, -0.0954498, -0.0817467, -0.0754792, -0.0623913, -0.0566537, -0.0504073, -0.0450908, -0.040209, -0.0314872, -0.0246989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.256397, 0.298199, 0.305615, 0.361436, 0.390769, 0.427585, 0.442899, 0.466023, 0.48777, 0.50203, 0.522678, 0.531303, 0.544953, 0.558451, 0.585446, 0.621872, 0.643413, 0.664891, 0.674506, 0.693736, 0.710525, 0.73792, 0.774446, 0.823354, 0.878475");
-            values ( \
-              "-0.0175138, -0.0533875, -0.0616252, -0.135889, -0.170832, -0.208974, -0.222233, -0.239649, -0.253918, -0.261816, -0.270303, -0.272435, -0.273335, -0.269585, -0.237354, -0.149858, -0.105432, -0.0717406, -0.0599607, -0.0412673, -0.0296006, -0.016967, -0.00774992, -0.00254575, -0.000756802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.454046, 0.522829, 0.663841, 0.726279, 0.781837, 0.830967, 0.865739, 0.925579, 0.982508, 1.03896, 1.09537, 1.16782, 1.20333, 1.25504, 1.30633, 1.34472, 1.3837, 1.41342, 1.47286, 1.58297, 1.70148");
-            values ( \
-              "-0.0693355, -0.0694905, -0.167636, -0.203597, -0.232674, -0.255144, -0.26882, -0.287101, -0.296774, -0.292501, -0.25374, -0.163339, -0.124836, -0.0812678, -0.0516133, -0.0361795, -0.0251303, -0.0189253, -0.010544, -0.00319994, -0.000874062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.74849, 0.947355, 1.3136, 1.44008, 1.59998, 1.78281, 1.86857, 1.98708, 2.016, 2.04906, 2.11244, 2.23095, 2.4761, 2.58485, 2.69373, 2.77859, 2.86669, 3.05265, 3.20924");
-            values ( \
-              "-0.0203349, -0.054696, -0.176049, -0.212669, -0.252674, -0.287617, -0.299152, -0.308556, -0.309171, -0.308828, -0.303615, -0.2642, -0.125212, -0.0827128, -0.0534099, -0.037188, -0.0255327, -0.0111757, -0.00628638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.178012, 0.183768, 0.188094, 0.191768, 0.198576, 0.207957, 0.215367, 0.221836, 0.227704, 0.233207, 0.238568, 0.244165, 0.254675, 0.259539, 0.261824, 0.265147, 0.269151, 0.272334, 0.278529, 0.285546, 0.292577, 0.29332");
-            values ( \
-              "-0.0162466, -0.021024, -0.0284911, -0.0372448, -0.0589862, -0.0808994, -0.0958882, -0.107173, -0.116198, -0.121759, -0.123142, -0.110061, -0.0499331, -0.0298888, -0.023098, -0.0156632, -0.00975877, -0.0066582, -0.0031129, -0.00130436, -0.000569309, -0.000554749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.19304, 0.205889, 0.212227, 0.233028, 0.250064, 0.259671, 0.26844, 0.276712, 0.284827, 0.293393, 0.306691, 0.314947, 0.322001, 0.326156, 0.332155, 0.340154, 0.347661");
-            values ( \
-              "-0.0208148, -0.0367445, -0.0511471, -0.108457, -0.144766, -0.16142, -0.17403, -0.18135, -0.181627, -0.160238, -0.0868273, -0.051208, -0.0310503, -0.0228152, -0.0144824, -0.0076987, -0.00450245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.228522, 0.23458, 0.245915, 0.249415, 0.256416, 0.264992, 0.281759, 0.298499, 0.305473, 0.324215, 0.341309, 0.345163, 0.3503, 0.355695, 0.365836, 0.370022, 0.377103, 0.38413, 0.39402, 0.399262, 0.419242, 0.427149, 0.435582, 0.440959, 0.444681, 0.450508, 0.458629, 0.461756, 0.468008, 0.480513, 0.502733");
-            values ( \
-              "-0.0226187, -0.028538, -0.0429456, -0.0494777, -0.0610191, -0.0795884, -0.117532, -0.147931, -0.161241, -0.190805, -0.212503, -0.216696, -0.22171, -0.226245, -0.232411, -0.233778, -0.23421, -0.230937, -0.216477, -0.201697, -0.12184, -0.0942077, -0.0698951, -0.057306, -0.0497695, -0.0396943, -0.028715, -0.0253319, -0.0196475, -0.0116595, -0.00439306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.31194, 0.341476, 0.43346, 0.470269, 0.485574, 0.517213, 0.544707, 0.5652, 0.573933, 0.587578, 0.601071, 0.628058, 0.664497, 0.685982, 0.707498, 0.736403, 0.753135, 0.780447, 0.816862, 0.865769, 0.920856");
-            values ( \
-              "-0.0458366, -0.0545059, -0.171146, -0.209241, -0.222347, -0.245718, -0.261987, -0.270379, -0.272513, -0.273425, -0.269641, -0.237412, -0.149848, -0.105509, -0.0717416, -0.0412153, -0.0295951, -0.0169914, -0.00778647, -0.0025532, -0.000763748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.464564, 0.540961, 0.706933, 0.789013, 0.843931, 0.908973, 0.968896, 1.02578, 1.08225, 1.13867, 1.22285, 1.26433, 1.30633, 1.36501, 1.40283, 1.46388, 1.54527, 1.65889, 1.7774");
-            values ( \
-              "-0.0337508, -0.0532781, -0.167399, -0.213982, -0.241541, -0.268634, -0.287019, -0.296653, -0.292459, -0.253677, -0.149998, -0.108226, -0.0758048, -0.0447199, -0.0314848, -0.0175889, -0.00774473, -0.0021913, -0.000645611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.897233, 1.08507, 1.22766, 1.36887, 1.48474, 1.64475, 1.76326, 1.82696, 1.91302, 2.03153, 2.06122, 2.09516, 2.15699, 2.2755, 2.45427, 2.52071, 2.62941, 2.73826, 2.82314, 2.91125, 2.97873, 3.09724, 3.21575, 3.33426, 3.57127");
-            values ( \
-              "-0.0634598, -0.0848866, -0.134918, -0.179284, -0.212707, -0.252733, -0.276846, -0.287546, -0.299153, -0.308544, -0.309178, -0.308781, -0.303614, -0.264199, -0.158551, -0.125185, -0.0827089, -0.0534134, -0.0371902, -0.0255311, -0.0191578, -0.0111739, -0.00665163, -0.00377511, -0.00123784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.274755, 0.279161, 0.282409, 0.288974, 0.294075, 0.29925, 0.305164, 0.31258, 0.319019, 0.32488, 0.330377, 0.335735, 0.341328, 0.350113, 0.352997, 0.356068, 0.357893, 0.361541, 0.363847, 0.367711, 0.372862, 0.379923, 0.386504, 0.387075");
-            values ( \
-              "-0.0154475, -0.0202551, -0.0227831, -0.0370888, -0.0536245, -0.0676023, -0.0807724, -0.0960955, -0.107351, -0.116327, -0.121868, -0.123227, -0.110123, -0.0589582, -0.0443769, -0.032036, -0.0261608, -0.0171103, -0.0130453, -0.00822759, -0.0043892, -0.00181265, -0.00082512, -0.000787156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.290788, 0.308211, 0.327849, 0.346194, 0.364535, 0.372798, 0.380908, 0.389469, 0.411023, 0.418088, 0.428237, 0.436234, 0.446232, 0.44757");
-            values ( \
-              "-0.0131916, -0.0505701, -0.105705, -0.145091, -0.174226, -0.181507, -0.181735, -0.160289, -0.0511901, -0.0310135, -0.0144755, -0.00770196, -0.00346038, -0.00321546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.33498, 0.353067, 0.356792, 0.363078, 0.369365, 0.371448, 0.377699, 0.38533, 0.39296, 0.401396, 0.409832, 0.418268, 0.423976, 0.430618, 0.43655, 0.442483, 0.445449, 0.45081, 0.4548, 0.457993, 0.459977, 0.463946, 0.465931, 0.467709, 0.469486, 0.471264, 0.473041, 0.474791, 0.476541, 0.47829, 0.48004, 0.482586, 0.485133, 0.487679, 0.490225, 0.4927, 0.495176, 0.503089, 0.510095, 0.518831, 0.523033, 0.527234, 0.531436, 0.535027, 0.537879, 0.540731, 0.543622, 0.546513, 0.552568, 0.557666");
-            values ( \
-              "-0.0572128, -0.0632444, -0.0706805, -0.0850408, -0.0998756, -0.104345, -0.11734, -0.13236, -0.146452, -0.160938, -0.174717, -0.187789, -0.196084, -0.205048, -0.212052, -0.218165, -0.221058, -0.226011, -0.229146, -0.231203, -0.232295, -0.234046, -0.234706, -0.234638, -0.234375, -0.233919, -0.233268, -0.232438, -0.231421, -0.230215, -0.228821, -0.22598, -0.222488, -0.218343, -0.213545, -0.20732, -0.200114, -0.1704, -0.14163, -0.107767, -0.0939349, -0.0813543, -0.0700247, -0.0612641, -0.0547396, -0.0488751, -0.0438628, -0.0392503, -0.0310349, -0.0247848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.411156, 0.442047, 0.468108, 0.490913, 0.51919, 0.54579, 0.571647, 0.592869, 0.613327, 0.627522, 0.642442, 0.65676, 0.670065, 0.692643, 0.697286, 0.706574, 0.726413, 0.766987, 0.775553, 0.792687, 0.803337, 0.816646, 0.83439, 0.849464, 0.857113, 0.872412, 0.903011, 0.951919, 1.00545");
-            values ( \
-              "-0.0534906, -0.0590724, -0.0924608, -0.124748, -0.159421, -0.188715, -0.213877, -0.231171, -0.245667, -0.254561, -0.262773, -0.268795, -0.27258, -0.271718, -0.269616, -0.263188, -0.232163, -0.135413, -0.117739, -0.0873937, -0.072004, -0.0560976, -0.0397438, -0.029495, -0.0252604, -0.0185522, -0.00968204, -0.00323245, -0.000934768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.585766, 0.665309, 0.799336, 0.865555, 0.940003, 1.00497, 1.06487, 1.12172, 1.17817, 1.23458, 1.34563, 1.39502, 1.44461, 1.48341, 1.52387, 1.55487, 1.61689, 1.69449");
-            values ( \
-              "-0.0535513, -0.0718281, -0.165369, -0.203685, -0.241852, -0.268869, -0.287148, -0.296808, -0.292516, -0.25376, -0.121823, -0.0807448, -0.05206, -0.036357, -0.024906, -0.0185235, -0.0100387, -0.00510783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.980782, 1.14314, 1.31786, 1.45178, 1.58146, 1.74107, 1.85958, 1.9239, 2.00965, 2.12816, 2.15716, 2.19029, 2.25353, 2.37204, 2.5508, 2.61719, 2.72593, 2.83481, 2.91968, 3.00777, 3.07522, 3.19373, 3.31224, 3.43075, 3.66777");
-            values ( \
-              "-0.0626047, -0.0719272, -0.132836, -0.175163, -0.212745, -0.252672, -0.276817, -0.287617, -0.299152, -0.308558, -0.309173, -0.308825, -0.303617, -0.264201, -0.158553, -0.125212, -0.0827131, -0.0534101, -0.0371883, -0.0255328, -0.0191616, -0.0111757, -0.00665316, -0.00377551, -0.00123777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.478213, 0.48845, 0.491551, 0.49273, 0.495088, 0.496694, 0.499103, 0.499906, 0.502913, 0.50592, 0.51012, 0.512219, 0.517309, 0.524982, 0.5277, 0.531336, 0.534776, 0.539639, 0.541136, 0.542634, 0.544131, 0.545628, 0.54681, 0.547992, 0.549174, 0.550356, 0.551398, 0.551919, 0.55244, 0.552961, 0.553482, 0.554438, 0.555395, 0.556352, 0.557309, 0.55844, 0.559572, 0.561834, 0.563709, 0.566336, 0.56784, 0.569662, 0.571756, 0.573302, 0.574477, 0.575652, 0.576508, 0.578221, 0.579077, 0.580549");
-            values ( \
-              "-0.0153899, -0.0158243, -0.0192083, -0.0206087, -0.0235975, -0.0260898, -0.0308596, -0.0325305, -0.0396156, -0.046331, -0.0549289, -0.0590783, -0.0687226, -0.0828246, -0.0876568, -0.0938347, -0.0993727, -0.106632, -0.108485, -0.110182, -0.111723, -0.113109, -0.113778, -0.114247, -0.114515, -0.114583, -0.114475, -0.114099, -0.11358, -0.112916, -0.112108, -0.110068, -0.107662, -0.104889, -0.101751, -0.0973366, -0.0925644, -0.0819473, -0.0720424, -0.0574596, -0.0501795, -0.0422184, -0.033844, -0.0284617, -0.025142, -0.0221281, -0.0201577, -0.0166382, -0.015089, -0.012735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.492136, 0.512438, 0.519119, 0.52427, 0.542026, 0.562359, 0.57297, 0.582001, 0.590477, 0.598744, 0.606999, 0.608302, 0.620943, 0.62801, 0.635032, 0.643594, 0.650263, 0.659155, 0.669631, 0.679624, 0.700519, 0.739658");
-            values ( \
-              "-0.00125749, -0.0277307, -0.0379996, -0.0480554, -0.0929291, -0.136347, -0.155905, -0.169266, -0.177764, -0.178591, -0.159889, -0.154761, -0.0856763, -0.0548877, -0.0337273, -0.0179239, -0.0108022, -0.00535101, -0.00233508, -0.00113865, -0.000446985, -0.000291533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.547332, 0.568033, 0.57206, 0.575124, 0.58023, 0.584316, 0.586702, 0.59386, 0.601923, 0.609985, 0.618171, 0.63045, 0.639849, 0.642502, 0.645315, 0.648129, 0.653756, 0.660024, 0.668896, 0.674104, 0.678473, 0.682842, 0.684226, 0.686072, 0.687917, 0.689762, 0.691698, 0.693634, 0.69557, 0.697505, 0.700696, 0.703887, 0.707078, 0.710269, 0.712712, 0.716377, 0.72737, 0.735247, 0.739436, 0.741531, 0.74572, 0.748581, 0.753771, 0.755939, 0.75883, 0.76172, 0.766412, 0.768759, 0.771105, 0.774687");
-            values ( \
-              "-0.053286, -0.0561048, -0.0640856, -0.0708437, -0.0827194, -0.0927713, -0.0980005, -0.113119, -0.129057, -0.14405, -0.158305, -0.178138, -0.192828, -0.196752, -0.200397, -0.203894, -0.21044, -0.216854, -0.225279, -0.228517, -0.230763, -0.232579, -0.232901, -0.233032, -0.232963, -0.232693, -0.231735, -0.230478, -0.228922, -0.227068, -0.223359, -0.218839, -0.213507, -0.207363, -0.200771, -0.187108, -0.142668, -0.111718, -0.097445, -0.0908509, -0.0786233, -0.0710529, -0.0583161, -0.0533441, -0.0479338, -0.0429592, -0.0358895, -0.0327272, -0.0298134, -0.0258191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.608925, 0.653906, 0.66052, 0.669339, 0.706635, 0.736016, 0.761814, 0.782256, 0.811261, 0.829319, 0.844295, 0.858412, 0.872716, 0.886034, 0.909024, 0.921715, 0.938636, 0.978829, 0.990826, 1.00682, 1.01911, 1.03438, 1.05474, 1.07114, 1.08227, 1.10451, 1.149, 1.20006, 1.25878");
-            values ( \
-              "-0.0111852, -0.0546925, -0.0621465, -0.0728232, -0.124438, -0.160455, -0.188788, -0.209053, -0.232989, -0.24574, -0.255161, -0.262843, -0.268913, -0.272639, -0.271684, -0.264019, -0.23985, -0.144189, -0.118855, -0.0901315, -0.0721228, -0.0541253, -0.0363071, -0.0261786, -0.0209402, -0.0131911, -0.00494495, -0.00151849, -0.000453253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.781346, 0.852541, 1.01742, 1.09985, 1.15466, 1.21968, 1.27958, 1.33645, 1.39291, 1.44932, 1.5335, 1.57496, 1.61698, 1.67568, 1.71347, 1.77449, 1.85584, 1.96896, 2.08747");
-            values ( \
-              "-0.0393365, -0.0540362, -0.167437, -0.214201, -0.241632, -0.268702, -0.287115, -0.296682, -0.292522, -0.253676, -0.150022, -0.108223, -0.0757866, -0.0446907, -0.0314645, -0.017574, -0.00777082, -0.00218848, -0.000670339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.19619, 1.37991, 1.53203, 1.67482, 1.79511, 1.95514, 2.07365, 2.13738, 2.22343, 2.34194, 2.37164, 2.40559, 2.4674, 2.58591, 2.76468, 2.83112, 2.93982, 3.04867, 3.13354, 3.22166, 3.28913, 3.40764, 3.52615, 3.64466, 3.88168");
-            values ( \
-              "-0.0582482, -0.0795305, -0.132915, -0.177911, -0.212706, -0.25274, -0.276821, -0.287526, -0.299165, -0.308525, -0.309176, -0.308778, -0.303613, -0.264199, -0.158552, -0.125186, -0.0827088, -0.0534137, -0.0371898, -0.0255309, -0.0191583, -0.0111736, -0.00665202, -0.00377479, -0.0012375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.891195, 0.904547, 0.907314, 0.909159, 0.911005, 0.91285, 0.914695, 0.91654, 0.917642, 0.919847, 0.920949, 0.923154, 0.924256, 0.928945, 0.933292, 0.936076, 0.93886, 0.941644, 0.947316, 0.952229, 0.956866, 0.961576, 0.966287, 0.982282, 0.984103, 0.985923, 0.987744, 0.989564, 0.991381, 0.993197, 0.995014, 0.99683, 0.997093, 0.997996, 0.998899, 1.00041, 1.00161, 1.00337, 1.00714, 1.00891, 1.01103, 1.01302, 1.01393, 1.01529, 1.0162, 1.01802, 1.01893, 1.02051, 1.0221, 1.02378");
-            values ( \
-              "-0.010374, -0.0107173, -0.0121072, -0.0131087, -0.0141666, -0.0152809, -0.0164514, -0.0176783, -0.0185279, -0.0206083, -0.0217706, -0.0242474, -0.0255619, -0.0319195, -0.0375639, -0.0410549, -0.0444501, -0.0477496, -0.0542267, -0.0598075, -0.0649683, -0.0699889, -0.0748377, -0.0904676, -0.0905449, -0.0904961, -0.0903212, -0.0900202, -0.0895941, -0.0890426, -0.0883654, -0.0875627, -0.0867143, -0.0845267, -0.0819975, -0.0770768, -0.0728547, -0.0662712, -0.0511741, -0.0446444, -0.037531, -0.0312285, -0.0285186, -0.0249036, -0.0228685, -0.0191555, -0.0174775, -0.0149293, -0.0125608, -0.0102365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.925751, 0.946129, 0.950242, 0.952229, 0.954217, 0.956204, 0.96018, 0.962167, 0.966303, 0.970439, 0.974026, 0.9812, 0.984787, 0.990639, 1.0057, 1.01206, 1.01842, 1.02969, 1.04004, 1.04251, 1.04499, 1.04747, 1.04995, 1.05242, 1.05489, 1.05736, 1.05983, 1.06016, 1.06049, 1.06115, 1.06226, 1.06314, 1.0649, 1.06794, 1.07385, 1.07489, 1.07573, 1.07825, 1.08044, 1.08294, 1.08452, 1.0864, 1.08735, 1.08926, 1.09021, 1.09158, 1.09295, 1.09432, 1.0957, 1.09794");
-            values ( \
-              "-0.0228083, -0.0259865, -0.0297175, -0.0318331, -0.034098, -0.036691, -0.042178, -0.0450721, -0.0517247, -0.058195, -0.0635692, -0.0740853, -0.0792272, -0.0874499, -0.108116, -0.116426, -0.124481, -0.138133, -0.150447, -0.150037, -0.149358, -0.148411, -0.147196, -0.145716, -0.143968, -0.141954, -0.139672, -0.139083, -0.138274, -0.136281, -0.132526, -0.129317, -0.122245, -0.10944, -0.0832345, -0.0786971, -0.0753261, -0.0657123, -0.0580462, -0.049755, -0.0448589, -0.0395453, -0.0372627, -0.0329913, -0.0310026, -0.0283456, -0.0258666, -0.0235656, -0.0214426, -0.01833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.982382, 1.00626, 1.01243, 1.01449, 1.01736, 1.02597, 1.03885, 1.0517, 1.06024, 1.07401, 1.08964, 1.10069, 1.1125, 1.11816, 1.12363, 1.13077, 1.1371, 1.14261, 1.14689, 1.15302, 1.15672, 1.15966, 1.16113, 1.1626, 1.1655, 1.1684, 1.1713, 1.1742, 1.17711, 1.18001, 1.18225, 1.18449, 1.19079, 1.19288, 1.20683, 1.20985, 1.21286, 1.2145, 1.21613, 1.21776, 1.2194, 1.22103, 1.22267, 1.22531, 1.22795, 1.23059, 1.23552, 1.24045, 1.24515, 1.24907");
-            values ( \
-              "-0.0326747, -0.0335951, -0.0396758, -0.0418365, -0.0451808, -0.0559795, -0.0745353, -0.0939993, -0.1065, -0.125571, -0.146813, -0.161554, -0.176677, -0.183199, -0.18903, -0.196255, -0.20237, -0.206535, -0.209195, -0.212762, -0.214504, -0.214843, -0.214799, -0.214613, -0.213826, -0.212484, -0.210392, -0.207615, -0.204153, -0.200006, -0.195449, -0.190198, -0.169994, -0.162898, -0.112673, -0.102593, -0.0935415, -0.0835335, -0.0706296, -0.0704856, -0.0697009, -0.0682753, -0.066209, -0.0615156, -0.0558076, -0.0505662, -0.0423017, -0.0351216, -0.029388, -0.0248737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.03019, 1.11237, 1.11604, 1.12338, 1.13805, 1.15183, 1.15648, 1.16578, 1.18362, 1.21345, 1.2164, 1.2223, 1.23137, 1.23723, 1.24233, 1.25252, 1.26604, 1.27974, 1.29136, 1.30239, 1.31221, 1.32691, 1.34184, 1.35639, 1.36983, 1.39475, 1.39734, 1.40254, 1.41293, 1.4266, 1.44951, 1.46306, 1.46725, 1.47564, 1.4924, 1.50132, 1.50366, 1.50833, 1.51768, 1.53638, 1.54653, 1.54999, 1.55692, 1.57079, 1.59852, 1.63157");
-            values ( \
-              "-0.00320676, -0.0331114, -0.0359983, -0.0422424, -0.0558227, -0.0709031, -0.076501, -0.088185, -0.11094, -0.146627, -0.149865, -0.156618, -0.167096, -0.173615, -0.17919, -0.189895, -0.203174, -0.215383, -0.224854, -0.233316, -0.24048, -0.250273, -0.258857, -0.265458, -0.269575, -0.26883, -0.267498, -0.264645, -0.254597, -0.230987, -0.175996, -0.144267, -0.135052, -0.117885, -0.0881748, -0.0750881, -0.071925, -0.0659767, -0.05532, -0.0384298, -0.031445, -0.0293557, -0.0255591, -0.0192994, -0.0108031, -0.00593801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.28551, 1.3631, 1.50098, 1.57338, 1.6371, 1.70208, 1.76183, 1.81885, 1.8753, 1.93171, 2.03968, 2.09138, 2.14266, 2.18106, 2.22004, 2.24976, 2.3092, 2.41905, 2.53756");
-            values ( \
-              "-0.0584155, -0.0721183, -0.168273, -0.209462, -0.241787, -0.268821, -0.287066, -0.296783, -0.292493, -0.253753, -0.124835, -0.0812706, -0.0516129, -0.0361822, -0.0251312, -0.0189243, -0.0105442, -0.00321036, -0.000877744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0, 1.02648, 1.3831, 1.4501, 1.55797, 1.67648, 1.78029, 2.1445, 2.27339, 2.43309, 2.61583, 2.70146, 2.81997, 2.84998, 2.88427, 2.94546, 3.06397, 3.30923, 3.41788, 3.5267, 3.61158, 3.69973, 3.76722, 3.88573, 4.00424, 4.06972");
-            values ( \
-              "-1.53724e-06, -0.00100952, -9.71456e-05, -0.000866892, -0.00695144, -0.0270477, -0.0547185, -0.175414, -0.212831, -0.252772, -0.28762, -0.299204, -0.308549, -0.309206, -0.308785, -0.303633, -0.264207, -0.125162, -0.0827056, -0.0534179, -0.0371918, -0.0255293, -0.0191548, -0.0111717, -0.00665066, -0.00506135" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0120542, 0.0120951, 0.0121438, 0.0121864, 0.0122147, 0.0122303", \
-            "0.0142267, 0.0142572, 0.0143016, 0.0143485, 0.0143842, 0.0144056", \
-            "0.0155504, 0.0155641, 0.0155893, 0.0156229, 0.0156545, 0.0156759", \
-            "0.0163785, 0.0163806, 0.0163839, 0.0163943, 0.0164102, 0.0164245", \
-            "0.0168693, 0.0168626, 0.0168521, 0.0168408, 0.0168347, 0.0168349", \
-            "0.017145, 0.0171355, 0.0171192, 0.0170971, 0.0170752, 0.0170607" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0168006, 0.0168487, 0.0168989, 0.0169527, 0.0169914, 0.0170135", \
-            "0.0186862, 0.0186889, 0.018701, 0.0187216, 0.0187404, 0.0187523", \
-            "0.0200205, 0.0199772, 0.0199226, 0.0198741, 0.0198425, 0.0198255", \
-            "0.0210662, 0.0209684, 0.0208364, 0.0207013, 0.0205962, 0.0205326", \
-            "0.0220711, 0.0218844, 0.0216271, 0.0213674, 0.0211682, 0.0210423", \
-            "0.0210534, 0.0219581, 0.0223375, 0.0219864, 0.0216116, 0.0213808" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.127638, 0.160754, 0.231993, 0.388091, 0.734439, 1.50632", \
-            "0.132177, 0.165458, 0.236746, 0.392923, 0.738969, 1.51109", \
-            "0.142373, 0.175665, 0.247166, 0.403596, 0.749962, 1.52179", \
-            "0.165481, 0.198847, 0.270424, 0.427048, 0.77363, 1.5459", \
-            "0.202365, 0.241719, 0.320324, 0.479809, 0.826901, 1.59887", \
-            "0.235088, 0.285779, 0.385322, 0.577401, 0.944384, 1.71745" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0348284, 0.0532999, 0.094031, 0.184864, 0.385727, 0.835868", \
-            "0.0348206, 0.0531456, 0.0939418, 0.184742, 0.385872, 0.835943", \
-            "0.0347473, 0.0531337, 0.0938204, 0.184699, 0.385548, 0.835576", \
-            "0.0348268, 0.0530981, 0.0936585, 0.184562, 0.385515, 0.835594", \
-            "0.0388875, 0.0566271, 0.0953493, 0.184817, 0.385382, 0.835619", \
-            "0.0474785, 0.0659847, 0.10566, 0.191629, 0.386313, 0.835338" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.15562, 0.196077, 0.282934, 0.473183, 0.89507, 1.83888", \
-            "0.159883, 0.200372, 0.287531, 0.478163, 0.900609, 1.84432", \
-            "0.171296, 0.211801, 0.299045, 0.490189, 0.912707, 1.85698", \
-            "0.20024, 0.240249, 0.326926, 0.517846, 0.940906, 1.88521", \
-            "0.264363, 0.306991, 0.393571, 0.583248, 1.0046, 1.94859", \
-            "0.366467, 0.42146, 0.528902, 0.733345, 1.15452, 2.09477" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0362924, 0.0544291, 0.0937741, 0.180656, 0.373982, 0.804429", \
-            "0.0362125, 0.0544043, 0.0938703, 0.180896, 0.373735, 0.804404", \
-            "0.0362093, 0.0543893, 0.0937628, 0.1808, 0.37395, 0.804391", \
-            "0.0361729, 0.0543116, 0.0937539, 0.180652, 0.373679, 0.804414", \
-            "0.039997, 0.0562208, 0.0945051, 0.180522, 0.373833, 0.804404", \
-            "0.0511759, 0.0694042, 0.105859, 0.184237, 0.373742, 0.804272" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0984856, 0.103505, 0.113997, 0.121869, 0.128223, 0.133889, 0.139242, 0.144517, 0.149788, 0.159668, 0.169092, 0.1794, 0.187796, 0.193742, 0.200304, 0.213819, 0.222704, 0.230503");
-            values ( \
-              "0.0220734, 0.0301943, 0.0712316, 0.0953316, 0.111266, 0.119917, 0.124888, 0.123852, 0.115006, 0.0672326, 0.0372073, 0.018612, 0.0108137, 0.00711359, 0.00471395, 0.00211985, 0.00147375, 0.00127931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.104804, 0.116799, 0.146291, 0.155793, 0.16433, 0.172458, 0.180543, 0.188624, 0.205913, 0.217877, 0.231191, 0.242417, 0.25841, 0.280028, 0.280853");
-            values ( \
-              "0.0170558, 0.0362954, 0.143504, 0.166161, 0.178312, 0.183579, 0.180473, 0.164215, 0.0932033, 0.0582866, 0.0330777, 0.0199056, 0.00963169, 0.00423087, 0.0041905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.12963, 0.149715, 0.174827, 0.198684, 0.214974, 0.229769, 0.244092, 0.25866, 0.268756, 0.30173, 0.324891, 0.342917, 0.366255, 0.395612, 0.419593");
-            values ( \
-              "0.0183303, 0.0499607, 0.129113, 0.188904, 0.216354, 0.22892, 0.232938, 0.225808, 0.211261, 0.125143, 0.0761884, 0.0496913, 0.0276486, 0.0127203, 0.0076694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.17466, 0.201095, 0.218862, 0.266438, 0.28898, 0.312528, 0.327112, 0.348929, 0.372233, 0.389837, 0.400344, 0.421357, 0.443368, 0.555065, 0.599732, 0.634747, 0.671629, 0.693932, 0.738538, 0.751154");
-            values ( \
-              "0.0141891, 0.0319305, 0.0584808, 0.152089, 0.190221, 0.221677, 0.236654, 0.252909, 0.262094, 0.26387, 0.26314, 0.258002, 0.243593, 0.0938176, 0.0540732, 0.0340283, 0.0204572, 0.0149198, 0.00779403, 0.00688259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.3282, 0.378314, 0.463203, 0.491122, 0.523578, 0.564885, 0.60361, 0.627208, 0.657188, 0.687343, 0.729454, 0.745942, 0.775135, 0.805363, 0.853584, 0.902226, 0.982699, 1.05878, 1.10432, 1.15637, 1.19564, 1.22367, 1.27972, 1.31301, 1.36171, 1.42666, 1.53913, 1.6516, 1.76407");
-            values ( \
-              "0.0620372, 0.0707225, 0.160642, 0.186617, 0.213479, 0.240925, 0.259773, 0.268878, 0.277164, 0.282635, 0.284782, 0.284207, 0.280438, 0.273074, 0.250966, 0.217836, 0.157131, 0.106734, 0.0825422, 0.0603044, 0.0472246, 0.0395962, 0.0273602, 0.0219583, 0.0158503, 0.0102483, 0.00451631, 0.00207493, 0.00085186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.609486, 0.724991, 0.846925, 0.95165, 1.04289, 1.12166, 1.17666, 1.25043, 1.28399, 1.32873, 1.39103, 1.5035, 1.54657, 1.59582, 1.64705, 1.7495, 1.86122, 2.10251, 2.19345, 2.32932, 2.42389, 2.50834, 2.62081, 2.71365, 2.81514, 2.92761, 3.04008, 3.15255, 3.26502, 3.48996, 3.7149");
-            values ( \
-              "0.0566504, 0.0725407, 0.136291, 0.186814, 0.223883, 0.249864, 0.264306, 0.27905, 0.284056, 0.289213, 0.29371, 0.294574, 0.292401, 0.288118, 0.281236, 0.258824, 0.223251, 0.138911, 0.111607, 0.0781611, 0.0601094, 0.0471889, 0.0337763, 0.0255676, 0.0187736, 0.0132102, 0.00932778, 0.00651441, 0.00460036, 0.00226426, 0.00111686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.112858, 0.121555, 0.140402, 0.148309, 0.152423, 0.157772, 0.163045, 0.168316, 0.17822, 0.187598, 0.197905, 0.206342, 0.218782, 0.238717");
-            values ( \
-              "0.0131866, 0.0270854, 0.0953171, 0.113707, 0.120034, 0.124544, 0.124162, 0.114784, 0.0671351, 0.0372556, 0.0186293, 0.0107931, 0.00472717, 0.0018016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.127381, 0.137084, 0.16472, 0.174174, 0.182679, 0.190784, 0.198849, 0.206912, 0.224107, 0.236196, 0.249488, 0.260588, 0.276647, 0.298126, 0.315034");
-            values ( \
-              "0.020479, 0.0435691, 0.144518, 0.166823, 0.178927, 0.184017, 0.180885, 0.164461, 0.0935701, 0.0582006, 0.0330415, 0.0199863, 0.00963449, 0.00425368, 0.00340907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.1492, 0.17005, 0.193956, 0.217346, 0.233625, 0.248404, 0.262711, 0.27699, 0.287375, 0.320305, 0.343446, 0.361446, 0.384802, 0.414108, 0.440802");
-            values ( \
-              "0.018789, 0.0543462, 0.130287, 0.189261, 0.216394, 0.229337, 0.233018, 0.226374, 0.211397, 0.125214, 0.0762375, 0.049742, 0.0276567, 0.0127379, 0.00708178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.197159, 0.230202, 0.246131, 0.292643, 0.30951, 0.331092, 0.343179, 0.361974, 0.377005, 0.387557, 0.397415, 0.408212, 0.418936, 0.439704, 0.447471, 0.461924, 0.478123, 0.543775, 0.57185, 0.605369, 0.623373, 0.645928, 0.676002, 0.689797, 0.711049, 0.739385, 0.791174");
-            values ( \
-              "0.0150468, 0.0461775, 0.0740342, 0.165308, 0.193127, 0.221734, 0.234427, 0.249826, 0.25781, 0.261596, 0.263427, 0.26389, 0.263529, 0.258108, 0.254441, 0.243599, 0.225524, 0.13031, 0.0957683, 0.0637429, 0.0506114, 0.0375521, 0.0248055, 0.0204935, 0.015219, 0.0101645, 0.00481899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.333831, 0.395406, 0.447266, 0.483863, 0.501901, 0.541841, 0.562149, 0.578366, 0.594906, 0.612834, 0.645867, 0.664596, 0.696857, 0.706005, 0.724301, 0.764542, 0.823049, 0.839967, 0.858013, 0.888434, 1.00559, 1.05002, 1.07826, 1.11936, 1.16634, 1.19317, 1.22422, 1.2609, 1.31187, 1.33255, 1.37389, 1.45658, 1.56905, 1.68153, 1.794");
-            values ( \
-              "0.039576, 0.0683851, 0.124365, 0.161986, 0.178884, 0.21271, 0.227254, 0.237615, 0.246719, 0.255523, 0.268647, 0.274322, 0.281204, 0.282476, 0.284203, 0.28413, 0.273528, 0.26725, 0.258856, 0.240848, 0.154178, 0.12358, 0.106354, 0.0842987, 0.0637045, 0.0540156, 0.0444491, 0.0351068, 0.0251225, 0.0218999, 0.0166198, 0.00937634, 0.00420348, 0.00185304, 0.000833966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.659269, 0.789614, 0.896111, 0.971043, 1.04629, 1.14018, 1.19549, 1.28033, 1.39052, 1.44826, 1.52524, 1.55853, 1.60292, 1.66555, 1.77802, 1.86239, 2.06152, 2.22216, 2.29501, 2.36126, 2.44758, 2.51697, 2.60148, 2.71395, 2.79902, 2.95575, 3.06822, 3.18069, 3.29316, 3.5181, 3.74304");
-            values ( \
-              "0.0783114, 0.096037, 0.151593, 0.187098, 0.218131, 0.249921, 0.26433, 0.280975, 0.292775, 0.29508, 0.29457, 0.292935, 0.289258, 0.281356, 0.256013, 0.229214, 0.158723, 0.108746, 0.090129, 0.0753526, 0.0592553, 0.0485847, 0.0378584, 0.0270376, 0.0208398, 0.012835, 0.00907853, 0.00632149, 0.00448214, 0.00221184, 0.0010972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.156715, 0.18138, 0.187713, 0.193367, 0.198705, 0.203968, 0.209225, 0.219089, 0.228504, 0.2388, 0.247103, 0.257334, 0.273335, 0.289821");
-            values ( \
-              "0.0104553, 0.0958555, 0.111284, 0.120464, 0.124983, 0.124377, 0.115048, 0.067327, 0.0372502, 0.018642, 0.0108908, 0.00550006, 0.00211079, 0.00151209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.166698, 0.17448, 0.177844, 0.20588, 0.215331, 0.223843, 0.231944, 0.240007, 0.248066, 0.265262, 0.277346, 0.290631, 0.301712, 0.317771, 0.339114, 0.351173");
-            values ( \
-              "0.019579, 0.031786, 0.0415575, 0.144465, 0.166752, 0.179015, 0.184047, 0.181014, 0.164491, 0.0935832, 0.0582075, 0.0330462, 0.020004, 0.00964301, 0.00427745, 0.00305868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.192215, 0.200425, 0.208864, 0.238751, 0.258548, 0.274779, 0.289534, 0.303823, 0.318084, 0.324175, 0.333522, 0.355841, 0.376312, 0.395019, 0.416709, 0.440276, 0.464061, 0.485259, 0.48991");
-            values ( \
-              "0.0208856, 0.0301225, 0.0490288, 0.141479, 0.19012, 0.216678, 0.229828, 0.233113, 0.226711, 0.219041, 0.200222, 0.139342, 0.0914222, 0.0596324, 0.0349641, 0.0189158, 0.0100063, 0.00556016, 0.00504321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.260235, 0.282888, 0.332339, 0.352321, 0.371639, 0.389298, 0.402944, 0.418096, 0.43287, 0.447988, 0.459885, 0.481572, 0.502103, 0.519055, 0.586194, 0.612769, 0.644237, 0.664172, 0.689024, 0.727565, 0.75009, 0.775833, 0.827319, 0.869438");
-            values ( \
-              "0.0644381, 0.0664302, 0.163577, 0.19591, 0.221307, 0.239338, 0.24985, 0.258153, 0.262642, 0.264119, 0.263556, 0.257933, 0.24446, 0.225534, 0.12831, 0.0957741, 0.0654199, 0.0506879, 0.036456, 0.0214245, 0.015647, 0.0108376, 0.00503755, 0.00312463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.369059, 0.438167, 0.489518, 0.543873, 0.583043, 0.619639, 0.658757, 0.682473, 0.697884, 0.718433, 0.74769, 0.793527, 0.806267, 0.831748, 0.86567, 0.911229, 0.963748, 1.04203, 1.08698, 1.12617, 1.17195, 1.22006, 1.26463, 1.32406, 1.3586, 1.39754, 1.44945, 1.55327, 1.66574, 1.77821, 1.89068");
-            values ( \
-              "0.0264994, 0.0697338, 0.125246, 0.179435, 0.212596, 0.237605, 0.257685, 0.267089, 0.272138, 0.277573, 0.282651, 0.284957, 0.28426, 0.281322, 0.273127, 0.252675, 0.217044, 0.157898, 0.12649, 0.102579, 0.0789688, 0.0591167, 0.0447456, 0.0303502, 0.0242391, 0.018721, 0.0131366, 0.0063475, 0.00278949, 0.001273, 0.000527554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.717252, 0.871117, 0.978725, 1.06136, 1.15386, 1.18208, 1.23853, 1.31352, 1.37267, 1.43739, 1.45149, 1.47968, 1.52888, 1.57783, 1.67573, 1.70725, 1.77029, 1.84739, 1.95986, 2.11797, 2.23045, 2.27447, 2.37837, 2.48184, 2.57207, 2.68454, 2.77519, 2.87329, 2.98576, 3.09824, 3.21071, 3.32318, 3.54812, 3.77306");
-            values ( \
-              "0.0847644, 0.11734, 0.171488, 0.20771, 0.241359, 0.249978, 0.264752, 0.279617, 0.287607, 0.293096, 0.293794, 0.29488, 0.295269, 0.294018, 0.285849, 0.281303, 0.268807, 0.247711, 0.209582, 0.153716, 0.118088, 0.1058, 0.0805945, 0.0603945, 0.0466187, 0.0334466, 0.0253934, 0.0188289, 0.0133308, 0.00933258, 0.00659677, 0.00458008, 0.00223212, 0.00107949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.245607, 0.249058, 0.253125, 0.257455, 0.264759, 0.269172, 0.272681, 0.27905, 0.284724, 0.290072, 0.295341, 0.300605, 0.310499, 0.313548, 0.317618, 0.319897, 0.324272, 0.328934, 0.332352, 0.339009, 0.345277, 0.348828, 0.354482, 0.36093, 0.369526, 0.387938, 0.393142");
-            values ( \
-              "0.0115353, 0.0162074, 0.0241922, 0.0400031, 0.069414, 0.0847578, 0.0950137, 0.110919, 0.120087, 0.124873, 0.124186, 0.115046, 0.0671491, 0.055452, 0.0429049, 0.0371762, 0.0279606, 0.0204256, 0.0163691, 0.0104687, 0.00680776, 0.00542245, 0.00384485, 0.00267256, 0.0016893, 0.00134455, 0.00111001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.26362, 0.2703, 0.285655, 0.297264, 0.306703, 0.3152, 0.323296, 0.331355, 0.33941, 0.353283, 0.364395, 0.37416, 0.389618, 0.406719, 0.430536, 0.442791");
-            values ( \
-              "0.042001, 0.0461204, 0.107203, 0.144775, 0.166991, 0.179149, 0.184167, 0.181057, 0.164528, 0.105821, 0.0690692, 0.0463665, 0.0234231, 0.0106937, 0.0042567, 0.00302013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.287102, 0.293367, 0.301505, 0.330189, 0.349925, 0.362228, 0.366079, 0.37378, 0.380818, 0.394896, 0.400629, 0.406548, 0.41497, 0.423347, 0.451252, 0.470206, 0.48631, 0.496678, 0.507475, 0.521871, 0.531285, 0.541928, 0.556119, 0.577169, 0.598733");
-            values ( \
-              "0.0245974, 0.0342054, 0.0532139, 0.142515, 0.190753, 0.211632, 0.216976, 0.224818, 0.229909, 0.233075, 0.231952, 0.22878, 0.21973, 0.203667, 0.12863, 0.0863709, 0.0595479, 0.0463134, 0.0353906, 0.0243744, 0.0190339, 0.0143488, 0.00977301, 0.0054635, 0.00309436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.336501, 0.362497, 0.380306, 0.425754, 0.443196, 0.464031, 0.47506, 0.494893, 0.509913, 0.520498, 0.530181, 0.541022, 0.551792, 0.572253, 0.580304, 0.594744, 0.610939, 0.662444, 0.699511, 0.730273, 0.750679, 0.768465, 0.786354, 0.822837, 0.844712, 0.888461, 0.938143");
-            values ( \
-              "0.0186977, 0.046083, 0.0770984, 0.166188, 0.194774, 0.222199, 0.233843, 0.250178, 0.258143, 0.261879, 0.263658, 0.26415, 0.263736, 0.258429, 0.254601, 0.243759, 0.225625, 0.150105, 0.101562, 0.0704163, 0.0543327, 0.0430546, 0.0338677, 0.0204632, 0.0150311, 0.00794117, 0.00424785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.434747, 0.49836, 0.530262, 0.635794, 0.674604, 0.710296, 0.758143, 0.779486, 0.8082, 0.839587, 0.880499, 0.898152, 0.927325, 0.957535, 1.00369, 1.05563, 1.17879, 1.21802, 1.26386, 1.31192, 1.35645, 1.41582, 1.45042, 1.4894, 1.54138, 1.64534, 1.75781");
-            values ( \
-              "0.0105053, 0.0421807, 0.0700921, 0.179501, 0.21241, 0.236932, 0.260927, 0.268886, 0.277126, 0.282683, 0.285069, 0.284269, 0.280705, 0.273128, 0.252357, 0.217044, 0.126524, 0.102586, 0.0789489, 0.0591137, 0.0447544, 0.0303706, 0.0242434, 0.0187172, 0.0131307, 0.00633476, 0.00278667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.749131, 0.877789, 1.02091, 1.10517, 1.17982, 1.27419, 1.33119, 1.40513, 1.46772, 1.54351, 1.65598, 1.69874, 1.74807, 1.79938, 1.90147, 2.01347, 2.25474, 2.34565, 2.48149, 2.57605, 2.66049, 2.77297, 2.86578, 2.96724, 3.07971, 3.19218, 3.30465, 3.41712, 3.64206, 3.867");
-            values ( \
-              "0.0434511, 0.0725345, 0.147105, 0.187226, 0.218097, 0.24999, 0.264886, 0.279552, 0.287938, 0.293872, 0.294676, 0.292513, 0.288262, 0.281315, 0.259011, 0.223332, 0.138907, 0.111647, 0.0781507, 0.0601417, 0.0472178, 0.0337565, 0.0255937, 0.0187994, 0.0131902, 0.0093508, 0.006493, 0.00462227, 0.00228568, 0.00113802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.416991, 0.431648, 0.434775, 0.457372, 0.464947, 0.471506, 0.477523, 0.483343, 0.489152, 0.502235, 0.508347, 0.518544, 0.52729, 0.532415, 0.538273, 0.555663, 0.571222, 0.575371");
-            values ( \
-              "0.00145898, 0.0164346, 0.0212281, 0.0785703, 0.0944373, 0.105562, 0.11189, 0.113829, 0.107556, 0.0544949, 0.0376764, 0.0197338, 0.0112707, 0.00793489, 0.00551431, 0.00184566, 0.00168239, 0.00150381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.434634, 0.454813, 0.460719, 0.480881, 0.498833, 0.508106, 0.516766, 0.525271, 0.533764, 0.550131, 0.562065, 0.576106, 0.5907, 0.598686, 0.609214, 0.633967, 0.639967");
-            values ( \
-              "0.00384642, 0.0305659, 0.0447433, 0.106387, 0.151016, 0.165992, 0.173389, 0.172971, 0.159219, 0.0959754, 0.0607122, 0.033859, 0.0177079, 0.0124051, 0.00819137, 0.00305013, 0.0025411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.482115, 0.497382, 0.503317, 0.535944, 0.546887, 0.558769, 0.565663, 0.573731, 0.580797, 0.596088, 0.600732, 0.609751, 0.615407, 0.625232, 0.653587, 0.664269, 0.676605, 0.694028, 0.703393, 0.717794, 0.73076, 0.746926, 0.764621, 0.78727, 0.814284, 0.848218, 0.895694");
-            values ( \
-              "0.0399746, 0.0465726, 0.0604923, 0.151264, 0.177016, 0.199254, 0.209652, 0.219153, 0.224935, 0.230011, 0.229318, 0.22438, 0.218061, 0.199459, 0.124135, 0.0995666, 0.0758969, 0.0502933, 0.0399213, 0.0276567, 0.0196759, 0.0127839, 0.00786489, 0.00420843, 0.00197633, 0.000786821, 0.000203718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.550161, 0.576315, 0.619798, 0.628353, 0.645464, 0.66946, 0.679325, 0.697939, 0.708859, 0.718066, 0.7268, 0.741003, 0.754977, 0.774792, 0.783476, 0.797919, 0.814126, 0.868755, 0.884151, 0.907833, 0.932564, 0.953484, 0.972386, 0.99759, 1.01732, 1.03901, 1.06793, 1.1211, 1.20047, 1.29659");
-            values ( \
-              "0.0510562, 0.0622268, 0.148858, 0.164123, 0.192377, 0.223888, 0.234121, 0.249502, 0.255611, 0.259757, 0.261829, 0.263987, 0.263181, 0.258742, 0.254199, 0.243887, 0.225333, 0.145574, 0.124489, 0.0957794, 0.0711987, 0.0545993, 0.0426417, 0.0302928, 0.0230852, 0.0170679, 0.011283, 0.00511404, 0.00139007, 0.000281534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.682646, 0.735108, 0.785801, 0.839889, 0.877654, 0.918336, 0.942137, 0.963563, 0.983394, 1.01228, 1.04346, 1.08462, 1.10201, 1.13117, 1.16138, 1.20698, 1.25944, 1.33771, 1.38266, 1.42184, 1.46762, 1.51572, 1.56029, 1.61972, 1.65426, 1.69318, 1.74507, 1.84886, 1.96133, 2.07381, 2.18628");
-            values ( \
-              "0.0552984, 0.0714105, 0.126173, 0.180003, 0.212001, 0.2395, 0.252108, 0.261725, 0.26906, 0.2773, 0.2828, 0.285143, 0.284348, 0.280759, 0.273179, 0.252689, 0.217072, 0.157912, 0.126499, 0.10259, 0.0789793, 0.0591223, 0.044749, 0.0303524, 0.0242414, 0.0187242, 0.01314, 0.00635035, 0.00279058, 0.00127338, 0.000527612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.924731, 1.08068, 1.21371, 1.30721, 1.40789, 1.47774, 1.53417, 1.607, 1.68162, 1.74704, 1.85951, 1.90244, 1.95172, 2.00296, 2.10481, 2.21705, 2.45833, 2.54924, 2.68507, 2.77963, 2.86408, 2.97655, 3.06936, 3.1708, 3.28328, 3.39575, 3.50822, 3.62069, 3.84563, 4.07057");
-            values ( \
-              "0.0235965, 0.0720699, 0.14155, 0.186469, 0.22711, 0.249874, 0.264746, 0.279182, 0.289028, 0.293784, 0.294707, 0.292536, 0.288197, 0.281353, 0.259029, 0.223291, 0.138944, 0.111611, 0.0781858, 0.0601097, 0.0471877, 0.0337876, 0.025564, 0.0187716, 0.013219, 0.00932314, 0.00652084, 0.00459503, 0.00225898, 0.00111192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.763933, 0.780687, 0.7921, 0.823076, 0.835485, 0.843007, 0.850102, 0.857128, 0.864146, 0.870421, 0.882432, 0.89077, 0.899919, 0.911925, 0.926307, 0.952427");
-            values ( \
-              "0.00592265, 0.00972297, 0.0192004, 0.0692384, 0.0838941, 0.0903348, 0.094344, 0.09244, 0.0755173, 0.0531745, 0.0269177, 0.0164332, 0.00939792, 0.0046651, 0.00245193, 0.00124629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.788885, 0.811488, 0.818567, 0.829388, 0.853647, 0.86932, 0.877661, 0.883397, 0.893667, 0.903472, 0.913259, 0.920457, 0.931183, 0.938465, 0.944376, 0.952196, 0.958421, 0.970153, 0.978136, 0.986924, 1.00091, 1.00926, 1.01874, 1.04163, 1.08018");
-            values ( \
-              "0.00764851, 0.0183853, 0.0256248, 0.0422697, 0.0908893, 0.117596, 0.130273, 0.137901, 0.148345, 0.152274, 0.144766, 0.124364, 0.0884075, 0.0683949, 0.0551186, 0.040567, 0.0313963, 0.0190037, 0.0135804, 0.00961384, 0.00556553, 0.00404445, 0.00272055, 0.00112026, 0.000343441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.87317, 0.90545, 0.913038, 0.923293, 0.935616, 0.943971, 0.952465, 0.959928, 0.963144, 0.96636, 0.971859, 0.977358, 0.980878, 0.984398, 0.987918, 0.991438, 0.99355, 0.997773, 1.00179, 1.0058, 1.00755, 1.0093, 1.01104, 1.01279, 1.01454, 1.01629, 1.01865, 1.021, 1.02336, 1.02572, 1.02892, 1.03532, 1.04153, 1.05363, 1.05667, 1.06237, 1.06806, 1.07531, 1.08013, 1.08254, 1.08449, 1.09031, 1.09536, 1.10311, 1.1085, 1.11588, 1.1218, 1.12872, 1.13218, 1.13763");
-            values ( \
-              "0.0761307, 0.0850354, 0.0997815, 0.119088, 0.140681, 0.154479, 0.167957, 0.179452, 0.184099, 0.18848, 0.194032, 0.198885, 0.201625, 0.204078, 0.206246, 0.208127, 0.209082, 0.209417, 0.209494, 0.209336, 0.20884, 0.208172, 0.207085, 0.205745, 0.204151, 0.202303, 0.199179, 0.195748, 0.192008, 0.187961, 0.181573, 0.16789, 0.153376, 0.123796, 0.116844, 0.104697, 0.0933866, 0.08011, 0.0717684, 0.0678819, 0.0650564, 0.0570623, 0.0508317, 0.0418861, 0.0363372, 0.0303581, 0.0261958, 0.0219576, 0.020072, 0.0174002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.952207, 0.998657, 1.0656, 1.09157, 1.10887, 1.12565, 1.14201, 1.16047, 1.17728, 1.18535, 1.19986, 1.21583, 1.22796, 1.23647, 1.26197, 1.32085, 1.33991, 1.36209, 1.38492, 1.40599, 1.43409, 1.45093, 1.46986, 1.49511, 1.54561, 1.62248, 1.71535");
-            values ( \
-              "0.0375268, 0.0640668, 0.165459, 0.19984, 0.218763, 0.233758, 0.244311, 0.251924, 0.255077, 0.255268, 0.254172, 0.248797, 0.240854, 0.233133, 0.201018, 0.1179, 0.0954649, 0.0733344, 0.0550193, 0.0417841, 0.0284994, 0.0226183, 0.01738, 0.01214, 0.00575145, 0.00166291, 0.000352764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.10638, 1.17779, 1.26346, 1.28828, 1.33654, 1.36791, 1.40298, 1.41885, 1.4334, 1.46062, 1.4937, 1.53387, 1.55237, 1.58159, 1.61181, 1.65713, 1.70993, 1.82095, 1.8626, 1.89188, 1.92484, 1.96828, 2.00708, 2.05881, 2.10127, 2.14769, 2.20958, 2.31394, 2.42641, 2.53888");
-            values ( \
-              "0.0302364, 0.0628731, 0.152181, 0.175986, 0.216491, 0.237441, 0.255691, 0.262383, 0.267871, 0.275784, 0.28209, 0.284501, 0.283934, 0.280291, 0.272978, 0.252619, 0.216905, 0.134745, 0.108248, 0.0919733, 0.0759812, 0.0583449, 0.0457874, 0.0328243, 0.0247898, 0.0182081, 0.011988, 0.00569689, 0.00256322, 0.00110716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.46627, 1.60523, 1.71725, 1.80825, 1.86565, 1.89991, 1.92942, 1.98323, 2.05675, 2.09436, 2.1445, 2.19864, 2.3069, 2.32538, 2.36235, 2.43628, 2.49017, 2.56204, 2.66034, 2.84382, 2.91319, 3.00181, 3.06247, 3.13507, 3.23043, 3.31664, 3.42911, 3.52126, 3.62167, 3.73414, 3.84661, 3.95908, 4.07155, 4.2965, 4.52144");
-            values ( \
-              "0.0917293, 0.110314, 0.167331, 0.207579, 0.229461, 0.240954, 0.250039, 0.264133, 0.279, 0.284597, 0.290067, 0.293882, 0.294772, 0.294153, 0.291884, 0.284179, 0.274892, 0.257317, 0.226031, 0.160914, 0.137878, 0.111242, 0.0952545, 0.0785287, 0.0601692, 0.0469849, 0.0337108, 0.0254843, 0.0187625, 0.0132813, 0.009299, 0.00657165, 0.00456329, 0.00222365, 0.001075" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.012669, 0.0127195, 0.0127793, 0.0128308, 0.0128643, 0.0128825", \
-            "0.0159057, 0.0159695, 0.0160574, 0.0161463, 0.0162121, 0.0162505", \
-            "0.0179772, 0.0180305, 0.0181176, 0.0182221, 0.0183125, 0.0183706", \
-            "0.0192416, 0.0192565, 0.0192925, 0.019355, 0.0194253, 0.0194789", \
-            "0.0200989, 0.0200683, 0.02003, 0.0200029, 0.0200026, 0.0200181", \
-            "0.0207573, 0.0207067, 0.0206197, 0.020501, 0.020391, 0.020325" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0189135, 0.0189573, 0.0190283, 0.0191044, 0.0191612, 0.0191942", \
-            "0.0200709, 0.0200413, 0.0200195, 0.020015, 0.0200195, 0.0200243", \
-            "0.0197952, 0.0196754, 0.0195076, 0.0193404, 0.0192108, 0.0191334", \
-            "0.0194119, 0.0192529, 0.019021, 0.0187608, 0.0185354, 0.0183854", \
-            "0.019605, 0.0193977, 0.0190621, 0.018661, 0.0183027, 0.0180709", \
-            "0.0169707, 0.0172028, 0.0178718, 0.0189107, 0.0184909, 0.0181107" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.115504, 0.124645, 0.129113, 0.14307, 0.155082, 0.161549, 0.167428, 0.17294, 0.178308, 0.183911, 0.194448, 0.199284, 0.204902, 0.212117, 0.216684");
-            values ( \
-              "-0.0142985, -0.0231572, -0.03125, -0.0703269, -0.0955386, -0.107046, -0.11591, -0.121678, -0.122928, -0.109991, -0.0498028, -0.029903, -0.0156584, -0.00663935, -0.00404965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.133708, 0.142483, 0.145185, 0.150588, 0.154516, 0.161376, 0.164442, 0.170574, 0.178404, 0.189467, 0.199076, 0.207851, 0.216127, 0.224246, 0.232355, 0.233736, 0.235579, 0.243281, 0.246118, 0.24918, 0.253198, 0.254372, 0.256722, 0.261422, 0.265579, 0.267579, 0.27158, 0.279582, 0.289417, 0.291891");
-            values ( \
-              "-0.0209219, -0.0333382, -0.0366946, -0.048606, -0.0586262, -0.0805135, -0.0881026, -0.104108, -0.121339, -0.144775, -0.161165, -0.17405, -0.181137, -0.181666, -0.161576, -0.15619, -0.146543, -0.101949, -0.0868053, -0.0720318, -0.0554291, -0.0512105, -0.0435459, -0.0310647, -0.0228197, -0.0196474, -0.0144818, -0.00769712, -0.00350419, -0.00304687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.167318, 0.174354, 0.184981, 0.18852, 0.195599, 0.204099, 0.220865, 0.237612, 0.24459, 0.263335, 0.280433, 0.284289, 0.289432, 0.294819, 0.304971, 0.309148, 0.31623, 0.323257, 0.333114, 0.33839, 0.356668, 0.363469, 0.372538, 0.377238, 0.383137, 0.389104, 0.397509, 0.400878, 0.407617, 0.421094, 0.440538");
-            values ( \
-              "-0.0224448, -0.0294451, -0.0427798, -0.049558, -0.0610852, -0.0796506, -0.117412, -0.147968, -0.161139, -0.190708, -0.212471, -0.21667, -0.221694, -0.22622, -0.232398, -0.233757, -0.234193, -0.230911, -0.21653, -0.201672, -0.128486, -0.103554, -0.0756234, -0.063744, -0.051084, -0.0405361, -0.0290076, -0.0253335, -0.0192667, -0.0109443, -0.0050076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.233116, 0.279152, 0.296809, 0.332441, 0.362597, 0.39022, 0.407568, 0.431136, 0.451421, 0.464088, 0.48476, 0.49901, 0.512401, 0.536324, 0.546318, 0.556845, 0.568739, 0.609251, 0.617606, 0.634315, 0.645584, 0.659637, 0.678374, 0.691865, 0.69874, 0.712489, 0.739989, 0.788542, 0.840981");
-            values ( \
-              "-0.0113048, -0.0530726, -0.0738546, -0.123272, -0.160308, -0.190635, -0.207804, -0.22788, -0.242603, -0.250947, -0.26259, -0.268686, -0.27242, -0.271226, -0.265399, -0.25375, -0.232, -0.135352, -0.118158, -0.0883745, -0.0720326, -0.0553397, -0.038398, -0.0293905, -0.0256034, -0.0193822, -0.0108898, -0.00363945, -0.00111157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.386427, 0.478654, 0.640256, 0.691636, 0.763085, 0.811259, 0.846646, 0.906585, 0.963479, 1.01995, 1.07638, 1.14276, 1.18951, 1.24363, 1.27155, 1.30878, 1.34139, 1.39078, 1.45664, 1.56698, 1.68549");
-            values ( \
-              "-0.0187981, -0.0533165, -0.164513, -0.194712, -0.232647, -0.254711, -0.268599, -0.286999, -0.296626, -0.292447, -0.253659, -0.170569, -0.119804, -0.0761932, -0.0595729, -0.0423506, -0.0312878, -0.0195824, -0.0102283, -0.0030677, -0.000863462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.749254, 0.929089, 1.01936, 1.17085, 1.323, 1.42193, 1.58171, 1.72167, 1.76455, 1.85032, 1.96883, 1.99774, 2.03078, 2.09419, 2.2127, 2.39147, 2.45785, 2.5666, 2.61492, 2.67548, 2.76035, 2.84844, 2.91589, 3.0344, 3.15291, 3.27142, 3.50844");
-            values ( \
-              "-0.0283211, -0.0546373, -0.0839616, -0.136936, -0.184408, -0.21276, -0.252729, -0.280616, -0.287549, -0.299206, -0.308487, -0.309161, -0.308821, -0.303605, -0.264197, -0.158549, -0.12521, -0.082711, -0.0682227, -0.0534101, -0.0371867, -0.0255317, -0.0191623, -0.0111749, -0.00665402, -0.00377479, -0.00123703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.135737, 0.142989, 0.149497, 0.159701, 0.173088, 0.185404, 0.190906, 0.196267, 0.201863, 0.217235, 0.222845, 0.230035, 0.231911");
-            values ( \
-              "-0.0163153, -0.0236941, -0.0370333, -0.067649, -0.0960575, -0.116315, -0.121688, -0.12324, -0.110052, -0.0298916, -0.0156626, -0.00665666, -0.00558832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.151234, 0.163566, 0.167093, 0.172893, 0.182822, 0.192762, 0.196786, 0.203069, 0.20781, 0.217448, 0.226218, 0.234493, 0.242612, 0.25072, 0.2521, 0.264484, 0.267546, 0.272737, 0.279784, 0.283943, 0.289946, 0.297951, 0.303462");
-            values ( \
-              "-0.0204063, -0.0368735, -0.0443158, -0.0583726, -0.0897034, -0.111691, -0.122434, -0.13438, -0.145011, -0.160937, -0.174287, -0.180949, -0.181869, -0.161413, -0.156225, -0.0868001, -0.072032, -0.0512113, -0.0310684, -0.0228196, -0.0144793, -0.0076944, -0.00534597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.188345, 0.20699, 0.210397, 0.213804, 0.22275, 0.235384, 0.239596, 0.245557, 0.251518, 0.257479, 0.263439, 0.272847, 0.282254, 0.29047, 0.294578, 0.298686, 0.302445, 0.306205, 0.309965, 0.313724, 0.316314, 0.321494, 0.324083, 0.328086, 0.331628, 0.335169, 0.336925, 0.338681, 0.340437, 0.342193, 0.344659, 0.347124, 0.34959, 0.352056, 0.354691, 0.357327, 0.362597, 0.367868, 0.377871, 0.382409, 0.384679, 0.389217, 0.391487, 0.396666, 0.39912, 0.402064, 0.405049, 0.408034, 0.41434, 0.419814");
-            values ( \
-              "-0.0224157, -0.0484725, -0.0542281, -0.0607128, -0.0812065, -0.108972, -0.11792, -0.129475, -0.140623, -0.151364, -0.161698, -0.177178, -0.191644, -0.202773, -0.207894, -0.212719, -0.216698, -0.220364, -0.223716, -0.226755, -0.228525, -0.231496, -0.232697, -0.233401, -0.233557, -0.233277, -0.232576, -0.231609, -0.230376, -0.228877, -0.226136, -0.222773, -0.218789, -0.214182, -0.207373, -0.199652, -0.180035, -0.159654, -0.119032, -0.102885, -0.0954498, -0.0817467, -0.0754792, -0.0623913, -0.0566537, -0.0504073, -0.0450908, -0.040209, -0.0314872, -0.0246989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.256397, 0.298199, 0.305615, 0.361436, 0.390769, 0.427585, 0.442899, 0.466023, 0.48777, 0.50203, 0.522678, 0.531303, 0.544953, 0.558451, 0.585446, 0.621872, 0.643413, 0.664891, 0.674506, 0.693736, 0.710525, 0.73792, 0.774446, 0.823354, 0.878475");
-            values ( \
-              "-0.0175138, -0.0533875, -0.0616252, -0.135889, -0.170832, -0.208974, -0.222233, -0.239649, -0.253918, -0.261816, -0.270303, -0.272435, -0.273335, -0.269585, -0.237354, -0.149858, -0.105432, -0.0717406, -0.0599607, -0.0412673, -0.0296006, -0.016967, -0.00774992, -0.00254575, -0.000756802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.454046, 0.522829, 0.663841, 0.726279, 0.781837, 0.830967, 0.865739, 0.925579, 0.982508, 1.03896, 1.09537, 1.16782, 1.20333, 1.25504, 1.30633, 1.34472, 1.3837, 1.41342, 1.47286, 1.58297, 1.70148");
-            values ( \
-              "-0.0693355, -0.0694905, -0.167636, -0.203597, -0.232674, -0.255144, -0.26882, -0.287101, -0.296774, -0.292501, -0.25374, -0.163339, -0.124836, -0.0812678, -0.0516133, -0.0361795, -0.0251303, -0.0189253, -0.010544, -0.00319994, -0.000874062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.74849, 0.947355, 1.3136, 1.44008, 1.59998, 1.78281, 1.86857, 1.98708, 2.016, 2.04906, 2.11244, 2.23095, 2.4761, 2.58485, 2.69373, 2.77859, 2.86669, 3.05265, 3.20924");
-            values ( \
-              "-0.0203349, -0.054696, -0.176049, -0.212669, -0.252674, -0.287617, -0.299152, -0.308556, -0.309171, -0.308828, -0.303615, -0.2642, -0.125212, -0.0827128, -0.0534099, -0.037188, -0.0255327, -0.0111757, -0.00628638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.178012, 0.183768, 0.188094, 0.191768, 0.198576, 0.207957, 0.215367, 0.221836, 0.227704, 0.233207, 0.238568, 0.244165, 0.254675, 0.259539, 0.261824, 0.265147, 0.269151, 0.272334, 0.278529, 0.285546, 0.292577, 0.29332");
-            values ( \
-              "-0.0162466, -0.021024, -0.0284911, -0.0372448, -0.0589862, -0.0808994, -0.0958882, -0.107173, -0.116198, -0.121759, -0.123142, -0.110061, -0.0499331, -0.0298888, -0.023098, -0.0156632, -0.00975877, -0.0066582, -0.0031129, -0.00130436, -0.000569309, -0.000554749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.19304, 0.205889, 0.212227, 0.233028, 0.250064, 0.259671, 0.26844, 0.276712, 0.284827, 0.293393, 0.306691, 0.314947, 0.322001, 0.326156, 0.332155, 0.340154, 0.347661");
-            values ( \
-              "-0.0208148, -0.0367445, -0.0511471, -0.108457, -0.144766, -0.16142, -0.17403, -0.18135, -0.181627, -0.160238, -0.0868273, -0.051208, -0.0310503, -0.0228152, -0.0144824, -0.0076987, -0.00450245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.228522, 0.23458, 0.245915, 0.249415, 0.256416, 0.264992, 0.281759, 0.298499, 0.305473, 0.324215, 0.341309, 0.345163, 0.3503, 0.355695, 0.365836, 0.370022, 0.377103, 0.38413, 0.39402, 0.399262, 0.419242, 0.427149, 0.435582, 0.440959, 0.444681, 0.450508, 0.458629, 0.461756, 0.468008, 0.480513, 0.502733");
-            values ( \
-              "-0.0226187, -0.028538, -0.0429456, -0.0494777, -0.0610191, -0.0795884, -0.117532, -0.147931, -0.161241, -0.190805, -0.212503, -0.216696, -0.22171, -0.226245, -0.232411, -0.233778, -0.23421, -0.230937, -0.216477, -0.201697, -0.12184, -0.0942077, -0.0698951, -0.057306, -0.0497695, -0.0396943, -0.028715, -0.0253319, -0.0196475, -0.0116595, -0.00439306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.31194, 0.341476, 0.43346, 0.470269, 0.485574, 0.517213, 0.544707, 0.5652, 0.573933, 0.587578, 0.601071, 0.628058, 0.664497, 0.685982, 0.707498, 0.736403, 0.753135, 0.780447, 0.816862, 0.865769, 0.920856");
-            values ( \
-              "-0.0458366, -0.0545059, -0.171146, -0.209241, -0.222347, -0.245718, -0.261987, -0.270379, -0.272513, -0.273425, -0.269641, -0.237412, -0.149848, -0.105509, -0.0717416, -0.0412153, -0.0295951, -0.0169914, -0.00778647, -0.0025532, -0.000763748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.464564, 0.540961, 0.706933, 0.789013, 0.843931, 0.908973, 0.968896, 1.02578, 1.08225, 1.13867, 1.22285, 1.26433, 1.30633, 1.36501, 1.40283, 1.46388, 1.54527, 1.65889, 1.7774");
-            values ( \
-              "-0.0337508, -0.0532781, -0.167399, -0.213982, -0.241541, -0.268634, -0.287019, -0.296653, -0.292459, -0.253677, -0.149998, -0.108226, -0.0758048, -0.0447199, -0.0314848, -0.0175889, -0.00774473, -0.0021913, -0.000645611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.897233, 1.08507, 1.22766, 1.36887, 1.48474, 1.64475, 1.76326, 1.82696, 1.91302, 2.03153, 2.06122, 2.09516, 2.15699, 2.2755, 2.45427, 2.52071, 2.62941, 2.73826, 2.82314, 2.91125, 2.97873, 3.09724, 3.21575, 3.33426, 3.57127");
-            values ( \
-              "-0.0634598, -0.0848866, -0.134918, -0.179284, -0.212707, -0.252733, -0.276846, -0.287546, -0.299153, -0.308544, -0.309178, -0.308781, -0.303614, -0.264199, -0.158551, -0.125185, -0.0827089, -0.0534134, -0.0371902, -0.0255311, -0.0191578, -0.0111739, -0.00665163, -0.00377511, -0.00123784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.274755, 0.279161, 0.282409, 0.288974, 0.294075, 0.29925, 0.305164, 0.31258, 0.319019, 0.32488, 0.330377, 0.335735, 0.341328, 0.350113, 0.352997, 0.356068, 0.357893, 0.361541, 0.363847, 0.367711, 0.372862, 0.379923, 0.386504, 0.387075");
-            values ( \
-              "-0.0154475, -0.0202551, -0.0227831, -0.0370888, -0.0536245, -0.0676023, -0.0807724, -0.0960955, -0.107351, -0.116327, -0.121868, -0.123227, -0.110123, -0.0589582, -0.0443769, -0.032036, -0.0261608, -0.0171103, -0.0130453, -0.00822759, -0.0043892, -0.00181265, -0.00082512, -0.000787156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.290788, 0.308211, 0.327849, 0.346194, 0.364535, 0.372798, 0.380908, 0.389469, 0.411023, 0.418088, 0.428237, 0.436234, 0.446232, 0.44757");
-            values ( \
-              "-0.0131916, -0.0505701, -0.105705, -0.145091, -0.174226, -0.181507, -0.181735, -0.160289, -0.0511901, -0.0310135, -0.0144755, -0.00770196, -0.00346038, -0.00321546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.33498, 0.353067, 0.356792, 0.363078, 0.369365, 0.371448, 0.377699, 0.38533, 0.39296, 0.401396, 0.409832, 0.418268, 0.423976, 0.430618, 0.43655, 0.442483, 0.445449, 0.45081, 0.4548, 0.457993, 0.459977, 0.463946, 0.465931, 0.467709, 0.469486, 0.471264, 0.473041, 0.474791, 0.476541, 0.47829, 0.48004, 0.482586, 0.485133, 0.487679, 0.490225, 0.4927, 0.495176, 0.503089, 0.510095, 0.518831, 0.523033, 0.527234, 0.531436, 0.535027, 0.537879, 0.540731, 0.543622, 0.546513, 0.552568, 0.557666");
-            values ( \
-              "-0.0572128, -0.0632444, -0.0706805, -0.0850408, -0.0998756, -0.104345, -0.11734, -0.13236, -0.146452, -0.160938, -0.174717, -0.187789, -0.196084, -0.205048, -0.212052, -0.218165, -0.221058, -0.226011, -0.229146, -0.231203, -0.232295, -0.234046, -0.234706, -0.234638, -0.234375, -0.233919, -0.233268, -0.232438, -0.231421, -0.230215, -0.228821, -0.22598, -0.222488, -0.218343, -0.213545, -0.20732, -0.200114, -0.1704, -0.14163, -0.107767, -0.0939349, -0.0813543, -0.0700247, -0.0612641, -0.0547396, -0.0488751, -0.0438628, -0.0392503, -0.0310349, -0.0247848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.411156, 0.442047, 0.468108, 0.490913, 0.51919, 0.54579, 0.571647, 0.592869, 0.613327, 0.627522, 0.642442, 0.65676, 0.670065, 0.692643, 0.697286, 0.706574, 0.726413, 0.766987, 0.775553, 0.792687, 0.803337, 0.816646, 0.83439, 0.849464, 0.857113, 0.872412, 0.903011, 0.951919, 1.00545");
-            values ( \
-              "-0.0534906, -0.0590724, -0.0924608, -0.124748, -0.159421, -0.188715, -0.213877, -0.231171, -0.245667, -0.254561, -0.262773, -0.268795, -0.27258, -0.271718, -0.269616, -0.263188, -0.232163, -0.135413, -0.117739, -0.0873937, -0.072004, -0.0560976, -0.0397438, -0.029495, -0.0252604, -0.0185522, -0.00968204, -0.00323245, -0.000934768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.585766, 0.665309, 0.799336, 0.865555, 0.940003, 1.00497, 1.06487, 1.12172, 1.17817, 1.23458, 1.34563, 1.39502, 1.44461, 1.48341, 1.52387, 1.55487, 1.61689, 1.69449");
-            values ( \
-              "-0.0535513, -0.0718281, -0.165369, -0.203685, -0.241852, -0.268869, -0.287148, -0.296808, -0.292516, -0.25376, -0.121823, -0.0807448, -0.05206, -0.036357, -0.024906, -0.0185235, -0.0100387, -0.00510783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.980782, 1.14314, 1.31786, 1.45178, 1.58146, 1.74107, 1.85958, 1.9239, 2.00965, 2.12816, 2.15716, 2.19029, 2.25353, 2.37204, 2.5508, 2.61719, 2.72593, 2.83481, 2.91968, 3.00777, 3.07522, 3.19373, 3.31224, 3.43075, 3.66777");
-            values ( \
-              "-0.0626047, -0.0719272, -0.132836, -0.175163, -0.212745, -0.252672, -0.276817, -0.287617, -0.299152, -0.308558, -0.309173, -0.308825, -0.303617, -0.264201, -0.158553, -0.125212, -0.0827131, -0.0534101, -0.0371883, -0.0255328, -0.0191616, -0.0111757, -0.00665316, -0.00377551, -0.00123777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.478213, 0.48845, 0.491551, 0.49273, 0.495088, 0.496694, 0.499103, 0.499906, 0.502913, 0.50592, 0.51012, 0.512219, 0.517309, 0.524982, 0.5277, 0.531336, 0.534776, 0.539639, 0.541136, 0.542634, 0.544131, 0.545628, 0.54681, 0.547992, 0.549174, 0.550356, 0.551398, 0.551919, 0.55244, 0.552961, 0.553482, 0.554438, 0.555395, 0.556352, 0.557309, 0.55844, 0.559572, 0.561834, 0.563709, 0.566336, 0.56784, 0.569662, 0.571756, 0.573302, 0.574477, 0.575652, 0.576508, 0.578221, 0.579077, 0.580549");
-            values ( \
-              "-0.0153899, -0.0158243, -0.0192083, -0.0206087, -0.0235975, -0.0260898, -0.0308596, -0.0325305, -0.0396156, -0.046331, -0.0549289, -0.0590783, -0.0687226, -0.0828246, -0.0876568, -0.0938347, -0.0993727, -0.106632, -0.108485, -0.110182, -0.111723, -0.113109, -0.113778, -0.114247, -0.114515, -0.114583, -0.114475, -0.114099, -0.11358, -0.112916, -0.112108, -0.110068, -0.107662, -0.104889, -0.101751, -0.0973366, -0.0925644, -0.0819473, -0.0720424, -0.0574596, -0.0501795, -0.0422184, -0.033844, -0.0284617, -0.025142, -0.0221281, -0.0201577, -0.0166382, -0.015089, -0.012735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.492136, 0.512438, 0.519119, 0.52427, 0.542026, 0.562359, 0.57297, 0.582001, 0.590477, 0.598744, 0.606999, 0.608302, 0.620943, 0.62801, 0.635032, 0.643594, 0.650263, 0.659155, 0.669631, 0.679624, 0.700519, 0.739658");
-            values ( \
-              "-0.00125749, -0.0277307, -0.0379996, -0.0480554, -0.0929291, -0.136347, -0.155905, -0.169266, -0.177764, -0.178591, -0.159889, -0.154761, -0.0856763, -0.0548877, -0.0337273, -0.0179239, -0.0108022, -0.00535101, -0.00233508, -0.00113865, -0.000446985, -0.000291533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.547332, 0.568033, 0.57206, 0.575124, 0.58023, 0.584316, 0.586702, 0.59386, 0.601923, 0.609985, 0.618171, 0.63045, 0.639849, 0.642502, 0.645315, 0.648129, 0.653756, 0.660024, 0.668896, 0.674104, 0.678473, 0.682842, 0.684226, 0.686072, 0.687917, 0.689762, 0.691698, 0.693634, 0.69557, 0.697505, 0.700696, 0.703887, 0.707078, 0.710269, 0.712712, 0.716377, 0.72737, 0.735247, 0.739436, 0.741531, 0.74572, 0.748581, 0.753771, 0.755939, 0.75883, 0.76172, 0.766412, 0.768759, 0.771105, 0.774687");
-            values ( \
-              "-0.053286, -0.0561048, -0.0640856, -0.0708437, -0.0827194, -0.0927713, -0.0980005, -0.113119, -0.129057, -0.14405, -0.158305, -0.178138, -0.192828, -0.196752, -0.200397, -0.203894, -0.21044, -0.216854, -0.225279, -0.228517, -0.230763, -0.232579, -0.232901, -0.233032, -0.232963, -0.232693, -0.231735, -0.230478, -0.228922, -0.227068, -0.223359, -0.218839, -0.213507, -0.207363, -0.200771, -0.187108, -0.142668, -0.111718, -0.097445, -0.0908509, -0.0786233, -0.0710529, -0.0583161, -0.0533441, -0.0479338, -0.0429592, -0.0358895, -0.0327272, -0.0298134, -0.0258191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.608925, 0.653906, 0.66052, 0.669339, 0.706635, 0.736016, 0.761814, 0.782256, 0.811261, 0.829319, 0.844295, 0.858412, 0.872716, 0.886034, 0.909024, 0.921715, 0.938636, 0.978829, 0.990826, 1.00682, 1.01911, 1.03438, 1.05474, 1.07114, 1.08227, 1.10451, 1.149, 1.20006, 1.25878");
-            values ( \
-              "-0.0111852, -0.0546925, -0.0621465, -0.0728232, -0.124438, -0.160455, -0.188788, -0.209053, -0.232989, -0.24574, -0.255161, -0.262843, -0.268913, -0.272639, -0.271684, -0.264019, -0.23985, -0.144189, -0.118855, -0.0901315, -0.0721228, -0.0541253, -0.0363071, -0.0261786, -0.0209402, -0.0131911, -0.00494495, -0.00151849, -0.000453253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.781346, 0.852541, 1.01742, 1.09985, 1.15466, 1.21968, 1.27958, 1.33645, 1.39291, 1.44932, 1.5335, 1.57496, 1.61698, 1.67568, 1.71347, 1.77449, 1.85584, 1.96896, 2.08747");
-            values ( \
-              "-0.0393365, -0.0540362, -0.167437, -0.214201, -0.241632, -0.268702, -0.287115, -0.296682, -0.292522, -0.253676, -0.150022, -0.108223, -0.0757866, -0.0446907, -0.0314645, -0.017574, -0.00777082, -0.00218848, -0.000670339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.19619, 1.37991, 1.53203, 1.67482, 1.79511, 1.95514, 2.07365, 2.13738, 2.22343, 2.34194, 2.37164, 2.40559, 2.4674, 2.58591, 2.76468, 2.83112, 2.93982, 3.04867, 3.13354, 3.22166, 3.28913, 3.40764, 3.52615, 3.64466, 3.88168");
-            values ( \
-              "-0.0582482, -0.0795305, -0.132915, -0.177911, -0.212706, -0.25274, -0.276821, -0.287526, -0.299165, -0.308525, -0.309176, -0.308778, -0.303613, -0.264199, -0.158552, -0.125186, -0.0827088, -0.0534137, -0.0371898, -0.0255309, -0.0191583, -0.0111736, -0.00665202, -0.00377479, -0.0012375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.891195, 0.904547, 0.907314, 0.909159, 0.911005, 0.91285, 0.914695, 0.91654, 0.917642, 0.919847, 0.920949, 0.923154, 0.924256, 0.928945, 0.933292, 0.936076, 0.93886, 0.941644, 0.947316, 0.952229, 0.956866, 0.961576, 0.966287, 0.982282, 0.984103, 0.985923, 0.987744, 0.989564, 0.991381, 0.993197, 0.995014, 0.99683, 0.997093, 0.997996, 0.998899, 1.00041, 1.00161, 1.00337, 1.00714, 1.00891, 1.01103, 1.01302, 1.01393, 1.01529, 1.0162, 1.01802, 1.01893, 1.02051, 1.0221, 1.02378");
-            values ( \
-              "-0.010374, -0.0107173, -0.0121072, -0.0131087, -0.0141666, -0.0152809, -0.0164514, -0.0176783, -0.0185279, -0.0206083, -0.0217706, -0.0242474, -0.0255619, -0.0319195, -0.0375639, -0.0410549, -0.0444501, -0.0477496, -0.0542267, -0.0598075, -0.0649683, -0.0699889, -0.0748377, -0.0904676, -0.0905449, -0.0904961, -0.0903212, -0.0900202, -0.0895941, -0.0890426, -0.0883654, -0.0875627, -0.0867143, -0.0845267, -0.0819975, -0.0770768, -0.0728547, -0.0662712, -0.0511741, -0.0446444, -0.037531, -0.0312285, -0.0285186, -0.0249036, -0.0228685, -0.0191555, -0.0174775, -0.0149293, -0.0125608, -0.0102365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.925751, 0.946129, 0.950242, 0.952229, 0.954217, 0.956204, 0.96018, 0.962167, 0.966303, 0.970439, 0.974026, 0.9812, 0.984787, 0.990639, 1.0057, 1.01206, 1.01842, 1.02969, 1.04004, 1.04251, 1.04499, 1.04747, 1.04995, 1.05242, 1.05489, 1.05736, 1.05983, 1.06016, 1.06049, 1.06115, 1.06226, 1.06314, 1.0649, 1.06794, 1.07385, 1.07489, 1.07573, 1.07825, 1.08044, 1.08294, 1.08452, 1.0864, 1.08735, 1.08926, 1.09021, 1.09158, 1.09295, 1.09432, 1.0957, 1.09794");
-            values ( \
-              "-0.0228083, -0.0259865, -0.0297175, -0.0318331, -0.034098, -0.036691, -0.042178, -0.0450721, -0.0517247, -0.058195, -0.0635692, -0.0740853, -0.0792272, -0.0874499, -0.108116, -0.116426, -0.124481, -0.138133, -0.150447, -0.150037, -0.149358, -0.148411, -0.147196, -0.145716, -0.143968, -0.141954, -0.139672, -0.139083, -0.138274, -0.136281, -0.132526, -0.129317, -0.122245, -0.10944, -0.0832345, -0.0786971, -0.0753261, -0.0657123, -0.0580462, -0.049755, -0.0448589, -0.0395453, -0.0372627, -0.0329913, -0.0310026, -0.0283456, -0.0258666, -0.0235656, -0.0214426, -0.01833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.982382, 1.00626, 1.01243, 1.01449, 1.01736, 1.02597, 1.03885, 1.0517, 1.06024, 1.07401, 1.08964, 1.10069, 1.1125, 1.11816, 1.12363, 1.13077, 1.1371, 1.14261, 1.14689, 1.15302, 1.15672, 1.15966, 1.16113, 1.1626, 1.1655, 1.1684, 1.1713, 1.1742, 1.17711, 1.18001, 1.18225, 1.18449, 1.19079, 1.19288, 1.20683, 1.20985, 1.21286, 1.2145, 1.21613, 1.21776, 1.2194, 1.22103, 1.22267, 1.22531, 1.22795, 1.23059, 1.23552, 1.24045, 1.24515, 1.24907");
-            values ( \
-              "-0.0326747, -0.0335951, -0.0396758, -0.0418365, -0.0451808, -0.0559795, -0.0745353, -0.0939993, -0.1065, -0.125571, -0.146813, -0.161554, -0.176677, -0.183199, -0.18903, -0.196255, -0.20237, -0.206535, -0.209195, -0.212762, -0.214504, -0.214843, -0.214799, -0.214613, -0.213826, -0.212484, -0.210392, -0.207615, -0.204153, -0.200006, -0.195449, -0.190198, -0.169994, -0.162898, -0.112673, -0.102593, -0.0935415, -0.0835335, -0.0706296, -0.0704856, -0.0697009, -0.0682753, -0.066209, -0.0615156, -0.0558076, -0.0505662, -0.0423017, -0.0351216, -0.029388, -0.0248737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.03019, 1.11237, 1.11604, 1.12338, 1.13805, 1.15183, 1.15648, 1.16578, 1.18362, 1.21345, 1.2164, 1.2223, 1.23137, 1.23723, 1.24233, 1.25252, 1.26604, 1.27974, 1.29136, 1.30239, 1.31221, 1.32691, 1.34184, 1.35639, 1.36983, 1.39475, 1.39734, 1.40254, 1.41293, 1.4266, 1.44951, 1.46306, 1.46725, 1.47564, 1.4924, 1.50132, 1.50366, 1.50833, 1.51768, 1.53638, 1.54653, 1.54999, 1.55692, 1.57079, 1.59852, 1.63157");
-            values ( \
-              "-0.00320676, -0.0331114, -0.0359983, -0.0422424, -0.0558227, -0.0709031, -0.076501, -0.088185, -0.11094, -0.146627, -0.149865, -0.156618, -0.167096, -0.173615, -0.17919, -0.189895, -0.203174, -0.215383, -0.224854, -0.233316, -0.24048, -0.250273, -0.258857, -0.265458, -0.269575, -0.26883, -0.267498, -0.264645, -0.254597, -0.230987, -0.175996, -0.144267, -0.135052, -0.117885, -0.0881748, -0.0750881, -0.071925, -0.0659767, -0.05532, -0.0384298, -0.031445, -0.0293557, -0.0255591, -0.0192994, -0.0108031, -0.00593801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.28551, 1.3631, 1.50098, 1.57338, 1.6371, 1.70208, 1.76183, 1.81885, 1.8753, 1.93171, 2.03968, 2.09138, 2.14266, 2.18106, 2.22004, 2.24976, 2.3092, 2.41905, 2.53756");
-            values ( \
-              "-0.0584155, -0.0721183, -0.168273, -0.209462, -0.241787, -0.268821, -0.287066, -0.296783, -0.292493, -0.253753, -0.124835, -0.0812706, -0.0516129, -0.0361822, -0.0251312, -0.0189243, -0.0105442, -0.00321036, -0.000877744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0, 1.02648, 1.3831, 1.4501, 1.55797, 1.67648, 1.78029, 2.1445, 2.27339, 2.43309, 2.61583, 2.70146, 2.81997, 2.84998, 2.88427, 2.94546, 3.06397, 3.30923, 3.41788, 3.5267, 3.61158, 3.69973, 3.76722, 3.88573, 4.00424, 4.06972");
-            values ( \
-              "-1.53724e-06, -0.00100952, -9.71456e-05, -0.000866892, -0.00695144, -0.0270477, -0.0547185, -0.175414, -0.212831, -0.252772, -0.28762, -0.299204, -0.308549, -0.309206, -0.308785, -0.303633, -0.264207, -0.125162, -0.0827056, -0.0534179, -0.0371918, -0.0255293, -0.0191548, -0.0111717, -0.00665066, -0.00506135" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0120542, 0.0120951, 0.0121438, 0.0121864, 0.0122147, 0.0122303", \
-            "0.0142267, 0.0142572, 0.0143016, 0.0143485, 0.0143842, 0.0144056", \
-            "0.0155504, 0.0155641, 0.0155893, 0.0156229, 0.0156545, 0.0156759", \
-            "0.0163785, 0.0163806, 0.0163839, 0.0163943, 0.0164102, 0.0164245", \
-            "0.0168693, 0.0168626, 0.0168521, 0.0168408, 0.0168347, 0.0168349", \
-            "0.017145, 0.0171355, 0.0171192, 0.0170971, 0.0170752, 0.0170607" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0168006, 0.0168487, 0.0168989, 0.0169527, 0.0169914, 0.0170135", \
-            "0.0186862, 0.0186889, 0.018701, 0.0187216, 0.0187404, 0.0187523", \
-            "0.0200205, 0.0199772, 0.0199226, 0.0198741, 0.0198425, 0.0198255", \
-            "0.0210662, 0.0209684, 0.0208364, 0.0207013, 0.0205962, 0.0205326", \
-            "0.0220711, 0.0218844, 0.0216271, 0.0213674, 0.0211682, 0.0210423", \
-            "0.0210534, 0.0219581, 0.0223375, 0.0219864, 0.0216116, 0.0213808" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.129123, 0.165382, 0.243332, 0.414323, 0.794516, 1.64284", \
-            "0.133781, 0.170027, 0.247998, 0.419052, 0.799491, 1.64779", \
-            "0.144233, 0.180513, 0.258662, 0.429804, 0.810387, 1.65881", \
-            "0.167765, 0.204128, 0.282239, 0.453635, 0.834289, 1.68292", \
-            "0.205855, 0.248657, 0.33362, 0.507386, 0.88813, 1.73673", \
-            "0.241073, 0.295751, 0.403356, 0.609733, 1.00735, 1.85607" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0372305, 0.0567593, 0.0993322, 0.194544, 0.40558, 0.877015", \
-            "0.0372682, 0.056731, 0.0994511, 0.194667, 0.405568, 0.877086", \
-            "0.0372243, 0.0567204, 0.0994455, 0.194685, 0.405561, 0.877052", \
-            "0.0373413, 0.0566875, 0.0993602, 0.194531, 0.405504, 0.876952", \
-            "0.0414057, 0.0602131, 0.10083, 0.194828, 0.405512, 0.877046", \
-            "0.050064, 0.0696012, 0.110493, 0.200852, 0.40596, 0.876886" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.138385, 0.179455, 0.26717, 0.457531, 0.877811, 1.81403", \
-            "0.143128, 0.184299, 0.272245, 0.46291, 0.883515, 1.81971", \
-            "0.155137, 0.196261, 0.28422, 0.475012, 0.89572, 1.83226", \
-            "0.184904, 0.225643, 0.313148, 0.503624, 0.924214, 1.86093", \
-            "0.246789, 0.290828, 0.379464, 0.569955, 0.989014, 1.92472", \
-            "0.34105, 0.398873, 0.510651, 0.719981, 1.13934, 2.07206" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0379522, 0.057562, 0.0983933, 0.185401, 0.375812, 0.797631", \
-            "0.0378628, 0.0575943, 0.0983762, 0.185327, 0.375662, 0.798831", \
-            "0.0378887, 0.0575213, 0.0983535, 0.185511, 0.375809, 0.798695", \
-            "0.0380058, 0.0575694, 0.0982905, 0.185291, 0.375791, 0.797701", \
-            "0.0426847, 0.060529, 0.099426, 0.185281, 0.375674, 0.79871", \
-            "0.0541647, 0.0743966, 0.112654, 0.189221, 0.376054, 0.797662" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0922765, 0.103884, 0.113421, 0.121891, 0.127915, 0.134867, 0.137142, 0.140638, 0.146307, 0.151975, 0.15468, 0.162282, 0.167603, 0.172097, 0.18027, 0.182911, 0.191027, 0.196557, 0.202031, 0.210823, 0.222549, 0.268395");
-            values ( \
-              "0.000477797, 0.0323586, 0.0655836, 0.0884666, 0.101072, 0.111186, 0.113344, 0.1156, 0.115721, 0.108456, 0.0987635, 0.0645506, 0.046562, 0.0355197, 0.0209859, 0.017858, 0.0104349, 0.00728563, 0.00515303, 0.00306533, 0.00162118, 0.000534964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.104223, 0.114986, 0.118114, 0.148744, 0.159015, 0.168211, 0.176938, 0.185575, 0.194207, 0.209419, 0.222874, 0.236463, 0.253002, 0.269926, 0.296432, 0.298862");
-            values ( \
-              "0.0154998, 0.029151, 0.0368661, 0.132816, 0.154098, 0.165598, 0.171404, 0.169426, 0.156391, 0.0993325, 0.0601603, 0.034377, 0.0166053, 0.00789673, 0.00297094, 0.00284384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.142503, 0.156273, 0.184757, 0.199609, 0.206233, 0.21948, 0.223748, 0.232092, 0.239654, 0.247089, 0.25491, 0.262226, 0.270112, 0.27775, 0.287057, 0.291786, 0.314768, 0.326607, 0.333729, 0.344713, 0.356349, 0.363327, 0.371389, 0.380903, 0.394203, 0.399764, 0.410884, 0.427174, 0.447651, 0.470828, 0.499413, 0.536617");
-            values ( \
-              "0.041412, 0.0545343, 0.130934, 0.162837, 0.175625, 0.195133, 0.200607, 0.208279, 0.21375, 0.216687, 0.218436, 0.217839, 0.214472, 0.207533, 0.191381, 0.18046, 0.122603, 0.0966777, 0.083015, 0.064763, 0.0490277, 0.0414111, 0.0339376, 0.0267411, 0.0189341, 0.0164373, 0.0122367, 0.00796719, 0.004561, 0.00250005, 0.00115913, 0.000501858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.202674, 0.234905, 0.290143, 0.315641, 0.331378, 0.354823, 0.368598, 0.3818, 0.395992, 0.404008, 0.413704, 0.425763, 0.440555, 0.45565, 0.482934, 0.497065, 0.546215, 0.581117, 0.611958, 0.631258, 0.665514, 0.691895, 0.719336, 0.740359, 0.782407, 0.857863, 0.950892");
-            values ( \
-              "0.031234, 0.0606599, 0.152651, 0.186861, 0.204164, 0.224956, 0.234568, 0.241547, 0.246737, 0.248539, 0.25001, 0.250157, 0.248341, 0.243315, 0.22352, 0.206634, 0.138686, 0.0965147, 0.067316, 0.0529802, 0.0338341, 0.0236907, 0.0162498, 0.0120774, 0.00661228, 0.00199563, 0.00045067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.335998, 0.409167, 0.488613, 0.518275, 0.560292, 0.609607, 0.643867, 0.671752, 0.695414, 0.720387, 0.743898, 0.790832, 0.806142, 0.836763, 0.868497, 0.915892, 0.970055, 1.08232, 1.13505, 1.18828, 1.23202, 1.27073, 1.32235, 1.38478, 1.42317, 1.49994, 1.61852, 1.7371, 1.85567");
-            values ( \
-              "0.027393, 0.0635355, 0.135906, 0.160371, 0.19142, 0.221559, 0.23778, 0.248121, 0.255193, 0.261114, 0.265111, 0.269052, 0.268985, 0.267036, 0.261449, 0.244372, 0.211733, 0.133223, 0.101439, 0.0751178, 0.0579078, 0.0455982, 0.0327026, 0.0217358, 0.0169137, 0.00994729, 0.00431344, 0.00177399, 0.000809206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.608087, 0.798579, 0.963563, 1.04769, 1.14719, 1.23123, 1.2846, 1.33659, 1.38204, 1.45438, 1.5203, 1.63888, 1.68563, 1.73684, 1.78952, 1.89487, 2.01038, 2.25386, 2.36869, 2.4845, 2.57951, 2.66361, 2.77574, 2.86991, 2.99532, 3.1139, 3.23248, 3.35106, 3.46964, 3.70679, 3.94395");
-            values ( \
-              "0.0131569, 0.0651837, 0.138162, 0.172048, 0.20664, 0.230752, 0.243521, 0.254048, 0.261655, 0.270938, 0.27641, 0.279734, 0.278626, 0.275692, 0.270493, 0.251505, 0.218468, 0.137783, 0.104799, 0.0775588, 0.0597124, 0.0470176, 0.0337971, 0.0254933, 0.0174118, 0.0120503, 0.00832274, 0.00572726, 0.00394247, 0.00185977, 0.000875573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.111881, 0.118352, 0.120312, 0.135869, 0.140712, 0.147585, 0.153718, 0.159494, 0.165165, 0.170831, 0.181123, 0.189588, 0.193691, 0.199162, 0.205249, 0.209841, 0.215302, 0.220869, 0.229797, 0.241363, 0.260829");
-            values ( \
-              "0.0123155, 0.0209756, 0.0250286, 0.0760203, 0.0882514, 0.102542, 0.111137, 0.115692, 0.115708, 0.108525, 0.0646144, 0.0386276, 0.0298834, 0.020934, 0.014287, 0.0104631, 0.00733459, 0.00515575, 0.00304196, 0.00162302, 0.00115581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.127317, 0.167408, 0.177643, 0.186845, 0.195567, 0.204203, 0.212834, 0.228047, 0.241497, 0.255207, 0.271294, 0.289602, 0.316057");
-            values ( \
-              "0.0136459, 0.133452, 0.153885, 0.16599, 0.171171, 0.169715, 0.15616, 0.0993331, 0.0601686, 0.0342021, 0.0168522, 0.00755737, 0.00287602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.177277, 0.203355, 0.206823, 0.21376, 0.217229, 0.221079, 0.224928, 0.228777, 0.232627, 0.236476, 0.242513, 0.245793, 0.249073, 0.253447, 0.258439, 0.262647, 0.266155, 0.268261, 0.272472, 0.274334, 0.277438, 0.281162, 0.2831, 0.285038, 0.288915, 0.292283, 0.295652, 0.297563, 0.299474, 0.303296, 0.304988, 0.308374, 0.316739, 0.330273, 0.334806, 0.339338, 0.345211, 0.351085, 0.36072, 0.366208, 0.368038, 0.373576, 0.379113, 0.383819, 0.388526, 0.395861, 0.401808, 0.408813, 0.412316, 0.417824");
-            values ( \
-              "0.122933, 0.130376, 0.138619, 0.154074, 0.161285, 0.168379, 0.175056, 0.181173, 0.18697, 0.192446, 0.200387, 0.203759, 0.206672, 0.210153, 0.213715, 0.215449, 0.21663, 0.217223, 0.218148, 0.218206, 0.218003, 0.217184, 0.216521, 0.215696, 0.21356, 0.210769, 0.207241, 0.204869, 0.20224, 0.196212, 0.193005, 0.185349, 0.164717, 0.129826, 0.119316, 0.109297, 0.0970474, 0.0856237, 0.0685227, 0.0605074, 0.0579955, 0.0509975, 0.0444943, 0.0393916, 0.0348555, 0.0291749, 0.0251778, 0.0210855, 0.01927, 0.0167083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.203155, 0.241517, 0.257186, 0.309118, 0.328093, 0.352431, 0.37372, 0.384383, 0.396702, 0.409033, 0.422947, 0.433055, 0.444984, 0.459783, 0.474882, 0.501769, 0.517098, 0.560747, 0.599005, 0.625802, 0.648627, 0.669586, 0.697531, 0.715119, 0.734818, 0.761083, 0.813614, 0.841475");
-            values ( \
-              "0.0119252, 0.0423184, 0.0648505, 0.151694, 0.17823, 0.205701, 0.22451, 0.232089, 0.23923, 0.244523, 0.248379, 0.249805, 0.250086, 0.248179, 0.243283, 0.223822, 0.205526, 0.145049, 0.0980181, 0.0719852, 0.0541259, 0.041334, 0.0284855, 0.0223533, 0.0170493, 0.0118391, 0.00543007, 0.00406511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.358284, 0.42822, 0.508803, 0.538229, 0.594431, 0.628542, 0.657267, 0.678989, 0.69858, 0.731422, 0.762864, 0.807401, 0.825115, 0.85595, 0.88745, 0.934114, 0.988973, 1.10128, 1.15399, 1.20722, 1.25096, 1.28968, 1.34131, 1.38453, 1.4421, 1.51886, 1.63744, 1.75601, 1.87459");
-            values ( \
-              "0.0314408, 0.0636749, 0.137013, 0.16117, 0.201629, 0.221574, 0.235447, 0.244042, 0.250708, 0.259395, 0.265193, 0.268954, 0.269052, 0.266969, 0.261508, 0.244676, 0.211704, 0.133251, 0.10147, 0.0751499, 0.0578796, 0.0455703, 0.0327265, 0.0247136, 0.0168897, 0.00997368, 0.00428957, 0.00179316, 0.000793809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.662545, 0.816847, 0.97606, 1.05474, 1.16917, 1.25001, 1.30303, 1.35523, 1.40084, 1.47321, 1.53912, 1.6577, 1.70449, 1.75569, 1.80836, 1.91369, 2.02922, 2.27271, 2.38754, 2.50335, 2.59836, 2.68246, 2.79459, 2.88876, 3.01418, 3.13275, 3.25133, 3.36991, 3.48849, 3.72564, 3.9628");
-            values ( \
-              "0.0317809, 0.0648886, 0.135388, 0.167481, 0.207547, 0.23067, 0.243404, 0.253953, 0.261633, 0.270888, 0.276403, 0.279698, 0.278591, 0.275699, 0.270464, 0.251524, 0.218485, 0.137759, 0.104775, 0.0775346, 0.0597363, 0.047042, 0.0337726, 0.0255179, 0.0174367, 0.0120252, 0.00834777, 0.00570212, 0.00396765, 0.00188507, 0.000900987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.154345, 0.160651, 0.161939, 0.164516, 0.167529, 0.173482, 0.181974, 0.18884, 0.194961, 0.20073, 0.206396, 0.212057, 0.214788, 0.219219, 0.222256, 0.227607, 0.230807, 0.235023, 0.240644, 0.244037, 0.246128, 0.25031, 0.255731, 0.261978, 0.265433, 0.271824, 0.277877, 0.283272, 0.289261, 0.301434, 0.308231");
-            values ( \
-              "0.0136827, 0.0236597, 0.0265347, 0.0341915, 0.0442898, 0.0659221, 0.0883775, 0.102869, 0.111165, 0.115911, 0.115689, 0.108674, 0.098704, 0.0782305, 0.0650077, 0.0467639, 0.0386394, 0.0296781, 0.0205775, 0.0166884, 0.0146083, 0.0110125, 0.00770758, 0.00518922, 0.00426789, 0.00290068, 0.00210031, 0.00157628, 0.00138186, 0.00132486, 0.00108056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.166793, 0.179309, 0.196122, 0.202002, 0.208725, 0.218962, 0.228153, 0.236874, 0.245509, 0.254138, 0.269344, 0.279075, 0.282799, 0.290588, 0.296576, 0.302928, 0.312431, 0.319687, 0.323593, 0.331406, 0.339524, 0.358162, 0.37867, 0.386611");
-            values ( \
-              "0.00547983, 0.0415442, 0.0988454, 0.116226, 0.133424, 0.154036, 0.165944, 0.171279, 0.169663, 0.156245, 0.0993597, 0.0693657, 0.0601752, 0.0439978, 0.0341068, 0.025868, 0.0169781, 0.0122891, 0.0103298, 0.0074002, 0.00545511, 0.00278033, 0.00127388, 0.000983686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.193611, 0.215705, 0.240111, 0.266087, 0.283677, 0.300128, 0.314905, 0.330067, 0.337704, 0.347285, 0.393809, 0.415799, 0.43206, 0.454418, 0.486524, 0.506997");
-            values ( \
-              "0.00706886, 0.0527457, 0.119158, 0.174823, 0.200469, 0.2139, 0.218428, 0.214549, 0.207456, 0.190747, 0.0827999, 0.0496519, 0.0333594, 0.0188332, 0.00807606, 0.00544581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.245185, 0.294138, 0.342072, 0.366717, 0.391673, 0.414654, 0.423107, 0.438376, 0.450559, 0.464104, 0.474218, 0.48618, 0.50098, 0.516079, 0.542755, 0.558709, 0.599472, 0.638514, 0.655, 0.676982, 0.692307, 0.720371, 0.75022, 0.763796, 0.784308, 0.811658, 0.866358, 0.946948, 1.04534");
-            values ( \
-              "0.00243752, 0.0580969, 0.13946, 0.174862, 0.203808, 0.224145, 0.230409, 0.239364, 0.244559, 0.248309, 0.249825, 0.250036, 0.248202, 0.243244, 0.224059, 0.205001, 0.148407, 0.0998061, 0.0828194, 0.0637217, 0.0524331, 0.0365129, 0.0242817, 0.0201837, 0.0152043, 0.010364, 0.0045949, 0.00127956, 0.000235454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.404948, 0.469936, 0.556265, 0.585393, 0.633072, 0.68052, 0.71847, 0.740244, 0.772214, 0.804529, 0.848302, 0.866782, 0.897615, 0.929112, 0.975877, 0.995879, 1.03064, 1.14294, 1.19566, 1.24888, 1.29262, 1.33134, 1.38297, 1.4262, 1.48377, 1.56053, 1.6791, 1.79768, 1.91626");
-            values ( \
-              "0.0384979, 0.0638141, 0.142048, 0.16544, 0.19965, 0.226858, 0.243289, 0.250693, 0.259235, 0.265171, 0.268958, 0.269024, 0.266992, 0.261481, 0.244651, 0.23375, 0.211724, 0.133236, 0.101457, 0.0751372, 0.0578913, 0.0455807, 0.0327169, 0.0247234, 0.0168984, 0.00996488, 0.004298, 0.0017847, 0.000802136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.699048, 0.858887, 1.01626, 1.09978, 1.17895, 1.29194, 1.34468, 1.39702, 1.44275, 1.51511, 1.58102, 1.6996, 1.74637, 1.79758, 1.85025, 1.95559, 2.07111, 2.3146, 2.42943, 2.54523, 2.64024, 2.72435, 2.83648, 2.93065, 3.05606, 3.17464, 3.29322, 3.4118, 3.53037, 3.76753, 4.00468");
-            values ( \
-              "0.0284002, 0.0649495, 0.134682, 0.168706, 0.197212, 0.230717, 0.243359, 0.253966, 0.261639, 0.270917, 0.276401, 0.27972, 0.278612, 0.275692, 0.270481, 0.251511, 0.218474, 0.137772, 0.104787, 0.0775462, 0.0597249, 0.047031, 0.0337836, 0.0255069, 0.017426, 0.0120359, 0.0083372, 0.00571264, 0.00395719, 0.00187473, 0.000890769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.242715, 0.24911, 0.252155, 0.268523, 0.273568, 0.280474, 0.286623, 0.292408, 0.298086, 0.303758, 0.314168, 0.319461, 0.323852, 0.331794, 0.3347, 0.343068, 0.348573, 0.353861, 0.362252, 0.37367, 0.379346, 0.403982");
-            values ( \
-              "0.00806292, 0.0161141, 0.0211879, 0.0747988, 0.0876863, 0.102217, 0.110891, 0.115551, 0.115589, 0.10847, 0.0641105, 0.0463531, 0.0355696, 0.0213478, 0.0178675, 0.0102574, 0.00721785, 0.00517561, 0.00315568, 0.00169454, 0.00141803, 0.000928347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.265566, 0.274614, 0.287856, 0.300502, 0.305764, 0.310695, 0.319882, 0.328623, 0.337258, 0.345888, 0.361095, 0.374552, 0.388101, 0.39494, 0.404708, 0.412186, 0.421714, 0.429887, 0.447593, 0.46406");
-            values ( \
-              "0.0505348, 0.0525129, 0.0992257, 0.133528, 0.144961, 0.153923, 0.165945, 0.171217, 0.169689, 0.156195, 0.0993599, 0.0601717, 0.0344437, 0.0255754, 0.0165882, 0.0118858, 0.00786457, 0.00574081, 0.00302858, 0.0017166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.289227, 0.307891, 0.335273, 0.357834, 0.375361, 0.387809, 0.398275, 0.406556, 0.413567, 0.421756, 0.429396, 0.438997, 0.485452, 0.506394, 0.524654, 0.546442, 0.577315, 0.599112");
-            values ( \
-              "0.0164879, 0.0542891, 0.12775, 0.175166, 0.200256, 0.211424, 0.216637, 0.218243, 0.21801, 0.214317, 0.207639, 0.190693, 0.0828735, 0.0509907, 0.0326091, 0.0186651, 0.00829942, 0.00541183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.339866, 0.387248, 0.442391, 0.467389, 0.483608, 0.506914, 0.529486, 0.541563, 0.555819, 0.565844, 0.577987, 0.592777, 0.60787, 0.634435, 0.650688, 0.70754, 0.730018, 0.74728, 0.770296, 0.784437, 0.810451, 0.840182, 0.855452, 0.878945, 0.910269, 0.97238, 1.05489");
-            values ( \
-              "0.00156889, 0.0609594, 0.152777, 0.186287, 0.204248, 0.22491, 0.239272, 0.244499, 0.248482, 0.250006, 0.250177, 0.248339, 0.243329, 0.224229, 0.204785, 0.127256, 0.100108, 0.0823295, 0.0625039, 0.0521894, 0.037329, 0.0248922, 0.0202163, 0.0146074, 0.0093911, 0.00367033, 0.000980323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.524642, 0.593418, 0.648189, 0.6968, 0.740436, 0.784311, 0.809507, 0.832245, 0.863975, 0.896517, 0.940081, 0.958762, 0.989592, 1.02109, 1.06758, 1.08785, 1.1226, 1.23491, 1.28762, 1.34084, 1.38459, 1.42331, 1.47494, 1.51816, 1.57573, 1.65248, 1.77106, 1.88963, 2.00821");
-            values ( \
-              "0.0749094, 0.0922079, 0.142093, 0.18004, 0.20938, 0.232566, 0.242995, 0.25076, 0.259231, 0.265219, 0.268982, 0.269058, 0.267013, 0.261503, 0.244787, 0.233763, 0.211734, 0.133235, 0.101458, 0.0751388, 0.0578918, 0.0455804, 0.0327155, 0.0247233, 0.0168991, 0.00996513, 0.00429866, 0.00178439, 0.0008026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.826453, 0.952184, 1.11521, 1.21818, 1.30926, 1.38431, 1.43679, 1.48923, 1.53507, 1.60741, 1.67332, 1.7919, 1.83862, 1.88984, 1.94252, 2.04789, 2.16338, 2.40686, 2.52169, 2.63749, 2.7325, 2.81661, 2.92874, 3.0229, 3.14832, 3.2669, 3.38548, 3.50405, 3.62263, 3.85979, 4.09694");
-            values ( \
-              "0.0531229, 0.0655607, 0.13763, 0.178644, 0.209497, 0.230797, 0.243392, 0.254, 0.261704, 0.270952, 0.276447, 0.279735, 0.278625, 0.275719, 0.270487, 0.251522, 0.218486, 0.137766, 0.104781, 0.0775407, 0.0597311, 0.0470366, 0.033778, 0.0255124, 0.0174312, 0.0120308, 0.00834227, 0.00570766, 0.00396214, 0.00187957, 0.000895491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.398837, 0.425026, 0.430098, 0.439594, 0.465579, 0.474423, 0.48089, 0.487117, 0.494585, 0.516014, 0.525266, 0.538681, 0.552687");
-            values ( \
-              "0.00208224, 0.00696849, 0.0120373, 0.0266457, 0.0851621, 0.0982671, 0.104427, 0.106309, 0.0984296, 0.0307468, 0.0174679, 0.0074246, 0.00331327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.438329, 0.455588, 0.460281, 0.493128, 0.504479, 0.514421, 0.523685, 0.532752, 0.541807, 0.563102, 0.574325, 0.587662, 0.600789, 0.619765, 0.64868, 0.652146");
-            values ( \
-              "0.00899327, 0.0251211, 0.0335341, 0.118386, 0.141041, 0.154986, 0.162275, 0.162615, 0.151481, 0.0793563, 0.052112, 0.0300073, 0.0169967, 0.00749813, 0.00261821, 0.00242067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.465151, 0.492231, 0.49883, 0.511144, 0.545477, 0.564592, 0.575775, 0.585418, 0.593401, 0.600407, 0.608876, 0.61599, 0.62422, 0.631924, 0.640359, 0.674815, 0.695859, 0.714354, 0.724622, 0.738312, 0.761372, 0.777619, 0.79614, 0.816427");
-            values ( \
-              "0.0067885, 0.0236202, 0.0330476, 0.056909, 0.141113, 0.177062, 0.19334, 0.203794, 0.209922, 0.213568, 0.215818, 0.215952, 0.212753, 0.206393, 0.192485, 0.109406, 0.0696093, 0.0448822, 0.0348998, 0.0246437, 0.0135852, 0.00879475, 0.00538415, 0.00317679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.563191, 0.589707, 0.638628, 0.646478, 0.66218, 0.687974, 0.702256, 0.721884, 0.72932, 0.743675, 0.752778, 0.767549, 0.780757, 0.793205, 0.807432, 0.812416, 0.822386, 0.844323, 0.909849, 0.942291, 0.968172, 0.98795, 1.00406, 1.02267, 1.04895, 1.07599, 1.09666, 1.13801, 1.21417, 1.30436");
-            values ( \
-              "0.055267, 0.0565332, 0.139381, 0.150961, 0.173315, 0.203432, 0.216795, 0.231666, 0.23634, 0.243223, 0.24632, 0.249361, 0.249985, 0.248857, 0.245202, 0.243177, 0.237757, 0.218182, 0.130115, 0.0921173, 0.067918, 0.0530696, 0.0431514, 0.0337445, 0.0236128, 0.0162889, 0.0121982, 0.0067241, 0.00202434, 0.000465928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.685373, 0.766899, 0.852247, 0.882045, 0.929547, 0.965336, 0.988746, 1.01372, 1.03688, 1.06849, 1.10116, 1.14452, 1.1634, 1.19423, 1.22573, 1.27218, 1.32723, 1.43955, 1.49226, 1.54548, 1.58923, 1.62795, 1.67958, 1.7228, 1.78037, 1.85712, 1.9757, 2.09427, 2.21285");
-            values ( \
-              "0.0173496, 0.0642113, 0.141584, 0.165536, 0.199636, 0.220838, 0.23246, 0.242823, 0.25075, 0.259196, 0.265213, 0.268971, 0.269053, 0.26701, 0.2615, 0.244804, 0.211734, 0.133235, 0.101458, 0.0751391, 0.0578917, 0.0455803, 0.0327156, 0.0247232, 0.016899, 0.00996536, 0.00429859, 0.00178453, 0.000802496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.07403, 1.2455, 1.35978, 1.4481, 1.52232, 1.62217, 1.67642, 1.73926, 1.81209, 1.87756, 1.99614, 2.0429, 2.09411, 2.14678, 2.25213, 2.2919, 2.36764, 2.61113, 2.72595, 2.84176, 2.93677, 3.02087, 3.13301, 3.22717, 3.35259, 3.47117, 3.58974, 3.70832, 3.8269, 4.06406, 4.30121");
-            values ( \
-              "0.0708925, 0.104902, 0.1544, 0.187827, 0.212122, 0.239031, 0.25071, 0.261656, 0.270968, 0.276418, 0.279719, 0.278611, 0.275702, 0.27048, 0.251517, 0.241168, 0.21848, 0.137769, 0.104785, 0.077544, 0.0597273, 0.047033, 0.0337817, 0.0255089, 0.0174278, 0.0120342, 0.00833888, 0.00571105, 0.00395877, 0.00187622, 0.00089218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.76112, 0.7827, 0.791212, 0.799905, 0.818356, 0.828096, 0.84114, 0.849094, 0.85661, 0.864039, 0.871457, 0.883125, 0.891249, 0.902695, 0.914527, 0.924276, 0.941386, 0.954759");
-            values ( \
-              "0.00307784, 0.00906992, 0.0142154, 0.0233338, 0.0532347, 0.0657542, 0.079313, 0.0853324, 0.0889883, 0.0876864, 0.0728205, 0.0388025, 0.024887, 0.0127072, 0.00625449, 0.00364695, 0.0017486, 0.00138372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.7808, 0.808458, 0.815836, 0.818575, 0.824053, 0.831114, 0.833542, 0.838398, 0.85358, 0.861836, 0.875083, 0.880961, 0.892962, 0.903849, 0.914221, 0.924595, 0.926752, 0.932398, 0.943158, 0.950414, 0.95505, 0.95996, 0.964744, 0.972915, 0.978188, 0.984207, 0.991515, 0.997806, 1.00072, 1.00654, 1.01408, 1.02565, 1.03567, 1.04639, 1.05745, 1.05971");
-            values ( \
-              "0.0034419, 0.0116601, 0.0163645, 0.0185082, 0.023569, 0.0320438, 0.0356566, 0.0438228, 0.0728109, 0.0867069, 0.107008, 0.115275, 0.130157, 0.139807, 0.143833, 0.137931, 0.13365, 0.118848, 0.0856295, 0.0671349, 0.0569272, 0.0474545, 0.0394389, 0.0283189, 0.0227397, 0.0176555, 0.0129437, 0.009961, 0.00888169, 0.00714682, 0.00544887, 0.00358584, 0.0024801, 0.00166598, 0.00111778, 0.00105096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.842257, 0.881631, 0.889602, 0.905324, 0.945752, 0.971875, 0.983304, 0.994088, 1.00185, 1.01117, 1.01872, 1.02775, 1.03431, 1.04211, 1.05024, 1.08751, 1.09828, 1.11504, 1.1221, 1.13397, 1.14753, 1.16003, 1.17926, 1.1993, 1.21575, 1.24473");
-            values ( \
-              "0.00553854, 0.0279939, 0.0361868, 0.0583834, 0.127236, 0.164987, 0.17815, 0.187994, 0.19327, 0.19794, 0.199659, 0.19927, 0.196222, 0.188312, 0.17422, 0.0926983, 0.0739439, 0.0505086, 0.0427736, 0.0320819, 0.0228101, 0.0165854, 0.010066, 0.00592022, 0.00385612, 0.00220057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.944789, 1.00208, 1.01278, 1.03143, 1.0724, 1.09801, 1.11849, 1.144, 1.16419, 1.17083, 1.18389, 1.19323, 1.20345, 1.21585, 1.22873, 1.23843, 1.2482, 1.26366, 1.28062, 1.31851, 1.34707, 1.37124, 1.38252, 1.40381, 1.42451, 1.44151, 1.45995, 1.48612, 1.49747, 1.51262, 1.53282, 1.57322, 1.64942, 1.73908");
-            values ( \
-              "0.0108574, 0.0408919, 0.0516745, 0.0748381, 0.132259, 0.164546, 0.187667, 0.211504, 0.226145, 0.230057, 0.23625, 0.239521, 0.241992, 0.243495, 0.243119, 0.241686, 0.238962, 0.230935, 0.215816, 0.167099, 0.128872, 0.100137, 0.0883304, 0.0687541, 0.0531556, 0.0427538, 0.0335324, 0.0235049, 0.0201314, 0.0163427, 0.0123374, 0.00689771, 0.00208705, 0.000479984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.15086, 1.21487, 1.30157, 1.33281, 1.38351, 1.43821, 1.48872, 1.51939, 1.55307, 1.58484, 1.61523, 1.66859, 1.67769, 1.6959, 1.73231, 1.769, 1.86547, 1.91979, 1.95256, 2.00154, 2.04279, 2.07713, 2.11566, 2.17014, 2.22554, 2.26778, 2.35227, 2.47084, 2.58942, 2.708");
-            values ( \
-              "0.044007, 0.0598941, 0.138453, 0.163808, 0.20021, 0.230723, 0.250255, 0.258554, 0.264874, 0.268141, 0.268824, 0.263447, 0.26139, 0.256216, 0.240567, 0.218533, 0.150876, 0.115488, 0.097048, 0.0734567, 0.057313, 0.0463701, 0.0363546, 0.0254569, 0.0176802, 0.0133346, 0.00742713, 0.0031794, 0.00133722, 0.000578715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.51132, 1.65633, 1.7998, 1.89679, 2.00524, 2.09287, 2.14539, 2.1913, 2.26364, 2.32953, 2.44811, 2.49481, 2.54603, 2.59872, 2.7041, 2.74384, 2.81957, 3.06306, 3.17788, 3.29368, 3.3887, 3.4728, 3.58493, 3.6791, 3.7209, 3.80451, 3.92309, 4.04167, 4.16024, 4.27882, 4.51598, 4.75313");
-            values ( \
-              "0.0663135, 0.0861989, 0.14965, 0.186802, 0.221314, 0.243405, 0.254027, 0.261742, 0.270981, 0.276472, 0.27975, 0.278638, 0.275733, 0.270495, 0.251525, 0.241173, 0.218491, 0.137765, 0.10478, 0.0775395, 0.0597329, 0.0470382, 0.0337766, 0.0255139, 0.0224431, 0.0174328, 0.0120295, 0.00834372, 0.00570632, 0.00396351, 0.00188089, 0.000896775" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00998812, 0.0100154, 0.0100483, 0.010077, 0.0100957, 0.0101059", \
-            "0.0123183, 0.0123448, 0.0123824, 0.0124214, 0.0124505, 0.0124677", \
-            "0.0137459, 0.0137609, 0.0137871, 0.0138206, 0.0138508, 0.0138705", \
-            "0.0145684, 0.0145641, 0.0145629, 0.0145698, 0.0145821, 0.0145936", \
-            "0.0150344, 0.0150115, 0.0149791, 0.0149472, 0.0149276, 0.0149201", \
-            "0.0153105, 0.015286, 0.0152457, 0.0151889, 0.0151302, 0.0150895" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0146074, 0.0146111, 0.014626, 0.0146475, 0.0146655, 0.0146764", \
-            "0.0153349, 0.0152928, 0.0152437, 0.015202, 0.0151749, 0.0151601", \
-            "0.0147816, 0.0147134, 0.0146172, 0.0145158, 0.0144367, 0.0143889", \
-            "0.0143851, 0.0142845, 0.014145, 0.0139995, 0.013884, 0.0138104", \
-            "0.0147066, 0.0144645, 0.0141945, 0.0139251, 0.0137208, 0.0135968", \
-            "0.0150506, 0.0150843, 0.0149949, 0.0142978, 0.0138634, 0.0136212" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0982923, 0.114193, 0.11894, 0.129624, 0.137067, 0.149837, 0.155728, 0.161519, 0.167574, 0.179821, 0.185408, 0.191565, 0.199888, 0.2094, 0.219716, 0.242247");
-            values ( \
-              "-0.00048282, -0.0409842, -0.057479, -0.0815566, -0.0946941, -0.109773, -0.113094, -0.113489, -0.102063, -0.0427283, -0.0241492, -0.0121357, -0.00466966, -0.00150512, -0.000577662, -0.000453191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.122222, 0.129162, 0.14399, 0.151027, 0.160517, 0.171675, 0.181017, 0.191183, 0.197894, 0.203781, 0.208167, 0.210372, 0.2134, 0.216516, 0.223347, 0.232345, 0.239053, 0.245484, 0.254096");
-            values ( \
-              "-0.0422326, -0.0426117, -0.0851352, -0.102975, -0.121131, -0.139921, -0.15178, -0.16261, -0.167002, -0.168216, -0.167419, -0.165405, -0.159686, -0.151296, -0.125279, -0.0815125, -0.0549715, -0.0356438, -0.0195484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.155033, 0.156745, 0.170154, 0.181907, 0.195019, 0.202417, 0.217203, 0.226207, 0.237159, 0.25376, 0.262905, 0.271361, 0.278852, 0.294, 0.308883, 0.321924, 0.324639, 0.330068, 0.347948, 0.358967, 0.370292, 0.376458, 0.385106, 0.395628, 0.409657, 0.426554, 0.43537");
-            values ( \
-              "-0.0294749, -0.0311102, -0.0503953, -0.0742265, -0.104451, -0.118773, -0.1442, -0.157182, -0.171904, -0.190775, -0.199871, -0.207834, -0.213683, -0.222331, -0.221369, -0.202017, -0.194982, -0.176085, -0.109226, -0.0759228, -0.0505468, -0.0399954, -0.0285828, -0.0187909, -0.0104253, -0.00517753, -0.00392835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.238747, 0.26477, 0.271135, 0.278773, 0.296934, 0.310249, 0.320623, 0.331518, 0.338955, 0.369754, 0.370481, 0.383088, 0.393785, 0.404367, 0.41129, 0.424395, 0.43189, 0.439384, 0.452315, 0.469333, 0.478707, 0.497808, 0.50342, 0.512773, 0.520255, 0.524304, 0.525654, 0.528353, 0.531052, 0.533751, 0.53645, 0.541183, 0.545915, 0.550647, 0.555379, 0.572604, 0.596456, 0.59858, 0.604952, 0.609199, 0.613447, 0.621942, 0.628586, 0.637757, 0.642394, 0.647032, 0.651669, 0.660945, 0.668213, 0.679696");
-            values ( \
-              "-0.0597894, -0.0598708, -0.0676718, -0.0775375, -0.103088, -0.120698, -0.133321, -0.145726, -0.153734, -0.184116, -0.184597, -0.196096, -0.205526, -0.214524, -0.220093, -0.22973, -0.234762, -0.239445, -0.246674, -0.255389, -0.259284, -0.265551, -0.265629, -0.265294, -0.264607, -0.263295, -0.262759, -0.260958, -0.258813, -0.256326, -0.253496, -0.24707, -0.240017, -0.232336, -0.224028, -0.187514, -0.133272, -0.128798, -0.116073, -0.108335, -0.100953, -0.0872553, -0.07761, -0.0649252, -0.0594962, -0.0544723, -0.050049, -0.0420269, -0.0365892, -0.0289698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.395321, 0.466737, 0.593986, 0.667582, 0.740006, 0.810116, 0.860474, 0.889004, 0.94627, 1.00295, 1.05961, 1.14562, 1.19344, 1.23852, 1.30541, 1.35607, 1.39319, 1.4662, 1.58211, 1.69972");
-            values ( \
-              "-0.0328907, -0.0601916, -0.14817, -0.191553, -0.22868, -0.259775, -0.277476, -0.28542, -0.29529, -0.291395, -0.253006, -0.147859, -0.101289, -0.0687994, -0.0374064, -0.0232796, -0.0163464, -0.00782388, -0.00221744, -0.000589644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.736182, 0.924078, 1.13774, 1.27401, 1.41159, 1.5422, 1.64862, 1.69784, 1.79627, 1.88393, 1.94722, 2.06483, 2.11682, 2.17228, 2.34189, 2.43057, 2.53836, 2.6468, 2.73166, 2.82, 2.88767, 3.00528, 3.12289, 3.2405, 3.47572");
-            values ( \
-              "-0.0180504, -0.0613432, -0.134656, -0.177623, -0.217823, -0.250962, -0.273667, -0.282673, -0.297644, -0.306889, -0.310463, -0.305749, -0.294362, -0.271499, -0.170469, -0.125067, -0.0828711, -0.0534023, -0.0373316, -0.0256013, -0.0190632, -0.0112751, -0.00662346, -0.00388732, -0.00133252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.121291, 0.126571, 0.131401, 0.139392, 0.145095, 0.155188, 0.162495, 0.168647, 0.174522, 0.180159, 0.184274, 0.186335, 0.196583, 0.20312, 0.208833, 0.215601, 0.221544, 0.231722, 0.241401, 0.251028");
-            values ( \
-              "-0.0205593, -0.0248889, -0.0365339, -0.0618507, -0.0753039, -0.0935732, -0.103436, -0.109632, -0.113296, -0.113362, -0.107602, -0.10167, -0.0515333, -0.0270437, -0.0144143, -0.00667904, -0.00335421, -0.00104301, -0.000473625, -0.000441351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.14102, 0.147872, 0.14989, 0.151908, 0.158362, 0.164817, 0.16554, 0.167712, 0.169884, 0.17253, 0.175177, 0.178215, 0.180794, 0.185497, 0.190477, 0.195149, 0.199821, 0.202748, 0.204943, 0.207425, 0.209907, 0.218848, 0.219804, 0.220759, 0.221715, 0.22267, 0.223907, 0.225143, 0.226379, 0.227616, 0.229806, 0.231996, 0.234187, 0.236831, 0.237739, 0.239556, 0.240464, 0.243189, 0.248875, 0.25092, 0.2541, 0.257226, 0.25946, 0.260651, 0.261843, 0.263661, 0.265478, 0.268086, 0.270693, 0.27277");
-            values ( \
-              "-0.0429121, -0.0430637, -0.0475419, -0.0525485, -0.0713311, -0.0908752, -0.0923786, -0.0976075, -0.102611, -0.108349, -0.113798, -0.119691, -0.124438, -0.132481, -0.139706, -0.145965, -0.151722, -0.155071, -0.157421, -0.15967, -0.161722, -0.167767, -0.167974, -0.168053, -0.168005, -0.167829, -0.167413, -0.166783, -0.165941, -0.164885, -0.162364, -0.159165, -0.155287, -0.149701, -0.146714, -0.138766, -0.134422, -0.12111, -0.0921535, -0.0821605, -0.0686365, -0.0568279, -0.0489824, -0.0451344, -0.0415627, -0.0369366, -0.0327124, -0.0274114, -0.022825, -0.0196624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.170882, 0.184784, 0.190732, 0.196239, 0.20217, 0.213503, 0.222082, 0.237025, 0.24586, 0.2568, 0.265267, 0.273419, 0.28255, 0.291004, 0.298494, 0.313639, 0.328521, 0.341551, 0.344275, 0.349722, 0.364129, 0.367576, 0.372171, 0.37854, 0.384136, 0.389988, 0.396134, 0.404761, 0.408248, 0.415221, 0.429166, 0.436189");
-            values ( \
-              "-0.0267964, -0.0435961, -0.0524482, -0.0631111, -0.0755794, -0.101885, -0.118982, -0.144385, -0.157339, -0.171837, -0.181812, -0.190749, -0.199984, -0.207803, -0.213779, -0.2223, -0.221448, -0.201998, -0.195044, -0.175978, -0.121188, -0.109224, -0.0944101, -0.0760579, -0.0624302, -0.0504012, -0.0399653, -0.0285283, -0.024864, -0.0187911, -0.0105147, -0.00829317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.229781, 0.388765, 0.398703, 0.426019, 0.458445, 0.471674, 0.497349, 0.512555, 0.52547, 0.54269, 0.548796, 0.556937, 0.574398, 0.615468, 0.640863, 0.666142, 0.684829, 0.698722, 0.719936, 0.748222, 0.794851, 0.797249");
-            values ( \
-              "-0.00162219, -0.184046, -0.193003, -0.216348, -0.239324, -0.246862, -0.258996, -0.264119, -0.266312, -0.264197, -0.261304, -0.255047, -0.228403, -0.134078, -0.0875255, -0.0550944, -0.0383562, -0.0291855, -0.0190687, -0.0105827, -0.00373841, -0.00361817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.419998, 0.487177, 0.627363, 0.707923, 0.779015, 0.82869, 0.879944, 0.908446, 0.965697, 1.02237, 1.07901, 1.18789, 1.23908, 1.28999, 1.32853, 1.36793, 1.39801, 1.45817, 1.54361");
-            values ( \
-              "-0.0378966, -0.0610639, -0.156879, -0.202901, -0.238088, -0.259553, -0.27759, -0.2855, -0.295366, -0.291436, -0.253043, -0.123871, -0.0810085, -0.0516954, -0.0361616, -0.0250237, -0.0188235, -0.0103789, -0.00482418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.794818, 0.942135, 1.29139, 1.43187, 1.57743, 1.69504, 1.75863, 1.84384, 1.96145, 1.99205, 2.02701, 2.08636, 2.20397, 2.38317, 2.4475, 2.55718, 2.66677, 2.75135, 2.83869, 2.90549, 3.0231, 3.14071, 3.25832, 3.49354");
-            values ( \
-              "-0.0399729, -0.0613092, -0.17735, -0.218371, -0.254847, -0.27895, -0.28968, -0.301183, -0.31041, -0.310992, -0.310427, -0.305131, -0.265271, -0.15859, -0.12609, -0.0829414, -0.0533571, -0.0371852, -0.025604, -0.0192589, -0.0112824, -0.00673646, -0.0038455, -0.00127445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.161776, 0.175833, 0.182124, 0.191178, 0.205195, 0.211349, 0.217227, 0.223014, 0.228796, 0.24483, 0.252462, 0.256588, 0.261302, 0.270731, 0.27147");
-            values ( \
-              "-0.00373337, -0.0415352, -0.0627599, -0.0824836, -0.103643, -0.109479, -0.113521, -0.113213, -0.103348, -0.0300516, -0.0129731, -0.0081222, -0.00470952, -0.00153399, -0.00146554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.178864, 0.204562, 0.221776, 0.232933, 0.247957, 0.261332, 0.268607, 0.273165, 0.279299, 0.303477, 0.312663, 0.319941, 0.334916");
-            values ( \
-              "-0.00692838, -0.0832725, -0.121389, -0.139962, -0.157695, -0.167376, -0.168228, -0.164424, -0.149932, -0.0447118, -0.0237102, -0.0139895, -0.00454407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.216426, 0.220588, 0.231159, 0.234025, 0.239758, 0.259385, 0.274652, 0.28907, 0.292386, 0.296807, 0.302534, 0.307641, 0.31687, 0.324911, 0.333338, 0.340851, 0.355994, 0.370876, 0.383849, 0.386627, 0.392184, 0.406517, 0.409874, 0.414351, 0.419014, 0.425174, 0.426411, 0.428885, 0.433834, 0.443732, 0.448644, 0.450368, 0.453816, 0.460711, 0.474502, 0.49223, 0.495204");
-            values ( \
-              "-0.0295834, -0.0340234, -0.0488752, -0.0550818, -0.0651569, -0.109545, -0.137081, -0.158619, -0.163128, -0.168881, -0.175918, -0.181837, -0.191895, -0.20003, -0.207784, -0.213822, -0.222301, -0.221473, -0.202128, -0.195052, -0.175574, -0.121063, -0.109419, -0.0949372, -0.0811733, -0.0654803, -0.0626122, -0.0572542, -0.0476679, -0.0325387, -0.0268327, -0.025062, -0.0218589, -0.0165323, -0.00931491, -0.0044177, -0.00405889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.279193, 0.315646, 0.325333, 0.334911, 0.345857, 0.373799, 0.387224, 0.402439, 0.420291, 0.432972, 0.452404, 0.470083, 0.487806, 0.502514, 0.515784, 0.532533, 0.541359, 0.556555, 0.560941, 0.569714, 0.588814, 0.613078, 0.618708, 0.650113, 0.659525, 0.669775, 0.683442, 0.693822, 0.701187, 0.711007, 0.730648, 0.743021, 0.749347, 0.761997, 0.787298, 0.805601");
-            values ( \
-              "-0.0183224, -0.04624, -0.056809, -0.0683752, -0.0826048, -0.121035, -0.136935, -0.153987, -0.171909, -0.184118, -0.201474, -0.216276, -0.229661, -0.239266, -0.246833, -0.255178, -0.258926, -0.264063, -0.265008, -0.266293, -0.263403, -0.239057, -0.228302, -0.15495, -0.134296, -0.113816, -0.0900619, -0.0748171, -0.0653844, -0.0543993, -0.0371573, -0.0291267, -0.0256836, -0.0199197, -0.0117972, -0.00880393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.448761, 0.529388, 0.656663, 0.730585, 0.802671, 0.872742, 0.923156, 0.951685, 1.00895, 1.06563, 1.12229, 1.23122, 1.28237, 1.33326, 1.37181, 1.41123, 1.50153, 1.56621");
-            values ( \
-              "-0.0223014, -0.060128, -0.148139, -0.191715, -0.228662, -0.259762, -0.277483, -0.285417, -0.295296, -0.291392, -0.253011, -0.123817, -0.0809927, -0.0517057, -0.0361577, -0.0250136, -0.010365, -0.00617067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.900282, 1.07024, 1.23425, 1.3986, 1.52401, 1.66008, 1.76039, 1.88794, 2.00555, 2.0365, 2.07187, 2.13051, 2.2478, 2.49029, 2.60093, 2.7114, 2.79578, 2.88234, 3.06608, 3.19293");
-            values ( \
-              "-0.0724824, -0.0893505, -0.145792, -0.196379, -0.231077, -0.263359, -0.282751, -0.301235, -0.310334, -0.310985, -0.310395, -0.305123, -0.265436, -0.126717, -0.0830713, -0.0532466, -0.0371437, -0.0256602, -0.0113431, -0.00731191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.260391, 0.267501, 0.27363, 0.280096, 0.285968, 0.289251, 0.295818, 0.302049, 0.305931, 0.309505, 0.315397, 0.321195, 0.326988, 0.339525, 0.345088, 0.349153, 0.351259, 0.354837, 0.359608, 0.36915, 0.375107");
-            values ( \
-              "-0.0157365, -0.024806, -0.0399346, -0.0610066, -0.0748249, -0.0814328, -0.0928406, -0.101558, -0.106005, -0.109366, -0.113122, -0.113166, -0.103069, -0.0426533, -0.0241743, -0.0153746, -0.0121376, -0.00808334, -0.00465782, -0.0014938, -0.000952353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.273615, 0.292775, 0.302587, 0.311879, 0.3194, 0.330565, 0.3456, 0.350071, 0.359013, 0.366288, 0.370801, 0.376969, 0.391235, 0.401154, 0.410342, 0.417625, 0.423555, 0.433574, 0.444485, 0.467001, 0.525413");
-            values ( \
-              "-0.000311997, -0.0536977, -0.0842252, -0.106721, -0.121091, -0.139868, -0.157567, -0.16152, -0.167286, -0.168137, -0.164391, -0.149879, -0.0820761, -0.0447039, -0.0237036, -0.0139709, -0.00889663, -0.00400652, -0.00168601, -0.000375153, -0.000130279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.313618, 0.339796, 0.361081, 0.385019, 0.404169, 0.421426, 0.437357, 0.452494, 0.467372, 0.480142, 0.489061, 0.506171, 0.515114, 0.522868, 0.530935, 0.545552, 0.555134, 0.566084, 0.582831, 0.604741, 0.652096");
-            values ( \
-              "-0.0209005, -0.0725826, -0.119478, -0.158005, -0.182007, -0.200165, -0.213927, -0.222375, -0.22151, -0.202638, -0.174137, -0.110088, -0.0822644, -0.0626808, -0.0465755, -0.026353, -0.0179614, -0.0114531, -0.00565429, -0.00226427, -0.000441755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.393033, 0.423171, 0.432475, 0.44178, 0.449223, 0.459627, 0.46743, 0.475359, 0.483287, 0.500407, 0.509442, 0.519426, 0.538639, 0.551539, 0.560395, 0.566011, 0.574758, 0.587243, 0.598454, 0.611366, 0.628387, 0.637772, 0.65685, 0.662389, 0.671621, 0.679007, 0.683265, 0.684684, 0.687522, 0.69036, 0.693199, 0.696037, 0.700628, 0.705218, 0.709809, 0.7144, 0.731638, 0.757588, 0.763951, 0.768193, 0.772435, 0.780919, 0.78758, 0.796775, 0.801424, 0.806073, 0.810723, 0.820021, 0.827287, 0.838706");
-            values ( \
-              "-0.0389404, -0.0593684, -0.0709026, -0.0830765, -0.0932338, -0.108276, -0.118791, -0.128516, -0.13782, -0.156386, -0.165589, -0.175342, -0.193141, -0.204578, -0.212159, -0.216818, -0.223601, -0.232455, -0.239577, -0.246795, -0.255513, -0.259405, -0.265643, -0.265731, -0.265415, -0.264742, -0.263351, -0.262777, -0.260899, -0.25864, -0.255999, -0.252978, -0.246687, -0.239797, -0.23231, -0.224225, -0.187506, -0.128815, -0.116102, -0.108372, -0.100997, -0.0873105, -0.0776337, -0.0649139, -0.0594749, -0.0544417, -0.0500088, -0.0419721, -0.0365422, -0.0289697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.54188, 0.62599, 0.768914, 0.826962, 0.89914, 0.969169, 1.0196, 1.04813, 1.1054, 1.16208, 1.21873, 1.32765, 1.37881, 1.4297, 1.46825, 1.50767, 1.53777, 1.59796, 1.68312");
-            values ( \
-              "-0.0187534, -0.0603098, -0.157913, -0.191658, -0.228659, -0.25979, -0.277517, -0.285406, -0.295326, -0.291377, -0.253035, -0.123841, -0.0810105, -0.0516889, -0.0361734, -0.0250299, -0.0188084, -0.0103819, -0.00483253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.985375, 1.12839, 1.29722, 1.4611, 1.59225, 1.73978, 1.85719, 1.9748, 2.04603, 2.10667, 2.22428, 2.27619, 2.33157, 2.50151, 2.58975, 2.69773, 2.80631, 2.89114, 2.97935, 3.04691, 3.16452, 3.39974, 3.63496");
-            values ( \
-              "-0.0714268, -0.0760551, -0.134733, -0.18607, -0.223598, -0.259603, -0.28269, -0.300016, -0.307103, -0.310487, -0.305746, -0.29439, -0.271578, -0.170366, -0.125184, -0.0828913, -0.0533857, -0.0373247, -0.0256099, -0.0190787, -0.0112842, -0.00389048, -0.00133359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.457236, 0.46899, 0.474523, 0.478366, 0.498486, 0.514906, 0.521832, 0.528336, 0.53466, 0.540974, 0.558188, 0.564516, 0.569347, 0.573244, 0.581038, 0.588398");
-            values ( \
-              "-0.00876045, -0.0159561, -0.0222822, -0.0279317, -0.0678438, -0.0910261, -0.0982329, -0.103118, -0.104491, -0.0966273, -0.0274234, -0.0140702, -0.00832024, -0.0054102, -0.00225899, -0.00121093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.48179, 0.494871, 0.498032, 0.501192, 0.503711, 0.506229, 0.517717, 0.520924, 0.52413, 0.531011, 0.536381, 0.539458, 0.542535, 0.545612, 0.55188, 0.557642, 0.561572, 0.566147, 0.570966, 0.57208, 0.574309, 0.575424, 0.577241, 0.579059, 0.580876, 0.582694, 0.584468, 0.585356, 0.586243, 0.58713, 0.588017, 0.589476, 0.590935, 0.592393, 0.593852, 0.595652, 0.597452, 0.599251, 0.602052, 0.608289, 0.610445, 0.612602, 0.615886, 0.618407, 0.620087, 0.621768, 0.623251, 0.626218, 0.627702, 0.630351");
-            values ( \
-              "-0.0151203, -0.0298757, -0.0345249, -0.0399806, -0.0449672, -0.0503424, -0.0785716, -0.0858331, -0.0926765, -0.105805, -0.115314, -0.12047, -0.125413, -0.130143, -0.138594, -0.145979, -0.150606, -0.155192, -0.159508, -0.160307, -0.161683, -0.162259, -0.16289, -0.163238, -0.163301, -0.163081, -0.162568, -0.161848, -0.160904, -0.159737, -0.158346, -0.155363, -0.151916, -0.148006, -0.143633, -0.13724, -0.130381, -0.123055, -0.110636, -0.0807427, -0.0717167, -0.0633214, -0.0517507, -0.0434513, -0.0390174, -0.0349334, -0.0316788, -0.0258678, -0.0233114, -0.0192719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.510934, 0.523557, 0.536803, 0.555228, 0.571704, 0.585355, 0.600977, 0.614565, 0.628582, 0.646905, 0.65445, 0.669541, 0.675596, 0.681846, 0.690267, 0.698749, 0.72163, 0.734479, 0.747648, 0.762501, 0.775078, 0.789451, 0.809555, 0.830782, 0.879162");
-            values ( \
-              "-0.0123674, -0.016987, -0.0325801, -0.0642582, -0.103084, -0.12891, -0.153487, -0.171539, -0.187566, -0.205581, -0.211559, -0.22046, -0.221686, -0.220983, -0.214542, -0.198472, -0.116566, -0.0767773, -0.047777, -0.02688, -0.0162479, -0.00892543, -0.00381815, -0.00159553, -0.000327983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.618773, 0.651366, 0.681201, 0.715766, 0.753261, 0.794291, 0.814941, 0.844852, 0.859481, 0.873261, 0.887329, 0.90112, 0.925064, 0.981519, 1.00532, 1.02905, 1.04743, 1.06664, 1.08138, 1.11085, 1.15777, 1.20794");
-            values ( \
-              "-0.0625222, -0.0738685, -0.114882, -0.155274, -0.191529, -0.225648, -0.239466, -0.25533, -0.261358, -0.265258, -0.266588, -0.263507, -0.239761, -0.114876, -0.0759016, -0.0487426, -0.0340212, -0.023244, -0.0172575, -0.00929951, -0.00327094, -0.00107541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.74756, 0.842865, 0.93597, 0.996426, 1.03987, 1.09257, 1.15959, 1.2041, 1.23571, 1.26418, 1.32143, 1.3781, 1.43475, 1.50647, 1.54363, 1.59482, 1.61837, 1.64572, 1.68427, 1.72367, 1.75375, 1.81391, 1.92571, 2.04332");
-            values ( \
-              "-0.00879818, -0.060971, -0.126733, -0.164958, -0.190082, -0.217627, -0.249281, -0.267035, -0.277588, -0.285499, -0.295358, -0.291437, -0.253037, -0.164006, -0.123866, -0.0810058, -0.0659774, -0.0516977, -0.0361595, -0.0250206, -0.0188256, -0.0103755, -0.00311243, -0.000830998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.18573, 1.31579, 1.50331, 1.71021, 1.83558, 1.97176, 2.07179, 2.19935, 2.31696, 2.34792, 2.38332, 2.44192, 2.55912, 2.80133, 2.91215, 3.02305, 3.10732, 3.19351, 3.37693, 3.49783");
-            values ( \
-              "-0.0654396, -0.0670611, -0.131946, -0.196435, -0.231109, -0.263414, -0.282748, -0.301234, -0.310328, -0.310982, -0.310391, -0.305121, -0.26548, -0.126888, -0.0831341, -0.0531933, -0.0371234, -0.0256871, -0.0113724, -0.00752036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.868535, 0.883767, 0.888604, 0.896426, 0.912247, 0.927905, 0.940521, 0.957547, 0.965481, 0.973514, 0.97816, 0.986614, 0.992234, 0.996561, 1.00278");
-            values ( \
-              "-0.0123518, -0.0139872, -0.0172822, -0.0245188, -0.0443336, -0.0606978, -0.0717084, -0.0833077, -0.0821828, -0.0792371, -0.0682478, -0.0413422, -0.026301, -0.0176808, -0.00946178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.88842, 0.898864, 0.908483, 0.922734, 0.929677, 0.965935, 0.981463, 0.994854, 1.00697, 1.01831, 1.02845, 1.03419, 1.04034, 1.0687, 1.07847, 1.08954, 1.09922, 1.10803");
-            values ( \
-              "-0.00776778, -0.0116486, -0.0164755, -0.0272441, -0.0349313, -0.0858813, -0.103573, -0.116463, -0.126017, -0.133023, -0.1359, -0.134313, -0.126843, -0.0380734, -0.0205855, -0.0097631, -0.00478384, -0.00267074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.946432, 0.978189, 0.989374, 1.00255, 1.04869, 1.06959, 1.09996, 1.11831, 1.13084, 1.14376, 1.15183, 1.16002, 1.16883, 1.19551, 1.20923, 1.22067, 1.23549, 1.24914, 1.26735, 1.2875, 1.31175, 1.36034");
-            values ( \
-              "-0.0128063, -0.0287757, -0.0388258, -0.0543784, -0.118391, -0.142342, -0.172147, -0.187553, -0.196119, -0.20158, -0.201581, -0.196484, -0.182212, -0.0986927, -0.0632602, -0.0427807, -0.0244416, -0.0143016, -0.00678144, -0.00295721, -0.00115469, -0.000291158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.04734, 1.1061, 1.11672, 1.13089, 1.17647, 1.20238, 1.23447, 1.26392, 1.29727, 1.32246, 1.33848, 1.353, 1.364, 1.37868, 1.38479, 1.397, 1.4147, 1.45592, 1.48124, 1.50684, 1.52575, 1.53935, 1.56014, 1.58785, 1.63215, 1.68233, 1.73764");
-            values ( \
-              "-0.00410409, -0.0463168, -0.0561241, -0.0709227, -0.125262, -0.152837, -0.183686, -0.209439, -0.233755, -0.248311, -0.255917, -0.261087, -0.263345, -0.262978, -0.261115, -0.25338, -0.227259, -0.133714, -0.0875535, -0.0548587, -0.0380528, -0.0291338, -0.0192168, -0.0108053, -0.00406759, -0.001336, -0.000409068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.24345, 1.32291, 1.46317, 1.53229, 1.60799, 1.66587, 1.71833, 1.74683, 1.80412, 1.86082, 1.91749, 2.02649, 2.0776, 2.12844, 2.167, 2.20646, 2.23659, 2.29684, 2.37786");
-            values ( \
-              "-0.0250134, -0.0586725, -0.154929, -0.195031, -0.233338, -0.258812, -0.277364, -0.285267, -0.29521, -0.291303, -0.252984, -0.123754, -0.0809891, -0.0517032, -0.0361721, -0.0250184, -0.0188048, -0.0103639, -0.00510064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.61398, 1.77871, 1.97001, 2.08186, 2.24478, 2.37004, 2.48841, 2.55224, 2.68001, 2.74097, 2.8017, 2.91931, 2.97119, 3.02653, 3.19662, 3.28466, 3.39273, 3.44083, 3.50137, 3.58618, 3.67434, 3.74185, 3.85946, 3.97707, 4.09468, 4.3299");
-            values ( \
-              "-0.0291129, -0.0614643, -0.127276, -0.163329, -0.211964, -0.24471, -0.270826, -0.282675, -0.301191, -0.307078, -0.310519, -0.305725, -0.294404, -0.271615, -0.170319, -0.125241, -0.0829018, -0.0684234, -0.0533769, -0.0373223, -0.0256147, -0.0190852, -0.0112893, -0.00663079, -0.0038927, -0.0013348" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.010012, 0.0100369, 0.0100656, 0.0100895, 0.0101049, 0.0101131", \
-            "0.0117531, 0.0117703, 0.011794, 0.0118175, 0.0118347, 0.0118446", \
-            "0.0127676, 0.012774, 0.012786, 0.0128018, 0.0128156, 0.0128247", \
-            "0.0133789, 0.0133774, 0.0133766, 0.0133787, 0.0133837, 0.0133885", \
-            "0.0137101, 0.013708, 0.0137017, 0.0136936, 0.0136876, 0.0136854", \
-            "0.0139122, 0.0139047, 0.0138925, 0.0138768, 0.0138617, 0.0138517" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0137518, 0.0137619, 0.0137804, 0.0137982, 0.0138128, 0.0138206", \
-            "0.0149638, 0.0149523, 0.0149433, 0.014939, 0.0149381, 0.0149402", \
-            "0.0157219, 0.0156748, 0.0156186, 0.0155696, 0.0155383, 0.0155218", \
-            "0.0163839, 0.0162898, 0.0161724, 0.0160656, 0.0159878, 0.0159416", \
-            "0.0171829, 0.0170248, 0.0168084, 0.0165944, 0.0164474, 0.0163603", \
-            "0.0161326, 0.0168456, 0.0172542, 0.0171055, 0.0168349, 0.0166726" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.110161, 0.144177, 0.217126, 0.377198, 0.733258, 1.52758", \
-            "0.11467, 0.14875, 0.221891, 0.382058, 0.73819, 1.53259", \
-            "0.124942, 0.1591, 0.232216, 0.392528, 0.7489, 1.54331", \
-            "0.147424, 0.182213, 0.255682, 0.416183, 0.772583, 1.56712", \
-            "0.177589, 0.220104, 0.303694, 0.468837, 0.825661, 1.61983", \
-            "0.200716, 0.255567, 0.361844, 0.562844, 0.942756, 1.738" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.035829, 0.0544467, 0.0956152, 0.187836, 0.391788, 0.847468", \
-            "0.0358301, 0.0544419, 0.0955609, 0.187818, 0.391622, 0.847479", \
-            "0.0358317, 0.0544198, 0.0956133, 0.187839, 0.391791, 0.847554", \
-            "0.0367109, 0.0546595, 0.0956663, 0.187812, 0.391718, 0.847546", \
-            "0.0423105, 0.0597545, 0.0982796, 0.188149, 0.39177, 0.847482", \
-            "0.0525657, 0.0712204, 0.109896, 0.196403, 0.392646, 0.847514" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.152545, 0.195011, 0.286121, 0.485889, 0.928672, 1.91714", \
-            "0.157142, 0.199709, 0.291082, 0.49109, 0.933826, 1.9227", \
-            "0.169073, 0.211598, 0.303041, 0.503291, 0.946629, 1.93539", \
-            "0.19878, 0.240856, 0.331754, 0.531721, 0.975022, 1.96393", \
-            "0.263509, 0.307887, 0.39908, 0.59787, 1.03946, 2.02786", \
-            "0.367528, 0.424842, 0.536782, 0.750239, 1.19045, 2.17536" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0377287, 0.0562934, 0.0967312, 0.185299, 0.380969, 0.817154", \
-            "0.0377178, 0.0563091, 0.0967786, 0.185413, 0.380794, 0.817154", \
-            "0.0377051, 0.0563277, 0.0966889, 0.185303, 0.380712, 0.817152", \
-            "0.0376815, 0.0563264, 0.0966876, 0.185272, 0.380925, 0.817144", \
-            "0.0411632, 0.0580726, 0.0972128, 0.185211, 0.381007, 0.817084", \
-            "0.0525807, 0.0710283, 0.108219, 0.187543, 0.381135, 0.8171" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.081775, 0.0886489, 0.0956455, 0.100782, 0.103729, 0.109623, 0.111619, 0.116149, 0.120486, 0.121685, 0.124085, 0.127114, 0.132548, 0.135685, 0.142883, 0.147284, 0.152561, 0.155792, 0.162126, 0.16733, 0.172881, 0.177575, 0.183672, 0.189698, 0.196692, 0.2167, 0.235468, 0.24397");
-            values ( \
-              "0.0350396, 0.0421543, 0.0689073, 0.0846425, 0.0925531, 0.105844, 0.109498, 0.116042, 0.119859, 0.120486, 0.121023, 0.120324, 0.112123, 0.0991061, 0.0652302, 0.0496531, 0.0360947, 0.0293873, 0.0194475, 0.0137141, 0.00933877, 0.00674921, 0.00452941, 0.00316047, 0.00198486, 0.000730289, 0.00104331, 0.000738951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0947561, 0.101296, 0.116821, 0.128785, 0.138545, 0.147328, 0.155678, 0.163959, 0.172236, 0.190654, 0.205234, 0.21859, 0.23145, 0.243092, 0.261613, 0.276739");
-            values ( \
-              "0.0430012, 0.0470445, 0.104512, 0.140073, 0.161422, 0.173426, 0.178817, 0.176639, 0.161884, 0.0891603, 0.050193, 0.0281966, 0.0157267, 0.00919372, 0.00407372, 0.00304989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.125244, 0.142421, 0.157155, 0.161868, 0.171294, 0.182207, 0.198911, 0.214058, 0.22868, 0.243272, 0.249303, 0.253863, 0.25886, 0.286692, 0.298437, 0.307034, 0.316481, 0.329077, 0.336933, 0.350254, 0.36421, 0.373349, 0.390459, 0.40878, 0.429418, 0.4538, 0.48348, 0.524561");
-            values ( \
-              "0.0532113, 0.0811473, 0.125575, 0.138086, 0.161704, 0.18452, 0.210758, 0.224095, 0.228371, 0.222624, 0.216106, 0.208833, 0.198431, 0.125364, 0.0982507, 0.08139, 0.0654011, 0.0481164, 0.039631, 0.0283612, 0.0197873, 0.0156053, 0.00992417, 0.00604235, 0.00344184, 0.00177992, 0.000808387, 0.000284152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.193655, 0.22134, 0.259602, 0.277132, 0.29852, 0.328687, 0.334594, 0.355176, 0.369835, 0.37927, 0.388625, 0.402893, 0.417606, 0.440837, 0.464602, 0.518696, 0.552404, 0.568305, 0.589207, 0.607882, 0.632782, 0.653008, 0.675147, 0.704667, 0.761484, 0.841467, 0.943483");
-            values ( \
-              "0.0889012, 0.0921625, 0.162033, 0.189113, 0.215983, 0.243167, 0.246893, 0.256445, 0.259642, 0.259826, 0.25945, 0.256284, 0.25059, 0.232, 0.201233, 0.124481, 0.0855341, 0.070675, 0.0542513, 0.0425476, 0.0304673, 0.023028, 0.0169285, 0.0111679, 0.00472037, 0.00132692, 0.000186837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.328201, 0.383311, 0.421334, 0.476669, 0.525785, 0.557064, 0.572203, 0.606084, 0.623647, 0.649708, 0.679001, 0.697544, 0.721289, 0.744793, 0.7918, 0.805186, 0.831957, 0.870141, 1.01423, 1.06743, 1.12075, 1.16388, 1.20184, 1.25244, 1.31564, 1.35456, 1.43239, 1.54619, 1.65999, 1.77379");
-            values ( \
-              "0.0740367, 0.0842294, 0.123706, 0.175946, 0.21427, 0.23415, 0.242108, 0.256633, 0.262782, 0.270021, 0.275852, 0.27814, 0.279494, 0.279251, 0.272978, 0.269481, 0.2596, 0.23887, 0.135289, 0.102311, 0.0754329, 0.058203, 0.0459619, 0.033107, 0.0218385, 0.0169187, 0.00984047, 0.00442251, 0.00187912, 0.000889116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.621479, 0.729667, 0.89389, 0.985487, 1.09416, 1.18189, 1.23298, 1.2779, 1.34675, 1.40994, 1.52374, 1.56905, 1.61844, 1.66963, 1.77201, 1.88551, 2.15185, 2.2403, 2.34376, 2.44612, 2.54071, 2.65451, 2.74269, 2.83771, 2.95151, 3.06531, 3.17911, 3.29291, 3.52052, 3.74812");
-            values ( \
-              "0.0660039, 0.0719361, 0.152793, 0.192764, 0.231647, 0.256008, 0.267031, 0.274873, 0.283656, 0.288542, 0.290277, 0.288401, 0.284595, 0.278263, 0.257117, 0.222052, 0.130942, 0.105479, 0.0804429, 0.0606375, 0.0462553, 0.0329577, 0.0253215, 0.0189683, 0.0132861, 0.00935261, 0.00649636, 0.00457653, 0.00223531, 0.00109469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.100024, 0.107092, 0.114107, 0.119238, 0.12219, 0.128096, 0.132433, 0.134612, 0.138969, 0.142505, 0.145577, 0.151011, 0.154147, 0.161356, 0.165773, 0.171009, 0.174213, 0.180577, 0.185822, 0.191416, 0.196037, 0.202074, 0.215351, 0.23441, 0.253655, 0.274478, 0.292958, 0.308095");
-            values ( \
-              "0.0327542, 0.0421007, 0.0689126, 0.0846133, 0.0925603, 0.105841, 0.113163, 0.116009, 0.1199, 0.121051, 0.120297, 0.112152, 0.0991098, 0.0651856, 0.0495761, 0.0361346, 0.0294778, 0.0194758, 0.0136967, 0.00930179, 0.00671909, 0.00452823, 0.00191027, 0.000697579, 0.0010864, 0.000285424, 2.81014e-05, 0.000111947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.113174, 0.119853, 0.135363, 0.147324, 0.157083, 0.165866, 0.174215, 0.182496, 0.190772, 0.209189, 0.223776, 0.237117, 0.249944, 0.261639, 0.280352, 0.295006");
-            values ( \
-              "0.0409964, 0.0471904, 0.104632, 0.140156, 0.161364, 0.173503, 0.178766, 0.17671, 0.16184, 0.089174, 0.0501821, 0.028194, 0.0157526, 0.00919035, 0.00401753, 0.00302896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.142718, 0.154113, 0.180527, 0.200955, 0.217653, 0.232784, 0.247398, 0.262327, 0.274579, 0.324286, 0.346238, 0.361804, 0.384038, 0.415252, 0.430264");
-            values ( \
-              "0.0549815, 0.0609417, 0.138644, 0.184583, 0.211175, 0.224045, 0.228674, 0.222394, 0.205061, 0.0840301, 0.0499519, 0.0339613, 0.0192178, 0.00841381, 0.00630662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.213307, 0.251636, 0.278376, 0.317305, 0.341684, 0.364719, 0.378814, 0.405498, 0.427411, 0.451025, 0.467439, 0.556884, 0.587693, 0.626164, 0.644117, 0.68071, 0.702625, 0.746453, 0.792641");
-            values ( \
-              "0.0786344, 0.114599, 0.162468, 0.216337, 0.238699, 0.252567, 0.257907, 0.259802, 0.25466, 0.2404, 0.222613, 0.100854, 0.0701014, 0.0428752, 0.0337017, 0.020366, 0.0150103, 0.00790236, 0.00435234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.354184, 0.422013, 0.476584, 0.495517, 0.533383, 0.557972, 0.590636, 0.624895, 0.642542, 0.667419, 0.695611, 0.721105, 0.755097, 0.763669, 0.780814, 0.815102, 0.841514, 0.87673, 0.889064, 0.913734, 1.00774, 1.06009, 1.09492, 1.1441, 1.18436, 1.21724, 1.25584, 1.31026, 1.34488, 1.3663, 1.40915, 1.49483, 1.60863, 1.72243, 1.83623");
-            values ( \
-              "0.0793029, 0.10468, 0.158941, 0.175794, 0.206132, 0.223084, 0.241858, 0.256554, 0.262812, 0.269664, 0.275533, 0.278605, 0.279432, 0.279317, 0.277761, 0.271994, 0.263554, 0.246185, 0.238785, 0.222019, 0.152821, 0.117733, 0.0974818, 0.0734231, 0.0575611, 0.0469175, 0.0366413, 0.0256709, 0.020401, 0.0177143, 0.0132533, 0.00732566, 0.00321848, 0.00142884, 0.000611392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.532981, 0.597321, 0.636922, 0.722144, 0.921331, 0.98125, 1.05627, 1.15464, 1.2007, 1.25233, 1.2977, 1.36656, 1.42974, 1.54354, 1.58885, 1.63825, 1.68944, 1.79181, 1.90532, 2.14712, 2.23856, 2.30307, 2.37486, 2.4695, 2.55381, 2.66623, 2.75932, 2.86099, 2.9748, 3.0886, 3.2024, 3.3162, 3.5438, 3.7714");
-            values ( \
-              "0.00833747, 0.0200694, 0.0302389, 0.0599146, 0.156282, 0.182877, 0.212076, 0.243779, 0.255752, 0.266947, 0.274851, 0.283667, 0.288521, 0.290291, 0.28842, 0.28457, 0.278284, 0.257093, 0.222025, 0.138689, 0.111388, 0.0945024, 0.0780489, 0.060015, 0.0471505, 0.0338038, 0.0255439, 0.0187453, 0.0131698, 0.0092293, 0.00645024, 0.00450443, 0.00218902, 0.00106144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.141343, 0.148094, 0.155208, 0.160318, 0.163296, 0.169253, 0.173482, 0.175719, 0.180192, 0.183384, 0.186685, 0.192119, 0.195251, 0.202512, 0.206992, 0.212063, 0.215159, 0.221667, 0.227162, 0.233023, 0.237347, 0.243013, 0.24883, 0.255944, 0.274683, 0.294142, 0.314554, 0.346483");
-            values ( \
-              "0.035705, 0.0415453, 0.0688885, 0.0844805, 0.092568, 0.105911, 0.113083, 0.116008, 0.119974, 0.121034, 0.120313, 0.112147, 0.0991297, 0.0649947, 0.0492331, 0.0362527, 0.0297824, 0.0194939, 0.0134771, 0.00897297, 0.00665116, 0.00460649, 0.00325188, 0.00199597, 0.000745637, 0.00107632, 0.000330848, 9.69259e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.15283, 0.156384, 0.161039, 0.176592, 0.188587, 0.198349, 0.207123, 0.215469, 0.223747, 0.23202, 0.24406, 0.250401, 0.25779, 0.265061, 0.270921, 0.278333, 0.282542, 0.290961, 0.296485, 0.302966, 0.311606, 0.321793, 0.338335, 0.360354");
-            values ( \
-              "0.0269873, 0.0334924, 0.0467875, 0.105242, 0.139883, 0.161856, 0.17324, 0.179146, 0.176461, 0.162147, 0.112727, 0.0893072, 0.0669008, 0.0501017, 0.0390111, 0.0282297, 0.0234424, 0.0159212, 0.0123772, 0.0091577, 0.00610778, 0.00398659, 0.00244908, 0.00126818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.185981, 0.202709, 0.216977, 0.221751, 0.231299, 0.242094, 0.2588, 0.273946, 0.288567, 0.303489, 0.309158, 0.313733, 0.318746, 0.346574, 0.358314, 0.366914, 0.376368, 0.388972, 0.396814, 0.410122, 0.424322, 0.433192, 0.449862, 0.467639, 0.487584, 0.511012, 0.539289, 0.577894");
-            values ( \
-              "0.0596144, 0.0824224, 0.125417, 0.13798, 0.162004, 0.184442, 0.210806, 0.224049, 0.228443, 0.222352, 0.216144, 0.208876, 0.198429, 0.12539, 0.0982764, 0.0813971, 0.065392, 0.0480921, 0.0396102, 0.0283543, 0.0196601, 0.0156171, 0.0100547, 0.0062206, 0.00361376, 0.00191995, 0.000903811, 0.000338234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.250059, 0.276787, 0.30154, 0.318069, 0.331136, 0.34607, 0.358797, 0.382901, 0.390886, 0.406234, 0.420325, 0.434841, 0.446815, 0.453078, 0.469525, 0.477869, 0.49255, 0.508958, 0.561681, 0.577547, 0.598486, 0.61353, 0.629113, 0.649725, 0.667768, 0.691826, 0.712914, 0.7411, 0.778682, 0.837961, 0.921039");
-            values ( \
-              "0.072609, 0.0827776, 0.130206, 0.159181, 0.179733, 0.200724, 0.216006, 0.238602, 0.244154, 0.252668, 0.257712, 0.25982, 0.259675, 0.258864, 0.254361, 0.250272, 0.240332, 0.222636, 0.147858, 0.126297, 0.100756, 0.084734, 0.070183, 0.0541416, 0.0428253, 0.030954, 0.0232006, 0.0156748, 0.00909677, 0.00373041, 0.000937141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.389095, 0.443098, 0.508115, 0.537058, 0.585625, 0.617452, 0.632638, 0.666312, 0.684034, 0.70922, 0.745593, 0.76172, 0.793974, 0.805158, 0.827526, 0.865542, 0.920141, 0.951976, 1.03964, 1.09749, 1.12156, 1.16171, 1.2076, 1.23641, 1.26973, 1.30576, 1.35611, 1.37606, 1.41597, 1.49579, 1.6096, 1.7234, 1.8372");
-            values ( \
-              "0.0760175, 0.083574, 0.149643, 0.175899, 0.213836, 0.234107, 0.242188, 0.256622, 0.262745, 0.269839, 0.276685, 0.278437, 0.279582, 0.279214, 0.277253, 0.269479, 0.245416, 0.224613, 0.159755, 0.120436, 0.105822, 0.0845634, 0.0643202, 0.0539224, 0.0437609, 0.0347638, 0.0249512, 0.0219015, 0.0167517, 0.00969156, 0.00427365, 0.00189504, 0.000814885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.694008, 0.842971, 0.96349, 1.04773, 1.12166, 1.20893, 1.28997, 1.33852, 1.43561, 1.47065, 1.53555, 1.59947, 1.70127, 1.73036, 1.78852, 1.87173, 1.98553, 2.14182, 2.2927, 2.40949, 2.50954, 2.59611, 2.70991, 2.80114, 2.90081, 3.01461, 3.12841, 3.24221, 3.35601, 3.58362, 3.81122");
-            values ( \
-              "0.0625576, 0.0977173, 0.15688, 0.193405, 0.220707, 0.247466, 0.266312, 0.274838, 0.286251, 0.288551, 0.290603, 0.289747, 0.282224, 0.278255, 0.267659, 0.245979, 0.208365, 0.15382, 0.107744, 0.0793929, 0.0601349, 0.0469393, 0.0335198, 0.0254587, 0.0187975, 0.0132149, 0.00925366, 0.00647425, 0.00451489, 0.00219289, 0.00106237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.226246, 0.235923, 0.248843, 0.260058, 0.266132, 0.271798, 0.277337, 0.28287, 0.29995, 0.307595, 0.319871, 0.330558, 0.337308, 0.352371, 0.352616");
-            values ( \
-              "0.0189768, 0.0328619, 0.0756918, 0.102906, 0.112969, 0.118205, 0.11876, 0.111006, 0.0430277, 0.0267426, 0.0118225, 0.00570768, 0.00365628, 0.00151585, 0.00151182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.244358, 0.253533, 0.267368, 0.275201, 0.288321, 0.291629, 0.298248, 0.304601, 0.310691, 0.314896, 0.323216, 0.341528, 0.349011, 0.353415, 0.362241, 0.366458, 0.373717, 0.382012, 0.394333, 0.403195, 0.412598, 0.441128, 0.45371");
-            values ( \
-              "0.0430877, 0.0494336, 0.101527, 0.126301, 0.157979, 0.163732, 0.172463, 0.177229, 0.178087, 0.176028, 0.161518, 0.0895157, 0.0668505, 0.0563807, 0.038876, 0.0324053, 0.0235185, 0.0160796, 0.00911055, 0.00602548, 0.00412936, 0.00165706, 0.00108291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.276454, 0.293678, 0.308582, 0.313276, 0.322665, 0.333672, 0.350399, 0.365589, 0.380187, 0.395103, 0.400546, 0.405235, 0.410374, 0.438209, 0.449956, 0.458549, 0.467993, 0.480585, 0.488454, 0.501803, 0.515558, 0.524951, 0.542478, 0.560218, 0.580234, 0.603661, 0.632198, 0.671186");
-            values ( \
-              "0.0539192, 0.0800917, 0.125102, 0.137434, 0.161165, 0.184125, 0.210612, 0.223918, 0.228347, 0.222311, 0.216422, 0.209068, 0.198403, 0.125365, 0.0982476, 0.0813904, 0.0654042, 0.0481212, 0.0396142, 0.0283282, 0.0198736, 0.0155713, 0.00979392, 0.00606362, 0.00351527, 0.00186878, 0.000874205, 0.000324628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.339469, 0.370249, 0.411376, 0.450321, 0.474685, 0.497741, 0.511836, 0.538179, 0.561465, 0.584057, 0.60046, 0.695005, 0.741088, 0.784131, 0.832102, 0.868675, 0.906213");
-            values ( \
-              "0.0600703, 0.0866612, 0.162413, 0.216328, 0.238637, 0.25252, 0.257932, 0.259882, 0.254297, 0.240413, 0.222566, 0.0951951, 0.0542248, 0.0306196, 0.0157797, 0.00930827, 0.00579851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.480949, 0.534513, 0.601071, 0.628714, 0.676787, 0.72441, 0.757965, 0.800456, 0.837274, 0.855231, 0.891146, 0.908198, 0.930933, 0.957188, 1.00709, 1.0528, 1.16916, 1.21945, 1.27151, 1.31535, 1.35487, 1.40756, 1.44918, 1.49482, 1.55567, 1.66947, 1.78327, 1.89707");
-            values ( \
-              "0.0769199, 0.0832978, 0.150849, 0.175867, 0.213462, 0.242235, 0.25661, 0.269723, 0.276673, 0.278583, 0.279453, 0.278423, 0.275506, 0.269431, 0.248072, 0.218049, 0.133302, 0.102296, 0.0759873, 0.0583874, 0.0456529, 0.0324392, 0.0247497, 0.0182908, 0.0120518, 0.00539609, 0.00232994, 0.00106782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.787366, 0.903012, 1.05031, 1.13647, 1.23809, 1.31594, 1.37192, 1.43039, 1.53506, 1.56258, 1.61762, 1.69141, 1.79026, 1.82225, 1.88622, 1.96364, 2.07744, 2.23363, 2.38455, 2.50168, 2.6015, 2.68783, 2.80163, 2.89294, 2.99276, 3.10656, 3.22036, 3.33416, 3.44796, 3.67556, 3.90317");
-            values ( \
-              "0.0749505, 0.0817897, 0.154589, 0.192183, 0.228925, 0.251416, 0.264353, 0.274844, 0.286888, 0.288573, 0.290526, 0.289758, 0.282596, 0.27825, 0.266414, 0.245948, 0.208381, 0.153825, 0.107736, 0.0793138, 0.0601318, 0.0469704, 0.0335259, 0.0254732, 0.0188018, 0.0132033, 0.00925983, 0.00646448, 0.00452192, 0.00220021, 0.00106972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.392383, 0.406441, 0.410371, 0.424042, 0.430356, 0.438798, 0.446066, 0.452671, 0.459004, 0.465322, 0.466522, 0.469944, 0.478122, 0.48433, 0.489029, 0.494041, 0.497876, 0.504611, 0.515144, 0.521214, 0.536076, 0.552627, 0.567639, 0.607418, 0.647906");
-            values ( \
-              "0.0135851, 0.0200276, 0.026506, 0.0578833, 0.0703823, 0.0849119, 0.0956096, 0.102302, 0.105236, 0.10105, 0.0981184, 0.0868249, 0.0547862, 0.0385446, 0.0292576, 0.0214505, 0.0168555, 0.0108222, 0.00547591, 0.00377612, 0.00165939, 0.0006875, 0.000963822, 0.000112986, 5.08057e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.415902, 0.431441, 0.436226, 0.449617, 0.460827, 0.475988, 0.485978, 0.495178, 0.504142, 0.513091, 0.517133, 0.530086, 0.537909, 0.54159, 0.545692, 0.553723, 0.561278, 0.567286, 0.576696, 0.581726, 0.589843, 0.598081, 0.613469, 0.633545, 0.654745, 0.688846");
-            values ( \
-              "0.0243357, 0.033892, 0.0441916, 0.0804917, 0.107648, 0.139951, 0.155315, 0.163723, 0.165035, 0.154069, 0.141044, 0.0927614, 0.0696151, 0.0606143, 0.0516194, 0.0366354, 0.0264905, 0.0203573, 0.0133263, 0.0106341, 0.00739262, 0.00526851, 0.00321314, 0.00159773, 0.000716407, 0.000256553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.463894, 0.482642, 0.491439, 0.507779, 0.530315, 0.53591, 0.542304, 0.547999, 0.550161, 0.554486, 0.559227, 0.563772, 0.571483, 0.578794, 0.593702, 0.594041, 0.596748, 0.599841, 0.606028, 0.609499, 0.61644, 0.626532, 0.632918, 0.641431, 0.654383, 0.661724, 0.666585, 0.676308, 0.68558, 0.689105, 0.696155, 0.710256, 0.718361, 0.721156, 0.726746, 0.737926, 0.75506, 0.774921, 0.798025, 0.826108, 0.863981");
-            values ( \
-              "0.0526253, 0.0584112, 0.080057, 0.121938, 0.171309, 0.181658, 0.192157, 0.200301, 0.203043, 0.207595, 0.212212, 0.215959, 0.220418, 0.222459, 0.21899, 0.218805, 0.216479, 0.213143, 0.203637, 0.19647, 0.179731, 0.1525, 0.136043, 0.115415, 0.0876357, 0.0744461, 0.066521, 0.0526724, 0.0419969, 0.0385072, 0.0322865, 0.0225029, 0.0182389, 0.0169699, 0.014651, 0.0109005, 0.00685251, 0.00399897, 0.00212903, 0.0010147, 0.000373422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.543341, 0.580134, 0.614225, 0.639194, 0.653488, 0.681912, 0.693427, 0.715236, 0.726514, 0.73868, 0.754191, 0.772866, 0.795731, 0.820326, 0.873795, 0.89851, 0.92369, 0.944547, 0.963124, 0.987893, 1.00826, 1.03053, 1.06022, 1.11723, 1.19428, 1.29255");
-            values ( \
-              "0.064904, 0.097632, 0.159952, 0.19733, 0.214845, 0.240924, 0.248234, 0.257215, 0.259238, 0.259429, 0.257143, 0.250315, 0.232361, 0.200611, 0.124662, 0.0951779, 0.0705568, 0.0542607, 0.0426141, 0.0305154, 0.0230777, 0.0169395, 0.0111008, 0.00472129, 0.00136324, 0.00025807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.69128, 0.74807, 0.815348, 0.866484, 0.912825, 0.942136, 0.979439, 1.00278, 1.02974, 1.06324, 1.10058, 1.14788, 1.16102, 1.18731, 1.22598, 1.27403, 1.34788, 1.41732, 1.45532, 1.48447, 1.53111, 1.58147, 1.64238, 1.66376, 1.71355, 1.76609, 1.87117, 1.98497, 2.09877");
-            values ( \
-              "0.0889918, 0.0933127, 0.160641, 0.2033, 0.234099, 0.248796, 0.262829, 0.269212, 0.275093, 0.279008, 0.279066, 0.27309, 0.269293, 0.259986, 0.238689, 0.205297, 0.150554, 0.105632, 0.085514, 0.0719606, 0.0541657, 0.0394177, 0.0265426, 0.0229554, 0.0165654, 0.0115352, 0.00554031, 0.00238382, 0.00110226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.977471, 1.08554, 1.19797, 1.31751, 1.38758, 1.49084, 1.55618, 1.63396, 1.67034, 1.74311, 1.81169, 1.89488, 1.99321, 2.0257, 2.09069, 2.16711, 2.28091, 2.43709, 2.58802, 2.70522, 2.80499, 2.89128, 3.00508, 3.09641, 3.19625, 3.31006, 3.42386, 3.53766, 3.65146, 3.87906, 4.10666");
-            values ( \
-              "0.0663073, 0.0717651, 0.12791, 0.182849, 0.210326, 0.243808, 0.260242, 0.274906, 0.279908, 0.287149, 0.290295, 0.289685, 0.282645, 0.278199, 0.266192, 0.245909, 0.208397, 0.15381, 0.10772, 0.0792878, 0.060141, 0.0469857, 0.0335175, 0.0254853, 0.0188115, 0.0131921, 0.00926982, 0.00645384, 0.00453195, 0.00221016, 0.00107951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.714942, 0.73615, 0.742176, 0.750106, 0.758411, 0.776384, 0.786487, 0.800263, 0.808655, 0.816561, 0.82433, 0.832085, 0.843451, 0.85281, 0.862828, 0.875557, 0.889916, 0.908962, 0.92579");
-            values ( \
-              "0.00436152, 0.00800623, 0.00996522, 0.0148055, 0.0234838, 0.0502816, 0.0621614, 0.0750612, 0.0809881, 0.0847054, 0.0842813, 0.0709693, 0.039273, 0.0237598, 0.0131964, 0.00621483, 0.00277831, 0.00130478, 0.000963912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.753251, 0.779562, 0.786499, 0.798209, 0.819896, 0.839871, 0.852275, 0.863463, 0.874031, 0.884607, 0.895131, 0.905401, 0.915059, 0.930937, 0.942783, 0.952164, 0.959655, 0.964773, 0.978981, 0.997097, 1.01531");
-            values ( \
-              "0.012307, 0.0213051, 0.0284484, 0.0460656, 0.0833766, 0.111284, 0.126185, 0.136515, 0.141753, 0.13719, 0.110791, 0.0797476, 0.0570551, 0.0308801, 0.0188528, 0.0125538, 0.00907222, 0.00733475, 0.00450843, 0.0024478, 0.00128237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.819667, 0.848016, 0.863428, 0.885033, 0.913183, 0.934547, 0.942154, 0.952907, 0.968705, 0.977075, 0.986269, 0.994437, 1.00312, 1.01174, 1.04012, 1.05088, 1.06704, 1.07825, 1.08831, 1.10172, 1.11272, 1.1248, 1.14018, 1.15935, 1.18165, 1.19077");
-            values ( \
-              "0.0291344, 0.0365853, 0.0577209, 0.0950976, 0.139285, 0.169312, 0.1784, 0.189269, 0.1996, 0.201947, 0.20173, 0.197217, 0.186356, 0.168992, 0.104047, 0.083503, 0.0582017, 0.0447449, 0.0351129, 0.0251054, 0.0189799, 0.0138901, 0.00924105, 0.00553986, 0.00304355, 0.00251977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.938403, 0.987967, 1.05907, 1.10302, 1.12109, 1.13521, 1.15091, 1.17041, 1.18525, 1.20028, 1.22335, 1.2427, 1.32179, 1.36027, 1.37525, 1.40273, 1.43252, 1.44606, 1.4666, 1.49399, 1.54877, 1.58697");
-            values ( \
-              "0.048626, 0.0768206, 0.174777, 0.221162, 0.234365, 0.241992, 0.247339, 0.249568, 0.248274, 0.244186, 0.228823, 0.206362, 0.100706, 0.0640083, 0.052828, 0.0369533, 0.0245353, 0.0203771, 0.0153125, 0.0103966, 0.00457659, 0.0030063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.1257, 1.20718, 1.26457, 1.30972, 1.33964, 1.37731, 1.39293, 1.42918, 1.45223, 1.4789, 1.51475, 1.55065, 1.59748, 1.63854, 1.67617, 1.72407, 1.79712, 1.86546, 1.92172, 1.96804, 2.01149, 2.06942, 2.10566, 2.1462, 2.20025, 2.2865, 2.4003, 2.5141, 2.6279");
-            values ( \
-              "0.058869, 0.100916, 0.157878, 0.196337, 0.217889, 0.240244, 0.247862, 0.261717, 0.26814, 0.274214, 0.278536, 0.278594, 0.272928, 0.25934, 0.238532, 0.205373, 0.151214, 0.106806, 0.0778582, 0.0587249, 0.044783, 0.0309133, 0.0242225, 0.0185048, 0.0129615, 0.00700659, 0.00319361, 0.00130137, 0.000670956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.46419, 1.61947, 1.70638, 1.80715, 1.9137, 1.98818, 2.0396, 2.08479, 2.15365, 2.21684, 2.33064, 2.37597, 2.42536, 2.47654, 2.57891, 2.69243, 2.93423, 3.04718, 3.16197, 3.25661, 3.34093, 3.45335, 3.54644, 3.64812, 3.76192, 3.87572, 3.98952, 4.10332, 4.21712, 4.55852");
-            values ( \
-              "0.0817771, 0.113131, 0.155293, 0.198567, 0.235576, 0.255874, 0.266895, 0.274891, 0.283583, 0.288574, 0.290212, 0.288333, 0.284642, 0.278198, 0.257175, 0.22211, 0.138596, 0.105429, 0.0779581, 0.0601048, 0.0472384, 0.0337154, 0.0256311, 0.0188303, 0.0130844, 0.00931373, 0.00636586, 0.00458812, 0.00305661, 0.00114341" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0105262, 0.0105679, 0.0106148, 0.0106534, 0.0106779, 0.0106908", \
-            "0.0133931, 0.0134399, 0.0135001, 0.0135567, 0.0135962, 0.0136184", \
-            "0.0153577, 0.0153851, 0.0154293, 0.01548, 0.015521, 0.015546", \
-            "0.0165854, 0.0165756, 0.0165724, 0.0165825, 0.0165999, 0.0166146", \
-            "0.0173082, 0.0172706, 0.0172198, 0.017173, 0.0171465, 0.0171368", \
-            "0.017696, 0.0176538, 0.0175951, 0.0175225, 0.0174501, 0.0174015" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0158602, 0.0158819, 0.0159183, 0.0159562, 0.0159858, 0.0160011", \
-            "0.0169965, 0.0169334, 0.0168656, 0.0168124, 0.0167783, 0.0167597", \
-            "0.0164434, 0.0163149, 0.0161514, 0.0159996, 0.0158934, 0.015833", \
-            "0.0158997, 0.0157132, 0.0154763, 0.015254, 0.0150966, 0.0150042", \
-            "0.0156624, 0.0156301, 0.0153943, 0.0150341, 0.0147681, 0.0146135", \
-            "0.014122, 0.0142167, 0.0144274, 0.0151834, 0.0148615, 0.014568" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.112241, 0.12369, 0.137767, 0.151112, 0.157834, 0.163954, 0.169697, 0.175287, 0.181101, 0.190395, 0.196688, 0.202546, 0.206944, 0.210478, 0.217546, 0.225014, 0.234633, 0.312905");
-            values ( \
-              "-0.00986953, -0.029431, -0.0661028, -0.0919687, -0.102941, -0.111241, -0.116773, -0.118111, -0.106392, -0.0562143, -0.0298591, -0.0153794, -0.00920851, -0.00605793, -0.0025502, -0.00104811, -0.000388766, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.127878, 0.142265, 0.1486, 0.161645, 0.176003, 0.187436, 0.197412, 0.204255, 0.210949, 0.219024, 0.223485, 0.230566, 0.246429, 0.253274, 0.260093, 0.265298, 0.271253, 0.275927, 0.285276, 0.296359, 0.327917, 0.3684");
-            values ( \
-              "-0.00298652, -0.0379282, -0.0507178, -0.0863259, -0.11783, -0.139537, -0.155437, -0.164697, -0.17168, -0.176254, -0.175029, -0.16202, -0.0825713, -0.0538767, -0.0337924, -0.0231587, -0.0149067, -0.0104787, -0.00500361, -0.00208283, -0.000393138, -0.000288475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.1725, 0.188481, 0.189505, 0.194628, 0.200776, 0.204248, 0.211361, 0.214939, 0.221407, 0.229256, 0.239292, 0.247448, 0.255488, 0.26424, 0.27122, 0.276942, 0.284937, 0.291662, 0.294731, 0.297801, 0.312601, 0.313863, 0.316386, 0.317648, 0.320018, 0.322388, 0.324759, 0.327129, 0.33013, 0.333131, 0.336132, 0.339133, 0.340877, 0.342622, 0.34611, 0.349598, 0.354536, 0.36029, 0.367385, 0.372693, 0.376344, 0.380506, 0.384084, 0.386128, 0.389341, 0.392554, 0.397136, 0.399428, 0.401719, 0.405306");
-            values ( \
-              "-0.0335691, -0.0490734, -0.0507253, -0.0594829, -0.0709811, -0.078068, -0.0940793, -0.101526, -0.114069, -0.12812, -0.145106, -0.158099, -0.170184, -0.182584, -0.191808, -0.198625, -0.207484, -0.214278, -0.216805, -0.219106, -0.227483, -0.227496, -0.227246, -0.226985, -0.226247, -0.225188, -0.223806, -0.222103, -0.219113, -0.215429, -0.21105, -0.205976, -0.201751, -0.197048, -0.184697, -0.171899, -0.152318, -0.130131, -0.103833, -0.0873581, -0.0771977, -0.0663184, -0.0577247, -0.0532879, -0.04739, -0.0420113, -0.0353188, -0.0323084, -0.0295217, -0.0255906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.265494, 0.297605, 0.305418, 0.313231, 0.317497, 0.327212, 0.338725, 0.3483, 0.359171, 0.374991, 0.389108, 0.406734, 0.416973, 0.433845, 0.440914, 0.449398, 0.460015, 0.467804, 0.483622, 0.49062, 0.497618, 0.507958, 0.518298, 0.52591, 0.529396, 0.532883, 0.53637, 0.539856, 0.543302, 0.546748, 0.550194, 0.55364, 0.560532, 0.567423, 0.574315, 0.581207, 0.583594, 0.588369, 0.612241, 0.621592, 0.631846, 0.641823, 0.64941, 0.660212, 0.665569, 0.669854, 0.678425, 0.686996, 0.697919, 0.708049");
-            values ( \
-              "-0.0632741, -0.065504, -0.074755, -0.0844232, -0.0898931, -0.103099, -0.117651, -0.129107, -0.141619, -0.159077, -0.17395, -0.19136, -0.200591, -0.214782, -0.220401, -0.226795, -0.234334, -0.239585, -0.249556, -0.253381, -0.256852, -0.261138, -0.265036, -0.267658, -0.267896, -0.267807, -0.26739, -0.266645, -0.265586, -0.264208, -0.262509, -0.26049, -0.255484, -0.249193, -0.241616, -0.232754, -0.228778, -0.218161, -0.161592, -0.140309, -0.118327, -0.100412, -0.0883471, -0.0721529, -0.0650105, -0.0598433, -0.0511752, -0.0434944, -0.0352889, -0.0282972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.443447, 0.50738, 0.682564, 0.757912, 0.822614, 0.878651, 0.939681, 0.997723, 1.05515, 1.11252, 1.19954, 1.24545, 1.29639, 1.32413, 1.36305, 1.40331, 1.43413, 1.49576, 1.60825, 1.72959");
-            values ( \
-              "-0.0463882, -0.0576013, -0.169872, -0.21033, -0.241071, -0.26324, -0.281505, -0.291428, -0.287911, -0.250704, -0.146404, -0.102121, -0.0661393, -0.0516896, -0.0361212, -0.0248342, -0.0185521, -0.0101077, -0.00300087, -0.000776817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.792194, 0.995766, 1.36576, 1.49122, 1.65429, 1.79672, 1.92771, 2.04905, 2.10171, 2.15788, 2.21095, 2.28171, 2.5423, 2.65129, 2.76062, 2.84596, 2.93446, 3.00221, 3.12355, 3.30251");
-            values ( \
-              "-0.0153845, -0.0579015, -0.173982, -0.208737, -0.247924, -0.275346, -0.29381, -0.303375, -0.304044, -0.301135, -0.292733, -0.267532, -0.12428, -0.0823274, -0.0530615, -0.0371089, -0.0254909, -0.0190114, -0.0110773, -0.00563838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.12768, 0.145189, 0.152202, 0.169637, 0.182497, 0.188241, 0.193831, 0.199645, 0.215227, 0.221089, 0.229036, 0.236118, 0.237786");
-            values ( \
-              "-0.00052623, -0.0363778, -0.0571514, -0.0921651, -0.111415, -0.116596, -0.11826, -0.106348, -0.0298797, -0.0153835, -0.00604813, -0.00254162, -0.00220706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.147642, 0.194716, 0.206125, 0.221113, 0.229694, 0.237958, 0.242206, 0.249563, 0.272306, 0.282451, 0.288464, 0.301053, 0.307221");
-            values ( \
-              "-0.00657295, -0.118365, -0.139777, -0.162606, -0.171845, -0.176392, -0.174817, -0.161207, -0.052766, -0.0259377, -0.0167624, -0.00638289, -0.00426145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.194218, 0.207513, 0.213012, 0.21851, 0.22559, 0.232671, 0.236514, 0.240356, 0.244199, 0.251884, 0.260262, 0.271248, 0.28117, 0.290026, 0.295741, 0.302808, 0.30744, 0.312854, 0.316729, 0.319313, 0.324479, 0.328178, 0.331522, 0.333349, 0.335175, 0.337002, 0.338827, 0.340632, 0.342438, 0.344243, 0.346049, 0.349602, 0.353157, 0.356712, 0.360265, 0.361612, 0.364307, 0.372126, 0.379413, 0.385271, 0.389109, 0.394868, 0.39764, 0.402478, 0.405515, 0.407032, 0.411588, 0.413889, 0.418489, 0.42474");
-            values ( \
-              "-0.0494769, -0.0498398, -0.0587193, -0.0688732, -0.0837117, -0.0991114, -0.106752, -0.114132, -0.121114, -0.134571, -0.148453, -0.165564, -0.179864, -0.191686, -0.198502, -0.206387, -0.211107, -0.216134, -0.219224, -0.220926, -0.224036, -0.226021, -0.227643, -0.227823, -0.227753, -0.227433, -0.226861, -0.22605, -0.224995, -0.223694, -0.222148, -0.218366, -0.213619, -0.207908, -0.201233, -0.197857, -0.188475, -0.158473, -0.129507, -0.107714, -0.0950283, -0.0782916, -0.071064, -0.0593369, -0.0525078, -0.049668, -0.0418353, -0.0384725, -0.0321335, -0.0243463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.255757, 0.321206, 0.378679, 0.425183, 0.453982, 0.491395, 0.521546, 0.544653, 0.572495, 0.600399, 0.655492, 0.678521, 0.701504, 0.726879, 0.741857, 0.771813, 0.820315, 0.874711");
-            values ( \
-              "-0.00356917, -0.070726, -0.142601, -0.190885, -0.215868, -0.242383, -0.258819, -0.266539, -0.264825, -0.232757, -0.110065, -0.0733792, -0.0477125, -0.0289894, -0.0214572, -0.0114775, -0.00385404, -0.00112329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.415421, 0.490801, 0.525752, 0.569326, 0.681587, 0.765882, 0.831721, 0.898171, 0.951382, 0.974932, 1.00633, 1.01729, 1.0392, 1.07438, 1.13173, 1.19901, 1.24554, 1.27552, 1.30015, 1.32822, 1.36565, 1.39015, 1.41496, 1.44804, 1.51419, 1.62515, 1.7465");
-            values ( \
-              "-0.010321, -0.0378931, -0.0566711, -0.0836652, -0.157673, -0.204212, -0.236277, -0.263079, -0.27946, -0.284935, -0.290127, -0.291238, -0.292059, -0.287666, -0.251048, -0.168976, -0.119286, -0.0932135, -0.0756357, -0.0591569, -0.0422315, -0.033578, -0.0266503, -0.0195661, -0.0101076, -0.00311857, -0.000756487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.816122, 1.01604, 1.09265, 1.27097, 1.40116, 1.51145, 1.67454, 1.81696, 1.85912, 1.94795, 2.06929, 2.12193, 2.17808, 2.19581, 2.23127, 2.3022, 2.47348, 2.56297, 2.61018, 2.67164, 2.71971, 2.78073, 2.86612, 2.95483, 3.02275, 3.1441, 3.26544, 3.38679, 3.62947");
-            values ( \
-              "-0.0167199, -0.0579128, -0.0811777, -0.139624, -0.178356, -0.208745, -0.247933, -0.275353, -0.282089, -0.293815, -0.303374, -0.304046, -0.301141, -0.299084, -0.292715, -0.267415, -0.168921, -0.124086, -0.104239, -0.0822942, -0.0680435, -0.05309, -0.0371201, -0.0254765, -0.0189858, -0.011062, -0.00640371, -0.00369632, -0.00122639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.174079, 0.176104, 0.180655, 0.181561, 0.183374, 0.18639, 0.188234, 0.195255, 0.201553, 0.204973, 0.211813, 0.214463, 0.219414, 0.222247, 0.225527, 0.231276, 0.236864, 0.242445, 0.242678, 0.244078, 0.245945, 0.251972, 0.253867, 0.256291, 0.258266, 0.260673, 0.264124, 0.265872, 0.268522, 0.272056, 0.279125, 0.286552, 0.286943");
-            values ( \
-              "-0.0163489, -0.0181181, -0.0211704, -0.0239356, -0.0262373, -0.0321559, -0.0365299, -0.0567182, -0.0708085, -0.0776178, -0.090472, -0.0950724, -0.10298, -0.106994, -0.111255, -0.116745, -0.118127, -0.107321, -0.10639, -0.100186, -0.0903128, -0.05622, -0.0470022, -0.0367981, -0.0298569, -0.0228971, -0.0153776, -0.0125572, -0.00920792, -0.0060574, -0.00254949, -0.00105271, -0.00102581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.198345, 0.21025, 0.213053, 0.215856, 0.218659, 0.223204, 0.230021, 0.233618, 0.23759, 0.24045, 0.243309, 0.246168, 0.249028, 0.251526, 0.254023, 0.256521, 0.260735, 0.265885, 0.268128, 0.269237, 0.271454, 0.273603, 0.276723, 0.277705, 0.278688, 0.27967, 0.280653, 0.281766, 0.282878, 0.283991, 0.285103, 0.28689, 0.288677, 0.290464, 0.292251, 0.292673, 0.293937, 0.29731, 0.306434, 0.308152, 0.311109, 0.31397, 0.315956, 0.318021, 0.320772, 0.322993, 0.324298, 0.325602, 0.326906, 0.329078");
-            values ( \
-              "-0.0467172, -0.0512364, -0.058542, -0.0669179, -0.0747843, -0.0864571, -0.102027, -0.109955, -0.118484, -0.124183, -0.12965, -0.134887, -0.139893, -0.144077, -0.148084, -0.151915, -0.157962, -0.164685, -0.1674, -0.168621, -0.170884, -0.172851, -0.175309, -0.175576, -0.175695, -0.175665, -0.175487, -0.175106, -0.174535, -0.173774, -0.172823, -0.170685, -0.167936, -0.164575, -0.160602, -0.159328, -0.155137, -0.139123, -0.090344, -0.0815693, -0.0684991, -0.0572656, -0.0499254, -0.0430193, -0.0357429, -0.0306558, -0.0279637, -0.025466, -0.0231627, -0.0197388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.235252, 0.25031, 0.254941, 0.259571, 0.278963, 0.283154, 0.291537, 0.300965, 0.31112, 0.314639, 0.322704, 0.329788, 0.333643, 0.341223, 0.350471, 0.351768, 0.353707, 0.359524, 0.362797, 0.366069, 0.369341, 0.374359, 0.37785, 0.380602, 0.383354, 0.384726, 0.386098, 0.38747, 0.388842, 0.391586, 0.39433, 0.397074, 0.399818, 0.402063, 0.404309, 0.408354, 0.4124, 0.41951, 0.428221, 0.432363, 0.434435, 0.438577, 0.441902, 0.44702, 0.449228, 0.451436, 0.455853, 0.458061, 0.461586, 0.467275");
-            values ( \
-              "-0.0383714, -0.049356, -0.0570367, -0.065457, -0.105944, -0.114135, -0.129211, -0.145045, -0.161114, -0.166467, -0.178121, -0.187739, -0.192692, -0.201589, -0.211668, -0.213008, -0.214648, -0.219076, -0.221202, -0.223121, -0.224833, -0.227055, -0.227325, -0.227257, -0.226941, -0.226333, -0.22555, -0.224591, -0.223457, -0.220661, -0.217163, -0.212963, -0.20806, -0.202715, -0.196625, -0.182389, -0.167565, -0.139571, -0.106849, -0.0934573, -0.0872254, -0.075648, -0.0672309, -0.0551746, -0.0507241, -0.0466002, -0.0395545, -0.036355, -0.0317621, -0.0251717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.302549, 0.360805, 0.42017, 0.478344, 0.508575, 0.545129, 0.559273, 0.580757, 0.601184, 0.615294, 0.643153, 0.684039, 0.712557, 0.734527, 0.763217, 0.782865, 0.809062, 0.85754, 0.910903, 0.972656");
-            values ( \
-              "-0.00808788, -0.0669146, -0.14091, -0.200286, -0.224736, -0.248941, -0.256436, -0.264907, -0.267803, -0.26452, -0.233317, -0.138599, -0.0859555, -0.0575026, -0.0329732, -0.0222867, -0.0129543, -0.00436689, -0.00129396, -0.000339609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.512062, 0.570759, 0.746185, 0.819817, 0.890692, 0.941471, 0.989354, 1.0286, 1.06036, 1.11783, 1.17515, 1.26214, 1.30814, 1.35897, 1.42565, 1.49682, 1.55853, 1.67128, 1.79263");
-            values ( \
-              "-0.0551781, -0.0580697, -0.170439, -0.209974, -0.243441, -0.263324, -0.27821, -0.287077, -0.291381, -0.28789, -0.250678, -0.146429, -0.102075, -0.066159, -0.03612, -0.0185456, -0.0100861, -0.00299147, -0.000766847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.861974, 1.05959, 1.14091, 1.31601, 1.4446, 1.55498, 1.71806, 1.86048, 1.90264, 1.99147, 2.11281, 2.16545, 2.22159, 2.23933, 2.27481, 2.34578, 2.51692, 2.60661, 2.65378, 2.71519, 2.76318, 2.82422, 2.90963, 2.99838, 3.06636, 3.1877, 3.30904, 3.43039, 3.67308");
-            values ( \
-              "-0.017573, -0.0579264, -0.0827101, -0.140109, -0.17835, -0.208735, -0.247922, -0.275342, -0.282101, -0.293805, -0.303386, -0.304047, -0.301143, -0.299084, -0.292711, -0.267383, -0.168967, -0.124035, -0.104211, -0.0822857, -0.0680584, -0.0530972, -0.0371234, -0.0254729, -0.0189788, -0.0110582, -0.00640115, -0.00369519, -0.00122616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.268056, 0.283317, 0.297082, 0.31026, 0.323083, 0.32882, 0.334406, 0.340216, 0.355805, 0.361655, 0.369565, 0.376611, 0.380792");
-            values ( \
-              "-0.000178805, -0.0306447, -0.0666272, -0.0922102, -0.111426, -0.116821, -0.118251, -0.106431, -0.0298407, -0.015375, -0.0060738, -0.00255975, -0.00170952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.290395, 0.299813, 0.302927, 0.307079, 0.328063, 0.346187, 0.362952, 0.369701, 0.377815, 0.382239, 0.389779, 0.393697, 0.406613, 0.411554, 0.417079, 0.421653, 0.428731, 0.433521, 0.443101, 0.454239, 0.464409, 0.485863, 0.526219");
-            values ( \
-              "-0.0235881, -0.0347644, -0.0412299, -0.0500937, -0.103976, -0.139588, -0.164685, -0.171724, -0.176293, -0.174929, -0.160541, -0.143926, -0.0758802, -0.0556168, -0.0382389, -0.0276739, -0.0163875, -0.0114841, -0.00532845, -0.00224984, -0.0010425, -0.000366302, -0.000326509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.327283, 0.3513, 0.358481, 0.379778, 0.395466, 0.419588, 0.429314, 0.439697, 0.456122, 0.463734, 0.47091, 0.482806, 0.490782, 0.500883, 0.519723, 0.534078, 0.542759, 0.547835, 0.557986, 0.563944, 0.573243, 0.585641, 0.607896, 0.63088, 0.655647");
-            values ( \
-              "-0.0174072, -0.0562734, -0.0695705, -0.113774, -0.141565, -0.178522, -0.191515, -0.203704, -0.218857, -0.223783, -0.226776, -0.226874, -0.220096, -0.197937, -0.125819, -0.0788967, -0.0575917, -0.0476483, -0.0319947, -0.0252719, -0.0173787, -0.0104204, -0.00391236, -0.00149673, -0.000585158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.427295, 0.461077, 0.470215, 0.481075, 0.491935, 0.505752, 0.517077, 0.534756, 0.54812, 0.565325, 0.575893, 0.584123, 0.592783, 0.600938, 0.609294, 0.617304, 0.626567, 0.634447, 0.642327, 0.649371, 0.656416, 0.666984, 0.677552, 0.684706, 0.688191, 0.691677, 0.695162, 0.698648, 0.702094, 0.705541, 0.708987, 0.712433, 0.719326, 0.726219, 0.733112, 0.740005, 0.742387, 0.747149, 0.770962, 0.780351, 0.790719, 0.800807, 0.808323, 0.819017, 0.824314, 0.828552, 0.837028, 0.845505, 0.856572, 0.866838");
-            values ( \
-              "-0.0698416, -0.0709979, -0.0822012, -0.0967739, -0.11085, -0.127605, -0.140641, -0.160159, -0.174207, -0.191228, -0.200717, -0.207781, -0.214903, -0.221283, -0.227539, -0.233288, -0.239601, -0.244702, -0.249556, -0.253391, -0.25687, -0.26119, -0.265175, -0.267681, -0.267919, -0.267829, -0.267411, -0.266666, -0.265607, -0.264227, -0.262527, -0.260506, -0.255497, -0.249202, -0.24162, -0.232753, -0.228788, -0.218198, -0.161757, -0.140382, -0.118169, -0.100086, -0.0881622, -0.0721489, -0.0650723, -0.0599487, -0.0513555, -0.0437298, -0.0353857, -0.0282838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.58923, 0.667367, 0.822457, 0.888775, 0.952836, 1.00403, 1.03834, 1.09954, 1.15741, 1.21483, 1.2722, 1.35922, 1.40512, 1.45607, 1.48381, 1.52272, 1.56298, 1.59379, 1.65542, 1.76769, 1.88903");
-            values ( \
-              "-0.027146, -0.057938, -0.158327, -0.195353, -0.227697, -0.250237, -0.263245, -0.281601, -0.291422, -0.287954, -0.250686, -0.146422, -0.102139, -0.0661298, -0.0516942, -0.036115, -0.0248351, -0.0185529, -0.0101097, -0.00300981, -0.000779938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.982059, 1.15553, 1.5291, 1.65087, 1.81399, 1.95642, 2.0874, 2.20875, 2.26139, 2.31755, 2.37069, 2.44156, 2.70226, 2.81105, 2.92024, 3.00561, 3.09423, 3.16209, 3.28343, 3.4638");
-            values ( \
-              "-0.0280944, -0.0579209, -0.175033, -0.20874, -0.247936, -0.275358, -0.29382, -0.303369, -0.304047, -0.301139, -0.292722, -0.26746, -0.12416, -0.0823065, -0.0530794, -0.0371154, -0.0254816, -0.0189958, -0.0110675, -0.00559062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.470603, 0.489415, 0.493491, 0.497636, 0.508357, 0.524419, 0.531899, 0.538579, 0.544758, 0.55015, 0.55408, 0.556792, 0.569087, 0.572478, 0.577992, 0.580647, 0.584993, 0.590788, 0.598057, 0.607482, 0.686415");
-            values ( \
-              "-0.00211642, -0.0194336, -0.024517, -0.0316151, -0.0541802, -0.0819591, -0.0934853, -0.102703, -0.109032, -0.111643, -0.108214, -0.10144, -0.0427284, -0.0303847, -0.0165861, -0.0122653, -0.00743773, -0.00375408, -0.0015991, -0.000559967, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.497265, 0.51089, 0.513772, 0.516654, 0.520393, 0.521639, 0.523326, 0.5267, 0.530916, 0.535399, 0.540013, 0.543731, 0.558752, 0.563364, 0.567596, 0.570183, 0.573714, 0.579083, 0.583082, 0.585291, 0.5875, 0.589675, 0.591851, 0.592878, 0.593905, 0.594933, 0.59596, 0.597064, 0.598169, 0.599274, 0.600378, 0.6025, 0.604623, 0.606745, 0.608867, 0.609318, 0.61067, 0.612814, 0.621075, 0.622666, 0.625317, 0.628218, 0.630762, 0.63299, 0.635422, 0.637694, 0.639156, 0.640618, 0.64208, 0.644736");
-            values ( \
-              "-0.016758, -0.0264375, -0.0303413, -0.0346601, -0.0408813, -0.0431103, -0.0465457, -0.0544293, -0.0649882, -0.0758409, -0.086167, -0.0940492, -0.124442, -0.133298, -0.14094, -0.145289, -0.150767, -0.158391, -0.163633, -0.166156, -0.168448, -0.170481, -0.17229, -0.172685, -0.172899, -0.172931, -0.17278, -0.172405, -0.171826, -0.171043, -0.170056, -0.167589, -0.16437, -0.160398, -0.155675, -0.154365, -0.148605, -0.138133, -0.093915, -0.0857373, -0.0735992, -0.0617654, -0.052324, -0.04451, -0.0378199, -0.0323715, -0.0292247, -0.0263314, -0.0236915, -0.0194782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.550332, 0.570237, 0.573964, 0.575278, 0.581848, 0.589732, 0.591577, 0.597112, 0.604493, 0.611874, 0.622023, 0.628929, 0.63973, 0.646437, 0.654292, 0.660703, 0.666702, 0.670525, 0.674349, 0.677637, 0.680926, 0.684215, 0.689241, 0.691846, 0.694098, 0.69825, 0.699629, 0.701008, 0.703766, 0.706524, 0.709282, 0.71204, 0.714798, 0.715914, 0.719263, 0.723316, 0.727369, 0.734488, 0.743194, 0.747329, 0.749396, 0.753531, 0.756869, 0.762058, 0.764296, 0.766534, 0.768773, 0.773249, 0.776767, 0.782296");
-            values ( \
-              "-0.0523626, -0.0557085, -0.0626491, -0.0653711, -0.0792243, -0.0963823, -0.100133, -0.110999, -0.124385, -0.137151, -0.153695, -0.164354, -0.179891, -0.188928, -0.198627, -0.205903, -0.212094, -0.215265, -0.218126, -0.220338, -0.222322, -0.224076, -0.226305, -0.226582, -0.226643, -0.22632, -0.225729, -0.224962, -0.2229, -0.220135, -0.216665, -0.212491, -0.207614, -0.205067, -0.196323, -0.182126, -0.167335, -0.139402, -0.10679, -0.0934425, -0.087219, -0.0756712, -0.0672627, -0.0550389, -0.0505573, -0.046404, -0.0427396, -0.0360744, -0.031523, -0.0251516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.629408, 0.66375, 0.6764, 0.717159, 0.746999, 0.773585, 0.793067, 0.810666, 0.843125, 0.872947, 0.893495, 0.901228, 0.915171, 0.92895, 0.956508, 0.998429, 1.01699, 1.03226, 1.04522, 1.06249, 1.07692, 1.0962, 1.1219, 1.17044, 1.22355, 1.28521");
-            values ( \
-              "-0.0304964, -0.0558332, -0.0692005, -0.121712, -0.1557, -0.183226, -0.201395, -0.216079, -0.2394, -0.256547, -0.264687, -0.266623, -0.267832, -0.264586, -0.233879, -0.13697, -0.101019, -0.0770852, -0.0607333, -0.0436344, -0.032879, -0.0223839, -0.0131684, -0.00441865, -0.00133222, -0.000335259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.830057, 0.898862, 1.05793, 1.14407, 1.21836, 1.25268, 1.31389, 1.37176, 1.42919, 1.48657, 1.57702, 1.61951, 1.67043, 1.73709, 1.80819, 1.86983, 1.98255, 2.10389");
-            values ( \
-              "-0.0610897, -0.0679325, -0.170596, -0.216359, -0.250188, -0.263168, -0.281574, -0.291368, -0.287945, -0.250654, -0.142593, -0.102133, -0.066127, -0.0361073, -0.0185564, -0.0100982, -0.00299729, -0.000767842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.22581, 1.37057, 1.75526, 1.86536, 2.02841, 2.17081, 2.30179, 2.42313, 2.4756, 2.53157, 2.58574, 2.65797, 2.92025, 3.04037, 3.18239, 3.29198, 3.36538, 3.48672, 3.60807, 3.66704");
-            values ( \
-              "-0.0449026, -0.0581824, -0.178485, -0.208793, -0.247965, -0.275376, -0.293832, -0.303402, -0.304065, -0.301187, -0.292577, -0.266479, -0.122545, -0.0776163, -0.0434868, -0.0273732, -0.019927, -0.0116193, -0.00672827, -0.0053467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.890564, 0.910335, 0.916894, 0.927247, 0.945679, 0.966845, 0.983282, 0.990772, 0.998246, 1.01877, 1.02565, 1.0353, 1.04339, 1.05149");
-            values ( \
-              "-0.00902629, -0.0136136, -0.0180607, -0.0285106, -0.0511615, -0.0728263, -0.0862367, -0.08922, -0.085529, -0.0211903, -0.010494, -0.00381213, -0.00166991, -0.001148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.91271, 0.9379, 0.943068, 0.951336, 0.963503, 0.99265, 1.02111, 1.03266, 1.04329, 1.04793, 1.05338, 1.0634, 1.08781, 1.09625, 1.10514, 1.11155, 1.12294, 1.13331, 1.15482, 1.21281");
-            values ( \
-              "-0.0046718, -0.0186969, -0.021966, -0.0289596, -0.0435277, -0.0853968, -0.12077, -0.133346, -0.143045, -0.14606, -0.147635, -0.13791, -0.0467065, -0.0270412, -0.0146504, -0.00923027, -0.00389895, -0.00180863, -0.000607984, -0.000141611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.968467, 1.00573, 1.018, 1.03074, 1.06643, 1.0935, 1.11733, 1.13288, 1.14475, 1.15992, 1.16739, 1.17667, 1.19226, 1.19819, 1.2159, 1.22634, 1.24085, 1.25032, 1.25595, 1.26967, 1.28534, 1.30783, 1.33159, 1.35703");
-            values ( \
-              "-0.00732783, -0.0281113, -0.0385761, -0.0529211, -0.104073, -0.139946, -0.169539, -0.186736, -0.19781, -0.208041, -0.210731, -0.210568, -0.191161, -0.173796, -0.111073, -0.0798686, -0.0478051, -0.0333938, -0.0268611, -0.0156158, -0.0081719, -0.00311217, -0.00119745, -0.000491523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.09313, 1.13639, 1.15725, 1.24026, 1.26617, 1.29469, 1.32773, 1.3579, 1.38038, 1.3985, 1.41433, 1.44234, 1.49713, 1.52041, 1.54488, 1.56908, 1.58308, 1.61108, 1.66016, 1.71404");
-            values ( \
-              "-0.0229907, -0.0454994, -0.0643293, -0.160491, -0.186737, -0.211931, -0.236257, -0.254058, -0.263183, -0.266191, -0.263186, -0.232482, -0.110746, -0.0736285, -0.046537, -0.0289448, -0.0218735, -0.0122216, -0.00405521, -0.00118857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.29246, 1.36464, 1.52149, 1.60112, 1.67066, 1.73709, 1.79828, 1.85621, 1.91365, 1.97103, 2.05804, 2.104, 2.15488, 2.22155, 2.29268, 2.35435, 2.43394");
-            values ( \
-              "-0.0354582, -0.0566654, -0.158245, -0.202323, -0.236326, -0.263116, -0.281443, -0.291353, -0.287859, -0.25067, -0.146412, -0.102099, -0.0661432, -0.0361152, -0.0185543, -0.010092, -0.00508132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.72721, 1.85886, 2.10537, 2.23768, 2.34737, 2.41556, 2.51043, 2.65284, 2.69496, 2.78382, 2.90516, 2.95766, 3.01367, 3.03166, 3.06764, 3.13961, 3.30735, 3.40158, 3.46783, 3.52233, 3.58506, 3.6687, 3.72024, 3.77243, 3.84203, 3.96337, 4.08471, 4.20606, 4.44875");
-            values ( \
-              "-0.0591291, -0.0599627, -0.139202, -0.178572, -0.208797, -0.226099, -0.247972, -0.275384, -0.282102, -0.293841, -0.303383, -0.304062, -0.301177, -0.299095, -0.292604, -0.266671, -0.170017, -0.122858, -0.0959286, -0.0776393, -0.0604125, -0.0427067, -0.0343878, -0.0275543, -0.0204008, -0.0118996, -0.00689223, -0.00398068, -0.00132125" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0094806, 0.00949924, 0.00952182, 0.00954176, 0.00955503, 0.00956232", \
-            "0.0110687, 0.0110812, 0.0110992, 0.0111179, 0.0111322, 0.0111407", \
-            "0.0120107, 0.0120153, 0.012024, 0.012036, 0.0120469, 0.0120544", \
-            "0.012579, 0.0125774, 0.0125768, 0.0125782, 0.0125819, 0.0125858", \
-            "0.01288, 0.012876, 0.0128745, 0.0128678, 0.0128627, 0.0128606", \
-            "0.0130593, 0.013054, 0.013045, 0.0130329, 0.0130208, 0.0130124" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0130771, 0.0130878, 0.0131019, 0.0131147, 0.0131263, 0.0131334", \
-            "0.0143952, 0.0143863, 0.0143783, 0.0143731, 0.0143698, 0.0143703", \
-            "0.0152295, 0.0151943, 0.0151511, 0.0151128, 0.0150878, 0.0150742", \
-            "0.0159141, 0.0158512, 0.0157704, 0.0156935, 0.0156348, 0.015598", \
-            "0.0165436, 0.0164297, 0.016263, 0.0161143, 0.0160039, 0.0159356", \
-            "0.0169916, 0.0168813, 0.0166946, 0.0164452, 0.0162292, 0.0160995" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.129123, 0.165382, 0.243332, 0.414323, 0.794516, 1.64284", \
-            "0.133781, 0.170027, 0.247998, 0.419052, 0.799491, 1.64779", \
-            "0.144233, 0.180513, 0.258662, 0.429804, 0.810387, 1.65881", \
-            "0.167765, 0.204128, 0.282239, 0.453635, 0.834289, 1.68292", \
-            "0.205855, 0.248657, 0.33362, 0.507386, 0.88813, 1.73673", \
-            "0.241073, 0.295751, 0.403356, 0.609733, 1.00735, 1.85607" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0372305, 0.0567593, 0.0993322, 0.194544, 0.40558, 0.877015", \
-            "0.0372682, 0.056731, 0.0994511, 0.194667, 0.405568, 0.877086", \
-            "0.0372243, 0.0567204, 0.0994455, 0.194685, 0.405561, 0.877052", \
-            "0.0373413, 0.0566875, 0.0993602, 0.194531, 0.405504, 0.876952", \
-            "0.0414057, 0.0602131, 0.10083, 0.194828, 0.405512, 0.877046", \
-            "0.050064, 0.0696012, 0.110493, 0.200852, 0.40596, 0.876886" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.152545, 0.195011, 0.286121, 0.485889, 0.928672, 1.91714", \
-            "0.157142, 0.199709, 0.291082, 0.49109, 0.933826, 1.9227", \
-            "0.169073, 0.211598, 0.303041, 0.503291, 0.946629, 1.93539", \
-            "0.19878, 0.240856, 0.331754, 0.531721, 0.975022, 1.96393", \
-            "0.263509, 0.307887, 0.39908, 0.59787, 1.03946, 2.02786", \
-            "0.367528, 0.424842, 0.536782, 0.750239, 1.19045, 2.17536" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0377287, 0.0562934, 0.0967312, 0.185299, 0.380969, 0.817154", \
-            "0.0377178, 0.0563091, 0.0967786, 0.185413, 0.380794, 0.817154", \
-            "0.0377051, 0.0563277, 0.0966889, 0.185303, 0.380712, 0.817152", \
-            "0.0376815, 0.0563264, 0.0966876, 0.185272, 0.380925, 0.817144", \
-            "0.0411632, 0.0580726, 0.0972128, 0.185211, 0.381007, 0.817084", \
-            "0.0525807, 0.0710283, 0.108219, 0.187543, 0.381135, 0.8171" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0922765, 0.103884, 0.113421, 0.121891, 0.127915, 0.134867, 0.137142, 0.140638, 0.146307, 0.151975, 0.15468, 0.162282, 0.167603, 0.172097, 0.18027, 0.182911, 0.191027, 0.196557, 0.202031, 0.210823, 0.222549, 0.268395");
-            values ( \
-              "0.000477797, 0.0323586, 0.0655836, 0.0884666, 0.101072, 0.111186, 0.113344, 0.1156, 0.115721, 0.108456, 0.0987635, 0.0645506, 0.046562, 0.0355197, 0.0209859, 0.017858, 0.0104349, 0.00728563, 0.00515303, 0.00306533, 0.00162118, 0.000534964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.104223, 0.114986, 0.118114, 0.148744, 0.159015, 0.168211, 0.176938, 0.185575, 0.194207, 0.209419, 0.222874, 0.236463, 0.253002, 0.269926, 0.296432, 0.298862");
-            values ( \
-              "0.0154998, 0.029151, 0.0368661, 0.132816, 0.154098, 0.165598, 0.171404, 0.169426, 0.156391, 0.0993325, 0.0601603, 0.034377, 0.0166053, 0.00789673, 0.00297094, 0.00284384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.142503, 0.156273, 0.184757, 0.199609, 0.206233, 0.21948, 0.223748, 0.232092, 0.239654, 0.247089, 0.25491, 0.262226, 0.270112, 0.27775, 0.287057, 0.291786, 0.314768, 0.326607, 0.333729, 0.344713, 0.356349, 0.363327, 0.371389, 0.380903, 0.394203, 0.399764, 0.410884, 0.427174, 0.447651, 0.470828, 0.499413, 0.536617");
-            values ( \
-              "0.041412, 0.0545343, 0.130934, 0.162837, 0.175625, 0.195133, 0.200607, 0.208279, 0.21375, 0.216687, 0.218436, 0.217839, 0.214472, 0.207533, 0.191381, 0.18046, 0.122603, 0.0966777, 0.083015, 0.064763, 0.0490277, 0.0414111, 0.0339376, 0.0267411, 0.0189341, 0.0164373, 0.0122367, 0.00796719, 0.004561, 0.00250005, 0.00115913, 0.000501858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.202674, 0.234905, 0.290143, 0.315641, 0.331378, 0.354823, 0.368598, 0.3818, 0.395992, 0.404008, 0.413704, 0.425763, 0.440555, 0.45565, 0.482934, 0.497065, 0.546215, 0.581117, 0.611958, 0.631258, 0.665514, 0.691895, 0.719336, 0.740359, 0.782407, 0.857863, 0.950892");
-            values ( \
-              "0.031234, 0.0606599, 0.152651, 0.186861, 0.204164, 0.224956, 0.234568, 0.241547, 0.246737, 0.248539, 0.25001, 0.250157, 0.248341, 0.243315, 0.22352, 0.206634, 0.138686, 0.0965147, 0.067316, 0.0529802, 0.0338341, 0.0236907, 0.0162498, 0.0120774, 0.00661228, 0.00199563, 0.00045067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.335998, 0.409167, 0.488613, 0.518275, 0.560292, 0.609607, 0.643867, 0.671752, 0.695414, 0.720387, 0.743898, 0.790832, 0.806142, 0.836763, 0.868497, 0.915892, 0.970055, 1.08232, 1.13505, 1.18828, 1.23202, 1.27073, 1.32235, 1.38478, 1.42317, 1.49994, 1.61852, 1.7371, 1.85567");
-            values ( \
-              "0.027393, 0.0635355, 0.135906, 0.160371, 0.19142, 0.221559, 0.23778, 0.248121, 0.255193, 0.261114, 0.265111, 0.269052, 0.268985, 0.267036, 0.261449, 0.244372, 0.211733, 0.133223, 0.101439, 0.0751178, 0.0579078, 0.0455982, 0.0327026, 0.0217358, 0.0169137, 0.00994729, 0.00431344, 0.00177399, 0.000809206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.608087, 0.798579, 0.963563, 1.04769, 1.14719, 1.23123, 1.2846, 1.33659, 1.38204, 1.45438, 1.5203, 1.63888, 1.68563, 1.73684, 1.78952, 1.89487, 2.01038, 2.25386, 2.36869, 2.4845, 2.57951, 2.66361, 2.77574, 2.86991, 2.99532, 3.1139, 3.23248, 3.35106, 3.46964, 3.70679, 3.94395");
-            values ( \
-              "0.0131569, 0.0651837, 0.138162, 0.172048, 0.20664, 0.230752, 0.243521, 0.254048, 0.261655, 0.270938, 0.27641, 0.279734, 0.278626, 0.275692, 0.270493, 0.251505, 0.218468, 0.137783, 0.104799, 0.0775588, 0.0597124, 0.0470176, 0.0337971, 0.0254933, 0.0174118, 0.0120503, 0.00832274, 0.00572726, 0.00394247, 0.00185977, 0.000875573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.111881, 0.118352, 0.120312, 0.135869, 0.140712, 0.147585, 0.153718, 0.159494, 0.165165, 0.170831, 0.181123, 0.189588, 0.193691, 0.199162, 0.205249, 0.209841, 0.215302, 0.220869, 0.229797, 0.241363, 0.260829");
-            values ( \
-              "0.0123155, 0.0209756, 0.0250286, 0.0760203, 0.0882514, 0.102542, 0.111137, 0.115692, 0.115708, 0.108525, 0.0646144, 0.0386276, 0.0298834, 0.020934, 0.014287, 0.0104631, 0.00733459, 0.00515575, 0.00304196, 0.00162302, 0.00115581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.127317, 0.167408, 0.177643, 0.186845, 0.195567, 0.204203, 0.212834, 0.228047, 0.241497, 0.255207, 0.271294, 0.289602, 0.316057");
-            values ( \
-              "0.0136459, 0.133452, 0.153885, 0.16599, 0.171171, 0.169715, 0.15616, 0.0993331, 0.0601686, 0.0342021, 0.0168522, 0.00755737, 0.00287602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.177277, 0.203355, 0.206823, 0.21376, 0.217229, 0.221079, 0.224928, 0.228777, 0.232627, 0.236476, 0.242513, 0.245793, 0.249073, 0.253447, 0.258439, 0.262647, 0.266155, 0.268261, 0.272472, 0.274334, 0.277438, 0.281162, 0.2831, 0.285038, 0.288915, 0.292283, 0.295652, 0.297563, 0.299474, 0.303296, 0.304988, 0.308374, 0.316739, 0.330273, 0.334806, 0.339338, 0.345211, 0.351085, 0.36072, 0.366208, 0.368038, 0.373576, 0.379113, 0.383819, 0.388526, 0.395861, 0.401808, 0.408813, 0.412316, 0.417824");
-            values ( \
-              "0.122933, 0.130376, 0.138619, 0.154074, 0.161285, 0.168379, 0.175056, 0.181173, 0.18697, 0.192446, 0.200387, 0.203759, 0.206672, 0.210153, 0.213715, 0.215449, 0.21663, 0.217223, 0.218148, 0.218206, 0.218003, 0.217184, 0.216521, 0.215696, 0.21356, 0.210769, 0.207241, 0.204869, 0.20224, 0.196212, 0.193005, 0.185349, 0.164717, 0.129826, 0.119316, 0.109297, 0.0970474, 0.0856237, 0.0685227, 0.0605074, 0.0579955, 0.0509975, 0.0444943, 0.0393916, 0.0348555, 0.0291749, 0.0251778, 0.0210855, 0.01927, 0.0167083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.203155, 0.241517, 0.257186, 0.309118, 0.328093, 0.352431, 0.37372, 0.384383, 0.396702, 0.409033, 0.422947, 0.433055, 0.444984, 0.459783, 0.474882, 0.501769, 0.517098, 0.560747, 0.599005, 0.625802, 0.648627, 0.669586, 0.697531, 0.715119, 0.734818, 0.761083, 0.813614, 0.841475");
-            values ( \
-              "0.0119252, 0.0423184, 0.0648505, 0.151694, 0.17823, 0.205701, 0.22451, 0.232089, 0.23923, 0.244523, 0.248379, 0.249805, 0.250086, 0.248179, 0.243283, 0.223822, 0.205526, 0.145049, 0.0980181, 0.0719852, 0.0541259, 0.041334, 0.0284855, 0.0223533, 0.0170493, 0.0118391, 0.00543007, 0.00406511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.358284, 0.42822, 0.508803, 0.538229, 0.594431, 0.628542, 0.657267, 0.678989, 0.69858, 0.731422, 0.762864, 0.807401, 0.825115, 0.85595, 0.88745, 0.934114, 0.988973, 1.10128, 1.15399, 1.20722, 1.25096, 1.28968, 1.34131, 1.38453, 1.4421, 1.51886, 1.63744, 1.75601, 1.87459");
-            values ( \
-              "0.0314408, 0.0636749, 0.137013, 0.16117, 0.201629, 0.221574, 0.235447, 0.244042, 0.250708, 0.259395, 0.265193, 0.268954, 0.269052, 0.266969, 0.261508, 0.244676, 0.211704, 0.133251, 0.10147, 0.0751499, 0.0578796, 0.0455703, 0.0327265, 0.0247136, 0.0168897, 0.00997368, 0.00428957, 0.00179316, 0.000793809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.662545, 0.816847, 0.97606, 1.05474, 1.16917, 1.25001, 1.30303, 1.35523, 1.40084, 1.47321, 1.53912, 1.6577, 1.70449, 1.75569, 1.80836, 1.91369, 2.02922, 2.27271, 2.38754, 2.50335, 2.59836, 2.68246, 2.79459, 2.88876, 3.01418, 3.13275, 3.25133, 3.36991, 3.48849, 3.72564, 3.9628");
-            values ( \
-              "0.0317809, 0.0648886, 0.135388, 0.167481, 0.207547, 0.23067, 0.243404, 0.253953, 0.261633, 0.270888, 0.276403, 0.279698, 0.278591, 0.275699, 0.270464, 0.251524, 0.218485, 0.137759, 0.104775, 0.0775346, 0.0597363, 0.047042, 0.0337726, 0.0255179, 0.0174367, 0.0120252, 0.00834777, 0.00570212, 0.00396765, 0.00188507, 0.000900987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.154345, 0.160651, 0.161939, 0.164516, 0.167529, 0.173482, 0.181974, 0.18884, 0.194961, 0.20073, 0.206396, 0.212057, 0.214788, 0.219219, 0.222256, 0.227607, 0.230807, 0.235023, 0.240644, 0.244037, 0.246128, 0.25031, 0.255731, 0.261978, 0.265433, 0.271824, 0.277877, 0.283272, 0.289261, 0.301434, 0.308231");
-            values ( \
-              "0.0136827, 0.0236597, 0.0265347, 0.0341915, 0.0442898, 0.0659221, 0.0883775, 0.102869, 0.111165, 0.115911, 0.115689, 0.108674, 0.098704, 0.0782305, 0.0650077, 0.0467639, 0.0386394, 0.0296781, 0.0205775, 0.0166884, 0.0146083, 0.0110125, 0.00770758, 0.00518922, 0.00426789, 0.00290068, 0.00210031, 0.00157628, 0.00138186, 0.00132486, 0.00108056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.166793, 0.179309, 0.196122, 0.202002, 0.208725, 0.218962, 0.228153, 0.236874, 0.245509, 0.254138, 0.269344, 0.279075, 0.282799, 0.290588, 0.296576, 0.302928, 0.312431, 0.319687, 0.323593, 0.331406, 0.339524, 0.358162, 0.37867, 0.386611");
-            values ( \
-              "0.00547983, 0.0415442, 0.0988454, 0.116226, 0.133424, 0.154036, 0.165944, 0.171279, 0.169663, 0.156245, 0.0993597, 0.0693657, 0.0601752, 0.0439978, 0.0341068, 0.025868, 0.0169781, 0.0122891, 0.0103298, 0.0074002, 0.00545511, 0.00278033, 0.00127388, 0.000983686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.193611, 0.215705, 0.240111, 0.266087, 0.283677, 0.300128, 0.314905, 0.330067, 0.337704, 0.347285, 0.393809, 0.415799, 0.43206, 0.454418, 0.486524, 0.506997");
-            values ( \
-              "0.00706886, 0.0527457, 0.119158, 0.174823, 0.200469, 0.2139, 0.218428, 0.214549, 0.207456, 0.190747, 0.0827999, 0.0496519, 0.0333594, 0.0188332, 0.00807606, 0.00544581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.245185, 0.294138, 0.342072, 0.366717, 0.391673, 0.414654, 0.423107, 0.438376, 0.450559, 0.464104, 0.474218, 0.48618, 0.50098, 0.516079, 0.542755, 0.558709, 0.599472, 0.638514, 0.655, 0.676982, 0.692307, 0.720371, 0.75022, 0.763796, 0.784308, 0.811658, 0.866358, 0.946948, 1.04534");
-            values ( \
-              "0.00243752, 0.0580969, 0.13946, 0.174862, 0.203808, 0.224145, 0.230409, 0.239364, 0.244559, 0.248309, 0.249825, 0.250036, 0.248202, 0.243244, 0.224059, 0.205001, 0.148407, 0.0998061, 0.0828194, 0.0637217, 0.0524331, 0.0365129, 0.0242817, 0.0201837, 0.0152043, 0.010364, 0.0045949, 0.00127956, 0.000235454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.404948, 0.469936, 0.556265, 0.585393, 0.633072, 0.68052, 0.71847, 0.740244, 0.772214, 0.804529, 0.848302, 0.866782, 0.897615, 0.929112, 0.975877, 0.995879, 1.03064, 1.14294, 1.19566, 1.24888, 1.29262, 1.33134, 1.38297, 1.4262, 1.48377, 1.56053, 1.6791, 1.79768, 1.91626");
-            values ( \
-              "0.0384979, 0.0638141, 0.142048, 0.16544, 0.19965, 0.226858, 0.243289, 0.250693, 0.259235, 0.265171, 0.268958, 0.269024, 0.266992, 0.261481, 0.244651, 0.23375, 0.211724, 0.133236, 0.101457, 0.0751372, 0.0578913, 0.0455807, 0.0327169, 0.0247234, 0.0168984, 0.00996488, 0.004298, 0.0017847, 0.000802136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.699048, 0.858887, 1.01626, 1.09978, 1.17895, 1.29194, 1.34468, 1.39702, 1.44275, 1.51511, 1.58102, 1.6996, 1.74637, 1.79758, 1.85025, 1.95559, 2.07111, 2.3146, 2.42943, 2.54523, 2.64024, 2.72435, 2.83648, 2.93065, 3.05606, 3.17464, 3.29322, 3.4118, 3.53037, 3.76753, 4.00468");
-            values ( \
-              "0.0284002, 0.0649495, 0.134682, 0.168706, 0.197212, 0.230717, 0.243359, 0.253966, 0.261639, 0.270917, 0.276401, 0.27972, 0.278612, 0.275692, 0.270481, 0.251511, 0.218474, 0.137772, 0.104787, 0.0775462, 0.0597249, 0.047031, 0.0337836, 0.0255069, 0.017426, 0.0120359, 0.0083372, 0.00571264, 0.00395719, 0.00187473, 0.000890769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.242715, 0.24911, 0.252155, 0.268523, 0.273568, 0.280474, 0.286623, 0.292408, 0.298086, 0.303758, 0.314168, 0.319461, 0.323852, 0.331794, 0.3347, 0.343068, 0.348573, 0.353861, 0.362252, 0.37367, 0.379346, 0.403982");
-            values ( \
-              "0.00806292, 0.0161141, 0.0211879, 0.0747988, 0.0876863, 0.102217, 0.110891, 0.115551, 0.115589, 0.10847, 0.0641105, 0.0463531, 0.0355696, 0.0213478, 0.0178675, 0.0102574, 0.00721785, 0.00517561, 0.00315568, 0.00169454, 0.00141803, 0.000928347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.265566, 0.274614, 0.287856, 0.300502, 0.305764, 0.310695, 0.319882, 0.328623, 0.337258, 0.345888, 0.361095, 0.374552, 0.388101, 0.39494, 0.404708, 0.412186, 0.421714, 0.429887, 0.447593, 0.46406");
-            values ( \
-              "0.0505348, 0.0525129, 0.0992257, 0.133528, 0.144961, 0.153923, 0.165945, 0.171217, 0.169689, 0.156195, 0.0993599, 0.0601717, 0.0344437, 0.0255754, 0.0165882, 0.0118858, 0.00786457, 0.00574081, 0.00302858, 0.0017166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.289227, 0.307891, 0.335273, 0.357834, 0.375361, 0.387809, 0.398275, 0.406556, 0.413567, 0.421756, 0.429396, 0.438997, 0.485452, 0.506394, 0.524654, 0.546442, 0.577315, 0.599112");
-            values ( \
-              "0.0164879, 0.0542891, 0.12775, 0.175166, 0.200256, 0.211424, 0.216637, 0.218243, 0.21801, 0.214317, 0.207639, 0.190693, 0.0828735, 0.0509907, 0.0326091, 0.0186651, 0.00829942, 0.00541183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.339866, 0.387248, 0.442391, 0.467389, 0.483608, 0.506914, 0.529486, 0.541563, 0.555819, 0.565844, 0.577987, 0.592777, 0.60787, 0.634435, 0.650688, 0.70754, 0.730018, 0.74728, 0.770296, 0.784437, 0.810451, 0.840182, 0.855452, 0.878945, 0.910269, 0.97238, 1.05489");
-            values ( \
-              "0.00156889, 0.0609594, 0.152777, 0.186287, 0.204248, 0.22491, 0.239272, 0.244499, 0.248482, 0.250006, 0.250177, 0.248339, 0.243329, 0.224229, 0.204785, 0.127256, 0.100108, 0.0823295, 0.0625039, 0.0521894, 0.037329, 0.0248922, 0.0202163, 0.0146074, 0.0093911, 0.00367033, 0.000980323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.524642, 0.593418, 0.648189, 0.6968, 0.740436, 0.784311, 0.809507, 0.832245, 0.863975, 0.896517, 0.940081, 0.958762, 0.989592, 1.02109, 1.06758, 1.08785, 1.1226, 1.23491, 1.28762, 1.34084, 1.38459, 1.42331, 1.47494, 1.51816, 1.57573, 1.65248, 1.77106, 1.88963, 2.00821");
-            values ( \
-              "0.0749094, 0.0922079, 0.142093, 0.18004, 0.20938, 0.232566, 0.242995, 0.25076, 0.259231, 0.265219, 0.268982, 0.269058, 0.267013, 0.261503, 0.244787, 0.233763, 0.211734, 0.133235, 0.101458, 0.0751388, 0.0578918, 0.0455804, 0.0327155, 0.0247233, 0.0168991, 0.00996513, 0.00429866, 0.00178439, 0.0008026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.826453, 0.952184, 1.11521, 1.21818, 1.30926, 1.38431, 1.43679, 1.48923, 1.53507, 1.60741, 1.67332, 1.7919, 1.83862, 1.88984, 1.94252, 2.04789, 2.16338, 2.40686, 2.52169, 2.63749, 2.7325, 2.81661, 2.92874, 3.0229, 3.14832, 3.2669, 3.38548, 3.50405, 3.62263, 3.85979, 4.09694");
-            values ( \
-              "0.0531229, 0.0655607, 0.13763, 0.178644, 0.209497, 0.230797, 0.243392, 0.254, 0.261704, 0.270952, 0.276447, 0.279735, 0.278625, 0.275719, 0.270487, 0.251522, 0.218486, 0.137766, 0.104781, 0.0775407, 0.0597311, 0.0470366, 0.033778, 0.0255124, 0.0174312, 0.0120308, 0.00834227, 0.00570766, 0.00396214, 0.00187957, 0.000895491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.398837, 0.425026, 0.430098, 0.439594, 0.465579, 0.474423, 0.48089, 0.487117, 0.494585, 0.516014, 0.525266, 0.538681, 0.552687");
-            values ( \
-              "0.00208224, 0.00696849, 0.0120373, 0.0266457, 0.0851621, 0.0982671, 0.104427, 0.106309, 0.0984296, 0.0307468, 0.0174679, 0.0074246, 0.00331327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.438329, 0.455588, 0.460281, 0.493128, 0.504479, 0.514421, 0.523685, 0.532752, 0.541807, 0.563102, 0.574325, 0.587662, 0.600789, 0.619765, 0.64868, 0.652146");
-            values ( \
-              "0.00899327, 0.0251211, 0.0335341, 0.118386, 0.141041, 0.154986, 0.162275, 0.162615, 0.151481, 0.0793563, 0.052112, 0.0300073, 0.0169967, 0.00749813, 0.00261821, 0.00242067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.465151, 0.492231, 0.49883, 0.511144, 0.545477, 0.564592, 0.575775, 0.585418, 0.593401, 0.600407, 0.608876, 0.61599, 0.62422, 0.631924, 0.640359, 0.674815, 0.695859, 0.714354, 0.724622, 0.738312, 0.761372, 0.777619, 0.79614, 0.816427");
-            values ( \
-              "0.0067885, 0.0236202, 0.0330476, 0.056909, 0.141113, 0.177062, 0.19334, 0.203794, 0.209922, 0.213568, 0.215818, 0.215952, 0.212753, 0.206393, 0.192485, 0.109406, 0.0696093, 0.0448822, 0.0348998, 0.0246437, 0.0135852, 0.00879475, 0.00538415, 0.00317679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.563191, 0.589707, 0.638628, 0.646478, 0.66218, 0.687974, 0.702256, 0.721884, 0.72932, 0.743675, 0.752778, 0.767549, 0.780757, 0.793205, 0.807432, 0.812416, 0.822386, 0.844323, 0.909849, 0.942291, 0.968172, 0.98795, 1.00406, 1.02267, 1.04895, 1.07599, 1.09666, 1.13801, 1.21417, 1.30436");
-            values ( \
-              "0.055267, 0.0565332, 0.139381, 0.150961, 0.173315, 0.203432, 0.216795, 0.231666, 0.23634, 0.243223, 0.24632, 0.249361, 0.249985, 0.248857, 0.245202, 0.243177, 0.237757, 0.218182, 0.130115, 0.0921173, 0.067918, 0.0530696, 0.0431514, 0.0337445, 0.0236128, 0.0162889, 0.0121982, 0.0067241, 0.00202434, 0.000465928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.685373, 0.766899, 0.852247, 0.882045, 0.929547, 0.965336, 0.988746, 1.01372, 1.03688, 1.06849, 1.10116, 1.14452, 1.1634, 1.19423, 1.22573, 1.27218, 1.32723, 1.43955, 1.49226, 1.54548, 1.58923, 1.62795, 1.67958, 1.7228, 1.78037, 1.85712, 1.9757, 2.09427, 2.21285");
-            values ( \
-              "0.0173496, 0.0642113, 0.141584, 0.165536, 0.199636, 0.220838, 0.23246, 0.242823, 0.25075, 0.259196, 0.265213, 0.268971, 0.269053, 0.26701, 0.2615, 0.244804, 0.211734, 0.133235, 0.101458, 0.0751391, 0.0578917, 0.0455803, 0.0327156, 0.0247232, 0.016899, 0.00996536, 0.00429859, 0.00178453, 0.000802496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.07403, 1.2455, 1.35978, 1.4481, 1.52232, 1.62217, 1.67642, 1.73926, 1.81209, 1.87756, 1.99614, 2.0429, 2.09411, 2.14678, 2.25213, 2.2919, 2.36764, 2.61113, 2.72595, 2.84176, 2.93677, 3.02087, 3.13301, 3.22717, 3.35259, 3.47117, 3.58974, 3.70832, 3.8269, 4.06406, 4.30121");
-            values ( \
-              "0.0708925, 0.104902, 0.1544, 0.187827, 0.212122, 0.239031, 0.25071, 0.261656, 0.270968, 0.276418, 0.279719, 0.278611, 0.275702, 0.27048, 0.251517, 0.241168, 0.21848, 0.137769, 0.104785, 0.077544, 0.0597273, 0.047033, 0.0337817, 0.0255089, 0.0174278, 0.0120342, 0.00833888, 0.00571105, 0.00395877, 0.00187622, 0.00089218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.76112, 0.7827, 0.791212, 0.799905, 0.818356, 0.828096, 0.84114, 0.849094, 0.85661, 0.864039, 0.871457, 0.883125, 0.891249, 0.902695, 0.914527, 0.924276, 0.941386, 0.954759");
-            values ( \
-              "0.00307784, 0.00906992, 0.0142154, 0.0233338, 0.0532347, 0.0657542, 0.079313, 0.0853324, 0.0889883, 0.0876864, 0.0728205, 0.0388025, 0.024887, 0.0127072, 0.00625449, 0.00364695, 0.0017486, 0.00138372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.7808, 0.808458, 0.815836, 0.818575, 0.824053, 0.831114, 0.833542, 0.838398, 0.85358, 0.861836, 0.875083, 0.880961, 0.892962, 0.903849, 0.914221, 0.924595, 0.926752, 0.932398, 0.943158, 0.950414, 0.95505, 0.95996, 0.964744, 0.972915, 0.978188, 0.984207, 0.991515, 0.997806, 1.00072, 1.00654, 1.01408, 1.02565, 1.03567, 1.04639, 1.05745, 1.05971");
-            values ( \
-              "0.0034419, 0.0116601, 0.0163645, 0.0185082, 0.023569, 0.0320438, 0.0356566, 0.0438228, 0.0728109, 0.0867069, 0.107008, 0.115275, 0.130157, 0.139807, 0.143833, 0.137931, 0.13365, 0.118848, 0.0856295, 0.0671349, 0.0569272, 0.0474545, 0.0394389, 0.0283189, 0.0227397, 0.0176555, 0.0129437, 0.009961, 0.00888169, 0.00714682, 0.00544887, 0.00358584, 0.0024801, 0.00166598, 0.00111778, 0.00105096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.842257, 0.881631, 0.889602, 0.905324, 0.945752, 0.971875, 0.983304, 0.994088, 1.00185, 1.01117, 1.01872, 1.02775, 1.03431, 1.04211, 1.05024, 1.08751, 1.09828, 1.11504, 1.1221, 1.13397, 1.14753, 1.16003, 1.17926, 1.1993, 1.21575, 1.24473");
-            values ( \
-              "0.00553854, 0.0279939, 0.0361868, 0.0583834, 0.127236, 0.164987, 0.17815, 0.187994, 0.19327, 0.19794, 0.199659, 0.19927, 0.196222, 0.188312, 0.17422, 0.0926983, 0.0739439, 0.0505086, 0.0427736, 0.0320819, 0.0228101, 0.0165854, 0.010066, 0.00592022, 0.00385612, 0.00220057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.944789, 1.00208, 1.01278, 1.03143, 1.0724, 1.09801, 1.11849, 1.144, 1.16419, 1.17083, 1.18389, 1.19323, 1.20345, 1.21585, 1.22873, 1.23843, 1.2482, 1.26366, 1.28062, 1.31851, 1.34707, 1.37124, 1.38252, 1.40381, 1.42451, 1.44151, 1.45995, 1.48612, 1.49747, 1.51262, 1.53282, 1.57322, 1.64942, 1.73908");
-            values ( \
-              "0.0108574, 0.0408919, 0.0516745, 0.0748381, 0.132259, 0.164546, 0.187667, 0.211504, 0.226145, 0.230057, 0.23625, 0.239521, 0.241992, 0.243495, 0.243119, 0.241686, 0.238962, 0.230935, 0.215816, 0.167099, 0.128872, 0.100137, 0.0883304, 0.0687541, 0.0531556, 0.0427538, 0.0335324, 0.0235049, 0.0201314, 0.0163427, 0.0123374, 0.00689771, 0.00208705, 0.000479984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.15086, 1.21487, 1.30157, 1.33281, 1.38351, 1.43821, 1.48872, 1.51939, 1.55307, 1.58484, 1.61523, 1.66859, 1.67769, 1.6959, 1.73231, 1.769, 1.86547, 1.91979, 1.95256, 2.00154, 2.04279, 2.07713, 2.11566, 2.17014, 2.22554, 2.26778, 2.35227, 2.47084, 2.58942, 2.708");
-            values ( \
-              "0.044007, 0.0598941, 0.138453, 0.163808, 0.20021, 0.230723, 0.250255, 0.258554, 0.264874, 0.268141, 0.268824, 0.263447, 0.26139, 0.256216, 0.240567, 0.218533, 0.150876, 0.115488, 0.097048, 0.0734567, 0.057313, 0.0463701, 0.0363546, 0.0254569, 0.0176802, 0.0133346, 0.00742713, 0.0031794, 0.00133722, 0.000578715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.51132, 1.65633, 1.7998, 1.89679, 2.00524, 2.09287, 2.14539, 2.1913, 2.26364, 2.32953, 2.44811, 2.49481, 2.54603, 2.59872, 2.7041, 2.74384, 2.81957, 3.06306, 3.17788, 3.29368, 3.3887, 3.4728, 3.58493, 3.6791, 3.7209, 3.80451, 3.92309, 4.04167, 4.16024, 4.27882, 4.51598, 4.75313");
-            values ( \
-              "0.0663135, 0.0861989, 0.14965, 0.186802, 0.221314, 0.243405, 0.254027, 0.261742, 0.270981, 0.276472, 0.27975, 0.278638, 0.275733, 0.270495, 0.251525, 0.241173, 0.218491, 0.137765, 0.10478, 0.0775395, 0.0597329, 0.0470382, 0.0337766, 0.0255139, 0.0224431, 0.0174328, 0.0120295, 0.00834372, 0.00570632, 0.00396351, 0.00188089, 0.000896775" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00998812, 0.0100154, 0.0100483, 0.010077, 0.0100957, 0.0101059", \
-            "0.0123183, 0.0123448, 0.0123824, 0.0124214, 0.0124505, 0.0124677", \
-            "0.0137459, 0.0137609, 0.0137871, 0.0138206, 0.0138508, 0.0138705", \
-            "0.0145684, 0.0145641, 0.0145629, 0.0145698, 0.0145821, 0.0145936", \
-            "0.0150344, 0.0150115, 0.0149791, 0.0149472, 0.0149276, 0.0149201", \
-            "0.0153105, 0.015286, 0.0152457, 0.0151889, 0.0151302, 0.0150895" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0146074, 0.0146111, 0.014626, 0.0146475, 0.0146655, 0.0146764", \
-            "0.0153349, 0.0152928, 0.0152437, 0.015202, 0.0151749, 0.0151601", \
-            "0.0147816, 0.0147134, 0.0146172, 0.0145158, 0.0144367, 0.0143889", \
-            "0.0143851, 0.0142845, 0.014145, 0.0139995, 0.013884, 0.0138104", \
-            "0.0147066, 0.0144645, 0.0141945, 0.0139251, 0.0137208, 0.0135968", \
-            "0.0150506, 0.0150843, 0.0149949, 0.0142978, 0.0138634, 0.0136212" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.112241, 0.12369, 0.137767, 0.151112, 0.157834, 0.163954, 0.169697, 0.175287, 0.181101, 0.190395, 0.196688, 0.202546, 0.206944, 0.210478, 0.217546, 0.225014, 0.234633, 0.312905");
-            values ( \
-              "-0.00986953, -0.029431, -0.0661028, -0.0919687, -0.102941, -0.111241, -0.116773, -0.118111, -0.106392, -0.0562143, -0.0298591, -0.0153794, -0.00920851, -0.00605793, -0.0025502, -0.00104811, -0.000388766, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.127878, 0.142265, 0.1486, 0.161645, 0.176003, 0.187436, 0.197412, 0.204255, 0.210949, 0.219024, 0.223485, 0.230566, 0.246429, 0.253274, 0.260093, 0.265298, 0.271253, 0.275927, 0.285276, 0.296359, 0.327917, 0.3684");
-            values ( \
-              "-0.00298652, -0.0379282, -0.0507178, -0.0863259, -0.11783, -0.139537, -0.155437, -0.164697, -0.17168, -0.176254, -0.175029, -0.16202, -0.0825713, -0.0538767, -0.0337924, -0.0231587, -0.0149067, -0.0104787, -0.00500361, -0.00208283, -0.000393138, -0.000288475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.1725, 0.188481, 0.189505, 0.194628, 0.200776, 0.204248, 0.211361, 0.214939, 0.221407, 0.229256, 0.239292, 0.247448, 0.255488, 0.26424, 0.27122, 0.276942, 0.284937, 0.291662, 0.294731, 0.297801, 0.312601, 0.313863, 0.316386, 0.317648, 0.320018, 0.322388, 0.324759, 0.327129, 0.33013, 0.333131, 0.336132, 0.339133, 0.340877, 0.342622, 0.34611, 0.349598, 0.354536, 0.36029, 0.367385, 0.372693, 0.376344, 0.380506, 0.384084, 0.386128, 0.389341, 0.392554, 0.397136, 0.399428, 0.401719, 0.405306");
-            values ( \
-              "-0.0335691, -0.0490734, -0.0507253, -0.0594829, -0.0709811, -0.078068, -0.0940793, -0.101526, -0.114069, -0.12812, -0.145106, -0.158099, -0.170184, -0.182584, -0.191808, -0.198625, -0.207484, -0.214278, -0.216805, -0.219106, -0.227483, -0.227496, -0.227246, -0.226985, -0.226247, -0.225188, -0.223806, -0.222103, -0.219113, -0.215429, -0.21105, -0.205976, -0.201751, -0.197048, -0.184697, -0.171899, -0.152318, -0.130131, -0.103833, -0.0873581, -0.0771977, -0.0663184, -0.0577247, -0.0532879, -0.04739, -0.0420113, -0.0353188, -0.0323084, -0.0295217, -0.0255906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.265494, 0.297605, 0.305418, 0.313231, 0.317497, 0.327212, 0.338725, 0.3483, 0.359171, 0.374991, 0.389108, 0.406734, 0.416973, 0.433845, 0.440914, 0.449398, 0.460015, 0.467804, 0.483622, 0.49062, 0.497618, 0.507958, 0.518298, 0.52591, 0.529396, 0.532883, 0.53637, 0.539856, 0.543302, 0.546748, 0.550194, 0.55364, 0.560532, 0.567423, 0.574315, 0.581207, 0.583594, 0.588369, 0.612241, 0.621592, 0.631846, 0.641823, 0.64941, 0.660212, 0.665569, 0.669854, 0.678425, 0.686996, 0.697919, 0.708049");
-            values ( \
-              "-0.0632741, -0.065504, -0.074755, -0.0844232, -0.0898931, -0.103099, -0.117651, -0.129107, -0.141619, -0.159077, -0.17395, -0.19136, -0.200591, -0.214782, -0.220401, -0.226795, -0.234334, -0.239585, -0.249556, -0.253381, -0.256852, -0.261138, -0.265036, -0.267658, -0.267896, -0.267807, -0.26739, -0.266645, -0.265586, -0.264208, -0.262509, -0.26049, -0.255484, -0.249193, -0.241616, -0.232754, -0.228778, -0.218161, -0.161592, -0.140309, -0.118327, -0.100412, -0.0883471, -0.0721529, -0.0650105, -0.0598433, -0.0511752, -0.0434944, -0.0352889, -0.0282972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.443447, 0.50738, 0.682564, 0.757912, 0.822614, 0.878651, 0.939681, 0.997723, 1.05515, 1.11252, 1.19954, 1.24545, 1.29639, 1.32413, 1.36305, 1.40331, 1.43413, 1.49576, 1.60825, 1.72959");
-            values ( \
-              "-0.0463882, -0.0576013, -0.169872, -0.21033, -0.241071, -0.26324, -0.281505, -0.291428, -0.287911, -0.250704, -0.146404, -0.102121, -0.0661393, -0.0516896, -0.0361212, -0.0248342, -0.0185521, -0.0101077, -0.00300087, -0.000776817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.792194, 0.995766, 1.36576, 1.49122, 1.65429, 1.79672, 1.92771, 2.04905, 2.10171, 2.15788, 2.21095, 2.28171, 2.5423, 2.65129, 2.76062, 2.84596, 2.93446, 3.00221, 3.12355, 3.30251");
-            values ( \
-              "-0.0153845, -0.0579015, -0.173982, -0.208737, -0.247924, -0.275346, -0.29381, -0.303375, -0.304044, -0.301135, -0.292733, -0.267532, -0.12428, -0.0823274, -0.0530615, -0.0371089, -0.0254909, -0.0190114, -0.0110773, -0.00563838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.12768, 0.145189, 0.152202, 0.169637, 0.182497, 0.188241, 0.193831, 0.199645, 0.215227, 0.221089, 0.229036, 0.236118, 0.237786");
-            values ( \
-              "-0.00052623, -0.0363778, -0.0571514, -0.0921651, -0.111415, -0.116596, -0.11826, -0.106348, -0.0298797, -0.0153835, -0.00604813, -0.00254162, -0.00220706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.147642, 0.194716, 0.206125, 0.221113, 0.229694, 0.237958, 0.242206, 0.249563, 0.272306, 0.282451, 0.288464, 0.301053, 0.307221");
-            values ( \
-              "-0.00657295, -0.118365, -0.139777, -0.162606, -0.171845, -0.176392, -0.174817, -0.161207, -0.052766, -0.0259377, -0.0167624, -0.00638289, -0.00426145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.194218, 0.207513, 0.213012, 0.21851, 0.22559, 0.232671, 0.236514, 0.240356, 0.244199, 0.251884, 0.260262, 0.271248, 0.28117, 0.290026, 0.295741, 0.302808, 0.30744, 0.312854, 0.316729, 0.319313, 0.324479, 0.328178, 0.331522, 0.333349, 0.335175, 0.337002, 0.338827, 0.340632, 0.342438, 0.344243, 0.346049, 0.349602, 0.353157, 0.356712, 0.360265, 0.361612, 0.364307, 0.372126, 0.379413, 0.385271, 0.389109, 0.394868, 0.39764, 0.402478, 0.405515, 0.407032, 0.411588, 0.413889, 0.418489, 0.42474");
-            values ( \
-              "-0.0494769, -0.0498398, -0.0587193, -0.0688732, -0.0837117, -0.0991114, -0.106752, -0.114132, -0.121114, -0.134571, -0.148453, -0.165564, -0.179864, -0.191686, -0.198502, -0.206387, -0.211107, -0.216134, -0.219224, -0.220926, -0.224036, -0.226021, -0.227643, -0.227823, -0.227753, -0.227433, -0.226861, -0.22605, -0.224995, -0.223694, -0.222148, -0.218366, -0.213619, -0.207908, -0.201233, -0.197857, -0.188475, -0.158473, -0.129507, -0.107714, -0.0950283, -0.0782916, -0.071064, -0.0593369, -0.0525078, -0.049668, -0.0418353, -0.0384725, -0.0321335, -0.0243463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.255757, 0.321206, 0.378679, 0.425183, 0.453982, 0.491395, 0.521546, 0.544653, 0.572495, 0.600399, 0.655492, 0.678521, 0.701504, 0.726879, 0.741857, 0.771813, 0.820315, 0.874711");
-            values ( \
-              "-0.00356917, -0.070726, -0.142601, -0.190885, -0.215868, -0.242383, -0.258819, -0.266539, -0.264825, -0.232757, -0.110065, -0.0733792, -0.0477125, -0.0289894, -0.0214572, -0.0114775, -0.00385404, -0.00112329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.415421, 0.490801, 0.525752, 0.569326, 0.681587, 0.765882, 0.831721, 0.898171, 0.951382, 0.974932, 1.00633, 1.01729, 1.0392, 1.07438, 1.13173, 1.19901, 1.24554, 1.27552, 1.30015, 1.32822, 1.36565, 1.39015, 1.41496, 1.44804, 1.51419, 1.62515, 1.7465");
-            values ( \
-              "-0.010321, -0.0378931, -0.0566711, -0.0836652, -0.157673, -0.204212, -0.236277, -0.263079, -0.27946, -0.284935, -0.290127, -0.291238, -0.292059, -0.287666, -0.251048, -0.168976, -0.119286, -0.0932135, -0.0756357, -0.0591569, -0.0422315, -0.033578, -0.0266503, -0.0195661, -0.0101076, -0.00311857, -0.000756487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.816122, 1.01604, 1.09265, 1.27097, 1.40116, 1.51145, 1.67454, 1.81696, 1.85912, 1.94795, 2.06929, 2.12193, 2.17808, 2.19581, 2.23127, 2.3022, 2.47348, 2.56297, 2.61018, 2.67164, 2.71971, 2.78073, 2.86612, 2.95483, 3.02275, 3.1441, 3.26544, 3.38679, 3.62947");
-            values ( \
-              "-0.0167199, -0.0579128, -0.0811777, -0.139624, -0.178356, -0.208745, -0.247933, -0.275353, -0.282089, -0.293815, -0.303374, -0.304046, -0.301141, -0.299084, -0.292715, -0.267415, -0.168921, -0.124086, -0.104239, -0.0822942, -0.0680435, -0.05309, -0.0371201, -0.0254765, -0.0189858, -0.011062, -0.00640371, -0.00369632, -0.00122639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.174079, 0.176104, 0.180655, 0.181561, 0.183374, 0.18639, 0.188234, 0.195255, 0.201553, 0.204973, 0.211813, 0.214463, 0.219414, 0.222247, 0.225527, 0.231276, 0.236864, 0.242445, 0.242678, 0.244078, 0.245945, 0.251972, 0.253867, 0.256291, 0.258266, 0.260673, 0.264124, 0.265872, 0.268522, 0.272056, 0.279125, 0.286552, 0.286943");
-            values ( \
-              "-0.0163489, -0.0181181, -0.0211704, -0.0239356, -0.0262373, -0.0321559, -0.0365299, -0.0567182, -0.0708085, -0.0776178, -0.090472, -0.0950724, -0.10298, -0.106994, -0.111255, -0.116745, -0.118127, -0.107321, -0.10639, -0.100186, -0.0903128, -0.05622, -0.0470022, -0.0367981, -0.0298569, -0.0228971, -0.0153776, -0.0125572, -0.00920792, -0.0060574, -0.00254949, -0.00105271, -0.00102581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.198345, 0.21025, 0.213053, 0.215856, 0.218659, 0.223204, 0.230021, 0.233618, 0.23759, 0.24045, 0.243309, 0.246168, 0.249028, 0.251526, 0.254023, 0.256521, 0.260735, 0.265885, 0.268128, 0.269237, 0.271454, 0.273603, 0.276723, 0.277705, 0.278688, 0.27967, 0.280653, 0.281766, 0.282878, 0.283991, 0.285103, 0.28689, 0.288677, 0.290464, 0.292251, 0.292673, 0.293937, 0.29731, 0.306434, 0.308152, 0.311109, 0.31397, 0.315956, 0.318021, 0.320772, 0.322993, 0.324298, 0.325602, 0.326906, 0.329078");
-            values ( \
-              "-0.0467172, -0.0512364, -0.058542, -0.0669179, -0.0747843, -0.0864571, -0.102027, -0.109955, -0.118484, -0.124183, -0.12965, -0.134887, -0.139893, -0.144077, -0.148084, -0.151915, -0.157962, -0.164685, -0.1674, -0.168621, -0.170884, -0.172851, -0.175309, -0.175576, -0.175695, -0.175665, -0.175487, -0.175106, -0.174535, -0.173774, -0.172823, -0.170685, -0.167936, -0.164575, -0.160602, -0.159328, -0.155137, -0.139123, -0.090344, -0.0815693, -0.0684991, -0.0572656, -0.0499254, -0.0430193, -0.0357429, -0.0306558, -0.0279637, -0.025466, -0.0231627, -0.0197388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.235252, 0.25031, 0.254941, 0.259571, 0.278963, 0.283154, 0.291537, 0.300965, 0.31112, 0.314639, 0.322704, 0.329788, 0.333643, 0.341223, 0.350471, 0.351768, 0.353707, 0.359524, 0.362797, 0.366069, 0.369341, 0.374359, 0.37785, 0.380602, 0.383354, 0.384726, 0.386098, 0.38747, 0.388842, 0.391586, 0.39433, 0.397074, 0.399818, 0.402063, 0.404309, 0.408354, 0.4124, 0.41951, 0.428221, 0.432363, 0.434435, 0.438577, 0.441902, 0.44702, 0.449228, 0.451436, 0.455853, 0.458061, 0.461586, 0.467275");
-            values ( \
-              "-0.0383714, -0.049356, -0.0570367, -0.065457, -0.105944, -0.114135, -0.129211, -0.145045, -0.161114, -0.166467, -0.178121, -0.187739, -0.192692, -0.201589, -0.211668, -0.213008, -0.214648, -0.219076, -0.221202, -0.223121, -0.224833, -0.227055, -0.227325, -0.227257, -0.226941, -0.226333, -0.22555, -0.224591, -0.223457, -0.220661, -0.217163, -0.212963, -0.20806, -0.202715, -0.196625, -0.182389, -0.167565, -0.139571, -0.106849, -0.0934573, -0.0872254, -0.075648, -0.0672309, -0.0551746, -0.0507241, -0.0466002, -0.0395545, -0.036355, -0.0317621, -0.0251717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.302549, 0.360805, 0.42017, 0.478344, 0.508575, 0.545129, 0.559273, 0.580757, 0.601184, 0.615294, 0.643153, 0.684039, 0.712557, 0.734527, 0.763217, 0.782865, 0.809062, 0.85754, 0.910903, 0.972656");
-            values ( \
-              "-0.00808788, -0.0669146, -0.14091, -0.200286, -0.224736, -0.248941, -0.256436, -0.264907, -0.267803, -0.26452, -0.233317, -0.138599, -0.0859555, -0.0575026, -0.0329732, -0.0222867, -0.0129543, -0.00436689, -0.00129396, -0.000339609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.512062, 0.570759, 0.746185, 0.819817, 0.890692, 0.941471, 0.989354, 1.0286, 1.06036, 1.11783, 1.17515, 1.26214, 1.30814, 1.35897, 1.42565, 1.49682, 1.55853, 1.67128, 1.79263");
-            values ( \
-              "-0.0551781, -0.0580697, -0.170439, -0.209974, -0.243441, -0.263324, -0.27821, -0.287077, -0.291381, -0.28789, -0.250678, -0.146429, -0.102075, -0.066159, -0.03612, -0.0185456, -0.0100861, -0.00299147, -0.000766847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.861974, 1.05959, 1.14091, 1.31601, 1.4446, 1.55498, 1.71806, 1.86048, 1.90264, 1.99147, 2.11281, 2.16545, 2.22159, 2.23933, 2.27481, 2.34578, 2.51692, 2.60661, 2.65378, 2.71519, 2.76318, 2.82422, 2.90963, 2.99838, 3.06636, 3.1877, 3.30904, 3.43039, 3.67308");
-            values ( \
-              "-0.017573, -0.0579264, -0.0827101, -0.140109, -0.17835, -0.208735, -0.247922, -0.275342, -0.282101, -0.293805, -0.303386, -0.304047, -0.301143, -0.299084, -0.292711, -0.267383, -0.168967, -0.124035, -0.104211, -0.0822857, -0.0680584, -0.0530972, -0.0371234, -0.0254729, -0.0189788, -0.0110582, -0.00640115, -0.00369519, -0.00122616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.268056, 0.283317, 0.297082, 0.31026, 0.323083, 0.32882, 0.334406, 0.340216, 0.355805, 0.361655, 0.369565, 0.376611, 0.380792");
-            values ( \
-              "-0.000178805, -0.0306447, -0.0666272, -0.0922102, -0.111426, -0.116821, -0.118251, -0.106431, -0.0298407, -0.015375, -0.0060738, -0.00255975, -0.00170952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.290395, 0.299813, 0.302927, 0.307079, 0.328063, 0.346187, 0.362952, 0.369701, 0.377815, 0.382239, 0.389779, 0.393697, 0.406613, 0.411554, 0.417079, 0.421653, 0.428731, 0.433521, 0.443101, 0.454239, 0.464409, 0.485863, 0.526219");
-            values ( \
-              "-0.0235881, -0.0347644, -0.0412299, -0.0500937, -0.103976, -0.139588, -0.164685, -0.171724, -0.176293, -0.174929, -0.160541, -0.143926, -0.0758802, -0.0556168, -0.0382389, -0.0276739, -0.0163875, -0.0114841, -0.00532845, -0.00224984, -0.0010425, -0.000366302, -0.000326509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.327283, 0.3513, 0.358481, 0.379778, 0.395466, 0.419588, 0.429314, 0.439697, 0.456122, 0.463734, 0.47091, 0.482806, 0.490782, 0.500883, 0.519723, 0.534078, 0.542759, 0.547835, 0.557986, 0.563944, 0.573243, 0.585641, 0.607896, 0.63088, 0.655647");
-            values ( \
-              "-0.0174072, -0.0562734, -0.0695705, -0.113774, -0.141565, -0.178522, -0.191515, -0.203704, -0.218857, -0.223783, -0.226776, -0.226874, -0.220096, -0.197937, -0.125819, -0.0788967, -0.0575917, -0.0476483, -0.0319947, -0.0252719, -0.0173787, -0.0104204, -0.00391236, -0.00149673, -0.000585158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.427295, 0.461077, 0.470215, 0.481075, 0.491935, 0.505752, 0.517077, 0.534756, 0.54812, 0.565325, 0.575893, 0.584123, 0.592783, 0.600938, 0.609294, 0.617304, 0.626567, 0.634447, 0.642327, 0.649371, 0.656416, 0.666984, 0.677552, 0.684706, 0.688191, 0.691677, 0.695162, 0.698648, 0.702094, 0.705541, 0.708987, 0.712433, 0.719326, 0.726219, 0.733112, 0.740005, 0.742387, 0.747149, 0.770962, 0.780351, 0.790719, 0.800807, 0.808323, 0.819017, 0.824314, 0.828552, 0.837028, 0.845505, 0.856572, 0.866838");
-            values ( \
-              "-0.0698416, -0.0709979, -0.0822012, -0.0967739, -0.11085, -0.127605, -0.140641, -0.160159, -0.174207, -0.191228, -0.200717, -0.207781, -0.214903, -0.221283, -0.227539, -0.233288, -0.239601, -0.244702, -0.249556, -0.253391, -0.25687, -0.26119, -0.265175, -0.267681, -0.267919, -0.267829, -0.267411, -0.266666, -0.265607, -0.264227, -0.262527, -0.260506, -0.255497, -0.249202, -0.24162, -0.232753, -0.228788, -0.218198, -0.161757, -0.140382, -0.118169, -0.100086, -0.0881622, -0.0721489, -0.0650723, -0.0599487, -0.0513555, -0.0437298, -0.0353857, -0.0282838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.58923, 0.667367, 0.822457, 0.888775, 0.952836, 1.00403, 1.03834, 1.09954, 1.15741, 1.21483, 1.2722, 1.35922, 1.40512, 1.45607, 1.48381, 1.52272, 1.56298, 1.59379, 1.65542, 1.76769, 1.88903");
-            values ( \
-              "-0.027146, -0.057938, -0.158327, -0.195353, -0.227697, -0.250237, -0.263245, -0.281601, -0.291422, -0.287954, -0.250686, -0.146422, -0.102139, -0.0661298, -0.0516942, -0.036115, -0.0248351, -0.0185529, -0.0101097, -0.00300981, -0.000779938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.982059, 1.15553, 1.5291, 1.65087, 1.81399, 1.95642, 2.0874, 2.20875, 2.26139, 2.31755, 2.37069, 2.44156, 2.70226, 2.81105, 2.92024, 3.00561, 3.09423, 3.16209, 3.28343, 3.4638");
-            values ( \
-              "-0.0280944, -0.0579209, -0.175033, -0.20874, -0.247936, -0.275358, -0.29382, -0.303369, -0.304047, -0.301139, -0.292722, -0.26746, -0.12416, -0.0823065, -0.0530794, -0.0371154, -0.0254816, -0.0189958, -0.0110675, -0.00559062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.470603, 0.489415, 0.493491, 0.497636, 0.508357, 0.524419, 0.531899, 0.538579, 0.544758, 0.55015, 0.55408, 0.556792, 0.569087, 0.572478, 0.577992, 0.580647, 0.584993, 0.590788, 0.598057, 0.607482, 0.686415");
-            values ( \
-              "-0.00211642, -0.0194336, -0.024517, -0.0316151, -0.0541802, -0.0819591, -0.0934853, -0.102703, -0.109032, -0.111643, -0.108214, -0.10144, -0.0427284, -0.0303847, -0.0165861, -0.0122653, -0.00743773, -0.00375408, -0.0015991, -0.000559967, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.497265, 0.51089, 0.513772, 0.516654, 0.520393, 0.521639, 0.523326, 0.5267, 0.530916, 0.535399, 0.540013, 0.543731, 0.558752, 0.563364, 0.567596, 0.570183, 0.573714, 0.579083, 0.583082, 0.585291, 0.5875, 0.589675, 0.591851, 0.592878, 0.593905, 0.594933, 0.59596, 0.597064, 0.598169, 0.599274, 0.600378, 0.6025, 0.604623, 0.606745, 0.608867, 0.609318, 0.61067, 0.612814, 0.621075, 0.622666, 0.625317, 0.628218, 0.630762, 0.63299, 0.635422, 0.637694, 0.639156, 0.640618, 0.64208, 0.644736");
-            values ( \
-              "-0.016758, -0.0264375, -0.0303413, -0.0346601, -0.0408813, -0.0431103, -0.0465457, -0.0544293, -0.0649882, -0.0758409, -0.086167, -0.0940492, -0.124442, -0.133298, -0.14094, -0.145289, -0.150767, -0.158391, -0.163633, -0.166156, -0.168448, -0.170481, -0.17229, -0.172685, -0.172899, -0.172931, -0.17278, -0.172405, -0.171826, -0.171043, -0.170056, -0.167589, -0.16437, -0.160398, -0.155675, -0.154365, -0.148605, -0.138133, -0.093915, -0.0857373, -0.0735992, -0.0617654, -0.052324, -0.04451, -0.0378199, -0.0323715, -0.0292247, -0.0263314, -0.0236915, -0.0194782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.550332, 0.570237, 0.573964, 0.575278, 0.581848, 0.589732, 0.591577, 0.597112, 0.604493, 0.611874, 0.622023, 0.628929, 0.63973, 0.646437, 0.654292, 0.660703, 0.666702, 0.670525, 0.674349, 0.677637, 0.680926, 0.684215, 0.689241, 0.691846, 0.694098, 0.69825, 0.699629, 0.701008, 0.703766, 0.706524, 0.709282, 0.71204, 0.714798, 0.715914, 0.719263, 0.723316, 0.727369, 0.734488, 0.743194, 0.747329, 0.749396, 0.753531, 0.756869, 0.762058, 0.764296, 0.766534, 0.768773, 0.773249, 0.776767, 0.782296");
-            values ( \
-              "-0.0523626, -0.0557085, -0.0626491, -0.0653711, -0.0792243, -0.0963823, -0.100133, -0.110999, -0.124385, -0.137151, -0.153695, -0.164354, -0.179891, -0.188928, -0.198627, -0.205903, -0.212094, -0.215265, -0.218126, -0.220338, -0.222322, -0.224076, -0.226305, -0.226582, -0.226643, -0.22632, -0.225729, -0.224962, -0.2229, -0.220135, -0.216665, -0.212491, -0.207614, -0.205067, -0.196323, -0.182126, -0.167335, -0.139402, -0.10679, -0.0934425, -0.087219, -0.0756712, -0.0672627, -0.0550389, -0.0505573, -0.046404, -0.0427396, -0.0360744, -0.031523, -0.0251516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.629408, 0.66375, 0.6764, 0.717159, 0.746999, 0.773585, 0.793067, 0.810666, 0.843125, 0.872947, 0.893495, 0.901228, 0.915171, 0.92895, 0.956508, 0.998429, 1.01699, 1.03226, 1.04522, 1.06249, 1.07692, 1.0962, 1.1219, 1.17044, 1.22355, 1.28521");
-            values ( \
-              "-0.0304964, -0.0558332, -0.0692005, -0.121712, -0.1557, -0.183226, -0.201395, -0.216079, -0.2394, -0.256547, -0.264687, -0.266623, -0.267832, -0.264586, -0.233879, -0.13697, -0.101019, -0.0770852, -0.0607333, -0.0436344, -0.032879, -0.0223839, -0.0131684, -0.00441865, -0.00133222, -0.000335259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.830057, 0.898862, 1.05793, 1.14407, 1.21836, 1.25268, 1.31389, 1.37176, 1.42919, 1.48657, 1.57702, 1.61951, 1.67043, 1.73709, 1.80819, 1.86983, 1.98255, 2.10389");
-            values ( \
-              "-0.0610897, -0.0679325, -0.170596, -0.216359, -0.250188, -0.263168, -0.281574, -0.291368, -0.287945, -0.250654, -0.142593, -0.102133, -0.066127, -0.0361073, -0.0185564, -0.0100982, -0.00299729, -0.000767842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("1.22581, 1.37057, 1.75526, 1.86536, 2.02841, 2.17081, 2.30179, 2.42313, 2.4756, 2.53157, 2.58574, 2.65797, 2.92025, 3.04037, 3.18239, 3.29198, 3.36538, 3.48672, 3.60807, 3.66704");
-            values ( \
-              "-0.0449026, -0.0581824, -0.178485, -0.208793, -0.247965, -0.275376, -0.293832, -0.303402, -0.304065, -0.301187, -0.292577, -0.266479, -0.122545, -0.0776163, -0.0434868, -0.0273732, -0.019927, -0.0116193, -0.00672827, -0.0053467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.890564, 0.910335, 0.916894, 0.927247, 0.945679, 0.966845, 0.983282, 0.990772, 0.998246, 1.01877, 1.02565, 1.0353, 1.04339, 1.05149");
-            values ( \
-              "-0.00902629, -0.0136136, -0.0180607, -0.0285106, -0.0511615, -0.0728263, -0.0862367, -0.08922, -0.085529, -0.0211903, -0.010494, -0.00381213, -0.00166991, -0.001148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.91271, 0.9379, 0.943068, 0.951336, 0.963503, 0.99265, 1.02111, 1.03266, 1.04329, 1.04793, 1.05338, 1.0634, 1.08781, 1.09625, 1.10514, 1.11155, 1.12294, 1.13331, 1.15482, 1.21281");
-            values ( \
-              "-0.0046718, -0.0186969, -0.021966, -0.0289596, -0.0435277, -0.0853968, -0.12077, -0.133346, -0.143045, -0.14606, -0.147635, -0.13791, -0.0467065, -0.0270412, -0.0146504, -0.00923027, -0.00389895, -0.00180863, -0.000607984, -0.000141611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.968467, 1.00573, 1.018, 1.03074, 1.06643, 1.0935, 1.11733, 1.13288, 1.14475, 1.15992, 1.16739, 1.17667, 1.19226, 1.19819, 1.2159, 1.22634, 1.24085, 1.25032, 1.25595, 1.26967, 1.28534, 1.30783, 1.33159, 1.35703");
-            values ( \
-              "-0.00732783, -0.0281113, -0.0385761, -0.0529211, -0.104073, -0.139946, -0.169539, -0.186736, -0.19781, -0.208041, -0.210731, -0.210568, -0.191161, -0.173796, -0.111073, -0.0798686, -0.0478051, -0.0333938, -0.0268611, -0.0156158, -0.0081719, -0.00311217, -0.00119745, -0.000491523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("1.09313, 1.13639, 1.15725, 1.24026, 1.26617, 1.29469, 1.32773, 1.3579, 1.38038, 1.3985, 1.41433, 1.44234, 1.49713, 1.52041, 1.54488, 1.56908, 1.58308, 1.61108, 1.66016, 1.71404");
-            values ( \
-              "-0.0229907, -0.0454994, -0.0643293, -0.160491, -0.186737, -0.211931, -0.236257, -0.254058, -0.263183, -0.266191, -0.263186, -0.232482, -0.110746, -0.0736285, -0.046537, -0.0289448, -0.0218735, -0.0122216, -0.00405521, -0.00118857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("1.29246, 1.36464, 1.52149, 1.60112, 1.67066, 1.73709, 1.79828, 1.85621, 1.91365, 1.97103, 2.05804, 2.104, 2.15488, 2.22155, 2.29268, 2.35435, 2.43394");
-            values ( \
-              "-0.0354582, -0.0566654, -0.158245, -0.202323, -0.236326, -0.263116, -0.281443, -0.291353, -0.287859, -0.25067, -0.146412, -0.102099, -0.0661432, -0.0361152, -0.0185543, -0.010092, -0.00508132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("1.72721, 1.85886, 2.10537, 2.23768, 2.34737, 2.41556, 2.51043, 2.65284, 2.69496, 2.78382, 2.90516, 2.95766, 3.01367, 3.03166, 3.06764, 3.13961, 3.30735, 3.40158, 3.46783, 3.52233, 3.58506, 3.6687, 3.72024, 3.77243, 3.84203, 3.96337, 4.08471, 4.20606, 4.44875");
-            values ( \
-              "-0.0591291, -0.0599627, -0.139202, -0.178572, -0.208797, -0.226099, -0.247972, -0.275384, -0.282102, -0.293841, -0.303383, -0.304062, -0.301177, -0.299095, -0.292604, -0.266671, -0.170017, -0.122858, -0.0959286, -0.0776393, -0.0604125, -0.0427067, -0.0343878, -0.0275543, -0.0204008, -0.0118996, -0.00689223, -0.00398068, -0.00132125" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0094806, 0.00949924, 0.00952182, 0.00954176, 0.00955503, 0.00956232", \
-            "0.0110687, 0.0110812, 0.0110992, 0.0111179, 0.0111322, 0.0111407", \
-            "0.0120107, 0.0120153, 0.012024, 0.012036, 0.0120469, 0.0120544", \
-            "0.012579, 0.0125774, 0.0125768, 0.0125782, 0.0125819, 0.0125858", \
-            "0.01288, 0.012876, 0.0128745, 0.0128678, 0.0128627, 0.0128606", \
-            "0.0130593, 0.013054, 0.013045, 0.0130329, 0.0130208, 0.0130124" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0130771, 0.0130878, 0.0131019, 0.0131147, 0.0131263, 0.0131334", \
-            "0.0143952, 0.0143863, 0.0143783, 0.0143731, 0.0143698, 0.0143703", \
-            "0.0152295, 0.0151943, 0.0151511, 0.0151128, 0.0150878, 0.0150742", \
-            "0.0159141, 0.0158512, 0.0157704, 0.0156935, 0.0156348, 0.015598", \
-            "0.0165436, 0.0164297, 0.016263, 0.0161143, 0.0160039, 0.0159356", \
-            "0.0169916, 0.0168813, 0.0166946, 0.0164452, 0.0162292, 0.0160995" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0238695, 0.0328457, 0.0521924, 0.0948847, 0.190232, 0.403912", \
-            "0.0284333, 0.0374914, 0.05698, 0.0998179, 0.195045, 0.40898", \
-            "0.0351354, 0.0473799, 0.0680981, 0.111042, 0.206569, 0.420387", \
-            "0.039826, 0.058131, 0.0881466, 0.136403, 0.231731, 0.445912", \
-            "0.0373689, 0.0637315, 0.108542, 0.179381, 0.289467, 0.502983", \
-            "0.016377, 0.0533872, 0.116946, 0.221028, 0.383961, 0.631733" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.015565, 0.0268491, 0.0522997, 0.109532, 0.237813, 0.52572", \
-            "0.0169419, 0.0271654, 0.0523701, 0.109468, 0.237832, 0.52572", \
-            "0.0251517, 0.0341132, 0.05495, 0.109494, 0.237735, 0.525586", \
-            "0.0390973, 0.0520169, 0.073998, 0.118079, 0.237938, 0.525588", \
-            "0.0621244, 0.0805307, 0.111515, 0.161957, 0.259495, 0.525971", \
-            "0.103438, 0.128224, 0.171622, 0.243547, 0.356862, 0.575154" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230389, 0.0237713, 0.0245943, 0.0270864, 0.0282871, 0.0306407, 0.0325974, 0.0353831, 0.0391568, 0.040595, 0.0488286, 0.0513968, 0.0558564, 0.0593334, 0.0636888, 0.0683346, 0.0700637");
-            values ( \
-              "-0.130323, -0.228444, -0.248069, -0.272347, -0.277371, -0.279995, -0.278117, -0.270009, -0.242778, -0.226695, -0.0945945, -0.0664716, -0.0347636, -0.0205394, -0.010529, -0.00498509, -0.00413339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0235522, 0.0247974, 0.0259302, 0.028152, 0.0301894, 0.0321513, 0.0402006, 0.0442742, 0.0486969, 0.0523765, 0.0554668, 0.0616095, 0.0684785, 0.0740139, 0.0802059, 0.0861216, 0.0967102, 0.104654");
-            values ( \
-              "-0.143427, -0.329683, -0.347917, -0.363961, -0.368908, -0.369771, -0.359224, -0.348594, -0.328558, -0.303525, -0.272758, -0.19086, -0.115016, -0.0733301, -0.0432885, -0.0257022, -0.00975933, -0.00514587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.0243897, 0.0271651, 0.0284388, 0.0303898, 0.0322674, 0.0425695, 0.0554263, 0.0596454, 0.0636917, 0.071888, 0.076199, 0.0807974, 0.0844396, 0.100846, 0.109556, 0.117595, 0.123247, 0.133517, 0.141542, 0.150063, 0.156616, 0.169724, 0.193444, 0.221636");
-            values ( \
-              "-0.374075, -0.419196, -0.426908, -0.432063, -0.433701, -0.427399, -0.412796, -0.406373, -0.399196, -0.379107, -0.364104, -0.343298, -0.321175, -0.193245, -0.138217, -0.0994055, -0.0781337, -0.0498462, -0.0347283, -0.0236255, -0.017491, -0.00941584, -0.00275377, -0.000581638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0247088, 0.0280596, 0.0299574, 0.0322352, 0.0339789, 0.0406736, 0.0627471, 0.0890505, 0.0984381, 0.106401, 0.122326, 0.135006, 0.143297, 0.15988, 0.189778, 0.20582, 0.214863, 0.229722, 0.239591, 0.257072, 0.271879, 0.285332, 0.303268, 0.339142, 0.363457");
-            values ( \
-              "-0.414143, -0.460389, -0.467699, -0.470986, -0.471472, -0.469666, -0.45849, -0.441855, -0.434382, -0.427124, -0.408238, -0.386189, -0.365862, -0.305266, -0.187409, -0.138795, -0.116309, -0.0861195, -0.0703017, -0.0486994, -0.0354534, -0.0265398, -0.0179116, -0.00784497, -0.00513769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0247743, 0.028404, 0.0317954, 0.0378836, 0.120938, 0.164633, 0.200826, 0.240307, 0.267368, 0.281809, 0.310691, 0.366163, 0.410593, 0.4477, 0.473257, 0.519865, 0.556688, 0.596068, 0.6264, 0.687066, 0.756577, 0.770641");
-            values ( \
-              "-0.433072, -0.48084, -0.489635, -0.49118, -0.469933, -0.455761, -0.441417, -0.419033, -0.395901, -0.378448, -0.329271, -0.221353, -0.152184, -0.108989, -0.0858508, -0.0549565, -0.0382436, -0.0259237, -0.019136, -0.0102285, -0.00493271, -0.00454895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0247112, 0.0289165, 0.0334984, 0.0398727, 0.245552, 0.333387, 0.397558, 0.450959, 0.500716, 0.570227, 0.592519, 0.637103, 0.751222, 0.859971, 0.937251, 1.00676, 1.09096, 1.15977, 1.2348, 1.34106, 1.41057, 1.48009, 1.61911, 1.89715");
-            values ( \
-              "-0.442465, -0.492296, -0.500313, -0.500918, -0.475787, -0.462551, -0.450914, -0.439214, -0.425509, -0.397197, -0.384369, -0.350885, -0.247685, -0.165167, -0.121151, -0.0903934, -0.0630589, -0.0464914, -0.0332941, -0.0206185, -0.0151187, -0.0109286, -0.0057609, -0.00157132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0350034, 0.0395563, 0.0454562, 0.0509236, 0.0513538, 0.0530631, 0.0538829, 0.0551281, 0.0564139, 0.0591457, 0.0610365, 0.0650483, 0.0672952, 0.0699059, 0.0730451, 0.077109, 0.0796134, 0.0815364, 0.0853825, 0.0924726, 0.100812");
-            values ( \
-              "-0.00973796, -0.134359, -0.196244, -0.242613, -0.25388, -0.262831, -0.262685, -0.259753, -0.251978, -0.226256, -0.198146, -0.127413, -0.0953491, -0.0668868, -0.0423325, -0.022953, -0.0156778, -0.0118389, -0.00628304, -0.00196076, -0.000301261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0358266, 0.0421551, 0.0509049, 0.0522009, 0.053758, 0.0564742, 0.0587551, 0.0621744, 0.0643857, 0.0672621, 0.0716467, 0.0740022, 0.0829718, 0.0875725, 0.0932639, 0.100222, 0.104458, 0.111318, 0.120465, 0.132824, 0.148047");
-            values ( \
-              "-0.0173909, -0.214994, -0.322299, -0.347614, -0.356552, -0.360215, -0.35796, -0.350433, -0.342587, -0.328596, -0.297478, -0.273267, -0.156627, -0.110372, -0.0693067, -0.0380796, -0.0262278, -0.0141636, -0.0059373, -0.00165868, -0.000324729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.0360323, 0.0459602, 0.0529023, 0.0556693, 0.0579551, 0.0664385, 0.077913, 0.0906632, 0.095102, 0.100111, 0.129146, 0.142056, 0.152458, 0.168852, 0.186601");
-            values ( \
-              "-0.0236547, -0.308832, -0.414576, -0.426447, -0.428252, -0.422076, -0.407245, -0.379154, -0.363641, -0.340425, -0.134302, -0.0783311, -0.0496911, -0.0237103, -0.0107626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0361985, 0.0491583, 0.0518564, 0.0548621, 0.0567892, 0.0595841, 0.0671939, 0.0916951, 0.116793, 0.125309, 0.142497, 0.151301, 0.160691, 0.165131, 0.17105, 0.198013, 0.214147, 0.222178, 0.234958, 0.249086, 0.261672, 0.278454, 0.295267, 0.304387, 0.322628, 0.35911, 0.409241, 0.470775");
-            values ( \
-              "-0.0381082, -0.385382, -0.437065, -0.462461, -0.466881, -0.468508, -0.465989, -0.452591, -0.434843, -0.427133, -0.40641, -0.391465, -0.370067, -0.356988, -0.336211, -0.225878, -0.169234, -0.145473, -0.113385, -0.0851828, -0.0656852, -0.0460004, -0.0320889, -0.0263616, -0.0176665, -0.00761781, -0.00219753, -0.000433796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0359226, 0.0519503, 0.0552009, 0.0574562, 0.0607257, 0.0924978, 0.147357, 0.183357, 0.214676, 0.231817, 0.254672, 0.267625, 0.283334, 0.300536, 0.334942, 0.376738, 0.407108, 0.422851, 0.446791, 0.47415, 0.498297, 0.526882, 0.564995, 0.591457, 0.632168, 0.68645, 0.755961, 0.825472, 0.894983");
-            values ( \
-              "-0.046425, -0.458433, -0.483518, -0.488157, -0.48966, -0.482669, -0.46759, -0.455832, -0.443507, -0.435345, -0.422041, -0.412719, -0.398762, -0.37844, -0.318476, -0.23621, -0.184418, -0.161146, -0.130441, -0.101505, -0.0809544, -0.0616207, -0.0424108, -0.032689, -0.0218051, -0.0125121, -0.00603862, -0.00290352, -0.00139496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.04395, 0.0656289, 0.264646, 0.352481, 0.416653, 0.470051, 0.51981, 0.589321, 0.611613, 0.656197, 0.770316, 0.835702, 0.879065, 0.956341, 1.02585, 1.11006, 1.17888, 1.25389, 1.36015, 1.42966, 1.49917, 1.63819, 1.91624");
-            values ( \
-              "-0.494536, -0.500281, -0.475766, -0.462526, -0.450939, -0.43924, -0.425482, -0.397225, -0.384341, -0.350916, -0.247716, -0.195249, -0.165199, -0.121121, -0.0904271, -0.0630255, -0.0465192, -0.0333253, -0.0206503, -0.0150883, -0.01096, -0.00579199, -0.00160208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0562769, 0.0778706, 0.0873546, 0.0913954, 0.0951797, 0.0989219, 0.103033, 0.108673, 0.111714, 0.11728, 0.119697, 0.122865, 0.127088, 0.133835, 0.141811");
-            values ( \
-              "-8.77656e-05, -0.120187, -0.156159, -0.168745, -0.177368, -0.173488, -0.144823, -0.082428, -0.0565254, -0.031772, -0.0226061, -0.0141531, -0.00732208, -0.00228413, -0.000557496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0619826, 0.0673491, 0.0724527, 0.0783639, 0.0854864, 0.0982569, 0.103681, 0.108811, 0.11261, 0.113939, 0.118606, 0.1241, 0.128199, 0.133292, 0.139923, 0.145912, 0.151034, 0.155736, 0.164852, 0.177535");
-            values ( \
-              "-0.0266787, -0.0830758, -0.123511, -0.160168, -0.198905, -0.260888, -0.281423, -0.291933, -0.284193, -0.286983, -0.23953, -0.166816, -0.122885, -0.0816241, -0.0465795, -0.0274989, -0.0176453, -0.0113337, -0.00490053, -0.00118225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0645646, 0.0721056, 0.0782309, 0.0860126, 0.0980288, 0.114464, 0.116254, 0.11876, 0.123288, 0.131008, 0.136634, 0.142032, 0.151395, 0.159236, 0.169693, 0.178118, 0.183936, 0.188793, 0.19442, 0.202393, 0.210715, 0.217095, 0.229854, 0.253278, 0.281025");
-            values ( \
-              "-0.0384997, -0.136729, -0.186318, -0.237967, -0.311497, -0.402357, -0.407582, -0.407032, -0.401379, -0.3835, -0.365103, -0.340424, -0.273316, -0.210793, -0.141861, -0.100523, -0.0784668, -0.0635815, -0.0495932, -0.0346334, -0.0237739, -0.0177452, -0.00972284, -0.00290042, -0.000634422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0663864, 0.0779921, 0.0874749, 0.114433, 0.117286, 0.12022, 0.128486, 0.141852, 0.166928, 0.17871, 0.185081, 0.197824, 0.204212, 0.21412, 0.236755, 0.24649, 0.259014, 0.268389, 0.278239, 0.291368, 0.303256, 0.319108, 0.332306, 0.350127, 0.373888, 0.41932, 0.472231");
-            values ( \
-              "-0.0566394, -0.198932, -0.269633, -0.449783, -0.459263, -0.459367, -0.455685, -0.447409, -0.427609, -0.414261, -0.405371, -0.382043, -0.365876, -0.332122, -0.23866, -0.201892, -0.16053, -0.134255, -0.11061, -0.0847657, -0.0663181, -0.0474127, -0.0357366, -0.024325, -0.0143592, -0.00482506, -0.00127853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.0667512, 0.0862873, 0.114569, 0.117652, 0.120246, 0.135823, 0.18991, 0.245097, 0.262966, 0.300345, 0.318985, 0.338868, 0.351147, 0.36752, 0.428755, 0.471613, 0.508045, 0.534121, 0.555533, 0.581478, 0.618126, 0.656832, 0.686571, 0.746049, 0.81556, 0.822929");
-            values ( \
-              "-0.0688394, -0.271544, -0.477045, -0.484166, -0.485437, -0.482474, -0.467579, -0.448581, -0.440896, -0.419986, -0.405322, -0.384025, -0.36649, -0.3377, -0.218899, -0.152436, -0.109848, -0.086123, -0.0703075, -0.0547334, -0.0381579, -0.0260392, -0.0193351, -0.0104746, -0.00504931, -0.0047714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0805001, 0.103609, 0.115128, 0.118839, 0.123481, 0.258073, 0.394594, 0.500896, 0.549949, 0.585253, 0.632324, 0.653604, 0.696166, 0.813684, 0.921758, 0.987152, 1.05666, 1.13182, 1.18551, 1.25502, 1.31712, 1.4237, 1.49321, 1.63223, 1.77125, 1.97979");
-            values ( \
-              "-0.399048, -0.407705, -0.487593, -0.498111, -0.498302, -0.482253, -0.462491, -0.441958, -0.429117, -0.417501, -0.396718, -0.384308, -0.352638, -0.246546, -0.164754, -0.12679, -0.0948335, -0.0687896, -0.0544219, -0.0399878, -0.0303361, -0.0187586, -0.0136727, -0.00724248, -0.00382707, -0.00146774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.10781, 0.112233, 0.116952, 0.12461, 0.131769, 0.132368, 0.139912, 0.147432, 0.15804, 0.16442, 0.170334, 0.176026, 0.181706, 0.184296, 0.189429, 0.192462, 0.196153, 0.197923, 0.201462, 0.204022, 0.205441, 0.208279, 0.213955, 0.223121, 0.234124, 0.249054, 0.250784, 0.252734");
-            values ( \
-              "-0.0123038, -0.015557, -0.0193843, -0.0319531, -0.0510331, -0.0519865, -0.0678577, -0.0812498, -0.0982687, -0.107174, -0.11406, -0.116774, -0.107238, -0.0950883, -0.0654872, -0.0496063, -0.0339567, -0.0279944, -0.0186089, -0.0137334, -0.01158, -0.00818493, -0.00395991, -0.0011492, -0.000329125, -0.000126086, -0.00201012, -0.00265729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.110442, 0.114731, 0.119743, 0.121778, 0.124754, 0.130813, 0.140496, 0.153748, 0.154204, 0.155116, 0.156939, 0.160586, 0.165037, 0.174409, 0.182847, 0.19067, 0.198253, 0.205818, 0.206217, 0.207015, 0.208611, 0.215952, 0.216923, 0.218866, 0.222751, 0.225704, 0.226498, 0.228085, 0.231261, 0.236756, 0.238858, 0.239665, 0.241278, 0.244506, 0.24917, 0.252661, 0.2565, 0.260376");
-            values ( \
-              "-0.0224582, -0.0274178, -0.0336653, -0.0386766, -0.0442312, -0.060644, -0.0914856, -0.121921, -0.123772, -0.125715, -0.129912, -0.137819, -0.147553, -0.166616, -0.182237, -0.193131, -0.195733, -0.173561, -0.172092, -0.167973, -0.157937, -0.108404, -0.102324, -0.0905956, -0.0698479, -0.0566003, -0.053437, -0.0475088, -0.0373084, -0.0240464, -0.0202867, -0.0189989, -0.0166493, -0.0127316, -0.00857678, -0.00955534, -0.0083869, -0.00600917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.106795, 0.133627, 0.148611, 0.172394, 0.187671, 0.20078, 0.212593, 0.223613, 0.234472, 0.245309, 0.261312, 0.267396, 0.278286, 0.289056, 0.299534, 0.30559, 0.317701, 0.339417, 0.364885");
-            values ( \
-              "-0.00108381, -0.0811473, -0.130966, -0.196509, -0.236074, -0.267116, -0.291564, -0.30682, -0.302154, -0.255385, -0.153416, -0.120254, -0.0758704, -0.0473121, -0.0295047, -0.0223842, -0.012697, -0.00422892, -0.00109932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.118635, 0.12475, 0.135826, 0.146041, 0.155654, 0.174786, 0.193937, 0.202775, 0.220451, 0.233461, 0.243752, 0.249166, 0.25291, 0.257016, 0.261118, 0.269323, 0.278877, 0.282454, 0.289608, 0.298016, 0.314833, 0.325459, 0.331543, 0.341015, 0.353105, 0.356014, 0.361832, 0.371846, 0.385122, 0.389198, 0.397349, 0.413652, 0.426389, 0.4311, 0.440522, 0.459366, 0.497054, 0.51098");
-            values ( \
-              "-0.050259, -0.0646369, -0.0954584, -0.130118, -0.163891, -0.223069, -0.280453, -0.305657, -0.355163, -0.388874, -0.413234, -0.425258, -0.430408, -0.430815, -0.426981, -0.418328, -0.40545, -0.399745, -0.386478, -0.365828, -0.304341, -0.259608, -0.235039, -0.19956, -0.159883, -0.151355, -0.135383, -0.111198, -0.0849704, -0.0781634, -0.0660117, -0.0467284, -0.0355706, -0.0321571, -0.0262365, -0.0173513, -0.0072426, -0.00581239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.124153, 0.15377, 0.16899, 0.177997, 0.195943, 0.206464, 0.227508, 0.242979, 0.248568, 0.250478, 0.252302, 0.25431, 0.258326, 0.270806, 0.282858, 0.294539, 0.306563, 0.318859, 0.343452, 0.355915, 0.374652, 0.394521, 0.433619, 0.440895, 0.450598, 0.466166, 0.485611, 0.5245, 0.552003, 0.561876, 0.570506, 0.582013, 0.601771, 0.6274, 0.634256, 0.647967, 0.67411, 0.710907, 0.723723, 0.727504, 0.735065, 0.750188, 0.780433, 0.840923, 0.910435, 0.979946, 1.04946");
-            values ( \
-              "-0.0596299, -0.164894, -0.216689, -0.246372, -0.304009, -0.337143, -0.401982, -0.448002, -0.464147, -0.470436, -0.473817, -0.474591, -0.474577, -0.471161, -0.467586, -0.463977, -0.460057, -0.45583, -0.44638, -0.440874, -0.431419, -0.419028, -0.381821, -0.371632, -0.355931, -0.326879, -0.287802, -0.214046, -0.170017, -0.156102, -0.144713, -0.130614, -0.109102, -0.0858988, -0.0805162, -0.0706906, -0.0549219, -0.0382407, -0.033701, -0.032477, -0.030135, -0.025947, -0.0191628, -0.0102694, -0.00494597, -0.00238194, -0.00113897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.124395, 0.174637, 0.211773, 0.24881, 0.254946, 0.258777, 0.331132, 0.408879, 0.488474, 0.557985, 0.59477, 0.643816, 0.679152, 0.726266, 0.747483, 0.789916, 0.907649, 0.974996, 1.01568, 1.04359, 1.08081, 1.15032, 1.18542, 1.22598, 1.28006, 1.34957, 1.39249, 1.44708, 1.51659, 1.5861, 1.65561, 1.72512, 1.86414, 2.07268");
-            values ( \
-              "-0.0579477, -0.238914, -0.363156, -0.481221, -0.493238, -0.493438, -0.484973, -0.474614, -0.462534, -0.449871, -0.441922, -0.429155, -0.417523, -0.396655, -0.384336, -0.352718, -0.24645, -0.192721, -0.164706, -0.147493, -0.126918, -0.0949044, -0.0817697, -0.0686933, -0.0542724, -0.0398564, -0.0329334, -0.0257986, -0.0188516, -0.0137248, -0.01001, -0.00726618, -0.00383566, -0.00148276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.228262, 0.251207, 0.252784, 0.254362, 0.25594, 0.257517, 0.260717, 0.263916, 0.266049, 0.270315, 0.272448, 0.27656, 0.282336, 0.28673, 0.291125, 0.296814, 0.299658, 0.302503, 0.307584, 0.312665, 0.317345, 0.322026, 0.324259, 0.326492, 0.328726, 0.330959, 0.333189, 0.335418, 0.337648, 0.339877, 0.341544, 0.343211, 0.344877, 0.346544, 0.347361, 0.348177, 0.349811, 0.351913, 0.353315, 0.354717, 0.359373, 0.360964, 0.361494, 0.362555, 0.363616, 0.364677, 0.365738, 0.368383, 0.369725, 0.371107");
-            values ( \
-              "-0.0191215, -0.0203845, -0.0216932, -0.0230613, -0.0244889, -0.0259758, -0.02924, -0.032322, -0.03423, -0.0379135, -0.0396889, -0.0430055, -0.0475584, -0.0508493, -0.0540239, -0.0579512, -0.059849, -0.0617029, -0.0648746, -0.0679677, -0.0707473, -0.0734602, -0.0739197, -0.074096, -0.073989, -0.0735986, -0.0729265, -0.071972, -0.0707352, -0.0692161, -0.0671671, -0.0647307, -0.061907, -0.058696, -0.0568866, -0.0549635, -0.0507763, -0.0445712, -0.0407296, -0.0370656, -0.0260055, -0.0227302, -0.0217231, -0.0199308, -0.0182446, -0.0166646, -0.0151907, -0.0120419, -0.0105515, -0.00909257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.232718, 0.258074, 0.259756, 0.268341, 0.275511, 0.281974, 0.286599, 0.28907, 0.294011, 0.298333, 0.302656, 0.306978, 0.311301, 0.314973, 0.318645, 0.322317, 0.325989, 0.335854, 0.342174, 0.348239, 0.351272, 0.354172, 0.357072, 0.359973, 0.362873, 0.365768, 0.368663, 0.371558, 0.374453, 0.374557, 0.376018, 0.37727, 0.378522, 0.380192, 0.382665, 0.384303, 0.385941, 0.391324, 0.39382, 0.395068, 0.397213, 0.399904, 0.402598, 0.404394, 0.40619, 0.407892, 0.409594, 0.411297, 0.412999, 0.416074");
-            values ( \
-              "-0.0353478, -0.0357315, -0.0376356, -0.048221, -0.0569612, -0.06431, -0.0693435, -0.0719654, -0.0770992, -0.0814395, -0.0856876, -0.0898437, -0.0939077, -0.0972877, -0.100601, -0.103848, -0.107029, -0.115182, -0.120327, -0.125204, -0.127622, -0.127626, -0.127441, -0.127066, -0.126502, -0.125751, -0.124812, -0.123683, -0.122367, -0.122259, -0.119336, -0.116431, -0.11307, -0.108258, -0.100692, -0.0954163, -0.0899412, -0.0711438, -0.0632253, -0.0594526, -0.0533039, -0.0460074, -0.0389871, -0.0351637, -0.0316249, -0.0285825, -0.0257628, -0.0231659, -0.0207918, -0.0170155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.2407, 0.270592, 0.27523, 0.281473, 0.286137, 0.291318, 0.294771, 0.301679, 0.309352, 0.317791, 0.322011, 0.327926, 0.333841, 0.339757, 0.345672, 0.350735, 0.360862, 0.365925, 0.400798, 0.404826, 0.408853, 0.412881, 0.416908, 0.420926, 0.424944, 0.428962, 0.43298, 0.433514, 0.434581, 0.436181, 0.437781, 0.439915, 0.440981, 0.455406, 0.457537, 0.460094, 0.462739, 0.465385, 0.468803, 0.472665, 0.475765, 0.477536, 0.480907, 0.482592, 0.484278, 0.486649, 0.489021, 0.491393, 0.493764, 0.497657");
-            values ( \
-              "-0.0585695, -0.0595187, -0.0660193, -0.0751552, -0.0817856, -0.0888644, -0.0933331, -0.1021, -0.11154, -0.121633, -0.126583, -0.133396, -0.140095, -0.14668, -0.15315, -0.158598, -0.169242, -0.174439, -0.208692, -0.20765, -0.206198, -0.204337, -0.202068, -0.199397, -0.196319, -0.192835, -0.188943, -0.188184, -0.185853, -0.181189, -0.176092, -0.169016, -0.165416, -0.114436, -0.107108, -0.0986835, -0.0908322, -0.083369, -0.0744433, -0.0647985, -0.0575006, -0.0536214, -0.0474774, -0.0446101, -0.0418794, -0.0383194, -0.0349953, -0.0319072, -0.0290549, -0.0248559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.206535, 0.249316, 0.259544, 0.276103, 0.349909, 0.400461, 0.429456, 0.455418, 0.479515, 0.503048, 0.526517, 0.551064, 0.577048, 0.599849, 0.611527, 0.627097, 0.640424, 0.660035, 0.686182, 0.689388");
-            values ( \
-              "-0.0161006, -0.0417459, -0.0528454, -0.0735362, -0.176157, -0.239253, -0.272158, -0.298264, -0.315566, -0.315332, -0.269419, -0.189973, -0.119331, -0.0751307, -0.058919, -0.0422978, -0.031791, -0.0207829, -0.011579, -0.0110445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.247381, 0.286148, 0.371098, 0.434671, 0.483993, 0.526316, 0.550086, 0.557189, 0.564535, 0.603149, 0.633759, 0.644681, 0.666261, 0.715427, 0.763787, 0.805623, 0.834206, 0.860116, 0.894663, 0.923377, 0.962157, 1.01386, 1.08337, 1.15289, 1.2224");
-            values ( \
-              "-0.0770943, -0.0944362, -0.21788, -0.305167, -0.368357, -0.417767, -0.441491, -0.442927, -0.441157, -0.419003, -0.392067, -0.378439, -0.343114, -0.246179, -0.165537, -0.11402, -0.087364, -0.0683283, -0.0488334, -0.0368163, -0.0250686, -0.0148077, -0.00715264, -0.00344291, -0.0016524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.274775, 0.343578, 0.44425, 0.549736, 0.557854, 0.568628, 0.695849, 0.802148, 0.851199, 0.886513, 0.933598, 0.954858, 0.997377, 1.11497, 1.18223, 1.22303, 1.28834, 1.35785, 1.43316, 1.48698, 1.55649, 1.61827, 1.72463, 1.79414, 1.93316, 2.07219, 2.28072");
-            values ( \
-              "-0.145659, -0.183562, -0.331535, -0.47748, -0.48126, -0.481517, -0.462428, -0.44199, -0.42909, -0.41748, -0.396724, -0.384294, -0.352688, -0.246532, -0.192793, -0.164755, -0.126818, -0.0948694, -0.0687693, -0.054366, -0.0399559, -0.0303455, -0.0187814, -0.0136969, -0.00725726, -0.00383674, -0.00146601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.393155, 0.448042, 0.462357, 0.477481, 0.496359, 0.503683, 0.518331, 0.532766, 0.566145, 0.597455, 0.618924, 0.635527, 0.647661, 0.654862, 0.669549, 0.680613, 0.698623, 0.708122, 0.71626, 0.721305, 0.729029, 0.739328, 0.759917, 0.785367, 0.820801, 0.836989");
-            values ( \
-              "-0.000747495, -0.00233832, -0.00330785, -0.00451551, -0.00648906, -0.0075555, -0.0102233, -0.0144792, -0.0257479, -0.0345488, -0.0395519, -0.0426829, -0.0443513, -0.0449245, -0.0441129, -0.0376207, -0.0174207, -0.0101252, -0.00618293, -0.00461489, -0.00305211, -0.00188875, -0.00093264, -0.000558476, -0.000296204, -0.000225212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.399664, 0.45336, 0.470024, 0.490474, 0.509424, 0.515886, 0.52881, 0.544748, 0.579148, 0.605637, 0.625416, 0.661623, 0.680876, 0.699217, 0.717357, 0.730946, 0.752813, 0.76139, 0.768451, 0.776708, 0.789727, 0.807086, 0.831031, 0.839435");
-            values ( \
-              "-0.00163662, -0.00478277, -0.00683829, -0.00997584, -0.0138029, -0.0154814, -0.01939, -0.0260163, -0.0422964, -0.0535657, -0.0612984, -0.0736149, -0.0787891, -0.0819516, -0.0803964, -0.0680138, -0.0343527, -0.0239909, -0.0174157, -0.0118173, -0.00630383, -0.00267018, -0.000874492, -0.000731852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.450882, 0.493624, 0.50784, 0.529906, 0.552513, 0.608297, 0.628337, 0.66557, 0.696995, 0.725016, 0.750808, 0.77542, 0.799987, 0.818407, 0.840943, 0.858909, 0.869798, 0.883218, 0.891484, 0.908017, 0.938986, 0.974871, 1.01967, 1.21597");
-            values ( \
-              "-0.0148239, -0.0160817, -0.0198036, -0.0272226, -0.0372214, -0.0688523, -0.0794004, -0.0979822, -0.112107, -0.123395, -0.131912, -0.136894, -0.13195, -0.108528, -0.0685906, -0.0426156, -0.0307692, -0.0202058, -0.0154818, -0.00876059, -0.00268765, -0.00062543, -0.00015732, -1.62747e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.449597, 0.519677, 0.536768, 0.572585, 0.656809, 0.692982, 0.745079, 0.789463, 0.82909, 0.865638, 0.900694, 0.936518, 0.948393, 0.989169, 1.01738, 1.04901, 1.0646, 1.08531, 1.11292, 1.16519, 1.21593, 1.23331, 1.26217");
-            values ( \
-              "-0.0121152, -0.0301674, -0.0372994, -0.0552639, -0.107337, -0.128001, -0.156076, -0.178036, -0.195663, -0.208735, -0.214854, -0.197902, -0.18156, -0.111716, -0.0720688, -0.0415145, -0.0311272, -0.0209885, -0.0120618, -0.0037225, -0.00115007, -0.00180364, -0.00114836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.518376, 0.597358, 0.666373, 0.761081, 0.865027, 0.934597, 0.99187, 1.04512, 1.09682, 1.14836, 1.21587, 1.26052, 1.30331, 1.32347, 1.34826, 1.39706, 1.42565, 1.48282, 1.55233, 1.5807");
-            values ( \
-              "-0.0692094, -0.0758608, -0.120843, -0.179317, -0.239596, -0.276557, -0.303091, -0.321622, -0.323009, -0.277365, -0.175661, -0.120217, -0.0807574, -0.0666578, -0.0524517, -0.0324406, -0.0244024, -0.0135866, -0.00655769, -0.00516946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.517662, 0.615687, 0.808673, 0.991842, 1.08893, 1.15462, 1.21551, 1.22752, 1.23945, 1.27638, 1.32482, 1.36829, 1.41646, 1.48597, 1.5951, 1.68972, 1.7667, 1.83477, 1.8955, 1.94983, 2.01934, 2.07953, 2.18481, 2.25432, 2.39334, 2.53236, 2.7409");
-            values ( \
-              "-0.0606016, -0.0915842, -0.217457, -0.331575, -0.387371, -0.421705, -0.449458, -0.449403, -0.447488, -0.438986, -0.425485, -0.409511, -0.384369, -0.329165, -0.231044, -0.161591, -0.118469, -0.0890198, -0.0686186, -0.0540868, -0.0397825, -0.0303966, -0.0189012, -0.0138112, -0.00732485, -0.00387913, -0.00146291" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.023891, 0.0328613, 0.0521982, 0.0948805, 0.190218, 0.403905", \
-            "0.0284606, 0.0375177, 0.0569701, 0.0998142, 0.195243, 0.408975", \
-            "0.0350941, 0.0474294, 0.0681231, 0.111053, 0.206608, 0.420431", \
-            "0.0398481, 0.0581854, 0.0885947, 0.136748, 0.23218, 0.446148", \
-            "0.0372932, 0.0636389, 0.108401, 0.17976, 0.289759, 0.503352", \
-            "0.0160945, 0.0530614, 0.1166, 0.220693, 0.383737, 0.631723" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0155397, 0.0268144, 0.0522924, 0.109567, 0.237878, 0.525902", \
-            "0.0169284, 0.0271247, 0.0522961, 0.109565, 0.237878, 0.525901", \
-            "0.0251855, 0.0340552, 0.054845, 0.109573, 0.237878, 0.525612", \
-            "0.0390829, 0.0518487, 0.073369, 0.117803, 0.238059, 0.525832", \
-            "0.0620731, 0.0803971, 0.111301, 0.161338, 0.2594, 0.526256", \
-            "0.103248, 0.127939, 0.171174, 0.242965, 0.356057, 0.574944" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0233623, 0.0253151, 0.0259199, 0.0283324, 0.0306848, 0.0327605, 0.0354221, 0.039156, 0.0406263, 0.0488421, 0.0513638, 0.0544939, 0.0585369, 0.0617093, 0.065695, 0.0695641, 0.0705326");
-            values ( \
-              "-0.252337, -0.25765, -0.264505, -0.277597, -0.280268, -0.278311, -0.270564, -0.243156, -0.227015, -0.094668, -0.0671688, -0.0426197, -0.0231202, -0.0141713, -0.00756851, -0.00395425, -0.00365732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0242153, 0.032202, 0.0361921, 0.0435511, 0.0460547, 0.050193, 0.0534282, 0.055843, 0.0630029, 0.0679289, 0.0720922, 0.0795016, 0.0856088, 0.0934757, 0.101867, 0.105006");
-            values ( \
-              "-0.36784, -0.370081, -0.366718, -0.351035, -0.342058, -0.319994, -0.295097, -0.268779, -0.173575, -0.120163, -0.0860995, -0.045989, -0.0268113, -0.0132263, -0.00596401, -0.00518674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.0236614, 0.0261136, 0.0284785, 0.0323053, 0.0374433, 0.0555674, 0.0636969, 0.0721737, 0.0796295, 0.0847658, 0.108936, 0.120137, 0.130206, 0.143091, 0.156517, 0.17186, 0.172332");
-            values ( \
-              "-0.130754, -0.408243, -0.427025, -0.434145, -0.432445, -0.412876, -0.399417, -0.378076, -0.349188, -0.318896, -0.141809, -0.0893171, -0.0577862, -0.0324331, -0.0175476, -0.00845351, -0.00837699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0247715, 0.0281228, 0.0301478, 0.0328772, 0.0360164, 0.0541344, 0.0660208, 0.0826448, 0.0977105, 0.118938, 0.133246, 0.14331, 0.16293, 0.187107, 0.20673, 0.216935, 0.234601, 0.243028, 0.259883, 0.276687, 0.304085, 0.33774, 0.364677");
-            values ( \
-              "-0.415556, -0.46029, -0.46834, -0.471767, -0.472068, -0.463846, -0.457065, -0.446473, -0.435096, -0.412894, -0.389852, -0.365642, -0.292783, -0.196768, -0.136606, -0.111643, -0.0779524, -0.0656122, -0.0457437, -0.0318974, -0.0175235, -0.00825779, -0.00503332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0248402, 0.0283047, 0.0301244, 0.0345259, 0.0546735, 0.0934399, 0.164643, 0.201707, 0.22046, 0.240317, 0.279419, 0.286696, 0.31197, 0.370315, 0.397825, 0.416343, 0.447625, 0.473263, 0.493844, 0.520008, 0.55684, 0.596209, 0.626531, 0.687175, 0.756633, 0.895549");
-            values ( \
-              "-0.434239, -0.479781, -0.486942, -0.491916, -0.488228, -0.47771, -0.455692, -0.440736, -0.431457, -0.418896, -0.381866, -0.371585, -0.326829, -0.21399, -0.169961, -0.144645, -0.109035, -0.0858396, -0.0706332, -0.0548703, -0.0381949, -0.0258979, -0.0191174, -0.010233, -0.00493896, -0.00114464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.024765, 0.0281496, 0.0351168, 0.193386, 0.333389, 0.415347, 0.484805, 0.532679, 0.592417, 0.661875, 0.76419, 0.870555, 0.959615, 1.01634, 1.11946, 1.18892, 1.25895, 1.371, 1.44046, 1.63609");
-            values ( \
-              "-0.443252, -0.488226, -0.5016, -0.482758, -0.462548, -0.447391, -0.430204, -0.414158, -0.384425, -0.32918, -0.236871, -0.158573, -0.110553, -0.086938, -0.0557477, -0.040893, -0.0300455, -0.0181478, -0.0131282, -0.00563427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0329143, 0.0440524, 0.050944, 0.0514022, 0.0534239, 0.0549375, 0.0585059, 0.0605659, 0.066951, 0.0697257, 0.0733073, 0.0780183, 0.0818822, 0.0848311, 0.0881617");
-            values ( \
-              "-0.00251714, -0.183431, -0.241933, -0.254612, -0.263561, -0.261013, -0.234261, -0.207054, -0.100702, -0.0690184, -0.0411093, -0.0202102, -0.0110937, -0.00688119, -0.00440598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0373299, 0.0400603, 0.0413507, 0.052252, 0.053835, 0.056666, 0.063029, 0.066503, 0.0705054, 0.0745014, 0.0852649, 0.0905891, 0.095952, 0.102945, 0.110641, 0.117334, 0.118974");
-            values ( \
-              "-0.0360786, -0.179759, -0.201753, -0.347352, -0.356564, -0.360533, -0.348223, -0.333832, -0.308261, -0.268509, -0.132817, -0.0870707, -0.0555097, -0.030093, -0.0151329, -0.00813009, -0.00757562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.0375199, 0.0424758, 0.0526827, 0.0553746, 0.0586798, 0.0704404, 0.0824433, 0.0909196, 0.098349, 0.103563, 0.126866, 0.139055, 0.148757, 0.161687, 0.175805, 0.191217");
-            values ( \
-              "-0.0121378, -0.254572, -0.41282, -0.42613, -0.428542, -0.418048, -0.399448, -0.378094, -0.34932, -0.318533, -0.146453, -0.0886535, -0.0582753, -0.0326472, -0.0171126, -0.00831411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0376236, 0.0456926, 0.0529733, 0.0558437, 0.0596476, 0.0713509, 0.0850589, 0.116618, 0.125322, 0.137691, 0.152153, 0.162219, 0.181839, 0.198193, 0.212077, 0.222999, 0.237955, 0.250148, 0.260311, 0.272172, 0.28898, 0.306631, 0.320178, 0.347274, 0.395471, 0.444404");
-            values ( \
-              "-0.0362346, -0.331304, -0.451426, -0.465606, -0.4689, -0.46466, -0.456912, -0.435, -0.427075, -0.413, -0.38976, -0.36574, -0.292687, -0.225643, -0.176294, -0.143602, -0.107112, -0.0836367, -0.0678196, -0.0528484, -0.0368772, -0.0252379, -0.0187866, -0.0102465, -0.00317572, -0.000972434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0415632, 0.0527234, 0.0551413, 0.0572283, 0.0612156, 0.0718558, 0.123529, 0.183843, 0.220908, 0.259518, 0.298619, 0.305897, 0.331171, 0.389515, 0.417026, 0.435544, 0.466825, 0.492463, 0.513044, 0.539207, 0.576038, 0.615407, 0.645727, 0.706368, 0.775827, 0.792583");
-            values ( \
-              "-0.300126, -0.465671, -0.482605, -0.488049, -0.490221, -0.488739, -0.474604, -0.455792, -0.440836, -0.418996, -0.381766, -0.371586, -0.326829, -0.213992, -0.169962, -0.144645, -0.109035, -0.0858406, -0.0706345, -0.0548708, -0.038196, -0.0258991, -0.019118, -0.0102341, -0.00493903, -0.00448132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.0409069, 0.0525484, 0.0567948, 0.0635103, 0.268108, 0.378839, 0.43441, 0.503868, 0.55182, 0.611506, 0.680964, 0.790373, 0.900191, 0.961497, 1.02983, 1.09084, 1.14513, 1.21459, 1.27477, 1.37997, 1.44943, 1.6578, 1.67273");
-            values ( \
-              "-0.264006, -0.476653, -0.497532, -0.500845, -0.475201, -0.457973, -0.44733, -0.430278, -0.414207, -0.384354, -0.329253, -0.230876, -0.152067, -0.118609, -0.0890226, -0.0685365, -0.0540424, -0.0397523, -0.0303863, -0.0189063, -0.0138095, -0.00530934, -0.00510334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0602781, 0.0640677, 0.0651696, 0.0705778, 0.0779184, 0.0874352, 0.0914763, 0.0952655, 0.099012, 0.103111, 0.108498, 0.111532, 0.112695, 0.114518, 0.117376, 0.119825, 0.122786, 0.126734, 0.130962");
-            values ( \
-              "-0.0339345, -0.0450441, -0.0506234, -0.0857868, -0.119097, -0.155674, -0.168695, -0.176953, -0.173499, -0.145005, -0.0850471, -0.0586844, -0.0504459, -0.0449592, -0.0319174, -0.0226366, -0.0145585, -0.00781243, -0.0041509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0613641, 0.0675893, 0.0719559, 0.0744297, 0.0762936, 0.0783721, 0.0804506, 0.0835766, 0.0856635, 0.0890649, 0.0924663, 0.0954195, 0.0983726, 0.0997874, 0.101248, 0.102538, 0.103183, 0.103829, 0.104937, 0.106045, 0.107154, 0.108262, 0.10931, 0.110358, 0.111259, 0.112436, 0.112985, 0.11387, 0.114055, 0.114547, 0.115286, 0.116423, 0.117314, 0.122777, 0.12374, 0.125667, 0.127307, 0.129775, 0.130664, 0.132434, 0.133966, 0.135499, 0.136831, 0.138163, 0.139581, 0.141, 0.142013, 0.144038, 0.145496, 0.146832");
-            values ( \
-              "-0.0103919, -0.0842646, -0.119571, -0.136175, -0.147664, -0.159783, -0.171508, -0.188645, -0.199753, -0.21685, -0.2334, -0.247324, -0.260834, -0.266854, -0.273619, -0.278496, -0.28067, -0.282667, -0.285685, -0.288182, -0.290157, -0.291612, -0.290991, -0.289942, -0.28796, -0.285974, -0.28535, -0.284953, -0.284519, -0.28195, -0.276337, -0.265235, -0.255348, -0.18434, -0.172645, -0.150493, -0.133102, -0.109076, -0.101642, -0.0883447, -0.078113, -0.0685405, -0.0608155, -0.0539509, -0.0479154, -0.0424172, -0.0390278, -0.032657, -0.0284077, -0.0247618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0563438, 0.0981421, 0.113818, 0.116326, 0.118816, 0.12161, 0.128567, 0.132935, 0.140163, 0.145972, 0.165104, 0.171377, 0.181549, 0.187088, 0.195559, 0.206092, 0.212205, 0.224431, 0.242711");
-            values ( \
-              "-0.00455778, -0.311499, -0.401287, -0.40835, -0.408004, -0.404405, -0.390391, -0.378165, -0.350323, -0.31573, -0.169606, -0.132576, -0.0868184, -0.068425, -0.047115, -0.0292852, -0.0221568, -0.0124931, -0.00540504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0670277, 0.078171, 0.0847643, 0.09954, 0.112606, 0.113768, 0.116134, 0.117586, 0.12049, 0.133772, 0.150327, 0.158654, 0.167378, 0.179228, 0.185154, 0.1942, 0.204274, 0.223894, 0.240249, 0.254135, 0.265052, 0.270694, 0.280007, 0.292201, 0.302365, 0.314226, 0.331033, 0.338521, 0.348678, 0.362222, 0.389309, 0.43735, 0.485895");
-            values ( \
-              "-0.0671917, -0.198843, -0.249585, -0.351434, -0.436758, -0.450482, -0.458387, -0.459865, -0.460213, -0.452901, -0.441587, -0.435021, -0.427076, -0.413675, -0.405264, -0.389781, -0.365735, -0.292698, -0.225647, -0.176279, -0.143613, -0.128779, -0.107125, -0.083635, -0.0678151, -0.0528557, -0.0368744, -0.0314074, -0.0252377, -0.0187961, -0.0102468, -0.00319663, -0.000984469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.0767465, 0.0975617, 0.114656, 0.117639, 0.120273, 0.176872, 0.2259, 0.262966, 0.301576, 0.340677, 0.347955, 0.373229, 0.431573, 0.459083, 0.477601, 0.508882, 0.53452, 0.5551, 0.581263, 0.618094, 0.657459, 0.687778, 0.748415, 0.817873, 0.956789");
-            values ( \
-              "-0.302322, -0.352978, -0.478544, -0.485087, -0.486309, -0.471381, -0.455781, -0.440825, -0.418984, -0.381779, -0.371586, -0.32683, -0.213993, -0.169963, -0.144647, -0.109036, -0.0858415, -0.0706355, -0.0548722, -0.0381969, -0.0259004, -0.0191196, -0.0102352, -0.00493982, -0.00114472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0836545, 0.112298, 0.116005, 0.123664, 0.308384, 0.394759, 0.501168, 0.550436, 0.584811, 0.630644, 0.653772, 0.700027, 0.811337, 0.875192, 0.920651, 1.00215, 1.07047, 1.13545, 1.18881, 1.25827, 1.35006, 1.4182, 1.48765, 1.62657, 1.76549, 1.97386");
-            values ( \
-              "-0.440663, -0.469393, -0.495068, -0.499096, -0.475503, -0.462481, -0.4419, -0.429017, -0.41772, -0.397661, -0.384301, -0.349491, -0.248696, -0.19721, -0.165575, -0.119295, -0.0894997, -0.0677296, -0.053671, -0.0394248, -0.0261805, -0.0192565, -0.0140258, -0.00743229, -0.00392698, -0.00152222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.107948, 0.11632, 0.120706, 0.12455, 0.136057, 0.150909, 0.157976, 0.164354, 0.170267, 0.175958, 0.181499, 0.192606, 0.196444, 0.201659, 0.207039, 0.210886, 0.216706, 0.223913, 0.248966, 0.251049, 0.253795, 0.255772");
-            values ( \
-              "-0.0126155, -0.0187316, -0.0252258, -0.0321877, -0.0605692, -0.0871939, -0.0981847, -0.107317, -0.113964, -0.116891, -0.107558, -0.0486319, -0.0327497, -0.0181136, -0.0094579, -0.00584478, -0.00272933, -0.00107719, -0.000108851, -0.00213186, -0.00268268, -0.00233381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.112838, 0.121951, 0.124637, 0.127322, 0.130008, 0.130888, 0.133528, 0.136168, 0.1405, 0.146998, 0.152916, 0.159582, 0.164998, 0.169681, 0.175385, 0.179528, 0.183704, 0.186483, 0.187513, 0.188543, 0.190603, 0.192443, 0.193363, 0.194283, 0.195592, 0.196246, 0.197208, 0.198132, 0.199363, 0.200594, 0.201464, 0.202334, 0.204075, 0.205053, 0.206031, 0.207988, 0.209945, 0.213651, 0.218517, 0.220868, 0.224066, 0.226403, 0.227039, 0.228945, 0.230217, 0.231488, 0.232759, 0.23403, 0.236753, 0.239584");
-            values ( \
-              "-0.0255718, -0.038979, -0.045028, -0.0516127, -0.0587332, -0.0614161, -0.0704361, -0.0790136, -0.0911639, -0.107291, -0.121314, -0.136228, -0.147851, -0.157573, -0.168971, -0.176776, -0.184057, -0.188534, -0.19001, -0.191398, -0.193914, -0.195458, -0.196032, -0.196473, -0.196329, -0.196102, -0.195579, -0.194866, -0.192995, -0.190524, -0.188417, -0.186009, -0.180295, -0.175998, -0.17121, -0.159405, -0.147134, -0.122174, -0.0908642, -0.078048, -0.0627549, -0.0523063, -0.0498226, -0.042975, -0.0391399, -0.035556, -0.0322233, -0.029142, -0.0234679, -0.0182778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.116603, 0.14814, 0.177919, 0.19384, 0.205938, 0.218736, 0.224004, 0.233133, 0.238051, 0.244608, 0.262502, 0.270219, 0.279408, 0.290082, 0.299946, 0.305418, 0.316362, 0.336908, 0.343703");
-            values ( \
-              "-0.0289668, -0.129298, -0.211319, -0.251585, -0.278993, -0.302062, -0.307619, -0.305601, -0.294165, -0.261359, -0.147866, -0.108059, -0.0730636, -0.0456889, -0.0292704, -0.022819, -0.0137192, -0.00495213, -0.00395658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.12451, 0.140664, 0.15656, 0.175389, 0.201717, 0.218752, 0.234338, 0.248925, 0.252579, 0.256902, 0.269871, 0.278995, 0.285638, 0.294496, 0.298132, 0.305405, 0.332468, 0.34778, 0.354437, 0.36503, 0.374125, 0.386086, 0.395797, 0.407762, 0.424648, 0.432239, 0.442595, 0.456403, 0.484019, 0.53154, 0.580152");
-            values ( \
-              "-0.0707024, -0.112826, -0.167658, -0.226102, -0.304101, -0.351583, -0.391911, -0.425499, -0.430796, -0.431213, -0.417776, -0.405363, -0.394356, -0.375459, -0.365776, -0.341919, -0.231519, -0.176578, -0.155936, -0.127273, -0.106283, -0.0833865, -0.0682529, -0.0530701, -0.0369753, -0.0314237, -0.0251432, -0.0186081, -0.0100234, -0.00316886, -0.000979074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.124324, 0.154618, 0.194278, 0.219903, 0.24874, 0.250909, 0.252255, 0.254948, 0.258855, 0.264788, 0.283481, 0.315162, 0.342044, 0.356535, 0.385519, 0.395159, 0.414441, 0.436638, 0.479176, 0.515114, 0.545664, 0.55965, 0.580291, 0.600804, 0.627603, 0.650249, 0.675928, 0.71239, 0.728535, 0.750343, 0.77942, 0.837574, 0.907032, 0.976491, 1.04595");
-            values ( \
-              "-0.0523917, -0.167078, -0.298579, -0.378701, -0.464313, -0.472623, -0.474103, -0.47521, -0.474671, -0.473086, -0.467547, -0.457302, -0.447156, -0.440846, -0.425388, -0.41897, -0.403563, -0.378394, -0.302413, -0.232267, -0.180768, -0.160349, -0.13365, -0.110935, -0.086403, -0.0697152, -0.0544093, -0.0380077, -0.0324271, -0.0261378, -0.0195391, -0.0107515, -0.00518931, -0.00250452, -0.00120234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.14277, 0.174994, 0.25063, 0.253796, 0.257138, 0.408832, 0.47829, 0.538914, 0.570416, 0.633419, 0.69931, 0.747456, 0.816914, 0.926188, 1.02075, 1.09764, 1.1658, 1.22663, 1.28095, 1.35041, 1.41071, 1.51598, 1.58544, 1.72436, 1.86328, 2.07165");
-            values ( \
-              "-0.221662, -0.241462, -0.488612, -0.493595, -0.493794, -0.474552, -0.464123, -0.453586, -0.447304, -0.432113, -0.409514, -0.384319, -0.329213, -0.230944, -0.161555, -0.118483, -0.0890064, -0.0685816, -0.0540553, -0.0397744, -0.030375, -0.0188897, -0.0138107, -0.00733142, -0.00388709, -0.00146662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.226209, 0.248393, 0.251669, 0.253498, 0.255327, 0.257156, 0.259635, 0.263353, 0.266659, 0.270792, 0.272858, 0.277675, 0.282184, 0.288843, 0.293908, 0.299598, 0.302443, 0.307524, 0.310064, 0.314944, 0.317284, 0.321963, 0.324196, 0.32643, 0.328663, 0.330896, 0.332867, 0.334838, 0.336809, 0.33878, 0.339751, 0.340722, 0.341693, 0.343528, 0.345362, 0.346415, 0.348522, 0.349575, 0.351929, 0.354667, 0.358194, 0.359209, 0.360224, 0.36124, 0.362255, 0.36327, 0.3653, 0.368063, 0.369465, 0.370906");
-            values ( \
-              "-0.0178216, -0.0182151, -0.0207926, -0.0223427, -0.0239727, -0.0256824, -0.0282544, -0.0318446, -0.034845, -0.038401, -0.0401087, -0.0439809, -0.0475228, -0.0524645, -0.0560347, -0.0598697, -0.0617221, -0.0649219, -0.0664699, -0.069346, -0.0706793, -0.0732571, -0.0735726, -0.0737714, -0.0738534, -0.0738186, -0.0736909, -0.0734722, -0.0731625, -0.0727618, -0.0720003, -0.0706479, -0.0691062, -0.0655428, -0.0615744, -0.0591128, -0.053789, -0.0509269, -0.0441435, -0.0368815, -0.028438, -0.0260856, -0.0239276, -0.0219327, -0.0202002, -0.0185647, -0.015585, -0.0122176, -0.0106379, -0.00910344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.230423, 0.255576, 0.258396, 0.261216, 0.264036, 0.269677, 0.276412, 0.279698, 0.285848, 0.293727, 0.303701, 0.31099, 0.318278, 0.325567, 0.333207, 0.338849, 0.341817, 0.344785, 0.35103, 0.352761, 0.354492, 0.357091, 0.359027, 0.361166, 0.362236, 0.36288, 0.364489, 0.365133, 0.36642, 0.368352, 0.369639, 0.370927, 0.372214, 0.37415, 0.376086, 0.378022, 0.381738, 0.386084, 0.391248, 0.393033, 0.394817, 0.397086, 0.401166, 0.402977, 0.405088, 0.407198, 0.408695, 0.41169, 0.413188, 0.415673");
-            values ( \
-              "-0.0321254, -0.0335578, -0.0365596, -0.0397173, -0.0430311, -0.0501266, -0.0582243, -0.061981, -0.0686439, -0.0768431, -0.0867628, -0.0934692, -0.100038, -0.106471, -0.112712, -0.117038, -0.119139, -0.121125, -0.124986, -0.125946, -0.126808, -0.127774, -0.128338, -0.128808, -0.128983, -0.12902, -0.128923, -0.128756, -0.128295, -0.127286, -0.126401, -0.125346, -0.124121, -0.121399, -0.117117, -0.112389, -0.101688, -0.0880275, -0.0702303, -0.0645553, -0.0591283, -0.0526628, -0.0416937, -0.0372963, -0.0330298, -0.0291334, -0.026624, -0.0221026, -0.0200906, -0.0170969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.234047, 0.261079, 0.265135, 0.269001, 0.274015, 0.283695, 0.290828, 0.301729, 0.321542, 0.327671, 0.342109, 0.355167, 0.366701, 0.376565, 0.383582, 0.392398, 0.396376, 0.400353, 0.403383, 0.406413, 0.409444, 0.412474, 0.413466, 0.416444, 0.418427, 0.420411, 0.422394, 0.424378, 0.4265, 0.428622, 0.430743, 0.432865, 0.436031, 0.439197, 0.442363, 0.447283, 0.456434, 0.458378, 0.460322, 0.462266, 0.466155, 0.470399, 0.47617, 0.479073, 0.481977, 0.484637, 0.487297, 0.489958, 0.492618, 0.497344");
-            values ( \
-              "-0.0466039, -0.0484891, -0.0531424, -0.0579138, -0.0648386, -0.0787986, -0.0886687, -0.102332, -0.125979, -0.133027, -0.149116, -0.163122, -0.174803, -0.184315, -0.190636, -0.19808, -0.200944, -0.2035, -0.204901, -0.205937, -0.206607, -0.206911, -0.206919, -0.206697, -0.205878, -0.204583, -0.202815, -0.200571, -0.197268, -0.193496, -0.189254, -0.184543, -0.175826, -0.166607, -0.156885, -0.140663, -0.108509, -0.102074, -0.0961552, -0.0904454, -0.079652, -0.0688823, -0.0551902, -0.0496955, -0.0446249, -0.0404236, -0.03653, -0.0329441, -0.029666, -0.0245237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.219591, 0.275067, 0.338227, 0.378687, 0.411786, 0.435173, 0.454749, 0.473395, 0.478823, 0.489678, 0.502166, 0.525536, 0.550028, 0.578745, 0.597102, 0.605896, 0.623483, 0.639269, 0.665166, 0.699694, 0.729279");
-            values ( \
-              "-0.00827703, -0.0737138, -0.162285, -0.21419, -0.253916, -0.279608, -0.298642, -0.313021, -0.315774, -0.318926, -0.315218, -0.269998, -0.190403, -0.11332, -0.0780678, -0.0650601, -0.0447744, -0.0319368, -0.0181806, -0.00825578, -0.00475365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.247316, 0.288194, 0.379197, 0.429811, 0.461384, 0.490773, 0.52647, 0.549984, 0.557155, 0.564723, 0.588057, 0.603341, 0.622952, 0.644822, 0.687376, 0.723237, 0.75372, 0.767809, 0.788607, 0.809073, 0.835821, 0.858364, 0.884065, 0.920541, 0.936704, 0.958547, 0.987671, 1.04592, 1.11538, 1.18483, 1.25429");
-            values ( \
-              "-0.0651066, -0.0975517, -0.229538, -0.298831, -0.340232, -0.376992, -0.417952, -0.44145, -0.443034, -0.441173, -0.428815, -0.418955, -0.40327, -0.378389, -0.302376, -0.232378, -0.180964, -0.160388, -0.133492, -0.110856, -0.0863771, -0.0697628, -0.0544419, -0.0380199, -0.0324311, -0.0261311, -0.0195306, -0.0107298, -0.00518289, -0.00249564, -0.00120247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.274788, 0.328744, 0.429074, 0.529546, 0.549749, 0.557792, 0.566806, 0.696199, 0.778203, 0.847661, 0.895533, 0.955272, 1.02473, 1.13414, 1.22863, 1.30526, 1.37359, 1.43461, 1.48889, 1.55835, 1.61852, 1.72371, 1.79317, 1.93209, 2.071, 2.27938");
-            values ( \
-              "-0.154936, -0.161098, -0.309788, -0.450649, -0.477468, -0.481336, -0.481735, -0.462488, -0.447301, -0.430294, -0.414249, -0.384334, -0.329273, -0.23086, -0.161534, -0.118599, -0.0890381, -0.0685517, -0.0540322, -0.0397668, -0.0303774, -0.018897, -0.0138231, -0.00733973, -0.00389311, -0.00146353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.392454, 0.444071, 0.459564, 0.483224, 0.507347, 0.527921, 0.565927, 0.608384, 0.639776, 0.65118, 0.661387, 0.669203, 0.679086, 0.71018, 0.722591, 0.742119, 0.750107");
-            values ( \
-              "-0.000764446, -0.00215735, -0.00313959, -0.0050667, -0.00816425, -0.0129116, -0.0258578, -0.0372944, -0.0434271, -0.044773, -0.0450932, -0.0440864, -0.0385826, -0.00878533, -0.00424223, -0.00163978, -0.00134373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.471279, 0.522429, 0.529201, 0.535974, 0.542747, 0.549836, 0.560469, 0.569573, 0.58625, 0.593829, 0.608486, 0.612652, 0.623334, 0.635095, 0.64226, 0.648022, 0.661294, 0.668086, 0.674628, 0.680614, 0.682793, 0.684972, 0.688503, 0.692034, 0.696557, 0.700284, 0.701763, 0.704722, 0.706201, 0.70916, 0.711059, 0.712959, 0.714858, 0.716758, 0.720147, 0.723537, 0.726926, 0.730316, 0.73494, 0.736995, 0.742646, 0.747784, 0.751894, 0.757705, 0.760296, 0.763749, 0.765476, 0.767203, 0.770153, 0.775168");
-            values ( \
-              "-0.0151905, -0.0180797, -0.0203229, -0.0227718, -0.0254263, -0.0287113, -0.0337883, -0.0380345, -0.0455734, -0.0487924, -0.0548629, -0.0565281, -0.0606617, -0.0649841, -0.067494, -0.0694307, -0.0736559, -0.0756316, -0.0774092, -0.0789411, -0.0794559, -0.0799429, -0.0806152, -0.0812056, -0.0818387, -0.0823134, -0.0823368, -0.0822766, -0.082193, -0.0819187, -0.0814156, -0.0807522, -0.0799287, -0.0789449, -0.0767911, -0.0741272, -0.070953, -0.0672685, -0.0613517, -0.0583532, -0.0489294, -0.0409334, -0.0349288, -0.0272362, -0.0242267, -0.0208639, -0.0193129, -0.0178488, -0.015616, -0.0120932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.446522, 0.493423, 0.514153, 0.531296, 0.548755, 0.568011, 0.608167, 0.637321, 0.668995, 0.696777, 0.724521, 0.74696, 0.75711, 0.770643, 0.774866, 0.78331, 0.799199, 0.817285, 0.840181, 0.849937, 0.858024, 0.868807, 0.878193, 0.890739, 0.907467, 0.933095, 0.961669, 0.994374, 1.03293, 1.15266");
-            values ( \
-              "-0.011992, -0.0161638, -0.0218524, -0.0279682, -0.0355733, -0.0459721, -0.0689358, -0.084254, -0.0997426, -0.112275, -0.123515, -0.131145, -0.133924, -0.136545, -0.137, -0.137071, -0.131797, -0.109458, -0.0686515, -0.0533749, -0.0426425, -0.0309733, -0.0231028, -0.0153981, -0.00868694, -0.00337571, -0.00113894, -0.000367823, -0.00012015, -2.84309e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.446327, 0.519582, 0.542363, 0.569981, 0.641827, 0.684367, 0.729014, 0.766781, 0.814831, 0.847882, 0.865262, 0.896859, 0.907114, 0.920787, 0.935684, 0.962979, 0.989476, 1.01703, 1.04351, 1.0627, 1.08736, 1.12024, 1.17333, 1.21584, 1.23321, 1.26032");
-            values ( \
-              "-0.0100151, -0.0304408, -0.0400374, -0.0538414, -0.0985384, -0.123389, -0.147884, -0.167255, -0.189709, -0.20291, -0.20863, -0.214584, -0.213958, -0.209607, -0.197658, -0.155493, -0.110127, -0.0717065, -0.0454236, -0.0318745, -0.0199152, -0.0102199, -0.00303724, -0.0012013, -0.0018375, -0.00120129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.515773, 0.592518, 0.68806, 0.777322, 0.870287, 0.934253, 0.985684, 1.02488, 1.04481, 1.08468, 1.09625, 1.1194, 1.15118, 1.21578, 1.24467, 1.28807, 1.30752, 1.33685, 1.37595, 1.39099, 1.42525, 1.46441, 1.53387, 1.5845");
-            values ( \
-              "-0.0672245, -0.0731725, -0.134669, -0.189097, -0.242504, -0.276368, -0.300498, -0.315594, -0.321298, -0.325073, -0.322689, -0.30998, -0.27137, -0.174246, -0.137584, -0.0924297, -0.0769585, -0.0581113, -0.0395636, -0.0341212, -0.0243089, -0.0163789, -0.00793115, -0.00493382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.515457, 0.615941, 0.82896, 1.0036, 1.11313, 1.15448, 1.21548, 1.23956, 1.2712, 1.29733, 1.36207, 1.41646, 1.4765, 1.59157, 1.64922, 1.68677, 1.73927, 1.78263, 1.83977, 1.88566, 1.94347, 2.01293, 2.08245, 2.19414, 2.2636, 2.33306, 2.40251, 2.54143, 2.7498");
-            values ( \
-              "-0.0566479, -0.0919053, -0.230538, -0.338598, -0.400424, -0.42163, -0.449486, -0.449173, -0.438697, -0.43456, -0.412741, -0.384553, -0.337547, -0.233651, -0.188966, -0.163286, -0.132525, -0.110761, -0.0871521, -0.0716135, -0.0555655, -0.0409461, -0.0299679, -0.0180891, -0.0132669, -0.0095848, -0.00705219, -0.00374953, -0.00138051" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * !B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0238806, 0.0328496, 0.052196, 0.0948796, 0.190216, 0.403903", \
-            "0.028449, 0.037507, 0.056971, 0.0998105, 0.195238, 0.408971", \
-            "0.0350928, 0.047434, 0.068132, 0.11107, 0.206624, 0.420435", \
-            "0.0398355, 0.0581968, 0.0885969, 0.136728, 0.232295, 0.446186", \
-            "0.0372409, 0.0636172, 0.108518, 0.179776, 0.289744, 0.503367", \
-            "0.0160051, 0.0530153, 0.116582, 0.22064, 0.383734, 0.631664" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0155506, 0.0268356, 0.0523371, 0.109491, 0.23788, 0.525832", \
-            "0.0169212, 0.0271447, 0.0523157, 0.10948, 0.238024, 0.525833", \
-            "0.0251862, 0.0340576, 0.0548575, 0.1095, 0.237884, 0.525834", \
-            "0.0390939, 0.0518661, 0.0733743, 0.117925, 0.237948, 0.525833", \
-            "0.0621272, 0.0805608, 0.111217, 0.161426, 0.259216, 0.525978", \
-            "0.103381, 0.128121, 0.171165, 0.243454, 0.356976, 0.574966" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230516, 0.0238139, 0.0242562, 0.0259096, 0.0271236, 0.0283219, 0.030669, 0.0330936, 0.0354071, 0.0391288, 0.0406153, 0.0486417, 0.0513955, 0.054505, 0.058566, 0.0617466, 0.0659873, 0.0705056");
-            values ( \
-              "-0.114976, -0.229683, -0.241316, -0.264397, -0.272489, -0.277751, -0.280523, -0.277613, -0.270354, -0.243373, -0.226745, -0.0972577, -0.0667242, -0.0426503, -0.0231827, -0.0142078, -0.00718504, -0.00372301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0233776, 0.0248179, 0.0271724, 0.0296723, 0.0321573, 0.038385, 0.0438198, 0.0486923, 0.0520782, 0.055848, 0.0668171, 0.0715237, 0.0776028, 0.0846925, 0.0930864, 0.102144, 0.10257");
-            values ( \
-              "-0.0779051, -0.331237, -0.359226, -0.368932, -0.370363, -0.362802, -0.349981, -0.328493, -0.305971, -0.268181, -0.130744, -0.0900169, -0.0540613, -0.0290446, -0.0136233, -0.0057511, -0.00564558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.0244011, 0.0261302, 0.0284916, 0.0299754, 0.0323139, 0.0360081, 0.0476873, 0.0595787, 0.0637187, 0.0697621, 0.0765243, 0.0813468, 0.0899476, 0.101245, 0.109059, 0.118684, 0.124714, 0.132393, 0.144299, 0.151418, 0.159554, 0.175826, 0.196971, 0.220511");
-            values ( \
-              "-0.355373, -0.408873, -0.427479, -0.432355, -0.434534, -0.433193, -0.42218, -0.40666, -0.399464, -0.385241, -0.362881, -0.340466, -0.279191, -0.190943, -0.141358, -0.0951225, -0.0735016, -0.0525035, -0.0306591, -0.0222784, -0.0152347, -0.00705086, -0.00239623, -0.000799444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0247613, 0.0275495, 0.0292355, 0.0306109, 0.0328554, 0.0407088, 0.0653183, 0.080664, 0.0976938, 0.115005, 0.124184, 0.139068, 0.143341, 0.151886, 0.176822, 0.190996, 0.201101, 0.212363, 0.227379, 0.237182, 0.253764, 0.270414, 0.277487, 0.291634, 0.319927, 0.366364, 0.414707");
-            values ( \
-              "-0.414566, -0.457335, -0.466399, -0.469925, -0.472255, -0.469861, -0.457409, -0.447756, -0.435039, -0.417736, -0.405238, -0.377012, -0.36574, -0.337239, -0.234905, -0.18311, -0.151723, -0.122043, -0.0902919, -0.073782, -0.0521374, -0.0365676, -0.0313408, -0.0231357, -0.0122115, -0.00405342, -0.00120157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0248528, 0.0274641, 0.0303827, 0.0335884, 0.059011, 0.0852433, 0.164661, 0.201725, 0.240334, 0.279437, 0.286714, 0.311988, 0.370335, 0.397845, 0.416363, 0.447645, 0.473284, 0.493866, 0.52003, 0.556862, 0.59623, 0.626551, 0.687192, 0.756671, 0.895628");
-            values ( \
-              "-0.434433, -0.474738, -0.488527, -0.491882, -0.48689, -0.479962, -0.455854, -0.440893, -0.419051, -0.381704, -0.371584, -0.326827, -0.213984, -0.169957, -0.144641, -0.109032, -0.0858386, -0.0706325, -0.0548687, -0.0381954, -0.0258992, -0.0191176, -0.0102346, -0.00493755, -0.00114305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0247685, 0.028747, 0.0319719, 0.0377349, 0.253789, 0.333273, 0.38382, 0.478432, 0.544254, 0.592398, 0.661877, 0.771104, 0.840583, 0.881079, 0.942628, 1.01076, 1.07156, 1.12589, 1.19537, 1.25566, 1.36096, 1.43044, 1.49992, 1.63887, 1.91679");
-            values ( \
-              "-0.44392, -0.492123, -0.500085, -0.501531, -0.474597, -0.462535, -0.453641, -0.432142, -0.409563, -0.384266, -0.329245, -0.230912, -0.178279, -0.151983, -0.118411, -0.0890532, -0.0686375, -0.0540047, -0.0398239, -0.0303225, -0.018836, -0.0138589, -0.0099704, -0.00524514, -0.00141423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0346982, 0.0408748, 0.0455064, 0.0509448, 0.0513954, 0.0524559, 0.0539176, 0.0551624, 0.056448, 0.0591855, 0.0667874, 0.0699304, 0.0730608, 0.0771109, 0.0802719, 0.0844864, 0.0903026, 0.0974749");
-            values ( \
-              "-0.0142116, -0.149919, -0.196385, -0.24261, -0.254645, -0.26148, -0.263277, -0.259791, -0.252411, -0.22637, -0.102552, -0.066922, -0.0425352, -0.0231303, -0.0141963, -0.00729907, -0.0026111, -0.0007611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0377684, 0.0400159, 0.042257, 0.0504879, 0.0521865, 0.0537787, 0.0547358, 0.05665, 0.0588223, 0.0617661, 0.06509, 0.067327, 0.0717292, 0.0738254, 0.0804996, 0.0861972, 0.0904772, 0.0934738, 0.0988737, 0.102957, 0.107251, 0.117146, 0.12667, 0.126772");
-            values ( \
-              "-0.0877287, -0.174098, -0.220047, -0.315804, -0.347539, -0.356948, -0.3594, -0.3606, -0.358202, -0.351825, -0.339965, -0.328491, -0.297471, -0.276226, -0.187622, -0.123384, -0.0877348, -0.0683235, -0.0430378, -0.0299804, -0.0204808, -0.00824855, -0.00328615, -0.00327198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.0379037, 0.0425173, 0.0520238, 0.0537964, 0.0554924, 0.0577127, 0.0703565, 0.0824908, 0.0909682, 0.0988939, 0.10264, 0.127179, 0.135832, 0.147145, 0.160625, 0.17107, 0.184996, 0.190807");
-            values ( \
-              "-0.0310309, -0.255557, -0.405423, -0.420748, -0.426643, -0.428647, -0.417762, -0.399323, -0.37802, -0.346875, -0.325182, -0.144876, -0.101779, -0.0626522, -0.0342901, -0.0213169, -0.0110148, -0.00908382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0410806, 0.0457248, 0.0529113, 0.0553154, 0.0571932, 0.0596684, 0.0708877, 0.0917608, 0.109974, 0.125353, 0.143138, 0.157284, 0.172238, 0.202884, 0.219713, 0.239805, 0.253156, 0.267297, 0.281085, 0.303074, 0.338393, 0.377876, 0.378243");
-            values ( \
-              "-0.310105, -0.328303, -0.451727, -0.464238, -0.468286, -0.468542, -0.464681, -0.453095, -0.440543, -0.42725, -0.405096, -0.378921, -0.332032, -0.207742, -0.152563, -0.103047, -0.0785045, -0.0584907, -0.0437671, -0.0272094, -0.0124997, -0.00505993, -0.00503243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0409065, 0.0524893, 0.0547198, 0.0569088, 0.0610786, 0.0893218, 0.142893, 0.197823, 0.220786, 0.258086, 0.277976, 0.300888, 0.343401, 0.379602, 0.410374, 0.432634, 0.464798, 0.491767, 0.514754, 0.540355, 0.576759, 0.614487, 0.643367, 0.701128, 0.770607, 0.909564");
-            values ( \
-              "-0.254906, -0.465637, -0.482112, -0.4881, -0.490109, -0.484004, -0.469002, -0.450519, -0.440883, -0.419968, -0.404225, -0.378436, -0.302484, -0.231881, -0.180102, -0.148592, -0.111222, -0.0864908, -0.0695591, -0.0543308, -0.037972, -0.0261703, -0.0196075, -0.0108321, -0.00523112, -0.00121342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.0407578, 0.0529795, 0.0617737, 0.229416, 0.372215, 0.434289, 0.497363, 0.563185, 0.61133, 0.680808, 0.790034, 0.884622, 0.961561, 1.02969, 1.09049, 1.14482, 1.2143, 1.31041, 1.37989, 1.58833, 1.681");
-            values ( \
-              "-0.263885, -0.483301, -0.500578, -0.480472, -0.459148, -0.447339, -0.432056, -0.409477, -0.384353, -0.329157, -0.231, -0.161588, -0.118495, -0.0889685, -0.0685538, -0.0540897, -0.03974, -0.0258817, -0.0189197, -0.00729636, -0.00574932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0603911, 0.064119, 0.0703489, 0.0779641, 0.0830387, 0.0874782, 0.0915176, 0.0953041, 0.0990368, 0.10316, 0.10787, 0.111733, 0.112738, 0.113544, 0.114514, 0.119853, 0.122785, 0.126694, 0.128711");
-            values ( \
-              "-0.0341397, -0.0447336, -0.0848466, -0.118963, -0.139565, -0.155624, -0.16888, -0.177019, -0.173744, -0.144961, -0.0918969, -0.0574728, -0.0504357, -0.0489654, -0.0452337, -0.0225586, -0.0145619, -0.00787979, -0.0061506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0633623, 0.0665941, 0.0719067, 0.0767766, 0.0923698, 0.0983148, 0.103737, 0.106422, 0.10885, 0.113955, 0.116169, 0.128947, 0.135545, 0.140806, 0.144919, 0.149331, 0.152733, 0.159537, 0.161251");
-            values ( \
-              "-0.0632761, -0.0753295, -0.119705, -0.151168, -0.233726, -0.261196, -0.281912, -0.288787, -0.291269, -0.284184, -0.268735, -0.116549, -0.0680547, -0.0433068, -0.0301518, -0.0203831, -0.0149735, -0.00797881, -0.00710311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0634964, 0.072293, 0.0762886, 0.0825419, 0.0981031, 0.112598, 0.113619, 0.115237, 0.116286, 0.118386, 0.121376, 0.124427, 0.130004, 0.13871, 0.142058, 0.148755, 0.160051, 0.169356, 0.17479, 0.180586, 0.185476, 0.191033, 0.198442, 0.203897, 0.209502, 0.216975, 0.231921, 0.252877, 0.276364");
-            values ( \
-              "-0.0132585, -0.136905, -0.172092, -0.215738, -0.312122, -0.39168, -0.402584, -0.40753, -0.408572, -0.408175, -0.404622, -0.399345, -0.386619, -0.356836, -0.340467, -0.294428, -0.204521, -0.143513, -0.115238, -0.0903805, -0.0733044, -0.0575329, -0.0413246, -0.0323098, -0.0250669, -0.017787, -0.00874021, -0.00308248, -0.000943945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.068288, 0.0781607, 0.0876057, 0.114452, 0.116931, 0.118782, 0.125694, 0.138296, 0.158651, 0.16735, 0.175944, 0.185123, 0.199815, 0.204277, 0.213202, 0.237501, 0.251331, 0.262015, 0.273931, 0.289819, 0.301905, 0.310609, 0.328017, 0.338215, 0.35326, 0.37332, 0.413441, 0.460076, 0.510003");
-            values ( \
-              "-0.100036, -0.200193, -0.270485, -0.450277, -0.459139, -0.459835, -0.45769, -0.449915, -0.434971, -0.427052, -0.417685, -0.40529, -0.377427, -0.36579, -0.335763, -0.235881, -0.185181, -0.151837, -0.120581, -0.0875013, -0.0682458, -0.0568738, -0.0392125, -0.0315193, -0.0227806, -0.0146405, -0.00574628, -0.00185171, -0.000564404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.0741597, 0.0882463, 0.114107, 0.116115, 0.120273, 0.128799, 0.171188, 0.225906, 0.262972, 0.301582, 0.340628, 0.348066, 0.373414, 0.431235, 0.459012, 0.477754, 0.509109, 0.534616, 0.554954, 0.581174, 0.618048, 0.65758, 0.688044, 0.748973, 0.818451, 0.957409");
-            values ( \
-              "-0.259713, -0.285669, -0.472774, -0.482626, -0.486101, -0.484771, -0.472998, -0.455779, -0.440814, -0.418984, -0.381852, -0.371429, -0.326477, -0.214594, -0.17008, -0.144463, -0.108819, -0.0857737, -0.0707434, -0.0549266, -0.0382193, -0.0258735, -0.0190713, -0.0101768, -0.00491002, -0.00113692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0852344, 0.114375, 0.123601, 0.315093, 0.445127, 0.539735, 0.605559, 0.653703, 0.723182, 0.832408, 0.926995, 1.00393, 1.07206, 1.13286, 1.18719, 1.25667, 1.31696, 1.42226, 1.63069, 1.72283");
-            values ( \
-              "-0.48022, -0.486077, -0.499075, -0.474546, -0.45359, -0.432092, -0.409513, -0.384318, -0.329193, -0.230964, -0.161552, -0.118459, -0.0890059, -0.0685914, -0.054053, -0.0397776, -0.0303693, -0.0188823, -0.00733435, -0.00577621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.107932, 0.116222, 0.121056, 0.124549, 0.136057, 0.150886, 0.157962, 0.16434, 0.170253, 0.175944, 0.182155, 0.191386, 0.196033, 0.201271, 0.205313, 0.208013, 0.213414, 0.219348, 0.226901, 0.248964, 0.251233, 0.254413, 0.262886");
-            values ( \
-              "-0.0126625, -0.0185936, -0.0257958, -0.0322437, -0.0605962, -0.0871746, -0.0981825, -0.107313, -0.113963, -0.116899, -0.104928, -0.0546186, -0.0341781, -0.0189538, -0.0116947, -0.00839246, -0.00415869, -0.00189992, -0.000714224, -9.10271e-05, -0.00215224, -0.00260584, -0.000796472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.112511, 0.121193, 0.146502, 0.164912, 0.182654, 0.190508, 0.196335, 0.201499, 0.205949, 0.223016, 0.236019, 0.248951, 0.252064, 0.254929");
-            values ( \
-              "-0.0251911, -0.0364518, -0.106461, -0.147913, -0.182449, -0.193309, -0.196499, -0.189537, -0.172152, -0.0673437, -0.0249166, -0.00847345, -0.00966036, -0.00838359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.11247, 0.129844, 0.144181, 0.165755, 0.188249, 0.201274, 0.213022, 0.218761, 0.223992, 0.232124, 0.23474, 0.239973, 0.265457, 0.276612, 0.286952, 0.298792, 0.306114, 0.314482, 0.331218, 0.353965, 0.376809");
-            values ( \
-              "-0.0059153, -0.0700942, -0.116858, -0.179096, -0.237845, -0.268901, -0.292901, -0.302106, -0.307625, -0.306691, -0.302872, -0.28642, -0.131302, -0.0823495, -0.0524762, -0.0307636, -0.0220905, -0.015017, -0.00672585, -0.00211553, -0.000693792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.124464, 0.140575, 0.155449, 0.16989, 0.207527, 0.219359, 0.233157, 0.251216, 0.253931, 0.261146, 0.266954, 0.278947, 0.285214, 0.298068, 0.317559, 0.334566, 0.349, 0.354836, 0.363397, 0.373102, 0.385711, 0.396715, 0.40838, 0.425035, 0.432327, 0.442107, 0.455147, 0.481227, 0.527532, 0.575077");
-            values ( \
-              "-0.071291, -0.112719, -0.164127, -0.209259, -0.320772, -0.353334, -0.389061, -0.430602, -0.432561, -0.427136, -0.42091, -0.405266, -0.395008, -0.365721, -0.293319, -0.223673, -0.172847, -0.154985, -0.131553, -0.108627, -0.0841205, -0.0670348, -0.0524586, -0.0367194, -0.0314083, -0.0254464, -0.0191628, -0.0107037, -0.00351491, -0.0011151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.135391, 0.164605, 0.197907, 0.225284, 0.251291, 0.255089, 0.264883, 0.283762, 0.319754, 0.347945, 0.374588, 0.395435, 0.434513, 0.45166, 0.52528, 0.552909, 0.562825, 0.58313, 0.602841, 0.628421, 0.648893, 0.675081, 0.71193, 0.72858, 0.751364, 0.781742, 0.842498, 0.911977, 0.981456, 1.05093");
-            values ( \
-              "-0.149224, -0.20095, -0.309444, -0.39453, -0.473236, -0.475187, -0.473093, -0.467534, -0.45579, -0.444812, -0.431912, -0.418986, -0.381807, -0.355638, -0.214258, -0.170013, -0.15604, -0.130367, -0.108939, -0.0858126, -0.0706855, -0.0548977, -0.0382094, -0.0324359, -0.0258918, -0.0191011, -0.0102124, -0.00492673, -0.00237708, -0.00114043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.152067, 0.200915, 0.248687, 0.255097, 0.259345, 0.409032, 0.488516, 0.539063, 0.633675, 0.699497, 0.747641, 0.81712, 0.926345, 1.02093, 1.09787, 1.166, 1.22679, 1.28113, 1.35061, 1.41089, 1.51619, 1.58567, 1.72462, 1.86358, 2.07202");
-            values ( \
-              "-0.277443, -0.328129, -0.481475, -0.493488, -0.493544, -0.474574, -0.462508, -0.453611, -0.432111, -0.40953, -0.384301, -0.32921, -0.230957, -0.161546, -0.118457, -0.0890082, -0.0685933, -0.0540528, -0.0397788, -0.030371, -0.0188842, -0.0138128, -0.00733299, -0.00388893, -0.0014614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.227019, 0.250084, 0.253135, 0.256186, 0.259569, 0.262952, 0.266335, 0.268109, 0.271656, 0.27343, 0.275454, 0.278727, 0.282, 0.286521, 0.291042, 0.293887, 0.299577, 0.302423, 0.304964, 0.312586, 0.317267, 0.321948, 0.324181, 0.326415, 0.328649, 0.330882, 0.333112, 0.335342, 0.337572, 0.339801, 0.341468, 0.343134, 0.344801, 0.346468, 0.347283, 0.348099, 0.34973, 0.351825, 0.353221, 0.354618, 0.359272, 0.360859, 0.361388, 0.362446, 0.363504, 0.364562, 0.365619, 0.368247, 0.369577, 0.370942");
-            values ( \
-              "-0.0179733, -0.0197417, -0.0221644, -0.024795, -0.0282321, -0.0314343, -0.0345153, -0.0360823, -0.0391164, -0.0405835, -0.0421574, -0.0448103, -0.0473697, -0.0507607, -0.0540266, -0.0560081, -0.0598423, -0.0616949, -0.0632923, -0.0679617, -0.0707379, -0.0734447, -0.0739068, -0.0740859, -0.0739819, -0.0735949, -0.0729261, -0.0719753, -0.0707423, -0.0692273, -0.0671826, -0.0647512, -0.0619328, -0.0587277, -0.0569205, -0.0549995, -0.0508158, -0.0446405, -0.0408141, -0.0371626, -0.0260904, -0.0228184, -0.021812, -0.0200216, -0.0183356, -0.0167538, -0.0152764, -0.0121136, -0.0106304, -0.00919207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.231746, 0.258488, 0.261316, 0.264145, 0.268388, 0.274905, 0.276567, 0.279891, 0.285997, 0.29093, 0.296321, 0.303801, 0.311094, 0.314774, 0.318453, 0.322133, 0.325812, 0.332394, 0.338975, 0.351113, 0.354014, 0.356916, 0.359818, 0.36272, 0.365616, 0.368512, 0.371408, 0.374305, 0.374411, 0.375907, 0.377189, 0.377616, 0.378471, 0.38018, 0.382642, 0.384248, 0.385855, 0.391125, 0.393567, 0.39601, 0.396938, 0.399724, 0.402507, 0.404363, 0.406218, 0.407895, 0.409572, 0.411249, 0.412926, 0.415969");
-            values ( \
-              "-0.0325941, -0.0366803, -0.0397684, -0.0430213, -0.0483469, -0.0563085, -0.0582659, -0.0620559, -0.0686776, -0.0738453, -0.0793277, -0.0867436, -0.0938112, -0.0971985, -0.100518, -0.103769, -0.106952, -0.112394, -0.117782, -0.127577, -0.127569, -0.127376, -0.126997, -0.126432, -0.125682, -0.124748, -0.123628, -0.122324, -0.122209, -0.119248, -0.11626, -0.11519, -0.112806, -0.10786, -0.10031, -0.0951249, -0.0897457, -0.0713035, -0.0635425, -0.0562547, -0.0536572, -0.0460798, -0.0388361, -0.0349081, -0.0312807, -0.0283065, -0.0255475, -0.0230037, -0.0206751, -0.0169491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.218888, 0.24626, 0.259973, 0.270079, 0.290977, 0.306996, 0.335636, 0.365446, 0.383564, 0.400329, 0.408574, 0.416361, 0.427798, 0.432845, 0.441375, 0.457311, 0.469002, 0.47804, 0.48432, 0.493612, 0.503318, 0.510811, 0.525797, 0.550108, 0.55445, 0.560759, 0.575236, 0.586888");
-            values ( \
-              "-0.0143509, -0.0338945, -0.0466028, -0.0595345, -0.0889197, -0.108935, -0.142138, -0.173735, -0.190539, -0.202891, -0.206763, -0.207539, -0.198619, -0.188565, -0.161983, -0.105985, -0.0721352, -0.0521459, -0.0411163, -0.0285397, -0.0193031, -0.0141301, -0.00738747, -0.00240369, -0.00381223, -0.00382498, -0.00187954, -0.00105791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.218934, 0.269435, 0.327429, 0.366783, 0.400127, 0.419826, 0.441658, 0.454875, 0.467546, 0.478937, 0.502388, 0.525861, 0.550138, 0.568882, 0.57858, 0.597328, 0.606374, 0.624464, 0.639564, 0.647857, 0.664445, 0.697621, 0.745296, 0.797429");
-            values ( \
-              "-0.00676895, -0.066311, -0.147876, -0.199167, -0.240153, -0.262885, -0.286124, -0.29865, -0.30899, -0.315864, -0.315543, -0.269591, -0.190795, -0.13811, -0.11421, -0.0780702, -0.0647212, -0.0440482, -0.0318855, -0.0266703, -0.0185657, -0.00872378, -0.00273861, -0.000754921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.218842, 0.414316, 0.448328, 0.489779, 0.521938, 0.550112, 0.557599, 0.564838, 0.588513, 0.603456, 0.631288, 0.644969, 0.66647, 0.715801, 0.744077, 0.765429, 0.803867, 0.83373, 0.862043, 0.899793, 0.925287, 0.953966, 0.992204, 1.06168, 1.13116, 1.27012");
-            values ( \
-              "-5.50605e-05, -0.277738, -0.323128, -0.375604, -0.412876, -0.441425, -0.442921, -0.441122, -0.428614, -0.418947, -0.395073, -0.37838, -0.343241, -0.245999, -0.196114, -0.163601, -0.116162, -0.0879811, -0.0672547, -0.0465374, -0.0362222, -0.0272859, -0.0185823, -0.00900394, -0.00434279, -0.00100547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.274879, 0.340354, 0.44498, 0.530457, 0.54984, 0.557883, 0.566807, 0.696311, 0.76579, 0.80275, 0.863471, 0.88635, 0.932109, 0.955348, 1.00183, 1.11276, 1.17641, 1.22215, 1.30421, 1.37218, 1.43679, 1.49023, 1.55971, 1.61763, 1.72021, 1.78969, 1.92865, 2.06761, 2.27604");
-            values ( \
-              "-0.141777, -0.178484, -0.332607, -0.451795, -0.477503, -0.481325, -0.481722, -0.462447, -0.449926, -0.441929, -0.425531, -0.417705, -0.397744, -0.384273, -0.349326, -0.248848, -0.197452, -0.165648, -0.11904, -0.0894744, -0.0678221, -0.0536905, -0.0394695, -0.0304909, -0.0192014, -0.0140139, -0.00743208, -0.00393363, -0.00150111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.391886, 0.442395, 0.458088, 0.485662, 0.506165, 0.523224, 0.554842, 0.607238, 0.639603, 0.654445, 0.669153, 0.707147, 0.719726, 0.735598, 0.760108, 0.801453, 0.811922, 0.824632, 0.840085, 0.860606, 0.888165, 1.17929");
-            values ( \
-              "-0.000763615, -0.00208804, -0.00305292, -0.00531472, -0.00800125, -0.0116042, -0.0224017, -0.0370971, -0.043424, -0.0450164, -0.0442008, -0.0105608, -0.00500573, -0.00219362, -0.000872435, -0.000197815, -0.000448958, -9.51182e-05, -0.000343758, -1e-22, -0.000232358, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.398221, 0.445015, 0.465306, 0.499924, 0.515578, 0.542564, 0.586338, 0.629786, 0.66127, 0.698814, 0.716887, 0.767621, 0.779092, 0.797696, 0.822286, 0.850805, 0.920749");
-            values ( \
-              "-0.00167656, -0.00404365, -0.00630135, -0.0117734, -0.0154949, -0.0247664, -0.0457969, -0.0631206, -0.0736944, -0.0822221, -0.080751, -0.0176639, -0.0101141, -0.00393708, -0.0012176, -0.000459964, -0.000357328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.445646, 0.493335, 0.51408, 0.531228, 0.548692, 0.56796, 0.608199, 0.62796, 0.659563, 0.676312, 0.711867, 0.738173, 0.750329, 0.763002, 0.774803, 0.786924, 0.799157, 0.816734, 0.840223, 0.849927, 0.85792, 0.865992, 0.877421, 0.882307, 0.892078, 0.911621, 0.938815, 0.969551, 1.00539, 1.17853");
-            values ( \
-              "-0.0115316, -0.0161591, -0.0218409, -0.0279566, -0.0355551, -0.0459528, -0.06896, -0.0794197, -0.0952554, -0.103135, -0.118603, -0.128419, -0.132144, -0.135336, -0.137022, -0.136712, -0.131647, -0.110266, -0.0684741, -0.0533218, -0.0427097, -0.0337119, -0.0236401, -0.0202523, -0.0146995, -0.00745759, -0.00268208, -0.000849823, -0.000235731, -4.04131e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.445468, 0.519398, 0.546103, 0.572105, 0.655804, 0.692486, 0.760215, 0.805138, 0.841028, 0.865131, 0.900147, 0.936002, 0.947885, 0.988685, 1.01692, 1.04857, 1.06417, 1.0849, 1.11254, 1.16493, 1.21576, 1.23313, 1.26207");
-            values ( \
-              "-0.00963857, -0.0304489, -0.0418391, -0.0551332, -0.107035, -0.128073, -0.1641, -0.185454, -0.200432, -0.208579, -0.214663, -0.197775, -0.181439, -0.111647, -0.0720207, -0.0414772, -0.0311001, -0.0209676, -0.0120471, -0.0037105, -0.00114556, -0.00180814, -0.00114255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.514987, 0.58813, 0.697625, 0.763417, 0.851693, 0.934278, 0.991689, 1.04486, 1.09658, 1.14814, 1.21581, 1.25993, 1.30035, 1.32241, 1.3476, 1.38249, 1.39722, 1.42667, 1.48556, 1.55504, 1.58093");
-            values ( \
-              "-0.068168, -0.0705683, -0.140686, -0.180846, -0.232095, -0.276354, -0.30304, -0.32143, -0.322936, -0.277224, -0.175483, -0.120976, -0.0831193, -0.067417, -0.0528443, -0.0374864, -0.0324229, -0.0241872, -0.013213, -0.00638715, -0.0051518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.514714, 0.615689, 0.803479, 0.969746, 1.11285, 1.15446, 1.21552, 1.23954, 1.27122, 1.29733, 1.36213, 1.41644, 1.47635, 1.59183, 1.68711, 1.73745, 1.78146, 1.83929, 1.8865, 1.944, 2.01348, 2.08215, 2.19326, 2.33222, 2.4017, 2.61014, 2.74909");
-            values ( \
-              "-0.0560318, -0.0917493, -0.214309, -0.318363, -0.400172, -0.421662, -0.4494, -0.449233, -0.438621, -0.434623, -0.412786, -0.384621, -0.337734, -0.233369, -0.162996, -0.133536, -0.111248, -0.0873593, -0.0713829, -0.0553902, -0.040879, -0.0299703, -0.0181258, -0.00958787, -0.00710896, -0.00262891, -0.00135464" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(A * !B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0296697, 0.0409489, 0.0654189, 0.119446, 0.239647, 0.508303", \
-            "0.0356925, 0.046911, 0.0714543, 0.12563, 0.245942, 0.514661", \
-            "0.0489553, 0.0618046, 0.0861241, 0.140175, 0.260612, 0.529277", \
-            "0.0690041, 0.0885136, 0.119961, 0.173948, 0.294003, 0.562726", \
-            "0.100742, 0.128757, 0.175909, 0.248639, 0.369526, 0.637288", \
-            "0.15696, 0.194887, 0.261504, 0.370116, 0.535198, 0.805648" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0215677, 0.0372934, 0.0727454, 0.151725, 0.327943, 0.723298", \
-            "0.0223897, 0.0375067, 0.072757, 0.151715, 0.327934, 0.723294", \
-            "0.0309267, 0.0423086, 0.0735695, 0.151706, 0.32792, 0.723281", \
-            "0.048217, 0.0624281, 0.0872306, 0.154605, 0.327915, 0.723286", \
-            "0.0747515, 0.0963821, 0.130622, 0.186838, 0.335283, 0.723268", \
-            "0.119678, 0.14994, 0.201785, 0.281033, 0.407473, 0.740082" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0253155, 0.0273911, 0.0293892, 0.0308471, 0.0322922, 0.0331346, 0.0351533, 0.0374925, 0.0423682, 0.0462296, 0.0513937, 0.0557114, 0.0615356, 0.0681418, 0.0758577, 0.0839145, 0.0879414, 0.0942247, 0.0987666, 0.102797, 0.107062, 0.123013, 0.12862, 0.139714, 0.15305, 0.156734");
-            values ( \
-              "0.0230489, 0.201076, 0.219808, 0.226621, 0.229345, 0.229546, 0.227248, 0.221118, 0.199444, 0.170354, 0.117322, 0.0837215, 0.0533071, 0.032409, 0.0177988, 0.00960115, 0.00707589, 0.00438322, 0.00323104, 0.00252803, 0.00202513, 0.00117796, 0.000817119, 0.000390014, 0.000180003, 0.000163801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.026557, 0.02814, 0.0311862, 0.0322264, 0.0343066, 0.0370557, 0.0373982, 0.0419378, 0.0470257, 0.0534776, 0.0582913, 0.0613385, 0.068711, 0.0773591, 0.0859384, 0.0906766, 0.0973394, 0.104073, 0.111799, 0.11632, 0.121548, 0.125367, 0.130964, 0.13576, 0.144095, 0.149991, 0.157677, 0.167044, 0.177655, 0.189789, 0.204745, 0.224452, 0.252961, 0.327322, 0.586463, 4.27025");
-            values ( \
-              "0.104118, 0.267506, 0.296314, 0.300832, 0.305326, 0.305057, 0.304655, 0.295869, 0.281468, 0.258676, 0.236224, 0.218013, 0.16621, 0.115638, 0.0792304, 0.0638709, 0.0468969, 0.034243, 0.0237091, 0.0190815, 0.0147917, 0.0122967, 0.00935425, 0.00751885, 0.00513599, 0.00395853, 0.00280717, 0.00187124, 0.00118593, 0.000740417, 0.000431527, 0.000255168, 0.00013582, 4.0665e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.0277063, 0.0303369, 0.0327003, 0.0350805, 0.0373256, 0.0416127, 0.0465423, 0.0496073, 0.056105, 0.0713104, 0.0823182, 0.0868948, 0.0917895, 0.118341, 0.131256, 0.140532, 0.146606, 0.153676, 0.163057, 0.172635, 0.184078, 0.199335, 0.206956, 0.210934, 0.218891, 0.233795, 0.252916, 0.274986, 0.300817, 0.321527");
-            values ( \
-              "0.30445, 0.33368, 0.350141, 0.357822, 0.360414, 0.35897, 0.352814, 0.347892, 0.336665, 0.308201, 0.284891, 0.273234, 0.258647, 0.162823, 0.123154, 0.0993083, 0.0857381, 0.0719349, 0.0565574, 0.0440839, 0.0325612, 0.0215022, 0.0174893, 0.0156857, 0.0126237, 0.00833932, 0.00488727, 0.00262328, 0.00128145, 0.000795222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.029549, 0.029569, 0.0668693, 0.0870229, 0.124051, 0.140307, 0.153177, 0.167711, 0.183044, 0.215419, 0.241868, 0.261422, 0.271047, 0.283631, 0.298013, 0.318182, 0.323993, 0.335615, 0.353263, 0.378478, 0.389308, 0.410967, 0.454286, 0.511031, 0.582182, 0.667588, 0.752994, 1.00921, 4.27029");
-            values ( \
-              "1e-22, 0.420202, 0.374253, 0.35709, 0.324084, 0.307022, 0.291025, 0.26925, 0.242469, 0.183106, 0.139702, 0.112061, 0.100078, 0.0858713, 0.0716701, 0.055108, 0.0510381, 0.0436689, 0.0343183, 0.0241115, 0.0207141, 0.0152092, 0.0080226, 0.00335174, 0.00107292, 0.000244428, 6.24125e-05, 1e-22, 2.86326e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0319393, 0.0319593, 0.111688, 0.173667, 0.225296, 0.26988, 0.303832, 0.331143, 0.363734, 0.445917, 0.50078, 0.54415, 0.576205, 0.618945, 0.65251, 0.679113, 0.714583, 0.773406, 0.800235, 0.839386, 0.891589, 0.976994, 1.0624, 1.14781, 1.23321, 1.31862, 1.48943, 1.74565, 4.27023");
-            values ( \
-              "1e-22, 0.44739, 0.385625, 0.361167, 0.339617, 0.318405, 0.298654, 0.279473, 0.25312, 0.182346, 0.1394, 0.110258, 0.0918195, 0.0710975, 0.0577738, 0.0488556, 0.0388834, 0.0263422, 0.0220428, 0.0169562, 0.0118785, 0.00653358, 0.00357499, 0.00195435, 0.00106394, 0.000582064, 0.00017383, 2.66945e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0347428, 0.0347628, 0.211782, 0.355681, 0.46275, 0.5612, 0.636219, 0.696575, 0.768412, 0.946429, 1.04401, 1.12942, 1.20457, 1.30892, 1.39433, 1.48163, 1.56158, 1.64699, 1.70886, 1.81878, 1.90419, 1.9896, 2.075, 2.24581, 2.50203, 2.84366, 4.27016");
-            values ( \
-              "1e-22, 0.449855, 0.392024, 0.365837, 0.344873, 0.32323, 0.303303, 0.284095, 0.25789, 0.187605, 0.151518, 0.123384, 0.101846, 0.0768876, 0.0604511, 0.0469742, 0.0370834, 0.0286784, 0.0237719, 0.0169755, 0.0130293, 0.00998144, 0.00764165, 0.00446596, 0.00198611, 0.000672212, 8.08672e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0415306, 0.0449731, 0.046332, 0.047749, 0.0505301, 0.0509849, 0.0512649, 0.051545, 0.0516245, 0.0517255, 0.052264, 0.052982, 0.054059, 0.0548971, 0.0553762, 0.0558552, 0.0568133, 0.0572924, 0.0580181, 0.0587578, 0.0599184, 0.0612378, 0.0623678, 0.0631576, 0.0637052, 0.0642737, 0.0649943, 0.0663036, 0.0679327, 0.0695108, 0.0726163, 0.0736619, 0.0750058, 0.0761768, 0.0773478, 0.0785188, 0.0794592, 0.08134, 0.0822804, 0.0838499, 0.0862638, 0.0888746, 0.0934003, 0.094923, 0.0963407, 0.0990714, 0.10112, 0.10406, 0.106732, 0.109197");
-            values ( \
-              "0.109025, 0.109403, 0.123196, 0.136956, 0.162584, 0.168718, 0.17419, 0.180953, 0.181286, 0.182321, 0.189368, 0.196255, 0.203599, 0.20809, 0.209244, 0.210102, 0.210672, 0.210708, 0.210446, 0.209132, 0.206209, 0.202061, 0.196884, 0.192576, 0.189221, 0.185358, 0.179888, 0.168551, 0.151533, 0.135676, 0.106279, 0.0988721, 0.0885833, 0.0802932, 0.0735815, 0.0673663, 0.0627523, 0.0544495, 0.0507607, 0.0451151, 0.0370767, 0.0310501, 0.0217255, 0.01935, 0.0173577, 0.0140682, 0.0121812, 0.00974512, 0.00780808, 0.00639146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0409313, 0.045668, 0.0527488, 0.0550538, 0.0568859, 0.0599171, 0.065811, 0.074532, 0.0992483, 0.107155, 0.117825, 0.130618, 0.14427, 0.151085, 0.162626, 0.17655, 0.182652");
-            values ( \
-              "0.0224016, 0.148373, 0.255976, 0.279917, 0.289123, 0.293, 0.283764, 0.254148, 0.106577, 0.0750032, 0.0459326, 0.0251133, 0.0129373, 0.00934871, 0.0055276, 0.00297025, 0.00230294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.0408833, 0.0519495, 0.0537372, 0.0549962, 0.0571105, 0.0597887, 0.063195, 0.066499, 0.0679522, 0.0760752, 0.091291, 0.0968457, 0.102198, 0.106505, 0.112482, 0.137725, 0.149508, 0.1593, 0.164857, 0.176148, 0.188678, 0.194384, 0.204008, 0.21684, 0.226767, 0.231691, 0.241537, 0.259438, 0.279263, 0.302631, 0.330087, 0.36717, 0.420563, 0.484385, 0.649761, 4.27025");
-            values ( \
-              "0.0139459, 0.283054, 0.313989, 0.328281, 0.343174, 0.351616, 0.353769, 0.35129, 0.349514, 0.336559, 0.308187, 0.297202, 0.285125, 0.274208, 0.25632, 0.164834, 0.128001, 0.102165, 0.0894213, 0.0675405, 0.048787, 0.0420501, 0.0325888, 0.0230215, 0.017583, 0.0153748, 0.0117297, 0.00710924, 0.00407429, 0.0021132, 0.000981341, 0.000349284, 7.81057e-05, 1.84431e-05, 1.39777e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0408868, 0.0542924, 0.0580276, 0.0614799, 0.0645881, 0.0675955, 0.0730145, 0.128411, 0.14974, 0.166388, 0.178037, 0.192555, 0.238309, 0.269512, 0.293037, 0.317533, 0.337911, 0.356315, 0.380853, 0.400619, 0.422328, 0.451274, 0.505504, 0.569815, 0.594096");
-            values ( \
-              "0.00250372, 0.3466, 0.377549, 0.38784, 0.39015, 0.389797, 0.386483, 0.338437, 0.318547, 0.299932, 0.284351, 0.261304, 0.178295, 0.128551, 0.097815, 0.0721784, 0.0553592, 0.0432991, 0.0308784, 0.0234201, 0.0172383, 0.0113491, 0.0049808, 0.00181198, 0.00141431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0465688, 0.0597626, 0.0632459, 0.067751, 0.0725621, 0.117219, 0.14411, 0.222234, 0.271213, 0.306491, 0.324106, 0.351416, 0.384007, 0.474113, 0.521438, 0.575106, 0.596299, 0.628135, 0.670488, 0.682917, 0.707776, 0.757493, 0.801401, 0.821018, 0.860252, 0.938719, 1.02412, 1.10953, 1.19494, 1.28034, 1.45115, 1.70737, 4.27026");
-            values ( \
-              "0.266674, 0.400239, 0.407447, 0.409906, 0.408997, 0.391078, 0.381231, 0.349609, 0.327895, 0.309435, 0.298659, 0.279477, 0.253124, 0.175793, 0.139122, 0.103836, 0.0919163, 0.076085, 0.0586078, 0.0542228, 0.0463069, 0.0335041, 0.0250494, 0.0219858, 0.0168852, 0.00981052, 0.00538523, 0.00294543, 0.00160732, 0.000876899, 0.000260627, 4.20938e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.0554419, 0.0554619, 0.232072, 0.377179, 0.483084, 0.581512, 0.656532, 0.716888, 0.788725, 0.966741, 1.06432, 1.14973, 1.20717, 1.32923, 1.41464, 1.50194, 1.58189, 1.66729, 1.72917, 1.83909, 1.92449, 2.0099, 2.0953, 2.26611, 2.52233, 2.86396, 4.27016");
-            values ( \
-              "1e-22, 0.451649, 0.39206, 0.365614, 0.344867, 0.32323, 0.303304, 0.284096, 0.257891, 0.187605, 0.151519, 0.123385, 0.106645, 0.0768883, 0.0604515, 0.0469748, 0.037084, 0.0286788, 0.0237725, 0.0169761, 0.0130298, 0.00998177, 0.00764198, 0.00446616, 0.00198614, 0.000672194, 8.6135e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0718049, 0.0803939, 0.0825259, 0.0850843, 0.0907755, 0.09433, 0.0960218, 0.0970026, 0.100552, 0.101768, 0.102223, 0.103588, 0.105276, 0.106966, 0.107675, 0.10803, 0.108736, 0.109086, 0.109787, 0.110349, 0.111471, 0.112659, 0.113525, 0.114222, 0.114454, 0.115457, 0.119866, 0.122214, 0.123224, 0.124233, 0.125242, 0.127261, 0.12827, 0.129639, 0.130583, 0.131527, 0.133729, 0.136444, 0.13787, 0.139591, 0.141069, 0.142252, 0.143434, 0.145078, 0.146722, 0.147845, 0.150092, 0.151215, 0.153841, 0.155597");
-            values ( \
-              "0.0658086, 0.0662661, 0.0744833, 0.0839724, 0.103843, 0.115484, 0.120842, 0.123753, 0.1335, 0.136509, 0.137462, 0.140184, 0.143266, 0.145603, 0.145813, 0.145808, 0.14558, 0.145358, 0.1447, 0.143191, 0.140531, 0.138237, 0.136275, 0.133562, 0.132434, 0.126274, 0.0958425, 0.0806664, 0.0750539, 0.0697889, 0.0649161, 0.056124, 0.0522048, 0.0473965, 0.043749, 0.0409556, 0.0350494, 0.0285465, 0.0257118, 0.0225328, 0.0200422, 0.0182805, 0.0166723, 0.014744, 0.0130078, 0.0119649, 0.0100819, 0.00924182, 0.0075418, 0.00653818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.072927, 0.0813047, 0.0868519, 0.0898559, 0.0963443, 0.102042, 0.107223, 0.112197, 0.112668, 0.113375, 0.113977, 0.115075, 0.116115, 0.117127, 0.117633, 0.118176, 0.118893, 0.119671, 0.120449, 0.121227, 0.121954, 0.124136, 0.125334, 0.126533, 0.127731, 0.128929, 0.13287, 0.13586, 0.139274, 0.142473, 0.144059, 0.145645, 0.148165, 0.150936, 0.153028, 0.155406, 0.157405, 0.159091, 0.162151, 0.164509, 0.166359, 0.16955, 0.172066, 0.175421, 0.177829, 0.180237, 0.182856, 0.185474, 0.188759, 0.192187");
-            values ( \
-              "0.0858311, 0.089576, 0.11881, 0.134246, 0.166678, 0.194544, 0.215455, 0.234907, 0.241837, 0.243695, 0.24568, 0.250261, 0.251602, 0.251978, 0.252036, 0.251991, 0.250936, 0.249322, 0.247213, 0.244735, 0.241884, 0.232202, 0.226168, 0.219625, 0.212572, 0.20501, 0.177498, 0.15766, 0.136897, 0.119196, 0.111183, 0.103731, 0.093036, 0.0824296, 0.0750376, 0.0670916, 0.0611904, 0.0566088, 0.0491723, 0.0442437, 0.0406862, 0.0349923, 0.0308653, 0.026195, 0.0233437, 0.0207889, 0.0184139, 0.0162564, 0.013885, 0.0116411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0699366, 0.0821938, 0.100148, 0.112584, 0.114446, 0.115487, 0.11757, 0.119563, 0.121498, 0.125367, 0.131627, 0.142347, 0.150036, 0.153976, 0.158628, 0.163972, 0.182181, 0.187358, 0.194575, 0.199684, 0.20476, 0.211956, 0.220814, 0.232624, 0.238216, 0.244857, 0.253713, 0.266826, 0.27246, 0.283729, 0.299751, 0.319192, 0.341191, 0.367703, 0.402208, 0.451364, 0.512446, 0.673447, 4.27023");
-            values ( \
-              "0.0216889, 0.107935, 0.22283, 0.297916, 0.315621, 0.321274, 0.32846, 0.330888, 0.331049, 0.327639, 0.317679, 0.297093, 0.279352, 0.268594, 0.254152, 0.235386, 0.168363, 0.151234, 0.129176, 0.115051, 0.102212, 0.0859751, 0.0689579, 0.0508223, 0.0439537, 0.0368979, 0.0291096, 0.0203737, 0.0174726, 0.0128196, 0.00819769, 0.00475013, 0.00255496, 0.00121748, 0.000459939, 0.000118336, 2.31937e-05, 1e-22, 2.09326e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0701016, 0.0895727, 0.115635, 0.120067, 0.123972, 0.128199, 0.132582, 0.179139, 0.196497, 0.212897, 0.231489, 0.28538, 0.315021, 0.33854, 0.363031, 0.383407, 0.401809, 0.426346, 0.446107, 0.467809, 0.496745, 0.551367, 0.616607, 0.628678");
-            values ( \
-              "0.00486808, 0.166072, 0.361583, 0.376422, 0.378724, 0.377099, 0.373891, 0.333889, 0.317272, 0.298707, 0.272273, 0.175626, 0.128564, 0.09783, 0.0721918, 0.0553659, 0.0433035, 0.0308842, 0.0234223, 0.0172406, 0.0113546, 0.00494709, 0.00177092, 0.00157811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.0824082, 0.10732, 0.115819, 0.118362, 0.121089, 0.125549, 0.130453, 0.134955, 0.190662, 0.265411, 0.317045, 0.351776, 0.369635, 0.396939, 0.429536, 0.51972, 0.56732, 0.620635, 0.641664, 0.673562, 0.715971, 0.728441, 0.753381, 0.803261, 0.847002, 0.86654, 0.905616, 0.983769, 1.06917, 1.15458, 1.23999, 1.32539, 1.4962, 1.75242, 4.27028");
-            values ( \
-              "0.159573, 0.310027, 0.382961, 0.395036, 0.400885, 0.404013, 0.403388, 0.401923, 0.380867, 0.350617, 0.327753, 0.309581, 0.298664, 0.279485, 0.253127, 0.175729, 0.138866, 0.103837, 0.0920047, 0.0761317, 0.0586246, 0.0542241, 0.046284, 0.0334502, 0.0250371, 0.0219863, 0.0169039, 0.00984381, 0.00540387, 0.00295544, 0.00161301, 0.000879785, 0.000261389, 4.23638e-05, 7.8328e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.093261, 0.117347, 0.123941, 0.128981, 0.186757, 0.287018, 0.465224, 0.584981, 0.662518, 0.762218, 0.834067, 1.0539, 1.10966, 1.24365, 1.30566, 1.37457, 1.45998, 1.54728, 1.62723, 1.71263, 1.7745, 1.88442, 1.96982, 2.05523, 2.14064, 2.31145, 2.56767, 2.90929, 4.27005");
-            values ( \
-              "0.395701, 0.402263, 0.41539, 0.416637, 0.407991, 0.390397, 0.357436, 0.332872, 0.314263, 0.2841, 0.257892, 0.171705, 0.15152, 0.109117, 0.0927364, 0.0768887, 0.0604519, 0.0469754, 0.0370844, 0.0286791, 0.0237728, 0.0169765, 0.0130301, 0.00998206, 0.00764214, 0.00446624, 0.00198622, 0.000672241, 8.24746e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.11866, 0.135108, 0.138692, 0.144754, 0.159673, 0.174518, 0.185738, 0.193415, 0.1985, 0.200641, 0.204203, 0.207748, 0.214837, 0.219216, 0.227613, 0.2361, 0.244275, 0.248914, 0.250848, 0.253094, 0.267479, 0.277066, 0.282311, 0.29336, 0.298703, 0.311064, 0.326855, 0.355934, 0.466335, 0.559174, 4.27025");
-            values ( \
-              "0.0052501, 0.0134494, 0.0164641, 0.0234115, 0.0496171, 0.0700834, 0.0820991, 0.0885762, 0.0915069, 0.0923011, 0.0926917, 0.0908304, 0.0742164, 0.0575652, 0.0356538, 0.0216826, 0.01298, 0.00954477, 0.0113254, 0.0116225, 0.00552811, 0.00326744, 0.00236436, 0.00114931, 0.000768725, 0.000339116, 0.000149121, 8.0315e-05, 1.25813e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.12453, 0.14243, 0.148588, 0.163623, 0.174718, 0.19245, 0.201598, 0.206469, 0.214699, 0.219351, 0.222137, 0.226651, 0.231301, 0.248945, 0.251473, 0.266064, 0.270716, 0.27771, 0.28233, 0.286524, 0.292509, 0.298138, 0.305642, 0.311078, 0.318044, 0.327251, 0.337782, 0.349926, 0.364565, 0.382877");
-            values ( \
-              "0.0149533, 0.029811, 0.0401255, 0.074088, 0.096183, 0.128, 0.142507, 0.149264, 0.158475, 0.161839, 0.162813, 0.16151, 0.153669, 0.0886861, 0.0855771, 0.0491377, 0.0397175, 0.0286011, 0.0228864, 0.0187288, 0.0140087, 0.0107077, 0.00752138, 0.00582115, 0.00421618, 0.00273765, 0.00170796, 0.000997057, 0.000573124, 0.000307019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.13643, 0.1584, 0.192761, 0.205401, 0.216933, 0.227232, 0.236593, 0.242722, 0.245617, 0.248849, 0.251068, 0.253454, 0.255985, 0.25789, 0.263946, 0.287509, 0.297453, 0.304239, 0.314717, 0.323267, 0.335109, 0.340833, 0.347663, 0.356769, 0.369533, 0.374994, 0.385914, 0.402461, 0.422734, 0.44605, 0.474308, 0.511741, 0.565517, 0.629004, 4.27019");
-            values ( \
-              "0.0488628, 0.0727743, 0.159356, 0.189582, 0.215356, 0.236096, 0.252417, 0.260868, 0.263858, 0.266179, 0.269774, 0.269896, 0.266607, 0.262529, 0.244713, 0.159545, 0.128625, 0.11018, 0.0858095, 0.0693589, 0.0511323, 0.0440612, 0.0368007, 0.0288253, 0.0203488, 0.0175297, 0.0129733, 0.00816171, 0.0046039, 0.00237793, 0.00107128, 0.00036988, 8.10235e-05, 1.70087e-05, 1.13502e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.136574, 0.165165, 0.235161, 0.248836, 0.25137, 0.254347, 0.25726, 0.261961, 0.265778, 0.284092, 0.301004, 0.316108, 0.322618, 0.334344, 0.350355, 0.38273, 0.409177, 0.430851, 0.443157, 0.461118, 0.484322, 0.498591, 0.50847, 0.524997, 0.547886, 0.556641, 0.57415, 0.609169, 0.666722, 0.737083, 0.822489, 0.907895, 1.07871, 4.27017");
-            values ( \
-              "0.0415305, 0.098309, 0.29984, 0.3359, 0.344304, 0.349941, 0.351065, 0.349495, 0.346718, 0.331025, 0.314336, 0.296828, 0.288153, 0.270467, 0.242536, 0.183153, 0.139763, 0.109335, 0.0944687, 0.0756111, 0.0559237, 0.0462687, 0.0404891, 0.0322667, 0.0234168, 0.0207041, 0.0161467, 0.00968971, 0.00400637, 0.0012959, 0.000302003, 7.00353e-05, 3.41545e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.156756, 0.185569, 0.251144, 0.261342, 0.292288, 0.392377, 0.437262, 0.471195, 0.531095, 0.637634, 0.71191, 0.742758, 0.817401, 0.855164, 0.905514, 0.948773, 1.00672, 1.08398, 1.16938, 1.25479, 1.31129");
-            values ( \
-              "0.157655, 0.163563, 0.379388, 0.391262, 0.380847, 0.339771, 0.318418, 0.298669, 0.253131, 0.162555, 0.110018, 0.092256, 0.0586708, 0.0462165, 0.0332947, 0.0250004, 0.0169557, 0.00993872, 0.00545648, 0.00298421, 0.00229087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.172334, 0.218638, 0.251193, 0.26174, 0.269791, 0.291294, 0.392853, 0.578351, 0.686592, 0.764597, 0.863858, 0.935694, 1.15553, 1.21129, 1.34527, 1.40729, 1.47619, 1.5616, 1.6489, 1.72885, 1.81425, 1.87612, 1.98603, 2.07144, 2.15684, 2.24225, 2.41306, 2.66928, 3.0109, 4.27008");
-            values ( \
-              "0.238975, 0.280267, 0.397145, 0.410966, 0.410603, 0.407538, 0.389666, 0.355198, 0.332879, 0.314144, 0.284097, 0.257893, 0.171706, 0.151521, 0.109118, 0.0927372, 0.0768894, 0.0604524, 0.0469761, 0.037085, 0.0286796, 0.0237734, 0.0169771, 0.0130306, 0.0099824, 0.0076424, 0.0044664, 0.00198628, 0.000672257, 1.32388e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.241442, 0.275276, 0.288739, 0.293577, 0.303253, 0.335502, 0.350719, 0.363859, 0.375841, 0.383823, 0.387268, 0.392886, 0.398429, 0.409619, 0.430069, 0.441881, 0.454147, 0.466745, 0.476158, 0.482459, 0.495216, 0.508834, 0.522028, 0.538646, 0.550237, 0.554621, 0.562804, 0.565332");
-            values ( \
-              "0.00388378, 0.0063362, 0.00934993, 0.0109274, 0.0150454, 0.0384044, 0.0471582, 0.052761, 0.0563655, 0.0579136, 0.0583449, 0.0586789, 0.0583621, 0.0532141, 0.0237128, 0.0139261, 0.0075501, 0.0039767, 0.00276567, 0.00210804, 0.00116738, 0.000527713, 0.000289165, 0.000184292, 0.000171767, 0.00175802, 0.00216576, 0.00185848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.240996, 0.274684, 0.288009, 0.301939, 0.321419, 0.344483, 0.357879, 0.371146, 0.388159, 0.403684, 0.413914, 0.41835, 0.425577, 0.432656, 0.439781, 0.447348, 0.468957, 0.480579, 0.492141, 0.505679, 0.519467, 0.527174, 0.537449, 0.550075, 0.557427, 0.56675, 0.575975, 0.594425, 0.611595, 0.645936, 0.682565, 0.773684, 4.27017");
-            values ( \
-              "0.00692982, 0.0107969, 0.0153992, 0.0223288, 0.0383809, 0.0616458, 0.0723835, 0.0814966, 0.0911413, 0.0978954, 0.101158, 0.10217, 0.103271, 0.102695, 0.0989177, 0.0882484, 0.0492067, 0.034018, 0.0227363, 0.0136397, 0.00781853, 0.00568309, 0.00366459, 0.00215957, 0.00431447, 0.00434505, 0.00274189, 0.00112264, 0.000507068, 0.000150804, 5.48256e-05, 1.31911e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.24111, 0.28786, 0.301043, 0.317073, 0.358, 0.38013, 0.394242, 0.43005, 0.447234, 0.454436, 0.46432, 0.46955, 0.474452, 0.480054, 0.484612, 0.489207, 0.495459, 0.502225, 0.534578, 0.548706, 0.550091, 0.55286, 0.556488, 0.569376, 0.583557, 0.597065, 0.601857, 0.612112, 0.623832, 0.647272, 0.678196, 0.710704");
-            values ( \
-              "0.00783881, 0.0224279, 0.0304583, 0.0436066, 0.0894975, 0.111005, 0.123407, 0.151709, 0.163253, 0.167243, 0.171681, 0.173387, 0.174347, 0.174332, 0.172915, 0.169849, 0.162644, 0.15136, 0.0891808, 0.066437, 0.0644252, 0.0632526, 0.060126, 0.0445982, 0.0305857, 0.0211092, 0.018482, 0.0139248, 0.0100259, 0.00509761, 0.002041, 0.000873254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.282679, 0.331298, 0.34172, 0.359665, 0.378083, 0.439326, 0.449912, 0.462424, 0.476429, 0.487944, 0.498759, 0.509529, 0.514615, 0.52377, 0.530043, 0.532178, 0.536449, 0.543113, 0.545507, 0.550294, 0.550867, 0.55163, 0.553156, 0.554448, 0.555739, 0.558018, 0.560297, 0.567776, 0.578386, 0.593082, 0.610739, 0.625198, 0.634146, 0.643094, 0.655024, 0.662469, 0.677376, 0.684354, 0.689006, 0.693659, 0.702963, 0.720241, 0.724676, 0.730589, 0.736502, 0.742416, 0.748329, 0.760156, 0.773163, 0.789235");
-            values ( \
-              "0.0530735, 0.0683272, 0.0794937, 0.101937, 0.12366, 0.19294, 0.204548, 0.2178, 0.232257, 0.243636, 0.253499, 0.26229, 0.265944, 0.271859, 0.275504, 0.276668, 0.277641, 0.278612, 0.278797, 0.278909, 0.279476, 0.279555, 0.279355, 0.278633, 0.27767, 0.275171, 0.272352, 0.260846, 0.242269, 0.215111, 0.183238, 0.158028, 0.143984, 0.130733, 0.114295, 0.104797, 0.0870353, 0.0796708, 0.0752708, 0.0710473, 0.0631302, 0.0501459, 0.0469871, 0.0432767, 0.0398522, 0.0368897, 0.0340955, 0.029012, 0.024275, 0.0189433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.304745, 0.359913, 0.51296, 0.552619, 0.55681, 0.560033, 0.566478, 0.590284, 0.644646, 0.679708, 0.697523, 0.724825, 0.848993, 0.898568, 0.948497, 1.00028, 1.05626, 1.08214, 1.13389, 1.19101, 1.22163, 1.28285, 1.36826, 1.45366, 1.53907, 1.62447, 1.70988, 1.88069, 2.13691, 4.27048");
-            values ( \
-              "0.100921, 0.107871, 0.310775, 0.359633, 0.363047, 0.363086, 0.361499, 0.351974, 0.32797, 0.309594, 0.298693, 0.279511, 0.174603, 0.136451, 0.103849, 0.0766599, 0.0542352, 0.0460148, 0.0328352, 0.0224825, 0.0183115, 0.0120513, 0.00662711, 0.00362814, 0.00198123, 0.00108071, 0.000589076, 0.000174957, 2.84013e-05, 2.79194e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.305184, 0.386786, 0.554773, 0.561683, 0.702276, 0.876647, 0.953552, 1.0295, 1.16169, 1.43728, 1.52269, 1.59784, 1.70218, 1.78759, 1.87488, 1.95483, 2.04023, 2.1021, 2.21201, 2.38282, 2.63904, 2.80065");
-            values ( \
-              "0.082783, 0.146378, 0.39614, 0.398865, 0.374595, 0.340613, 0.323457, 0.303308, 0.257899, 0.151524, 0.123388, 0.10185, 0.0768909, 0.0604534, 0.0469772, 0.0370857, 0.0286802, 0.0237737, 0.0169776, 0.00998267, 0.00446636, 0.00290207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.550811, 0.614261, 0.619906, 0.62555, 0.631195, 0.636839, 0.642484, 0.65092, 0.659356, 0.661655, 0.666254, 0.691947, 0.707067, 0.714396, 0.718603, 0.724941, 0.733392, 0.741843, 0.748185, 0.757198, 0.765321, 0.772144, 0.776407, 0.780618, 0.783407, 0.790117, 0.794564, 0.801193, 0.80342, 0.807873, 0.8101, 0.814753, 0.819407, 0.820655, 0.822796, 0.824936, 0.829216, 0.835361, 0.840079, 0.847012, 0.852423, 0.861123, 0.865145, 0.869167, 0.872997, 0.876828, 0.881137, 0.886153, 0.892512, 0.897145");
-            values ( \
-              "0.00467898, 0.0047806, 0.00525882, 0.0058348, 0.00649316, 0.00723391, 0.00805704, 0.00945532, 0.0110455, 0.0115906, 0.01287, 0.0205573, 0.0247901, 0.0266783, 0.0276771, 0.029062, 0.0307245, 0.0322618, 0.0332936, 0.0344833, 0.0353946, 0.0360138, 0.0363206, 0.0365677, 0.0367009, 0.0367395, 0.0367116, 0.0365908, 0.0364769, 0.0361541, 0.0359453, 0.0353587, 0.0346009, 0.0342431, 0.0334494, 0.0324522, 0.0299335, 0.0258938, 0.0224527, 0.0179485, 0.0151047, 0.0113366, 0.00981522, 0.00851206, 0.00745891, 0.00654316, 0.00569298, 0.00481077, 0.00381616, 0.00320778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.502941, 0.601035, 0.60835, 0.621789, 0.630161, 0.646906, 0.668306, 0.721132, 0.734449, 0.747872, 0.761089, 0.778712, 0.797942, 0.803264, 0.818725, 0.825801, 0.836658, 0.846816, 0.850927, 0.85641, 0.863503, 0.871216, 0.877883, 0.898468, 0.910184, 0.918651, 0.928824, 0.940716, 0.947594, 0.956041, 0.968189, 0.983967, 1.00746, 1.03614, 1.07121, 1.11332, 1.16029, 1.21602, 1.22302, 1.2355, 1.24443, 1.26229, 1.28496, 1.33032, 4.27036");
-            values ( \
-              "0.00251784, 0.00718566, 0.00795218, 0.00959621, 0.0108486, 0.0140149, 0.0200982, 0.0418127, 0.046552, 0.0509094, 0.0546572, 0.0587678, 0.0620915, 0.0628249, 0.0645458, 0.0651302, 0.0657649, 0.0658924, 0.0657597, 0.0653128, 0.064139, 0.0612977, 0.0570543, 0.0389851, 0.0299871, 0.0244272, 0.0187605, 0.0133722, 0.0108866, 0.00837171, 0.00566125, 0.00331315, 0.00144197, 0.000562592, 0.000240243, 0.000151654, 9.6019e-05, 5.81458e-05, 0.00125203, 0.00145414, 0.000807351, 0.000319471, 8.48384e-05, 8.76384e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.503187, 0.594716, 0.608271, 0.63538, 0.650033, 0.679338, 0.740038, 0.771107, 0.80068, 0.836634, 0.85958, 0.869923, 0.900208, 0.910484, 0.918583, 0.927786, 0.934195, 0.94142, 0.949149, 0.957653, 1.01887, 1.0379, 1.06371, 1.07752, 1.09781, 1.12486, 1.17206, 1.21594, 1.2319, 1.25851, 1.2833, 1.37523, 1.45604, 1.54145, 4.27028");
-            values ( \
-              "0.00506068, 0.0113731, 0.0133648, 0.0184591, 0.0221558, 0.0323093, 0.0626888, 0.0761037, 0.0864652, 0.0968736, 0.102519, 0.10458, 0.109533, 0.110675, 0.111219, 0.111263, 0.110728, 0.109324, 0.106482, 0.101434, 0.0461614, 0.0327371, 0.0194127, 0.0143844, 0.00909655, 0.004735, 0.0012983, 0.000436198, 0.00204397, 0.00167599, 0.000467987, 1e-22, 5.74651e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.502337, 0.620459, 0.637159, 0.670401, 0.71245, 0.792613, 0.845644, 0.896928, 0.93999, 0.985436, 1.00681, 1.01952, 1.04011, 1.06025, 1.08073, 1.10614, 1.18825, 1.21561, 1.23148, 1.25552, 1.27579, 1.31108, 1.35814, 1.4281, 1.45526");
-            values ( \
-              "0.00568798, 0.0223961, 0.0266788, 0.0374821, 0.0570875, 0.0998378, 0.12331, 0.143915, 0.159629, 0.17351, 0.178587, 0.180847, 0.182509, 0.179501, 0.169148, 0.147925, 0.0712251, 0.0516536, 0.0452276, 0.0325833, 0.0245199, 0.0148297, 0.00735546, 0.00239172, 0.00180882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.588238, 0.684499, 0.717839, 0.787819, 0.920578, 1.04115, 1.08077, 1.12666, 1.14277, 1.16474, 1.18318, 1.20129, 1.21574, 1.22807, 1.24329, 1.33715, 1.38292, 1.42941, 1.47543, 1.50609, 1.5483, 1.58469, 1.62205, 1.67536, 1.69831, 1.74422, 1.82962, 1.91503, 2.00044, 2.08584, 2.17125, 2.34206, 2.59828, 4.27008");
-            values ( \
-              "0.0446893, 0.0512411, 0.0671453, 0.106486, 0.177426, 0.238272, 0.256034, 0.273568, 0.278543, 0.283902, 0.28653, 0.286632, 0.28441, 0.279336, 0.26868, 0.187962, 0.151172, 0.11825, 0.0911142, 0.0759302, 0.0585225, 0.0464989, 0.0365211, 0.0256471, 0.0220195, 0.0161492, 0.00891297, 0.0048843, 0.0026737, 0.0014549, 0.000797243, 0.000238477, 3.59813e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.648301, 0.806424, 1.12873, 1.21629, 1.22934, 1.24614, 1.38926, 1.45413, 1.53048, 1.66266, 1.93822, 2.09877, 2.2031, 2.28851, 2.37579, 2.45574, 2.60301, 2.71292, 2.88373, 3.05454, 3.22535, 3.2891");
-            values ( \
-              "0.0787731, 0.121879, 0.318449, 0.366661, 0.368439, 0.366985, 0.338147, 0.323558, 0.303326, 0.257921, 0.151535, 0.101856, 0.0768954, 0.0604555, 0.0469796, 0.0370879, 0.0237749, 0.0169779, 0.00998254, 0.00584183, 0.00340912, 0.00293857" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(!A * B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0238655, 0.0328457, 0.0521913, 0.0948735, 0.190209, 0.403897", \
-            "0.0284281, 0.0374943, 0.0569757, 0.0998017, 0.195226, 0.408959", \
-            "0.035068, 0.0473971, 0.068105, 0.111037, 0.206588, 0.420402", \
-            "0.0398246, 0.058175, 0.0885767, 0.136728, 0.232271, 0.446166", \
-            "0.0372615, 0.0636172, 0.108365, 0.179736, 0.289739, 0.503333", \
-            "0.0160454, 0.0529986, 0.116501, 0.220638, 0.383716, 0.631691" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0155798, 0.0268449, 0.0523134, 0.109573, 0.23776, 0.525735", \
-            "0.0169474, 0.0271547, 0.0523198, 0.109572, 0.23776, 0.525832", \
-            "0.0251743, 0.0340601, 0.0548792, 0.109589, 0.237751, 0.525735", \
-            "0.0390477, 0.0518382, 0.073388, 0.117821, 0.23783, 0.525902", \
-            "0.062043, 0.0803983, 0.111328, 0.161365, 0.259238, 0.526098", \
-            "0.103186, 0.127877, 0.171156, 0.243015, 0.356996, 0.57497" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230564, 0.0237676, 0.0245951, 0.0258755, 0.0270781, 0.0282933, 0.0306496, 0.0327105, 0.0353971, 0.0391716, 0.0406128, 0.0486366, 0.051424, 0.0558644, 0.0596176, 0.0646137, 0.0691459");
-            values ( \
-              "-0.144216, -0.225332, -0.247793, -0.263763, -0.271837, -0.277105, -0.279713, -0.27769, -0.269889, -0.242353, -0.226604, -0.0972588, -0.0663991, -0.0347805, -0.019809, -0.00910791, -0.00496378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0237437, 0.0247934, 0.0260895, 0.0281487, 0.0301908, 0.0321516, 0.0361447, 0.042248, 0.046463, 0.0505751, 0.0553925, 0.0675986, 0.0712132, 0.0778284, 0.0849026, 0.0922685, 0.100687, 0.100902");
-            values ( \
-              "-0.230958, -0.330701, -0.349069, -0.363615, -0.368646, -0.369371, -0.365844, -0.354166, -0.339921, -0.317064, -0.273754, -0.123168, -0.0924186, -0.0530707, -0.028522, -0.0147245, -0.00662979, -0.00656975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.0237212, 0.0260843, 0.0284501, 0.0304013, 0.0322799, 0.0350595, 0.045022, 0.0591473, 0.0637059, 0.0691214, 0.076499, 0.08133, 0.0884461, 0.0987222, 0.10848, 0.116053, 0.12282, 0.128859, 0.13691, 0.14272, 0.149248, 0.157953, 0.175362, 0.196262, 0.220385");
-            values ( \
-              "-0.15142, -0.407972, -0.426609, -0.43198, -0.433266, -0.432888, -0.42485, -0.407339, -0.399323, -0.38688, -0.362986, -0.340457, -0.291235, -0.209053, -0.144423, -0.106161, -0.0796294, -0.0612777, -0.0427786, -0.0329218, -0.0244875, -0.016386, -0.00706903, -0.00249634, -0.000744353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0248713, 0.0301054, 0.0328332, 0.0358014, 0.0491967, 0.0681739, 0.097707, 0.106403, 0.119042, 0.133238, 0.1433, 0.16292, 0.179282, 0.193171, 0.204082, 0.219037, 0.231234, 0.241405, 0.253266, 0.270075, 0.287723, 0.30127, 0.328362, 0.375705, 0.423973");
-            values ( \
-              "-0.458373, -0.468066, -0.471033, -0.47105, -0.465771, -0.455608, -0.43501, -0.427094, -0.412651, -0.389752, -0.365742, -0.292572, -0.225624, -0.176256, -0.143602, -0.107116, -0.0836286, -0.0678023, -0.0528428, -0.036868, -0.025233, -0.0187893, -0.0102453, -0.00326204, -0.00101484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0248527, 0.0287602, 0.0309055, 0.0338009, 0.0365183, 0.0605063, 0.11489, 0.144452, 0.182787, 0.201749, 0.239115, 0.258031, 0.278208, 0.288909, 0.303177, 0.372527, 0.407777, 0.42227, 0.451255, 0.479239, 0.514862, 0.554841, 0.568734, 0.600414, 0.636621, 0.706108, 0.769525");
-            values ( \
-              "-0.43527, -0.481999, -0.488303, -0.490707, -0.4908, -0.486416, -0.471683, -0.462685, -0.449035, -0.440784, -0.420019, -0.405048, -0.383277, -0.368064, -0.343559, -0.210244, -0.156004, -0.137266, -0.105392, -0.0811171, -0.0576767, -0.0389121, -0.0339426, -0.0248108, -0.017243, -0.00835098, -0.00440452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0248375, 0.0286661, 0.0360581, 0.0647261, 0.244218, 0.333488, 0.394703, 0.456963, 0.500821, 0.570309, 0.592624, 0.637254, 0.751314, 0.859572, 0.940663, 1.00924, 1.07449, 1.12779, 1.19727, 1.28874, 1.35428, 1.42377, 1.56274, 1.70172, 1.91018");
-            values ( \
-              "-0.444108, -0.490598, -0.500627, -0.49869, -0.475965, -0.462539, -0.451457, -0.437719, -0.425498, -0.397176, -0.38436, -0.350812, -0.247663, -0.165476, -0.119526, -0.0894748, -0.0676179, -0.0537018, -0.0393405, -0.0261441, -0.0195624, -0.0141462, -0.00746695, -0.00391663, -0.00159879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0329816, 0.0439005, 0.0509351, 0.0513586, 0.0522564, 0.0538895, 0.055137, 0.0564232, 0.0591606, 0.0674033, 0.07156, 0.0748392, 0.078793, 0.0849365, 0.0880821");
-            values ( \
-              "-0.00464466, -0.181505, -0.242377, -0.25393, -0.260068, -0.262702, -0.259177, -0.25189, -0.226039, -0.0943421, -0.0528972, -0.0325493, -0.017891, -0.0069656, -0.00489752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0330986, 0.0484251, 0.0509439, 0.052224, 0.0537904, 0.0565184, 0.0612434, 0.0650646, 0.0682254, 0.0710947, 0.0738313, 0.081194, 0.0861977, 0.0930542, 0.0975206, 0.101233, 0.106306, 0.116346, 0.125684");
-            values ( \
-              "-0.00840149, -0.295239, -0.322634, -0.347331, -0.356191, -0.359814, -0.352644, -0.339826, -0.323119, -0.302753, -0.275631, -0.178344, -0.123176, -0.0706122, -0.0482975, -0.0348965, -0.0222703, -0.00885711, -0.00371351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.0384093, 0.0423863, 0.0430327, 0.0509006, 0.0520791, 0.0539871, 0.0557203, 0.0586229, 0.0633686, 0.0680521, 0.0793735, 0.0866095, 0.0908894, 0.0951882, 0.100037, 0.106785, 0.117964, 0.127326, 0.13291, 0.138867, 0.143466, 0.148679, 0.155631, 0.161623, 0.16774, 0.175897, 0.19221, 0.212866, 0.236897");
-            values ( \
-              "-0.07882, -0.253422, -0.26475, -0.378244, -0.406604, -0.421125, -0.426233, -0.42774, -0.425002, -0.420293, -0.40489, -0.390003, -0.378083, -0.363044, -0.340468, -0.294139, -0.205056, -0.143593, -0.114588, -0.0892384, -0.0732768, -0.0583836, -0.0428248, -0.0326849, -0.0247721, -0.0170114, -0.00778469, -0.00278844, -0.000832556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0385222, 0.0456482, 0.0509584, 0.0515626, 0.0541287, 0.0574741, 0.0622005, 0.0772888, 0.0967269, 0.108272, 0.125318, 0.143094, 0.152144, 0.162214, 0.181833, 0.201165, 0.220218, 0.234095, 0.246372, 0.260869, 0.277903, 0.291848, 0.303746, 0.31961, 0.342139, 0.383247, 0.429813");
-            values ( \
-              "-0.0869649, -0.329086, -0.410803, -0.433492, -0.459489, -0.467597, -0.46827, -0.46137, -0.449841, -0.441822, -0.427324, -0.405507, -0.389535, -0.365978, -0.292336, -0.214591, -0.151299, -0.115898, -0.090216, -0.0669279, -0.0467267, -0.0349272, -0.0270775, -0.0188995, -0.0117138, -0.0042513, -0.00158718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0406562, 0.0526838, 0.0571879, 0.0591284, 0.0633499, 0.0841004, 0.134162, 0.163567, 0.201958, 0.22092, 0.258286, 0.277202, 0.29738, 0.30808, 0.322347, 0.391698, 0.426948, 0.44144, 0.470425, 0.498409, 0.534033, 0.574011, 0.587902, 0.61958, 0.655784, 0.725271, 0.771009");
-            values ( \
-              "-0.245307, -0.46536, -0.487163, -0.488777, -0.489515, -0.48528, -0.471604, -0.462653, -0.448985, -0.440835, -0.419969, -0.405048, -0.383277, -0.368065, -0.343561, -0.210244, -0.156005, -0.137267, -0.105394, -0.0811181, -0.0576771, -0.0389129, -0.0339434, -0.0248121, -0.0172445, -0.0083517, -0.00550514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.0404835, 0.0529698, 0.0561996, 0.0589136, 0.065178, 0.272807, 0.402829, 0.434375, 0.497469, 0.563272, 0.611416, 0.680904, 0.787175, 0.856663, 0.901208, 0.96662, 1.03132, 1.08773, 1.14309, 1.21257, 1.27557, 1.38274, 1.45222, 1.52171, 1.66069, 1.93864");
-            values ( \
-              "-0.254805, -0.481169, -0.495774, -0.498924, -0.500221, -0.474529, -0.453576, -0.44732, -0.43207, -0.409496, -0.384334, -0.329168, -0.233429, -0.180244, -0.151382, -0.116068, -0.0884274, -0.069441, -0.0545162, -0.0400896, -0.0302732, -0.0186696, -0.0136263, -0.00991006, -0.0052423, -0.00145859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0602687, 0.0640614, 0.0651722, 0.0705592, 0.0740254, 0.0779324, 0.0830085, 0.0874503, 0.0914919, 0.095282, 0.0990303, 0.101856, 0.10311, 0.108761, 0.111758, 0.112737, 0.113532, 0.114487, 0.119055, 0.120829, 0.122913, 0.125558, 0.128747, 0.129001");
-            values ( \
-              "-0.0338473, -0.0448026, -0.0504569, -0.085578, -0.102336, -0.119061, -0.139359, -0.155651, -0.168679, -0.176901, -0.17338, -0.156223, -0.145105, -0.0824261, -0.0569854, -0.0501025, -0.0486555, -0.0449865, -0.0250951, -0.0194814, -0.0142971, -0.00954217, -0.00576277, -0.00558825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0613872, 0.0675314, 0.0719127, 0.0744121, 0.0767812, 0.0793411, 0.081389, 0.0845668, 0.087328, 0.0924341, 0.0956737, 0.0976989, 0.0990884, 0.101231, 0.103153, 0.103794, 0.104458, 0.105122, 0.10645, 0.107066, 0.108298, 0.10943, 0.110978, 0.11173, 0.112481, 0.112708, 0.113351, 0.113914, 0.114072, 0.11444, 0.115071, 0.115492, 0.116334, 0.11798, 0.118785, 0.122109, 0.123852, 0.125137, 0.127213, 0.129609, 0.130411, 0.132016, 0.1337, 0.136129, 0.137372, 0.138366, 0.140355, 0.142343, 0.144669, 0.146596");
-            values ( \
-              "-0.0120387, -0.0838545, -0.119436, -0.136215, -0.150735, -0.165502, -0.176901, -0.193851, -0.20817, -0.233648, -0.248959, -0.258113, -0.264164, -0.273026, -0.280347, -0.282661, -0.284707, -0.286567, -0.28973, -0.290016, -0.290172, -0.289824, -0.288588, -0.287283, -0.285564, -0.286691, -0.286158, -0.285431, -0.284806, -0.282663, -0.277926, -0.274291, -0.265841, -0.247159, -0.236995, -0.192327, -0.170665, -0.155884, -0.133809, -0.110376, -0.103532, -0.0912177, -0.0798217, -0.0645226, -0.0577493, -0.0528976, -0.0448626, -0.0378161, -0.0309537, -0.0257202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0563281, 0.0953646, 0.11363, 0.11493, 0.117806, 0.124068, 0.129418, 0.137214, 0.142052, 0.149174, 0.159434, 0.169198, 0.176823, 0.183553, 0.189553, 0.197551, 0.203412, 0.209987, 0.218754, 0.236288, 0.256804, 0.280519");
-            values ( \
-              "-0.00091483, -0.29511, -0.400229, -0.406476, -0.40811, -0.399851, -0.387969, -0.363001, -0.340467, -0.291182, -0.209136, -0.14446, -0.105957, -0.0795926, -0.0613544, -0.042941, -0.0329658, -0.0244689, -0.016332, -0.00699587, -0.00252907, -0.000770883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0671368, 0.0785809, 0.0825263, 0.114747, 0.1175, 0.119097, 0.126244, 0.144396, 0.158607, 0.167303, 0.179988, 0.18508, 0.194138, 0.2042, 0.22382, 0.240181, 0.254069, 0.264982, 0.279936, 0.292132, 0.302301, 0.314161, 0.330969, 0.348613, 0.362154, 0.389238, 0.435418, 0.483202");
-            values ( \
-              "-0.0762027, -0.201703, -0.233343, -0.454324, -0.459135, -0.459687, -0.457183, -0.445786, -0.435009, -0.427089, -0.412593, -0.405271, -0.389755, -0.36574, -0.292579, -0.225637, -0.176259, -0.143611, -0.107124, -0.0836272, -0.0678036, -0.0528544, -0.0368682, -0.0252348, -0.0188009, -0.0102463, -0.0033828, -0.00106095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.0671381, 0.0864651, 0.0906307, 0.114289, 0.116706, 0.11848, 0.121983, 0.139772, 0.189987, 0.21817, 0.241485, 0.263072, 0.300394, 0.319954, 0.340817, 0.35714, 0.432108, 0.459197, 0.471754, 0.488584, 0.50853, 0.534373, 0.555338, 0.581411, 0.618174, 0.634711, 0.657275, 0.68736, 0.747529, 0.817017, 0.886504, 0.955992");
-            values ( \
-              "-0.0735287, -0.270599, -0.302983, -0.475805, -0.483112, -0.485058, -0.485822, -0.481732, -0.467545, -0.458514, -0.450014, -0.44085, -0.419985, -0.404506, -0.381605, -0.356759, -0.213026, -0.169773, -0.152271, -0.131149, -0.109372, -0.0859438, -0.0704622, -0.0547852, -0.0381611, -0.032431, -0.0259444, -0.019199, -0.0103303, -0.00498502, -0.00240367, -0.00115466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0780436, 0.098983, 0.113657, 0.117953, 0.123592, 0.315027, 0.45546, 0.518163, 0.562026, 0.631514, 0.653829, 0.698459, 0.812519, 0.877877, 0.921294, 0.998689, 1.06818, 1.15221, 1.22087, 1.29623, 1.40263, 1.47211, 1.5416, 1.68058, 1.95853");
-            values ( \
-              "-0.339703, -0.373012, -0.485661, -0.497237, -0.498662, -0.474557, -0.451626, -0.437801, -0.425417, -0.397258, -0.384278, -0.350895, -0.247746, -0.195232, -0.165215, -0.121017, -0.090416, -0.0630172, -0.0465972, -0.033341, -0.0206597, -0.0150512, -0.0109831, -0.0058205, -0.00163204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.107848, 0.116224, 0.120753, 0.124535, 0.136363, 0.150867, 0.164315, 0.170229, 0.174249, 0.175927, 0.17873, 0.181726, 0.195442, 0.201089, 0.20532, 0.211922, 0.217198, 0.223552, 0.239928");
-            values ( \
-              "-0.0125909, -0.0186448, -0.0253379, -0.0322141, -0.0612979, -0.0872214, -0.107351, -0.113887, -0.116654, -0.116468, -0.114147, -0.105804, -0.0361749, -0.0192436, -0.0116126, -0.00508616, -0.00263614, -0.00111506, -0.000481725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.112406, 0.121183, 0.127218, 0.13738, 0.146521, 0.164919, 0.174263, 0.182696, 0.189971, 0.1938, 0.19593, 0.19804, 0.200454, 0.202543, 0.205772, 0.216461, 0.221358, 0.228515, 0.231927, 0.236918, 0.243324, 0.248971, 0.249938, 0.251748, 0.259994, 0.266388, 0.274695, 0.285478");
-            values ( \
-              "-0.0250022, -0.0364276, -0.0509379, -0.082655, -0.106461, -0.147883, -0.166954, -0.18237, -0.192747, -0.195827, -0.196186, -0.19505, -0.191622, -0.186427, -0.173046, -0.103291, -0.0753268, -0.0449358, -0.0345539, -0.0231336, -0.0136586, -0.00844168, -0.00989314, -0.0104563, -0.00578202, -0.00325538, -0.00149023, -0.000580494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.112599, 0.129802, 0.144698, 0.166981, 0.188241, 0.203597, 0.213003, 0.218478, 0.223972, 0.233028, 0.238163, 0.24501, 0.263584, 0.270497, 0.279397, 0.292244, 0.299534, 0.311574, 0.327627, 0.342163");
-            values ( \
-              "-0.00677511, -0.069987, -0.11857, -0.182474, -0.237876, -0.274016, -0.29275, -0.301719, -0.307539, -0.305699, -0.293683, -0.25861, -0.141414, -0.106707, -0.0730217, -0.0412915, -0.0297369, -0.0171494, -0.00795785, -0.00444469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.124499, 0.140571, 0.156493, 0.172051, 0.198857, 0.219155, 0.233653, 0.248914, 0.25257, 0.256882, 0.269842, 0.278964, 0.285807, 0.294932, 0.298104, 0.304449, 0.31714, 0.328552, 0.343767, 0.353334, 0.361436, 0.371619, 0.385052, 0.38925, 0.397645, 0.414437, 0.426736, 0.43132, 0.440487, 0.458822, 0.495492, 0.541852, 0.591213");
-            values ( \
-              "-0.0718263, -0.11263, -0.167524, -0.215993, -0.295733, -0.352637, -0.390221, -0.425479, -0.430686, -0.431075, -0.417748, -0.405355, -0.393986, -0.374281, -0.365773, -0.345258, -0.294566, -0.246995, -0.189798, -0.159154, -0.136381, -0.111628, -0.0850638, -0.0780275, -0.0655866, -0.0459189, -0.035312, -0.0319913, -0.026272, -0.0175697, -0.00756577, -0.00245842, -0.000757946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.140325, 0.170666, 0.207096, 0.250926, 0.254615, 0.263767, 0.311608, 0.335727, 0.356776, 0.394107, 0.413536, 0.43426, 0.45233, 0.513356, 0.547605, 0.559839, 0.578971, 0.599932, 0.627203, 0.650807, 0.676263, 0.712552, 0.749856, 0.778365, 0.835382, 0.904869, 0.974357, 1.04384");
-            values ( \
-              "-0.20127, -0.221225, -0.338557, -0.472417, -0.475048, -0.47339, -0.458604, -0.449803, -0.440849, -0.419979, -0.404616, -0.381946, -0.354177, -0.235417, -0.17772, -0.159972, -0.135156, -0.111747, -0.0866683, -0.0692932, -0.0541923, -0.0379224, -0.0262447, -0.0197369, -0.0109936, -0.00530757, -0.00255816, -0.00122994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.147258, 0.183204, 0.248575, 0.254966, 0.259264, 0.408901, 0.465742, 0.528678, 0.570464, 0.639952, 0.687734, 0.747533, 0.817021, 0.926358, 0.995846, 1.02089, 1.09758, 1.16587, 1.22685, 1.28114, 1.35062, 1.41079, 1.51602, 1.5855, 1.65499, 1.72448, 1.86345, 2.07192");
-            values ( \
-              "-0.261692, -0.269271, -0.481465, -0.493383, -0.493503, -0.474515, -0.466149, -0.45553, -0.447279, -0.430304, -0.414288, -0.384317, -0.32926, -0.230886, -0.178189, -0.161526, -0.118562, -0.0890366, -0.0685646, -0.0540297, -0.0397695, -0.0303715, -0.0188892, -0.0138233, -0.0100179, -0.00733988, -0.00389412, -0.00145769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.226061, 0.249394, 0.251201, 0.254332, 0.257463, 0.260638, 0.263813, 0.268047, 0.272281, 0.282109, 0.2888, 0.293875, 0.299564, 0.304948, 0.310028, 0.312567, 0.314907, 0.317247, 0.319587, 0.321926, 0.323972, 0.326018, 0.328063, 0.330869, 0.33125, 0.33239, 0.333151, 0.333911, 0.335432, 0.336498, 0.337564, 0.338629, 0.339695, 0.340761, 0.341826, 0.342891, 0.343957, 0.344617, 0.346598, 0.348928, 0.352327, 0.354295, 0.357052, 0.360232, 0.361359, 0.36211, 0.364363, 0.367031, 0.368466, 0.370598");
-            values ( \
-              "-0.016954, -0.0190229, -0.0204481, -0.0231035, -0.025995, -0.0292507, -0.0323177, -0.036081, -0.0396546, -0.0474828, -0.0524489, -0.0560335, -0.0598707, -0.0633295, -0.0664385, -0.0679369, -0.069145, -0.0702692, -0.0713095, -0.072266, -0.0730048, -0.0736691, -0.0742589, -0.0749443, -0.074946, -0.0748842, -0.0747873, -0.0746457, -0.0742288, -0.0736813, -0.0729688, -0.0720913, -0.0710488, -0.0697554, -0.0682626, -0.0665703, -0.0646787, -0.0633003, -0.0587531, -0.0525218, -0.0428617, -0.0376111, -0.0308899, -0.0239527, -0.0217149, -0.0204046, -0.0168469, -0.0133908, -0.0117967, -0.00966167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.230586, 0.255895, 0.259042, 0.275356, 0.279774, 0.284192, 0.291357, 0.298065, 0.306706, 0.312751, 0.319869, 0.325698, 0.330383, 0.334828, 0.33771, 0.341265, 0.344552, 0.346537, 0.353046, 0.355881, 0.358923, 0.359667, 0.360393, 0.362571, 0.363907, 0.365243, 0.366579, 0.367915, 0.368676, 0.369438, 0.370961, 0.372561, 0.374161, 0.375622, 0.378544, 0.380005, 0.381672, 0.385006, 0.392022, 0.393679, 0.395336, 0.397442, 0.402229, 0.404016, 0.405804, 0.407515, 0.409225, 0.410936, 0.412646, 0.415746");
-            values ( \
-              "-0.0324508, -0.0335939, -0.0370964, -0.0570877, -0.0621514, -0.0670217, -0.074466, -0.0812106, -0.0895761, -0.0952069, -0.101576, -0.106591, -0.110405, -0.113911, -0.116127, -0.118726, -0.120981, -0.12224, -0.125982, -0.127293, -0.128538, -0.12882, -0.128828, -0.128722, -0.12856, -0.128326, -0.128018, -0.127638, -0.12721, -0.126714, -0.125517, -0.123399, -0.120765, -0.117619, -0.110615, -0.106756, -0.101933, -0.0916225, -0.0677745, -0.0626091, -0.0576568, -0.0517361, -0.0390262, -0.0352144, -0.0316847, -0.0286215, -0.025783, -0.0231692, -0.0207801, -0.0169702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.234489, 0.262242, 0.26659, 0.27019, 0.284038, 0.290795, 0.297552, 0.304309, 0.321474, 0.32199, 0.331098, 0.345201, 0.356076, 0.365431, 0.373786, 0.383557, 0.392325, 0.394327, 0.398329, 0.40033, 0.403453, 0.406577, 0.4097, 0.412823, 0.413722, 0.416422, 0.418222, 0.420022, 0.421821, 0.423621, 0.425929, 0.428237, 0.430545, 0.432853, 0.436014, 0.439175, 0.445497, 0.455457, 0.45838, 0.464228, 0.466177, 0.470406, 0.476156, 0.479049, 0.481942, 0.484608, 0.487273, 0.489938, 0.492603, 0.497336");
-            values ( \
-              "-0.0471602, -0.0497773, -0.0548282, -0.0595304, -0.0793839, -0.0885456, -0.0971959, -0.105554, -0.12605, -0.126499, -0.13693, -0.152508, -0.164002, -0.173546, -0.181623, -0.190592, -0.198023, -0.199498, -0.202202, -0.20343, -0.204872, -0.205939, -0.206632, -0.206949, -0.20696, -0.206795, -0.206072, -0.204919, -0.203335, -0.20132, -0.197838, -0.19382, -0.189264, -0.18417, -0.17561, -0.166517, -0.146733, -0.111811, -0.102028, -0.084866, -0.0795654, -0.0688446, -0.0552086, -0.0497325, -0.0446768, -0.0404618, -0.0365569, -0.0329621, -0.0296774, -0.0245287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.220051, 0.275086, 0.336127, 0.374914, 0.407564, 0.428836, 0.454739, 0.473603, 0.478821, 0.489257, 0.502168, 0.525541, 0.550048, 0.578647, 0.597114, 0.605966, 0.62367, 0.639297, 0.64785, 0.664957, 0.699171, 0.747097, 0.799691");
-            values ( \
-              "-0.00921482, -0.0737252, -0.159515, -0.209459, -0.248987, -0.272874, -0.298612, -0.313118, -0.315749, -0.318862, -0.315202, -0.269973, -0.190351, -0.113559, -0.0780661, -0.0649825, -0.0446048, -0.0319259, -0.0265552, -0.0182703, -0.00836341, -0.00260321, -0.000708882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.247525, 0.288087, 0.381182, 0.425187, 0.469856, 0.507343, 0.526439, 0.54997, 0.557145, 0.56469, 0.588028, 0.603309, 0.633872, 0.644846, 0.666427, 0.715611, 0.743805, 0.765268, 0.781837, 0.80393, 0.833651, 0.861818, 0.899374, 0.925067, 0.953937, 0.99243, 1.06192, 1.13141, 1.20089, 1.27038");
-            values ( \
-              "-0.0664676, -0.0974595, -0.232313, -0.292624, -0.351075, -0.396615, -0.417893, -0.441409, -0.442977, -0.441131, -0.428805, -0.418955, -0.39208, -0.378387, -0.343066, -0.246108, -0.196353, -0.163641, -0.141527, -0.115962, -0.0879357, -0.0673132, -0.0466763, -0.0362526, -0.0272567, -0.0185187, -0.0089674, -0.00432905, -0.00208003, -0.00100427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.274751, 0.327437, 0.439268, 0.538443, 0.549712, 0.557781, 0.567745, 0.696184, 0.754521, 0.81963, 0.863515, 0.933003, 0.955318, 0.999948, 1.11401, 1.17937, 1.22278, 1.30018, 1.36966, 1.4537, 1.52236, 1.5977, 1.7041, 1.77359, 1.84307, 1.98205, 2.26");
-            values ( \
-              "-0.157298, -0.159083, -0.324554, -0.462581, -0.477449, -0.481281, -0.481611, -0.462481, -0.452076, -0.437784, -0.425439, -0.397235, -0.384299, -0.350873, -0.247727, -0.195251, -0.165198, -0.121036, -0.0904018, -0.0630329, -0.0465857, -0.0333302, -0.0206485, -0.0150653, -0.0109709, -0.00580788, -0.00161931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.3924, 0.444858, 0.460161, 0.481713, 0.506878, 0.527489, 0.565937, 0.596415, 0.6323, 0.646339, 0.653815, 0.659182, 0.663453, 0.669137, 0.678609, 0.697422, 0.708471, 0.720494, 0.73273, 0.746713, 0.760599, 0.789468, 0.798997, 0.810422, 0.822962, 0.838951, 0.846858");
-            values ( \
-              "-0.000759509, -0.00219144, -0.00318185, -0.00492374, -0.00807267, -0.012744, -0.0258444, -0.0343905, -0.0422325, -0.0443224, -0.0450078, -0.0451784, -0.0450339, -0.0441219, -0.0390135, -0.0181979, -0.0096696, -0.00471354, -0.00247677, -0.00133912, -0.000862696, -0.000353798, -0.000470751, -0.000193916, -0.000373556, -6.78466e-05, -0.000145992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.47119, 0.522356, 0.529154, 0.535952, 0.54275, 0.54977, 0.560299, 0.569394, 0.586147, 0.593885, 0.608486, 0.612631, 0.62329, 0.635078, 0.646766, 0.658479, 0.661231, 0.666796, 0.670835, 0.67454, 0.678129, 0.682856, 0.686238, 0.689265, 0.69872, 0.70097, 0.703221, 0.70489, 0.706559, 0.709897, 0.711585, 0.713272, 0.71496, 0.716647, 0.719716, 0.722784, 0.725853, 0.728921, 0.730728, 0.734342, 0.737124, 0.741347, 0.745245, 0.754107, 0.757026, 0.757999, 0.761891, 0.765784, 0.770627, 0.775421");
-            values ( \
-              "-0.0151954, -0.0180778, -0.0203256, -0.0227785, -0.0254365, -0.0286895, -0.0337203, -0.0379643, -0.0455373, -0.0488267, -0.0548763, -0.0565359, -0.0606689, -0.065009, -0.0690656, -0.0728495, -0.0737154, -0.0753517, -0.0764986, -0.0774921, -0.0784045, -0.0795311, -0.0802737, -0.0808562, -0.0823028, -0.0823487, -0.0823264, -0.0822659, -0.082168, -0.0818599, -0.0814115, -0.0808296, -0.0801144, -0.0792658, -0.0773034, -0.0749077, -0.0720787, -0.0688165, -0.0666925, -0.0619938, -0.0578909, -0.0507603, -0.0445491, -0.0315038, -0.0277064, -0.0265343, -0.0224595, -0.0188448, -0.0150272, -0.0117251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.44735, 0.493313, 0.51241, 0.530807, 0.549616, 0.608228, 0.635622, 0.66515, 0.685512, 0.716954, 0.738014, 0.750289, 0.769417, 0.774791, 0.785539, 0.799161, 0.817607, 0.84008, 0.858066, 0.868967, 0.878236, 0.890621, 0.907133, 0.933368, 0.963108, 0.997626, 1.1629");
-            values ( \
-              "-0.0126081, -0.0161229, -0.0213122, -0.0277478, -0.0359639, -0.0689265, -0.0833638, -0.0979753, -0.107376, -0.120619, -0.128301, -0.132087, -0.136372, -0.136942, -0.136841, -0.131665, -0.108902, -0.0688085, -0.0425459, -0.0308191, -0.0230434, -0.0154415, -0.00879847, -0.00331942, -0.00107195, -0.000315651, -3.22886e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.446974, 0.519388, 0.536948, 0.559216, 0.598249, 0.647313, 0.691021, 0.744627, 0.782312, 0.802232, 0.837942, 0.865195, 0.891521, 0.900246, 0.917474, 0.935629, 0.962833, 0.989472, 1.00141, 1.017, 1.02989, 1.04346, 1.06265, 1.07088, 1.08733, 1.12023, 1.17342, 1.21583, 1.2332, 1.26031");
-            values ( \
-              "-0.010567, -0.0303579, -0.0376206, -0.0481232, -0.0711896, -0.101889, -0.127196, -0.156068, -0.174833, -0.184124, -0.199217, -0.208581, -0.21415, -0.214383, -0.211162, -0.197563, -0.155781, -0.109999, -0.0921871, -0.0716424, -0.057639, -0.0454678, -0.031851, -0.0273749, -0.0198905, -0.0102349, -0.00300426, -0.00121904, -0.00182729, -0.00120322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.516273, 0.603463, 0.711764, 0.821655, 0.870087, 0.934083, 0.99153, 1.0447, 1.09641, 1.14797, 1.21024, 1.25727, 1.27461, 1.2979, 1.3311, 1.36904, 1.39592, 1.43728, 1.49244, 1.56193, 1.63142, 1.70091");
-            values ( \
-              "-0.0633148, -0.0799178, -0.149557, -0.215093, -0.242446, -0.276328, -0.303037, -0.321419, -0.322931, -0.277219, -0.182978, -0.123423, -0.105308, -0.0847175, -0.0617413, -0.0425775, -0.0326891, -0.0216659, -0.0123122, -0.00595087, -0.00285922, -0.00137969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.516063, 0.61576, 0.833706, 1.01153, 1.11273, 1.15443, 1.21547, 1.23951, 1.2712, 1.29731, 1.32473, 1.36188, 1.41642, 1.43792, 1.47665, 1.5429, 1.61755, 1.67987, 1.72472, 1.77226, 1.83561, 1.85479, 1.89316, 1.94824, 2.01773, 2.07998, 2.17763, 2.24712, 2.3166, 2.38609, 2.45558, 2.59455, 2.73353");
-            values ( \
-              "-0.0578035, -0.0918511, -0.233582, -0.343263, -0.400197, -0.421621, -0.449463, -0.449151, -0.438702, -0.434543, -0.424629, -0.412793, -0.384591, -0.369107, -0.337416, -0.27608, -0.212549, -0.167897, -0.140546, -0.115506, -0.0886777, -0.0815114, -0.069313, -0.0543144, -0.0400982, -0.0302322, -0.0194515, -0.0143305, -0.0102931, -0.00763398, -0.00541118, -0.00282283, -0.00145462" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0296235, 0.0409025, 0.0653309, 0.119249, 0.239134, 0.507645", \
-            "0.0356945, 0.0469113, 0.071414, 0.125477, 0.245475, 0.514045", \
-            "0.0490351, 0.0618439, 0.0861599, 0.140135, 0.260275, 0.528711", \
-            "0.0690124, 0.0885498, 0.119976, 0.173949, 0.293742, 0.56236", \
-            "0.100727, 0.128797, 0.175883, 0.248732, 0.369199, 0.637134", \
-            "0.15694, 0.194725, 0.261328, 0.369811, 0.534825, 0.805205" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0215741, 0.0372466, 0.0726098, 0.151298, 0.32742, 0.722892", \
-            "0.0224067, 0.0374592, 0.0726214, 0.151287, 0.327415, 0.722938", \
-            "0.0308599, 0.0422015, 0.0734091, 0.151279, 0.327409, 0.722934", \
-            "0.0482025, 0.0623607, 0.0870082, 0.154129, 0.327403, 0.722916", \
-            "0.0746966, 0.0962247, 0.130463, 0.186138, 0.33455, 0.722924", \
-            "0.119651, 0.14993, 0.201598, 0.280518, 0.406902, 0.739846" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0255711, 0.02688, 0.0278546, 0.0293798, 0.0315118, 0.0326596, 0.0330479, 0.0338244, 0.0351339, 0.0377529, 0.0398725, 0.0422264, 0.0444852, 0.0506396, 0.0546494, 0.0572807, 0.0607889, 0.0641915, 0.0660889, 0.0698835, 0.0730408, 0.0802973, 0.0867654, 0.0892421, 0.0925443, 0.0988568, 0.105618, 0.115769, 0.120928, 0.132277, 0.138441, 0.145845, 0.155736, 0.164533");
-            values ( \
-              "0.00649608, 0.194575, 0.207969, 0.220734, 0.22919, 0.230077, 0.230047, 0.229521, 0.227475, 0.220187, 0.211722, 0.199912, 0.184972, 0.124457, 0.0911378, 0.0744195, 0.0568165, 0.0440088, 0.0380931, 0.0284783, 0.0222178, 0.0126779, 0.00767987, 0.00639542, 0.00503546, 0.00312621, 0.00212641, 0.00169516, 0.00132176, 0.00074905, 0.000558237, 0.000363238, 0.000234157, 0.000154408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0267616, 0.0280904, 0.03192, 0.0336449, 0.0353221, 0.0383066, 0.0418673, 0.0482342, 0.0542535, 0.0623613, 0.0755058, 0.0845492, 0.0943439, 0.105551, 0.113259, 0.12111, 0.12986, 0.136683, 0.143898, 0.152203, 0.160674, 0.169456");
-            values ( \
-              "0.174585, 0.268548, 0.300815, 0.305172, 0.306185, 0.303269, 0.295717, 0.277222, 0.255304, 0.211388, 0.124886, 0.0839186, 0.053868, 0.0316852, 0.0218678, 0.0149711, 0.0101845, 0.00753259, 0.00545096, 0.00374939, 0.00253356, 0.00170946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.0267729, 0.0297417, 0.0326436, 0.0338968, 0.0364032, 0.0372661, 0.0389917, 0.0424431, 0.0464775, 0.0515988, 0.0712327, 0.0769769, 0.083767, 0.0937595, 0.117444, 0.129692, 0.140543, 0.145261, 0.152079, 0.159587, 0.169336, 0.177686, 0.186743, 0.199612, 0.20521, 0.216405, 0.23271, 0.253544, 0.277891, 0.308408, 0.349874, 0.412806, 4.26875");
-            values ( \
-              "0.115719, 0.329028, 0.351113, 0.355677, 0.36022, 0.360621, 0.360584, 0.357912, 0.352621, 0.344447, 0.308799, 0.297193, 0.281634, 0.252457, 0.16545, 0.127443, 0.0991586, 0.0886649, 0.0750104, 0.0621179, 0.0482695, 0.0387342, 0.0303501, 0.0212741, 0.0182255, 0.0132983, 0.00833569, 0.00451834, 0.00218642, 0.000845981, 0.000216653, 6.4828e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0282171, 0.0352, 0.0379839, 0.0421219, 0.0508179, 0.109681, 0.130673, 0.146525, 0.154995, 0.171936, 0.217729, 0.247516, 0.272217, 0.297077, 0.323037, 0.334877, 0.358557, 0.379031, 0.388177, 0.406468, 0.443052, 0.506963, 0.586197, 0.671573, 0.756948, 0.9277, 4.26875");
-            values ( \
-              "0.361015, 0.387799, 0.392551, 0.393661, 0.388463, 0.337823, 0.317972, 0.300277, 0.289141, 0.2627, 0.178945, 0.130927, 0.0982186, 0.0720482, 0.0512495, 0.0436938, 0.0315055, 0.0236322, 0.020773, 0.0160019, 0.00935741, 0.00343459, 0.000919717, 0.000211273, 5.00988e-05, 3.4768e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0323317, 0.0323517, 0.111541, 0.179072, 0.233051, 0.267624, 0.303279, 0.340292, 0.469345, 0.543768, 0.575009, 0.606974, 0.649443, 0.686999, 0.737074, 0.78061, 0.838954, 0.916746, 1.00212, 1.0875, 1.17208");
-            values ( \
-              "1e-22, 0.452258, 0.386533, 0.359973, 0.336605, 0.319727, 0.298923, 0.272177, 0.16286, 0.110136, 0.0921412, 0.0762142, 0.0586602, 0.0462659, 0.0333926, 0.0250239, 0.0169242, 0.00988289, 0.00542413, 0.00296903, 0.00163111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0352765, 0.0352965, 0.211416, 0.393844, 0.510671, 0.567669, 0.635642, 0.74426, 1.03109, 1.11647, 1.20825, 1.33862, 1.40497, 1.51576, 1.58685, 1.72523, 1.81061, 1.89598, 2.06674, 2.23749, 2.491");
-            values ( \
-              "1e-22, 0.453358, 0.392972, 0.358584, 0.33474, 0.321604, 0.303369, 0.266869, 0.155869, 0.127219, 0.100701, 0.0706395, 0.0585357, 0.0424136, 0.0343291, 0.0225753, 0.0173625, 0.0133271, 0.00781852, 0.00457007, 0.00206051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0424554, 0.0478413, 0.0506114, 0.0509784, 0.0510821, 0.0511858, 0.0513933, 0.0523791, 0.0530079, 0.0539, 0.0545824, 0.0550386, 0.0554947, 0.0561029, 0.0567111, 0.0576865, 0.0586619, 0.0593122, 0.0599625, 0.0606128, 0.0612302, 0.0618493, 0.0625372, 0.0633627, 0.0645586, 0.0663525, 0.067989, 0.0697333, 0.0706653, 0.0725294, 0.0739284, 0.0756106, 0.0773471, 0.0782153, 0.0799518, 0.0808201, 0.0818405, 0.0843318, 0.086293, 0.0872736, 0.0892348, 0.0907937, 0.0919504, 0.0964382, 0.0979082, 0.0995449, 0.101294, 0.104085, 0.106199, 0.108547");
-            values ( \
-              "0.13293, 0.138346, 0.163679, 0.175165, 0.175264, 0.175656, 0.177318, 0.190701, 0.196347, 0.202475, 0.20617, 0.208174, 0.209606, 0.21005, 0.210182, 0.209731, 0.208509, 0.207291, 0.205761, 0.203921, 0.201888, 0.199216, 0.195787, 0.191117, 0.182848, 0.16787, 0.152176, 0.13375, 0.124462, 0.108042, 0.0967892, 0.0845731, 0.0738543, 0.0692788, 0.0608726, 0.0570419, 0.0529971, 0.043469, 0.037439, 0.034743, 0.0299057, 0.0265879, 0.024428, 0.0172861, 0.01535, 0.0135137, 0.0118645, 0.00963194, 0.0081613, 0.0067868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.042482, 0.0532907, 0.0556137, 0.0596755, 0.0619077, 0.066372, 0.0743304, 0.0992965, 0.109104, 0.117861, 0.127259, 0.132843, 0.143831, 0.150473, 0.165007, 0.173534, 0.180027");
-            values ( \
-              "0.101642, 0.263582, 0.283621, 0.292909, 0.29141, 0.282256, 0.25528, 0.106686, 0.068845, 0.0459554, 0.0293952, 0.0224522, 0.0133673, 0.00998918, 0.00520561, 0.00352422, 0.00265162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.0423699, 0.047858, 0.0509306, 0.0519513, 0.0539487, 0.0552749, 0.0571045, 0.0598151, 0.0632055, 0.0665617, 0.0693036, 0.0760712, 0.0831956, 0.0968253, 0.104408, 0.108436, 0.114442, 0.131734, 0.146801, 0.154358, 0.163942, 0.171086, 0.178799, 0.189043, 0.198269, 0.21057, 0.220716, 0.224421, 0.231831, 0.246651, 0.266579, 0.290296, 0.318757, 0.356481, 0.411896, 0.497271, 4.26875");
-            values ( \
-              "0.0348021, 0.205952, 0.254708, 0.283535, 0.317165, 0.331116, 0.34325, 0.351708, 0.35371, 0.351165, 0.347617, 0.336834, 0.324146, 0.297567, 0.280054, 0.269155, 0.25026, 0.185643, 0.135971, 0.114746, 0.0915686, 0.0769558, 0.0634264, 0.048683, 0.0381676, 0.0273072, 0.0206528, 0.018626, 0.015155, 0.00992262, 0.00555728, 0.00273249, 0.00115268, 0.000332256, 4.29204e-05, 1e-22, 5.21539e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.048071, 0.058027, 0.0612863, 0.0644918, 0.0675948, 0.0730617, 0.127209, 0.150859, 0.166624, 0.175177, 0.192282, 0.237902, 0.268041, 0.292394, 0.317155, 0.337248, 0.355128, 0.378968, 0.399275, 0.408342, 0.426477, 0.462747, 0.527028, 0.580004");
-            values ( \
-              "0.365607, 0.377726, 0.387566, 0.39015, 0.389837, 0.38663, 0.340223, 0.317983, 0.300395, 0.289155, 0.262428, 0.178966, 0.130428, 0.0982246, 0.0721435, 0.0554709, 0.0436506, 0.0314014, 0.0236094, 0.0207756, 0.0160402, 0.00942531, 0.00343622, 0.00175706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0517525, 0.0517725, 0.131837, 0.202952, 0.254699, 0.287865, 0.323569, 0.360632, 0.489681, 0.564079, 0.595251, 0.627232, 0.669716, 0.707306, 0.757425, 0.800913, 0.859187, 0.936886, 1.02226, 1.10764, 1.19301, 1.19319");
-            values ( \
-              "1e-22, 0.443086, 0.386555, 0.358489, 0.335983, 0.319754, 0.298924, 0.272139, 0.162824, 0.110124, 0.0921671, 0.0762285, 0.0586659, 0.0462605, 0.0333787, 0.0250214, 0.0169304, 0.00989329, 0.00542981, 0.00297222, 0.00162028, 0.00161879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.0570561, 0.0570761, 0.23176, 0.395408, 0.501925, 0.577087, 0.656005, 0.737899, 0.788345, 0.965245, 1.05519, 1.14057, 1.20632, 1.26943, 1.33483, 1.42021, 1.48921, 1.57345, 1.65883, 1.72606, 1.84968, 1.93506, 2.02043, 2.10581, 2.27656, 2.53269, 2.87419, 4.26872");
-            values ( \
-              "1e-22, 0.460087, 0.392981, 0.362219, 0.340974, 0.324255, 0.303385, 0.276673, 0.257882, 0.188017, 0.154575, 0.12607, 0.106739, 0.0903754, 0.0756024, 0.0594077, 0.048683, 0.0379651, 0.0293706, 0.0239559, 0.0163957, 0.0125842, 0.00963777, 0.00737987, 0.00431321, 0.001917, 0.000648405, 9.14488e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.06967, 0.0761988, 0.0794249, 0.0819029, 0.0895202, 0.0899383, 0.0954952, 0.0967927, 0.0980902, 0.0993877, 0.100685, 0.101864, 0.103044, 0.104223, 0.105402, 0.107029, 0.107753, 0.108115, 0.108835, 0.109192, 0.109908, 0.110468, 0.111589, 0.112704, 0.113396, 0.113627, 0.114105, 0.114584, 0.115583, 0.11997, 0.122151, 0.123077, 0.124004, 0.125857, 0.12771, 0.13039, 0.131242, 0.132519, 0.133852, 0.135185, 0.139887, 0.140992, 0.142097, 0.143752, 0.145407, 0.146418, 0.148439, 0.14945, 0.154273, 0.15552");
-            values ( \
-              "0.0462036, 0.0487704, 0.0624945, 0.0722742, 0.0996373, 0.100959, 0.120075, 0.123808, 0.127342, 0.130675, 0.133808, 0.136482, 0.138991, 0.141334, 0.143511, 0.145791, 0.146006, 0.146001, 0.145767, 0.14554, 0.144867, 0.143343, 0.140714, 0.138653, 0.137163, 0.136592, 0.134826, 0.132595, 0.126438, 0.0962364, 0.0821417, 0.076809, 0.0718058, 0.0629563, 0.0550877, 0.0451757, 0.0422667, 0.0382547, 0.0345786, 0.0312986, 0.0222545, 0.0202514, 0.018415, 0.0162668, 0.0143341, 0.0132713, 0.011364, 0.0105193, 0.00725432, 0.00655954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0696859, 0.0755683, 0.0882136, 0.101521, 0.106556, 0.112607, 0.114665, 0.115622, 0.117536, 0.119982, 0.124107, 0.128557, 0.139194, 0.145864, 0.154189, 0.161742, 0.168075, 0.172554, 0.180653, 0.187644, 0.196427, 0.20416, 0.211503, 0.219995, 0.228791, 0.239178, 0.244373");
-            values ( \
-              "0.0397751, 0.0602409, 0.126334, 0.191798, 0.213778, 0.235899, 0.248927, 0.251177, 0.252765, 0.249136, 0.23435, 0.208788, 0.13703, 0.10312, 0.0711994, 0.0503383, 0.0372998, 0.0301525, 0.0204309, 0.0147198, 0.00997031, 0.00700104, 0.00503681, 0.00337248, 0.00226616, 0.00136334, 0.0011217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0737654, 0.0812685, 0.0981425, 0.112606, 0.114823, 0.116416, 0.118809, 0.121593, 0.126338, 0.131709, 0.143302, 0.149926, 0.154017, 0.162201, 0.177641, 0.192179, 0.199805, 0.209468, 0.216744, 0.224418, 0.234624, 0.24377, 0.255963, 0.2662, 0.270766, 0.279898, 0.296822, 0.317813, 0.34294, 0.373583, 0.41573, 0.479828, 4.26874");
-            values ( \
-              "0.0732443, 0.102688, 0.210333, 0.298142, 0.318581, 0.325906, 0.330981, 0.331763, 0.327197, 0.318413, 0.295695, 0.280255, 0.269201, 0.242763, 0.18453, 0.136595, 0.115108, 0.0916979, 0.0768283, 0.0633809, 0.0486877, 0.0382472, 0.0274416, 0.0206965, 0.0182231, 0.0141155, 0.00867102, 0.00468995, 0.00219782, 0.000858186, 0.000202087, 1.29342e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0813389, 0.0945471, 0.115468, 0.120099, 0.124056, 0.13251, 0.175895, 0.196454, 0.212233, 0.237846, 0.283495, 0.313567, 0.337982, 0.362758, 0.38284, 0.400696, 0.424504, 0.444838, 0.472078, 0.508397, 0.573137, 0.616236");
-            values ( \
-              "0.181963, 0.202744, 0.361187, 0.376988, 0.37935, 0.374663, 0.33751, 0.318013, 0.300396, 0.262487, 0.178973, 0.130529, 0.0982323, 0.0721332, 0.05547, 0.0436634, 0.0314244, 0.0236171, 0.0160366, 0.00941587, 0.0034034, 0.00205366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.0855614, 0.108922, 0.115946, 0.118827, 0.126389, 0.169515, 0.247563, 0.300655, 0.350556, 0.406173, 0.567274, 0.619993, 0.672747, 0.727768, 0.752834, 0.802966, 0.846438, 0.90469, 0.982359, 1.06774, 1.15311, 1.19223");
-            values ( \
-              "0.220332, 0.323944, 0.385022, 0.397324, 0.404698, 0.389664, 0.358878, 0.335788, 0.310309, 0.272129, 0.138507, 0.103879, 0.0762335, 0.0542448, 0.0462597, 0.0333752, 0.0250213, 0.016933, 0.00989683, 0.00543185, 0.00297324, 0.00249513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0930618, 0.122183, 0.132748, 0.248454, 0.449422, 0.584778, 0.661182, 0.782629, 1.10084, 1.24146, 1.38049, 1.48886, 1.6191, 1.7717, 1.89533, 2.06608, 2.32221, 2.46992");
-            values ( \
-              "0.380919, 0.413937, 0.417104, 0.398313, 0.360609, 0.332968, 0.314645, 0.277003, 0.154575, 0.109684, 0.0756026, 0.0556275, 0.0379657, 0.0239563, 0.0163961, 0.00963798, 0.0043133, 0.00293139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124475, 0.136332, 0.139193, 0.147255, 0.163691, 0.177291, 0.185727, 0.193405, 0.198319, 0.200631, 0.204195, 0.207708, 0.214734, 0.222679, 0.228751, 0.235344, 0.245625, 0.24889, 0.251798, 0.254896, 0.267751, 0.281435, 0.292556, 0.298511, 0.299373");
-            values ( \
-              "0.0139084, 0.0146307, 0.0171577, 0.0272952, 0.0560535, 0.0732886, 0.0820978, 0.0885197, 0.0914233, 0.0923156, 0.0927591, 0.0910019, 0.0748184, 0.0473425, 0.0332651, 0.022762, 0.0117168, 0.00950608, 0.0111729, 0.0110967, 0.00539354, 0.00259768, 0.0013222, 0.000928074, 0.000887651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.124548, 0.144278, 0.174917, 0.192513, 0.203285, 0.213011, 0.219216, 0.222144, 0.226657, 0.231302, 0.248963, 0.249763, 0.270508, 0.278488, 0.283661, 0.290213, 0.30172, 0.309391, 0.318095, 0.326837, 0.337032, 0.342201");
-            values ( \
-              "0.0126441, 0.0328233, 0.096622, 0.128081, 0.145028, 0.157241, 0.162285, 0.16338, 0.162135, 0.1541, 0.0889318, 0.0893957, 0.0397967, 0.0272916, 0.0213547, 0.015816, 0.00930387, 0.00651796, 0.00431295, 0.0028566, 0.00174646, 0.00142189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.136255, 0.154566, 0.170597, 0.192995, 0.205172, 0.217109, 0.225043, 0.231425, 0.242046, 0.244413, 0.24895, 0.250735, 0.251778, 0.253865, 0.256683, 0.262106, 0.285356, 0.297924, 0.308677, 0.31353, 0.320541, 0.32797, 0.337624, 0.345803, 0.354864, 0.367718, 0.372078, 0.380837, 0.390846, 0.410864, 0.435538, 0.466212, 0.504803, 0.562227, 0.647603, 4.26878");
-            values ( \
-              "0.050288, 0.0634707, 0.104445, 0.159819, 0.188997, 0.216007, 0.232345, 0.244205, 0.26045, 0.263336, 0.266696, 0.271261, 0.271692, 0.270891, 0.266331, 0.251915, 0.166991, 0.127806, 0.0996907, 0.0888878, 0.0748822, 0.0621124, 0.0483668, 0.0389636, 0.0304974, 0.021368, 0.0189339, 0.0148243, 0.0111647, 0.00622444, 0.00297341, 0.00115165, 0.000325915, 3.07209e-05, 1e-22, 1.76802e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.147973, 0.170649, 0.237716, 0.251722, 0.254401, 0.258023, 0.264011, 0.26975, 0.286518, 0.298191, 0.314077, 0.322509, 0.339374, 0.388528, 0.414854, 0.439705, 0.449554, 0.464603, 0.484611, 0.502309, 0.525906, 0.546448, 0.555623, 0.573974, 0.610676, 0.676782, 0.756983, 0.842359, 0.927735, 4.26862");
-            values ( \
-              "0.108156, 0.113847, 0.307627, 0.345563, 0.35054, 0.351786, 0.349167, 0.344413, 0.329391, 0.318107, 0.300289, 0.289189, 0.262857, 0.173289, 0.131198, 0.0982497, 0.0870916, 0.0720307, 0.0554402, 0.0437315, 0.0315669, 0.0236549, 0.0207831, 0.0159958, 0.00933519, 0.00328859, 0.000861333, 0.000198148, 4.6665e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.16046, 0.204789, 0.251517, 0.254835, 0.257891, 0.263725, 0.331107, 0.402144, 0.435083, 0.470793, 0.507863, 0.636908, 0.711299, 0.74246, 0.774443, 0.816927, 0.85452, 0.904643, 0.948121, 1.00638, 1.08406, 1.16943, 1.25481, 1.34018, 1.42556, 1.59631, 1.85244, 4.26868");
-            values ( \
-              "0.148423, 0.22613, 0.381135, 0.389234, 0.391513, 0.392044, 0.366345, 0.335885, 0.31976, 0.298927, 0.272137, 0.162824, 0.110126, 0.0921743, 0.0762334, 0.0586692, 0.0462621, 0.0333786, 0.0250229, 0.0169332, 0.00989631, 0.00543156, 0.00297304, 0.00162085, 0.000886146, 0.000264188, 4.13101e-05, 5.6371e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.175681, 0.230297, 0.251354, 0.256484, 0.261368, 0.26922, 0.286124, 0.351466, 0.558612, 0.686308, 0.763173, 0.863528, 0.935384, 1.20233, 1.28771, 1.34297, 1.41664, 1.48203, 1.59038, 1.63633, 1.72059, 1.80597, 1.87321, 1.99688, 2.08226, 2.16763, 2.25301, 2.42376, 2.67989, 2.71552");
-            values ( \
-              "0.242999, 0.321642, 0.398109, 0.410196, 0.412079, 0.411961, 0.409337, 0.398054, 0.359129, 0.332974, 0.314528, 0.284183, 0.25796, 0.154585, 0.126082, 0.109685, 0.0903692, 0.0755976, 0.0556268, 0.0486912, 0.0379681, 0.0293747, 0.0239563, 0.0163954, 0.0125825, 0.00963785, 0.00737851, 0.0043121, 0.00191759, 0.00178523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.237324, 0.275287, 0.292559, 0.306527, 0.329131, 0.350699, 0.363837, 0.375844, 0.38358, 0.392868, 0.398413, 0.409503, 0.430104, 0.450419, 0.456543, 0.470627, 0.492803, 0.50631, 0.527806, 0.550248, 0.553062");
-            values ( \
-              "0.00277608, 0.00635811, 0.0105799, 0.0169858, 0.0341512, 0.0471262, 0.0527202, 0.0563493, 0.0578998, 0.058799, 0.0585528, 0.0535616, 0.0236413, 0.00906444, 0.00661234, 0.00338866, 0.00136263, 0.000737335, 0.000316436, 0.000166953, 0.00109967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.249779, 0.278036, 0.289214, 0.297702, 0.311116, 0.34472, 0.35758, 0.37134, 0.388352, 0.40386, 0.413798, 0.418468, 0.425673, 0.432735, 0.439843, 0.44784, 0.45891, 0.474511, 0.487168, 0.494446, 0.505776, 0.520995, 0.530836, 0.543656, 0.550203, 0.556332, 0.563895, 0.570898, 0.584905, 0.589691");
-            values ( \
-              "0.0114699, 0.0119912, 0.0159855, 0.0199819, 0.0289068, 0.0616931, 0.0720888, 0.0815625, 0.0913188, 0.0982643, 0.101462, 0.102505, 0.103508, 0.103006, 0.0989245, 0.0877016, 0.0661275, 0.0416058, 0.0272646, 0.0212039, 0.0139265, 0.00759688, 0.0050501, 0.00290604, 0.00219953, 0.00435426, 0.00464195, 0.0033997, 0.00169697, 0.00139233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.26806, 0.30495, 0.317505, 0.360147, 0.380209, 0.40702, 0.430028, 0.45155, 0.464003, 0.470173, 0.479708, 0.48919, 0.50458, 0.525299, 0.55007, 0.559878, 0.576747, 0.592047, 0.601534, 0.617332, 0.638397, 0.673115, 0.716491, 0.770839, 0.843964, 4.26866");
-            values ( \
-              "0.029319, 0.0336124, 0.0442642, 0.091783, 0.111159, 0.134359, 0.151928, 0.166014, 0.171954, 0.17393, 0.17473, 0.17018, 0.147709, 0.106794, 0.0648468, 0.05646, 0.03689, 0.0241693, 0.0185455, 0.0118499, 0.00636833, 0.00212841, 0.000482005, 6.04168e-05, 1e-22, 1.65144e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.282291, 0.333258, 0.378687, 0.410266, 0.456695, 0.485392, 0.510474, 0.524095, 0.533019, 0.541738, 0.550093, 0.551464, 0.55303, 0.555664, 0.567669, 0.618361, 0.634795, 0.656707, 0.678149, 0.692465, 0.71251, 0.729837, 0.7474, 0.772494, 0.783277, 0.804843, 0.847974, 0.917754, 1.00043, 1.08581, 1.17118, 4.26868");
-            values ( \
-              "0.0471573, 0.0697454, 0.124716, 0.160788, 0.212483, 0.241998, 0.263949, 0.273149, 0.277516, 0.279821, 0.2796, 0.280669, 0.280592, 0.278996, 0.261989, 0.170049, 0.143254, 0.111787, 0.0863231, 0.0720317, 0.0553964, 0.0439052, 0.0344975, 0.0242378, 0.0208177, 0.015286, 0.00805872, 0.00263571, 0.000654515, 0.000147699, 3.84004e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.310759, 0.37181, 0.417956, 0.498306, 0.514349, 0.522434, 0.53052, 0.533009, 0.535498, 0.537987, 0.540476, 0.543804, 0.549828, 0.550433, 0.551645, 0.553448, 0.555509, 0.559631, 0.565633, 0.575352, 0.601903, 0.634302, 0.651645, 0.669916, 0.683188, 0.697209, 0.706556, 0.72525, 0.734597, 0.751511, 0.847426, 0.863611, 0.871704, 0.895981, 0.916907, 0.948074, 0.968558, 0.984602, 1.00065, 1.02194, 1.04323, 1.05583, 1.06844, 1.08104, 1.10625, 1.13146, 1.15304, 1.17463, 1.19391, 1.20355");
-            values ( \
-              "0.105964, 0.123333, 0.183808, 0.29272, 0.313423, 0.327015, 0.343942, 0.344319, 0.345042, 0.346111, 0.347525, 0.349955, 0.356536, 0.35921, 0.360243, 0.362635, 0.364175, 0.363815, 0.362545, 0.358929, 0.34771, 0.333126, 0.324802, 0.315049, 0.307261, 0.298257, 0.291854, 0.278308, 0.271165, 0.257554, 0.175396, 0.161802, 0.155601, 0.137799, 0.123544, 0.103487, 0.0915586, 0.0835393, 0.076028, 0.0670659, 0.0585568, 0.0537328, 0.0494299, 0.0454689, 0.0388747, 0.033045, 0.0288182, 0.0248363, 0.021487, 0.0200425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.328323, 0.405077, 0.550266, 0.563065, 0.701696, 0.851422, 0.951072, 1.02884, 1.10952, 1.16116, 1.33806, 1.428, 1.51338, 1.60017, 1.70764, 1.79302, 1.862, 1.94625, 2.03162, 2.09885, 2.22247, 2.30784, 2.39322, 2.56397, 2.8201, 3.07623, 3.5031, 4.26885");
-            values ( \
-              "0.144211, 0.172725, 0.390361, 0.400546, 0.374862, 0.345797, 0.323982, 0.303391, 0.277109, 0.257895, 0.188023, 0.15458, 0.126073, 0.10106, 0.0756049, 0.0594089, 0.0486853, 0.0379672, 0.0293717, 0.0239574, 0.0163966, 0.0125853, 0.00963816, 0.0056395, 0.00251647, 0.00111526, 0.0002905, 2.37274e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.501782, 0.577418, 0.592418, 0.619415, 0.641662, 0.658799, 0.701235, 0.724522, 0.745703, 0.764878, 0.777179, 0.791942, 0.800759, 0.81894, 0.851851, 0.875683, 0.893181, 0.914023, 0.933201, 0.948095, 0.968955, 1.01917, 1.20941, 1.21596, 1.22507, 1.24094, 1.24844");
-            values ( \
-              "0.00168851, 0.00275401, 0.00339213, 0.00513033, 0.00759226, 0.0109433, 0.0232851, 0.0289788, 0.0329245, 0.0354046, 0.0364276, 0.0369777, 0.0368738, 0.0349121, 0.0151827, 0.00671899, 0.00378921, 0.00167742, 0.000769854, 0.000466919, 0.000202877, 5.67747e-05, 3.99939e-07, 3.03666e-05, 0.000733168, 0.000560028, 0.000247929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.501553, 0.585211, 0.606567, 0.629749, 0.654406, 0.673455, 0.726174, 0.742744, 0.766388, 0.778324, 0.802198, 0.817068, 0.825366, 0.836689, 0.847793, 0.863236, 0.871213, 0.914062, 0.931305, 0.951781, 0.969883, 0.98408, 1.01227, 1.04325, 1.0805, 1.12514, 1.21579, 1.22901, 1.252, 1.27142, 1.31026, 1.34968, 1.42153, 1.5069, 4.26863");
-            values ( \
-              "0.0030154, 0.00591631, 0.0078126, 0.0108461, 0.0158945, 0.0221494, 0.0436873, 0.0493698, 0.0560786, 0.0588098, 0.0629314, 0.0645574, 0.0652305, 0.0658247, 0.0658161, 0.0642136, 0.0611007, 0.0272265, 0.0174965, 0.009606, 0.00541355, 0.00334402, 0.00117774, 0.00037185, 0.00012905, 6.15149e-05, 3.32161e-05, 0.00119047, 0.000847468, 6.28071e-05, 7.71122e-05, 1e-22, 3.55157e-05, 1e-22, 1.58261e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.530904, 0.603838, 0.617225, 0.635075, 0.650025, 0.679924, 0.742679, 0.770737, 0.800594, 0.820346, 0.836501, 0.853922, 0.869752, 0.890267, 0.900001, 0.915145, 0.929783, 0.943554, 0.955884, 0.969215, 0.99413, 1.01558, 1.03687, 1.0492, 1.06037, 1.07599, 1.08238, 1.09517, 1.12074, 1.16706, 1.21597, 1.2328, 1.26067, 1.28692, 1.33432, 1.38322, 1.46695, 1.55233, 1.6377, 1.72308, 1.80845, 4.26881");
-            values ( \
-              "0.0109226, 0.0127319, 0.0149169, 0.0184759, 0.0222091, 0.0326131, 0.0639546, 0.0760054, 0.0866493, 0.0925168, 0.0968904, 0.101175, 0.104652, 0.108196, 0.109561, 0.111065, 0.11127, 0.109103, 0.103173, 0.0922291, 0.0678023, 0.0487989, 0.033242, 0.0260749, 0.0206732, 0.0146913, 0.0127295, 0.00948066, 0.00506393, 0.00137163, 0.000346131, 0.00196059, 0.00155579, 0.000369796, 0.000212392, 1e-22, 5.73432e-05, 1e-22, 3.78948e-05, 1e-22, 2.43619e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.556122, 0.646955, 0.683303, 0.693444, 0.784824, 0.808766, 0.860453, 0.900078, 0.953947, 0.984303, 1.00533, 1.01928, 1.03982, 1.05989, 1.08893, 1.17034, 1.1936, 1.21578, 1.21742, 1.24991, 1.27135, 1.29298, 1.30597, 1.33194, 1.38389, 1.46119, 1.54657, 1.63194, 4.26862");
-            values ( \
-              "0.0214174, 0.0296719, 0.0427789, 0.0474052, 0.096225, 0.107339, 0.12953, 0.145325, 0.164665, 0.173828, 0.178912, 0.181428, 0.183039, 0.179952, 0.163262, 0.0859802, 0.0667478, 0.0512639, 0.0514523, 0.0348955, 0.0258519, 0.0190483, 0.0158275, 0.0108609, 0.00493221, 0.00137207, 0.000322027, 6.95472e-05, 2.32585e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.584907, 0.676759, 0.703083, 0.930293, 1.03909, 1.0802, 1.14214, 1.16389, 1.18244, 1.20065, 1.22288, 1.23669, 1.38189, 1.42816, 1.47503, 1.54772, 1.58335, 1.62088, 1.67432, 1.74371, 1.82909, 1.91446, 1.99984, 2.07567");
-            values ( \
-              "0.0431223, 0.0483161, 0.0600075, 0.183105, 0.23811, 0.256365, 0.278694, 0.283941, 0.28659, 0.28669, 0.28227, 0.273606, 0.151393, 0.118567, 0.0909139, 0.0584455, 0.0466612, 0.0366056, 0.0256835, 0.0161139, 0.00889365, 0.00487541, 0.0026683, 0.00183653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.652532, 0.826694, 1.12296, 1.21575, 1.22898, 1.24682, 1.41264, 1.52951, 1.61137, 1.66183, 1.92864, 2.01402, 2.07976, 2.14286, 2.20826, 2.29364, 2.36261, 2.44686, 2.53223, 2.65243, 2.80844, 2.97919, 3.14995, 3.40607, 3.74758, 4.26858");
-            values ( \
-              "0.0778908, 0.134225, 0.315741, 0.366805, 0.368544, 0.366883, 0.33298, 0.303416, 0.276717, 0.257915, 0.154591, 0.126079, 0.106748, 0.0903835, 0.0756093, 0.0594113, 0.0486877, 0.0379691, 0.0293727, 0.0203849, 0.0125858, 0.00738074, 0.00431375, 0.00191684, 0.000648174, 0.000126458" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * !B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0297622, 0.0411151, 0.0657453, 0.120204, 0.241489, 0.51296", \
-            "0.0358754, 0.0471694, 0.071881, 0.126491, 0.247892, 0.519425", \
-            "0.0494078, 0.0622077, 0.0866233, 0.14132, 0.262818, 0.534152", \
-            "0.0697747, 0.0893584, 0.120854, 0.175308, 0.296504, 0.568082", \
-            "0.102173, 0.130422, 0.177759, 0.250812, 0.37228, 0.643359", \
-            "0.159611, 0.197797, 0.264881, 0.374056, 0.539584, 0.81243" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0217641, 0.0376287, 0.0734435, 0.153382, 0.331969, 0.732748", \
-            "0.0225702, 0.0378451, 0.0734625, 0.153374, 0.331967, 0.732747", \
-            "0.0309334, 0.0424567, 0.0742308, 0.153372, 0.331962, 0.732746", \
-            "0.0484156, 0.0625658, 0.0875699, 0.156065, 0.331958, 0.732744", \
-            "0.0750596, 0.0966619, 0.130925, 0.187567, 0.338521, 0.732737", \
-            "0.120067, 0.150634, 0.202815, 0.282039, 0.409924, 0.748584" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0252404, 0.0278851, 0.0294157, 0.0309458, 0.0327561, 0.0341668, 0.0351722, 0.037183, 0.0424112, 0.0462746, 0.0514074, 0.0549725, 0.0583288, 0.0621149, 0.0660774, 0.0686287, 0.0730448, 0.0787137, 0.083025, 0.086933, 0.0926369, 0.0976968, 0.102561, 0.106896, 0.112036, 0.117226, 0.128574, 0.13537, 0.148859, 0.168917, 0.210369, 0.239234, 0.272593, 4.30871");
-            values ( \
-              "0.0394548, 0.207105, 0.219291, 0.226426, 0.228641, 0.227782, 0.226122, 0.220915, 0.198185, 0.169534, 0.117473, 0.0890668, 0.0687213, 0.0513693, 0.0381424, 0.0314338, 0.0224975, 0.0145809, 0.0104757, 0.00776522, 0.00507092, 0.00351435, 0.00230736, 0.0017455, 0.00126329, 0.00119462, 0.000859222, 0.000607154, 0.000305004, 0.000120562, 3.08929e-05, 3.96683e-05, 1.03789e-07, 9.00851e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0264893, 0.0287791, 0.0317177, 0.0333264, 0.0352193, 0.0370847, 0.0377913, 0.0419747, 0.0495867, 0.0546166, 0.0583618, 0.0614113, 0.0707774, 0.0780437, 0.0862342, 0.0903762, 0.0961, 0.100766, 0.107813, 0.112545, 0.118317, 0.12311, 0.127448, 0.133232, 0.139932, 0.147699, 0.15805, 0.167236, 0.177128, 0.187731, 0.201101, 0.218358, 0.242659, 0.319905, 4.3087");
-            values ( \
-              "0.12376, 0.275634, 0.297991, 0.302608, 0.30435, 0.303306, 0.302433, 0.294008, 0.271537, 0.252802, 0.235154, 0.217263, 0.152395, 0.112593, 0.0784933, 0.0652358, 0.0501107, 0.0401219, 0.0285324, 0.022619, 0.0170192, 0.013432, 0.0108701, 0.00828963, 0.00620683, 0.00446183, 0.00285856, 0.00193823, 0.00125288, 0.000810304, 0.000450596, 0.000240182, 8.77794e-05, 1.31237e-06, 9.64645e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.0264311, 0.0306559, 0.0334147, 0.0348248, 0.0373362, 0.0416408, 0.0466686, 0.0525882, 0.0713962, 0.0790865, 0.0847073, 0.0886447, 0.0942328, 0.115141, 0.12507, 0.131637, 0.14352, 0.146742, 0.15416, 0.161228, 0.172512, 0.176705, 0.185092, 0.198838, 0.204542, 0.210043, 0.217377, 0.232045, 0.250233, 0.271411, 0.295885, 0.327273, 0.37065, 0.436901, 4.3087");
-            values ( \
-              "0.109038, 0.335645, 0.351638, 0.3556, 0.358438, 0.35691, 0.350401, 0.340795, 0.306324, 0.290634, 0.277619, 0.267084, 0.250028, 0.173353, 0.140936, 0.121936, 0.0923858, 0.0855585, 0.0712685, 0.0595598, 0.0444669, 0.03985, 0.0318872, 0.0219575, 0.0187902, 0.0161646, 0.0132127, 0.0087375, 0.00521111, 0.00281217, 0.00138262, 0.000526753, 0.000138935, 1e-22, 6.13028e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0293858, 0.0294058, 0.0669195, 0.103259, 0.121418, 0.135548, 0.150509, 0.166089, 0.183514, 0.218875, 0.245178, 0.272584, 0.287287, 0.301496, 0.319816, 0.334484, 0.352796, 0.37853, 0.389996, 0.405541, 0.426268, 0.467721, 0.531128, 0.611257, 0.697432, 0.783606, 0.955956, 4.30875");
-            values ( \
-              "1e-22, 0.413974, 0.371924, 0.340759, 0.324059, 0.310021, 0.292845, 0.270741, 0.241012, 0.17711, 0.134909, 0.0984804, 0.0823135, 0.0688085, 0.054171, 0.0445568, 0.0347319, 0.0242245, 0.0206261, 0.0165574, 0.0123211, 0.00666111, 0.00248237, 0.000643705, 0.000156519, 2.65466e-05, 1e-22, 8.0377e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0310801, 0.0311001, 0.111922, 0.174496, 0.232376, 0.271166, 0.304969, 0.332425, 0.365168, 0.440844, 0.493569, 0.53889, 0.596359, 0.64136, 0.660687, 0.691314, 0.726317, 0.780834, 0.803796, 0.84972, 0.935895, 1.02207, 1.10824, 1.19442, 1.28059, 1.45294, 1.71147, 4.3087");
-            values ( \
-              "1e-22, 0.43565, 0.382739, 0.358159, 0.333893, 0.315365, 0.295959, 0.276989, 0.250932, 0.186499, 0.144988, 0.114018, 0.0820773, 0.0624573, 0.0553965, 0.0456697, 0.0364491, 0.0254365, 0.0218422, 0.016045, 0.0088197, 0.0048234, 0.00262446, 0.00142946, 0.000774029, 0.000226983, 3.81542e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0356728, 0.0356928, 0.212417, 0.359782, 0.499029, 0.564748, 0.639368, 0.700084, 0.772278, 0.963024, 1.05982, 1.18596, 1.28911, 1.37529, 1.44818, 1.55998, 1.64616, 1.714, 1.83951, 2.01186, 2.09804, 2.27039, 2.52891, 2.87361, 4.30864");
-            values ( \
-              "1e-22, 0.451012, 0.388746, 0.361767, 0.334437, 0.319833, 0.300378, 0.281447, 0.255556, 0.181506, 0.146603, 0.107605, 0.0819157, 0.0645091, 0.0524033, 0.0377826, 0.0292096, 0.0237785, 0.016221, 0.00950957, 0.0072528, 0.00422163, 0.001881, 0.000638032, 2.90493e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0387174, 0.0446081, 0.0509179, 0.0526976, 0.0549376, 0.0571285, 0.0596213, 0.0635011, 0.0759332, 0.0821382, 0.0865561, 0.0925007, 0.0998357, 0.10432, 0.108465, 0.11479, 0.119792, 0.124033, 0.129487, 0.154309, 0.167631, 0.187267, 0.228297, 1.05059");
-            values ( \
-              "0.00403169, 0.106704, 0.164933, 0.192515, 0.206558, 0.210078, 0.206352, 0.190052, 0.0832586, 0.0516554, 0.0370693, 0.0235261, 0.0135169, 0.00967882, 0.00708389, 0.00441501, 0.00295308, 0.002144, 0.00148843, 0.000622225, 0.000305021, 0.000114599, 2.14786e-05, 1.71501e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0411698, 0.0478113, 0.0532855, 0.055786, 0.057715, 0.0585575, 0.0602427, 0.0619661, 0.0654131, 0.0746697, 0.0814686, 0.0905041, 0.0969789, 0.10828, 0.116421, 0.127599, 0.13795, 0.142962, 0.147706, 0.154032, 0.160605, 0.168714, 0.180138, 0.189365, 0.199507, 0.210527, 0.22496, 0.244068, 0.308588, 0.909381");
-            values ( \
-              "0.0430836, 0.177347, 0.261798, 0.282675, 0.289367, 0.290616, 0.29115, 0.289638, 0.28304, 0.252613, 0.217174, 0.154579, 0.118133, 0.0718762, 0.0494731, 0.0289069, 0.0173791, 0.0135818, 0.0107844, 0.00804277, 0.00607189, 0.00427723, 0.00261563, 0.0017503, 0.00112899, 0.000705793, 0.000386422, 0.000183652, 2.65086e-05, 2.27483e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.0433317, 0.0537326, 0.0549889, 0.0571972, 0.0597732, 0.0631062, 0.066602, 0.0680733, 0.0762024, 0.0914415, 0.0991461, 0.104599, 0.108694, 0.114293, 0.136943, 0.150876, 0.161991, 0.168372, 0.177301, 0.188517, 0.194417, 0.203391, 0.214931, 0.223437, 0.231579, 0.242436, 0.25974, 0.278866, 0.301452, 0.328274, 0.364601, 0.41603, 0.49287, 4.30866");
-            values ( \
-              "0.0974445, 0.31235, 0.326457, 0.341664, 0.349485, 0.351608, 0.349039, 0.347238, 0.334473, 0.306303, 0.29059, 0.277968, 0.267161, 0.249955, 0.167302, 0.124186, 0.0959857, 0.0823614, 0.0659384, 0.0493872, 0.0423565, 0.0334215, 0.0244935, 0.0194113, 0.0155275, 0.0114999, 0.00701735, 0.00407456, 0.00208801, 0.000965308, 0.000293744, 6.72112e-05, 1e-22, 1.21206e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0464804, 0.0566399, 0.0582009, 0.06127, 0.0645816, 0.0677898, 0.073337, 0.124213, 0.152046, 0.16781, 0.17594, 0.188595, 0.239115, 0.265348, 0.29282, 0.307449, 0.32168, 0.340025, 0.35474, 0.373038, 0.39876, 0.410213, 0.425731, 0.446423, 0.487806, 0.552091, 0.632804, 0.718978, 0.805153, 0.977502, 4.30875");
-            values ( \
-              "0.246222, 0.366788, 0.375622, 0.384837, 0.387685, 0.387329, 0.383977, 0.340113, 0.31391, 0.296505, 0.286016, 0.267166, 0.177121, 0.13502, 0.0984899, 0.0823971, 0.0688472, 0.0541953, 0.0445492, 0.0347203, 0.0242306, 0.0206358, 0.0165722, 0.0123279, 0.00668117, 0.00244185, 0.00063214, 0.000146633, 3.15026e-05, 5.70856e-07, 2.42049e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.050921, 0.050941, 0.132275, 0.205711, 0.24656, 0.291554, 0.325325, 0.352781, 0.385524, 0.461192, 0.515267, 0.561824, 0.610909, 0.649248, 0.678277, 0.725144, 0.778706, 0.811548, 0.845097, 0.889828, 0.976003, 1.06218, 1.14835, 1.23453, 1.3207, 1.49305, 1.75158, 4.3087");
-            values ( \
-              "1e-22, 0.430485, 0.382714, 0.353786, 0.336617, 0.315352, 0.295961, 0.27699, 0.250934, 0.186508, 0.144002, 0.112399, 0.0849347, 0.0674521, 0.0563751, 0.0419051, 0.0295159, 0.0237631, 0.019011, 0.0140558, 0.00771897, 0.00421215, 0.00229628, 0.00124493, 0.000679465, 0.000201707, 2.98355e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.0558553, 0.0558753, 0.232888, 0.380153, 0.519436, 0.585155, 0.659775, 0.720491, 0.792685, 0.98343, 1.08022, 1.21325, 1.30951, 1.39569, 1.46858, 1.58038, 1.66656, 1.7344, 1.85991, 2.03225, 2.11843, 2.29078, 2.5493, 2.894, 4.30863");
-            values ( \
-              "1e-22, 0.449938, 0.388729, 0.361761, 0.334424, 0.319843, 0.300388, 0.281437, 0.255566, 0.181515, 0.146612, 0.105736, 0.0819239, 0.0645024, 0.0524106, 0.0377896, 0.0292031, 0.0237856, 0.0162153, 0.00950367, 0.00725945, 0.00422808, 0.00187493, 0.000632018, 6.31088e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0713159, 0.079501, 0.0821871, 0.0898027, 0.0948849, 0.0985798, 0.100343, 0.10211, 0.103289, 0.105648, 0.106008, 0.106729, 0.107209, 0.107449, 0.10793, 0.10841, 0.10889, 0.109371, 0.110141, 0.111296, 0.111928, 0.112668, 0.113445, 0.113704, 0.114247, 0.11479, 0.115693, 0.12018, 0.122433, 0.123078, 0.123723, 0.125657, 0.127399, 0.12827, 0.129141, 0.131068, 0.132299, 0.132914, 0.134761, 0.139946, 0.141807, 0.142428, 0.143305, 0.145059, 0.147067, 0.14933, 0.150461, 0.153908, 0.155177, 0.158984");
-            values ( \
-              "0.0545475, 0.0612229, 0.0719563, 0.09941, 0.116246, 0.127628, 0.132018, 0.136115, 0.138681, 0.143405, 0.143779, 0.144917, 0.145463, 0.145673, 0.145879, 0.145957, 0.145904, 0.145723, 0.145161, 0.142402, 0.141116, 0.139809, 0.138059, 0.137408, 0.135334, 0.132745, 0.127136, 0.0960143, 0.0814735, 0.0775352, 0.0741289, 0.0646228, 0.0569798, 0.0534832, 0.0502033, 0.0434678, 0.0393275, 0.0375717, 0.0327682, 0.022368, 0.0191921, 0.0182568, 0.0171458, 0.015082, 0.0129785, 0.0109403, 0.0100529, 0.00777796, 0.00703549, 0.00524355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0721717, 0.0817773, 0.093387, 0.100961, 0.105856, 0.112588, 0.113837, 0.114831, 0.116232, 0.11835, 0.120407, 0.124342, 0.127428, 0.139435, 0.147096, 0.155455, 0.16039, 0.164108, 0.168054, 0.174865, 0.179808, 0.186417, 0.190668, 0.196388, 0.203432, 0.210671, 0.221981, 0.231259, 0.241287, 0.251822, 0.265269, 0.282817, 0.307286, 0.343236, 0.384848, 4.30867");
-            values ( \
-              "0.0619971, 0.0903823, 0.150844, 0.187546, 0.209382, 0.234581, 0.244566, 0.248521, 0.251417, 0.251299, 0.247374, 0.2329, 0.216087, 0.1365, 0.0985637, 0.0680627, 0.0543183, 0.045583, 0.037717, 0.0271318, 0.0212981, 0.0153667, 0.012484, 0.00952795, 0.00698724, 0.00509436, 0.00311208, 0.00206719, 0.00133851, 0.000841001, 0.000482189, 0.000230829, 0.000100707, 3.09592e-05, 1.43563e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0792408, 0.0910512, 0.0986731, 0.101945, 0.105216, 0.106166, 0.107116, 0.108066, 0.109016, 0.110441, 0.112456, 0.112816, 0.113536, 0.115122, 0.116756, 0.117801, 0.118846, 0.121957, 0.125088, 0.126132, 0.129035, 0.13245, 0.136542, 0.142864, 0.14396, 0.146975, 0.150593, 0.155887, 0.161287, 0.165123, 0.173927, 0.17929, 0.183301, 0.191017, 0.197664, 0.203545, 0.209425, 0.217779, 0.22163, 0.226973, 0.23622, 0.239934, 0.243648, 0.248357, 0.253066, 0.256377, 0.262997, 0.267455, 0.274939, 0.283021");
-            values ( \
-              "0.155297, 0.161416, 0.209759, 0.236652, 0.269859, 0.2708, 0.272367, 0.27456, 0.27738, 0.282783, 0.293742, 0.302541, 0.305916, 0.317442, 0.324924, 0.327738, 0.329472, 0.328243, 0.325754, 0.324646, 0.319739, 0.31521, 0.307504, 0.294599, 0.292712, 0.286059, 0.277311, 0.262636, 0.245429, 0.232012, 0.198759, 0.179303, 0.165442, 0.139852, 0.121125, 0.106036, 0.092332, 0.0749524, 0.0680253, 0.0595885, 0.0469879, 0.0423592, 0.0381392, 0.0338162, 0.029892, 0.0274187, 0.0229703, 0.0203683, 0.0164558, 0.0128974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0791925, 0.0943796, 0.11586, 0.120263, 0.123882, 0.133135, 0.170255, 0.197229, 0.213578, 0.234363, 0.27602, 0.311072, 0.338598, 0.367305, 0.400596, 0.418857, 0.444549, 0.471411, 0.492007, 0.5332, 0.597841, 0.678982, 0.765157, 4.30885");
-            values ( \
-              "0.120289, 0.198349, 0.360732, 0.374599, 0.376665, 0.37145, 0.339853, 0.314519, 0.29652, 0.267178, 0.192379, 0.13509, 0.098478, 0.068972, 0.0444931, 0.0346934, 0.0242212, 0.0165909, 0.012359, 0.00671796, 0.00243934, 0.000625292, 0.000145201, 2.56196e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.0850262, 0.105966, 0.1163, 0.119212, 0.126572, 0.164532, 0.268622, 0.317832, 0.353477, 0.398454, 0.562096, 0.621007, 0.681903, 0.731393, 0.79105, 0.864245, 0.902489, 0.946196, 1.03237, 1.11855, 1.20472, 1.37707, 1.80794, 4.30861");
-            values ( \
-              "0.208887, 0.297534, 0.383631, 0.395176, 0.401634, 0.388153, 0.346708, 0.324973, 0.306527, 0.276991, 0.143155, 0.104234, 0.0730206, 0.0538078, 0.0367488, 0.0226658, 0.0175635, 0.0130746, 0.00716921, 0.00391549, 0.00212899, 0.000627545, 3.09221e-05, 1.54203e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0947768, 0.0947968, 0.278403, 0.478777, 0.590577, 0.666587, 0.766006, 0.838199, 1.02894, 1.12574, 1.21191, 1.30002, 1.35503, 1.4412, 1.49546, 1.55136, 1.6259, 1.71207, 1.8337, 1.90541, 1.99158, 2.16393, 2.25011, 2.42246, 2.68098, 3.02568, 4.30858");
-            values ( \
-              "1e-22, 0.419805, 0.388739, 0.351668, 0.32894, 0.310969, 0.281438, 0.255566, 0.181515, 0.146612, 0.119076, 0.0949498, 0.0819243, 0.064503, 0.0552938, 0.0470568, 0.0377898, 0.0292035, 0.0202007, 0.016216, 0.0124203, 0.00725952, 0.00554515, 0.0032269, 0.00142614, 0.000479058, 9.38884e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124463, 0.136932, 0.141247, 0.145418, 0.161144, 0.175163, 0.186323, 0.194005, 0.200111, 0.203497, 0.208323, 0.215443, 0.221963, 0.229155, 0.242877, 0.248878, 0.251176, 0.25643, 0.265324, 0.274771, 0.280075, 0.29144, 0.298482, 0.312133, 0.320753, 0.332452, 0.375069, 0.523064, 4.30866");
-            values ( \
-              "0.0121897, 0.0145367, 0.0185982, 0.0235954, 0.0508244, 0.0697681, 0.0817054, 0.0882152, 0.0916376, 0.0924673, 0.0908398, 0.0741913, 0.0508866, 0.0336276, 0.0146091, 0.0100371, 0.0123826, 0.0108909, 0.00615509, 0.00316681, 0.00242996, 0.00135934, 0.000904738, 0.000411504, 0.000272375, 0.000148988, 4.03709e-05, 1e-22, 1.17004e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.129091, 0.144712, 0.152167, 0.168416, 0.181014, 0.193098, 0.203837, 0.213552, 0.217409, 0.221522, 0.2227, 0.225055, 0.229765, 0.231839, 0.235989, 0.248894, 0.251343, 0.254099, 0.264584, 0.272545, 0.278032, 0.283271, 0.290909, 0.29375, 0.299966, 0.306802, 0.316866, 0.32598, 0.335781, 0.345806, 0.357899, 0.373066, 0.393774, 0.423591, 0.506691, 4.30867");
-            values ( \
-              "0.0237733, 0.0325155, 0.0463515, 0.0823615, 0.106406, 0.127657, 0.144514, 0.156754, 0.160163, 0.162531, 0.162782, 0.162691, 0.158213, 0.15313, 0.139831, 0.0907297, 0.0876702, 0.0813233, 0.0534709, 0.0368698, 0.0283488, 0.0219581, 0.0150682, 0.0131398, 0.00989315, 0.00726811, 0.00462339, 0.00306088, 0.00197648, 0.00125187, 0.000743121, 0.000380212, 0.000172622, 5.74503e-05, 4.01847e-06, 4.67768e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.134891, 0.152888, 0.193601, 0.207111, 0.217742, 0.232034, 0.238957, 0.244621, 0.248917, 0.249671, 0.251179, 0.252906, 0.256361, 0.262819, 0.287972, 0.300429, 0.312219, 0.318535, 0.329805, 0.339024, 0.346386, 0.355799, 0.369023, 0.374959, 0.383043, 0.393823, 0.41241, 0.433423, 0.458562, 0.489058, 0.531631, 0.595717, 4.3087");
-            values ( \
-              "0.041334, 0.0578937, 0.15929, 0.191534, 0.215387, 0.243401, 0.254532, 0.2619, 0.265502, 0.268103, 0.270611, 0.270659, 0.266693, 0.249644, 0.159196, 0.121667, 0.0924019, 0.0793419, 0.0597864, 0.0471269, 0.0388642, 0.0302301, 0.0210653, 0.017908, 0.0143307, 0.0106026, 0.00623227, 0.00338908, 0.00161508, 0.000644192, 0.000162249, 1.13169e-05, 2.2899e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.146725, 0.167206, 0.232206, 0.251314, 0.254403, 0.256751, 0.259881, 0.264793, 0.281672, 0.30368, 0.31908, 0.333008, 0.351523, 0.386894, 0.413128, 0.440671, 0.454076, 0.468374, 0.487423, 0.503156, 0.52121, 0.54674, 0.557953, 0.573014, 0.593094, 0.633256, 0.697324, 0.779129, 0.865303, 0.951478, 1.12383, 4.30872");
-            values ( \
-              "0.0975478, 0.101614, 0.289342, 0.342099, 0.348255, 0.349362, 0.348876, 0.346022, 0.331317, 0.30995, 0.292191, 0.272489, 0.241078, 0.17713, 0.135037, 0.0984064, 0.0835824, 0.0698092, 0.0544537, 0.044153, 0.0345263, 0.0241517, 0.0206368, 0.0166802, 0.0125239, 0.00691537, 0.00254199, 0.000638636, 0.000151673, 2.91463e-05, 1e-22, 5.20251e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.159243, 0.205421, 0.250712, 0.260238, 0.319366, 0.39402, 0.43904, 0.472811, 0.533008, 0.665487, 0.72305, 0.779371, 0.833148, 0.89437, 0.96634, 1.00273, 1.04431, 1.12748, 1.21366, 1.29983, 1.47218, 1.90306, 4.30859");
-            values ( \
-              "0.132349, 0.225639, 0.376091, 0.389084, 0.36726, 0.336638, 0.315356, 0.295963, 0.250938, 0.142002, 0.104096, 0.0749562, 0.0538149, 0.036384, 0.022621, 0.0177483, 0.0134067, 0.0075187, 0.0041074, 0.00223388, 0.000658619, 3.23194e-05, 1.361e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.173671, 0.22389, 0.253773, 0.261268, 0.270701, 0.383374, 0.580831, 0.692631, 0.768641, 0.868059, 0.940253, 1.16326, 1.22779, 1.35393, 1.45708, 1.54325, 1.61614, 1.72795, 1.81412, 1.88196, 2.00745, 2.09363, 2.1798, 2.26598, 2.43832, 2.69685, 3.04155, 4.30855");
-            values ( \
-              "0.229165, 0.295988, 0.402333, 0.408468, 0.407783, 0.38822, 0.351666, 0.328942, 0.31097, 0.28144, 0.255566, 0.169501, 0.146612, 0.107614, 0.081925, 0.0645034, 0.0524113, 0.0377901, 0.0292036, 0.0237862, 0.0162164, 0.0124207, 0.00950431, 0.00725984, 0.00422828, 0.0018751, 0.000632105, 1.05128e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.233226, 0.275093, 0.284843, 0.294726, 0.301311, 0.310543, 0.336451, 0.351704, 0.364818, 0.376863, 0.386334, 0.392222, 0.399483, 0.410663, 0.42586, 0.431854, 0.442506, 0.454727, 0.463838, 0.476865, 0.482078, 0.502027, 0.516883, 0.525857, 0.537991, 0.550055, 0.556086, 0.565104, 0.5738, 0.591193, 0.607514, 0.675418, 4.30859");
-            values ( \
-              "0.00165081, 0.00613129, 0.00810486, 0.0110048, 0.0136787, 0.0189572, 0.0382954, 0.0468751, 0.0523692, 0.0560145, 0.0578076, 0.0583839, 0.0582389, 0.0532215, 0.0296132, 0.0229631, 0.0141508, 0.00780127, 0.00483426, 0.00241741, 0.00195842, 0.000910909, 0.000474378, 0.000336802, 0.000228353, 0.000178324, 0.00179008, 0.00193598, 0.00095445, 0.000253213, 7.1906e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.258063, 0.295839, 0.30347, 0.314098, 0.346032, 0.360014, 0.372527, 0.38953, 0.405055, 0.417759, 0.423637, 0.431474, 0.433957, 0.438922, 0.446224, 0.466719, 0.474885, 0.487558, 0.502555, 0.508938, 0.521817, 0.531288, 0.541517, 0.550088, 0.556549, 0.564524, 0.572255, 0.587717, 0.602993, 0.633546, 0.667908, 0.758762, 4.30863");
-            values ( \
-              "0.012077, 0.018385, 0.0226094, 0.0304305, 0.061535, 0.072633, 0.0810998, 0.0907822, 0.097734, 0.101584, 0.102738, 0.102824, 0.102525, 0.100162, 0.0923309, 0.0547284, 0.0426838, 0.0277289, 0.0159061, 0.0125019, 0.00755429, 0.00518192, 0.0033753, 0.00237949, 0.00449158, 0.00468125, 0.00328757, 0.00153411, 0.00069834, 0.000151664, 2.591e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.269036, 0.307858, 0.318114, 0.324724, 0.346643, 0.371104, 0.391481, 0.408584, 0.431595, 0.452393, 0.465708, 0.471711, 0.477951, 0.483455, 0.490602, 0.496933, 0.503364, 0.526764, 0.546395, 0.550151, 0.554779, 0.559653, 0.570777, 0.580983, 0.59594, 0.60797, 0.616243, 0.632788, 0.658257, 0.688971, 0.727936, 0.782161, 0.854816, 4.30869");
-            values ( \
-              "0.0272622, 0.0345913, 0.0434364, 0.0501815, 0.0755239, 0.100766, 0.119351, 0.133794, 0.151293, 0.165051, 0.171521, 0.173409, 0.174247, 0.173504, 0.169426, 0.161943, 0.151403, 0.105916, 0.0719803, 0.0663315, 0.0632183, 0.0581879, 0.0445594, 0.033981, 0.0225448, 0.0161466, 0.0128149, 0.00798032, 0.00375803, 0.001474, 0.000428089, 5.57661e-05, 2.2831e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.283052, 0.330062, 0.369757, 0.401231, 0.45022, 0.482244, 0.505825, 0.515951, 0.525238, 0.535809, 0.547084, 0.550152, 0.551916, 0.55468, 0.557663, 0.563627, 0.579866, 0.612307, 0.639246, 0.66974, 0.684169, 0.698104, 0.716115, 0.728265, 0.744465, 0.772896, 0.785878, 0.805172, 0.830897, 0.882347, 0.959913, 1.04609, 1.13226, 1.30461, 4.30869");
-            values ( \
-              "0.0481124, 0.0642804, 0.112011, 0.14826, 0.202989, 0.236386, 0.257537, 0.265338, 0.271389, 0.276472, 0.27853, 0.278149, 0.279219, 0.278804, 0.27629, 0.268514, 0.240924, 0.182078, 0.138306, 0.0974608, 0.0816879, 0.068477, 0.0541198, 0.0460376, 0.0369462, 0.0248195, 0.0206867, 0.0157396, 0.0108639, 0.00499249, 0.00139361, 0.000320463, 7.07841e-05, 1.72302e-06, 3.56238e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.300282, 0.347746, 0.370979, 0.406571, 0.435403, 0.477734, 0.508234, 0.535481, 0.549806, 0.551397, 0.553216, 0.556102, 0.561149, 0.568493, 0.59658, 0.620196, 0.645797, 0.665191, 0.681441, 0.698962, 0.726416, 0.759157, 0.83442, 0.854021, 0.874536, 0.915567, 0.94451, 0.962409, 0.986275, 1.03401, 1.05891, 1.06857, 1.08787, 1.12503, 1.17649, 1.19781, 1.24046, 1.32577, 1.41194, 1.49812, 1.58429, 1.67047, 1.75664, 1.84282, 1.92899, 2.10134, 4.30834");
-            values ( \
-              "0.0894123, 0.0913112, 0.120528, 0.166827, 0.205122, 0.262636, 0.302794, 0.336962, 0.353656, 0.357136, 0.359244, 0.360697, 0.360509, 0.358142, 0.346724, 0.336637, 0.324986, 0.315362, 0.306534, 0.295969, 0.277002, 0.250956, 0.186869, 0.170859, 0.15478, 0.125257, 0.106916, 0.0966067, 0.084076, 0.0629553, 0.0539322, 0.0507579, 0.0449093, 0.0353296, 0.0251548, 0.0218384, 0.0164019, 0.00907885, 0.0049634, 0.00270363, 0.00146985, 0.000798723, 0.000433404, 0.000235544, 0.000127532, 3.73982e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.333547, 0.426253, 0.550019, 0.565804, 0.753631, 0.892915, 0.958632, 1.03325, 1.16616, 1.45369, 1.60046, 1.68297, 1.76915, 1.84204, 1.95384, 2.04002, 2.10785, 2.23333, 2.40568, 2.57803, 2.83655, 3.09508, 4.30856");
-            values ( \
-              "0.14048, 0.200514, 0.38613, 0.396215, 0.361766, 0.334428, 0.319844, 0.300391, 0.255572, 0.146616, 0.102038, 0.081927, 0.0645044, 0.0524122, 0.0377905, 0.0292035, 0.0237867, 0.016217, 0.0095046, 0.00554531, 0.00245761, 0.00108874, 2.25786e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.516394, 0.59062, 0.603702, 0.616471, 0.634148, 0.655717, 0.663762, 0.701706, 0.719791, 0.738483, 0.747985, 0.767202, 0.77447, 0.782223, 0.791668, 0.803151, 0.819959, 0.823675, 0.832983, 0.842002, 0.853513, 0.865403, 0.870999, 0.882191, 0.895535, 0.910788, 0.922224, 0.931139, 0.940499, 0.950723, 0.965896, 0.988599, 1.06514, 1.10432, 1.14587, 1.19533, 1.21599, 1.22631, 1.24575, 1.26238, 1.29564, 1.32921, 1.39336, 1.47954, 1.56571, 1.65188, 1.73806, 1.82423, 1.91041, 4.30877");
-            values ( \
-              "0.00212202, 0.00317915, 0.00384187, 0.00469116, 0.00633807, 0.00967572, 0.0116654, 0.0227956, 0.027304, 0.0311501, 0.032734, 0.0352189, 0.0358559, 0.036378, 0.0367288, 0.0366771, 0.0350616, 0.0338984, 0.0290639, 0.0223838, 0.0155983, 0.0105374, 0.00866577, 0.00576738, 0.00353455, 0.00206611, 0.0013465, 0.000925544, 0.000643377, 0.000413641, 0.000246431, 0.00011804, 5.08694e-05, 4.77948e-05, 1.69277e-05, 2.32789e-05, 4.3528e-06, 0.000713222, 0.000448573, 1e-22, 4.90216e-05, 1e-22, 2.58839e-05, 1e-22, 1.83471e-05, 1e-22, 1.31964e-05, 1e-22, 9.66721e-06, 2.34837e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.516291, 0.58261, 0.599745, 0.608313, 0.623925, 0.632963, 0.651039, 0.670887, 0.723443, 0.74592, 0.754624, 0.76909, 0.781073, 0.805039, 0.820823, 0.839563, 0.850709, 0.861875, 0.873582, 0.879978, 0.90274, 0.918568, 0.932053, 0.938808, 0.949729, 0.962279, 0.968787, 0.981286, 0.997483, 1.01744, 1.04291, 1.08153, 1.12177, 1.21598, 1.23283, 1.26054, 1.28663, 1.33445, 1.38287, 1.46905, 1.55522, 1.6414, 1.72757, 1.81375, 1.89992, 1.9861, 2.07227, 4.30877");
-            values ( \
-              "0.00470195, 0.00546025, 0.00685612, 0.00768645, 0.00956222, 0.010905, 0.0144263, 0.0202053, 0.0416388, 0.0493088, 0.0519238, 0.0557699, 0.0584775, 0.0625518, 0.0642539, 0.0654022, 0.0653652, 0.0646352, 0.0612254, 0.0572703, 0.0374647, 0.0258006, 0.0182955, 0.0152127, 0.0111264, 0.00759215, 0.00616493, 0.00409314, 0.00234162, 0.00117996, 0.000492428, 0.000167026, 7.63089e-05, 2.15323e-05, 0.00111973, 0.000630276, 1e-22, 0.000101756, 1e-22, 5.81848e-05, 1e-22, 3.80771e-05, 1e-22, 2.48525e-05, 1e-22, 1.62816e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.516235, 0.606771, 0.628847, 0.643981, 0.653081, 0.671282, 0.704421, 0.738401, 0.763103, 0.776432, 0.803302, 0.822842, 0.83928, 0.872157, 0.872903, 0.882292, 0.901695, 0.910124, 0.917956, 0.925364, 0.932716, 0.947419, 0.962795, 1.00053, 1.02901, 1.04024, 1.0536, 1.07141, 1.08548, 1.09302, 1.10811, 1.13829, 1.18743, 1.21591, 1.23015, 1.25273, 1.27287, 1.31317, 1.35336, 4.3087");
-            values ( \
-              "0.00615657, 0.0127005, 0.0165387, 0.0198931, 0.0222997, 0.028161, 0.0431422, 0.0605021, 0.0714288, 0.0767502, 0.0860982, 0.0918389, 0.0961874, 0.104005, 0.103951, 0.10588, 0.108872, 0.109775, 0.110367, 0.110632, 0.110462, 0.107897, 0.0994603, 0.0642968, 0.0407, 0.0331161, 0.0254827, 0.0174798, 0.01282, 0.010819, 0.00758523, 0.00355556, 0.000833992, 0.000417776, 0.00203654, 0.00184685, 0.0007113, 0.000298521, 1.53002e-05, 2.88794e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.577617, 0.65765, 0.676139, 0.688609, 0.694844, 0.707314, 0.72047, 0.734313, 0.750517, 0.76444, 0.769082, 0.796109, 0.827609, 0.829672, 0.862105, 0.882444, 0.905975, 0.936246, 0.95137, 0.98125, 0.984617, 0.989107, 0.998086, 1.01156, 1.02325, 1.03046, 1.03767, 1.043, 1.04833, 1.059, 1.06388, 1.06876, 1.07364, 1.08163, 1.08962, 1.09907, 1.10851, 1.16415, 1.18191, 1.19897, 1.21187, 1.22571, 1.23314, 1.24428, 1.25169, 1.25978, 1.27292, 1.29046, 1.30099, 1.31151");
-            values ( \
-              "0.030838, 0.0322309, 0.0387338, 0.0439323, 0.0469106, 0.0530828, 0.0599068, 0.0674318, 0.0766889, 0.0841549, 0.0865462, 0.0992996, 0.11364, 0.114331, 0.128062, 0.136381, 0.145434, 0.156416, 0.161584, 0.170967, 0.171721, 0.173037, 0.175347, 0.178178, 0.18101, 0.181081, 0.180989, 0.180815, 0.180552, 0.179757, 0.17858, 0.17652, 0.174098, 0.169155, 0.163643, 0.156366, 0.148363, 0.0946511, 0.0786948, 0.0640636, 0.0567105, 0.0497088, 0.0452711, 0.0391167, 0.0353507, 0.0316525, 0.0264562, 0.0206461, 0.0176827, 0.0150525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.600485, 0.690845, 0.726493, 0.782157, 0.893932, 0.991489, 1.05196, 1.08407, 1.11744, 1.14609, 1.16961, 1.19471, 1.20458, 1.21587, 1.22777, 1.24087, 1.33424, 1.39558, 1.45498, 1.4841, 1.51486, 1.5652, 1.58682, 1.62528, 1.67953, 1.69811, 1.73582, 1.77892, 1.8651, 1.95127, 2.03745, 2.12362, 2.2098, 2.38215, 2.5545, 4.30865");
-            values ( \
-              "0.0513802, 0.05257, 0.0698963, 0.101173, 0.161005, 0.211594, 0.241015, 0.255144, 0.268219, 0.277495, 0.283104, 0.285826, 0.285466, 0.28395, 0.279419, 0.270784, 0.191966, 0.143538, 0.10422, 0.0881817, 0.0734384, 0.0538283, 0.0469549, 0.0366587, 0.0256217, 0.0226601, 0.0176198, 0.0131711, 0.00722106, 0.00394422, 0.00214382, 0.00116784, 0.000631543, 0.000184836, 5.31717e-05, 2.17247e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.639024, 0.762995, 0.906627, 1.13488, 1.21561, 1.22689, 1.24188, 1.41743, 1.49629, 1.53503, 1.59574, 1.66793, 1.85865, 1.95544, 2.04161, 2.1022, 2.1847, 2.27087, 2.34377, 2.45558, 2.54175, 2.66334, 2.73502, 2.8212, 2.99355, 3.1659, 3.42442, 3.76912, 4.30839");
-            values ( \
-              "0.0777773, 0.0951285, 0.179347, 0.319391, 0.363661, 0.365637, 0.364673, 0.329562, 0.31099, 0.300408, 0.281463, 0.255594, 0.181533, 0.146626, 0.119083, 0.102044, 0.081933, 0.0645072, 0.0524146, 0.0377911, 0.0292029, 0.0202034, 0.0162183, 0.0124229, 0.00726117, 0.00422917, 0.00187485, 0.000631756, 0.00011405" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * !B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0298406, 0.0412613, 0.0659346, 0.120394, 0.241981, 0.514482", \
-            "0.0359558, 0.047295, 0.0720349, 0.126631, 0.248332, 0.520896", \
-            "0.0495762, 0.0624171, 0.0868956, 0.141271, 0.263191, 0.535669", \
-            "0.0701206, 0.0896996, 0.121095, 0.175277, 0.296435, 0.568973", \
-            "0.102708, 0.131003, 0.17807, 0.250962, 0.372771, 0.643699", \
-            "0.160453, 0.198731, 0.265641, 0.374751, 0.540635, 0.814093" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0219539, 0.0377657, 0.0734552, 0.153532, 0.333174, 0.73613", \
-            "0.0227627, 0.0379756, 0.0734706, 0.153508, 0.333174, 0.73613", \
-            "0.0310632, 0.0425789, 0.0742003, 0.153537, 0.333175, 0.736131", \
-            "0.0486205, 0.0626569, 0.08754, 0.156272, 0.333127, 0.736131", \
-            "0.0754107, 0.0968995, 0.131201, 0.187881, 0.340202, 0.736133", \
-            "0.120425, 0.150806, 0.20311, 0.28282, 0.411081, 0.751932" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0253664, 0.0274265, 0.0294247, 0.0308835, 0.0323327, 0.0329044, 0.0335143, 0.0352021, 0.03815, 0.0422942, 0.0446146, 0.0529578, 0.0559799, 0.06157, 0.0679227, 0.0716471, 0.0751026, 0.0797099, 0.0849931, 0.0878897, 0.0936828, 0.105269, 0.119938, 0.138243, 0.161455, 0.196017, 4.30954");
-            values ( \
-              "0.0242275, 0.200192, 0.218549, 0.224956, 0.227483, 0.227587, 0.227353, 0.224953, 0.216486, 0.197841, 0.182881, 0.104218, 0.0829538, 0.054474, 0.0342071, 0.0259532, 0.0201059, 0.0142365, 0.00959853, 0.00773123, 0.00498665, 0.00199044, 0.000590838, 0.00013361, 1.35039e-05, 7.64299e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0266675, 0.029519, 0.0313436, 0.0322923, 0.0341896, 0.0371383, 0.0411586, 0.047166, 0.0540899, 0.0584968, 0.064332, 0.0703222, 0.0787128, 0.0827322, 0.0879381, 0.0961746, 0.101837, 0.107021, 0.113932, 0.119575, 0.125836, 0.134185, 0.150882, 0.17258, 0.199431, 0.233387, 4.30954");
-            values ( \
-              "0.176126, 0.282086, 0.294748, 0.298563, 0.302505, 0.302057, 0.294625, 0.27803, 0.254179, 0.234417, 0.198923, 0.15737, 0.110728, 0.0930042, 0.0738467, 0.0506953, 0.0387813, 0.0302434, 0.0215183, 0.0162673, 0.0119003, 0.00777299, 0.00317084, 0.000912705, 0.000187737, 7.51864e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.026684, 0.0322987, 0.0353892, 0.0373756, 0.0413484, 0.050474, 0.0663481, 0.0829556, 0.0886899, 0.100159, 0.111507, 0.127112, 0.143967, 0.158539, 0.1687, 0.177905, 0.190179, 0.200117, 0.211059, 0.225648, 0.254827, 0.293154, 0.340458, 0.400205, 4.30951");
-            values ( \
-              "0.183752, 0.346003, 0.355299, 0.356943, 0.355567, 0.342919, 0.315885, 0.282605, 0.267868, 0.230216, 0.18721, 0.135583, 0.0918966, 0.0639274, 0.0490012, 0.0383059, 0.0273087, 0.0206903, 0.0152023, 0.0099837, 0.00410514, 0.00116793, 0.000230285, 6.34469e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0297488, 0.0297688, 0.0670235, 0.108583, 0.130096, 0.155625, 0.179724, 0.225532, 0.249722, 0.27327, 0.297745, 0.318101, 0.336495, 0.361021, 0.380777, 0.402489, 0.431439, 0.489338, 0.565702, 0.651893, 0.738084, 4.30955");
-            values ( \
-              "1e-22, 0.41862, 0.371572, 0.336188, 0.315947, 0.286231, 0.248012, 0.16572, 0.128085, 0.0973998, 0.0718535, 0.055106, 0.0430919, 0.0307215, 0.023301, 0.0171468, 0.0112842, 0.00465574, 0.0013233, 0.000314838, 6.25098e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0321921, 0.0322121, 0.112027, 0.202629, 0.249534, 0.305127, 0.357571, 0.475502, 0.507467, 0.5767, 0.609504, 0.652799, 0.691896, 0.744026, 0.785919, 0.831941, 0.893303, 0.979494, 1.06569, 1.15188, 1.32426, 1.75522, 4.30953");
-            values ( \
-              "1e-22, 0.444954, 0.382676, 0.345998, 0.325288, 0.295232, 0.257, 0.158589, 0.134946, 0.092128, 0.0759059, 0.0582294, 0.0455349, 0.032454, 0.0246312, 0.0181363, 0.0119404, 0.00655503, 0.00357092, 0.0019516, 0.000579999, 2.29708e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0350792, 0.0350992, 0.212606, 0.413324, 0.499515, 0.57807, 0.640228, 0.726419, 0.773616, 1.02987, 1.09496, 1.19499, 1.30196, 1.38815, 1.47454, 1.53145, 1.60223, 1.73642, 1.82262, 1.90881, 2.08119, 2.25357, 2.51215, 2.85691, 4.30948");
-            values ( \
-              "1e-22, 0.447389, 0.387665, 0.350488, 0.333335, 0.31579, 0.299457, 0.27193, 0.254718, 0.157123, 0.135094, 0.105332, 0.0792795, 0.062369, 0.0487251, 0.0412675, 0.0334634, 0.0223299, 0.0171526, 0.0131505, 0.00769577, 0.00448702, 0.00198961, 0.000670629, 6.96333e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0403993, 0.0510079, 0.0517134, 0.0532302, 0.0550564, 0.0557494, 0.0569806, 0.058193, 0.0606177, 0.0647794, 0.0715444, 0.077665, 0.0835451, 0.089636, 0.0929157, 0.0969367, 0.102298, 0.10542, 0.110332, 0.116881, 0.129979, 0.14573, 0.165728, 0.191584, 0.232203, 4.3096");
-            values ( \
-              "0.0603938, 0.164095, 0.179139, 0.195244, 0.205486, 0.207325, 0.208666, 0.208002, 0.202561, 0.181631, 0.118006, 0.0741136, 0.0479381, 0.0305732, 0.0240146, 0.0178224, 0.0118951, 0.00943308, 0.00653567, 0.00397547, 0.00137427, 0.000377231, 6.50996e-05, 1.23227e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0422245, 0.0456116, 0.0509024, 0.0530862, 0.0556277, 0.0568704, 0.0589892, 0.0611764, 0.0619308, 0.0664567, 0.0703803, 0.0752549, 0.0784345, 0.084485, 0.0900311, 0.0981209, 0.101742, 0.107634, 0.116504, 0.121962, 0.126611, 0.131659, 0.138858, 0.142032, 0.148379, 0.161072, 0.180968, 0.204655, 0.234276, 0.274184, 4.30949");
-            values ( \
-              "0.0848676, 0.14641, 0.216366, 0.258965, 0.280849, 0.286142, 0.289565, 0.289009, 0.288098, 0.27864, 0.266943, 0.249058, 0.234418, 0.197514, 0.158903, 0.113327, 0.0968975, 0.074616, 0.0498017, 0.0384609, 0.0307751, 0.0240503, 0.0168082, 0.0143563, 0.0104265, 0.00537868, 0.00177566, 0.000450066, 6.49936e-05, 3.45339e-06, 7.97115e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.042555, 0.0532555, 0.054555, 0.0566001, 0.0583892, 0.0607747, 0.0650148, 0.0685544, 0.0747087, 0.0909953, 0.102526, 0.108692, 0.120591, 0.138127, 0.147317, 0.1547, 0.16403, 0.170776, 0.178484, 0.18868, 0.197965, 0.210346, 0.220171, 0.231006, 0.245452, 0.274345, 0.312615, 0.359778, 0.419342, 4.30947");
-            values ( \
-              "0.065402, 0.304401, 0.320901, 0.337086, 0.34451, 0.349034, 0.34903, 0.345039, 0.335826, 0.307394, 0.283629, 0.267898, 0.22867, 0.164072, 0.135011, 0.114361, 0.0917844, 0.0778268, 0.064041, 0.0490398, 0.0382525, 0.0271923, 0.020662, 0.015227, 0.0100477, 0.00416842, 0.00119351, 0.000232754, 1.05212e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0425654, 0.0534854, 0.0581514, 0.0605761, 0.0638037, 0.0677565, 0.0738236, 0.107427, 0.128725, 0.150307, 0.165744, 0.175766, 0.19581, 0.235994, 0.271098, 0.293397, 0.31737, 0.338003, 0.357029, 0.382396, 0.401289, 0.422197, 0.450074, 0.505829, 0.581736, 0.667927, 0.754118, 4.3095");
-            values ( \
-              "0.0384159, 0.330592, 0.37461, 0.38145, 0.38605, 0.385385, 0.382602, 0.35471, 0.336208, 0.315854, 0.299019, 0.286209, 0.25503, 0.182066, 0.126305, 0.0974012, 0.0723131, 0.055263, 0.0428491, 0.0301833, 0.0231685, 0.0172449, 0.0115339, 0.00493778, 0.00142155, 0.000334522, 6.73437e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0424409, 0.0593627, 0.0628549, 0.069443, 0.103272, 0.222789, 0.27883, 0.325288, 0.377732, 0.475994, 0.527627, 0.5777, 0.629663, 0.685989, 0.712053, 0.764181, 0.821413, 0.852092, 0.91345, 0.999641, 1.08583, 1.17202, 1.34441, 1.77536, 4.30939");
-            values ( \
-              "0.102312, 0.394539, 0.402918, 0.405569, 0.393981, 0.345999, 0.320894, 0.295232, 0.257001, 0.174111, 0.134947, 0.102774, 0.075907, 0.0536788, 0.0455362, 0.0324553, 0.0222459, 0.0181375, 0.0119416, 0.00655568, 0.00357128, 0.00195179, 0.000580052, 2.29777e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.0549902, 0.0550102, 0.232966, 0.433683, 0.519875, 0.598429, 0.660587, 0.746778, 0.793975, 1.05023, 1.11532, 1.21535, 1.32231, 1.4085, 1.49489, 1.55181, 1.62258, 1.75678, 1.84297, 1.92916, 2.10154, 2.27392, 2.5325, 2.87726, 4.30947");
-            values ( \
-              "1e-22, 0.445308, 0.387639, 0.350487, 0.333337, 0.31579, 0.299457, 0.27193, 0.254718, 0.157124, 0.135094, 0.105331, 0.0792796, 0.0623701, 0.0487261, 0.0412674, 0.0334644, 0.022331, 0.0171525, 0.0131514, 0.00769649, 0.00448765, 0.00198914, 0.000670138, 7.8836e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0716897, 0.0793689, 0.0822507, 0.0898898, 0.0901608, 0.091606, 0.0941351, 0.0964783, 0.0976756, 0.101055, 0.103024, 0.105086, 0.105773, 0.106239, 0.106859, 0.107791, 0.108648, 0.109742, 0.110288, 0.111178, 0.111771, 0.112661, 0.113216, 0.11377, 0.114353, 0.114936, 0.115904, 0.118672, 0.120347, 0.122196, 0.12334, 0.124631, 0.125922, 0.12761, 0.13022, 0.132065, 0.133909, 0.134832, 0.136492, 0.138707, 0.140138, 0.141569, 0.142428, 0.145005, 0.147452, 0.148675, 0.150331, 0.153189, 0.155269, 0.157349");
-            values ( \
-              "0.060068, 0.0603149, 0.0715563, 0.0989769, 0.0996943, 0.104633, 0.112871, 0.120247, 0.123804, 0.133136, 0.137402, 0.141485, 0.142759, 0.143319, 0.14441, 0.144704, 0.144759, 0.144529, 0.144289, 0.142439, 0.141339, 0.139887, 0.138712, 0.137379, 0.135132, 0.132338, 0.126319, 0.107026, 0.0957552, 0.0838602, 0.0770976, 0.0705613, 0.0644767, 0.0573894, 0.0472226, 0.0411274, 0.0361319, 0.0338244, 0.0300561, 0.0255156, 0.0227855, 0.0203513, 0.0191495, 0.0158853, 0.0133033, 0.0121524, 0.0107532, 0.00861242, 0.00721085, 0.00602652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0694842, 0.0746755, 0.0886133, 0.102018, 0.106016, 0.112619, 0.11427, 0.116444, 0.118534, 0.119886, 0.121431, 0.124554, 0.13069, 0.139865, 0.146402, 0.152478, 0.156339, 0.162366, 0.167996, 0.172985, 0.179638, 0.185538, 0.193543, 0.204217, 0.223793, 0.246695, 0.275495, 0.312889, 4.30954");
-            values ( \
-              "0.0336441, 0.052727, 0.125533, 0.191053, 0.208675, 0.233619, 0.24355, 0.249936, 0.249869, 0.247877, 0.244062, 0.232433, 0.196832, 0.136405, 0.103375, 0.0790516, 0.0665982, 0.0504757, 0.0386702, 0.030439, 0.021951, 0.0163776, 0.0109701, 0.00632975, 0.00213726, 0.00057476, 9.05708e-05, 6.93585e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0695036, 0.0838534, 0.0984548, 0.112581, 0.114479, 0.11745, 0.119729, 0.121937, 0.126352, 0.132084, 0.140282, 0.148278, 0.154423, 0.166322, 0.183855, 0.192958, 0.200374, 0.20974, 0.216545, 0.224237, 0.234419, 0.243673, 0.256011, 0.265877, 0.276748, 0.291242, 0.32023, 0.358521, 0.405726, 0.465344, 4.3095");
-            values ( \
-              "0.0121945, 0.115183, 0.208539, 0.294519, 0.312477, 0.325119, 0.328216, 0.32837, 0.324403, 0.315618, 0.300572, 0.283561, 0.267878, 0.228656, 0.164076, 0.135277, 0.114508, 0.0918306, 0.0777538, 0.0640036, 0.0490299, 0.0382782, 0.0272432, 0.0206782, 0.0152236, 0.0100305, 0.00414827, 0.00118583, 0.000231566, 9.63768e-06, 3.81875e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0858398, 0.10334, 0.109641, 0.110426, 0.111212, 0.111997, 0.112782, 0.114703, 0.116037, 0.11698, 0.118693, 0.120357, 0.12202, 0.125522, 0.128227, 0.133487, 0.155078, 0.174401, 0.18516, 0.195919, 0.197015, 0.203204, 0.209395, 0.218432, 0.227468, 0.233493, 0.245542, 0.249324, 0.265843, 0.275788, 0.29135, 0.300567, 0.311796, 0.325046, 0.341353, 0.348154, 0.352005, 0.36356, 0.378826, 0.390045, 0.396176, 0.402307, 0.408437, 0.420699, 0.426829, 0.441644, 0.453818, 0.464671, 0.468289, 0.482759");
-            values ( \
-              "0.244734, 0.266185, 0.327508, 0.331024, 0.332781, 0.332777, 0.331014, 0.352145, 0.361379, 0.365865, 0.371559, 0.374262, 0.375843, 0.374734, 0.373629, 0.370855, 0.35297, 0.335911, 0.32575, 0.315087, 0.314817, 0.308264, 0.301111, 0.289121, 0.276197, 0.267062, 0.247546, 0.24101, 0.210537, 0.192658, 0.165395, 0.149961, 0.133181, 0.115009, 0.0943003, 0.086452, 0.0825454, 0.0715719, 0.0589526, 0.0503023, 0.0461815, 0.0423927, 0.039153, 0.0332354, 0.0305575, 0.02496, 0.020728, 0.0173433, 0.0163026, 0.0129521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.080202, 0.103532, 0.116529, 0.119795, 0.122904, 0.127985, 0.135735, 0.17799, 0.268592, 0.324632, 0.37109, 0.423534, 0.521796, 0.573428, 0.623501, 0.675464, 0.731789, 0.757853, 0.809979, 0.85187, 0.867209, 0.897886, 0.959241, 1.04543, 1.13162, 1.21782, 1.30401, 1.3902, 1.56258, 1.82115, 4.30949");
-            values ( \
-              "0.11745, 0.276263, 0.38315, 0.395191, 0.399314, 0.400906, 0.3989, 0.382662, 0.345998, 0.320894, 0.295232, 0.257001, 0.174112, 0.134948, 0.102775, 0.0759079, 0.0536797, 0.0455372, 0.0324562, 0.0246333, 0.0222469, 0.0181385, 0.0119425, 0.00655617, 0.00357155, 0.00195194, 0.0010544, 0.000580093, 0.000174263, 2.29833e-05, 3.33347e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0963282, 0.0963482, 0.565876, 0.706589, 0.839977, 1.09623, 1.297, 1.4545, 1.54089, 1.66858, 1.80277, 1.97516, 2.14754, 2.31992, 2.92326, 4.30986");
-            values ( \
-              "1e-22, 0.424, 0.333335, 0.299456, 0.254718, 0.157124, 0.0960031, 0.0623703, 0.0487262, 0.0334645, 0.0223312, 0.0131514, 0.00769643, 0.00448754, 0.000670334, 8.85749e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.111292, 0.124762, 0.137536, 0.145819, 0.165014, 0.173248, 0.18922, 0.196203, 0.201838, 0.208974, 0.228609, 0.236537, 0.24486, 0.249178, 0.251778, 0.254566, 0.266778, 0.275496, 0.287627, 0.304146, 0.324852, 0.396928, 0.467292, 0.553483, 0.639674, 0.725866, 0.812057, 0.898248, 0.98444, 1.07063, 1.08055");
-            values ( \
-              "0.0034427, 0.00640309, 0.0143366, 0.023339, 0.0558306, 0.0665096, 0.0834778, 0.088886, 0.0917072, 0.0908157, 0.0366467, 0.02321, 0.0138752, 0.0105067, 0.0121703, 0.0120443, 0.00593844, 0.00315197, 0.00115417, 0.00033747, 2.09323e-05, 1e-22, 3.12726e-05, 1e-22, 3.08853e-05, 1e-22, 3.03364e-05, 1e-22, 2.97676e-05, 1e-22, 3.3598e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.134686, 0.150216, 0.152317, 0.157919, 0.164581, 0.180925, 0.181687, 0.191834, 0.193502, 0.196198, 0.198894, 0.204286, 0.209147, 0.211577, 0.214007, 0.214967, 0.216247, 0.217528, 0.218808, 0.222046, 0.225381, 0.227637, 0.229894, 0.23215, 0.232295, 0.234323, 0.236061, 0.243773, 0.247748, 0.249068, 0.249988, 0.250908, 0.252019, 0.253131, 0.255298, 0.259416, 0.263124, 0.266088, 0.267815, 0.271269, 0.276793, 0.278786, 0.280779, 0.282772, 0.284765, 0.286758, 0.288751, 0.293233, 0.29766, 0.301201");
-            values ( \
-              "0.0406118, 0.0417864, 0.0458805, 0.0589491, 0.0736762, 0.105326, 0.10641, 0.124928, 0.127862, 0.132087, 0.136181, 0.143976, 0.150556, 0.153686, 0.156709, 0.157505, 0.158947, 0.160702, 0.162768, 0.161602, 0.15993, 0.158529, 0.156909, 0.155071, 0.154805, 0.148122, 0.141707, 0.111369, 0.0962684, 0.0915581, 0.0905872, 0.0893621, 0.0875428, 0.0853523, 0.0797041, 0.0677197, 0.058021, 0.0509838, 0.0471743, 0.0402001, 0.0305018, 0.0277651, 0.0252313, 0.0229523, 0.0208417, 0.0188995, 0.0171257, 0.0136353, 0.0105752, 0.00863395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.12448, 0.149774, 0.153245, 0.193851, 0.217899, 0.232198, 0.245134, 0.248895, 0.250445, 0.252221, 0.254008, 0.257376, 0.261915, 0.269129, 0.286911, 0.295873, 0.303259, 0.312699, 0.319574, 0.32725, 0.337418, 0.346636, 0.358926, 0.368842, 0.379758, 0.394313, 0.423423, 0.46174, 0.509005, 0.568699, 4.3095");
-            values ( \
-              "0.00798262, 0.0505739, 0.057998, 0.158713, 0.215038, 0.243873, 0.263009, 0.266106, 0.2697, 0.271194, 0.270323, 0.265137, 0.253258, 0.228803, 0.163739, 0.135415, 0.114737, 0.0918554, 0.0776471, 0.0639502, 0.0490051, 0.0382985, 0.0272998, 0.0206902, 0.0152133, 0.010009, 0.00412023, 0.00117855, 0.000227651, 1.08902e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.162581, 0.197957, 0.213064, 0.232119, 0.238558, 0.242625, 0.245816, 0.249006, 0.250618, 0.252229, 0.253676, 0.255124, 0.25928, 0.263381, 0.265432, 0.267482, 0.271293, 0.277159, 0.290185, 0.301398, 0.306011, 0.310624, 0.317071, 0.323519, 0.329773, 0.336027, 0.348535, 0.351415, 0.368693, 0.386473, 0.404518, 0.415309, 0.418906, 0.427985, 0.443247, 0.44943, 0.45336, 0.465151, 0.480628, 0.492131, 0.498476, 0.50482, 0.511164, 0.523853, 0.530197, 0.539637, 0.552557, 0.563003, 0.569966, 0.583894");
-            values ( \
-              "0.181822, 0.188897, 0.233364, 0.288565, 0.306512, 0.317161, 0.328434, 0.341807, 0.342742, 0.344374, 0.346432, 0.349052, 0.347723, 0.34585, 0.344705, 0.34342, 0.340487, 0.335468, 0.323386, 0.312372, 0.307391, 0.302046, 0.293639, 0.284773, 0.275732, 0.266259, 0.246013, 0.241032, 0.209133, 0.177295, 0.147089, 0.131145, 0.126066, 0.113924, 0.0945571, 0.0873099, 0.083292, 0.0720201, 0.0591737, 0.0502735, 0.0460441, 0.0421565, 0.0388233, 0.0327573, 0.0300245, 0.0264545, 0.0218791, 0.0184979, 0.0164471, 0.0131657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.124447, 0.266831, 0.345974, 0.417029, 0.450984, 0.472468, 0.515435, 0.618349, 0.678454, 0.724852, 0.7752, 0.833104, 0.860463, 0.915183, 0.968933, 0.997959, 1.05601, 1.1422, 1.22839, 1.31458, 1.40078, 1.48697, 1.65935, 1.91792, 4.30947");
-            values ( \
-              "0.00891452, 0.387284, 0.355946, 0.325216, 0.307944, 0.295216, 0.264533, 0.177997, 0.132379, 0.102778, 0.0766467, 0.0536899, 0.0451596, 0.0316353, 0.0221877, 0.0182841, 0.0123288, 0.00676365, 0.00369183, 0.00201145, 0.00109285, 0.000595082, 0.000176146, 2.73395e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.167529, 0.206241, 0.253077, 0.258657, 0.264003, 0.300685, 0.514922, 0.66668, 0.745235, 0.807393, 0.893584, 0.94078, 1.19703, 1.26213, 1.34433, 1.39781, 1.46911, 1.55531, 1.64169, 1.69861, 1.76938, 1.85557, 1.90357, 1.98976, 2.07595, 2.24834, 2.42072, 2.67929, 3.02406, 4.30921");
-            values ( \
-              "0.21894, 0.233751, 0.397457, 0.406934, 0.407868, 0.402011, 0.362864, 0.333336, 0.31579, 0.299457, 0.27193, 0.254719, 0.157124, 0.135094, 0.110246, 0.0960035, 0.0792808, 0.0623708, 0.0487266, 0.041268, 0.0334649, 0.0258197, 0.0223317, 0.0171532, 0.0131517, 0.00769667, 0.00448773, 0.00198928, 0.000670223, 1.21715e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.271351, 0.303925, 0.308154, 0.312383, 0.313202, 0.31566, 0.320576, 0.324682, 0.332083, 0.33711, 0.344395, 0.348416, 0.352437, 0.359038, 0.365638, 0.371679, 0.377719, 0.378914, 0.383695, 0.385065, 0.387806, 0.389176, 0.391983, 0.394791, 0.397598, 0.400406, 0.403214, 0.406022, 0.40883, 0.411638, 0.412089, 0.414136, 0.422669, 0.426881, 0.43059, 0.432496, 0.435991, 0.442313, 0.446491, 0.44858, 0.450669, 0.454848, 0.45868, 0.462166, 0.463909, 0.46843, 0.474033, 0.475153, 0.479636, 0.484118");
-            values ( \
-              "0.011608, 0.0153294, 0.0175419, 0.019971, 0.0205271, 0.0222727, 0.0262237, 0.0293399, 0.0346537, 0.0380727, 0.0426732, 0.0449392, 0.0469778, 0.0496628, 0.0520707, 0.0540317, 0.0557608, 0.0559819, 0.0571759, 0.057322, 0.0575226, 0.057577, 0.0575931, 0.057481, 0.0572406, 0.0568719, 0.0561508, 0.0552118, 0.0540549, 0.0526801, 0.0523421, 0.0495693, 0.0358424, 0.0299808, 0.0254008, 0.023377, 0.0201035, 0.0151117, 0.0122462, 0.011017, 0.00998667, 0.00814261, 0.00672047, 0.0056239, 0.00513574, 0.00402812, 0.00283623, 0.00263034, 0.00199036, 0.00146465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.207096, 0.270051, 0.286462, 0.298699, 0.303738, 0.313815, 0.346307, 0.358033, 0.373288, 0.390379, 0.409505, 0.420517, 0.434735, 0.448958, 0.461153, 0.469365, 0.48334, 0.491505, 0.502885, 0.513789, 0.518427, 0.524107, 0.531681, 0.546828, 0.550471, 0.555014, 0.561334, 0.577517, 0.589794, 0.611298, 0.644008, 0.768413, 1.02699, 1.11318, 1.19937, 1.28556, 1.37175, 1.45794, 1.54413, 4.30984");
-            values ( \
-              "0.00120954, 0.00788204, 0.0135693, 0.0191575, 0.0221033, 0.029439, 0.0608731, 0.0702394, 0.0806677, 0.0904247, 0.0989531, 0.1023, 0.103233, 0.0907748, 0.0663669, 0.0523124, 0.0334303, 0.0250332, 0.0161883, 0.010337, 0.0084989, 0.00666766, 0.00479312, 0.00237401, 0.0020268, 0.00416598, 0.00484192, 0.00241667, 0.00125163, 0.00040916, 2.65497e-05, 1e-22, 1.90149e-05, 1e-22, 1.87899e-05, 1e-22, 1.8513e-05, 1e-22, 1.82267e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.209729, 0.275683, 0.290247, 0.298432, 0.311932, 0.318813, 0.332576, 0.34688, 0.371682, 0.38847, 0.409278, 0.432314, 0.453015, 0.45778, 0.463227, 0.472315, 0.491335, 0.510403, 0.530383, 0.541256, 0.550644, 0.554602, 0.559004, 0.570691, 0.580642, 0.59551, 0.601278, 0.607459, 0.6157, 0.632182, 0.665147, 0.706118, 0.757666, 0.823767, 1.08234, 1.16853, 1.25472, 1.34091, 1.42711, 1.5133, 1.59949, 1.68568, 1.77187, 1.85806, 4.31001");
-            values ( \
-              "0.00244082, 0.0143634, 0.0217461, 0.0267234, 0.0366396, 0.0429637, 0.0577159, 0.0745361, 0.0998728, 0.115347, 0.133345, 0.151534, 0.165241, 0.16783, 0.170452, 0.173696, 0.170583, 0.140518, 0.101014, 0.0815968, 0.0666751, 0.0641667, 0.0596772, 0.0450887, 0.0345091, 0.0228392, 0.0194166, 0.0163327, 0.0129427, 0.00802201, 0.00288569, 0.000721584, 0.000122236, 1e-22, 1.51044e-05, 1e-22, 1.47115e-05, 1e-22, 1.42984e-05, 1e-22, 1.38827e-05, 1e-22, 1.34709e-05, 1e-22, 8.45232e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.299499, 0.350206, 0.363345, 0.380029, 0.437053, 0.450204, 0.48033, 0.492888, 0.504133, 0.511191, 0.525308, 0.526272, 0.528199, 0.532054, 0.542398, 0.5503, 0.55199, 0.55368, 0.555369, 0.556949, 0.558528, 0.561687, 0.568069, 0.572623, 0.579902, 0.594661, 0.612289, 0.62631, 0.633008, 0.636608, 0.647407, 0.661035, 0.668673, 0.673832, 0.67796, 0.681889, 0.693677, 0.709151, 0.720651, 0.726992, 0.733333, 0.739674, 0.746016, 0.752357, 0.758698, 0.768143, 0.782813, 0.795004, 0.798488, 0.812421");
-            values ( \
-              "0.0792286, 0.0874697, 0.103273, 0.12279, 0.188035, 0.20255, 0.235119, 0.24622, 0.255577, 0.261168, 0.271699, 0.272035, 0.273064, 0.275449, 0.277351, 0.279167, 0.278937, 0.27834, 0.277379, 0.275901, 0.274273, 0.270567, 0.261008, 0.253444, 0.240709, 0.213723, 0.182144, 0.158113, 0.147121, 0.141684, 0.126083, 0.10803, 0.0983474, 0.0920852, 0.0872989, 0.0832825, 0.0720145, 0.059171, 0.0502749, 0.0460471, 0.042161, 0.0388293, 0.0356974, 0.0327654, 0.0300332, 0.0264589, 0.0212922, 0.017453, 0.0164463, 0.0131641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.275273, 0.336739, 0.405452, 0.510983, 0.552183, 0.557722, 0.563466, 0.572115, 0.646332, 0.671361, 0.699536, 0.753986, 0.835419, 0.875617, 0.915736, 0.945319, 0.987705, 1.03614, 1.05997, 1.08767, 1.1246, 1.177, 1.19895, 1.24283, 1.32903, 1.41522, 1.50141, 1.5876, 1.67379, 1.84617, 2.10475, 4.3096");
-            values ( \
-              "0.0372358, 0.0768386, 0.163899, 0.305367, 0.357036, 0.360235, 0.359379, 0.356215, 0.324142, 0.311585, 0.295249, 0.255393, 0.186264, 0.154232, 0.125436, 0.106717, 0.0836335, 0.062356, 0.0537824, 0.0451547, 0.0355907, 0.0251922, 0.0217842, 0.0162297, 0.00893007, 0.00488529, 0.00266231, 0.00144893, 0.000787422, 0.000232325, 3.73904e-05, 1.14093e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.275657, 0.391542, 0.550618, 0.56118, 0.580002, 0.892805, 1.03352, 1.1669, 1.42316, 1.62393, 1.78143, 1.86782, 1.99551, 2.12969, 2.30207, 2.47446, 2.64684, 3.16399, 4.30998");
-            values ( \
-              "0.0249871, 0.149559, 0.385189, 0.392272, 0.393587, 0.333338, 0.299458, 0.254719, 0.157124, 0.0960037, 0.062371, 0.0487268, 0.0334651, 0.0223319, 0.0131519, 0.00769675, 0.00448777, 0.000881387, 2.4231e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.422496, 0.452484, 0.530318, 0.566882, 0.587517, 0.608152, 0.622837, 0.652206, 0.66399, 0.713865, 0.727249, 0.74849, 0.767737, 0.777253, 0.785927, 0.803732, 0.821538, 0.825847, 0.858175, 0.872125, 0.882224, 0.891667, 0.907361, 0.928286, 0.957905, 0.994028, 1.11097, 1.21582, 1.23111, 1.27174, 1.30732, 1.34571, 1.41889, 1.50509, 1.59128, 1.67747, 4.30944");
-            values ( \
-              "0.000194857, 0.000291203, 0.00128449, 0.00226062, 0.00301053, 0.00407197, 0.00516455, 0.00880272, 0.0116294, 0.0256964, 0.0287457, 0.0326071, 0.0350523, 0.0358776, 0.0364122, 0.0367438, 0.0351323, 0.033601, 0.013746, 0.00856701, 0.00591212, 0.00412214, 0.00218137, 0.000851817, 0.000206735, 6.2557e-05, 1.65188e-05, 2.60166e-06, 0.000641159, 1e-22, 4.93821e-05, 1e-22, 2.63017e-05, 1e-22, 1.83989e-05, 1e-22, 1.314e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.430306, 0.452463, 0.530311, 0.563739, 0.5749, 0.597223, 0.608159, 0.624583, 0.633533, 0.651434, 0.676184, 0.723831, 0.755131, 0.781692, 0.805876, 0.817836, 0.828735, 0.851058, 0.873382, 0.88344, 0.914142, 0.928121, 0.947258, 0.960069, 0.967359, 0.98194, 1.0111, 1.04762, 1.09293, 1.21583, 1.23738, 1.27054, 1.30167, 1.35761, 1.41506, 1.50125, 1.58744, 1.67363, 1.75982, 1.84601, 1.93221, 4.30944");
-            values ( \
-              "0.000437316, 0.000580056, 0.00255313, 0.00420631, 0.00489494, 0.00656063, 0.00762121, 0.00957618, 0.010935, 0.0144143, 0.0219624, 0.0413889, 0.0516964, 0.0582744, 0.0625569, 0.0640623, 0.0650841, 0.065854, 0.0622309, 0.0553653, 0.0292803, 0.0205246, 0.0118624, 0.00797841, 0.00629348, 0.00384415, 0.00125431, 0.000270735, 7.03922e-05, 2.04723e-05, 0.00103724, 0.000441453, 1e-22, 0.000112834, 1e-22, 6.76421e-05, 1e-22, 4.38691e-05, 1e-22, 2.82823e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.530246, 0.600327, 0.623694, 0.653437, 0.69705, 0.747101, 0.763896, 0.803788, 0.839784, 0.872497, 0.9032, 0.93299, 0.962783, 1.02153, 1.04035, 1.06567, 1.07919, 1.09868, 1.12467, 1.17103, 1.21579, 1.23256, 1.25943, 1.28457, 1.37869, 4.3094");
-            values ( \
-              "0.0102073, 0.0116375, 0.0154174, 0.0221883, 0.0389537, 0.0640514, 0.0712275, 0.0858843, 0.0964038, 0.104062, 0.109214, 0.110952, 0.100906, 0.0465495, 0.0331008, 0.0197741, 0.0146973, 0.00941137, 0.00497182, 0.00130773, 0.000364909, 0.00197473, 0.00160327, 0.000435167, 1e-22, 4.3894e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.530302, 0.63329, 0.666768, 0.68836, 0.788363, 0.827853, 0.878101, 0.936365, 0.981323, 1.00352, 1.02316, 1.06411, 1.10512, 1.17107, 1.21038, 1.21585, 1.2264, 1.23841, 1.26422, 1.29033, 1.32389, 1.3509, 1.40149, 1.48125, 1.56744, 1.65363, 1.73983, 1.82602, 1.91221, 1.9984, 2.08459, 2.17078, 2.25697, 2.34316, 2.42936, 2.51555, 2.60174, 2.68793, 2.77412, 2.86031, 4.30947");
-            values ( \
-              "0.0114034, 0.0244879, 0.0346583, 0.0432361, 0.0952669, 0.113412, 0.134416, 0.156449, 0.17082, 0.176439, 0.180135, 0.178941, 0.152174, 0.0887613, 0.0574373, 0.0538097, 0.0507192, 0.0426676, 0.0299412, 0.0207527, 0.012823, 0.0088974, 0.00394998, 0.00121174, 0.000125354, 0.000180157, 1e-22, 0.000123809, 1e-22, 0.000118005, 1e-22, 0.000114831, 1e-22, 0.000111833, 1e-22, 0.000108889, 1e-22, 0.000105998, 1e-22, 0.000103159, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.530359, 0.679213, 0.716389, 0.802743, 0.935208, 1.01017, 1.04791, 1.08456, 1.14676, 1.1611, 1.17748, 1.20524, 1.21591, 1.22748, 1.24229, 1.36662, 1.43544, 1.46509, 1.50887, 1.5663, 1.59209, 1.64369, 1.68612, 1.70162, 1.73263, 1.79463, 1.88083, 1.96702, 2.05321, 2.1394, 2.22559, 2.39797, 2.65655, 4.30953");
-            values ( \
-              "0.00810713, 0.0471739, 0.0640877, 0.111856, 0.182145, 0.22041, 0.238433, 0.254566, 0.276979, 0.28063, 0.283736, 0.284987, 0.283488, 0.279285, 0.26955, 0.165892, 0.116474, 0.0987385, 0.0764272, 0.0536878, 0.0456105, 0.0326349, 0.0246721, 0.0222671, 0.0181072, 0.0118738, 0.00651192, 0.00355333, 0.00193606, 0.00105153, 0.000572903, 0.000169774, 2.59891e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.607914, 0.780803, 0.908844, 1.11687, 1.20592, 1.21558, 1.22658, 1.23889, 1.41823, 1.4736, 1.53599, 1.62218, 1.66939, 1.92564, 1.99074, 2.09076, 2.19772, 2.28392, 2.34184, 2.42721, 2.49799, 2.58418, 2.63217, 2.71837, 2.80456, 2.89075, 2.97694, 3.14932, 3.4079, 3.75266, 4.3092");
-            values ( \
-              "0.0405788, 0.104502, 0.180113, 0.307685, 0.357486, 0.362463, 0.364485, 0.363939, 0.328468, 0.31585, 0.299461, 0.271936, 0.254718, 0.157125, 0.135094, 0.105332, 0.0792807, 0.0623713, 0.0528898, 0.0412687, 0.033466, 0.02582, 0.0223325, 0.0171533, 0.0131523, 0.0100653, 0.00769713, 0.00448811, 0.00198907, 0.000669983, 0.000116734" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0298406, 0.0412613, 0.0659346, 0.120394, 0.241981, 0.514482", \
-            "0.0359558, 0.047295, 0.0720349, 0.126631, 0.248332, 0.520896", \
-            "0.0495762, 0.0624171, 0.0868956, 0.141271, 0.263191, 0.535669", \
-            "0.0701206, 0.0896996, 0.121095, 0.175277, 0.296435, 0.568973", \
-            "0.102708, 0.131003, 0.17807, 0.250962, 0.372771, 0.643699", \
-            "0.160453, 0.198731, 0.265641, 0.374751, 0.540635, 0.814093" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0219539, 0.0377657, 0.0734552, 0.153532, 0.333174, 0.73613", \
-            "0.0227627, 0.0379756, 0.0734706, 0.153508, 0.333174, 0.73613", \
-            "0.0310632, 0.0425789, 0.0742003, 0.153537, 0.333175, 0.736131", \
-            "0.0486205, 0.0626569, 0.08754, 0.156272, 0.333127, 0.736131", \
-            "0.0754107, 0.0968995, 0.131201, 0.187881, 0.340202, 0.736133", \
-            "0.120425, 0.150806, 0.20311, 0.28282, 0.411081, 0.751932" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0238695, 0.0328457, 0.0521924, 0.0948847, 0.190232, 0.403912", \
-            "0.0284333, 0.0374914, 0.05698, 0.0998179, 0.195045, 0.40898", \
-            "0.0351354, 0.0473799, 0.0680981, 0.111042, 0.206569, 0.420387", \
-            "0.039826, 0.058131, 0.0881466, 0.136403, 0.231731, 0.445912", \
-            "0.0373689, 0.0637315, 0.108542, 0.179381, 0.289467, 0.502983", \
-            "0.016377, 0.0533872, 0.116946, 0.221028, 0.383961, 0.631733" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.015565, 0.0268491, 0.0522997, 0.109532, 0.237813, 0.52572", \
-            "0.0169419, 0.0271654, 0.0523701, 0.109468, 0.237832, 0.52572", \
-            "0.0251517, 0.0341132, 0.05495, 0.109494, 0.237735, 0.525586", \
-            "0.0390973, 0.0520169, 0.073998, 0.118079, 0.237938, 0.525588", \
-            "0.0621244, 0.0805307, 0.111515, 0.161957, 0.259495, 0.525971", \
-            "0.103438, 0.128224, 0.171622, 0.243547, 0.356862, 0.575154" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0253664, 0.0274265, 0.0294247, 0.0308835, 0.0323327, 0.0329044, 0.0335143, 0.0352021, 0.03815, 0.0422942, 0.0446146, 0.0529578, 0.0559799, 0.06157, 0.0679227, 0.0716471, 0.0751026, 0.0797099, 0.0849931, 0.0878897, 0.0936828, 0.105269, 0.119938, 0.138243, 0.161455, 0.196017, 4.30954");
-            values ( \
-              "0.0242275, 0.200192, 0.218549, 0.224956, 0.227483, 0.227587, 0.227353, 0.224953, 0.216486, 0.197841, 0.182881, 0.104218, 0.0829538, 0.054474, 0.0342071, 0.0259532, 0.0201059, 0.0142365, 0.00959853, 0.00773123, 0.00498665, 0.00199044, 0.000590838, 0.00013361, 1.35039e-05, 7.64299e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0266675, 0.029519, 0.0313436, 0.0322923, 0.0341896, 0.0371383, 0.0411586, 0.047166, 0.0540899, 0.0584968, 0.064332, 0.0703222, 0.0787128, 0.0827322, 0.0879381, 0.0961746, 0.101837, 0.107021, 0.113932, 0.119575, 0.125836, 0.134185, 0.150882, 0.17258, 0.199431, 0.233387, 4.30954");
-            values ( \
-              "0.176126, 0.282086, 0.294748, 0.298563, 0.302505, 0.302057, 0.294625, 0.27803, 0.254179, 0.234417, 0.198923, 0.15737, 0.110728, 0.0930042, 0.0738467, 0.0506953, 0.0387813, 0.0302434, 0.0215183, 0.0162673, 0.0119003, 0.00777299, 0.00317084, 0.000912705, 0.000187737, 7.51864e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.026684, 0.0322987, 0.0353892, 0.0373756, 0.0413484, 0.050474, 0.0663481, 0.0829556, 0.0886899, 0.100159, 0.111507, 0.127112, 0.143967, 0.158539, 0.1687, 0.177905, 0.190179, 0.200117, 0.211059, 0.225648, 0.254827, 0.293154, 0.340458, 0.400205, 4.30951");
-            values ( \
-              "0.183752, 0.346003, 0.355299, 0.356943, 0.355567, 0.342919, 0.315885, 0.282605, 0.267868, 0.230216, 0.18721, 0.135583, 0.0918966, 0.0639274, 0.0490012, 0.0383059, 0.0273087, 0.0206903, 0.0152023, 0.0099837, 0.00410514, 0.00116793, 0.000230285, 6.34469e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0297488, 0.0297688, 0.0670235, 0.108583, 0.130096, 0.155625, 0.179724, 0.225532, 0.249722, 0.27327, 0.297745, 0.318101, 0.336495, 0.361021, 0.380777, 0.402489, 0.431439, 0.489338, 0.565702, 0.651893, 0.738084, 4.30955");
-            values ( \
-              "1e-22, 0.41862, 0.371572, 0.336188, 0.315947, 0.286231, 0.248012, 0.16572, 0.128085, 0.0973998, 0.0718535, 0.055106, 0.0430919, 0.0307215, 0.023301, 0.0171468, 0.0112842, 0.00465574, 0.0013233, 0.000314838, 6.25098e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0321921, 0.0322121, 0.112027, 0.202629, 0.249534, 0.305127, 0.357571, 0.475502, 0.507467, 0.5767, 0.609504, 0.652799, 0.691896, 0.744026, 0.785919, 0.831941, 0.893303, 0.979494, 1.06569, 1.15188, 1.32426, 1.75522, 4.30953");
-            values ( \
-              "1e-22, 0.444954, 0.382676, 0.345998, 0.325288, 0.295232, 0.257, 0.158589, 0.134946, 0.092128, 0.0759059, 0.0582294, 0.0455349, 0.032454, 0.0246312, 0.0181363, 0.0119404, 0.00655503, 0.00357092, 0.0019516, 0.000579999, 2.29708e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0350792, 0.0350992, 0.212606, 0.413324, 0.499515, 0.57807, 0.640228, 0.726419, 0.773616, 1.02987, 1.09496, 1.19499, 1.30196, 1.38815, 1.47454, 1.53145, 1.60223, 1.73642, 1.82262, 1.90881, 2.08119, 2.25357, 2.51215, 2.85691, 4.30948");
-            values ( \
-              "1e-22, 0.447389, 0.387665, 0.350488, 0.333335, 0.31579, 0.299457, 0.27193, 0.254718, 0.157123, 0.135094, 0.105332, 0.0792795, 0.062369, 0.0487251, 0.0412675, 0.0334634, 0.0223299, 0.0171526, 0.0131505, 0.00769577, 0.00448702, 0.00198961, 0.000670629, 6.96333e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0403993, 0.0510079, 0.0517134, 0.0532302, 0.0550564, 0.0557494, 0.0569806, 0.058193, 0.0606177, 0.0647794, 0.0715444, 0.077665, 0.0835451, 0.089636, 0.0929157, 0.0969367, 0.102298, 0.10542, 0.110332, 0.116881, 0.129979, 0.14573, 0.165728, 0.191584, 0.232203, 4.3096");
-            values ( \
-              "0.0603938, 0.164095, 0.179139, 0.195244, 0.205486, 0.207325, 0.208666, 0.208002, 0.202561, 0.181631, 0.118006, 0.0741136, 0.0479381, 0.0305732, 0.0240146, 0.0178224, 0.0118951, 0.00943308, 0.00653567, 0.00397547, 0.00137427, 0.000377231, 6.50996e-05, 1.23227e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0422245, 0.0456116, 0.0509024, 0.0530862, 0.0556277, 0.0568704, 0.0589892, 0.0611764, 0.0619308, 0.0664567, 0.0703803, 0.0752549, 0.0784345, 0.084485, 0.0900311, 0.0981209, 0.101742, 0.107634, 0.116504, 0.121962, 0.126611, 0.131659, 0.138858, 0.142032, 0.148379, 0.161072, 0.180968, 0.204655, 0.234276, 0.274184, 4.30949");
-            values ( \
-              "0.0848676, 0.14641, 0.216366, 0.258965, 0.280849, 0.286142, 0.289565, 0.289009, 0.288098, 0.27864, 0.266943, 0.249058, 0.234418, 0.197514, 0.158903, 0.113327, 0.0968975, 0.074616, 0.0498017, 0.0384609, 0.0307751, 0.0240503, 0.0168082, 0.0143563, 0.0104265, 0.00537868, 0.00177566, 0.000450066, 6.49936e-05, 3.45339e-06, 7.97115e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.042555, 0.0532555, 0.054555, 0.0566001, 0.0583892, 0.0607747, 0.0650148, 0.0685544, 0.0747087, 0.0909953, 0.102526, 0.108692, 0.120591, 0.138127, 0.147317, 0.1547, 0.16403, 0.170776, 0.178484, 0.18868, 0.197965, 0.210346, 0.220171, 0.231006, 0.245452, 0.274345, 0.312615, 0.359778, 0.419342, 4.30947");
-            values ( \
-              "0.065402, 0.304401, 0.320901, 0.337086, 0.34451, 0.349034, 0.34903, 0.345039, 0.335826, 0.307394, 0.283629, 0.267898, 0.22867, 0.164072, 0.135011, 0.114361, 0.0917844, 0.0778268, 0.064041, 0.0490398, 0.0382525, 0.0271923, 0.020662, 0.015227, 0.0100477, 0.00416842, 0.00119351, 0.000232754, 1.05212e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0425654, 0.0534854, 0.0581514, 0.0605761, 0.0638037, 0.0677565, 0.0738236, 0.107427, 0.128725, 0.150307, 0.165744, 0.175766, 0.19581, 0.235994, 0.271098, 0.293397, 0.31737, 0.338003, 0.357029, 0.382396, 0.401289, 0.422197, 0.450074, 0.505829, 0.581736, 0.667927, 0.754118, 4.3095");
-            values ( \
-              "0.0384159, 0.330592, 0.37461, 0.38145, 0.38605, 0.385385, 0.382602, 0.35471, 0.336208, 0.315854, 0.299019, 0.286209, 0.25503, 0.182066, 0.126305, 0.0974012, 0.0723131, 0.055263, 0.0428491, 0.0301833, 0.0231685, 0.0172449, 0.0115339, 0.00493778, 0.00142155, 0.000334522, 6.73437e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0424409, 0.0593627, 0.0628549, 0.069443, 0.103272, 0.222789, 0.27883, 0.325288, 0.377732, 0.475994, 0.527627, 0.5777, 0.629663, 0.685989, 0.712053, 0.764181, 0.821413, 0.852092, 0.91345, 0.999641, 1.08583, 1.17202, 1.34441, 1.77536, 4.30939");
-            values ( \
-              "0.102312, 0.394539, 0.402918, 0.405569, 0.393981, 0.345999, 0.320894, 0.295232, 0.257001, 0.174111, 0.134947, 0.102774, 0.075907, 0.0536788, 0.0455362, 0.0324553, 0.0222459, 0.0181375, 0.0119416, 0.00655568, 0.00357128, 0.00195179, 0.000580052, 2.29777e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.0549902, 0.0550102, 0.232966, 0.433683, 0.519875, 0.598429, 0.660587, 0.746778, 0.793975, 1.05023, 1.11532, 1.21535, 1.32231, 1.4085, 1.49489, 1.55181, 1.62258, 1.75678, 1.84297, 1.92916, 2.10154, 2.27392, 2.5325, 2.87726, 4.30947");
-            values ( \
-              "1e-22, 0.445308, 0.387639, 0.350487, 0.333337, 0.31579, 0.299457, 0.27193, 0.254718, 0.157124, 0.135094, 0.105331, 0.0792796, 0.0623701, 0.0487261, 0.0412674, 0.0334644, 0.022331, 0.0171525, 0.0131514, 0.00769649, 0.00448765, 0.00198914, 0.000670138, 7.8836e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0716897, 0.0793689, 0.0822507, 0.0898898, 0.0901608, 0.091606, 0.0941351, 0.0964783, 0.0976756, 0.101055, 0.103024, 0.105086, 0.105773, 0.106239, 0.106859, 0.107791, 0.108648, 0.109742, 0.110288, 0.111178, 0.111771, 0.112661, 0.113216, 0.11377, 0.114353, 0.114936, 0.115904, 0.118672, 0.120347, 0.122196, 0.12334, 0.124631, 0.125922, 0.12761, 0.13022, 0.132065, 0.133909, 0.134832, 0.136492, 0.138707, 0.140138, 0.141569, 0.142428, 0.145005, 0.147452, 0.148675, 0.150331, 0.153189, 0.155269, 0.157349");
-            values ( \
-              "0.060068, 0.0603149, 0.0715563, 0.0989769, 0.0996943, 0.104633, 0.112871, 0.120247, 0.123804, 0.133136, 0.137402, 0.141485, 0.142759, 0.143319, 0.14441, 0.144704, 0.144759, 0.144529, 0.144289, 0.142439, 0.141339, 0.139887, 0.138712, 0.137379, 0.135132, 0.132338, 0.126319, 0.107026, 0.0957552, 0.0838602, 0.0770976, 0.0705613, 0.0644767, 0.0573894, 0.0472226, 0.0411274, 0.0361319, 0.0338244, 0.0300561, 0.0255156, 0.0227855, 0.0203513, 0.0191495, 0.0158853, 0.0133033, 0.0121524, 0.0107532, 0.00861242, 0.00721085, 0.00602652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0694842, 0.0746755, 0.0886133, 0.102018, 0.106016, 0.112619, 0.11427, 0.116444, 0.118534, 0.119886, 0.121431, 0.124554, 0.13069, 0.139865, 0.146402, 0.152478, 0.156339, 0.162366, 0.167996, 0.172985, 0.179638, 0.185538, 0.193543, 0.204217, 0.223793, 0.246695, 0.275495, 0.312889, 4.30954");
-            values ( \
-              "0.0336441, 0.052727, 0.125533, 0.191053, 0.208675, 0.233619, 0.24355, 0.249936, 0.249869, 0.247877, 0.244062, 0.232433, 0.196832, 0.136405, 0.103375, 0.0790516, 0.0665982, 0.0504757, 0.0386702, 0.030439, 0.021951, 0.0163776, 0.0109701, 0.00632975, 0.00213726, 0.00057476, 9.05708e-05, 6.93585e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0695036, 0.0838534, 0.0984548, 0.112581, 0.114479, 0.11745, 0.119729, 0.121937, 0.126352, 0.132084, 0.140282, 0.148278, 0.154423, 0.166322, 0.183855, 0.192958, 0.200374, 0.20974, 0.216545, 0.224237, 0.234419, 0.243673, 0.256011, 0.265877, 0.276748, 0.291242, 0.32023, 0.358521, 0.405726, 0.465344, 4.3095");
-            values ( \
-              "0.0121945, 0.115183, 0.208539, 0.294519, 0.312477, 0.325119, 0.328216, 0.32837, 0.324403, 0.315618, 0.300572, 0.283561, 0.267878, 0.228656, 0.164076, 0.135277, 0.114508, 0.0918306, 0.0777538, 0.0640036, 0.0490299, 0.0382782, 0.0272432, 0.0206782, 0.0152236, 0.0100305, 0.00414827, 0.00118583, 0.000231566, 9.63768e-06, 3.81875e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0858398, 0.10334, 0.109641, 0.110426, 0.111212, 0.111997, 0.112782, 0.114703, 0.116037, 0.11698, 0.118693, 0.120357, 0.12202, 0.125522, 0.128227, 0.133487, 0.155078, 0.174401, 0.18516, 0.195919, 0.197015, 0.203204, 0.209395, 0.218432, 0.227468, 0.233493, 0.245542, 0.249324, 0.265843, 0.275788, 0.29135, 0.300567, 0.311796, 0.325046, 0.341353, 0.348154, 0.352005, 0.36356, 0.378826, 0.390045, 0.396176, 0.402307, 0.408437, 0.420699, 0.426829, 0.441644, 0.453818, 0.464671, 0.468289, 0.482759");
-            values ( \
-              "0.244734, 0.266185, 0.327508, 0.331024, 0.332781, 0.332777, 0.331014, 0.352145, 0.361379, 0.365865, 0.371559, 0.374262, 0.375843, 0.374734, 0.373629, 0.370855, 0.35297, 0.335911, 0.32575, 0.315087, 0.314817, 0.308264, 0.301111, 0.289121, 0.276197, 0.267062, 0.247546, 0.24101, 0.210537, 0.192658, 0.165395, 0.149961, 0.133181, 0.115009, 0.0943003, 0.086452, 0.0825454, 0.0715719, 0.0589526, 0.0503023, 0.0461815, 0.0423927, 0.039153, 0.0332354, 0.0305575, 0.02496, 0.020728, 0.0173433, 0.0163026, 0.0129521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.080202, 0.103532, 0.116529, 0.119795, 0.122904, 0.127985, 0.135735, 0.17799, 0.268592, 0.324632, 0.37109, 0.423534, 0.521796, 0.573428, 0.623501, 0.675464, 0.731789, 0.757853, 0.809979, 0.85187, 0.867209, 0.897886, 0.959241, 1.04543, 1.13162, 1.21782, 1.30401, 1.3902, 1.56258, 1.82115, 4.30949");
-            values ( \
-              "0.11745, 0.276263, 0.38315, 0.395191, 0.399314, 0.400906, 0.3989, 0.382662, 0.345998, 0.320894, 0.295232, 0.257001, 0.174112, 0.134948, 0.102775, 0.0759079, 0.0536797, 0.0455372, 0.0324562, 0.0246333, 0.0222469, 0.0181385, 0.0119425, 0.00655617, 0.00357155, 0.00195194, 0.0010544, 0.000580093, 0.000174263, 2.29833e-05, 3.33347e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0963282, 0.0963482, 0.565876, 0.706589, 0.839977, 1.09623, 1.297, 1.4545, 1.54089, 1.66858, 1.80277, 1.97516, 2.14754, 2.31992, 2.92326, 4.30986");
-            values ( \
-              "1e-22, 0.424, 0.333335, 0.299456, 0.254718, 0.157124, 0.0960031, 0.0623703, 0.0487262, 0.0334645, 0.0223312, 0.0131514, 0.00769643, 0.00448754, 0.000670334, 8.85749e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.111292, 0.124762, 0.137536, 0.145819, 0.165014, 0.173248, 0.18922, 0.196203, 0.201838, 0.208974, 0.228609, 0.236537, 0.24486, 0.249178, 0.251778, 0.254566, 0.266778, 0.275496, 0.287627, 0.304146, 0.324852, 0.396928, 0.467292, 0.553483, 0.639674, 0.725866, 0.812057, 0.898248, 0.98444, 1.07063, 1.08055");
-            values ( \
-              "0.0034427, 0.00640309, 0.0143366, 0.023339, 0.0558306, 0.0665096, 0.0834778, 0.088886, 0.0917072, 0.0908157, 0.0366467, 0.02321, 0.0138752, 0.0105067, 0.0121703, 0.0120443, 0.00593844, 0.00315197, 0.00115417, 0.00033747, 2.09323e-05, 1e-22, 3.12726e-05, 1e-22, 3.08853e-05, 1e-22, 3.03364e-05, 1e-22, 2.97676e-05, 1e-22, 3.3598e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.134686, 0.150216, 0.152317, 0.157919, 0.164581, 0.180925, 0.181687, 0.191834, 0.193502, 0.196198, 0.198894, 0.204286, 0.209147, 0.211577, 0.214007, 0.214967, 0.216247, 0.217528, 0.218808, 0.222046, 0.225381, 0.227637, 0.229894, 0.23215, 0.232295, 0.234323, 0.236061, 0.243773, 0.247748, 0.249068, 0.249988, 0.250908, 0.252019, 0.253131, 0.255298, 0.259416, 0.263124, 0.266088, 0.267815, 0.271269, 0.276793, 0.278786, 0.280779, 0.282772, 0.284765, 0.286758, 0.288751, 0.293233, 0.29766, 0.301201");
-            values ( \
-              "0.0406118, 0.0417864, 0.0458805, 0.0589491, 0.0736762, 0.105326, 0.10641, 0.124928, 0.127862, 0.132087, 0.136181, 0.143976, 0.150556, 0.153686, 0.156709, 0.157505, 0.158947, 0.160702, 0.162768, 0.161602, 0.15993, 0.158529, 0.156909, 0.155071, 0.154805, 0.148122, 0.141707, 0.111369, 0.0962684, 0.0915581, 0.0905872, 0.0893621, 0.0875428, 0.0853523, 0.0797041, 0.0677197, 0.058021, 0.0509838, 0.0471743, 0.0402001, 0.0305018, 0.0277651, 0.0252313, 0.0229523, 0.0208417, 0.0188995, 0.0171257, 0.0136353, 0.0105752, 0.00863395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.12448, 0.149774, 0.153245, 0.193851, 0.217899, 0.232198, 0.245134, 0.248895, 0.250445, 0.252221, 0.254008, 0.257376, 0.261915, 0.269129, 0.286911, 0.295873, 0.303259, 0.312699, 0.319574, 0.32725, 0.337418, 0.346636, 0.358926, 0.368842, 0.379758, 0.394313, 0.423423, 0.46174, 0.509005, 0.568699, 4.3095");
-            values ( \
-              "0.00798262, 0.0505739, 0.057998, 0.158713, 0.215038, 0.243873, 0.263009, 0.266106, 0.2697, 0.271194, 0.270323, 0.265137, 0.253258, 0.228803, 0.163739, 0.135415, 0.114737, 0.0918554, 0.0776471, 0.0639502, 0.0490051, 0.0382985, 0.0272998, 0.0206902, 0.0152133, 0.010009, 0.00412023, 0.00117855, 0.000227651, 1.08902e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.162581, 0.197957, 0.213064, 0.232119, 0.238558, 0.242625, 0.245816, 0.249006, 0.250618, 0.252229, 0.253676, 0.255124, 0.25928, 0.263381, 0.265432, 0.267482, 0.271293, 0.277159, 0.290185, 0.301398, 0.306011, 0.310624, 0.317071, 0.323519, 0.329773, 0.336027, 0.348535, 0.351415, 0.368693, 0.386473, 0.404518, 0.415309, 0.418906, 0.427985, 0.443247, 0.44943, 0.45336, 0.465151, 0.480628, 0.492131, 0.498476, 0.50482, 0.511164, 0.523853, 0.530197, 0.539637, 0.552557, 0.563003, 0.569966, 0.583894");
-            values ( \
-              "0.181822, 0.188897, 0.233364, 0.288565, 0.306512, 0.317161, 0.328434, 0.341807, 0.342742, 0.344374, 0.346432, 0.349052, 0.347723, 0.34585, 0.344705, 0.34342, 0.340487, 0.335468, 0.323386, 0.312372, 0.307391, 0.302046, 0.293639, 0.284773, 0.275732, 0.266259, 0.246013, 0.241032, 0.209133, 0.177295, 0.147089, 0.131145, 0.126066, 0.113924, 0.0945571, 0.0873099, 0.083292, 0.0720201, 0.0591737, 0.0502735, 0.0460441, 0.0421565, 0.0388233, 0.0327573, 0.0300245, 0.0264545, 0.0218791, 0.0184979, 0.0164471, 0.0131657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.124447, 0.266831, 0.345974, 0.417029, 0.450984, 0.472468, 0.515435, 0.618349, 0.678454, 0.724852, 0.7752, 0.833104, 0.860463, 0.915183, 0.968933, 0.997959, 1.05601, 1.1422, 1.22839, 1.31458, 1.40078, 1.48697, 1.65935, 1.91792, 4.30947");
-            values ( \
-              "0.00891452, 0.387284, 0.355946, 0.325216, 0.307944, 0.295216, 0.264533, 0.177997, 0.132379, 0.102778, 0.0766467, 0.0536899, 0.0451596, 0.0316353, 0.0221877, 0.0182841, 0.0123288, 0.00676365, 0.00369183, 0.00201145, 0.00109285, 0.000595082, 0.000176146, 2.73395e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.167529, 0.206241, 0.253077, 0.258657, 0.264003, 0.300685, 0.514922, 0.66668, 0.745235, 0.807393, 0.893584, 0.94078, 1.19703, 1.26213, 1.34433, 1.39781, 1.46911, 1.55531, 1.64169, 1.69861, 1.76938, 1.85557, 1.90357, 1.98976, 2.07595, 2.24834, 2.42072, 2.67929, 3.02406, 4.30921");
-            values ( \
-              "0.21894, 0.233751, 0.397457, 0.406934, 0.407868, 0.402011, 0.362864, 0.333336, 0.31579, 0.299457, 0.27193, 0.254719, 0.157124, 0.135094, 0.110246, 0.0960035, 0.0792808, 0.0623708, 0.0487266, 0.041268, 0.0334649, 0.0258197, 0.0223317, 0.0171532, 0.0131517, 0.00769667, 0.00448773, 0.00198928, 0.000670223, 1.21715e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.271351, 0.303925, 0.308154, 0.312383, 0.313202, 0.31566, 0.320576, 0.324682, 0.332083, 0.33711, 0.344395, 0.348416, 0.352437, 0.359038, 0.365638, 0.371679, 0.377719, 0.378914, 0.383695, 0.385065, 0.387806, 0.389176, 0.391983, 0.394791, 0.397598, 0.400406, 0.403214, 0.406022, 0.40883, 0.411638, 0.412089, 0.414136, 0.422669, 0.426881, 0.43059, 0.432496, 0.435991, 0.442313, 0.446491, 0.44858, 0.450669, 0.454848, 0.45868, 0.462166, 0.463909, 0.46843, 0.474033, 0.475153, 0.479636, 0.484118");
-            values ( \
-              "0.011608, 0.0153294, 0.0175419, 0.019971, 0.0205271, 0.0222727, 0.0262237, 0.0293399, 0.0346537, 0.0380727, 0.0426732, 0.0449392, 0.0469778, 0.0496628, 0.0520707, 0.0540317, 0.0557608, 0.0559819, 0.0571759, 0.057322, 0.0575226, 0.057577, 0.0575931, 0.057481, 0.0572406, 0.0568719, 0.0561508, 0.0552118, 0.0540549, 0.0526801, 0.0523421, 0.0495693, 0.0358424, 0.0299808, 0.0254008, 0.023377, 0.0201035, 0.0151117, 0.0122462, 0.011017, 0.00998667, 0.00814261, 0.00672047, 0.0056239, 0.00513574, 0.00402812, 0.00283623, 0.00263034, 0.00199036, 0.00146465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.207096, 0.270051, 0.286462, 0.298699, 0.303738, 0.313815, 0.346307, 0.358033, 0.373288, 0.390379, 0.409505, 0.420517, 0.434735, 0.448958, 0.461153, 0.469365, 0.48334, 0.491505, 0.502885, 0.513789, 0.518427, 0.524107, 0.531681, 0.546828, 0.550471, 0.555014, 0.561334, 0.577517, 0.589794, 0.611298, 0.644008, 0.768413, 1.02699, 1.11318, 1.19937, 1.28556, 1.37175, 1.45794, 1.54413, 4.30984");
-            values ( \
-              "0.00120954, 0.00788204, 0.0135693, 0.0191575, 0.0221033, 0.029439, 0.0608731, 0.0702394, 0.0806677, 0.0904247, 0.0989531, 0.1023, 0.103233, 0.0907748, 0.0663669, 0.0523124, 0.0334303, 0.0250332, 0.0161883, 0.010337, 0.0084989, 0.00666766, 0.00479312, 0.00237401, 0.0020268, 0.00416598, 0.00484192, 0.00241667, 0.00125163, 0.00040916, 2.65497e-05, 1e-22, 1.90149e-05, 1e-22, 1.87899e-05, 1e-22, 1.8513e-05, 1e-22, 1.82267e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.209729, 0.275683, 0.290247, 0.298432, 0.311932, 0.318813, 0.332576, 0.34688, 0.371682, 0.38847, 0.409278, 0.432314, 0.453015, 0.45778, 0.463227, 0.472315, 0.491335, 0.510403, 0.530383, 0.541256, 0.550644, 0.554602, 0.559004, 0.570691, 0.580642, 0.59551, 0.601278, 0.607459, 0.6157, 0.632182, 0.665147, 0.706118, 0.757666, 0.823767, 1.08234, 1.16853, 1.25472, 1.34091, 1.42711, 1.5133, 1.59949, 1.68568, 1.77187, 1.85806, 4.31001");
-            values ( \
-              "0.00244082, 0.0143634, 0.0217461, 0.0267234, 0.0366396, 0.0429637, 0.0577159, 0.0745361, 0.0998728, 0.115347, 0.133345, 0.151534, 0.165241, 0.16783, 0.170452, 0.173696, 0.170583, 0.140518, 0.101014, 0.0815968, 0.0666751, 0.0641667, 0.0596772, 0.0450887, 0.0345091, 0.0228392, 0.0194166, 0.0163327, 0.0129427, 0.00802201, 0.00288569, 0.000721584, 0.000122236, 1e-22, 1.51044e-05, 1e-22, 1.47115e-05, 1e-22, 1.42984e-05, 1e-22, 1.38827e-05, 1e-22, 1.34709e-05, 1e-22, 8.45232e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.299499, 0.350206, 0.363345, 0.380029, 0.437053, 0.450204, 0.48033, 0.492888, 0.504133, 0.511191, 0.525308, 0.526272, 0.528199, 0.532054, 0.542398, 0.5503, 0.55199, 0.55368, 0.555369, 0.556949, 0.558528, 0.561687, 0.568069, 0.572623, 0.579902, 0.594661, 0.612289, 0.62631, 0.633008, 0.636608, 0.647407, 0.661035, 0.668673, 0.673832, 0.67796, 0.681889, 0.693677, 0.709151, 0.720651, 0.726992, 0.733333, 0.739674, 0.746016, 0.752357, 0.758698, 0.768143, 0.782813, 0.795004, 0.798488, 0.812421");
-            values ( \
-              "0.0792286, 0.0874697, 0.103273, 0.12279, 0.188035, 0.20255, 0.235119, 0.24622, 0.255577, 0.261168, 0.271699, 0.272035, 0.273064, 0.275449, 0.277351, 0.279167, 0.278937, 0.27834, 0.277379, 0.275901, 0.274273, 0.270567, 0.261008, 0.253444, 0.240709, 0.213723, 0.182144, 0.158113, 0.147121, 0.141684, 0.126083, 0.10803, 0.0983474, 0.0920852, 0.0872989, 0.0832825, 0.0720145, 0.059171, 0.0502749, 0.0460471, 0.042161, 0.0388293, 0.0356974, 0.0327654, 0.0300332, 0.0264589, 0.0212922, 0.017453, 0.0164463, 0.0131641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.275273, 0.336739, 0.405452, 0.510983, 0.552183, 0.557722, 0.563466, 0.572115, 0.646332, 0.671361, 0.699536, 0.753986, 0.835419, 0.875617, 0.915736, 0.945319, 0.987705, 1.03614, 1.05997, 1.08767, 1.1246, 1.177, 1.19895, 1.24283, 1.32903, 1.41522, 1.50141, 1.5876, 1.67379, 1.84617, 2.10475, 4.3096");
-            values ( \
-              "0.0372358, 0.0768386, 0.163899, 0.305367, 0.357036, 0.360235, 0.359379, 0.356215, 0.324142, 0.311585, 0.295249, 0.255393, 0.186264, 0.154232, 0.125436, 0.106717, 0.0836335, 0.062356, 0.0537824, 0.0451547, 0.0355907, 0.0251922, 0.0217842, 0.0162297, 0.00893007, 0.00488529, 0.00266231, 0.00144893, 0.000787422, 0.000232325, 3.73904e-05, 1.14093e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.275657, 0.391542, 0.550618, 0.56118, 0.580002, 0.892805, 1.03352, 1.1669, 1.42316, 1.62393, 1.78143, 1.86782, 1.99551, 2.12969, 2.30207, 2.47446, 2.64684, 3.16399, 4.30998");
-            values ( \
-              "0.0249871, 0.149559, 0.385189, 0.392272, 0.393587, 0.333338, 0.299458, 0.254719, 0.157124, 0.0960037, 0.062371, 0.0487268, 0.0334651, 0.0223319, 0.0131519, 0.00769675, 0.00448777, 0.000881387, 2.4231e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.422496, 0.452484, 0.530318, 0.566882, 0.587517, 0.608152, 0.622837, 0.652206, 0.66399, 0.713865, 0.727249, 0.74849, 0.767737, 0.777253, 0.785927, 0.803732, 0.821538, 0.825847, 0.858175, 0.872125, 0.882224, 0.891667, 0.907361, 0.928286, 0.957905, 0.994028, 1.11097, 1.21582, 1.23111, 1.27174, 1.30732, 1.34571, 1.41889, 1.50509, 1.59128, 1.67747, 4.30944");
-            values ( \
-              "0.000194857, 0.000291203, 0.00128449, 0.00226062, 0.00301053, 0.00407197, 0.00516455, 0.00880272, 0.0116294, 0.0256964, 0.0287457, 0.0326071, 0.0350523, 0.0358776, 0.0364122, 0.0367438, 0.0351323, 0.033601, 0.013746, 0.00856701, 0.00591212, 0.00412214, 0.00218137, 0.000851817, 0.000206735, 6.2557e-05, 1.65188e-05, 2.60166e-06, 0.000641159, 1e-22, 4.93821e-05, 1e-22, 2.63017e-05, 1e-22, 1.83989e-05, 1e-22, 1.314e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.430306, 0.452463, 0.530311, 0.563739, 0.5749, 0.597223, 0.608159, 0.624583, 0.633533, 0.651434, 0.676184, 0.723831, 0.755131, 0.781692, 0.805876, 0.817836, 0.828735, 0.851058, 0.873382, 0.88344, 0.914142, 0.928121, 0.947258, 0.960069, 0.967359, 0.98194, 1.0111, 1.04762, 1.09293, 1.21583, 1.23738, 1.27054, 1.30167, 1.35761, 1.41506, 1.50125, 1.58744, 1.67363, 1.75982, 1.84601, 1.93221, 4.30944");
-            values ( \
-              "0.000437316, 0.000580056, 0.00255313, 0.00420631, 0.00489494, 0.00656063, 0.00762121, 0.00957618, 0.010935, 0.0144143, 0.0219624, 0.0413889, 0.0516964, 0.0582744, 0.0625569, 0.0640623, 0.0650841, 0.065854, 0.0622309, 0.0553653, 0.0292803, 0.0205246, 0.0118624, 0.00797841, 0.00629348, 0.00384415, 0.00125431, 0.000270735, 7.03922e-05, 2.04723e-05, 0.00103724, 0.000441453, 1e-22, 0.000112834, 1e-22, 6.76421e-05, 1e-22, 4.38691e-05, 1e-22, 2.82823e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.530246, 0.600327, 0.623694, 0.653437, 0.69705, 0.747101, 0.763896, 0.803788, 0.839784, 0.872497, 0.9032, 0.93299, 0.962783, 1.02153, 1.04035, 1.06567, 1.07919, 1.09868, 1.12467, 1.17103, 1.21579, 1.23256, 1.25943, 1.28457, 1.37869, 4.3094");
-            values ( \
-              "0.0102073, 0.0116375, 0.0154174, 0.0221883, 0.0389537, 0.0640514, 0.0712275, 0.0858843, 0.0964038, 0.104062, 0.109214, 0.110952, 0.100906, 0.0465495, 0.0331008, 0.0197741, 0.0146973, 0.00941137, 0.00497182, 0.00130773, 0.000364909, 0.00197473, 0.00160327, 0.000435167, 1e-22, 4.3894e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.530302, 0.63329, 0.666768, 0.68836, 0.788363, 0.827853, 0.878101, 0.936365, 0.981323, 1.00352, 1.02316, 1.06411, 1.10512, 1.17107, 1.21038, 1.21585, 1.2264, 1.23841, 1.26422, 1.29033, 1.32389, 1.3509, 1.40149, 1.48125, 1.56744, 1.65363, 1.73983, 1.82602, 1.91221, 1.9984, 2.08459, 2.17078, 2.25697, 2.34316, 2.42936, 2.51555, 2.60174, 2.68793, 2.77412, 2.86031, 4.30947");
-            values ( \
-              "0.0114034, 0.0244879, 0.0346583, 0.0432361, 0.0952669, 0.113412, 0.134416, 0.156449, 0.17082, 0.176439, 0.180135, 0.178941, 0.152174, 0.0887613, 0.0574373, 0.0538097, 0.0507192, 0.0426676, 0.0299412, 0.0207527, 0.012823, 0.0088974, 0.00394998, 0.00121174, 0.000125354, 0.000180157, 1e-22, 0.000123809, 1e-22, 0.000118005, 1e-22, 0.000114831, 1e-22, 0.000111833, 1e-22, 0.000108889, 1e-22, 0.000105998, 1e-22, 0.000103159, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.530359, 0.679213, 0.716389, 0.802743, 0.935208, 1.01017, 1.04791, 1.08456, 1.14676, 1.1611, 1.17748, 1.20524, 1.21591, 1.22748, 1.24229, 1.36662, 1.43544, 1.46509, 1.50887, 1.5663, 1.59209, 1.64369, 1.68612, 1.70162, 1.73263, 1.79463, 1.88083, 1.96702, 2.05321, 2.1394, 2.22559, 2.39797, 2.65655, 4.30953");
-            values ( \
-              "0.00810713, 0.0471739, 0.0640877, 0.111856, 0.182145, 0.22041, 0.238433, 0.254566, 0.276979, 0.28063, 0.283736, 0.284987, 0.283488, 0.279285, 0.26955, 0.165892, 0.116474, 0.0987385, 0.0764272, 0.0536878, 0.0456105, 0.0326349, 0.0246721, 0.0222671, 0.0181072, 0.0118738, 0.00651192, 0.00355333, 0.00193606, 0.00105153, 0.000572903, 0.000169774, 2.59891e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.607914, 0.780803, 0.908844, 1.11687, 1.20592, 1.21558, 1.22658, 1.23889, 1.41823, 1.4736, 1.53599, 1.62218, 1.66939, 1.92564, 1.99074, 2.09076, 2.19772, 2.28392, 2.34184, 2.42721, 2.49799, 2.58418, 2.63217, 2.71837, 2.80456, 2.89075, 2.97694, 3.14932, 3.4079, 3.75266, 4.3092");
-            values ( \
-              "0.0405788, 0.104502, 0.180113, 0.307685, 0.357486, 0.362463, 0.364485, 0.363939, 0.328468, 0.31585, 0.299461, 0.271936, 0.254718, 0.157125, 0.135094, 0.105332, 0.0792807, 0.0623713, 0.0528898, 0.0412687, 0.033466, 0.02582, 0.0223325, 0.0171533, 0.0131523, 0.0100653, 0.00769713, 0.00448811, 0.00198907, 0.000669983, 0.000116734" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230389, 0.0237713, 0.0245943, 0.0270864, 0.0282871, 0.0306407, 0.0325974, 0.0353831, 0.0391568, 0.040595, 0.0488286, 0.0513968, 0.0558564, 0.0593334, 0.0636888, 0.0683346, 0.0700637");
-            values ( \
-              "-0.130323, -0.228444, -0.248069, -0.272347, -0.277371, -0.279995, -0.278117, -0.270009, -0.242778, -0.226695, -0.0945945, -0.0664716, -0.0347636, -0.0205394, -0.010529, -0.00498509, -0.00413339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00816889");
-            index_3 ("0.0235522, 0.0247974, 0.0259302, 0.028152, 0.0301894, 0.0321513, 0.0402006, 0.0442742, 0.0486969, 0.0523765, 0.0554668, 0.0616095, 0.0684785, 0.0740139, 0.0802059, 0.0861216, 0.0967102, 0.104654");
-            values ( \
-              "-0.143427, -0.329683, -0.347917, -0.363961, -0.368908, -0.369771, -0.359224, -0.348594, -0.328558, -0.303525, -0.272758, -0.19086, -0.115016, -0.0733301, -0.0432885, -0.0257022, -0.00975933, -0.00514587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018331");
-            index_3 ("0.0243897, 0.0271651, 0.0284388, 0.0303898, 0.0322674, 0.0425695, 0.0554263, 0.0596454, 0.0636917, 0.071888, 0.076199, 0.0807974, 0.0844396, 0.100846, 0.109556, 0.117595, 0.123247, 0.133517, 0.141542, 0.150063, 0.156616, 0.169724, 0.193444, 0.221636");
-            values ( \
-              "-0.374075, -0.419196, -0.426908, -0.432063, -0.433701, -0.427399, -0.412796, -0.406373, -0.399196, -0.379107, -0.364104, -0.343298, -0.321175, -0.193245, -0.138217, -0.0994055, -0.0781337, -0.0498462, -0.0347283, -0.0236255, -0.017491, -0.00941584, -0.00275377, -0.000581638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411349");
-            index_3 ("0.0247088, 0.0280596, 0.0299574, 0.0322352, 0.0339789, 0.0406736, 0.0627471, 0.0890505, 0.0984381, 0.106401, 0.122326, 0.135006, 0.143297, 0.15988, 0.189778, 0.20582, 0.214863, 0.229722, 0.239591, 0.257072, 0.271879, 0.285332, 0.303268, 0.339142, 0.363457");
-            values ( \
-              "-0.414143, -0.460389, -0.467699, -0.470986, -0.471472, -0.469666, -0.45849, -0.441855, -0.434382, -0.427124, -0.408238, -0.386189, -0.365862, -0.305266, -0.187409, -0.138795, -0.116309, -0.0861195, -0.0703017, -0.0486994, -0.0354534, -0.0265398, -0.0179116, -0.00784497, -0.00513769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0923067");
-            index_3 ("0.0247743, 0.028404, 0.0317954, 0.0378836, 0.120938, 0.164633, 0.200826, 0.240307, 0.267368, 0.281809, 0.310691, 0.366163, 0.410593, 0.4477, 0.473257, 0.519865, 0.556688, 0.596068, 0.6264, 0.687066, 0.756577, 0.770641");
-            values ( \
-              "-0.433072, -0.48084, -0.489635, -0.49118, -0.469933, -0.455761, -0.441417, -0.419033, -0.395901, -0.378448, -0.329271, -0.221353, -0.152184, -0.108989, -0.0858508, -0.0549565, -0.0382436, -0.0259237, -0.019136, -0.0102285, -0.00493271, -0.00454895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.207137");
-            index_3 ("0.0247112, 0.0289165, 0.0334984, 0.0398727, 0.245552, 0.333387, 0.397558, 0.450959, 0.500716, 0.570227, 0.592519, 0.637103, 0.751222, 0.859971, 0.937251, 1.00676, 1.09096, 1.15977, 1.2348, 1.34106, 1.41057, 1.48009, 1.61911, 1.89715");
-            values ( \
-              "-0.442465, -0.492296, -0.500313, -0.500918, -0.475787, -0.462551, -0.450914, -0.439214, -0.425509, -0.397197, -0.384369, -0.350885, -0.247685, -0.165167, -0.121151, -0.0903934, -0.0630589, -0.0464914, -0.0332941, -0.0206185, -0.0151187, -0.0109286, -0.0057609, -0.00157132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0350034, 0.0395563, 0.0454562, 0.0509236, 0.0513538, 0.0530631, 0.0538829, 0.0551281, 0.0564139, 0.0591457, 0.0610365, 0.0650483, 0.0672952, 0.0699059, 0.0730451, 0.077109, 0.0796134, 0.0815364, 0.0853825, 0.0924726, 0.100812");
-            values ( \
-              "-0.00973796, -0.134359, -0.196244, -0.242613, -0.25388, -0.262831, -0.262685, -0.259753, -0.251978, -0.226256, -0.198146, -0.127413, -0.0953491, -0.0668868, -0.0423325, -0.022953, -0.0156778, -0.0118389, -0.00628304, -0.00196076, -0.000301261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00816889");
-            index_3 ("0.0358266, 0.0421551, 0.0509049, 0.0522009, 0.053758, 0.0564742, 0.0587551, 0.0621744, 0.0643857, 0.0672621, 0.0716467, 0.0740022, 0.0829718, 0.0875725, 0.0932639, 0.100222, 0.104458, 0.111318, 0.120465, 0.132824, 0.148047");
-            values ( \
-              "-0.0173909, -0.214994, -0.322299, -0.347614, -0.356552, -0.360215, -0.35796, -0.350433, -0.342587, -0.328596, -0.297478, -0.273267, -0.156627, -0.110372, -0.0693067, -0.0380796, -0.0262278, -0.0141636, -0.0059373, -0.00165868, -0.000324729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018331");
-            index_3 ("0.0360323, 0.0459602, 0.0529023, 0.0556693, 0.0579551, 0.0664385, 0.077913, 0.0906632, 0.095102, 0.100111, 0.129146, 0.142056, 0.152458, 0.168852, 0.186601");
-            values ( \
-              "-0.0236547, -0.308832, -0.414576, -0.426447, -0.428252, -0.422076, -0.407245, -0.379154, -0.363641, -0.340425, -0.134302, -0.0783311, -0.0496911, -0.0237103, -0.0107626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411349");
-            index_3 ("0.0361985, 0.0491583, 0.0518564, 0.0548621, 0.0567892, 0.0595841, 0.0671939, 0.0916951, 0.116793, 0.125309, 0.142497, 0.151301, 0.160691, 0.165131, 0.17105, 0.198013, 0.214147, 0.222178, 0.234958, 0.249086, 0.261672, 0.278454, 0.295267, 0.304387, 0.322628, 0.35911, 0.409241, 0.470775");
-            values ( \
-              "-0.0381082, -0.385382, -0.437065, -0.462461, -0.466881, -0.468508, -0.465989, -0.452591, -0.434843, -0.427133, -0.40641, -0.391465, -0.370067, -0.356988, -0.336211, -0.225878, -0.169234, -0.145473, -0.113385, -0.0851828, -0.0656852, -0.0460004, -0.0320889, -0.0263616, -0.0176665, -0.00761781, -0.00219753, -0.000433796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0923067");
-            index_3 ("0.0359226, 0.0519503, 0.0552009, 0.0574562, 0.0607257, 0.0924978, 0.147357, 0.183357, 0.214676, 0.231817, 0.254672, 0.267625, 0.283334, 0.300536, 0.334942, 0.376738, 0.407108, 0.422851, 0.446791, 0.47415, 0.498297, 0.526882, 0.564995, 0.591457, 0.632168, 0.68645, 0.755961, 0.825472, 0.894983");
-            values ( \
-              "-0.046425, -0.458433, -0.483518, -0.488157, -0.48966, -0.482669, -0.46759, -0.455832, -0.443507, -0.435345, -0.422041, -0.412719, -0.398762, -0.37844, -0.318476, -0.23621, -0.184418, -0.161146, -0.130441, -0.101505, -0.0809544, -0.0616207, -0.0424108, -0.032689, -0.0218051, -0.0125121, -0.00603862, -0.00290352, -0.00139496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.207137");
-            index_3 ("0.04395, 0.0656289, 0.264646, 0.352481, 0.416653, 0.470051, 0.51981, 0.589321, 0.611613, 0.656197, 0.770316, 0.835702, 0.879065, 0.956341, 1.02585, 1.11006, 1.17888, 1.25389, 1.36015, 1.42966, 1.49917, 1.63819, 1.91624");
-            values ( \
-              "-0.494536, -0.500281, -0.475766, -0.462526, -0.450939, -0.43924, -0.425482, -0.397225, -0.384341, -0.350916, -0.247716, -0.195249, -0.165199, -0.121121, -0.0904271, -0.0630255, -0.0465192, -0.0333253, -0.0206503, -0.0150883, -0.01096, -0.00579199, -0.00160208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0562769, 0.0778706, 0.0873546, 0.0913954, 0.0951797, 0.0989219, 0.103033, 0.108673, 0.111714, 0.11728, 0.119697, 0.122865, 0.127088, 0.133835, 0.141811");
-            values ( \
-              "-8.77656e-05, -0.120187, -0.156159, -0.168745, -0.177368, -0.173488, -0.144823, -0.082428, -0.0565254, -0.031772, -0.0226061, -0.0141531, -0.00732208, -0.00228413, -0.000557496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00816889");
-            index_3 ("0.0619826, 0.0673491, 0.0724527, 0.0783639, 0.0854864, 0.0982569, 0.103681, 0.108811, 0.11261, 0.113939, 0.118606, 0.1241, 0.128199, 0.133292, 0.139923, 0.145912, 0.151034, 0.155736, 0.164852, 0.177535");
-            values ( \
-              "-0.0266787, -0.0830758, -0.123511, -0.160168, -0.198905, -0.260888, -0.281423, -0.291933, -0.284193, -0.286983, -0.23953, -0.166816, -0.122885, -0.0816241, -0.0465795, -0.0274989, -0.0176453, -0.0113337, -0.00490053, -0.00118225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018331");
-            index_3 ("0.0645646, 0.0721056, 0.0782309, 0.0860126, 0.0980288, 0.114464, 0.116254, 0.11876, 0.123288, 0.131008, 0.136634, 0.142032, 0.151395, 0.159236, 0.169693, 0.178118, 0.183936, 0.188793, 0.19442, 0.202393, 0.210715, 0.217095, 0.229854, 0.253278, 0.281025");
-            values ( \
-              "-0.0384997, -0.136729, -0.186318, -0.237967, -0.311497, -0.402357, -0.407582, -0.407032, -0.401379, -0.3835, -0.365103, -0.340424, -0.273316, -0.210793, -0.141861, -0.100523, -0.0784668, -0.0635815, -0.0495932, -0.0346334, -0.0237739, -0.0177452, -0.00972284, -0.00290042, -0.000634422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411349");
-            index_3 ("0.0663864, 0.0779921, 0.0874749, 0.114433, 0.117286, 0.12022, 0.128486, 0.141852, 0.166928, 0.17871, 0.185081, 0.197824, 0.204212, 0.21412, 0.236755, 0.24649, 0.259014, 0.268389, 0.278239, 0.291368, 0.303256, 0.319108, 0.332306, 0.350127, 0.373888, 0.41932, 0.472231");
-            values ( \
-              "-0.0566394, -0.198932, -0.269633, -0.449783, -0.459263, -0.459367, -0.455685, -0.447409, -0.427609, -0.414261, -0.405371, -0.382043, -0.365876, -0.332122, -0.23866, -0.201892, -0.16053, -0.134255, -0.11061, -0.0847657, -0.0663181, -0.0474127, -0.0357366, -0.024325, -0.0143592, -0.00482506, -0.00127853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0923067");
-            index_3 ("0.0667512, 0.0862873, 0.114569, 0.117652, 0.120246, 0.135823, 0.18991, 0.245097, 0.262966, 0.300345, 0.318985, 0.338868, 0.351147, 0.36752, 0.428755, 0.471613, 0.508045, 0.534121, 0.555533, 0.581478, 0.618126, 0.656832, 0.686571, 0.746049, 0.81556, 0.822929");
-            values ( \
-              "-0.0688394, -0.271544, -0.477045, -0.484166, -0.485437, -0.482474, -0.467579, -0.448581, -0.440896, -0.419986, -0.405322, -0.384025, -0.36649, -0.3377, -0.218899, -0.152436, -0.109848, -0.086123, -0.0703075, -0.0547334, -0.0381579, -0.0260392, -0.0193351, -0.0104746, -0.00504931, -0.0047714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.207137");
-            index_3 ("0.0805001, 0.103609, 0.115128, 0.118839, 0.123481, 0.258073, 0.394594, 0.500896, 0.549949, 0.585253, 0.632324, 0.653604, 0.696166, 0.813684, 0.921758, 0.987152, 1.05666, 1.13182, 1.18551, 1.25502, 1.31712, 1.4237, 1.49321, 1.63223, 1.77125, 1.97979");
-            values ( \
-              "-0.399048, -0.407705, -0.487593, -0.498111, -0.498302, -0.482253, -0.462491, -0.441958, -0.429117, -0.417501, -0.396718, -0.384308, -0.352638, -0.246546, -0.164754, -0.12679, -0.0948335, -0.0687896, -0.0544219, -0.0399878, -0.0303361, -0.0187586, -0.0136727, -0.00724248, -0.00382707, -0.00146774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.10781, 0.112233, 0.116952, 0.12461, 0.131769, 0.132368, 0.139912, 0.147432, 0.15804, 0.16442, 0.170334, 0.176026, 0.181706, 0.184296, 0.189429, 0.192462, 0.196153, 0.197923, 0.201462, 0.204022, 0.205441, 0.208279, 0.213955, 0.223121, 0.234124, 0.249054, 0.250784, 0.252734");
-            values ( \
-              "-0.0123038, -0.015557, -0.0193843, -0.0319531, -0.0510331, -0.0519865, -0.0678577, -0.0812498, -0.0982687, -0.107174, -0.11406, -0.116774, -0.107238, -0.0950883, -0.0654872, -0.0496063, -0.0339567, -0.0279944, -0.0186089, -0.0137334, -0.01158, -0.00818493, -0.00395991, -0.0011492, -0.000329125, -0.000126086, -0.00201012, -0.00265729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00816889");
-            index_3 ("0.110442, 0.114731, 0.119743, 0.121778, 0.124754, 0.130813, 0.140496, 0.153748, 0.154204, 0.155116, 0.156939, 0.160586, 0.165037, 0.174409, 0.182847, 0.19067, 0.198253, 0.205818, 0.206217, 0.207015, 0.208611, 0.215952, 0.216923, 0.218866, 0.222751, 0.225704, 0.226498, 0.228085, 0.231261, 0.236756, 0.238858, 0.239665, 0.241278, 0.244506, 0.24917, 0.252661, 0.2565, 0.260376");
-            values ( \
-              "-0.0224582, -0.0274178, -0.0336653, -0.0386766, -0.0442312, -0.060644, -0.0914856, -0.121921, -0.123772, -0.125715, -0.129912, -0.137819, -0.147553, -0.166616, -0.182237, -0.193131, -0.195733, -0.173561, -0.172092, -0.167973, -0.157937, -0.108404, -0.102324, -0.0905956, -0.0698479, -0.0566003, -0.053437, -0.0475088, -0.0373084, -0.0240464, -0.0202867, -0.0189989, -0.0166493, -0.0127316, -0.00857678, -0.00955534, -0.0083869, -0.00600917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018331");
-            index_3 ("0.106795, 0.133627, 0.148611, 0.172394, 0.187671, 0.20078, 0.212593, 0.223613, 0.234472, 0.245309, 0.261312, 0.267396, 0.278286, 0.289056, 0.299534, 0.30559, 0.317701, 0.339417, 0.364885");
-            values ( \
-              "-0.00108381, -0.0811473, -0.130966, -0.196509, -0.236074, -0.267116, -0.291564, -0.30682, -0.302154, -0.255385, -0.153416, -0.120254, -0.0758704, -0.0473121, -0.0295047, -0.0223842, -0.012697, -0.00422892, -0.00109932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411349");
-            index_3 ("0.118635, 0.12475, 0.135826, 0.146041, 0.155654, 0.174786, 0.193937, 0.202775, 0.220451, 0.233461, 0.243752, 0.249166, 0.25291, 0.257016, 0.261118, 0.269323, 0.278877, 0.282454, 0.289608, 0.298016, 0.314833, 0.325459, 0.331543, 0.341015, 0.353105, 0.356014, 0.361832, 0.371846, 0.385122, 0.389198, 0.397349, 0.413652, 0.426389, 0.4311, 0.440522, 0.459366, 0.497054, 0.51098");
-            values ( \
-              "-0.050259, -0.0646369, -0.0954584, -0.130118, -0.163891, -0.223069, -0.280453, -0.305657, -0.355163, -0.388874, -0.413234, -0.425258, -0.430408, -0.430815, -0.426981, -0.418328, -0.40545, -0.399745, -0.386478, -0.365828, -0.304341, -0.259608, -0.235039, -0.19956, -0.159883, -0.151355, -0.135383, -0.111198, -0.0849704, -0.0781634, -0.0660117, -0.0467284, -0.0355706, -0.0321571, -0.0262365, -0.0173513, -0.0072426, -0.00581239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0923067");
-            index_3 ("0.124153, 0.15377, 0.16899, 0.177997, 0.195943, 0.206464, 0.227508, 0.242979, 0.248568, 0.250478, 0.252302, 0.25431, 0.258326, 0.270806, 0.282858, 0.294539, 0.306563, 0.318859, 0.343452, 0.355915, 0.374652, 0.394521, 0.433619, 0.440895, 0.450598, 0.466166, 0.485611, 0.5245, 0.552003, 0.561876, 0.570506, 0.582013, 0.601771, 0.6274, 0.634256, 0.647967, 0.67411, 0.710907, 0.723723, 0.727504, 0.735065, 0.750188, 0.780433, 0.840923, 0.910435, 0.979946, 1.04946");
-            values ( \
-              "-0.0596299, -0.164894, -0.216689, -0.246372, -0.304009, -0.337143, -0.401982, -0.448002, -0.464147, -0.470436, -0.473817, -0.474591, -0.474577, -0.471161, -0.467586, -0.463977, -0.460057, -0.45583, -0.44638, -0.440874, -0.431419, -0.419028, -0.381821, -0.371632, -0.355931, -0.326879, -0.287802, -0.214046, -0.170017, -0.156102, -0.144713, -0.130614, -0.109102, -0.0858988, -0.0805162, -0.0706906, -0.0549219, -0.0382407, -0.033701, -0.032477, -0.030135, -0.025947, -0.0191628, -0.0102694, -0.00494597, -0.00238194, -0.00113897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.207137");
-            index_3 ("0.124395, 0.174637, 0.211773, 0.24881, 0.254946, 0.258777, 0.331132, 0.408879, 0.488474, 0.557985, 0.59477, 0.643816, 0.679152, 0.726266, 0.747483, 0.789916, 0.907649, 0.974996, 1.01568, 1.04359, 1.08081, 1.15032, 1.18542, 1.22598, 1.28006, 1.34957, 1.39249, 1.44708, 1.51659, 1.5861, 1.65561, 1.72512, 1.86414, 2.07268");
-            values ( \
-              "-0.0579477, -0.238914, -0.363156, -0.481221, -0.493238, -0.493438, -0.484973, -0.474614, -0.462534, -0.449871, -0.441922, -0.429155, -0.417523, -0.396655, -0.384336, -0.352718, -0.24645, -0.192721, -0.164706, -0.147493, -0.126918, -0.0949044, -0.0817697, -0.0686933, -0.0542724, -0.0398564, -0.0329334, -0.0257986, -0.0188516, -0.0137248, -0.01001, -0.00726618, -0.00383566, -0.00148276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.228262, 0.251207, 0.252784, 0.254362, 0.25594, 0.257517, 0.260717, 0.263916, 0.266049, 0.270315, 0.272448, 0.27656, 0.282336, 0.28673, 0.291125, 0.296814, 0.299658, 0.302503, 0.307584, 0.312665, 0.317345, 0.322026, 0.324259, 0.326492, 0.328726, 0.330959, 0.333189, 0.335418, 0.337648, 0.339877, 0.341544, 0.343211, 0.344877, 0.346544, 0.347361, 0.348177, 0.349811, 0.351913, 0.353315, 0.354717, 0.359373, 0.360964, 0.361494, 0.362555, 0.363616, 0.364677, 0.365738, 0.368383, 0.369725, 0.371107");
-            values ( \
-              "-0.0191215, -0.0203845, -0.0216932, -0.0230613, -0.0244889, -0.0259758, -0.02924, -0.032322, -0.03423, -0.0379135, -0.0396889, -0.0430055, -0.0475584, -0.0508493, -0.0540239, -0.0579512, -0.059849, -0.0617029, -0.0648746, -0.0679677, -0.0707473, -0.0734602, -0.0739197, -0.074096, -0.073989, -0.0735986, -0.0729265, -0.071972, -0.0707352, -0.0692161, -0.0671671, -0.0647307, -0.061907, -0.058696, -0.0568866, -0.0549635, -0.0507763, -0.0445712, -0.0407296, -0.0370656, -0.0260055, -0.0227302, -0.0217231, -0.0199308, -0.0182446, -0.0166646, -0.0151907, -0.0120419, -0.0105515, -0.00909257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00816889");
-            index_3 ("0.232718, 0.258074, 0.259756, 0.268341, 0.275511, 0.281974, 0.286599, 0.28907, 0.294011, 0.298333, 0.302656, 0.306978, 0.311301, 0.314973, 0.318645, 0.322317, 0.325989, 0.335854, 0.342174, 0.348239, 0.351272, 0.354172, 0.357072, 0.359973, 0.362873, 0.365768, 0.368663, 0.371558, 0.374453, 0.374557, 0.376018, 0.37727, 0.378522, 0.380192, 0.382665, 0.384303, 0.385941, 0.391324, 0.39382, 0.395068, 0.397213, 0.399904, 0.402598, 0.404394, 0.40619, 0.407892, 0.409594, 0.411297, 0.412999, 0.416074");
-            values ( \
-              "-0.0353478, -0.0357315, -0.0376356, -0.048221, -0.0569612, -0.06431, -0.0693435, -0.0719654, -0.0770992, -0.0814395, -0.0856876, -0.0898437, -0.0939077, -0.0972877, -0.100601, -0.103848, -0.107029, -0.115182, -0.120327, -0.125204, -0.127622, -0.127626, -0.127441, -0.127066, -0.126502, -0.125751, -0.124812, -0.123683, -0.122367, -0.122259, -0.119336, -0.116431, -0.11307, -0.108258, -0.100692, -0.0954163, -0.0899412, -0.0711438, -0.0632253, -0.0594526, -0.0533039, -0.0460074, -0.0389871, -0.0351637, -0.0316249, -0.0285825, -0.0257628, -0.0231659, -0.0207918, -0.0170155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018331");
-            index_3 ("0.2407, 0.270592, 0.27523, 0.281473, 0.286137, 0.291318, 0.294771, 0.301679, 0.309352, 0.317791, 0.322011, 0.327926, 0.333841, 0.339757, 0.345672, 0.350735, 0.360862, 0.365925, 0.400798, 0.404826, 0.408853, 0.412881, 0.416908, 0.420926, 0.424944, 0.428962, 0.43298, 0.433514, 0.434581, 0.436181, 0.437781, 0.439915, 0.440981, 0.455406, 0.457537, 0.460094, 0.462739, 0.465385, 0.468803, 0.472665, 0.475765, 0.477536, 0.480907, 0.482592, 0.484278, 0.486649, 0.489021, 0.491393, 0.493764, 0.497657");
-            values ( \
-              "-0.0585695, -0.0595187, -0.0660193, -0.0751552, -0.0817856, -0.0888644, -0.0933331, -0.1021, -0.11154, -0.121633, -0.126583, -0.133396, -0.140095, -0.14668, -0.15315, -0.158598, -0.169242, -0.174439, -0.208692, -0.20765, -0.206198, -0.204337, -0.202068, -0.199397, -0.196319, -0.192835, -0.188943, -0.188184, -0.185853, -0.181189, -0.176092, -0.169016, -0.165416, -0.114436, -0.107108, -0.0986835, -0.0908322, -0.083369, -0.0744433, -0.0647985, -0.0575006, -0.0536214, -0.0474774, -0.0446101, -0.0418794, -0.0383194, -0.0349953, -0.0319072, -0.0290549, -0.0248559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411349");
-            index_3 ("0.206535, 0.249316, 0.259544, 0.276103, 0.349909, 0.400461, 0.429456, 0.455418, 0.479515, 0.503048, 0.526517, 0.551064, 0.577048, 0.599849, 0.611527, 0.627097, 0.640424, 0.660035, 0.686182, 0.689388");
-            values ( \
-              "-0.0161006, -0.0417459, -0.0528454, -0.0735362, -0.176157, -0.239253, -0.272158, -0.298264, -0.315566, -0.315332, -0.269419, -0.189973, -0.119331, -0.0751307, -0.058919, -0.0422978, -0.031791, -0.0207829, -0.011579, -0.0110445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0923067");
-            index_3 ("0.247381, 0.286148, 0.371098, 0.434671, 0.483993, 0.526316, 0.550086, 0.557189, 0.564535, 0.603149, 0.633759, 0.644681, 0.666261, 0.715427, 0.763787, 0.805623, 0.834206, 0.860116, 0.894663, 0.923377, 0.962157, 1.01386, 1.08337, 1.15289, 1.2224");
-            values ( \
-              "-0.0770943, -0.0944362, -0.21788, -0.305167, -0.368357, -0.417767, -0.441491, -0.442927, -0.441157, -0.419003, -0.392067, -0.378439, -0.343114, -0.246179, -0.165537, -0.11402, -0.087364, -0.0683283, -0.0488334, -0.0368163, -0.0250686, -0.0148077, -0.00715264, -0.00344291, -0.0016524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.207137");
-            index_3 ("0.274775, 0.343578, 0.44425, 0.549736, 0.557854, 0.568628, 0.695849, 0.802148, 0.851199, 0.886513, 0.933598, 0.954858, 0.997377, 1.11497, 1.18223, 1.22303, 1.28834, 1.35785, 1.43316, 1.48698, 1.55649, 1.61827, 1.72463, 1.79414, 1.93316, 2.07219, 2.28072");
-            values ( \
-              "-0.145659, -0.183562, -0.331535, -0.47748, -0.48126, -0.481517, -0.462428, -0.44199, -0.42909, -0.41748, -0.396724, -0.384294, -0.352688, -0.246532, -0.192793, -0.164755, -0.126818, -0.0948694, -0.0687693, -0.054366, -0.0399559, -0.0303455, -0.0187814, -0.0136969, -0.00725726, -0.00383674, -0.00146601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.393155, 0.448042, 0.462357, 0.477481, 0.496359, 0.503683, 0.518331, 0.532766, 0.566145, 0.597455, 0.618924, 0.635527, 0.647661, 0.654862, 0.669549, 0.680613, 0.698623, 0.708122, 0.71626, 0.721305, 0.729029, 0.739328, 0.759917, 0.785367, 0.820801, 0.836989");
-            values ( \
-              "-0.000747495, -0.00233832, -0.00330785, -0.00451551, -0.00648906, -0.0075555, -0.0102233, -0.0144792, -0.0257479, -0.0345488, -0.0395519, -0.0426829, -0.0443513, -0.0449245, -0.0441129, -0.0376207, -0.0174207, -0.0101252, -0.00618293, -0.00461489, -0.00305211, -0.00188875, -0.00093264, -0.000558476, -0.000296204, -0.000225212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00816889");
-            index_3 ("0.399664, 0.45336, 0.470024, 0.490474, 0.509424, 0.515886, 0.52881, 0.544748, 0.579148, 0.605637, 0.625416, 0.661623, 0.680876, 0.699217, 0.717357, 0.730946, 0.752813, 0.76139, 0.768451, 0.776708, 0.789727, 0.807086, 0.831031, 0.839435");
-            values ( \
-              "-0.00163662, -0.00478277, -0.00683829, -0.00997584, -0.0138029, -0.0154814, -0.01939, -0.0260163, -0.0422964, -0.0535657, -0.0612984, -0.0736149, -0.0787891, -0.0819516, -0.0803964, -0.0680138, -0.0343527, -0.0239909, -0.0174157, -0.0118173, -0.00630383, -0.00267018, -0.000874492, -0.000731852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018331");
-            index_3 ("0.450882, 0.493624, 0.50784, 0.529906, 0.552513, 0.608297, 0.628337, 0.66557, 0.696995, 0.725016, 0.750808, 0.77542, 0.799987, 0.818407, 0.840943, 0.858909, 0.869798, 0.883218, 0.891484, 0.908017, 0.938986, 0.974871, 1.01967, 1.21597");
-            values ( \
-              "-0.0148239, -0.0160817, -0.0198036, -0.0272226, -0.0372214, -0.0688523, -0.0794004, -0.0979822, -0.112107, -0.123395, -0.131912, -0.136894, -0.13195, -0.108528, -0.0685906, -0.0426156, -0.0307692, -0.0202058, -0.0154818, -0.00876059, -0.00268765, -0.00062543, -0.00015732, -1.62747e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411349");
-            index_3 ("0.449597, 0.519677, 0.536768, 0.572585, 0.656809, 0.692982, 0.745079, 0.789463, 0.82909, 0.865638, 0.900694, 0.936518, 0.948393, 0.989169, 1.01738, 1.04901, 1.0646, 1.08531, 1.11292, 1.16519, 1.21593, 1.23331, 1.26217");
-            values ( \
-              "-0.0121152, -0.0301674, -0.0372994, -0.0552639, -0.107337, -0.128001, -0.156076, -0.178036, -0.195663, -0.208735, -0.214854, -0.197902, -0.18156, -0.111716, -0.0720688, -0.0415145, -0.0311272, -0.0209885, -0.0120618, -0.0037225, -0.00115007, -0.00180364, -0.00114836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0923067");
-            index_3 ("0.518376, 0.597358, 0.666373, 0.761081, 0.865027, 0.934597, 0.99187, 1.04512, 1.09682, 1.14836, 1.21587, 1.26052, 1.30331, 1.32347, 1.34826, 1.39706, 1.42565, 1.48282, 1.55233, 1.5807");
-            values ( \
-              "-0.0692094, -0.0758608, -0.120843, -0.179317, -0.239596, -0.276557, -0.303091, -0.321622, -0.323009, -0.277365, -0.175661, -0.120217, -0.0807574, -0.0666578, -0.0524517, -0.0324406, -0.0244024, -0.0135866, -0.00655769, -0.00516946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.207137");
-            index_3 ("0.517662, 0.615687, 0.808673, 0.991842, 1.08893, 1.15462, 1.21551, 1.22752, 1.23945, 1.27638, 1.32482, 1.36829, 1.41646, 1.48597, 1.5951, 1.68972, 1.7667, 1.83477, 1.8955, 1.94983, 2.01934, 2.07953, 2.18481, 2.25432, 2.39334, 2.53236, 2.7409");
-            values ( \
-              "-0.0606016, -0.0915842, -0.217457, -0.331575, -0.387371, -0.421705, -0.449458, -0.449403, -0.447488, -0.438986, -0.425485, -0.409511, -0.384369, -0.329165, -0.231044, -0.161591, -0.118469, -0.0890198, -0.0686186, -0.0540868, -0.0397825, -0.0303966, -0.0189012, -0.0138112, -0.00732485, -0.00387913, -0.00146291" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00464108, 0.00467369, 0.00474, 0.00489831, 0.00526358, 0.00612401", \
-            "0.00448929, 0.00453135, 0.00461481, 0.00476742, 0.00517335, 0.00600887", \
-            "0.00430012, 0.00435596, 0.00444123, 0.00460426, 0.00506009, 0.00583771", \
-            "0.00419122, 0.00422511, 0.00426516, 0.00444889, 0.00485262, 0.00577013", \
-            "0.00414677, 0.00418298, 0.00425894, 0.00441983, 0.00469095, 0.00567772", \
-            "0.00451771, 0.00450106, 0.00450808, 0.00460753, 0.00483062, 0.00558217" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0175437, 0.0176126, 0.017747, 0.0180329, 0.0186561, 0.0203983", \
-            "0.0174935, 0.017587, 0.0177224, 0.0179824, 0.018712, 0.0203993", \
-            "0.0174398, 0.0175297, 0.0176823, 0.0179476, 0.0186845, 0.0203918", \
-            "0.0173697, 0.0174533, 0.0176149, 0.017935, 0.0185899, 0.0203818", \
-            "0.017313, 0.0174179, 0.0175676, 0.0178493, 0.0185906, 0.0203518", \
-            "0.0176091, 0.0176495, 0.0178224, 0.0180055, 0.0185025, 0.0202958" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00403663, 0.00408636, 0.00421263, 0.0044399, 0.00497125, 0.00614572", \
-            "0.00398254, 0.0040501, 0.00416758, 0.00441441, 0.00490044, 0.00612343", \
-            "0.00387127, 0.00395976, 0.00409444, 0.00436775, 0.00486821, 0.00609035", \
-            "0.00379119, 0.00385626, 0.0039792, 0.00423848, 0.00485665, 0.0060546", \
-            "0.0037576, 0.00382796, 0.00402477, 0.00418522, 0.00467866, 0.00598187", \
-            "0.00408764, 0.00407825, 0.00426868, 0.00439777, 0.00484442, 0.00590872" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0186264, 0.0186873, 0.0187851, 0.018875, 0.0192595, 0.0201942", \
-            "0.0185698, 0.0186534, 0.0187742, 0.0188758, 0.0191491, 0.0201741", \
-            "0.0185176, 0.0185756, 0.0186653, 0.0188, 0.0191614, 0.0201734", \
-            "0.0184426, 0.018494, 0.0185958, 0.018748, 0.019147, 0.0201692", \
-            "0.0184148, 0.0184497, 0.018568, 0.0186869, 0.0191008, 0.0201371", \
-            "0.0187664, 0.0187329, 0.0189078, 0.0188209, 0.0190856, 0.0201411" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00403663, 0.00408636, 0.00421263, 0.0044399, 0.00497125, 0.00614572", \
-            "0.00398254, 0.0040501, 0.00416758, 0.00441441, 0.00490044, 0.00612343", \
-            "0.00387127, 0.00395976, 0.00409444, 0.00436775, 0.00486821, 0.00609035", \
-            "0.00379119, 0.00385626, 0.0039792, 0.00423848, 0.00485665, 0.0060546", \
-            "0.0037576, 0.00382796, 0.00402477, 0.00418522, 0.00467866, 0.00598187", \
-            "0.00408764, 0.00407825, 0.00426868, 0.00439777, 0.00484442, 0.00590872" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0175437, 0.0176126, 0.017747, 0.0180329, 0.0186561, 0.0203983", \
-            "0.0174935, 0.017587, 0.0177224, 0.0179824, 0.018712, 0.0203993", \
-            "0.0174398, 0.0175297, 0.0176823, 0.0179476, 0.0186845, 0.0203918", \
-            "0.0173697, 0.0174533, 0.0176149, 0.017935, 0.0185899, 0.0203818", \
-            "0.017313, 0.0174179, 0.0175676, 0.0178493, 0.0185906, 0.0203518", \
-            "0.0176091, 0.0176495, 0.0178224, 0.0180055, 0.0185025, 0.0202958" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00625893, 0.00630764, 0.00638718, 0.00655869, 0.0068969, 0.00777269", \
-            "0.00615434, 0.00622885, 0.00631402, 0.00648232, 0.00692391, 0.00772936", \
-            "0.00598085, 0.00606788, 0.0062069, 0.00639837, 0.00674805, 0.00770071", \
-            "0.00573035, 0.00581329, 0.0059898, 0.00621739, 0.006685, 0.0076351", \
-            "0.00549558, 0.00564147, 0.00575709, 0.00609059, 0.00649679, 0.00751297", \
-            "0.00578079, 0.00580553, 0.00600613, 0.0062418, 0.00662733, 0.00744111" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0184475, 0.0185792, 0.0188056, 0.0190927, 0.0195095, 0.0207082", \
-            "0.0183742, 0.0185349, 0.0187779, 0.0190758, 0.0195096, 0.0207137", \
-            "0.0182905, 0.0184354, 0.0187102, 0.0190181, 0.0194578, 0.0206792", \
-            "0.0181095, 0.0182768, 0.0185598, 0.0189329, 0.0194542, 0.0206816", \
-            "0.0178451, 0.0179941, 0.0183201, 0.018714, 0.0193348, 0.0206181", \
-            "0.0180129, 0.0180223, 0.0181545, 0.0185179, 0.0192619, 0.020564" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00458984, 0.00466675, 0.00476494, 0.00492721, 0.00531029, 0.0062957", \
-            "0.0045459, 0.00463237, 0.00474933, 0.00492668, 0.00532906, 0.00631232", \
-            "0.00442029, 0.00451916, 0.00467329, 0.00488935, 0.00531116, 0.00630128", \
-            "0.00418832, 0.00432855, 0.0045172, 0.00478449, 0.00523335, 0.00626893", \
-            "0.00404266, 0.00415199, 0.00437282, 0.00470638, 0.00515692, 0.00621143", \
-            "0.00435405, 0.00432263, 0.00443314, 0.00484582, 0.00514372, 0.00615434" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0178864, 0.0180323, 0.018198, 0.0183814, 0.0187245, 0.0197523", \
-            "0.0177727, 0.0179374, 0.0181369, 0.0183427, 0.0187068, 0.0197495", \
-            "0.0176111, 0.0177777, 0.0180138, 0.0182553, 0.0186613, 0.0197152", \
-            "0.0174432, 0.0176058, 0.0178517, 0.0182002, 0.0186048, 0.0196956", \
-            "0.0175455, 0.0175942, 0.0178511, 0.0181158, 0.0185687, 0.0196569", \
-            "0.0181487, 0.018155, 0.0182089, 0.0183934, 0.0187565, 0.0197583" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00625893, 0.00630764, 0.00638718, 0.00655869, 0.0068969, 0.00777269", \
-            "0.00615434, 0.00622885, 0.00631402, 0.00648232, 0.00692391, 0.00772936", \
-            "0.00598085, 0.00606788, 0.0062069, 0.00639837, 0.00674805, 0.00770071", \
-            "0.00573035, 0.00581329, 0.0059898, 0.00621739, 0.006685, 0.0076351", \
-            "0.00549558, 0.00564147, 0.00575709, 0.00609059, 0.00649679, 0.00751297", \
-            "0.00578079, 0.00580553, 0.00600613, 0.0062418, 0.00662733, 0.00744111" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0184475, 0.0185792, 0.0188056, 0.0190927, 0.0195095, 0.0207082", \
-            "0.0183742, 0.0185349, 0.0187779, 0.0190758, 0.0195096, 0.0207137", \
-            "0.0182905, 0.0184354, 0.0187102, 0.0190181, 0.0194578, 0.0206792", \
-            "0.0181095, 0.0182768, 0.0185598, 0.0189329, 0.0194542, 0.0206816", \
-            "0.0178451, 0.0179941, 0.0183201, 0.018714, 0.0193348, 0.0206181", \
-            "0.0180129, 0.0180223, 0.0181545, 0.0185179, 0.0192619, 0.020564" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00659906, 0.0066686, 0.00677888, 0.00699904, 0.00749764, 0.00875237", \
-            "0.00658162, 0.00665918, 0.00679145, 0.00704739, 0.00751254, 0.00875074", \
-            "0.00649371, 0.00658036, 0.00673416, 0.0070052, 0.00755556, 0.00874651", \
-            "0.00649682, 0.00652404, 0.00664945, 0.00690042, 0.0074651, 0.00876455", \
-            "0.00658125, 0.00664132, 0.00671623, 0.00691104, 0.00740051, 0.00870286", \
-            "0.00703673, 0.00702935, 0.00707801, 0.00732731, 0.00760396, 0.00865539" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0161838, 0.0163727, 0.0166706, 0.0170187, 0.0174912, 0.0187057", \
-            "0.0161058, 0.0163099, 0.0166225, 0.0169873, 0.017461, 0.0186925", \
-            "0.016007, 0.0162128, 0.0165521, 0.0169549, 0.0174527, 0.0187032", \
-            "0.0159669, 0.0161349, 0.016435, 0.016894, 0.0174275, 0.0186724", \
-            "0.0160681, 0.0161493, 0.0164578, 0.0168452, 0.017437, 0.0186913", \
-            "0.0169119, 0.0168788, 0.0170359, 0.0170572, 0.017605, 0.0187809" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00543851, 0.00552485, 0.00564921, 0.00584833, 0.00627638, 0.00730441", \
-            "0.00539219, 0.00547957, 0.00560887, 0.00580097, 0.00621654, 0.00724123", \
-            "0.00531063, 0.00542013, 0.0055636, 0.00574587, 0.00616572, 0.00718333", \
-            "0.00514749, 0.00525258, 0.00544676, 0.00569516, 0.00612123, 0.00713143", \
-            "0.00513765, 0.00518423, 0.00533382, 0.00556632, 0.00606235, 0.00708444", \
-            "0.00563131, 0.00558994, 0.00562594, 0.00580835, 0.00617466, 0.00709917" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0146549, 0.0147347, 0.0148835, 0.0151671, 0.0158231, 0.0175888", \
-            "0.0145895, 0.0146806, 0.0148391, 0.0151432, 0.0158225, 0.0175867", \
-            "0.0145135, 0.0146083, 0.014775, 0.0150956, 0.0157474, 0.0175779", \
-            "0.0144838, 0.0145797, 0.0147176, 0.0150764, 0.0157652, 0.0175839", \
-            "0.0146764, 0.01478, 0.0148959, 0.0151058, 0.0157861, 0.017587", \
-            "0.0156986, 0.0155879, 0.0156522, 0.0155539, 0.0160331, 0.0176949" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.00659906, 0.0066686, 0.00677888, 0.00699904, 0.00749764, 0.00875237", \
-            "0.00658162, 0.00665918, 0.00679145, 0.00704739, 0.00751254, 0.00875074", \
-            "0.00649371, 0.00658036, 0.00673416, 0.0070052, 0.00755556, 0.00874651", \
-            "0.00649682, 0.00652404, 0.00664945, 0.00690042, 0.0074651, 0.00876455", \
-            "0.00658125, 0.00664132, 0.00671623, 0.00691104, 0.00740051, 0.00870286", \
-            "0.00703673, 0.00702935, 0.00707801, 0.00732731, 0.00760396, 0.00865539" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00816889, 0.018331, 0.0411349, 0.0923067, 0.207137");
-          values ( \
-            "0.0161838, 0.0163727, 0.0166706, 0.0170187, 0.0174912, 0.0187057", \
-            "0.0161058, 0.0163099, 0.0166225, 0.0169873, 0.017461, 0.0186925", \
-            "0.016007, 0.0162128, 0.0165521, 0.0169549, 0.0174527, 0.0187032", \
-            "0.0159669, 0.0161349, 0.016435, 0.016894, 0.0174275, 0.0186724", \
-            "0.0160681, 0.0161493, 0.0164578, 0.0168452, 0.017437, 0.0186913", \
-            "0.0169119, 0.0168788, 0.0170359, 0.0170572, 0.017605, 0.0187809" \
-          );
-        }
-      }
-    }
-    pin (CON) {
-      direction : output;
-      function : "(!A * !B) + (!A * !CI) + (!B * !CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0887001;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.13557, 0.151635, 0.181728, 0.237998, 0.343489, 0.542126", \
-            "0.141398, 0.157503, 0.187684, 0.244127, 0.349745, 0.54851", \
-            "0.15477, 0.17088, 0.201134, 0.25769, 0.363466, 0.562419", \
-            "0.182867, 0.199027, 0.229144, 0.285558, 0.391618, 0.590756", \
-            "0.241883, 0.25961, 0.291084, 0.347577, 0.453694, 0.652914", \
-            "0.341386, 0.364109, 0.404209, 0.473499, 0.590001, 0.79049" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.146189, 0.1689, 0.210377, 0.287951, 0.435312, 0.716096", \
-            "0.146182, 0.168916, 0.210358, 0.287969, 0.435313, 0.716123", \
-            "0.146113, 0.168858, 0.210335, 0.287933, 0.435314, 0.716118", \
-            "0.147139, 0.169216, 0.210284, 0.287844, 0.435291, 0.716115", \
-            "0.16643, 0.186187, 0.223059, 0.294742, 0.436315, 0.716081", \
-            "0.223984, 0.244169, 0.279573, 0.342691, 0.468785, 0.728268" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0734038, 0.0824304, 0.0991248, 0.130067, 0.187668, 0.295648", \
-            "0.0773866, 0.0864346, 0.103156, 0.134103, 0.191731, 0.299688", \
-            "0.0860774, 0.0951595, 0.111962, 0.143115, 0.200748, 0.30879", \
-            "0.104546, 0.1143, 0.131663, 0.16295, 0.220832, 0.329085", \
-            "0.129112, 0.141944, 0.164218, 0.201829, 0.264723, 0.373929", \
-            "0.146579, 0.16468, 0.196157, 0.248606, 0.332376, 0.463686" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0736523, 0.0844503, 0.105453, 0.145508, 0.221995, 0.367344", \
-            "0.0735176, 0.084301, 0.105336, 0.145474, 0.221767, 0.367105", \
-            "0.0733503, 0.0840621, 0.105026, 0.145281, 0.221739, 0.367014", \
-            "0.0819666, 0.091357, 0.110319, 0.147808, 0.221942, 0.367009", \
-            "0.111075, 0.12094, 0.138984, 0.172348, 0.237633, 0.372218", \
-            "0.164934, 0.177021, 0.199128, 0.238, 0.30451, 0.423573" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0507543, 0.0593349, 0.0859186, 0.11319, 0.147263, 0.156391, 0.170362, 0.207751, 0.212015, 0.229396, 0.243192, 0.251087, 0.283314, 0.2899, 0.323887, 0.329206, 0.339843, 0.355498, 0.377293, 0.387773, 0.390782, 0.3968, 0.408836, 0.430475, 0.472708, 0.527746");
-            values ( \
-              "0.0376334, 0.0389955, 0.0350661, 0.0333024, 0.0274661, 0.0287394, 0.0283731, 0.0180437, 0.0190548, 0.0172856, 0.0137534, 0.0138279, 0.0105114, 0.00853836, 0.00479902, 0.00530238, 0.00375648, 0.00376018, 0.00199856, 0.00247545, 0.00158114, 0.00218217, 0.00111651, 0.00144373, 0.000161466, 0.00046051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0504419, 0.0554276, 0.0573071, 0.0613923, 0.0777753, 0.0904001, 0.0924149, 0.0964446, 0.134382, 0.137789, 0.163237, 0.17324, 0.185034, 0.233443, 0.24224, 0.255092, 0.261312, 0.270662, 0.290878, 0.351267, 0.36412, 0.371638, 0.386675, 0.404293, 0.429728, 0.440766, 0.462842, 0.506994, 0.661385");
-            values ( \
-              "0.0369588, 0.0627747, 0.0620865, 0.0627988, 0.0594386, 0.0584086, 0.0572676, 0.057588, 0.0515881, 0.0518643, 0.0461258, 0.0475134, 0.0475479, 0.0324155, 0.0270607, 0.0257646, 0.0241793, 0.0243287, 0.0220663, 0.010326, 0.00934403, 0.00777927, 0.00705442, 0.00495936, 0.00415442, 0.00291591, 0.00278507, 0.000945939, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0505334, 0.057357, 0.0635312, 0.0649621, 0.0678239, 0.0727478, 0.0777876, 0.087867, 0.10441, 0.13129, 0.146216, 0.182337, 0.193314, 0.208175, 0.222484, 0.262976, 0.297109, 0.308006, 0.339705, 0.368049, 0.415105, 0.458525, 0.494486, 0.524999, 0.561372, 0.60987, 0.688506, 0.7142");
-            values ( \
-              "0.0449804, 0.0929862, 0.0925432, 0.0931639, 0.091832, 0.0918687, 0.0901335, 0.0891887, 0.0857895, 0.0829593, 0.0804766, 0.0730339, 0.0713179, 0.0728978, 0.0713107, 0.0571242, 0.0407104, 0.03714, 0.0353143, 0.030082, 0.0186176, 0.0116759, 0.00795352, 0.00570834, 0.00382875, 0.00223553, 0.000865402, 0.000749609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0506633, 0.0603791, 0.0710466, 0.107294, 0.126505, 0.159913, 0.165444, 0.176506, 0.198631, 0.248722, 0.255561, 0.263377, 0.276997, 0.324338, 0.363583, 0.414709, 0.4331, 0.550493, 0.579396, 0.630044, 0.660898, 0.721895, 0.829314, 0.949074");
-            values ( \
-              "0.0580442, 0.125469, 0.125079, 0.118676, 0.117077, 0.112328, 0.112557, 0.110339, 0.107815, 0.0984685, 0.100076, 0.100441, 0.098996, 0.0864059, 0.0685418, 0.0566447, 0.0510042, 0.0259518, 0.0209172, 0.014212, 0.0111837, 0.00690983, 0.00288845, 0.0013415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0547692, 0.0547892, 0.167322, 0.212709, 0.227098, 0.255876, 0.321021, 0.354628, 0.366875, 0.401572, 0.431561, 0.485996, 0.541707, 0.561803, 0.671619, 0.784128, 0.8697, 0.944023, 1.05492, 1.16675, 1.25097, 1.4178");
-            values ( \
-              "1e-22, 0.156773, 0.143253, 0.140195, 0.138143, 0.136069, 0.127697, 0.125051, 0.124957, 0.120588, 0.115494, 0.0983952, 0.0891408, 0.0844005, 0.0557244, 0.0356652, 0.0239732, 0.0166023, 0.00938882, 0.00531768, 0.0033255, 0.00134751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0599342, 0.0599542, 0.18757, 0.342045, 0.498316, 0.525655, 0.62406, 0.714879, 0.733488, 0.776669, 1.04792, 1.16706, 1.42422, 1.60529, 1.90869, 2.24557, 2.75089");
-            values ( \
-              "1e-22, 0.190884, 0.166472, 0.158675, 0.146342, 0.146625, 0.138906, 0.122373, 0.123058, 0.118732, 0.0684025, 0.0509224, 0.0252248, 0.0145037, 0.00539074, 0.00159268, 9.96238e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0682296, 0.0739189, 0.0790831, 0.0877226, 0.105688, 0.13359, 0.167022, 0.183236, 0.190121, 0.22273, 0.227446, 0.231698, 0.23716, 0.241124, 0.244064, 0.247845, 0.252006, 0.263136, 0.270757, 0.2803, 0.289324, 0.297866, 0.303068, 0.309649, 0.317255, 0.324832, 0.333724, 0.343533, 0.349607, 0.361754, 0.377829, 0.400041, 0.410654, 0.431878, 0.472363, 0.529334, 0.605133");
-            values ( \
-              "0.00835186, 0.0377875, 0.0383947, 0.0375932, 0.0354341, 0.0328944, 0.0278068, 0.0287477, 0.0280469, 0.0198667, 0.0183599, 0.0187451, 0.0186305, 0.0182522, 0.017492, 0.0172166, 0.0161218, 0.0140146, 0.0135564, 0.0123777, 0.0117308, 0.0105983, 0.0102355, 0.00881516, 0.0081231, 0.0067824, 0.006264, 0.00507987, 0.00498899, 0.00389679, 0.0033702, 0.00215849, 0.00211348, 0.00127549, 0.000880845, 0.000142073, 0.000212232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0680948, 0.0751843, 0.081213, 0.11225, 0.134294, 0.157598, 0.16941, 0.183039, 0.196089, 0.20942, 0.22257, 0.251622, 0.260509, 0.26595, 0.281341, 0.29067, 0.310909, 0.329345, 0.370116, 0.391469, 0.424726, 0.460625, 0.482258, 0.525524, 0.593603, 0.677824");
-            values ( \
-              "0.00881489, 0.0612863, 0.0623195, 0.0575956, 0.0550858, 0.0515379, 0.0487408, 0.0464579, 0.0474551, 0.046973, 0.0420189, 0.0327428, 0.0279759, 0.026609, 0.0244415, 0.0240363, 0.0217548, 0.0188413, 0.0108141, 0.00812003, 0.00525684, 0.00325307, 0.00246282, 0.00130866, 0.000503036, 0.000109638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0719089, 0.0816495, 0.16506, 0.213173, 0.228979, 0.243324, 0.285804, 0.321499, 0.33178, 0.364862, 0.449519, 0.491928, 0.544585, 0.631536, 0.713118, 0.739328");
-            values ( \
-              "0.0871407, 0.0925678, 0.0806434, 0.0711966, 0.0729593, 0.07098, 0.0559058, 0.0388767, 0.0367392, 0.0344112, 0.0158834, 0.010081, 0.00569425, 0.00221253, 0.000791486, 0.000680631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.07205, 0.0804354, 0.0858685, 0.210414, 0.254078, 0.269853, 0.291108, 0.34051, 0.393371, 0.419127, 0.452741, 0.551031, 0.592021, 0.655258, 0.728987, 0.762204, 0.890715, 1.05916, 1.14338");
-            values ( \
-              "0.0882924, 0.124261, 0.124779, 0.108885, 0.100948, 0.0994373, 0.100469, 0.0878077, 0.0652699, 0.0605577, 0.0511667, 0.029803, 0.0220248, 0.0135507, 0.00754026, 0.00576852, 0.0019291, 0.000385804, 0.0003667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0764763, 0.0764963, 0.157798, 0.232873, 0.275555, 0.341019, 0.374631, 0.380346, 0.399941, 0.444605, 0.455524, 0.490417, 0.505876, 0.521356, 0.54335, 0.562766, 0.692218, 0.760946, 0.803148, 0.888447, 0.914451, 0.971004, 1.03564, 1.09189, 1.17484, 1.25906, 1.34328, 1.51172, 1.68016");
-            values ( \
-              "1e-22, 0.163457, 0.146261, 0.139861, 0.13578, 0.127374, 0.125375, 0.125394, 0.123675, 0.116895, 0.114444, 0.104066, 0.0984387, 0.0952904, 0.0924405, 0.0889164, 0.055594, 0.0427567, 0.0358205, 0.0241209, 0.0212996, 0.0161354, 0.0115531, 0.00864221, 0.00560035, 0.00361746, 0.00227203, 0.000910619, 0.000358258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.07612, 0.07614, 0.206864, 0.362229, 0.510937, 0.541968, 0.644527, 0.705947, 0.723878, 0.78329, 0.819478, 1.06368, 1.1479, 1.23003, 1.3314, 1.46206, 1.51641, 1.67309, 1.75846, 1.86623, 2.03467, 2.20311, 2.37155, 2.70844");
-            values ( \
-              "1e-22, 0.17975, 0.166837, 0.15835, 0.147111, 0.146344, 0.138497, 0.128277, 0.124369, 0.11989, 0.114638, 0.0696665, 0.0561582, 0.0459666, 0.0352097, 0.0242575, 0.0206427, 0.0127931, 0.00980317, 0.00697708, 0.00406568, 0.0023493, 0.00134329, 0.000412785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.11263, 0.117057, 0.122779, 0.127472, 0.131809, 0.14024, 0.178422, 0.188861, 0.203051, 0.211131, 0.227331, 0.234224, 0.266676, 0.271394, 0.275618, 0.281005, 0.285055, 0.287988, 0.29185, 0.306976, 0.314606, 0.324128, 0.333176, 0.341735, 0.347161, 0.353729, 0.361371, 0.368936, 0.377826, 0.387605, 0.393697, 0.405879, 0.421936, 0.444021, 0.454728, 0.476143, 0.573733, 0.649782");
-            values ( \
-              "0.0261395, 0.0331302, 0.0354986, 0.0365046, 0.0367743, 0.0365065, 0.032784, 0.0312922, 0.0288322, 0.0278836, 0.0288198, 0.027987, 0.0198526, 0.0184364, 0.0186847, 0.0186891, 0.0182426, 0.0175579, 0.0171927, 0.0140765, 0.013557, 0.0124275, 0.0117394, 0.0106376, 0.0102283, 0.00882473, 0.00811311, 0.00678951, 0.00625527, 0.0050915, 0.00497894, 0.00390694, 0.00335777, 0.00217532, 0.00210097, 0.00128553, 0.000154595, 0.000197309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.114811, 0.135476, 0.145213, 0.189694, 0.218826, 0.227225, 0.240405, 0.253573, 0.28122, 0.291873, 0.298615, 0.304682, 0.310135, 0.325506, 0.344542, 0.355077, 0.382688, 0.402772, 0.42687, 0.435623, 0.451583, 0.468876, 0.49395, 0.526398, 0.569663, 0.638329, 0.72255");
-            values ( \
-              "0.0600844, 0.0600994, 0.0593827, 0.0535431, 0.0477359, 0.0464854, 0.0475293, 0.0468762, 0.0371937, 0.0340969, 0.0315276, 0.0280475, 0.0265333, 0.0245154, 0.023113, 0.021685, 0.0170691, 0.0127265, 0.00910097, 0.0081784, 0.00658123, 0.0053148, 0.00375002, 0.00240726, 0.00136547, 0.000441958, 0.000164647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.114722, 0.125102, 0.128913, 0.135618, 0.142004, 0.210418, 0.225817, 0.247415, 0.257507, 0.273816, 0.28817, 0.327142, 0.356961, 0.36673, 0.376791, 0.379146, 0.393275, 0.406512, 0.420368, 0.433789, 0.473772, 0.507932, 0.532039, 0.55452, 0.585263, 0.62185, 0.649495, 0.704787, 0.789008, 0.873229");
-            values ( \
-              "0.0752265, 0.0865755, 0.0887792, 0.0901826, 0.0901621, 0.0804528, 0.0776197, 0.0728031, 0.0713937, 0.0729739, 0.0708157, 0.0570995, 0.0426932, 0.0386165, 0.0366841, 0.0367584, 0.0362385, 0.0348058, 0.0324197, 0.0296554, 0.0197448, 0.0135902, 0.0105066, 0.00837758, 0.00589448, 0.00396973, 0.00298056, 0.00154066, 0.000604429, 0.000180472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.117972, 0.117992, 0.187814, 0.229924, 0.273642, 0.311793, 0.319017, 0.328647, 0.342483, 0.386624, 0.432187, 0.471088, 0.495424, 0.552308, 0.58483, 0.615661, 0.676848, 0.721153, 0.786222, 0.824602, 0.896562, 1.02366");
-            values ( \
-              "1e-22, 0.127402, 0.117075, 0.112113, 0.105705, 0.0993812, 0.100208, 0.100485, 0.0988055, 0.0871706, 0.0669179, 0.0588166, 0.0516375, 0.0398288, 0.0321337, 0.025744, 0.0162046, 0.0114805, 0.00683597, 0.0051599, 0.00274344, 0.00113967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.120653, 0.120673, 0.196038, 0.291578, 0.321856, 0.38552, 0.419109, 0.424824, 0.444418, 0.485611, 0.499987, 0.534886, 0.550351, 0.56583, 0.587854, 0.607293, 0.645727, 0.736721, 0.805443, 0.847583, 0.932868, 0.958923, 1.01561, 1.08039, 1.13638, 1.21892, 1.30315, 1.38737, 1.55581, 1.72425");
-            values ( \
-              "1e-22, 0.163023, 0.146806, 0.138535, 0.135503, 0.127283, 0.125458, 0.125398, 0.123678, 0.117482, 0.114446, 0.104068, 0.0984434, 0.0952887, 0.0924388, 0.0889045, 0.0794929, 0.0555904, 0.0427552, 0.0358292, 0.0241295, 0.021302, 0.0161242, 0.0115382, 0.00864332, 0.00561331, 0.00362368, 0.00227812, 0.000913844, 0.00036031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.120431, 0.120451, 0.270071, 0.454376, 0.562438, 0.609353, 0.680551, 0.767449, 0.845025, 1.19265, 1.37625, 1.52479, 1.71704, 1.99626, 2.2331");
-            values ( \
-              "1e-22, 0.17798, 0.165926, 0.155147, 0.146606, 0.145328, 0.139371, 0.124715, 0.117725, 0.0560317, 0.0350809, 0.0230112, 0.0129314, 0.00534747, 0.00285912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.201329, 0.240383, 0.244979, 0.248894, 0.25209, 0.256223, 0.259876, 0.265079, 0.269157, 0.273236, 0.279197, 0.285158, 0.28858, 0.298843, 0.303089, 0.307335, 0.309636, 0.314238, 0.318882, 0.323568, 0.325911, 0.328147, 0.330383, 0.339328, 0.356934, 0.364402, 0.365367, 0.368264, 0.37043, 0.373372, 0.375696, 0.37833, 0.389844, 0.39225, 0.396548, 0.399772, 0.406762, 0.429366, 0.439276, 0.441255, 0.454381, 0.461537, 0.46803, 0.476145, 0.483915, 0.492914, 0.502553, 0.518417, 0.534375, 0.550796");
-            values ( \
-              "0.0299052, 0.0301082, 0.0305161, 0.0328146, 0.032882, 0.0330447, 0.0333796, 0.0332845, 0.0330983, 0.0328138, 0.0321555, 0.0313054, 0.0307308, 0.028628, 0.0284052, 0.0283468, 0.0285258, 0.0287448, 0.0287779, 0.0286199, 0.0284688, 0.0282191, 0.0279022, 0.0258154, 0.0213135, 0.0189942, 0.0188285, 0.0185503, 0.0186821, 0.0187529, 0.0187207, 0.0185447, 0.0167152, 0.0162496, 0.0152233, 0.0146252, 0.0138324, 0.0116226, 0.0105544, 0.01032, 0.00829097, 0.00735841, 0.00661728, 0.00584558, 0.00521362, 0.00457181, 0.00397428, 0.00316533, 0.0025127, 0.00190333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.186434, 0.212837, 0.227249, 0.232297, 0.242393, 0.248896, 0.250739, 0.260621, 0.266952, 0.27491, 0.286129, 0.298096, 0.315127, 0.323509, 0.336666, 0.349822, 0.377476, 0.391719, 0.394536, 0.400588, 0.405994, 0.420653, 0.421744, 0.43427, 0.44469, 0.45498, 0.465784, 0.475692, 0.479469, 0.487024, 0.498386, 0.521488, 0.531811, 0.547378, 0.588765, 0.600947, 0.625312, 0.674042, 0.74345, 0.827671");
-            values ( \
-              "0.00706131, 0.0399998, 0.046057, 0.047481, 0.0498494, 0.0509333, 0.0537087, 0.0551924, 0.0555379, 0.0551486, 0.0537702, 0.0515695, 0.0478184, 0.0465649, 0.0476166, 0.0468657, 0.0372175, 0.032807, 0.0316512, 0.0281714, 0.0266161, 0.0246252, 0.0246235, 0.0237609, 0.0225993, 0.0211501, 0.0193064, 0.0178316, 0.0167055, 0.0153215, 0.0127425, 0.00922308, 0.00824767, 0.00656001, 0.00377413, 0.00335497, 0.00228032, 0.0012504, 0.000347356, 0.000182588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.187789, 0.205361, 0.217684, 0.234936, 0.248712, 0.253912, 0.264628, 0.275161, 0.292557, 0.306661, 0.321799, 0.343497, 0.35346, 0.36976, 0.384162, 0.423073, 0.463555, 0.473434, 0.475106, 0.485136, 0.498358, 0.512078, 0.540847, 0.547342, 0.577447, 0.608326, 0.617895, 0.652974, 0.697127, 0.72414, 0.778165, 0.862119, 0.94634, 1.03056");
-            values ( \
-              "0.00238947, 0.0511493, 0.0633133, 0.0726299, 0.0771215, 0.0820446, 0.0844921, 0.0849108, 0.0828272, 0.0805901, 0.0777424, 0.0728139, 0.0714568, 0.0730156, 0.0707956, 0.0571106, 0.0383872, 0.0366408, 0.0367709, 0.0364731, 0.0353465, 0.0332558, 0.0271227, 0.0251124, 0.018074, 0.0129111, 0.0117103, 0.00803791, 0.00496693, 0.0037703, 0.00197798, 0.000781767, 0.000230707, 0.000141596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.187853, 0.210435, 0.211762, 0.21631, 0.226169, 0.235233, 0.24876, 0.250344, 0.256517, 0.262542, 0.270372, 0.278631, 0.286801, 0.325682, 0.370477, 0.393764, 0.409953, 0.428986, 0.448213, 0.455082, 0.474345, 0.485963, 0.493027, 0.506479, 0.521857, 0.534593, 0.546444, 0.574919, 0.593172, 0.611993, 0.649999, 0.671821, 0.710919, 0.739847, 0.760279, 0.772975, 0.789903, 0.820855, 0.864037, 0.882042, 0.918051, 0.990069, 1.07429, 1.15851, 1.32695");
-            values ( \
-              "0.00337474, 0.0755316, 0.0777764, 0.0832001, 0.0923936, 0.0987713, 0.105715, 0.110629, 0.114, 0.116578, 0.11799, 0.117853, 0.117007, 0.112219, 0.105561, 0.101023, 0.0996086, 0.100509, 0.096444, 0.094422, 0.0895298, 0.0858826, 0.0821885, 0.0767239, 0.069507, 0.064955, 0.0633551, 0.0567564, 0.0509511, 0.0472844, 0.0394409, 0.0340898, 0.0258765, 0.0209126, 0.0178479, 0.0162069, 0.0142783, 0.0111775, 0.00798791, 0.00688584, 0.00520575, 0.00284818, 0.00144378, 0.000682859, 0.000153795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.198226, 0.217977, 0.230778, 0.248795, 0.253579, 0.259299, 0.270315, 0.279747, 0.293379, 0.387732, 0.454307, 0.479398, 0.509434, 0.516054, 0.529293, 0.550371, 0.579541, 0.592891, 0.634072, 0.648677, 0.687488, 0.706396, 0.834309, 0.902798, 0.97953, 1.02576, 1.08286, 1.12002, 1.19052, 1.22302, 1.29576, 1.37889, 1.46311, 1.54734, 1.71578, 1.88422");
-            values ( \
-              "0.0664939, 0.104395, 0.117711, 0.130942, 0.138944, 0.143163, 0.147404, 0.147923, 0.147083, 0.138559, 0.131179, 0.127747, 0.125648, 0.125748, 0.124902, 0.122448, 0.117852, 0.115356, 0.103043, 0.0976897, 0.091935, 0.0882025, 0.0553427, 0.0425962, 0.0306172, 0.0245687, 0.0186247, 0.0154203, 0.0107749, 0.00907361, 0.0062584, 0.00398839, 0.0025856, 0.00160912, 0.000639591, 0.000246436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.210988, 0.252566, 0.278583, 0.503371, 0.777282, 0.862623, 0.940912, 1.28906, 1.47261, 1.62122, 1.81357, 1.93575, 2.09245, 2.38359");
-            values ( \
-              "0.158081, 0.158316, 0.170816, 0.158285, 0.139335, 0.124971, 0.117828, 0.0560264, 0.0350857, 0.0230168, 0.0129194, 0.00879447, 0.00536089, 0.00230036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.350748, 0.383531, 0.424855, 0.437862, 0.465296, 0.490957, 0.514692, 0.530104, 0.541966, 0.550101, 0.554628, 0.569267, 0.576382, 0.581669, 0.584957, 0.587643, 0.600832, 0.610997, 0.652652, 0.66595, 0.682089, 0.701484, 0.730479, 0.762488, 0.781619, 0.819882, 0.878694, 0.95331");
-            values ( \
-              "0.00661153, 0.0133956, 0.0205565, 0.0224218, 0.0253639, 0.0257953, 0.0246478, 0.0268544, 0.0259496, 0.0245201, 0.0244519, 0.021402, 0.0194253, 0.0192157, 0.0193601, 0.0191582, 0.0170558, 0.0149524, 0.0104469, 0.00824882, 0.00637492, 0.00481712, 0.00317544, 0.00196819, 0.0014677, 0.000796082, 0.000290682, 8.02149e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.350623, 0.369519, 0.382024, 0.433488, 0.447459, 0.477633, 0.505982, 0.532721, 0.545406, 0.549972, 0.555002, 0.563618, 0.574026, 0.599565, 0.616303, 0.632294, 0.650725, 0.661561, 0.680556, 0.721773, 0.743552, 0.77705, 0.812647, 0.873445, 0.945019, 1.02907");
-            values ( \
-              "0.00912335, 0.0171648, 0.0211518, 0.0357691, 0.0388144, 0.0434654, 0.0442089, 0.0426979, 0.0456837, 0.0458444, 0.0465072, 0.0452779, 0.0424979, 0.034397, 0.0272228, 0.0249855, 0.0235763, 0.0220256, 0.0189834, 0.0108308, 0.00810096, 0.00522429, 0.00323925, 0.00137549, 0.000517805, 9.4482e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.350606, 0.374555, 0.396055, 0.422962, 0.464263, 0.499525, 0.532926, 0.549978, 0.573687, 0.583868, 0.59855, 0.634153, 0.674312, 0.684383, 0.704564, 0.718054, 0.744058, 0.785556, 0.823602, 0.84843, 0.877546, 0.923325, 0.953727, 1.01453, 1.09875, 1.18297");
-            values ( \
-              "0.0114191, 0.027888, 0.0379221, 0.0495307, 0.063599, 0.0697694, 0.0710947, 0.0701697, 0.0729284, 0.0735862, 0.0698954, 0.0576963, 0.0389086, 0.0369626, 0.0361558, 0.0343766, 0.0292568, 0.0191103, 0.0125884, 0.00965585, 0.00711805, 0.00427498, 0.00311243, 0.00150072, 0.000593045, 0.000173099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.367338, 0.399786, 0.441659, 0.470121, 0.492548, 0.537161, 0.550009, 0.558587, 0.568862, 0.579151, 0.618455, 0.630735, 0.646294, 0.695355, 0.747397, 0.772527, 0.805768, 0.9236, 0.952575, 1.00234, 1.03334, 1.09462, 1.13072, 1.20293, 1.28715, 1.37137, 1.53981");
-            values ( \
-              "0.0523426, 0.0531371, 0.0772331, 0.0894946, 0.096053, 0.103561, 0.1046, 0.107004, 0.107215, 0.106232, 0.0995955, 0.100541, 0.0997364, 0.0870263, 0.0649811, 0.0603611, 0.0510079, 0.0258907, 0.02086, 0.0142697, 0.0112133, 0.00691205, 0.00517784, 0.0028663, 0.00141599, 0.000705703, 0.000177654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.367381, 0.394951, 0.429078, 0.471465, 0.513843, 0.54999, 0.557687, 0.567866, 0.576663, 0.594257, 0.637138, 0.694423, 0.733641, 0.753211, 0.794421, 0.808831, 0.843812, 0.859376, 0.87481, 0.895738, 0.914402, 0.953528, 1.04455, 1.11343, 1.15805, 1.24374, 1.31581, 1.37879, 1.42753, 1.47682, 1.54255, 1.62677, 1.79521, 1.96366, 2.04788");
-            values ( \
-              "0.0609603, 0.0614322, 0.0867941, 0.11219, 0.12734, 0.134952, 0.13854, 0.140199, 0.140518, 0.13948, 0.134818, 0.127236, 0.125408, 0.123686, 0.117483, 0.114437, 0.104037, 0.0983739, 0.0952834, 0.0925859, 0.0892652, 0.0797636, 0.0558098, 0.0429104, 0.0355717, 0.0238894, 0.016733, 0.0122499, 0.00942522, 0.00730227, 0.0052463, 0.00328247, 0.00131186, 0.000511242, 0.000388826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.366967, 0.458926, 0.517539, 0.578256, 0.593594, 0.715854, 0.87172, 0.914446, 0.994034, 1.088, 1.10658, 1.15001, 1.48543, 1.66388, 1.84598, 1.90992, 2.06328, 2.28245, 2.53511, 2.59152");
-            values ( \
-              "0.0536939, 0.121459, 0.149027, 0.165743, 0.165545, 0.158218, 0.14657, 0.145576, 0.138941, 0.122891, 0.122661, 0.118367, 0.0585804, 0.037404, 0.0222795, 0.0183369, 0.0114493, 0.00581388, 0.00255314, 0.00219241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.686124, 0.74111, 0.800833, 0.876999, 0.91396, 0.932508, 0.94915, 0.964307, 0.978521, 0.981539, 0.999643, 1.01256, 1.02073, 1.03764, 1.04929, 1.08984, 1.11215, 1.14174, 1.19088, 1.21567, 1.2319, 1.29237, 1.33409, 1.36418");
-            values ( \
-              "0.00523375, 0.00667223, 0.0115931, 0.017018, 0.0183656, 0.0184697, 0.019307, 0.0211177, 0.0196943, 0.0197453, 0.0183104, 0.0167004, 0.0171867, 0.0163423, 0.0147422, 0.0118589, 0.00865283, 0.00602935, 0.00317208, 0.00223619, 0.00206944, 0.000826424, 0.000420376, 0.000296603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.686277, 0.729529, 0.74888, 0.787583, 0.833356, 0.875326, 0.894563, 0.937373, 0.953162, 0.972082, 0.989031, 1.0069, 1.02427, 1.02952, 1.03424, 1.04492, 1.05062, 1.05808, 1.07664, 1.08497, 1.09357, 1.10447, 1.12581, 1.1549, 1.1716, 1.18541, 1.21587, 1.22199, 1.25356, 1.2738, 1.29671, 1.32726, 1.38837, 1.46748, 1.5517");
-            values ( \
-              "0.00941486, 0.00965658, 0.0118745, 0.0171914, 0.0229212, 0.0278351, 0.0298759, 0.0326615, 0.0326653, 0.0338353, 0.0370646, 0.0345196, 0.0330379, 0.0321393, 0.0317858, 0.0298928, 0.0276505, 0.0258591, 0.0246161, 0.0244836, 0.0241632, 0.0232622, 0.020615, 0.0147459, 0.0121681, 0.0102911, 0.00720167, 0.00714323, 0.00485043, 0.00369458, 0.00271011, 0.00178173, 0.000730886, 0.000221976, 6.08465e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.686291, 0.731907, 0.851598, 0.918208, 0.937922, 0.964208, 0.980036, 0.996325, 1.01224, 1.03117, 1.07404, 1.08495, 1.12369, 1.1346, 1.15354, 1.16679, 1.18086, 1.26538, 1.29152, 1.32317, 1.37287, 1.43945, 1.51046, 1.54599");
-            values ( \
-              "0.0136317, 0.0151076, 0.0378522, 0.0492804, 0.0519791, 0.0540778, 0.0546275, 0.054718, 0.0564954, 0.06006, 0.0544959, 0.0523668, 0.0395884, 0.037576, 0.0374912, 0.0365111, 0.0344607, 0.0165784, 0.0125983, 0.00901226, 0.00525737, 0.00252499, 0.00111095, 0.000811974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.686592, 0.732511, 0.914278, 0.975145, 1.02951, 1.05677, 1.08187, 1.10535, 1.15577, 1.19955, 1.23381, 1.39331, 1.50033, 1.56359, 1.67702, 1.67719");
-            values ( \
-              "0.0174227, 0.0213683, 0.0671322, 0.079212, 0.0843369, 0.0847984, 0.0866746, 0.0895826, 0.0828053, 0.0678454, 0.0629528, 0.0256975, 0.0113802, 0.00690319, 0.00273557, 0.00273344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.712155, 0.790037, 0.927338, 0.976946, 1.05524, 1.12741, 1.16353, 1.19785, 1.22677, 1.24429, 1.27141, 1.31385, 1.3297, 1.36545, 1.38309, 1.42277, 1.51552, 1.58436, 1.62515, 1.66367, 1.71042, 1.74577, 1.7964, 1.86392, 1.90254, 1.94031, 1.99067, 2.07489, 2.15912, 2.24334, 2.32756, 2.496");
-            values ( \
-              "0.0361471, 0.0439042, 0.0877718, 0.100882, 0.114534, 0.119086, 0.119378, 0.121287, 0.121897, 0.120709, 0.116226, 0.103859, 0.0981819, 0.0928701, 0.0896007, 0.0798951, 0.0556193, 0.0427394, 0.0360215, 0.030383, 0.0242748, 0.0204624, 0.0158966, 0.0112746, 0.00921596, 0.00757528, 0.00585365, 0.00371449, 0.0024, 0.00150048, 0.000986487, 0.000412844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.71241, 0.783719, 0.91757, 1.00707, 1.07561, 1.15983, 1.23542, 1.25769, 1.3529, 1.38673, 1.46811, 1.55978, 1.5785, 1.62153, 1.95579, 2.13468, 2.31708, 2.38117, 2.53446, 2.75347, 3.00486");
-            values ( \
-              "0.0418539, 0.0477787, 0.0975717, 0.125184, 0.138495, 0.149084, 0.153991, 0.153615, 0.146322, 0.145351, 0.138546, 0.122779, 0.122652, 0.118325, 0.058683, 0.0374181, 0.0222666, 0.0183331, 0.0114526, 0.00580574, 0.00297112" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0125397, 0.0125522, 0.0125667, 0.0125803, 0.012591, 0.0125983", \
-            "0.0167219, 0.0167338, 0.0167493, 0.0167657, 0.0167797, 0.01679", \
-            "0.0198004, 0.0198091, 0.0198221, 0.0198382, 0.0198541, 0.0198669", \
-            "0.0214875, 0.0214894, 0.0214937, 0.0215016, 0.021512, 0.0215223", \
-            "0.0222967, 0.0222842, 0.0222685, 0.0222523, 0.0222404, 0.0222342", \
-            "0.022779, 0.0227586, 0.0227274, 0.0226861, 0.0226402, 0.0226009" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0206661, 0.0206798, 0.020698, 0.0207179, 0.0207349, 0.0207474", \
-            "0.0227407, 0.0227309, 0.0227241, 0.0227233, 0.0227273, 0.0227326", \
-            "0.021524, 0.0214653, 0.0214007, 0.0213397, 0.0212676, 0.0212303", \
-            "0.0203948, 0.0203025, 0.0201769, 0.0200393, 0.0199126, 0.0198029", \
-            "0.0206028, 0.0203745, 0.0200883, 0.0198035, 0.0195446, 0.0193441", \
-            "0.0200299, 0.0200805, 0.020134, 0.0203066, 0.0198601, 0.0194342" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0268004, 0.0289775, 0.0349776, 0.0419512, 0.0457077, 0.0495626, 0.0554984, 0.0614664, 0.0734205, 0.0850176, 0.0963201, 0.107597, 0.108388, 0.132198, 0.15788, 0.181201, 0.206853, 0.215414, 0.222209, 0.227446, 0.231805, 0.239272, 0.244965, 0.251466, 0.258978, 0.268113, 0.281338, 0.297642, 0.323877, 0.339222");
-            values ( \
-              "-0.0555364, -0.0650643, -0.0576436, -0.0554258, -0.0554768, -0.0549702, -0.0551775, -0.0545985, -0.0551334, -0.0579711, -0.0582158, -0.0508278, -0.050994, -0.0285712, -0.010426, -0.00757132, -0.00284831, -0.00289358, -0.00162479, -0.00176615, -0.000733894, -0.001281, -0.000600107, -0.00137784, -0.000818005, -0.00150702, -0.000857224, -0.00129826, -0.000396641, -0.000562529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0267911, 0.0297246, 0.0365086, 0.0443079, 0.0669898, 0.0806607, 0.0940128, 0.100578, 0.106968, 0.12341, 0.151434, 0.167292, 0.192272, 0.214445, 0.268962, 0.351016, 0.384905");
-            values ( \
-              "-0.064391, -0.105066, -0.0948473, -0.0919974, -0.0906283, -0.0908202, -0.0953755, -0.0963153, -0.0946941, -0.0813669, -0.0439157, -0.0282593, -0.0115179, -0.00699826, -0.00280406, -0.00111121, -0.000702575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0267428, 0.0309113, 0.0332738, 0.0393785, 0.0488911, 0.0545882, 0.0603367, 0.0718338, 0.0771041, 0.0940446, 0.110694, 0.127124, 0.146539, 0.179028, 0.199051, 0.237084, 0.250476, 0.262702, 0.276599, 0.29144, 0.303372, 0.314604, 0.321239, 0.333623, 0.346109, 0.36154, 0.378856, 0.401466, 0.431672, 0.472615");
-            values ( \
-              "-0.0706846, -0.155152, -0.15053, -0.143891, -0.141245, -0.141355, -0.140536, -0.140191, -0.13909, -0.138708, -0.143861, -0.142568, -0.124667, -0.0725212, -0.0476264, -0.0143875, -0.00884443, -0.00933949, -0.00900416, -0.00969209, -0.00751355, -0.00721097, -0.00573919, -0.005715, -0.00415389, -0.004195, -0.00260741, -0.00255015, -0.000920334, -0.000976913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0284624, 0.0305537, 0.0392085, 0.0482956, 0.109662, 0.141687, 0.151352, 0.16437, 0.188724, 0.240454, 0.287603, 0.306134, 0.336603, 0.407005, 0.506294, 0.546243");
-            values ( \
-              "-0.211148, -0.212049, -0.201267, -0.198139, -0.192524, -0.197234, -0.196895, -0.193042, -0.17183, -0.0946119, -0.0416901, -0.0297805, -0.019451, -0.0093856, -0.00322304, -0.00208994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0289301, 0.0304713, 0.0454465, 0.0812123, 0.158329, 0.176958, 0.199348, 0.234313, 0.239706, 0.271763, 0.388788, 0.432467, 0.46879, 0.526579, 0.574817, 0.646903, 0.728961, 0.791641");
-            values ( \
-              "-0.253623, -0.258706, -0.252261, -0.250698, -0.242322, -0.242274, -0.24442, -0.236959, -0.23458, -0.209416, -0.076443, -0.0543807, -0.0396741, -0.0206549, -0.0122617, -0.00689779, -0.00368598, -0.00234382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0293005, 0.0305117, 0.0357307, 0.0423349, 0.0731755, 0.104571, 0.24182, 0.307334, 0.320727, 0.342716, 0.36547, 0.394903, 0.42825, 0.485984, 0.538835, 0.56034, 0.629926, 0.692256, 0.744127, 0.807344, 0.858825, 0.888875, 0.962428, 1.01713, 1.12652, 1.23591, 1.32279");
-            values ( \
-              "-0.283962, -0.290844, -0.295071, -0.293979, -0.294338, -0.292914, -0.281294, -0.279061, -0.277931, -0.274246, -0.268523, -0.256832, -0.236226, -0.190811, -0.143474, -0.130041, -0.0945759, -0.0668902, -0.0489164, -0.0324327, -0.0225385, -0.0181909, -0.0114323, -0.0083976, -0.00481901, -0.00280333, -0.00219451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0422642, 0.0462283, 0.0508956, 0.0514631, 0.0529537, 0.0620095, 0.0674104, 0.0744416, 0.0890209, 0.0957132, 0.102824, 0.114095, 0.128285, 0.154094, 0.173862, 0.181446, 0.197049, 0.198447, 0.201244, 0.220493, 0.241892, 0.246092, 0.255257, 0.298151, 0.372896, 0.396701");
-            values ( \
-              "-0.0219296, -0.0442588, -0.0505907, -0.0598469, -0.0605467, -0.0564641, -0.0555425, -0.0550668, -0.0548285, -0.0560414, -0.0583313, -0.0580889, -0.0493346, -0.0250669, -0.0111572, -0.00942543, -0.00786807, -0.00728716, -0.00716936, -0.00352125, -0.00184541, -0.00121698, -0.000863109, -0.00121591, -0.000327462, -0.000284298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0416951, 0.0544156, 0.0694413, 0.0707406, 0.073339, 0.0785359, 0.0843264, 0.0959075, 0.0979965, 0.102175, 0.111342, 0.123105, 0.124328, 0.126774, 0.149416, 0.154264, 0.155063, 0.156662, 0.159858, 0.164705, 0.173889, 0.181071, 0.183113, 0.187197, 0.195366, 0.202306, 0.212157, 0.218012, 0.219432, 0.222272, 0.227951, 0.234401, 0.245205, 0.255656, 0.266272, 0.273393, 0.281306, 0.285372, 0.293253, 0.301472, 0.311471, 0.314069");
-            values ( \
-              "-0.0259466, -0.110566, -0.0812317, -0.102802, -0.0809814, -0.102101, -0.0802091, -0.101445, -0.0805129, -0.10272, -0.0848507, -0.106172, -0.0843919, -0.104105, -0.0595977, -0.0725481, -0.0512835, -0.0694712, -0.0449217, -0.0589431, -0.0283008, -0.0414979, -0.0196331, -0.0362377, -0.00974514, -0.0244752, -0.00103928, -0.0194965, -1e-22, -0.0186207, -1e-22, -0.0163658, -1e-22, -0.0151037, -1e-22, -0.0135028, -1e-22, -0.0126204, -1e-22, -0.0121929, -1e-22, -0.00255255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0422518, 0.0486766, 0.0546656, 0.0660196, 0.0950757, 0.111982, 0.128629, 0.145044, 0.164425, 0.196994, 0.216857, 0.254119, 0.267373, 0.306029, 0.337021, 0.362516, 0.44194, 0.493184");
-            values ( \
-              "-0.0289774, -0.117583, -0.150434, -0.14307, -0.139414, -0.138936, -0.143791, -0.142907, -0.124652, -0.0727773, -0.0480169, -0.0150834, -0.00906343, -0.00976855, -0.00598144, -0.00430211, -0.00117822, -0.000904734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0453347, 0.0509474, 0.0511796, 0.0533607, 0.0548454, 0.0570384, 0.0634824, 0.0684593, 0.0743164, 0.0816852, 0.0909572, 0.107256, 0.113715, 0.125148, 0.136706, 0.159622, 0.182495, 0.206715, 0.215411, 0.253265, 0.264937, 0.279812, 0.292261, 0.304132, 0.31368, 0.323381, 0.336961, 0.339417, 0.344328, 0.354151, 0.37075, 0.390778, 0.405, 0.423051, 0.427601, 0.436702, 0.454903, 0.497501, 0.558479, 0.656457, 0.711154");
-            values ( \
-              "-0.154104, -0.1707, -0.186048, -0.2025, -0.206749, -0.206954, -0.201619, -0.199703, -0.198226, -0.197794, -0.19676, -0.195364, -0.194166, -0.193237, -0.192635, -0.196786, -0.193514, -0.171903, -0.159506, -0.10195, -0.086972, -0.0692638, -0.0554175, -0.04365, -0.0351285, -0.030522, -0.0250752, -0.0245292, -0.0226388, -0.0199207, -0.0160351, -0.0136782, -0.011598, -0.0098914, -0.00924161, -0.00869645, -0.00711856, -0.00457192, -0.00212897, -0.000464465, -0.000365874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0456676, 0.0528633, 0.0576427, 0.0738677, 0.182209, 0.217339, 0.234603, 0.252197, 0.276738, 0.289698, 0.404429, 0.43889, 0.480717, 0.536731, 0.584402, 0.665549, 0.748041, 0.794062");
-            values ( \
-              "-0.169261, -0.244825, -0.258789, -0.252442, -0.24217, -0.244415, -0.242731, -0.23681, -0.222282, -0.209362, -0.0784392, -0.0594939, -0.0419723, -0.022874, -0.0133518, -0.00693122, -0.00371786, -0.00273906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0461641, 0.0532287, 0.0585463, 0.074685, 0.156477, 0.25809, 0.288723, 0.325285, 0.376785, 0.383481, 0.396874, 0.420191, 0.446287, 0.509977, 0.562043, 0.578423, 0.647622, 0.708747, 0.761492, 0.825001, 0.876135, 0.905765, 0.936569, 0.977641, 1.03234, 1.14173, 1.25113, 1.36052, 1.57931");
-            values ( \
-              "-0.193587, -0.280623, -0.298536, -0.295188, -0.290595, -0.281597, -0.280086, -0.279236, -0.270848, -0.269113, -0.264252, -0.253209, -0.236589, -0.185929, -0.139599, -0.130403, -0.0946879, -0.0674039, -0.0490043, -0.0323868, -0.0226825, -0.0182143, -0.0148335, -0.0116653, -0.00841303, -0.0047795, -0.00274085, -0.0015338, -0.000422878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0705721, 0.0780756, 0.0930614, 0.10697, 0.112521, 0.114894, 0.118099, 0.124113, 0.129744, 0.136785, 0.142303, 0.15334, 0.159875, 0.173982, 0.193127, 0.209747, 0.215366, 0.22302, 0.229391, 0.237816, 0.262699, 0.270892, 0.277451, 0.282266, 0.287498, 0.29237, 0.29834, 0.304077, 0.311021, 0.318728, 0.34275, 0.422504, 0.445255");
-            values ( \
-              "-0.00749846, -0.0275143, -0.0423823, -0.0513951, -0.0529753, -0.0570424, -0.0567246, -0.0554495, -0.055301, -0.0566922, -0.0583406, -0.0582523, -0.0548934, -0.0434764, -0.0255469, -0.0131899, -0.0105356, -0.00905084, -0.00864094, -0.00750241, -0.0031644, -0.00281305, -0.00203029, -0.00177602, -0.0010262, -0.00110684, -0.000805359, -0.00106815, -0.000992326, -0.00122305, -0.00116725, -0.00027073, -0.000235963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0737027, 0.0794568, 0.091934, 0.0958181, 0.103586, 0.112568, 0.113954, 0.116047, 0.124515, 0.130212, 0.137037, 0.140276, 0.144595, 0.151436, 0.164461, 0.17737, 0.178342, 0.184175, 0.20467, 0.216722, 0.223059, 0.239268, 0.24776, 0.256499, 0.25907, 0.26421, 0.270821, 0.279575, 0.2898, 0.300586, 0.309661, 0.315474, 0.325135, 0.33407, 0.343031, 0.352427, 0.36464, 0.379998, 0.403271, 0.433982, 0.474247, 0.521288, 0.575985");
-            values ( \
-              "-0.0393105, -0.0470661, -0.0678553, -0.0729567, -0.0819433, -0.0880373, -0.0939059, -0.0946215, -0.0919567, -0.0910913, -0.0911473, -0.0917327, -0.0929708, -0.0955361, -0.0951989, -0.0844143, -0.0841207, -0.0771376, -0.0491915, -0.0356006, -0.0297381, -0.0167713, -0.0120971, -0.0102112, -0.00931579, -0.00892694, -0.00699058, -0.00644315, -0.00537597, -0.00549822, -0.00403586, -0.00389185, -0.00270387, -0.00288469, -0.00226662, -0.0025788, -0.0019143, -0.00201096, -0.00106913, -0.000956578, -0.000126316, -0.000336535, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0736924, 0.081517, 0.0884492, 0.100395, 0.107965, 0.112545, 0.114727, 0.117849, 0.125975, 0.132266, 0.139515, 0.14918, 0.15642, 0.166073, 0.17262, 0.181349, 0.184505, 0.190819, 0.201891, 0.218869, 0.226914, 0.24137, 0.256937, 0.265673, 0.294027, 0.298001, 0.30595, 0.317692, 0.331037, 0.345635, 0.376085, 0.401248, 0.431443, 0.479564, 0.51884, 0.573537, 0.628234");
-            values ( \
-              "-0.0438579, -0.0764611, -0.0946705, -0.119687, -0.130258, -0.134797, -0.143119, -0.144785, -0.141528, -0.140173, -0.13923, -0.138855, -0.140077, -0.143392, -0.144977, -0.143871, -0.142427, -0.138022, -0.12682, -0.10095, -0.0873397, -0.0660084, -0.0475032, -0.0391756, -0.0151588, -0.0126079, -0.00961268, -0.00887266, -0.00931997, -0.00946053, -0.0063276, -0.00463204, -0.00315753, -0.001487, -0.000783369, -0.000237783, -0.000130674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.078243, 0.112716, 0.115164, 0.118697, 0.130932, 0.169097, 0.188227, 0.199565, 0.222242, 0.229609, 0.246543, 0.29673, 0.327702, 0.355321, 0.379196, 0.39612, 0.415244, 0.446774, 0.489743, 0.588115, 0.604405");
-            values ( \
-              "-0.118901, -0.187645, -0.198505, -0.201837, -0.197664, -0.192768, -0.194362, -0.197273, -0.193505, -0.188785, -0.171952, -0.0971653, -0.0603957, -0.0341639, -0.0243254, -0.0193214, -0.0153104, -0.0113706, -0.00746789, -0.00245409, -0.00222875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0781304, 0.119802, 0.13302, 0.222038, 0.257156, 0.274419, 0.292007, 0.316264, 0.329496, 0.444884, 0.496597, 0.58688, 0.613384, 0.664927, 0.737352, 0.803215");
-            values ( \
-              "-0.143048, -0.255424, -0.251559, -0.24215, -0.244445, -0.242768, -0.236859, -0.22257, -0.209439, -0.0778294, -0.0517823, -0.0204842, -0.014883, -0.00933311, -0.0054621, -0.00342629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0782987, 0.0959184, 0.114798, 0.118732, 0.139815, 0.196486, 0.297899, 0.3291, 0.365278, 0.416181, 0.423443, 0.437967, 0.460742, 0.486242, 0.549394, 0.601339, 0.617302, 0.687427, 0.748232, 0.801126, 0.864597, 0.915121, 0.944849, 0.9755, 1.01637, 1.07107, 1.18046, 1.28985, 1.39925, 1.56334");
-            values ( \
-              "-0.141802, -0.220828, -0.290787, -0.297142, -0.294134, -0.290526, -0.281643, -0.280112, -0.279294, -0.271061, -0.269177, -0.263863, -0.252956, -0.236669, -0.186452, -0.140117, -0.131029, -0.0948114, -0.0676239, -0.0491262, -0.0324793, -0.0228306, -0.018332, -0.0149378, -0.0117368, -0.00846997, -0.00480696, -0.00275442, -0.00153988, -0.000776927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129753, 0.141011, 0.151879, 0.179279, 0.198816, 0.21629, 0.229056, 0.24093, 0.248951, 0.253064, 0.25887, 0.264138, 0.267788, 0.275088, 0.283632, 0.290581, 0.292559, 0.292932, 0.293677, 0.295167, 0.298087, 0.303929, 0.311259, 0.317278, 0.325049, 0.334666, 0.341855, 0.349506, 0.357832, 0.364406, 0.369288, 0.374448, 0.379603, 0.385425, 0.391136, 0.398015, 0.405675, 0.416552, 0.429454, 0.451508, 0.458824");
-            values ( \
-              "-0.0075986, -0.0157194, -0.0204396, -0.034349, -0.0423932, -0.0484401, -0.0543994, -0.0561361, -0.0525385, -0.0539953, -0.0442427, -0.0423693, -0.0351076, -0.0318301, -0.0213471, -0.0200455, -0.0147892, -0.0182927, -0.0138569, -0.0165362, -0.010742, -0.0120881, -0.0072201, -0.0104474, -0.00568527, -0.00765192, -0.00282523, -0.00501329, -0.00106513, -0.00382652, -9.44506e-07, -0.00281764, -1e-22, -0.00260313, -1e-22, -0.00278583, -1e-22, -0.00291448, -1e-22, -0.00260551, -0.00181404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.135363, 0.154735, 0.184135, 0.191217, 0.205382, 0.224508, 0.238754, 0.248893, 0.252025, 0.258288, 0.263875, 0.265701, 0.269352, 0.27507, 0.281707, 0.282559, 0.284265, 0.287676, 0.293453, 0.304961, 0.309355, 0.31047, 0.3127, 0.31716, 0.325539, 0.331933, 0.342756, 0.346771, 0.354801, 0.36198, 0.371563, 0.381276, 0.391508, 0.399144, 0.406682, 0.411705, 0.419627, 0.427701, 0.437076, 0.448468, 0.462349, 0.482422, 0.5085, 0.515433");
-            values ( \
-              "-0.0280722, -0.0360225, -0.0602112, -0.0649631, -0.0737586, -0.0829839, -0.0914761, -0.0942574, -0.0983968, -0.0892814, -0.0883349, -0.0823216, -0.0822862, -0.0700772, -0.0649381, -0.0593901, -0.0614979, -0.0524691, -0.0496272, -0.0326031, -0.0329815, -0.0275888, -0.0301179, -0.0220909, -0.0197494, -0.0113281, -0.0124668, -0.00706192, -0.0104569, -0.00420934, -0.00838251, -0.00307192, -0.00741586, -0.0015798, -0.00570333, -0.00065973, -0.00502354, -0.000267478, -0.00467154, -1e-22, -0.00414608, -1e-22, -0.00316454, -0.00253746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.13539, 0.146281, 0.15958, 0.176034, 0.18855, 0.200639, 0.220901, 0.23924, 0.248906, 0.252364, 0.256162, 0.260236, 0.268383, 0.272329, 0.280221, 0.289712, 0.307065, 0.318988, 0.328108, 0.341345, 0.346631, 0.354582, 0.375942, 0.385126, 0.390743, 0.400208, 0.413276, 0.426823, 0.440631, 0.450648, 0.470769, 0.492917, 0.526002, 0.549101, 0.578602, 0.624125, 0.678822");
-            values ( \
-              "-0.0408745, -0.04554, -0.0608444, -0.0819396, -0.0962161, -0.108423, -0.123712, -0.132675, -0.138627, -0.143352, -0.145602, -0.1456, -0.144499, -0.142507, -0.136866, -0.126993, -0.100206, -0.0808803, -0.06751, -0.051278, -0.0456581, -0.0382288, -0.0198042, -0.0128258, -0.0106226, -0.00886003, -0.00925487, -0.00945648, -0.0089869, -0.00764462, -0.00583628, -0.00446159, -0.00289205, -0.00205927, -0.00123669, -0.000555791, -0.000178772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.143631, 0.167104, 0.175133, 0.186634, 0.203819, 0.216252, 0.241373, 0.248899, 0.253565, 0.259082, 0.264585, 0.275591, 0.285102, 0.292129, 0.301058, 0.310118, 0.327563, 0.334399, 0.378414, 0.390856, 0.405093, 0.424357, 0.432475, 0.441249, 0.451015, 0.464417, 0.466856, 0.471734, 0.48149, 0.497933, 0.517883, 0.532044, 0.554431, 0.582535, 0.624449, 0.683811, 0.725792, 0.780489, 0.835186");
-            values ( \
-              "-0.0925191, -0.0972445, -0.111501, -0.130204, -0.154404, -0.16846, -0.186189, -0.188891, -0.193665, -0.194285, -0.193399, -0.194452, -0.19684, -0.197701, -0.19662, -0.192941, -0.1797, -0.171932, -0.105641, -0.0889583, -0.0721316, -0.050949, -0.0427684, -0.0353785, -0.0305039, -0.0252346, -0.0246058, -0.0227829, -0.0200019, -0.0161674, -0.0137288, -0.0117295, -0.00937826, -0.00718153, -0.00466591, -0.00225015, -0.00134566, -0.00054289, -0.000315326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.143553, 0.167632, 0.200015, 0.221806, 0.253336, 0.259612, 0.275195, 0.298897, 0.31055, 0.345312, 0.36258, 0.380162, 0.404259, 0.417649, 0.532713, 0.585192, 0.666128, 0.692323, 0.718068, 0.753824, 0.822512, 0.906256");
-            values ( \
-              "-0.105743, -0.123555, -0.188515, -0.219942, -0.248134, -0.249095, -0.245826, -0.243195, -0.242579, -0.244523, -0.242654, -0.236926, -0.222623, -0.209504, -0.0781016, -0.0516273, -0.0228815, -0.0164533, -0.0127404, -0.009388, -0.00564171, -0.00298728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.143564, 0.168381, 0.195371, 0.212572, 0.228786, 0.248824, 0.25398, 0.262881, 0.271225, 0.284606, 0.384509, 0.420273, 0.453476, 0.504784, 0.511637, 0.525341, 0.548502, 0.574426, 0.607058, 0.637424, 0.689136, 0.704839, 0.775565, 0.805498, 0.836094, 0.889221, 0.911978, 0.952773, 1.00287, 1.03274, 1.06338, 1.10423, 1.15893, 1.26832, 1.37772, 1.48711, 1.6512");
-            values ( \
-              "-0.112329, -0.145123, -0.208804, -0.241708, -0.265555, -0.284577, -0.291705, -0.293474, -0.291395, -0.29064, -0.281597, -0.279991, -0.27934, -0.270919, -0.269198, -0.264189, -0.253216, -0.236673, -0.211722, -0.186589, -0.140417, -0.131392, -0.0948575, -0.0808672, -0.0677688, -0.0491668, -0.042741, -0.0324903, -0.022904, -0.0183776, -0.0149745, -0.0117601, -0.00848993, -0.00481797, -0.00276054, -0.00154298, -0.000774425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.253922, 0.275252, 0.287048, 0.30992, 0.332799, 0.355713, 0.366067, 0.386712, 0.404296, 0.408678, 0.417444, 0.419773, 0.424433, 0.432596, 0.434992, 0.439782, 0.476856, 0.480767, 0.484122, 0.488595, 0.49477, 0.503, 0.512446, 0.51484, 0.519628, 0.527147, 0.536223, 0.545027, 0.550213, 0.552398, 0.556767, 0.560924, 0.566832, 0.575902, 0.582088, 0.605685, 0.624669, 0.679542, 0.759703, 0.8144");
-            values ( \
-              "-0.00930669, -0.0096038, -0.0117811, -0.0168773, -0.022402, -0.0272997, -0.0293678, -0.0341232, -0.0405656, -0.0419778, -0.0434295, -0.0434516, -0.0432552, -0.0423339, -0.041683, -0.0394975, -0.0166593, -0.01375, -0.0123197, -0.0113067, -0.0102854, -0.00937232, -0.00759031, -0.0073206, -0.00616489, -0.00480414, -0.00348199, -0.00285455, -0.00205752, -0.00271239, -0.00212899, -0.00173642, -0.00148282, -0.00140942, -0.00145982, -0.00133344, -0.00103876, -0.000386274, -5.47479e-05, -4.9121e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.254, 0.270698, 0.291548, 0.336093, 0.39808, 0.417164, 0.434165, 0.451113, 0.464294, 0.469826, 0.481352, 0.511244, 0.519773, 0.531553, 0.54488, 0.55025, 0.555832, 0.588132, 0.602684, 0.698184, 0.778996, 0.833693");
-            values ( \
-              "-0.0147982, -0.0149473, -0.0210429, -0.0380421, -0.0598016, -0.0702986, -0.075593, -0.0709865, -0.0616764, -0.0548056, -0.0442063, -0.0193445, -0.0145286, -0.0110301, -0.00826062, -0.00752678, -0.0081073, -0.00412197, -0.00313403, -0.000839889, -0.000120045, -0.000119663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.253945, 0.275896, 0.296125, 0.345523, 0.363222, 0.417543, 0.439371, 0.45915, 0.479839, 0.480882, 0.493888, 0.514956, 0.530961, 0.546944, 0.550183, 0.554382, 0.57027, 0.581138, 0.586689, 0.59651, 0.610196, 0.623765, 0.643555, 0.6677, 0.694612, 0.733622, 0.804338, 0.913732");
-            values ( \
-              "-0.0174491, -0.025402, -0.0348014, -0.063309, -0.072877, -0.100145, -0.115252, -0.122465, -0.113463, -0.112608, -0.0977855, -0.070746, -0.0524604, -0.0375288, -0.0348811, -0.0330398, -0.0176487, -0.0119106, -0.0111177, -0.0102838, -0.0104947, -0.00990991, -0.00757065, -0.00547007, -0.00390301, -0.00219389, -0.00059797, -4.30218e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.262055, 0.284057, 0.301577, 0.34162, 0.366476, 0.385633, 0.420253, 0.450215, 0.477027, 0.502082, 0.52698, 0.529227, 0.550223, 0.550377, 0.553466, 0.57582, 0.587091, 0.61249, 0.629567, 0.639927, 0.654987, 0.670627, 0.68857, 0.723458, 0.740391, 0.761069, 0.800029, 0.851649, 0.932557, 1.04195");
-            values ( \
-              "-0.0402121, -0.0408054, -0.0526691, -0.0846485, -0.103518, -0.117358, -0.140035, -0.157162, -0.174943, -0.180789, -0.166197, -0.164133, -0.136784, -0.137943, -0.1344, -0.100718, -0.0861494, -0.0567242, -0.0394263, -0.0330024, -0.0266507, -0.0213743, -0.0169733, -0.0121638, -0.0101465, -0.00831603, -0.00565496, -0.00311403, -0.00101253, -0.000170654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.275019, 0.322727, 0.390823, 0.420625, 0.46438, 0.503334, 0.539643, 0.558018, 0.569377, 0.58537, 0.598066, 0.612209, 0.726224, 0.733422, 0.762588, 0.803315, 0.855569, 0.881755, 0.90461, 0.927019, 0.988805, 1.06941, 1.15807");
-            values ( \
-              "-0.0680669, -0.0874622, -0.152834, -0.177936, -0.207723, -0.225079, -0.238771, -0.242261, -0.239327, -0.231788, -0.222938, -0.209604, -0.0791637, -0.07395, -0.0590663, -0.0419882, -0.0239757, -0.0177946, -0.0136866, -0.0111758, -0.00692167, -0.00376552, -0.00185158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.275187, 0.312381, 0.379265, 0.403093, 0.448063, 0.475031, 0.528969, 0.550148, 0.554179, 0.559679, 0.573698, 0.592055, 0.610464, 0.649116, 0.699154, 0.707154, 0.723155, 0.7537, 0.770009, 0.831021, 0.884328, 0.921966, 0.988998, 1.01066, 1.03904, 1.07598, 1.09921, 1.13017, 1.16502, 1.21676, 1.2398, 1.28589, 1.34059, 1.39528, 1.44998, 1.55937, 1.66877, 1.88756");
-            values ( \
-              "-0.0814996, -0.090269, -0.165209, -0.189933, -0.231202, -0.251035, -0.275722, -0.280696, -0.283436, -0.28398, -0.282314, -0.281058, -0.280201, -0.279237, -0.271288, -0.269156, -0.263274, -0.24753, -0.236683, -0.18826, -0.140675, -0.119885, -0.0863664, -0.0765154, -0.0650205, -0.0519926, -0.0449724, -0.0368748, -0.0290014, -0.0200549, -0.0170793, -0.0126998, -0.00922703, -0.00695624, -0.00522561, -0.00301829, -0.00171483, -0.000511798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.499093, 0.554878, 0.566117, 0.606789, 0.645743, 0.696484, 0.728024, 0.754527, 0.767723, 0.777542, 0.78883, 0.799625, 0.817932, 0.834375, 0.849723, 0.878542, 0.895574, 0.918309, 0.923835, 0.930805, 0.973579, 0.98804, 1.03697, 1.07094, 1.11242, 1.21581, 1.23756, 1.26806");
-            values ( \
-              "-0.00361091, -0.00572607, -0.0062769, -0.00986275, -0.0140688, -0.0190832, -0.022457, -0.0271355, -0.0288193, -0.0292657, -0.0301149, -0.0295957, -0.0264455, -0.0208226, -0.013869, -0.00957991, -0.00585099, -0.00279075, -0.00238506, -0.00209082, -0.00170815, -0.00137585, -0.000588788, -0.000354992, -9.53452e-05, -1e-22, -0.000190478, -2.11088e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.499187, 0.533563, 0.551782, 0.602831, 0.669986, 0.693753, 0.744238, 0.772728, 0.797661, 0.818976, 0.826512, 0.836001, 0.84701, 0.884174, 0.892129, 0.898327, 0.921242, 0.930636, 0.945244, 0.951648, 0.968374, 0.97637, 0.985174, 0.994856, 0.998717, 1.00644, 1.02189, 1.07562, 1.16027, 1.21497, 1.21591, 1.21778, 1.23318, 1.26471");
-            values ( \
-              "-0.00683703, -0.00761481, -0.00909636, -0.0156794, -0.0274783, -0.0311569, -0.0396366, -0.0475865, -0.0516281, -0.0528323, -0.0512442, -0.0484726, -0.0434031, -0.0211614, -0.0173946, -0.0153638, -0.0101657, -0.00897636, -0.00767532, -0.00647968, -0.00466626, -0.00440158, -0.00386829, -0.00368465, -0.00337075, -0.00325435, -0.00250674, -0.00096417, -0.000107199, -0.00015743, -7.05612e-05, -0.000610717, -0.000291524, -0.000109424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.509734, 0.568343, 0.608362, 0.630201, 0.689962, 0.749528, 0.780203, 0.804271, 0.82623, 0.84495, 0.859517, 0.881223, 0.958175, 0.968149, 0.981117, 0.989123, 1.00327, 1.01376, 1.02438, 1.04628, 1.07384, 1.1126, 1.14121, 1.18409, 1.21603, 1.23584, 1.26079, 1.33058");
-            values ( \
-              "-0.0124781, -0.0172099, -0.0256208, -0.0316402, -0.0471473, -0.0613876, -0.070229, -0.0797654, -0.0856721, -0.0876007, -0.0865242, -0.0762257, -0.018026, -0.0149034, -0.0136, -0.0136357, -0.0126699, -0.011424, -0.00979905, -0.00743224, -0.00526006, -0.00296286, -0.00195675, -0.000792868, -0.000554746, -0.000846014, -0.000425223, -0.000127255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.523877, 0.576163, 0.608288, 0.722717, 0.818753, 0.856717, 0.89067, 0.920824, 0.929669, 0.941462, 0.960822, 1.02727, 1.05174, 1.07879, 1.11685, 1.1526, 1.21596, 1.22772, 1.25566, 1.31431, 1.4237");
-            values ( \
-              "-0.0265556, -0.0269837, -0.0362929, -0.0770141, -0.108803, -0.125732, -0.135985, -0.133496, -0.129507, -0.121893, -0.105612, -0.0444611, -0.0325442, -0.0230616, -0.015494, -0.0107682, -0.00554694, -0.00572658, -0.00383375, -0.00167747, -0.000323404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.523731, 0.575096, 0.608174, 0.774433, 0.892505, 0.944401, 0.958203, 0.976604, 0.984123, 0.999161, 1.02395, 1.03536, 1.05058, 1.07607, 1.13824, 1.15033, 1.17493, 1.21584, 1.23158, 1.25688, 1.27554, 1.29619, 1.3267, 1.33777, 1.3599, 1.3922, 1.43029, 1.47282, 1.52217, 1.57686, 1.68626, 1.74095");
-            values ( \
-              "-0.0305173, -0.0351591, -0.0468702, -0.119422, -0.165962, -0.188418, -0.192957, -0.196178, -0.196799, -0.195788, -0.186667, -0.179486, -0.167926, -0.145892, -0.085506, -0.077516, -0.065227, -0.0472293, -0.0423188, -0.0322529, -0.025863, -0.0201801, -0.0146736, -0.0132991, -0.0110411, -0.00854434, -0.0064702, -0.00463563, -0.00315879, -0.00194303, -0.000711598, -0.000471007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.550855, 0.618379, 0.795586, 0.866622, 0.92264, 1.00633, 1.0716, 1.09274, 1.13384, 1.15054, 1.17223, 1.19841, 1.22907, 1.30485, 1.34014, 1.43283, 1.4623, 1.50294, 1.535, 1.57628, 1.62055, 1.66698, 1.7361, 1.79079, 1.84549, 1.95488, 2.06428, 2.28307");
-            values ( \
-              "-0.060333, -0.0604534, -0.149367, -0.182485, -0.206177, -0.235619, -0.254224, -0.257235, -0.256817, -0.253632, -0.24643, -0.233378, -0.213555, -0.147045, -0.125688, -0.0793813, -0.0672702, -0.0524744, -0.0428927, -0.0328834, -0.0238153, -0.0171937, -0.0110732, -0.00839817, -0.00610928, -0.00349251, -0.00196396, -0.000546506" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0123174, 0.0123288, 0.0123422, 0.0123556, 0.0123665, 0.012374", \
-            "0.0146429, 0.0146486, 0.0146569, 0.0146668, 0.014676, 0.0146831", \
-            "0.0160682, 0.0160701, 0.0160736, 0.0160789, 0.016085, 0.0160906", \
-            "0.0169173, 0.0169199, 0.016919, 0.0169207, 0.0169235, 0.0169268", \
-            "0.0173771, 0.0173773, 0.0173777, 0.0173782, 0.0173791, 0.0173804", \
-            "0.0176261, 0.0176265, 0.0176269, 0.0176274, 0.0176281, 0.0176287" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0168333, 0.0168419, 0.0168535, 0.0168668, 0.0168783, 0.0168869", \
-            "0.0190075, 0.0190144, 0.0190236, 0.0190339, 0.0190431, 0.0190501", \
-            "0.0205759, 0.0205843, 0.0205941, 0.0206037, 0.0206119, 0.0206178", \
-            "0.0218743, 0.0218474, 0.0218359, 0.0218172, 0.021804, 0.0217951", \
-            "0.0229351, 0.0228465, 0.0227337, 0.0226128, 0.0225086, 0.0224324", \
-            "0.0237077, 0.0236342, 0.0234933, 0.0232425, 0.0229845, 0.0227872" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.12939, 0.144237, 0.172126, 0.224375, 0.322478, 0.507295", \
-            "0.135029, 0.149937, 0.177917, 0.230278, 0.328497, 0.513393", \
-            "0.148151, 0.163077, 0.191099, 0.243621, 0.341969, 0.527008", \
-            "0.177044, 0.191878, 0.220048, 0.27262, 0.371204, 0.556388", \
-            "0.237242, 0.254171, 0.284451, 0.337233, 0.435909, 0.621466", \
-            "0.339449, 0.361542, 0.400633, 0.46696, 0.577909, 0.765769" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.135967, 0.156705, 0.195587, 0.269493, 0.40835, 0.673248", \
-            "0.135961, 0.156675, 0.195577, 0.26948, 0.408327, 0.673225", \
-            "0.13596, 0.15664, 0.195595, 0.269484, 0.408324, 0.673216", \
-            "0.137939, 0.15768, 0.195855, 0.269469, 0.408322, 0.673208", \
-            "0.160194, 0.17759, 0.211322, 0.278191, 0.410246, 0.673204", \
-            "0.221249, 0.240569, 0.273873, 0.331924, 0.447123, 0.688374" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0817181, 0.0919022, 0.110815, 0.14599, 0.211726, 0.335279", \
-            "0.0855071, 0.0957182, 0.11468, 0.149927, 0.215709, 0.339293", \
-            "0.0931703, 0.103429, 0.122556, 0.157806, 0.223854, 0.347518", \
-            "0.109835, 0.120704, 0.140014, 0.17529, 0.24145, 0.365707", \
-            "0.133013, 0.146665, 0.170497, 0.211364, 0.280946, 0.405849", \
-            "0.14997, 0.169015, 0.202105, 0.257305, 0.346175, 0.488471" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.083209, 0.09585, 0.120282, 0.167291, 0.256764, 0.426082", \
-            "0.0831109, 0.095788, 0.120422, 0.167295, 0.256681, 0.426149", \
-            "0.0828333, 0.0956163, 0.120203, 0.167283, 0.256693, 0.426059", \
-            "0.0899718, 0.101329, 0.12411, 0.168587, 0.256624, 0.426082", \
-            "0.117276, 0.128397, 0.149492, 0.189258, 0.268254, 0.429269", \
-            "0.170954, 0.184033, 0.208241, 0.251268, 0.328235, 0.470275" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0478161, 0.0518024, 0.0636886, 0.0653776, 0.0687557, 0.0755118, 0.0828514, 0.0975307, 0.101021, 0.12012, 0.133274, 0.140988, 0.149654, 0.158675, 0.170258, 0.181098, 0.186268, 0.196608, 0.204438, 0.21055, 0.217416, 0.218882, 0.221814, 0.227678, 0.241011, 0.247834, 0.25538, 0.261453, 0.268459, 0.275539, 0.281597, 0.288109, 0.295611, 0.30138, 0.309626, 0.31838, 0.329996, 0.342059, 0.359152, 0.363673, 0.372713, 0.390795, 0.414337, 0.453457, 0.515363, 0.593824");
-            values ( \
-              "0.0269565, 0.0389092, 0.0384432, 0.0380758, 0.0380447, 0.037305, 0.0369358, 0.0355143, 0.0354609, 0.0332012, 0.0308041, 0.0300837, 0.0301977, 0.0310566, 0.0291026, 0.0256292, 0.0242147, 0.0205663, 0.0187577, 0.0191375, 0.0179891, 0.0173775, 0.0171589, 0.0152047, 0.0125778, 0.0126479, 0.0117537, 0.0115756, 0.0104634, 0.0101539, 0.00890457, 0.00810788, 0.00679029, 0.00630857, 0.00529173, 0.00477656, 0.00378182, 0.00329991, 0.00230075, 0.00233587, 0.00179964, 0.00150117, 0.00080359, 0.000553738, 1.87912e-05, 0.000138528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0476577, 0.0529596, 0.055429, 0.0588471, 0.0656834, 0.0687112, 0.0747666, 0.0868776, 0.0889352, 0.0930503, 0.101281, 0.109948, 0.127283, 0.155825, 0.174621, 0.180442, 0.192085, 0.207222, 0.220696, 0.230811, 0.239878, 0.245809, 0.254739, 0.271945, 0.280768, 0.309841, 0.336101, 0.349182, 0.360205, 0.383069, 0.409935, 0.450391, 0.494812, 0.552963, 0.633714");
-            values ( \
-              "0.0307982, 0.06299, 0.0635436, 0.0631433, 0.0629442, 0.0622676, 0.0620249, 0.0603479, 0.0604378, 0.0596413, 0.0590511, 0.0577163, 0.0555391, 0.0496413, 0.0512924, 0.0502654, 0.047531, 0.0404404, 0.034932, 0.0298584, 0.026221, 0.0258081, 0.0234882, 0.0225757, 0.0215921, 0.0166658, 0.011058, 0.00904411, 0.00767418, 0.00548222, 0.00364775, 0.00191475, 0.00107549, 0.000277379, 0.000185477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0475119, 0.0547322, 0.0613753, 0.0621711, 0.0637629, 0.0669465, 0.0733136, 0.0746027, 0.077181, 0.0823376, 0.0910667, 0.100064, 0.139861, 0.183744, 0.198976, 0.214294, 0.237335, 0.266094, 0.293327, 0.295665, 0.309059, 0.318827, 0.341962, 0.352614, 0.364902, 0.366014, 0.368239, 0.372689, 0.380438, 0.394396, 0.422749, 0.424938, 0.429317, 0.438076, 0.454279, 0.478163, 0.496151, 0.50136, 0.511779, 0.532617, 0.572145, 0.721433, 0.802184");
-            values ( \
-              "0.0360568, 0.0943341, 0.0953385, 0.0949103, 0.0951647, 0.0944696, 0.0942337, 0.0936777, 0.0938178, 0.0928113, 0.0921263, 0.0905591, 0.0855001, 0.0763613, 0.0775952, 0.076734, 0.0674463, 0.0528365, 0.0366929, 0.0362909, 0.0360493, 0.0364759, 0.0328818, 0.0298446, 0.0276743, 0.0267267, 0.0268169, 0.0249993, 0.0236067, 0.0195177, 0.0139343, 0.0141956, 0.0129052, 0.0122287, 0.00957241, 0.00772566, 0.00568771, 0.00591163, 0.0046588, 0.00412192, 0.00203939, 2.29519e-05, 0.000401777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0475992, 0.0575403, 0.0621432, 0.0764793, 0.156232, 0.182823, 0.236141, 0.264377, 0.299441, 0.322097, 0.35499, 0.386371, 0.426457, 0.49949, 0.544848, 0.593877, 0.6599, 0.706165, 0.764773, 0.845523, 1.00702, 1.08777");
-            values ( \
-              "0.0502562, 0.129069, 0.129638, 0.128947, 0.119584, 0.115549, 0.105918, 0.106935, 0.0959575, 0.0855626, 0.0664311, 0.0608743, 0.0488648, 0.0322059, 0.0228357, 0.0153335, 0.00867525, 0.00574754, 0.00349332, 0.0015295, 0.000280584, 0.000245083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0514367, 0.0514567, 0.222548, 0.252725, 0.302179, 0.333376, 0.339119, 0.345682, 0.358808, 0.385061, 0.425557, 0.472932, 0.493289, 0.520109, 0.6279, 0.69287, 0.747564, 0.782341, 0.827415, 0.868109, 0.912984, 1.00393, 1.05898, 1.13973, 1.22048, 1.30123, 1.54348");
-            values ( \
-              "1e-22, 0.163248, 0.147062, 0.143539, 0.136367, 0.133727, 0.134004, 0.133819, 0.132754, 0.128676, 0.117945, 0.0986688, 0.0964374, 0.0910574, 0.0596904, 0.0463384, 0.0366611, 0.0311137, 0.0249045, 0.0201462, 0.0157583, 0.00944361, 0.00692158, 0.00426529, 0.00268151, 0.00161866, 0.000414875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.056482, 0.056502, 0.182943, 0.345117, 0.46677, 0.513539, 0.587738, 0.665545, 0.712855, 0.750383, 1.03278, 1.16952, 1.35318, 1.58602, 1.70824, 2.02693");
-            values ( \
-              "1e-22, 0.199344, 0.178294, 0.167998, 0.156724, 0.155501, 0.147892, 0.129525, 0.126658, 0.120703, 0.0645274, 0.0450494, 0.0263262, 0.0121946, 0.00795588, 0.00249642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0654926, 0.0712444, 0.0763956, 0.0834425, 0.0938863, 0.127825, 0.139693, 0.160524, 0.170687, 0.180941, 0.189191, 0.196698, 0.213547, 0.219382, 0.231438, 0.239098, 0.253985, 0.26076, 0.275232, 0.288021, 0.301095, 0.307594, 0.315077, 0.320875, 0.329169, 0.33788, 0.349476, 0.361546, 0.37864, 0.383165, 0.392214, 0.410312, 0.433279, 0.471481, 0.611564");
-            values ( \
-              "0.00761739, 0.0380275, 0.0384681, 0.0382313, 0.0374973, 0.0346856, 0.0331084, 0.0298174, 0.0305184, 0.0307342, 0.0290956, 0.0269795, 0.0215791, 0.0192933, 0.0190027, 0.0174983, 0.0136389, 0.0127859, 0.0119567, 0.0106929, 0.00914686, 0.00789564, 0.00703152, 0.00609351, 0.00551848, 0.00455978, 0.00400999, 0.00308327, 0.0025255, 0.00211949, 0.00202128, 0.00128392, 0.00103297, 0.000348579, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0654127, 0.0724406, 0.078406, 0.100697, 0.140183, 0.175447, 0.200024, 0.216711, 0.259995, 0.265397, 0.274448, 0.300511, 0.329151, 0.355464, 0.380298, 0.404124, 0.429836, 0.463428, 0.547968, 0.706102");
-            values ( \
-              "0.00875127, 0.0619716, 0.0629602, 0.0611806, 0.0565554, 0.0498969, 0.0510663, 0.0451777, 0.0260799, 0.0258719, 0.0233939, 0.0216603, 0.0168194, 0.0110162, 0.00754036, 0.00528706, 0.00355214, 0.00205465, 0.000396344, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0687753, 0.0742863, 0.0767729, 0.0817897, 0.0942601, 0.109627, 0.158541, 0.194794, 0.203418, 0.218641, 0.233969, 0.256897, 0.267583, 0.285755, 0.312993, 0.319998, 0.338447, 0.349533, 0.361572, 0.372243, 0.384552, 0.385668, 0.3879, 0.392364, 0.400127, 0.414242, 0.442428, 0.444575, 0.448868, 0.457455, 0.474101, 0.515781, 0.520935, 0.531242, 0.592925, 0.661425, 0.742175, 0.822926");
-            values ( \
-              "0.0775498, 0.0932843, 0.093903, 0.0945534, 0.0938413, 0.0920925, 0.0855147, 0.0779699, 0.0765038, 0.0774456, 0.0768892, 0.0673531, 0.0622003, 0.0527004, 0.0365503, 0.0361221, 0.036335, 0.0348166, 0.0327526, 0.0300015, 0.0275363, 0.0268781, 0.0266784, 0.0251442, 0.0234616, 0.019622, 0.0140769, 0.0140603, 0.0130663, 0.0121288, 0.00969641, 0.00583204, 0.00577766, 0.00481214, 0.00214528, 0.00107616, 0.000158761, 0.000259543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0687605, 0.0771478, 0.0875508, 0.107198, 0.182794, 0.202639, 0.255847, 0.284089, 0.319143, 0.341799, 0.374677, 0.406064, 0.446138, 0.519164, 0.564519, 0.61349, 0.67948, 0.725682, 0.784196, 0.864946, 1.02645, 1.1072");
-            values ( \
-              "0.0850461, 0.127458, 0.129074, 0.127643, 0.118505, 0.115532, 0.105922, 0.106938, 0.0959633, 0.0855723, 0.0664455, 0.0608793, 0.0488729, 0.0322146, 0.0228427, 0.0153456, 0.00868472, 0.00575701, 0.00350176, 0.00153316, 0.000280971, 0.000245016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0728194, 0.0728394, 0.150425, 0.253584, 0.292388, 0.322049, 0.353245, 0.358987, 0.36555, 0.378675, 0.404925, 0.445423, 0.49279, 0.513158, 0.52548, 0.539978, 0.647718, 0.712675, 0.76743, 0.802179, 0.860763, 0.932685, 0.996088, 1.0236, 1.07862, 1.15937, 1.24012, 1.32087, 1.56312");
-            values ( \
-              "1e-22, 0.169179, 0.155773, 0.145863, 0.140835, 0.136373, 0.133732, 0.13401, 0.133825, 0.132761, 0.128685, 0.117953, 0.0986758, 0.0964431, 0.0944316, 0.0910626, 0.0597067, 0.0463528, 0.0366629, 0.0311189, 0.0231888, 0.0157726, 0.0111002, 0.00945395, 0.00692994, 0.00427038, 0.00268415, 0.00162019, 0.000414534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.072742, 0.072762, 0.202819, 0.364996, 0.486649, 0.533382, 0.607714, 0.684677, 0.731521, 0.768049, 1.08363, 1.23262, 1.40154, 1.60361, 1.80841, 2.02225");
-            values ( \
-              "1e-22, 0.188968, 0.178139, 0.167839, 0.156898, 0.155343, 0.147712, 0.129909, 0.126597, 0.120925, 0.0591473, 0.0401143, 0.0242325, 0.0125204, 0.00615438, 0.00337832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.102264, 0.117065, 0.119533, 0.123442, 0.128685, 0.135643, 0.144339, 0.146374, 0.150443, 0.157368, 0.164561, 0.178946, 0.183672, 0.193125, 0.204514, 0.213952, 0.223791, 0.226071, 0.230631, 0.239246, 0.249748, 0.257697, 0.263522, 0.269746, 0.275639, 0.28219, 0.28247, 0.304397, 0.318825, 0.339054, 0.364887, 0.381866, 0.405514, 0.454109, 0.517224, 0.543452");
-            values ( \
-              "0.00404552, 0.028953, 0.0388322, 0.0314001, 0.0412716, 0.0328322, 0.0413181, 0.0323523, 0.0408734, 0.0315331, 0.0397448, 0.0294037, 0.0375377, 0.0271181, 0.0342825, 0.0260512, 0.0352217, 0.026152, 0.0340127, 0.0231032, 0.0284484, 0.0172012, 0.0235524, 0.0148953, 0.0232582, 0.0133291, 0.0175903, 0.0127672, 0.0119424, 0.00997738, 0.00613591, 0.0046062, 0.00313116, 0.00133668, 0.000381771, 0.000311149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.102174, 0.119259, 0.126513, 0.132124, 0.140317, 0.152504, 0.165107, 0.190396, 0.219405, 0.231565, 0.243995, 0.256206, 0.270784, 0.28989, 0.300788, 0.30932, 0.311169, 0.320454, 0.328668, 0.346329, 0.355196, 0.369035, 0.394208, 0.412841, 0.439492, 0.457298, 0.470921, 0.47453, 0.481747, 0.496183, 0.525054, 0.57891, 0.652146, 0.732897");
-            values ( \
-              "0.00108798, 0.0556232, 0.0596311, 0.0608983, 0.061223, 0.0602453, 0.0588772, 0.0554809, 0.049826, 0.0505952, 0.051071, 0.0468828, 0.0408364, 0.0323155, 0.026786, 0.0257931, 0.0250129, 0.0231501, 0.0229827, 0.0214138, 0.0197065, 0.0175766, 0.0119865, 0.00897793, 0.0060564, 0.00486903, 0.00376824, 0.00379944, 0.00318128, 0.00276968, 0.00156848, 0.000815658, 7.20677e-05, 0.000171643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.112654, 0.131828, 0.138299, 0.14795, 0.163854, 0.19857, 0.217851, 0.247502, 0.272487, 0.2891, 0.311734, 0.328239, 0.353756, 0.359667, 0.378179, 0.388618, 0.399345, 0.425019, 0.452193, 0.465985, 0.482453, 0.499179, 0.534398, 0.564427, 0.601887, 0.638425, 0.692898, 0.773648, 0.854399");
-            values ( \
-              "0.0913301, 0.0917288, 0.0927197, 0.0925232, 0.0907426, 0.0861313, 0.0826378, 0.076373, 0.0777386, 0.0727162, 0.0622599, 0.0534463, 0.0385804, 0.0361784, 0.0364252, 0.0356545, 0.0339554, 0.0282283, 0.0212506, 0.0181148, 0.0149163, 0.0122665, 0.0080896, 0.0056131, 0.00351897, 0.00231861, 0.00105891, 0.000432284, 6.98639e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.114362, 0.114382, 0.180552, 0.221154, 0.259428, 0.300099, 0.328487, 0.363388, 0.385953, 0.41928, 0.450556, 0.489899, 0.563692, 0.609181, 0.657158, 0.723476, 0.770183, 0.829282, 0.910032, 1.07153, 1.15228");
-            values ( \
-              "1e-22, 0.130173, 0.124235, 0.119268, 0.113349, 0.105938, 0.106956, 0.0959617, 0.0856203, 0.0662868, 0.0608183, 0.0489575, 0.0321193, 0.0227366, 0.0153914, 0.00867539, 0.00571573, 0.00350126, 0.00149313, 0.000251159, 0.000270539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.118648, 0.118668, 0.188792, 0.299172, 0.336658, 0.366328, 0.397514, 0.403256, 0.409818, 0.422943, 0.449192, 0.462693, 0.489696, 0.537057, 0.55742, 0.569741, 0.58424, 0.691975, 0.724781, 0.756929, 0.811692, 0.846435, 0.905006, 0.932024, 0.976902, 1.04029, 1.0678, 1.1228, 1.20355, 1.2843, 1.36505, 1.52655, 1.6073");
-            values ( \
-              "1e-22, 0.177018, 0.156248, 0.145713, 0.140836, 0.136372, 0.133732, 0.134009, 0.133824, 0.132761, 0.128687, 0.125536, 0.117954, 0.0986772, 0.0964451, 0.0944345, 0.0910661, 0.0597113, 0.0525708, 0.0463567, 0.036665, 0.0311216, 0.0231927, 0.0201705, 0.0157775, 0.0111043, 0.0094581, 0.00693367, 0.00427278, 0.00268551, 0.00162108, 0.000605189, 0.000414584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.122481, 0.122501, 0.247206, 0.409399, 0.531051, 0.577776, 0.616442, 0.65214, 0.687125, 0.72911, 0.743971, 0.757976, 0.793739, 0.844303, 1.04726, 1.12802, 1.20185, 1.277, 1.33144, 1.41727, 1.50314, 1.56719, 1.64794, 1.77196, 1.85271, 1.93346, 2.09496, 2.25646, 2.57946");
-            values ( \
-              "1e-22, 0.204723, 0.178089, 0.167794, 0.156944, 0.155301, 0.15177, 0.147666, 0.140723, 0.129949, 0.128266, 0.128214, 0.124265, 0.114707, 0.0734722, 0.0591971, 0.0491465, 0.0401622, 0.0344065, 0.0265285, 0.0201918, 0.0164037, 0.0124785, 0.00815584, 0.00620135, 0.0046343, 0.00261275, 0.00146427, 0.000449417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.18416, 0.194719, 0.20847, 0.218307, 0.227232, 0.241298, 0.248819, 0.253096, 0.261316, 0.266353, 0.273378, 0.280591, 0.301428, 0.320489, 0.327881, 0.336322, 0.354516, 0.360337, 0.366546, 0.372441, 0.379929, 0.394682, 0.401465, 0.415927, 0.428812, 0.441854, 0.44838, 0.45588, 0.461669, 0.469945, 0.478654, 0.490281, 0.502323, 0.519386, 0.523891, 0.532901, 0.550922, 0.57362, 0.611415, 0.750891");
-            values ( \
-              "0.00976913, 0.017092, 0.0226713, 0.0259425, 0.0281979, 0.0306985, 0.0314903, 0.0336228, 0.034236, 0.0343732, 0.0339765, 0.033087, 0.0299377, 0.0308957, 0.029596, 0.0273775, 0.0215494, 0.0192497, 0.0192127, 0.0189601, 0.0174904, 0.013671, 0.0128225, 0.011986, 0.0107056, 0.00914656, 0.00789854, 0.00702635, 0.00609657, 0.00552015, 0.00456422, 0.00401013, 0.0030854, 0.00252795, 0.00212169, 0.00202622, 0.00128727, 0.00104209, 0.000354633, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.184068, 0.196191, 0.207654, 0.222991, 0.236373, 0.248836, 0.250007, 0.259947, 0.265254, 0.270419, 0.280748, 0.316296, 0.340843, 0.357724, 0.400818, 0.406218, 0.41528, 0.432531, 0.44139, 0.469767, 0.496079, 0.521979, 0.546373, 0.57074, 0.601692, 0.678718, 0.834597");
-            values ( \
-              "0.0121641, 0.0288206, 0.0364323, 0.0445143, 0.0492486, 0.0521648, 0.0548976, 0.0568806, 0.057383, 0.0574189, 0.0565094, 0.0500069, 0.0511268, 0.0450401, 0.0261518, 0.025805, 0.0234613, 0.022544, 0.0216085, 0.0167945, 0.0111082, 0.00750562, 0.00523557, 0.00360819, 0.00220571, 0.000562086, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.184073, 0.199638, 0.213051, 0.223548, 0.230948, 0.245747, 0.248876, 0.251715, 0.255924, 0.260566, 0.263214, 0.268509, 0.277894, 0.287283, 0.300682, 0.314904, 0.336254, 0.344522, 0.359745, 0.375046, 0.398444, 0.408674, 0.426873, 0.442413, 0.454071, 0.456416, 0.461105, 0.469859, 0.479667, 0.490766, 0.502821, 0.513411, 0.525627, 0.526728, 0.528928, 0.533328, 0.541024, 0.554972, 0.583397, 0.585607, 0.590026, 0.598865, 0.61603, 0.657131, 0.662008, 0.671761, 0.730143, 0.797338, 0.878089, 0.958839");
-            values ( \
-              "0.0143748, 0.0460879, 0.0590824, 0.0670646, 0.0717495, 0.0783395, 0.0794668, 0.0837642, 0.08559, 0.0870967, 0.0877241, 0.0883906, 0.0882337, 0.0872743, 0.085341, 0.0826413, 0.0778565, 0.0766238, 0.0774588, 0.0769365, 0.0670896, 0.0622601, 0.0526163, 0.0436184, 0.0365031, 0.0365292, 0.0360862, 0.0362871, 0.0362817, 0.0348653, 0.0326586, 0.0300486, 0.0274784, 0.0269407, 0.0266354, 0.0252299, 0.0234511, 0.0197578, 0.0141507, 0.0140127, 0.0131086, 0.0120282, 0.00965207, 0.00586631, 0.0057238, 0.00489993, 0.0023161, 0.00108891, 0.000234576, 0.000212778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.18395, 0.204811, 0.215421, 0.221581, 0.233901, 0.248796, 0.252266, 0.256744, 0.268604, 0.275106, 0.281448, 0.294132, 0.324583, 0.337616, 0.356336, 0.383791, 0.397043, 0.417842, 0.439065, 0.460236, 0.483847, 0.509209, 0.520013, 0.529475, 0.551158, 0.578728, 0.688873, 0.729733, 0.753128, 0.780172, 0.835801, 0.867927, 0.919333, 1.00008, 1.08083, 1.24234");
-            values ( \
-              "0.0163498, 0.0691127, 0.0826845, 0.0895292, 0.100214, 0.109495, 0.115531, 0.118669, 0.123184, 0.123571, 0.123421, 0.122185, 0.118466, 0.11655, 0.113278, 0.107829, 0.106298, 0.10719, 0.103212, 0.095722, 0.0852714, 0.0703928, 0.0651357, 0.0643618, 0.0598897, 0.0510752, 0.0260909, 0.0188646, 0.0156618, 0.0123741, 0.00761418, 0.00582183, 0.00357335, 0.00175253, 0.000747403, 0.00012967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.19649, 0.260282, 0.276445, 0.302317, 0.376122, 0.461007, 0.491285, 0.505903, 0.525393, 0.551409, 0.578805, 0.628086, 0.658742, 0.688206, 0.791176, 0.9078, 1.03231, 1.13897, 1.21891, 1.29966, 1.42172");
-            values ( \
-              "0.091293, 0.150209, 0.156078, 0.154882, 0.147874, 0.136948, 0.133909, 0.134038, 0.132292, 0.12771, 0.120746, 0.100276, 0.0961555, 0.0895205, 0.0593366, 0.0369068, 0.0198595, 0.011004, 0.00695732, 0.00436247, 0.00233787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.1964, 0.256677, 0.269049, 0.289449, 0.483641, 0.726282, 0.767994, 0.828179, 0.8761, 0.912278, 1.20352, 1.37018, 1.5418, 1.74094, 1.95053, 2.15066");
-            values ( \
-              "0.0961722, 0.170212, 0.178984, 0.181255, 0.169485, 0.150584, 0.144742, 0.129363, 0.126424, 0.120614, 0.062856, 0.0408277, 0.0244783, 0.0126772, 0.00621868, 0.0032323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.339605, 0.360724, 0.399108, 0.421279, 0.433984, 0.459395, 0.466993, 0.477124, 0.487216, 0.499717, 0.512429, 0.525086, 0.536427, 0.548235, 0.550221, 0.554193, 0.566299, 0.572712, 0.57817, 0.58457, 0.594247, 0.605071, 0.611783, 0.618542, 0.626056, 0.641065, 0.642994, 0.646853, 0.665465, 0.68125, 0.684008, 0.689523, 0.700295, 0.713452, 0.731322, 0.738897, 0.754046, 0.784343, 0.891439, 0.96895");
-            values ( \
-              "0.00774872, 0.0090872, 0.0158544, 0.0196225, 0.021658, 0.0249358, 0.0256126, 0.0261787, 0.0262627, 0.0259535, 0.0258426, 0.0279393, 0.0276032, 0.0261535, 0.0253174, 0.0254559, 0.02217, 0.0200345, 0.0200798, 0.0196042, 0.0176938, 0.0146972, 0.013556, 0.013048, 0.01262, 0.0110825, 0.0108053, 0.0105256, 0.00746655, 0.00562059, 0.00550115, 0.00487868, 0.00426569, 0.00328587, 0.00260059, 0.00210764, 0.00179534, 0.000883882, 2.26918e-05, 0.000128023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.340241, 0.358712, 0.429999, 0.443702, 0.471108, 0.480112, 0.491236, 0.501829, 0.520109, 0.529337, 0.543174, 0.555695, 0.566584, 0.574147, 0.597592, 0.606838, 0.616798, 0.630719, 0.648394, 0.657983, 0.677437, 0.706113, 0.716935, 0.737347, 0.751045, 0.782401, 0.828824, 0.872523, 0.935698, 1.01645");
-            values ( \
-              "0.012529, 0.0143661, 0.034479, 0.0378895, 0.0432003, 0.0443228, 0.0451918, 0.0454225, 0.0448507, 0.0450178, 0.0477505, 0.0487344, 0.0467101, 0.0441948, 0.034416, 0.0291022, 0.0266365, 0.0237785, 0.0227787, 0.021523, 0.0181611, 0.0119867, 0.0101223, 0.00752251, 0.00615173, 0.00385739, 0.0018491, 0.00100281, 0.000263705, 0.000140279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.340595, 0.364112, 0.438297, 0.460203, 0.495234, 0.527693, 0.550086, 0.559573, 0.573562, 0.586059, 0.589965, 0.597776, 0.609322, 0.623627, 0.641573, 0.657418, 0.66914, 0.671511, 0.676255, 0.685045, 0.695979, 0.707444, 0.71982, 0.733136, 0.741516, 0.755151, 0.770263, 0.788234, 0.798507, 0.800387, 0.804147, 0.811667, 0.826486, 0.851065, 0.870457, 0.876375, 0.888211, 0.911883, 0.959228, 1.03464, 1.0516");
-            values ( \
-              "0.016368, 0.0236191, 0.0553289, 0.063075, 0.0711669, 0.073707, 0.073237, 0.0764625, 0.0766906, 0.0789026, 0.0750222, 0.0749696, 0.0679452, 0.0633538, 0.0514936, 0.0446911, 0.0353074, 0.0379271, 0.035326, 0.037751, 0.0352679, 0.0358096, 0.0311027, 0.0301121, 0.0261287, 0.0244967, 0.0187397, 0.0169903, 0.0132128, 0.0148755, 0.0123315, 0.0131717, 0.00928651, 0.00863433, 0.00509619, 0.00661934, 0.00392192, 0.00462277, 0.00103051, 0.00169312, 0.00133752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.355439, 0.402335, 0.436754, 0.471178, 0.487982, 0.521591, 0.531309, 0.550086, 0.558916, 0.570024, 0.581214, 0.594002, 0.612322, 0.633019, 0.654321, 0.675481, 0.699113, 0.724384, 0.73516, 0.744633, 0.766407, 0.793996, 0.903983, 0.944938, 0.968731, 0.99563, 1.051, 1.08285, 1.13406, 1.21482, 1.29557, 1.45707");
-            values ( \
-              "0.0403895, 0.0545704, 0.0748132, 0.0916233, 0.0975371, 0.10581, 0.107382, 0.109609, 0.1121, 0.112465, 0.111326, 0.108938, 0.106252, 0.107382, 0.103129, 0.0957625, 0.0852115, 0.0703829, 0.0652422, 0.0644448, 0.0599146, 0.0510779, 0.0261077, 0.0188619, 0.0156177, 0.0123434, 0.00761079, 0.00584156, 0.00358506, 0.00176594, 0.000744466, 0.000124384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.355324, 0.386476, 0.4457, 0.466138, 0.50611, 0.532903, 0.549917, 0.559742, 0.57214, 0.581576, 0.590876, 0.608278, 0.637591, 0.672482, 0.708661, 0.710857, 0.71525, 0.724035, 0.741188, 0.771693, 0.782093, 0.795958, 0.830348, 0.847573, 0.84978, 0.872883, 0.887393, 0.90222, 0.937565, 0.977378, 1.01522, 1.07918, 1.13402, 1.16607, 1.20817, 1.23293, 1.26594, 1.32026, 1.3783, 1.46253, 1.54328, 1.62403, 1.78553, 1.86628, 1.94703");
-            values ( \
-              "0.0528727, 0.0554327, 0.0991639, 0.11182, 0.13025, 0.13815, 0.142233, 0.146445, 0.148429, 0.148565, 0.147927, 0.146127, 0.142491, 0.137354, 0.133573, 0.133822, 0.134029, 0.133771, 0.1321, 0.126558, 0.12391, 0.1199, 0.106565, 0.0986827, 0.0988268, 0.0961978, 0.0932939, 0.0897529, 0.079513, 0.0674268, 0.0571138, 0.0445105, 0.0349774, 0.0301547, 0.0243042, 0.0213551, 0.0180407, 0.01325, 0.00953398, 0.00584273, 0.00374477, 0.00220078, 0.000796469, 0.000610078, 0.000261855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.371331, 0.438869, 0.483372, 0.511599, 0.563368, 0.580998, 0.593619, 0.698684, 0.771198, 0.842957, 0.889644, 0.937054, 0.965672, 1.04203, 1.0569, 1.07087, 1.08885, 1.12774, 1.40383, 1.47451, 1.5917, 1.6462, 1.75856, 1.87916, 1.95992, 2.08507, 2.16582, 2.32732, 2.48882, 2.56364");
-            values ( \
-              "0.0784397, 0.108034, 0.139504, 0.153679, 0.173251, 0.176349, 0.176201, 0.169436, 0.163795, 0.157085, 0.155341, 0.151087, 0.147516, 0.130007, 0.128272, 0.128258, 0.126568, 0.120561, 0.0654438, 0.0545402, 0.0400328, 0.0342413, 0.0243133, 0.0164549, 0.0125506, 0.0081805, 0.00618199, 0.00350896, 0.00198385, 0.00170404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.639214, 0.687684, 0.715178, 0.730702, 0.799777, 0.874792, 0.912259, 0.913689, 0.936135, 0.959454, 0.973669, 0.989456, 1.00022, 1.0119, 1.01282, 1.01833, 1.02394, 1.03185, 1.03946, 1.05289, 1.05994, 1.06686, 1.08202, 1.09553, 1.10214, 1.10578, 1.11269, 1.12059, 1.12923, 1.13919, 1.15108, 1.15391, 1.15958, 1.17092, 1.18509, 1.20871, 1.2158, 1.21921, 1.25045, 1.26907, 1.30061, 1.35118, 1.4294");
-            values ( \
-              "0.00183388, 0.00357564, 0.00478619, 0.00574309, 0.0112804, 0.0166729, 0.0182401, 0.0183721, 0.0186307, 0.0209314, 0.0210571, 0.020144, 0.0191194, 0.0172798, 0.0172422, 0.0175009, 0.0176087, 0.0169464, 0.0160183, 0.013849, 0.0135951, 0.0135243, 0.0125594, 0.0111414, 0.00983592, 0.00959484, 0.00834372, 0.00776331, 0.00659329, 0.00604668, 0.00482683, 0.00492868, 0.00425752, 0.00385166, 0.00282957, 0.00215746, 0.00165274, 0.00203323, 0.00128089, 0.000926681, 0.000521244, 0.000204386, 4.05239e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.667929, 0.727178, 0.826382, 0.885207, 0.906622, 0.932304, 0.951961, 0.969745, 0.988185, 1.02033, 1.03955, 1.05495, 1.07182, 1.07889, 1.08656, 1.09453, 1.10433, 1.13184, 1.15435, 1.17609, 1.21611, 1.2189, 1.25449, 1.27543, 1.30335, 1.35919, 1.39423");
-            values ( \
-              "0.00796991, 0.00916741, 0.0217335, 0.0286638, 0.030828, 0.0323681, 0.0330023, 0.034367, 0.0378972, 0.0352776, 0.0314098, 0.0265602, 0.0250622, 0.0238931, 0.0241483, 0.0239495, 0.0232909, 0.019361, 0.0142332, 0.0107039, 0.00628246, 0.00661761, 0.0041199, 0.00299987, 0.00195116, 0.000769872, 0.000506208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.667931, 0.729252, 0.870184, 0.922036, 0.969185, 1.00701, 1.02043, 1.0343, 1.04763, 1.06684, 1.08725, 1.12713, 1.13544, 1.15508, 1.16575, 1.25442, 1.28001, 1.31185, 1.35103, 1.37366, 1.41893, 1.49878, 1.52227");
-            values ( \
-              "0.0119543, 0.0144463, 0.0408992, 0.049797, 0.0551386, 0.0572301, 0.0608302, 0.0616589, 0.0607644, 0.0579657, 0.0526131, 0.0371084, 0.0378529, 0.0379688, 0.0366344, 0.0174214, 0.013024, 0.00896533, 0.00558302, 0.00422524, 0.00238353, 0.000804332, 0.000701278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.663111, 0.717215, 0.73172, 0.772633, 0.883434, 0.909842, 0.962659, 0.986953, 1.02414, 1.05289, 1.07492, 1.10008, 1.12328, 1.14735, 1.17226, 1.19296, 1.20256, 1.21321, 1.21582, 1.22103, 1.22854, 1.27142, 1.35406, 1.37453, 1.40405, 1.42379, 1.45227, 1.49025, 1.51572, 1.5405, 1.57355, 1.63964, 1.72039, 1.80114, 1.88189");
-            values ( \
-              "0.0145898, 0.0183392, 0.0208913, 0.0306414, 0.059708, 0.0661795, 0.0782069, 0.0824815, 0.0864752, 0.0875447, 0.0894802, 0.0939205, 0.0911451, 0.0872867, 0.0782466, 0.0688232, 0.0658438, 0.0653858, 0.0649042, 0.0650716, 0.0638794, 0.0513077, 0.0314639, 0.0270147, 0.0213948, 0.0182438, 0.0143976, 0.0104038, 0.00832326, 0.00669393, 0.00500102, 0.00271418, 0.00128377, 0.000591807, 0.000291354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.704242, 0.805556, 0.875427, 0.94539, 0.97257, 1.01578, 1.04914, 1.11584, 1.12518, 1.16206, 1.18605, 1.21595, 1.2175, 1.22062, 1.23065, 1.2411, 1.25168, 1.27285, 1.32578, 1.32811, 1.34444, 1.3587, 1.37387, 1.48608, 1.56408, 1.62903, 1.69366, 1.73585, 1.79287, 1.85385, 1.94574, 2.02649, 2.10725, 2.26875, 2.43025");
-            values ( \
-              "0.0343763, 0.0488583, 0.0717591, 0.0936736, 0.101498, 0.111595, 0.117651, 0.124139, 0.124658, 0.125491, 0.12766, 0.128721, 0.129427, 0.129464, 0.129014, 0.127625, 0.125519, 0.119665, 0.0985753, 0.0991197, 0.0971985, 0.0948204, 0.0910396, 0.0585015, 0.0430037, 0.0320674, 0.0231849, 0.0186513, 0.0135193, 0.00956265, 0.00559573, 0.00359111, 0.00210305, 0.000757523, 0.000245022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.703974, 0.835523, 0.941771, 1.02252, 1.0682, 1.14895, 1.2361, 1.26125, 1.32799, 1.3692, 1.4369, 1.53348, 1.54802, 1.60499, 1.91829, 2.06755, 2.2362, 2.29332, 2.43817, 2.56227, 2.64302, 2.88527, 2.90481");
-            values ( \
-              "0.0345505, 0.0672857, 0.107107, 0.132583, 0.143186, 0.155966, 0.163367, 0.162806, 0.156777, 0.155188, 0.148452, 0.127968, 0.128437, 0.120606, 0.0592096, 0.04016, 0.0243154, 0.0201797, 0.0124574, 0.00812802, 0.00623501, 0.00258038, 0.00249295" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.012524, 0.0125389, 0.0125561, 0.0125723, 0.0125849, 0.0125935", \
-            "0.0167146, 0.0167294, 0.0167486, 0.016769, 0.0167865, 0.0167991", \
-            "0.0198075, 0.0198183, 0.0198345, 0.0198546, 0.0198745, 0.0198904", \
-            "0.0215513, 0.0215568, 0.0215658, 0.0215789, 0.0215943, 0.0216087", \
-            "0.0224194, 0.0224093, 0.0223973, 0.0223862, 0.0223795, 0.0223777", \
-            "0.0229154, 0.0228936, 0.022862, 0.0228194, 0.0227744, 0.022737" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0206298, 0.0206446, 0.0206649, 0.020687, 0.0207062, 0.0207203", \
-            "0.023032, 0.0230311, 0.0230357, 0.0230465, 0.0230601, 0.023072", \
-            "0.0218833, 0.021835, 0.0217745, 0.0217026, 0.0216497, 0.0216108", \
-            "0.0206141, 0.0205182, 0.0203911, 0.020249, 0.0201277, 0.0200169", \
-            "0.0206195, 0.0204118, 0.0201443, 0.0198666, 0.0196137, 0.0194158", \
-            "0.0201769, 0.0202206, 0.0202847, 0.0202809, 0.019874, 0.0194742" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0293592, 0.0322041, 0.0338752, 0.0388024, 0.0468854, 0.0530013, 0.054662, 0.0579833, 0.064626, 0.0674602, 0.0731288, 0.0804888, 0.0932817, 0.105938, 0.118575, 0.119945, 0.13539, 0.143049, 0.168093, 0.17595, 0.183097, 0.204922, 0.206125, 0.208529, 0.21332, 0.2185, 0.225277, 0.231209, 0.237068, 0.248114, 0.261346, 0.2771, 0.288052, 0.322928, 0.435033, 0.542097");
-            values ( \
-              "-0.0359333, -0.0563762, -0.0548154, -0.052511, -0.0516522, -0.0517046, -0.0514077, -0.0514918, -0.0508421, -0.0508471, -0.0502261, -0.0503258, -0.0521201, -0.0515787, -0.0428009, -0.0431683, -0.0314924, -0.0263713, -0.0120278, -0.010293, -0.00988994, -0.00750003, -0.00699557, -0.00701398, -0.00603379, -0.00556208, -0.0044662, -0.00390798, -0.0028931, -0.00144062, -0.000818822, -0.000727278, -0.000824273, -0.000808888, -0.000234962, -2.41408e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0293502, 0.033072, 0.0359386, 0.0397888, 0.0496599, 0.0572135, 0.058933, 0.062372, 0.085058, 0.0957889, 0.103472, 0.118161, 0.13223, 0.134308, 0.154873, 0.171832, 0.196675, 0.211755, 0.218871, 0.225603, 0.233299, 0.233958, 0.235277, 0.237914, 0.242308, 0.247433, 0.253674, 0.259942, 0.267693, 0.275888, 0.284271, 0.295713, 0.303664, 0.314308, 0.325762, 0.341804, 0.362579, 0.394804, 0.4363, 0.484135, 0.540676, 0.599313");
-            values ( \
-              "-0.0416837, -0.0919032, -0.0887874, -0.0866495, -0.0852354, -0.0851806, -0.0847883, -0.0848041, -0.0822951, -0.083264, -0.0858025, -0.0834202, -0.0718293, -0.0709431, -0.0495305, -0.0352566, -0.0180751, -0.0125158, -0.0124787, -0.0108469, -0.0106382, -0.00989021, -0.0102164, -0.0093778, -0.00916603, -0.00787754, -0.00727404, -0.00589889, -0.00526531, -0.00364477, -0.00321681, -0.00216526, -0.00238141, -0.00181464, -0.00209679, -0.0015304, -0.00169452, -0.000921425, -0.000903857, -0.000182019, -0.00036881, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0293359, 0.0343989, 0.0375595, 0.0437864, 0.0556167, 0.0648898, 0.0667042, 0.070333, 0.100029, 0.111195, 0.122394, 0.140978, 0.148836, 0.161244, 0.187092, 0.202717, 0.219751, 0.23363, 0.257314, 0.265873, 0.276954, 0.288844, 0.297518, 0.323482, 0.335123, 0.365763, 0.396625, 0.44539, 0.534425, 0.6517");
-            values ( \
-              "-0.0487969, -0.137175, -0.133927, -0.130986, -0.129779, -0.129469, -0.129021, -0.128927, -0.124808, -0.12547, -0.12845, -0.124701, -0.118254, -0.106484, -0.0755635, -0.0591056, -0.0447515, -0.0348834, -0.0199983, -0.0161941, -0.0132747, -0.0111925, -0.0102569, -0.00830018, -0.00710155, -0.00505725, -0.0038197, -0.00233017, -0.000768088, -0.000133153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0313381, 0.0363895, 0.0436706, 0.0559986, 0.0659154, 0.0808484, 0.102629, 0.106039, 0.112859, 0.1265, 0.131775, 0.142324, 0.157593, 0.183618, 0.192715, 0.201773, 0.254719, 0.267587, 0.292184, 0.314068, 0.342493, 0.360664, 0.3759, 0.390621, 0.445318, 0.506517, 0.562276, 0.599866, 0.652383, 0.682989");
-            values ( \
-              "-0.167093, -0.185807, -0.181428, -0.179778, -0.179642, -0.178057, -0.175575, -0.174571, -0.173951, -0.171905, -0.172106, -0.17191, -0.174373, -0.167768, -0.161469, -0.153906, -0.0960458, -0.083718, -0.0630013, -0.0475832, -0.0301077, -0.0219703, -0.0177305, -0.0155363, -0.0108501, -0.00687193, -0.00416703, -0.00287912, -0.0015938, -0.0011957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0322703, 0.033878, 0.0436069, 0.0506758, 0.0693154, 0.106906, 0.177326, 0.197482, 0.223432, 0.262815, 0.27516, 0.288329, 0.363885, 0.444163, 0.472322, 0.494814, 0.545925, 0.579176, 0.604075, 0.633847, 0.687266, 0.76645, 0.819713, 0.936987, 0.94119");
-            values ( \
-              "-0.231785, -0.23273, -0.227633, -0.226512, -0.225871, -0.223242, -0.214998, -0.214064, -0.214345, -0.205368, -0.198963, -0.190167, -0.126404, -0.0672993, -0.0535846, -0.0457061, -0.0312642, -0.0233404, -0.0190477, -0.0154761, -0.0111935, -0.00690162, -0.00483286, -0.00204343, -0.00200678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0325838, 0.0338072, 0.123419, 0.281854, 0.347, 0.379614, 0.415636, 0.462514, 0.666795, 0.798242, 0.933435, 1.03999, 1.19445, 1.33752");
-            values ( \
-              "-0.261581, -0.264124, -0.259411, -0.246965, -0.243728, -0.240322, -0.230962, -0.210796, -0.0978889, -0.056714, -0.0284995, -0.0164103, -0.00840768, -0.00513615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0463732, 0.0502184, 0.0509506, 0.0512771, 0.0516994, 0.0529055, 0.0538885, 0.0558022, 0.0577739, 0.0636487, 0.067936, 0.0723875, 0.0787166, 0.0851877, 0.0980443, 0.0981928, 0.102646, 0.111006, 0.117307, 0.123555, 0.134334, 0.137554, 0.153284, 0.160132, 0.185436, 0.189391, 0.195611, 0.202694, 0.210657, 0.216425, 0.2319, 0.247051, 0.252859, 0.259109, 0.271044, 0.284597, 0.292203, 0.30211, 0.314311, 0.358714, 0.39374, 0.478406, 0.591089");
-            values ( \
-              "-0.0230087, -0.0452682, -0.0459023, -0.0550677, -0.0549647, -0.0560764, -0.0561858, -0.0557456, -0.0543685, -0.0523589, -0.0520391, -0.0515439, -0.0513451, -0.0506397, -0.0501823, -0.0501137, -0.0506996, -0.0524984, -0.0527658, -0.0509023, -0.0445404, -0.043091, -0.0312875, -0.0266527, -0.0122565, -0.0110325, -0.010144, -0.00970814, -0.00875137, -0.00822067, -0.00599947, -0.00400025, -0.00334729, -0.00224219, -0.00107581, -0.000742167, -0.000795216, -0.000732165, -0.000812558, -0.000731792, -0.000514414, -0.000170348, -1.72492e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0464136, 0.0512128, 0.0516378, 0.0524877, 0.0533286, 0.0543387, 0.0553315, 0.0573172, 0.0597332, 0.0622762, 0.0666385, 0.0716197, 0.0767403, 0.0840232, 0.0914656, 0.106255, 0.106823, 0.116775, 0.121272, 0.128579, 0.135857, 0.14934, 0.152034, 0.171934, 0.191121, 0.21782, 0.224976, 0.231656, 0.238741, 0.2469, 0.251375, 0.258378, 0.264139, 0.269924, 0.301456, 0.321102, 0.445307, 0.482512");
-            values ( \
-              "-0.00623015, -0.0973169, -0.0773589, -0.100458, -0.081234, -0.102021, -0.0817188, -0.100326, -0.0786937, -0.0971138, -0.0762432, -0.0952114, -0.0752731, -0.0941052, -0.0737995, -0.0919859, -0.0822512, -0.084194, -0.0857328, -0.08576, -0.0831999, -0.0723088, -0.0711755, -0.0503521, -0.0338645, -0.0164818, -0.0137878, -0.0124934, -0.0120076, -0.0105958, -0.0104191, -0.00901891, -0.00848571, -0.00718333, -0.00297682, -0.00212099, -0.000776495, -0.000616247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0463492, 0.0524911, 0.0551381, 0.0618493, 0.0664357, 0.0718965, 0.0845117, 0.112109, 0.121576, 0.140186, 0.1588, 0.177403, 0.179194, 0.200118, 0.214822, 0.232292, 0.244068, 0.270605, 0.280202, 0.293041, 0.300574, 0.309853, 0.358415, 0.385888, 0.409067, 0.454571, 0.532909, 0.650183");
-            values ( \
-              "-0.0201768, -0.133089, -0.138217, -0.133557, -0.131316, -0.130433, -0.129282, -0.125471, -0.125027, -0.127656, -0.125092, -0.107068, -0.1067, -0.081187, -0.0648657, -0.0489385, -0.0400759, -0.0228319, -0.0174364, -0.0136603, -0.0121187, -0.010787, -0.00659026, -0.00492611, -0.00402806, -0.00258448, -0.00102415, -0.000205912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0464002, 0.0545478, 0.0560723, 0.0658404, 0.0739279, 0.108535, 0.149706, 0.161879, 0.175514, 0.201219, 0.219897, 0.272632, 0.30028, 0.316512, 0.348086, 0.364682, 0.387901, 0.403597, 0.442764, 0.477657, 0.550258, 0.624269, 0.677602, 0.794876");
-            values ( \
-              "-0.0321964, -0.186577, -0.188158, -0.182402, -0.180461, -0.177117, -0.171548, -0.172321, -0.174154, -0.168112, -0.153702, -0.0960658, -0.0708211, -0.0582225, -0.037317, -0.0279457, -0.0189474, -0.0160385, -0.0124551, -0.00974844, -0.00554278, -0.00268613, -0.00147623, -0.000368601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0498461, 0.0529124, 0.0557435, 0.0575767, 0.0645291, 0.074349, 0.124737, 0.195953, 0.213736, 0.241276, 0.248934, 0.26425, 0.281289, 0.301599, 0.325409, 0.390598, 0.446934, 0.463371, 0.472917, 0.489779, 0.511542, 0.533322, 0.579844, 0.603198, 0.627374, 0.656086, 0.706165, 0.776842, 0.828184, 0.886822, 0.945459, 1.0041, 1.12137");
-            values ( \
-              "-0.179364, -0.220207, -0.232318, -0.233299, -0.229545, -0.226727, -0.222998, -0.214928, -0.214098, -0.214423, -0.213911, -0.210953, -0.204409, -0.193417, -0.174397, -0.119183, -0.0775684, -0.066461, -0.0612316, -0.0535934, -0.0459361, -0.0394298, -0.0271528, -0.0221021, -0.0183059, -0.0150394, -0.0111277, -0.00724175, -0.00515681, -0.00341527, -0.0021947, -0.00140128, -0.000555666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0502549, 0.0538153, 0.0557093, 0.0576774, 0.0601915, 0.0727078, 0.0808689, 0.173066, 0.292335, 0.364759, 0.415114, 0.431818, 0.465227, 0.505931, 0.599481, 0.639947, 0.658316, 0.683422, 0.752247, 0.818496, 0.877133, 0.936496, 0.985997, 1.0428, 1.09991, 1.17582, 1.29309, 1.41037, 1.64492, 1.82083");
-            values ( \
-              "-0.205685, -0.255929, -0.264644, -0.267113, -0.267165, -0.262975, -0.262275, -0.257316, -0.247494, -0.243999, -0.236311, -0.231566, -0.218401, -0.197226, -0.144284, -0.119047, -0.109015, -0.0984221, -0.0747695, -0.0561947, -0.042372, -0.0309092, -0.0235469, -0.0175478, -0.0135113, -0.00972272, -0.00589478, -0.00350552, -0.00114062, -0.00061269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0775876, 0.0828083, 0.0887084, 0.0969403, 0.103869, 0.111126, 0.112599, 0.114002, 0.116089, 0.117734, 0.123728, 0.129242, 0.135917, 0.138209, 0.141267, 0.149479, 0.153675, 0.162037, 0.172176, 0.176018, 0.197567, 0.22552, 0.226714, 0.229102, 0.241314, 0.249425, 0.255062, 0.262285, 0.270348, 0.278605, 0.285327, 0.291103, 0.297392, 0.302477, 0.30924, 0.315549, 0.32277, 0.330312, 0.340024, 0.352063, 0.370275, 0.395559, 0.430112, 0.468439, 0.50895");
-            values ( \
-              "-0.0196695, -0.0305836, -0.0363466, -0.0430378, -0.0464602, -0.0487667, -0.0488433, -0.0530439, -0.0530911, -0.0528469, -0.0513046, -0.0505149, -0.0502693, -0.0504252, -0.0507721, -0.0524743, -0.0528823, -0.0510069, -0.0449171, -0.0431351, -0.0273029, -0.0116313, -0.0115109, -0.0107615, -0.00951411, -0.00889299, -0.00804082, -0.00738695, -0.00585248, -0.00513838, -0.00388034, -0.00352114, -0.00213574, -0.00187871, -0.000960255, -0.00108364, -0.000615159, -0.000922054, -0.000602745, -0.000936516, -0.000628542, -0.00086308, -0.000399667, -0.000509341, -9.91387e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0775924, 0.0841408, 0.0906659, 0.0988976, 0.10519, 0.110687, 0.112599, 0.114094, 0.115481, 0.117201, 0.124344, 0.129973, 0.136671, 0.144935, 0.159758, 0.174441, 0.189129, 0.190608, 0.211162, 0.228139, 0.258075, 0.264981, 0.271663, 0.278943, 0.287462, 0.290047, 0.295217, 0.300845, 0.306043, 0.312449, 0.318826, 0.327019, 0.334664, 0.355793, 0.360482, 0.369861, 0.380767, 0.397344, 0.417322, 0.447898, 0.488149, 0.534456, 0.588838, 0.647475");
-            values ( \
-              "-0.0237577, -0.0521554, -0.0623982, -0.0724791, -0.077196, -0.0800458, -0.0805657, -0.0866651, -0.0873837, -0.0873825, -0.0849962, -0.0838013, -0.0828933, -0.0825089, -0.0851895, -0.0838168, -0.0709023, -0.0709543, -0.0497036, -0.0348603, -0.0155102, -0.0135659, -0.0122673, -0.011861, -0.0102807, -0.010464, -0.00922004, -0.00884217, -0.00751758, -0.00688337, -0.00557952, -0.00477192, -0.00338958, -0.00209099, -0.00226823, -0.00188947, -0.00200575, -0.00161932, -0.00161495, -0.00105177, -0.000849288, -0.000312984, -0.000294708, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0776377, 0.0862274, 0.0939269, 0.103627, 0.112625, 0.113894, 0.117124, 0.128582, 0.154053, 0.16029, 0.169687, 0.178905, 0.19734, 0.215467, 0.246882, 0.265586, 0.285178, 0.310676, 0.319878, 0.332209, 0.345241, 0.36271, 0.376455, 0.41136, 0.445102, 0.485166, 0.555927, 0.60227");
-            values ( \
-              "-0.0262052, -0.0834411, -0.0999219, -0.115696, -0.122703, -0.130452, -0.132921, -0.129212, -0.125209, -0.12503, -0.125945, -0.128386, -0.124774, -0.108954, -0.0718672, -0.0533266, -0.038304, -0.0219485, -0.0170664, -0.0135863, -0.0111854, -0.00946415, -0.00863228, -0.00557498, -0.00410467, -0.00279924, -0.00124186, -0.000717707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.081745, 0.0891332, 0.0988994, 0.10661, 0.112521, 0.112676, 0.114843, 0.116332, 0.118817, 0.122625, 0.132369, 0.137312, 0.187856, 0.200521, 0.214072, 0.239777, 0.249599, 0.258467, 0.268509, 0.31119, 0.324049, 0.338837, 0.355068, 0.38652, 0.40233, 0.411534, 0.424574, 0.431331, 0.444845, 0.485024, 0.524411, 0.562632, 0.617084, 0.653449, 0.703904, 0.762541, 0.821178, 0.879816");
-            values ( \
-              "-0.118386, -0.122869, -0.149144, -0.163086, -0.168639, -0.175007, -0.181725, -0.183013, -0.183268, -0.18153, -0.17901, -0.178528, -0.171407, -0.172328, -0.174157, -0.168109, -0.161174, -0.153692, -0.143381, -0.0960673, -0.0837294, -0.0708253, -0.0582311, -0.037419, -0.0284107, -0.0242764, -0.0194684, -0.0179857, -0.015641, -0.0121204, -0.00918672, -0.00687044, -0.00422137, -0.00298706, -0.00167634, -0.000897968, -0.000406384, -0.000247856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0872912, 0.112168, 0.114916, 0.118072, 0.130616, 0.136546, 0.163701, 0.192322, 0.221018, 0.240868, 0.28003, 0.281573, 0.286865, 0.29392, 0.306833, 0.320269, 0.340634, 0.364391, 0.412038, 0.428736, 0.484523, 0.502222, 0.511871, 0.528076, 0.549568, 0.57166, 0.607101, 0.619114, 0.643139, 0.667303, 0.695803, 0.730103, 0.775554, 0.814781, 0.865323, 0.923961, 0.982598, 1.04124, 1.15851, 1.21715");
-            values ( \
-              "-0.211352, -0.211368, -0.226008, -0.229435, -0.226151, -0.225409, -0.223044, -0.219825, -0.216245, -0.214498, -0.213836, -0.214107, -0.213903, -0.213002, -0.209745, -0.204455, -0.193276, -0.174625, -0.133507, -0.119924, -0.0786217, -0.0665258, -0.0612539, -0.0538397, -0.0462404, -0.0395768, -0.0300249, -0.0270965, -0.0218952, -0.0182064, -0.0149482, -0.0121675, -0.00929399, -0.00726675, -0.00524588, -0.00343807, -0.00224953, -0.00139817, -0.000540178, -0.000369421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.087124, 0.109511, 0.119882, 0.131851, 0.140083, 0.2365, 0.333331, 0.403638, 0.436357, 0.472445, 0.497143, 0.544702, 0.638351, 0.688026, 0.737407, 0.791415, 0.870802, 0.935918, 1.01646, 1.07155, 1.12252, 1.22734, 1.28597, 1.40325, 1.52052, 1.81371");
-            values ( \
-              "-0.237087, -0.239462, -0.265018, -0.26222, -0.261343, -0.255414, -0.247256, -0.244041, -0.240062, -0.230962, -0.221422, -0.197107, -0.144168, -0.113761, -0.0926648, -0.074736, -0.0527453, -0.0382559, -0.0245976, -0.0184877, -0.0146052, -0.00928827, -0.00726879, -0.00439735, -0.00260843, -0.000655125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.13415, 0.14703, 0.153623, 0.156963, 0.163645, 0.169731, 0.180559, 0.189331, 0.205701, 0.220591, 0.234356, 0.247527, 0.24896, 0.251828, 0.258255, 0.26756, 0.276847, 0.284384, 0.309606, 0.317221, 0.324347, 0.345866, 0.347417, 0.35052, 0.355857, 0.362282, 0.368816, 0.374466, 0.380924, 0.386393, 0.391341, 0.400019, 0.403382, 0.410108, 0.418048, 0.428709, 0.44333, 0.462833, 0.495265, 0.53029, 0.571513, 0.618059, 0.675972, 0.734609");
-            values ( \
-              "-0.00257638, -0.0177917, -0.0204323, -0.0221085, -0.0259528, -0.0290268, -0.0340689, -0.0375209, -0.0425782, -0.0454731, -0.0497831, -0.0499281, -0.0490721, -0.0493846, -0.0453425, -0.0385768, -0.0314247, -0.0263928, -0.0120365, -0.0103869, -0.00990846, -0.00757135, -0.00701805, -0.00695163, -0.0058452, -0.00527567, -0.00415955, -0.00366767, -0.00244778, -0.00190539, -0.00125641, -0.00102229, -0.000782811, -0.000870881, -0.000703356, -0.000852544, -0.000729416, -0.000838096, -0.000586434, -0.000533209, -0.000226228, -0.000213433, -5.09084e-06, -8.77575e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.134129, 0.149075, 0.155397, 0.168317, 0.173726, 0.184542, 0.195094, 0.213099, 0.229636, 0.24895, 0.252241, 0.255974, 0.259812, 0.274717, 0.301614, 0.308528, 0.320648, 0.329168, 0.336812, 0.344929, 0.352008, 0.375536, 0.396274, 0.417902, 0.426158, 0.438208, 0.445314, 0.467007, 0.482943, 0.574924, 0.675466, 0.734103");
-            values ( \
-              "-0.00254747, -0.0307028, -0.034847, -0.0463011, -0.0506457, -0.0584635, -0.065054, -0.0728703, -0.0769477, -0.0840557, -0.0864555, -0.0855992, -0.0833659, -0.071914, -0.0448989, -0.038894, -0.0298997, -0.0246422, -0.0193662, -0.0149474, -0.0131104, -0.0102286, -0.00689703, -0.00381311, -0.00295386, -0.00235359, -0.00214059, -0.00186476, -0.0017588, -0.000703213, -0.000160393, -0.000112013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.145697, 0.162891, 0.180378, 0.191382, 0.204952, 0.226031, 0.245805, 0.24885, 0.25181, 0.256511, 0.26445, 0.271555, 0.2829, 0.303301, 0.328198, 0.353073, 0.371011, 0.401893, 0.415966, 0.424633, 0.438804, 0.487542, 0.54297, 0.590436, 0.676366");
-            values ( \
-              "-0.0620679, -0.0620798, -0.0838511, -0.0951531, -0.10632, -0.116774, -0.120785, -0.122035, -0.126031, -0.127734, -0.128637, -0.128711, -0.124186, -0.106726, -0.0765334, -0.0516838, -0.0381151, -0.0186326, -0.0140461, -0.0121962, -0.0102849, -0.00621108, -0.00364747, -0.00224221, -0.00078737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.149601, 0.171032, 0.181305, 0.191735, 0.199264, 0.206793, 0.222099, 0.228889, 0.232284, 0.235678, 0.2487, 0.249257, 0.250371, 0.25172, 0.252472, 0.253974, 0.256228, 0.262156, 0.267079, 0.274316, 0.283419, 0.293294, 0.300135, 0.306561, 0.312987, 0.319413, 0.326156, 0.330604, 0.335687, 0.344543, 0.354572, 0.387607, 0.395644, 0.41011, 0.424298, 0.432277, 0.440725, 0.44961, 0.465704, 0.481058, 0.488388, 0.497035, 0.503907, 0.511128, 0.51835, 0.528368, 0.538386, 0.578193, 0.60367, 0.626404");
-            values ( \
-              "-0.0940925, -0.0991196, -0.115992, -0.131666, -0.139333, -0.14753, -0.165825, -0.16629, -0.166696, -0.167217, -0.169789, -0.173578, -0.174121, -0.175231, -0.175254, -0.175219, -0.174958, -0.173356, -0.172419, -0.172279, -0.172627, -0.172686, -0.172531, -0.171893, -0.170892, -0.169529, -0.167674, -0.164753, -0.160951, -0.153252, -0.14307, -0.10608, -0.0975146, -0.0835551, -0.0712339, -0.0648041, -0.0586138, -0.0524215, -0.0418695, -0.0323002, -0.0282991, -0.0240613, -0.0211424, -0.0190013, -0.0172996, -0.015999, -0.0148785, -0.0115191, -0.00968728, -0.0082165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.145489, 0.183538, 0.208249, 0.218612, 0.229309, 0.248671, 0.249276, 0.252903, 0.256515, 0.276543, 0.306612, 0.326361, 0.372341, 0.379378, 0.392309, 0.405763, 0.426128, 0.449885, 0.514099, 0.587944, 0.613262, 0.634763, 0.692575, 0.728934, 0.752944, 0.781429, 0.815443, 0.900249, 0.950388, 1.00876, 1.11381");
-            values ( \
-              "-0.0740935, -0.148854, -0.187468, -0.198833, -0.207307, -0.216419, -0.220364, -0.223882, -0.22367, -0.220016, -0.216239, -0.214502, -0.213904, -0.213008, -0.209752, -0.204454, -0.193278, -0.174626, -0.120026, -0.0663924, -0.0539647, -0.0463318, -0.030027, -0.0218377, -0.0181878, -0.0149351, -0.0121793, -0.007269, -0.00526073, -0.00345672, -0.00162048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.152639, 0.21976, 0.236136, 0.248732, 0.254753, 0.262346, 0.269241, 0.323104, 0.397485, 0.425035, 0.480133, 0.490066, 0.50993, 0.556873, 0.571302, 0.599038, 0.627403, 0.72467, 0.762138, 0.778569, 0.801553, 0.863513, 0.906409, 0.948811, 1.00517, 1.05619, 1.10752, 1.16249, 1.22469, 1.30875, 1.42603, 1.5433, 1.71921, 1.89512");
-            values ( \
-              "-0.128041, -0.230841, -0.245399, -0.252351, -0.259476, -0.260873, -0.259233, -0.255354, -0.249088, -0.24709, -0.244187, -0.2441, -0.241931, -0.231651, -0.226456, -0.214152, -0.19913, -0.144208, -0.120782, -0.111556, -0.101106, -0.0792171, -0.0662003, -0.0548028, -0.0418508, -0.0319388, -0.023947, -0.0181022, -0.0136215, -0.00948953, -0.00578231, -0.00346611, -0.00155245, -0.000674598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.26114, 0.275415, 0.288584, 0.302072, 0.314455, 0.334349, 0.345001, 0.386312, 0.397467, 0.408119, 0.424564, 0.437494, 0.441569, 0.462339, 0.482101, 0.49012, 0.499036, 0.502593, 0.516079, 0.522898, 0.530301, 0.534566, 0.541877, 0.548262, 0.550198, 0.554071, 0.563231, 0.568637, 0.58356, 0.589862, 0.597979, 0.608854, 0.623866, 0.645577, 0.678112, 0.713663, 0.755107, 0.802359, 0.860996, 0.919633");
-            values ( \
-              "-0.00908915, -0.0094465, -0.0118304, -0.0148999, -0.018037, -0.0225242, -0.0247645, -0.0326999, -0.0355099, -0.0390813, -0.040596, -0.0376118, -0.0368465, -0.026308, -0.0170432, -0.0127489, -0.0111458, -0.0109901, -0.00942115, -0.00875559, -0.00733113, -0.00701954, -0.0055539, -0.00501745, -0.00440193, -0.00474469, -0.00289421, -0.00208581, -0.00119526, -0.00119949, -0.000987838, -0.00106253, -0.000875553, -0.000918509, -0.000606929, -0.000536131, -0.000214615, -0.000212732, -1e-22, -9.33495e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.261025, 0.278448, 0.297534, 0.320352, 0.353341, 0.379415, 0.402, 0.421828, 0.440014, 0.458764, 0.495662, 0.524966, 0.531624, 0.550198, 0.556813, 0.577729, 0.599832, 0.608208, 0.625013, 0.680119, 0.747434, 0.791675, 0.808282");
-            values ( \
-              "-0.0119365, -0.016568, -0.0225133, -0.0318654, -0.0434932, -0.0517413, -0.0581982, -0.0670303, -0.0697324, -0.0624111, -0.0354467, -0.0175429, -0.0149464, -0.0121871, -0.0122639, -0.00797042, -0.00439642, -0.00347993, -0.00258914, -0.00166192, -0.000825033, -0.000509161, -0.000451729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.270838, 0.297121, 0.320833, 0.349794, 0.397018, 0.422691, 0.44564, 0.467092, 0.491498, 0.526744, 0.550176, 0.553879, 0.570268, 0.588232, 0.595832, 0.607403, 0.613858, 0.630621, 0.64298, 0.666523, 0.679418, 0.700893, 0.731666, 0.782837, 0.8228, 0.876823, 0.994098");
-            values ( \
-              "-0.0332737, -0.0343317, -0.0481996, -0.0641917, -0.0866057, -0.0964855, -0.108412, -0.111181, -0.0966398, -0.0628498, -0.0440401, -0.0430642, -0.0312258, -0.0197836, -0.0168962, -0.0137441, -0.0124073, -0.0103679, -0.00945623, -0.00711206, -0.00606034, -0.00490472, -0.00367733, -0.00213678, -0.00136408, -0.000640987, -0.000111519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275163, 0.30643, 0.346884, 0.368643, 0.390902, 0.426275, 0.48639, 0.514269, 0.543759, 0.550124, 0.556415, 0.570314, 0.59406, 0.628344, 0.649552, 0.669617, 0.686275, 0.699343, 0.708206, 0.725931, 0.76366, 0.809306, 0.882006, 0.917463, 0.963311, 1.02195, 1.13922");
-            values ( \
-              "-0.0493131, -0.0540609, -0.0858939, -0.10119, -0.116204, -0.135684, -0.160011, -0.160636, -0.140811, -0.134003, -0.129444, -0.113397, -0.0888498, -0.0597458, -0.0452586, -0.0328481, -0.024533, -0.0197477, -0.0177967, -0.0151473, -0.0118956, -0.00860532, -0.00468276, -0.0033111, -0.00202458, -0.00103961, -0.000262242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.284644, 0.33495, 0.369651, 0.410805, 0.427918, 0.462143, 0.495496, 0.550128, 0.556075, 0.567968, 0.581702, 0.593731, 0.596042, 0.600664, 0.609908, 0.64019, 0.66317, 0.714582, 0.78763, 0.803512, 0.824509, 0.84689, 0.876667, 0.882817, 0.895118, 0.919719, 0.943647, 0.97204, 1.00592, 1.02104, 1.05127, 1.08998, 1.14006, 1.19803, 1.25666, 1.3153, 1.37394, 1.43257, 1.49121");
-            values ( \
-              "-0.07251, -0.0951548, -0.127563, -0.160717, -0.172344, -0.190904, -0.201091, -0.211426, -0.216668, -0.211903, -0.211354, -0.204203, -0.205754, -0.201252, -0.198485, -0.175696, -0.153381, -0.111008, -0.0601392, -0.0550972, -0.0453942, -0.0408459, -0.030631, -0.0311489, -0.0259238, -0.022852, -0.0170214, -0.0160135, -0.0110546, -0.0122085, -0.00818742, -0.0084031, -0.00417299, -0.00459105, -0.00116968, -0.00252661, -1e-22, -0.00165664, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.284947, 0.319989, 0.351071, 0.382228, 0.410756, 0.457159, 0.486093, 0.543962, 0.55019, 0.552056, 0.555789, 0.570362, 0.580298, 0.615923, 0.648204, 0.681104, 0.713744, 0.749741, 0.774374, 0.796648, 0.915626, 0.952812, 0.968951, 0.991759, 1.0196, 1.05296, 1.10034, 1.1416, 1.1969, 1.21316, 1.24568, 1.2975, 1.35161, 1.40106, 1.4155, 1.44438, 1.50213, 1.56077, 1.61941, 1.73668, 1.85396, 1.91259, 2.08851");
-            values ( \
-              "-0.089328, -0.0929843, -0.127012, -0.159018, -0.18514, -0.220043, -0.234048, -0.248457, -0.24879, -0.251325, -0.252434, -0.250678, -0.249794, -0.24713, -0.245371, -0.244025, -0.240054, -0.230965, -0.221452, -0.210778, -0.144196, -0.120951, -0.111858, -0.101404, -0.0909131, -0.079691, -0.0653441, -0.0543505, -0.0416803, -0.0382628, -0.0321915, -0.0240861, -0.0182595, -0.014517, -0.0136254, -0.0120158, -0.00938695, -0.00734755, -0.0057168, -0.00342328, -0.00200878, -0.00154055, -0.000661482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.509861, 0.539283, 0.57348, 0.599084, 0.661508, 0.730844, 0.757971, 0.771518, 0.782078, 0.793984, 0.80575, 0.824806, 0.858285, 0.883587, 0.93797, 0.952956, 0.971511, 1.04558, 1.12472, 1.21588, 1.23738, 1.29259");
-            values ( \
-              "-0.00413316, -0.0047795, -0.00685397, -0.00913329, -0.0156817, -0.0221669, -0.0261707, -0.0275762, -0.0274907, -0.0281726, -0.0269308, -0.0236341, -0.0130949, -0.0105941, -0.00237414, -0.00170925, -0.00140991, -0.000781616, -0.000281456, -5.3172e-05, -0.000242592, -6.22475e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.510008, 0.560513, 0.580336, 0.608086, 0.620525, 0.673611, 0.713832, 0.747919, 0.777171, 0.803347, 0.824987, 0.836524, 0.851908, 0.901452, 0.903584, 0.907848, 0.915743, 0.924699, 0.932132, 0.950665, 0.957325, 0.965689, 0.983058, 0.992839, 1.00272, 1.01348, 1.02708, 1.03411, 1.04818, 1.07631, 1.11555, 1.15993, 1.21122, 1.22563, 1.25107, 1.30036, 1.35332");
-            values ( \
-              "-0.00594397, -0.00992305, -0.0124511, -0.0164511, -0.0189328, -0.027914, -0.0338183, -0.0391326, -0.0457471, -0.0489962, -0.0484622, -0.0455843, -0.0401682, -0.0171571, -0.0171922, -0.0161509, -0.0153158, -0.0136822, -0.0127294, -0.00893964, -0.00799268, -0.00624994, -0.00417837, -0.00380226, -0.00322329, -0.0031038, -0.00257956, -0.00260874, -0.00212324, -0.00176068, -0.000973309, -0.000686458, -0.000200051, -0.0006435, -0.000293298, -0.000118157, -6.82116e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.523949, 0.570304, 0.591721, 0.694212, 0.777079, 0.810114, 0.839806, 0.866199, 0.874632, 0.885876, 0.963383, 0.978426, 0.990743, 1.0058, 1.01657, 1.05294, 1.08347, 1.14077, 1.21587, 1.23717, 1.2912, 1.4035");
-            values ( \
-              "-0.0154717, -0.0172823, -0.0214488, -0.0473556, -0.0660764, -0.0764672, -0.081779, -0.079275, -0.0761025, -0.0707415, -0.0254668, -0.0188969, -0.0155828, -0.0131621, -0.0123258, -0.00805791, -0.00592406, -0.00325611, -0.00122817, -0.00152894, -0.00063676, -0.000117166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.536254, 0.586766, 0.614778, 0.66023, 0.728498, 0.826002, 0.865313, 0.876682, 0.901084, 0.91107, 0.923697, 0.9371, 0.955598, 1.01566, 1.05743, 1.07239, 1.0981, 1.11779, 1.14667, 1.18405, 1.21589, 1.22931, 1.25415, 1.31045, 1.36233, 1.42096, 1.53824");
-            values ( \
-              "-0.0284701, -0.0292376, -0.0373981, -0.0536942, -0.0766423, -0.10582, -0.120837, -0.124275, -0.126689, -0.126035, -0.123262, -0.117568, -0.106477, -0.0654794, -0.0398204, -0.0317372, -0.0218463, -0.018203, -0.0149643, -0.01148, -0.0090751, -0.00889868, -0.00695028, -0.00395354, -0.00226586, -0.00112351, -0.000276463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.551159, 0.639014, 0.6988, 0.733262, 0.782499, 0.819772, 0.847747, 0.954339, 0.979532, 1.00149, 1.02497, 1.05084, 1.15065, 1.182, 1.20575, 1.216, 1.22779, 1.25483, 1.29861, 1.32092, 1.34602, 1.37763, 1.40983, 1.44042, 1.47807, 1.52018, 1.57173, 1.63037, 1.68901, 1.74764, 1.86492");
-            values ( \
-              "-0.0384341, -0.0575551, -0.0836716, -0.0978385, -0.117391, -0.13126, -0.141075, -0.175584, -0.180675, -0.178641, -0.172978, -0.159606, -0.094342, -0.0725449, -0.0599539, -0.0557203, -0.0525345, -0.0431573, -0.0305959, -0.0251672, -0.0204554, -0.0163836, -0.0133908, -0.0111307, -0.00883936, -0.00674817, -0.00474966, -0.00310196, -0.00198828, -0.00125528, -0.000493833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.568668, 0.644188, 0.805258, 0.863895, 0.914276, 0.949349, 1.00799, 1.02519, 1.05959, 1.09616, 1.13126, 1.16651, 1.17534, 1.20562, 1.22706, 1.33109, 1.37303, 1.41471, 1.47832, 1.53783, 1.59402, 1.63138, 1.67423, 1.7249, 1.78128, 1.85865, 1.96392, 2.0812, 2.19847, 2.37439, 2.5503");
-            values ( \
-              "-0.0674559, -0.0695557, -0.146074, -0.171101, -0.190068, -0.201449, -0.216065, -0.219639, -0.225184, -0.229958, -0.230424, -0.225649, -0.223578, -0.213427, -0.204595, -0.146033, -0.119959, -0.099779, -0.0776387, -0.0602179, -0.046376, -0.0383735, -0.0304667, -0.0230204, -0.0173393, -0.0122849, -0.00786686, -0.00477177, -0.00284143, -0.00124151, -0.000557177" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0122829, 0.0122937, 0.0123067, 0.0123193, 0.0123296, 0.0123367", \
-            "0.0146008, 0.0146067, 0.0146151, 0.0146247, 0.0146336, 0.0146403", \
-            "0.0160441, 0.0160465, 0.0160504, 0.0160561, 0.0160624, 0.0160679", \
-            "0.0169177, 0.0169185, 0.0169198, 0.0169221, 0.0169253, 0.0169288", \
-            "0.017392, 0.0173923, 0.0173928, 0.0173936, 0.0173948, 0.0173964", \
-            "0.0176524, 0.0176528, 0.0176534, 0.0176535, 0.0176546, 0.0176557" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0167478, 0.0167571, 0.0167699, 0.0167838, 0.016796, 0.0168048", \
-            "0.0190102, 0.0190179, 0.0190281, 0.0190396, 0.01905, 0.0190578", \
-            "0.0206867, 0.0206975, 0.0207102, 0.0207233, 0.0207347, 0.020743", \
-            "0.0218324, 0.0218064, 0.0217743, 0.021742, 0.021716, 0.0216991", \
-            "0.0227065, 0.0226125, 0.0224908, 0.0223605, 0.0222457, 0.0221601", \
-            "0.0234924, 0.0233884, 0.0232135, 0.0229508, 0.0226709, 0.0224535" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.13557, 0.151635, 0.181728, 0.237998, 0.343489, 0.542126", \
-            "0.141398, 0.157503, 0.187684, 0.244127, 0.349745, 0.54851", \
-            "0.15477, 0.17088, 0.201134, 0.25769, 0.363466, 0.562419", \
-            "0.182867, 0.199027, 0.229144, 0.285558, 0.391618, 0.590756", \
-            "0.241883, 0.25961, 0.291084, 0.347577, 0.453694, 0.652914", \
-            "0.341386, 0.364109, 0.404209, 0.473499, 0.590001, 0.79049" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.146189, 0.1689, 0.210377, 0.287951, 0.435312, 0.716096", \
-            "0.146182, 0.168916, 0.210358, 0.287969, 0.435313, 0.716123", \
-            "0.146113, 0.168858, 0.210335, 0.287933, 0.435314, 0.716118", \
-            "0.147139, 0.169216, 0.210284, 0.287844, 0.435291, 0.716115", \
-            "0.16643, 0.186187, 0.223059, 0.294742, 0.436315, 0.716081", \
-            "0.223984, 0.244169, 0.279573, 0.342691, 0.468785, 0.728268" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0817181, 0.0919022, 0.110815, 0.14599, 0.211726, 0.335279", \
-            "0.0855071, 0.0957182, 0.11468, 0.149927, 0.215709, 0.339293", \
-            "0.0931703, 0.103429, 0.122556, 0.157806, 0.223854, 0.347518", \
-            "0.109835, 0.120704, 0.140014, 0.17529, 0.24145, 0.365707", \
-            "0.133013, 0.146665, 0.170497, 0.211364, 0.280946, 0.405849", \
-            "0.14997, 0.169015, 0.202105, 0.257305, 0.346175, 0.488471" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.083209, 0.09585, 0.120282, 0.167291, 0.256764, 0.426082", \
-            "0.0831109, 0.095788, 0.120422, 0.167295, 0.256681, 0.426149", \
-            "0.0828333, 0.0956163, 0.120203, 0.167283, 0.256693, 0.426059", \
-            "0.0899718, 0.101329, 0.12411, 0.168587, 0.256624, 0.426082", \
-            "0.117276, 0.128397, 0.149492, 0.189258, 0.268254, 0.429269", \
-            "0.170954, 0.184033, 0.208241, 0.251268, 0.328235, 0.470275" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0507543, 0.0593349, 0.0859186, 0.11319, 0.147263, 0.156391, 0.170362, 0.207751, 0.212015, 0.229396, 0.243192, 0.251087, 0.283314, 0.2899, 0.323887, 0.329206, 0.339843, 0.355498, 0.377293, 0.387773, 0.390782, 0.3968, 0.408836, 0.430475, 0.472708, 0.527746");
-            values ( \
-              "0.0376334, 0.0389955, 0.0350661, 0.0333024, 0.0274661, 0.0287394, 0.0283731, 0.0180437, 0.0190548, 0.0172856, 0.0137534, 0.0138279, 0.0105114, 0.00853836, 0.00479902, 0.00530238, 0.00375648, 0.00376018, 0.00199856, 0.00247545, 0.00158114, 0.00218217, 0.00111651, 0.00144373, 0.000161466, 0.00046051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0504419, 0.0554276, 0.0573071, 0.0613923, 0.0777753, 0.0904001, 0.0924149, 0.0964446, 0.134382, 0.137789, 0.163237, 0.17324, 0.185034, 0.233443, 0.24224, 0.255092, 0.261312, 0.270662, 0.290878, 0.351267, 0.36412, 0.371638, 0.386675, 0.404293, 0.429728, 0.440766, 0.462842, 0.506994, 0.661385");
-            values ( \
-              "0.0369588, 0.0627747, 0.0620865, 0.0627988, 0.0594386, 0.0584086, 0.0572676, 0.057588, 0.0515881, 0.0518643, 0.0461258, 0.0475134, 0.0475479, 0.0324155, 0.0270607, 0.0257646, 0.0241793, 0.0243287, 0.0220663, 0.010326, 0.00934403, 0.00777927, 0.00705442, 0.00495936, 0.00415442, 0.00291591, 0.00278507, 0.000945939, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0505334, 0.057357, 0.0635312, 0.0649621, 0.0678239, 0.0727478, 0.0777876, 0.087867, 0.10441, 0.13129, 0.146216, 0.182337, 0.193314, 0.208175, 0.222484, 0.262976, 0.297109, 0.308006, 0.339705, 0.368049, 0.415105, 0.458525, 0.494486, 0.524999, 0.561372, 0.60987, 0.688506, 0.7142");
-            values ( \
-              "0.0449804, 0.0929862, 0.0925432, 0.0931639, 0.091832, 0.0918687, 0.0901335, 0.0891887, 0.0857895, 0.0829593, 0.0804766, 0.0730339, 0.0713179, 0.0728978, 0.0713107, 0.0571242, 0.0407104, 0.03714, 0.0353143, 0.030082, 0.0186176, 0.0116759, 0.00795352, 0.00570834, 0.00382875, 0.00223553, 0.000865402, 0.000749609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0506633, 0.0603791, 0.0710466, 0.107294, 0.126505, 0.159913, 0.165444, 0.176506, 0.198631, 0.248722, 0.255561, 0.263377, 0.276997, 0.324338, 0.363583, 0.414709, 0.4331, 0.550493, 0.579396, 0.630044, 0.660898, 0.721895, 0.829314, 0.949074");
-            values ( \
-              "0.0580442, 0.125469, 0.125079, 0.118676, 0.117077, 0.112328, 0.112557, 0.110339, 0.107815, 0.0984685, 0.100076, 0.100441, 0.098996, 0.0864059, 0.0685418, 0.0566447, 0.0510042, 0.0259518, 0.0209172, 0.014212, 0.0111837, 0.00690983, 0.00288845, 0.0013415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0547692, 0.0547892, 0.167322, 0.212709, 0.227098, 0.255876, 0.321021, 0.354628, 0.366875, 0.401572, 0.431561, 0.485996, 0.541707, 0.561803, 0.671619, 0.784128, 0.8697, 0.944023, 1.05492, 1.16675, 1.25097, 1.4178");
-            values ( \
-              "1e-22, 0.156773, 0.143253, 0.140195, 0.138143, 0.136069, 0.127697, 0.125051, 0.124957, 0.120588, 0.115494, 0.0983952, 0.0891408, 0.0844005, 0.0557244, 0.0356652, 0.0239732, 0.0166023, 0.00938882, 0.00531768, 0.0033255, 0.00134751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0599342, 0.0599542, 0.18757, 0.342045, 0.498316, 0.525655, 0.62406, 0.714879, 0.733488, 0.776669, 1.04792, 1.16706, 1.42422, 1.60529, 1.90869, 2.24557, 2.75089");
-            values ( \
-              "1e-22, 0.190884, 0.166472, 0.158675, 0.146342, 0.146625, 0.138906, 0.122373, 0.123058, 0.118732, 0.0684025, 0.0509224, 0.0252248, 0.0145037, 0.00539074, 0.00159268, 9.96238e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0682296, 0.0739189, 0.0790831, 0.0877226, 0.105688, 0.13359, 0.167022, 0.183236, 0.190121, 0.22273, 0.227446, 0.231698, 0.23716, 0.241124, 0.244064, 0.247845, 0.252006, 0.263136, 0.270757, 0.2803, 0.289324, 0.297866, 0.303068, 0.309649, 0.317255, 0.324832, 0.333724, 0.343533, 0.349607, 0.361754, 0.377829, 0.400041, 0.410654, 0.431878, 0.472363, 0.529334, 0.605133");
-            values ( \
-              "0.00835186, 0.0377875, 0.0383947, 0.0375932, 0.0354341, 0.0328944, 0.0278068, 0.0287477, 0.0280469, 0.0198667, 0.0183599, 0.0187451, 0.0186305, 0.0182522, 0.017492, 0.0172166, 0.0161218, 0.0140146, 0.0135564, 0.0123777, 0.0117308, 0.0105983, 0.0102355, 0.00881516, 0.0081231, 0.0067824, 0.006264, 0.00507987, 0.00498899, 0.00389679, 0.0033702, 0.00215849, 0.00211348, 0.00127549, 0.000880845, 0.000142073, 0.000212232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0680948, 0.0751843, 0.081213, 0.11225, 0.134294, 0.157598, 0.16941, 0.183039, 0.196089, 0.20942, 0.22257, 0.251622, 0.260509, 0.26595, 0.281341, 0.29067, 0.310909, 0.329345, 0.370116, 0.391469, 0.424726, 0.460625, 0.482258, 0.525524, 0.593603, 0.677824");
-            values ( \
-              "0.00881489, 0.0612863, 0.0623195, 0.0575956, 0.0550858, 0.0515379, 0.0487408, 0.0464579, 0.0474551, 0.046973, 0.0420189, 0.0327428, 0.0279759, 0.026609, 0.0244415, 0.0240363, 0.0217548, 0.0188413, 0.0108141, 0.00812003, 0.00525684, 0.00325307, 0.00246282, 0.00130866, 0.000503036, 0.000109638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0719089, 0.0816495, 0.16506, 0.213173, 0.228979, 0.243324, 0.285804, 0.321499, 0.33178, 0.364862, 0.449519, 0.491928, 0.544585, 0.631536, 0.713118, 0.739328");
-            values ( \
-              "0.0871407, 0.0925678, 0.0806434, 0.0711966, 0.0729593, 0.07098, 0.0559058, 0.0388767, 0.0367392, 0.0344112, 0.0158834, 0.010081, 0.00569425, 0.00221253, 0.000791486, 0.000680631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.07205, 0.0804354, 0.0858685, 0.210414, 0.254078, 0.269853, 0.291108, 0.34051, 0.393371, 0.419127, 0.452741, 0.551031, 0.592021, 0.655258, 0.728987, 0.762204, 0.890715, 1.05916, 1.14338");
-            values ( \
-              "0.0882924, 0.124261, 0.124779, 0.108885, 0.100948, 0.0994373, 0.100469, 0.0878077, 0.0652699, 0.0605577, 0.0511667, 0.029803, 0.0220248, 0.0135507, 0.00754026, 0.00576852, 0.0019291, 0.000385804, 0.0003667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0764763, 0.0764963, 0.157798, 0.232873, 0.275555, 0.341019, 0.374631, 0.380346, 0.399941, 0.444605, 0.455524, 0.490417, 0.505876, 0.521356, 0.54335, 0.562766, 0.692218, 0.760946, 0.803148, 0.888447, 0.914451, 0.971004, 1.03564, 1.09189, 1.17484, 1.25906, 1.34328, 1.51172, 1.68016");
-            values ( \
-              "1e-22, 0.163457, 0.146261, 0.139861, 0.13578, 0.127374, 0.125375, 0.125394, 0.123675, 0.116895, 0.114444, 0.104066, 0.0984387, 0.0952904, 0.0924405, 0.0889164, 0.055594, 0.0427567, 0.0358205, 0.0241209, 0.0212996, 0.0161354, 0.0115531, 0.00864221, 0.00560035, 0.00361746, 0.00227203, 0.000910619, 0.000358258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.07612, 0.07614, 0.206864, 0.362229, 0.510937, 0.541968, 0.644527, 0.705947, 0.723878, 0.78329, 0.819478, 1.06368, 1.1479, 1.23003, 1.3314, 1.46206, 1.51641, 1.67309, 1.75846, 1.86623, 2.03467, 2.20311, 2.37155, 2.70844");
-            values ( \
-              "1e-22, 0.17975, 0.166837, 0.15835, 0.147111, 0.146344, 0.138497, 0.128277, 0.124369, 0.11989, 0.114638, 0.0696665, 0.0561582, 0.0459666, 0.0352097, 0.0242575, 0.0206427, 0.0127931, 0.00980317, 0.00697708, 0.00406568, 0.0023493, 0.00134329, 0.000412785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.11263, 0.117057, 0.122779, 0.127472, 0.131809, 0.14024, 0.178422, 0.188861, 0.203051, 0.211131, 0.227331, 0.234224, 0.266676, 0.271394, 0.275618, 0.281005, 0.285055, 0.287988, 0.29185, 0.306976, 0.314606, 0.324128, 0.333176, 0.341735, 0.347161, 0.353729, 0.361371, 0.368936, 0.377826, 0.387605, 0.393697, 0.405879, 0.421936, 0.444021, 0.454728, 0.476143, 0.573733, 0.649782");
-            values ( \
-              "0.0261395, 0.0331302, 0.0354986, 0.0365046, 0.0367743, 0.0365065, 0.032784, 0.0312922, 0.0288322, 0.0278836, 0.0288198, 0.027987, 0.0198526, 0.0184364, 0.0186847, 0.0186891, 0.0182426, 0.0175579, 0.0171927, 0.0140765, 0.013557, 0.0124275, 0.0117394, 0.0106376, 0.0102283, 0.00882473, 0.00811311, 0.00678951, 0.00625527, 0.0050915, 0.00497894, 0.00390694, 0.00335777, 0.00217532, 0.00210097, 0.00128553, 0.000154595, 0.000197309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.114811, 0.135476, 0.145213, 0.189694, 0.218826, 0.227225, 0.240405, 0.253573, 0.28122, 0.291873, 0.298615, 0.304682, 0.310135, 0.325506, 0.344542, 0.355077, 0.382688, 0.402772, 0.42687, 0.435623, 0.451583, 0.468876, 0.49395, 0.526398, 0.569663, 0.638329, 0.72255");
-            values ( \
-              "0.0600844, 0.0600994, 0.0593827, 0.0535431, 0.0477359, 0.0464854, 0.0475293, 0.0468762, 0.0371937, 0.0340969, 0.0315276, 0.0280475, 0.0265333, 0.0245154, 0.023113, 0.021685, 0.0170691, 0.0127265, 0.00910097, 0.0081784, 0.00658123, 0.0053148, 0.00375002, 0.00240726, 0.00136547, 0.000441958, 0.000164647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.114722, 0.125102, 0.128913, 0.135618, 0.142004, 0.210418, 0.225817, 0.247415, 0.257507, 0.273816, 0.28817, 0.327142, 0.356961, 0.36673, 0.376791, 0.379146, 0.393275, 0.406512, 0.420368, 0.433789, 0.473772, 0.507932, 0.532039, 0.55452, 0.585263, 0.62185, 0.649495, 0.704787, 0.789008, 0.873229");
-            values ( \
-              "0.0752265, 0.0865755, 0.0887792, 0.0901826, 0.0901621, 0.0804528, 0.0776197, 0.0728031, 0.0713937, 0.0729739, 0.0708157, 0.0570995, 0.0426932, 0.0386165, 0.0366841, 0.0367584, 0.0362385, 0.0348058, 0.0324197, 0.0296554, 0.0197448, 0.0135902, 0.0105066, 0.00837758, 0.00589448, 0.00396973, 0.00298056, 0.00154066, 0.000604429, 0.000180472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.117972, 0.117992, 0.187814, 0.229924, 0.273642, 0.311793, 0.319017, 0.328647, 0.342483, 0.386624, 0.432187, 0.471088, 0.495424, 0.552308, 0.58483, 0.615661, 0.676848, 0.721153, 0.786222, 0.824602, 0.896562, 1.02366");
-            values ( \
-              "1e-22, 0.127402, 0.117075, 0.112113, 0.105705, 0.0993812, 0.100208, 0.100485, 0.0988055, 0.0871706, 0.0669179, 0.0588166, 0.0516375, 0.0398288, 0.0321337, 0.025744, 0.0162046, 0.0114805, 0.00683597, 0.0051599, 0.00274344, 0.00113967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.120653, 0.120673, 0.196038, 0.291578, 0.321856, 0.38552, 0.419109, 0.424824, 0.444418, 0.485611, 0.499987, 0.534886, 0.550351, 0.56583, 0.587854, 0.607293, 0.645727, 0.736721, 0.805443, 0.847583, 0.932868, 0.958923, 1.01561, 1.08039, 1.13638, 1.21892, 1.30315, 1.38737, 1.55581, 1.72425");
-            values ( \
-              "1e-22, 0.163023, 0.146806, 0.138535, 0.135503, 0.127283, 0.125458, 0.125398, 0.123678, 0.117482, 0.114446, 0.104068, 0.0984434, 0.0952887, 0.0924388, 0.0889045, 0.0794929, 0.0555904, 0.0427552, 0.0358292, 0.0241295, 0.021302, 0.0161242, 0.0115382, 0.00864332, 0.00561331, 0.00362368, 0.00227812, 0.000913844, 0.00036031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.120431, 0.120451, 0.270071, 0.454376, 0.562438, 0.609353, 0.680551, 0.767449, 0.845025, 1.19265, 1.37625, 1.52479, 1.71704, 1.99626, 2.2331");
-            values ( \
-              "1e-22, 0.17798, 0.165926, 0.155147, 0.146606, 0.145328, 0.139371, 0.124715, 0.117725, 0.0560317, 0.0350809, 0.0230112, 0.0129314, 0.00534747, 0.00285912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.201329, 0.240383, 0.244979, 0.248894, 0.25209, 0.256223, 0.259876, 0.265079, 0.269157, 0.273236, 0.279197, 0.285158, 0.28858, 0.298843, 0.303089, 0.307335, 0.309636, 0.314238, 0.318882, 0.323568, 0.325911, 0.328147, 0.330383, 0.339328, 0.356934, 0.364402, 0.365367, 0.368264, 0.37043, 0.373372, 0.375696, 0.37833, 0.389844, 0.39225, 0.396548, 0.399772, 0.406762, 0.429366, 0.439276, 0.441255, 0.454381, 0.461537, 0.46803, 0.476145, 0.483915, 0.492914, 0.502553, 0.518417, 0.534375, 0.550796");
-            values ( \
-              "0.0299052, 0.0301082, 0.0305161, 0.0328146, 0.032882, 0.0330447, 0.0333796, 0.0332845, 0.0330983, 0.0328138, 0.0321555, 0.0313054, 0.0307308, 0.028628, 0.0284052, 0.0283468, 0.0285258, 0.0287448, 0.0287779, 0.0286199, 0.0284688, 0.0282191, 0.0279022, 0.0258154, 0.0213135, 0.0189942, 0.0188285, 0.0185503, 0.0186821, 0.0187529, 0.0187207, 0.0185447, 0.0167152, 0.0162496, 0.0152233, 0.0146252, 0.0138324, 0.0116226, 0.0105544, 0.01032, 0.00829097, 0.00735841, 0.00661728, 0.00584558, 0.00521362, 0.00457181, 0.00397428, 0.00316533, 0.0025127, 0.00190333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.186434, 0.212837, 0.227249, 0.232297, 0.242393, 0.248896, 0.250739, 0.260621, 0.266952, 0.27491, 0.286129, 0.298096, 0.315127, 0.323509, 0.336666, 0.349822, 0.377476, 0.391719, 0.394536, 0.400588, 0.405994, 0.420653, 0.421744, 0.43427, 0.44469, 0.45498, 0.465784, 0.475692, 0.479469, 0.487024, 0.498386, 0.521488, 0.531811, 0.547378, 0.588765, 0.600947, 0.625312, 0.674042, 0.74345, 0.827671");
-            values ( \
-              "0.00706131, 0.0399998, 0.046057, 0.047481, 0.0498494, 0.0509333, 0.0537087, 0.0551924, 0.0555379, 0.0551486, 0.0537702, 0.0515695, 0.0478184, 0.0465649, 0.0476166, 0.0468657, 0.0372175, 0.032807, 0.0316512, 0.0281714, 0.0266161, 0.0246252, 0.0246235, 0.0237609, 0.0225993, 0.0211501, 0.0193064, 0.0178316, 0.0167055, 0.0153215, 0.0127425, 0.00922308, 0.00824767, 0.00656001, 0.00377413, 0.00335497, 0.00228032, 0.0012504, 0.000347356, 0.000182588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.187789, 0.205361, 0.217684, 0.234936, 0.248712, 0.253912, 0.264628, 0.275161, 0.292557, 0.306661, 0.321799, 0.343497, 0.35346, 0.36976, 0.384162, 0.423073, 0.463555, 0.473434, 0.475106, 0.485136, 0.498358, 0.512078, 0.540847, 0.547342, 0.577447, 0.608326, 0.617895, 0.652974, 0.697127, 0.72414, 0.778165, 0.862119, 0.94634, 1.03056");
-            values ( \
-              "0.00238947, 0.0511493, 0.0633133, 0.0726299, 0.0771215, 0.0820446, 0.0844921, 0.0849108, 0.0828272, 0.0805901, 0.0777424, 0.0728139, 0.0714568, 0.0730156, 0.0707956, 0.0571106, 0.0383872, 0.0366408, 0.0367709, 0.0364731, 0.0353465, 0.0332558, 0.0271227, 0.0251124, 0.018074, 0.0129111, 0.0117103, 0.00803791, 0.00496693, 0.0037703, 0.00197798, 0.000781767, 0.000230707, 0.000141596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.187853, 0.210435, 0.211762, 0.21631, 0.226169, 0.235233, 0.24876, 0.250344, 0.256517, 0.262542, 0.270372, 0.278631, 0.286801, 0.325682, 0.370477, 0.393764, 0.409953, 0.428986, 0.448213, 0.455082, 0.474345, 0.485963, 0.493027, 0.506479, 0.521857, 0.534593, 0.546444, 0.574919, 0.593172, 0.611993, 0.649999, 0.671821, 0.710919, 0.739847, 0.760279, 0.772975, 0.789903, 0.820855, 0.864037, 0.882042, 0.918051, 0.990069, 1.07429, 1.15851, 1.32695");
-            values ( \
-              "0.00337474, 0.0755316, 0.0777764, 0.0832001, 0.0923936, 0.0987713, 0.105715, 0.110629, 0.114, 0.116578, 0.11799, 0.117853, 0.117007, 0.112219, 0.105561, 0.101023, 0.0996086, 0.100509, 0.096444, 0.094422, 0.0895298, 0.0858826, 0.0821885, 0.0767239, 0.069507, 0.064955, 0.0633551, 0.0567564, 0.0509511, 0.0472844, 0.0394409, 0.0340898, 0.0258765, 0.0209126, 0.0178479, 0.0162069, 0.0142783, 0.0111775, 0.00798791, 0.00688584, 0.00520575, 0.00284818, 0.00144378, 0.000682859, 0.000153795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.198226, 0.217977, 0.230778, 0.248795, 0.253579, 0.259299, 0.270315, 0.279747, 0.293379, 0.387732, 0.454307, 0.479398, 0.509434, 0.516054, 0.529293, 0.550371, 0.579541, 0.592891, 0.634072, 0.648677, 0.687488, 0.706396, 0.834309, 0.902798, 0.97953, 1.02576, 1.08286, 1.12002, 1.19052, 1.22302, 1.29576, 1.37889, 1.46311, 1.54734, 1.71578, 1.88422");
-            values ( \
-              "0.0664939, 0.104395, 0.117711, 0.130942, 0.138944, 0.143163, 0.147404, 0.147923, 0.147083, 0.138559, 0.131179, 0.127747, 0.125648, 0.125748, 0.124902, 0.122448, 0.117852, 0.115356, 0.103043, 0.0976897, 0.091935, 0.0882025, 0.0553427, 0.0425962, 0.0306172, 0.0245687, 0.0186247, 0.0154203, 0.0107749, 0.00907361, 0.0062584, 0.00398839, 0.0025856, 0.00160912, 0.000639591, 0.000246436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.210988, 0.252566, 0.278583, 0.503371, 0.777282, 0.862623, 0.940912, 1.28906, 1.47261, 1.62122, 1.81357, 1.93575, 2.09245, 2.38359");
-            values ( \
-              "0.158081, 0.158316, 0.170816, 0.158285, 0.139335, 0.124971, 0.117828, 0.0560264, 0.0350857, 0.0230168, 0.0129194, 0.00879447, 0.00536089, 0.00230036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.350748, 0.383531, 0.424855, 0.437862, 0.465296, 0.490957, 0.514692, 0.530104, 0.541966, 0.550101, 0.554628, 0.569267, 0.576382, 0.581669, 0.584957, 0.587643, 0.600832, 0.610997, 0.652652, 0.66595, 0.682089, 0.701484, 0.730479, 0.762488, 0.781619, 0.819882, 0.878694, 0.95331");
-            values ( \
-              "0.00661153, 0.0133956, 0.0205565, 0.0224218, 0.0253639, 0.0257953, 0.0246478, 0.0268544, 0.0259496, 0.0245201, 0.0244519, 0.021402, 0.0194253, 0.0192157, 0.0193601, 0.0191582, 0.0170558, 0.0149524, 0.0104469, 0.00824882, 0.00637492, 0.00481712, 0.00317544, 0.00196819, 0.0014677, 0.000796082, 0.000290682, 8.02149e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.350623, 0.369519, 0.382024, 0.433488, 0.447459, 0.477633, 0.505982, 0.532721, 0.545406, 0.549972, 0.555002, 0.563618, 0.574026, 0.599565, 0.616303, 0.632294, 0.650725, 0.661561, 0.680556, 0.721773, 0.743552, 0.77705, 0.812647, 0.873445, 0.945019, 1.02907");
-            values ( \
-              "0.00912335, 0.0171648, 0.0211518, 0.0357691, 0.0388144, 0.0434654, 0.0442089, 0.0426979, 0.0456837, 0.0458444, 0.0465072, 0.0452779, 0.0424979, 0.034397, 0.0272228, 0.0249855, 0.0235763, 0.0220256, 0.0189834, 0.0108308, 0.00810096, 0.00522429, 0.00323925, 0.00137549, 0.000517805, 9.4482e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.350606, 0.374555, 0.396055, 0.422962, 0.464263, 0.499525, 0.532926, 0.549978, 0.573687, 0.583868, 0.59855, 0.634153, 0.674312, 0.684383, 0.704564, 0.718054, 0.744058, 0.785556, 0.823602, 0.84843, 0.877546, 0.923325, 0.953727, 1.01453, 1.09875, 1.18297");
-            values ( \
-              "0.0114191, 0.027888, 0.0379221, 0.0495307, 0.063599, 0.0697694, 0.0710947, 0.0701697, 0.0729284, 0.0735862, 0.0698954, 0.0576963, 0.0389086, 0.0369626, 0.0361558, 0.0343766, 0.0292568, 0.0191103, 0.0125884, 0.00965585, 0.00711805, 0.00427498, 0.00311243, 0.00150072, 0.000593045, 0.000173099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.367338, 0.399786, 0.441659, 0.470121, 0.492548, 0.537161, 0.550009, 0.558587, 0.568862, 0.579151, 0.618455, 0.630735, 0.646294, 0.695355, 0.747397, 0.772527, 0.805768, 0.9236, 0.952575, 1.00234, 1.03334, 1.09462, 1.13072, 1.20293, 1.28715, 1.37137, 1.53981");
-            values ( \
-              "0.0523426, 0.0531371, 0.0772331, 0.0894946, 0.096053, 0.103561, 0.1046, 0.107004, 0.107215, 0.106232, 0.0995955, 0.100541, 0.0997364, 0.0870263, 0.0649811, 0.0603611, 0.0510079, 0.0258907, 0.02086, 0.0142697, 0.0112133, 0.00691205, 0.00517784, 0.0028663, 0.00141599, 0.000705703, 0.000177654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.367381, 0.394951, 0.429078, 0.471465, 0.513843, 0.54999, 0.557687, 0.567866, 0.576663, 0.594257, 0.637138, 0.694423, 0.733641, 0.753211, 0.794421, 0.808831, 0.843812, 0.859376, 0.87481, 0.895738, 0.914402, 0.953528, 1.04455, 1.11343, 1.15805, 1.24374, 1.31581, 1.37879, 1.42753, 1.47682, 1.54255, 1.62677, 1.79521, 1.96366, 2.04788");
-            values ( \
-              "0.0609603, 0.0614322, 0.0867941, 0.11219, 0.12734, 0.134952, 0.13854, 0.140199, 0.140518, 0.13948, 0.134818, 0.127236, 0.125408, 0.123686, 0.117483, 0.114437, 0.104037, 0.0983739, 0.0952834, 0.0925859, 0.0892652, 0.0797636, 0.0558098, 0.0429104, 0.0355717, 0.0238894, 0.016733, 0.0122499, 0.00942522, 0.00730227, 0.0052463, 0.00328247, 0.00131186, 0.000511242, 0.000388826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.366967, 0.458926, 0.517539, 0.578256, 0.593594, 0.715854, 0.87172, 0.914446, 0.994034, 1.088, 1.10658, 1.15001, 1.48543, 1.66388, 1.84598, 1.90992, 2.06328, 2.28245, 2.53511, 2.59152");
-            values ( \
-              "0.0536939, 0.121459, 0.149027, 0.165743, 0.165545, 0.158218, 0.14657, 0.145576, 0.138941, 0.122891, 0.122661, 0.118367, 0.0585804, 0.037404, 0.0222795, 0.0183369, 0.0114493, 0.00581388, 0.00255314, 0.00219241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.686124, 0.74111, 0.800833, 0.876999, 0.91396, 0.932508, 0.94915, 0.964307, 0.978521, 0.981539, 0.999643, 1.01256, 1.02073, 1.03764, 1.04929, 1.08984, 1.11215, 1.14174, 1.19088, 1.21567, 1.2319, 1.29237, 1.33409, 1.36418");
-            values ( \
-              "0.00523375, 0.00667223, 0.0115931, 0.017018, 0.0183656, 0.0184697, 0.019307, 0.0211177, 0.0196943, 0.0197453, 0.0183104, 0.0167004, 0.0171867, 0.0163423, 0.0147422, 0.0118589, 0.00865283, 0.00602935, 0.00317208, 0.00223619, 0.00206944, 0.000826424, 0.000420376, 0.000296603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.686277, 0.729529, 0.74888, 0.787583, 0.833356, 0.875326, 0.894563, 0.937373, 0.953162, 0.972082, 0.989031, 1.0069, 1.02427, 1.02952, 1.03424, 1.04492, 1.05062, 1.05808, 1.07664, 1.08497, 1.09357, 1.10447, 1.12581, 1.1549, 1.1716, 1.18541, 1.21587, 1.22199, 1.25356, 1.2738, 1.29671, 1.32726, 1.38837, 1.46748, 1.5517");
-            values ( \
-              "0.00941486, 0.00965658, 0.0118745, 0.0171914, 0.0229212, 0.0278351, 0.0298759, 0.0326615, 0.0326653, 0.0338353, 0.0370646, 0.0345196, 0.0330379, 0.0321393, 0.0317858, 0.0298928, 0.0276505, 0.0258591, 0.0246161, 0.0244836, 0.0241632, 0.0232622, 0.020615, 0.0147459, 0.0121681, 0.0102911, 0.00720167, 0.00714323, 0.00485043, 0.00369458, 0.00271011, 0.00178173, 0.000730886, 0.000221976, 6.08465e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.686291, 0.731907, 0.851598, 0.918208, 0.937922, 0.964208, 0.980036, 0.996325, 1.01224, 1.03117, 1.07404, 1.08495, 1.12369, 1.1346, 1.15354, 1.16679, 1.18086, 1.26538, 1.29152, 1.32317, 1.37287, 1.43945, 1.51046, 1.54599");
-            values ( \
-              "0.0136317, 0.0151076, 0.0378522, 0.0492804, 0.0519791, 0.0540778, 0.0546275, 0.054718, 0.0564954, 0.06006, 0.0544959, 0.0523668, 0.0395884, 0.037576, 0.0374912, 0.0365111, 0.0344607, 0.0165784, 0.0125983, 0.00901226, 0.00525737, 0.00252499, 0.00111095, 0.000811974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.686592, 0.732511, 0.914278, 0.975145, 1.02951, 1.05677, 1.08187, 1.10535, 1.15577, 1.19955, 1.23381, 1.39331, 1.50033, 1.56359, 1.67702, 1.67719");
-            values ( \
-              "0.0174227, 0.0213683, 0.0671322, 0.079212, 0.0843369, 0.0847984, 0.0866746, 0.0895826, 0.0828053, 0.0678454, 0.0629528, 0.0256975, 0.0113802, 0.00690319, 0.00273557, 0.00273344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.712155, 0.790037, 0.927338, 0.976946, 1.05524, 1.12741, 1.16353, 1.19785, 1.22677, 1.24429, 1.27141, 1.31385, 1.3297, 1.36545, 1.38309, 1.42277, 1.51552, 1.58436, 1.62515, 1.66367, 1.71042, 1.74577, 1.7964, 1.86392, 1.90254, 1.94031, 1.99067, 2.07489, 2.15912, 2.24334, 2.32756, 2.496");
-            values ( \
-              "0.0361471, 0.0439042, 0.0877718, 0.100882, 0.114534, 0.119086, 0.119378, 0.121287, 0.121897, 0.120709, 0.116226, 0.103859, 0.0981819, 0.0928701, 0.0896007, 0.0798951, 0.0556193, 0.0427394, 0.0360215, 0.030383, 0.0242748, 0.0204624, 0.0158966, 0.0112746, 0.00921596, 0.00757528, 0.00585365, 0.00371449, 0.0024, 0.00150048, 0.000986487, 0.000412844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.71241, 0.783719, 0.91757, 1.00707, 1.07561, 1.15983, 1.23542, 1.25769, 1.3529, 1.38673, 1.46811, 1.55978, 1.5785, 1.62153, 1.95579, 2.13468, 2.31708, 2.38117, 2.53446, 2.75347, 3.00486");
-            values ( \
-              "0.0418539, 0.0477787, 0.0975717, 0.125184, 0.138495, 0.149084, 0.153991, 0.153615, 0.146322, 0.145351, 0.138546, 0.122779, 0.122652, 0.118325, 0.058683, 0.0374181, 0.0222666, 0.0183331, 0.0114526, 0.00580574, 0.00297112" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.012524, 0.0125389, 0.0125561, 0.0125723, 0.0125849, 0.0125935", \
-            "0.0167146, 0.0167294, 0.0167486, 0.016769, 0.0167865, 0.0167991", \
-            "0.0198075, 0.0198183, 0.0198345, 0.0198546, 0.0198745, 0.0198904", \
-            "0.0215513, 0.0215568, 0.0215658, 0.0215789, 0.0215943, 0.0216087", \
-            "0.0224194, 0.0224093, 0.0223973, 0.0223862, 0.0223795, 0.0223777", \
-            "0.0229154, 0.0228936, 0.022862, 0.0228194, 0.0227744, 0.022737" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0206298, 0.0206446, 0.0206649, 0.020687, 0.0207062, 0.0207203", \
-            "0.023032, 0.0230311, 0.0230357, 0.0230465, 0.0230601, 0.023072", \
-            "0.0218833, 0.021835, 0.0217745, 0.0217026, 0.0216497, 0.0216108", \
-            "0.0206141, 0.0205182, 0.0203911, 0.020249, 0.0201277, 0.0200169", \
-            "0.0206195, 0.0204118, 0.0201443, 0.0198666, 0.0196137, 0.0194158", \
-            "0.0201769, 0.0202206, 0.0202847, 0.0202809, 0.019874, 0.0194742" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0293592, 0.0322041, 0.0338752, 0.0388024, 0.0468854, 0.0530013, 0.054662, 0.0579833, 0.064626, 0.0674602, 0.0731288, 0.0804888, 0.0932817, 0.105938, 0.118575, 0.119945, 0.13539, 0.143049, 0.168093, 0.17595, 0.183097, 0.204922, 0.206125, 0.208529, 0.21332, 0.2185, 0.225277, 0.231209, 0.237068, 0.248114, 0.261346, 0.2771, 0.288052, 0.322928, 0.435033, 0.542097");
-            values ( \
-              "-0.0359333, -0.0563762, -0.0548154, -0.052511, -0.0516522, -0.0517046, -0.0514077, -0.0514918, -0.0508421, -0.0508471, -0.0502261, -0.0503258, -0.0521201, -0.0515787, -0.0428009, -0.0431683, -0.0314924, -0.0263713, -0.0120278, -0.010293, -0.00988994, -0.00750003, -0.00699557, -0.00701398, -0.00603379, -0.00556208, -0.0044662, -0.00390798, -0.0028931, -0.00144062, -0.000818822, -0.000727278, -0.000824273, -0.000808888, -0.000234962, -2.41408e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0293502, 0.033072, 0.0359386, 0.0397888, 0.0496599, 0.0572135, 0.058933, 0.062372, 0.085058, 0.0957889, 0.103472, 0.118161, 0.13223, 0.134308, 0.154873, 0.171832, 0.196675, 0.211755, 0.218871, 0.225603, 0.233299, 0.233958, 0.235277, 0.237914, 0.242308, 0.247433, 0.253674, 0.259942, 0.267693, 0.275888, 0.284271, 0.295713, 0.303664, 0.314308, 0.325762, 0.341804, 0.362579, 0.394804, 0.4363, 0.484135, 0.540676, 0.599313");
-            values ( \
-              "-0.0416837, -0.0919032, -0.0887874, -0.0866495, -0.0852354, -0.0851806, -0.0847883, -0.0848041, -0.0822951, -0.083264, -0.0858025, -0.0834202, -0.0718293, -0.0709431, -0.0495305, -0.0352566, -0.0180751, -0.0125158, -0.0124787, -0.0108469, -0.0106382, -0.00989021, -0.0102164, -0.0093778, -0.00916603, -0.00787754, -0.00727404, -0.00589889, -0.00526531, -0.00364477, -0.00321681, -0.00216526, -0.00238141, -0.00181464, -0.00209679, -0.0015304, -0.00169452, -0.000921425, -0.000903857, -0.000182019, -0.00036881, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0293359, 0.0343989, 0.0375595, 0.0437864, 0.0556167, 0.0648898, 0.0667042, 0.070333, 0.100029, 0.111195, 0.122394, 0.140978, 0.148836, 0.161244, 0.187092, 0.202717, 0.219751, 0.23363, 0.257314, 0.265873, 0.276954, 0.288844, 0.297518, 0.323482, 0.335123, 0.365763, 0.396625, 0.44539, 0.534425, 0.6517");
-            values ( \
-              "-0.0487969, -0.137175, -0.133927, -0.130986, -0.129779, -0.129469, -0.129021, -0.128927, -0.124808, -0.12547, -0.12845, -0.124701, -0.118254, -0.106484, -0.0755635, -0.0591056, -0.0447515, -0.0348834, -0.0199983, -0.0161941, -0.0132747, -0.0111925, -0.0102569, -0.00830018, -0.00710155, -0.00505725, -0.0038197, -0.00233017, -0.000768088, -0.000133153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0313381, 0.0363895, 0.0436706, 0.0559986, 0.0659154, 0.0808484, 0.102629, 0.106039, 0.112859, 0.1265, 0.131775, 0.142324, 0.157593, 0.183618, 0.192715, 0.201773, 0.254719, 0.267587, 0.292184, 0.314068, 0.342493, 0.360664, 0.3759, 0.390621, 0.445318, 0.506517, 0.562276, 0.599866, 0.652383, 0.682989");
-            values ( \
-              "-0.167093, -0.185807, -0.181428, -0.179778, -0.179642, -0.178057, -0.175575, -0.174571, -0.173951, -0.171905, -0.172106, -0.17191, -0.174373, -0.167768, -0.161469, -0.153906, -0.0960458, -0.083718, -0.0630013, -0.0475832, -0.0301077, -0.0219703, -0.0177305, -0.0155363, -0.0108501, -0.00687193, -0.00416703, -0.00287912, -0.0015938, -0.0011957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0322703, 0.033878, 0.0436069, 0.0506758, 0.0693154, 0.106906, 0.177326, 0.197482, 0.223432, 0.262815, 0.27516, 0.288329, 0.363885, 0.444163, 0.472322, 0.494814, 0.545925, 0.579176, 0.604075, 0.633847, 0.687266, 0.76645, 0.819713, 0.936987, 0.94119");
-            values ( \
-              "-0.231785, -0.23273, -0.227633, -0.226512, -0.225871, -0.223242, -0.214998, -0.214064, -0.214345, -0.205368, -0.198963, -0.190167, -0.126404, -0.0672993, -0.0535846, -0.0457061, -0.0312642, -0.0233404, -0.0190477, -0.0154761, -0.0111935, -0.00690162, -0.00483286, -0.00204343, -0.00200678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0325838, 0.0338072, 0.123419, 0.281854, 0.347, 0.379614, 0.415636, 0.462514, 0.666795, 0.798242, 0.933435, 1.03999, 1.19445, 1.33752");
-            values ( \
-              "-0.261581, -0.264124, -0.259411, -0.246965, -0.243728, -0.240322, -0.230962, -0.210796, -0.0978889, -0.056714, -0.0284995, -0.0164103, -0.00840768, -0.00513615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0463732, 0.0502184, 0.0509506, 0.0512771, 0.0516994, 0.0529055, 0.0538885, 0.0558022, 0.0577739, 0.0636487, 0.067936, 0.0723875, 0.0787166, 0.0851877, 0.0980443, 0.0981928, 0.102646, 0.111006, 0.117307, 0.123555, 0.134334, 0.137554, 0.153284, 0.160132, 0.185436, 0.189391, 0.195611, 0.202694, 0.210657, 0.216425, 0.2319, 0.247051, 0.252859, 0.259109, 0.271044, 0.284597, 0.292203, 0.30211, 0.314311, 0.358714, 0.39374, 0.478406, 0.591089");
-            values ( \
-              "-0.0230087, -0.0452682, -0.0459023, -0.0550677, -0.0549647, -0.0560764, -0.0561858, -0.0557456, -0.0543685, -0.0523589, -0.0520391, -0.0515439, -0.0513451, -0.0506397, -0.0501823, -0.0501137, -0.0506996, -0.0524984, -0.0527658, -0.0509023, -0.0445404, -0.043091, -0.0312875, -0.0266527, -0.0122565, -0.0110325, -0.010144, -0.00970814, -0.00875137, -0.00822067, -0.00599947, -0.00400025, -0.00334729, -0.00224219, -0.00107581, -0.000742167, -0.000795216, -0.000732165, -0.000812558, -0.000731792, -0.000514414, -0.000170348, -1.72492e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0464136, 0.0512128, 0.0516378, 0.0524877, 0.0533286, 0.0543387, 0.0553315, 0.0573172, 0.0597332, 0.0622762, 0.0666385, 0.0716197, 0.0767403, 0.0840232, 0.0914656, 0.106255, 0.106823, 0.116775, 0.121272, 0.128579, 0.135857, 0.14934, 0.152034, 0.171934, 0.191121, 0.21782, 0.224976, 0.231656, 0.238741, 0.2469, 0.251375, 0.258378, 0.264139, 0.269924, 0.301456, 0.321102, 0.445307, 0.482512");
-            values ( \
-              "-0.00623015, -0.0973169, -0.0773589, -0.100458, -0.081234, -0.102021, -0.0817188, -0.100326, -0.0786937, -0.0971138, -0.0762432, -0.0952114, -0.0752731, -0.0941052, -0.0737995, -0.0919859, -0.0822512, -0.084194, -0.0857328, -0.08576, -0.0831999, -0.0723088, -0.0711755, -0.0503521, -0.0338645, -0.0164818, -0.0137878, -0.0124934, -0.0120076, -0.0105958, -0.0104191, -0.00901891, -0.00848571, -0.00718333, -0.00297682, -0.00212099, -0.000776495, -0.000616247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0463492, 0.0524911, 0.0551381, 0.0618493, 0.0664357, 0.0718965, 0.0845117, 0.112109, 0.121576, 0.140186, 0.1588, 0.177403, 0.179194, 0.200118, 0.214822, 0.232292, 0.244068, 0.270605, 0.280202, 0.293041, 0.300574, 0.309853, 0.358415, 0.385888, 0.409067, 0.454571, 0.532909, 0.650183");
-            values ( \
-              "-0.0201768, -0.133089, -0.138217, -0.133557, -0.131316, -0.130433, -0.129282, -0.125471, -0.125027, -0.127656, -0.125092, -0.107068, -0.1067, -0.081187, -0.0648657, -0.0489385, -0.0400759, -0.0228319, -0.0174364, -0.0136603, -0.0121187, -0.010787, -0.00659026, -0.00492611, -0.00402806, -0.00258448, -0.00102415, -0.000205912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0464002, 0.0545478, 0.0560723, 0.0658404, 0.0739279, 0.108535, 0.149706, 0.161879, 0.175514, 0.201219, 0.219897, 0.272632, 0.30028, 0.316512, 0.348086, 0.364682, 0.387901, 0.403597, 0.442764, 0.477657, 0.550258, 0.624269, 0.677602, 0.794876");
-            values ( \
-              "-0.0321964, -0.186577, -0.188158, -0.182402, -0.180461, -0.177117, -0.171548, -0.172321, -0.174154, -0.168112, -0.153702, -0.0960658, -0.0708211, -0.0582225, -0.037317, -0.0279457, -0.0189474, -0.0160385, -0.0124551, -0.00974844, -0.00554278, -0.00268613, -0.00147623, -0.000368601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0498461, 0.0529124, 0.0557435, 0.0575767, 0.0645291, 0.074349, 0.124737, 0.195953, 0.213736, 0.241276, 0.248934, 0.26425, 0.281289, 0.301599, 0.325409, 0.390598, 0.446934, 0.463371, 0.472917, 0.489779, 0.511542, 0.533322, 0.579844, 0.603198, 0.627374, 0.656086, 0.706165, 0.776842, 0.828184, 0.886822, 0.945459, 1.0041, 1.12137");
-            values ( \
-              "-0.179364, -0.220207, -0.232318, -0.233299, -0.229545, -0.226727, -0.222998, -0.214928, -0.214098, -0.214423, -0.213911, -0.210953, -0.204409, -0.193417, -0.174397, -0.119183, -0.0775684, -0.066461, -0.0612316, -0.0535934, -0.0459361, -0.0394298, -0.0271528, -0.0221021, -0.0183059, -0.0150394, -0.0111277, -0.00724175, -0.00515681, -0.00341527, -0.0021947, -0.00140128, -0.000555666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0502549, 0.0538153, 0.0557093, 0.0576774, 0.0601915, 0.0727078, 0.0808689, 0.173066, 0.292335, 0.364759, 0.415114, 0.431818, 0.465227, 0.505931, 0.599481, 0.639947, 0.658316, 0.683422, 0.752247, 0.818496, 0.877133, 0.936496, 0.985997, 1.0428, 1.09991, 1.17582, 1.29309, 1.41037, 1.64492, 1.82083");
-            values ( \
-              "-0.205685, -0.255929, -0.264644, -0.267113, -0.267165, -0.262975, -0.262275, -0.257316, -0.247494, -0.243999, -0.236311, -0.231566, -0.218401, -0.197226, -0.144284, -0.119047, -0.109015, -0.0984221, -0.0747695, -0.0561947, -0.042372, -0.0309092, -0.0235469, -0.0175478, -0.0135113, -0.00972272, -0.00589478, -0.00350552, -0.00114062, -0.00061269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0775876, 0.0828083, 0.0887084, 0.0969403, 0.103869, 0.111126, 0.112599, 0.114002, 0.116089, 0.117734, 0.123728, 0.129242, 0.135917, 0.138209, 0.141267, 0.149479, 0.153675, 0.162037, 0.172176, 0.176018, 0.197567, 0.22552, 0.226714, 0.229102, 0.241314, 0.249425, 0.255062, 0.262285, 0.270348, 0.278605, 0.285327, 0.291103, 0.297392, 0.302477, 0.30924, 0.315549, 0.32277, 0.330312, 0.340024, 0.352063, 0.370275, 0.395559, 0.430112, 0.468439, 0.50895");
-            values ( \
-              "-0.0196695, -0.0305836, -0.0363466, -0.0430378, -0.0464602, -0.0487667, -0.0488433, -0.0530439, -0.0530911, -0.0528469, -0.0513046, -0.0505149, -0.0502693, -0.0504252, -0.0507721, -0.0524743, -0.0528823, -0.0510069, -0.0449171, -0.0431351, -0.0273029, -0.0116313, -0.0115109, -0.0107615, -0.00951411, -0.00889299, -0.00804082, -0.00738695, -0.00585248, -0.00513838, -0.00388034, -0.00352114, -0.00213574, -0.00187871, -0.000960255, -0.00108364, -0.000615159, -0.000922054, -0.000602745, -0.000936516, -0.000628542, -0.00086308, -0.000399667, -0.000509341, -9.91387e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0775924, 0.0841408, 0.0906659, 0.0988976, 0.10519, 0.110687, 0.112599, 0.114094, 0.115481, 0.117201, 0.124344, 0.129973, 0.136671, 0.144935, 0.159758, 0.174441, 0.189129, 0.190608, 0.211162, 0.228139, 0.258075, 0.264981, 0.271663, 0.278943, 0.287462, 0.290047, 0.295217, 0.300845, 0.306043, 0.312449, 0.318826, 0.327019, 0.334664, 0.355793, 0.360482, 0.369861, 0.380767, 0.397344, 0.417322, 0.447898, 0.488149, 0.534456, 0.588838, 0.647475");
-            values ( \
-              "-0.0237577, -0.0521554, -0.0623982, -0.0724791, -0.077196, -0.0800458, -0.0805657, -0.0866651, -0.0873837, -0.0873825, -0.0849962, -0.0838013, -0.0828933, -0.0825089, -0.0851895, -0.0838168, -0.0709023, -0.0709543, -0.0497036, -0.0348603, -0.0155102, -0.0135659, -0.0122673, -0.011861, -0.0102807, -0.010464, -0.00922004, -0.00884217, -0.00751758, -0.00688337, -0.00557952, -0.00477192, -0.00338958, -0.00209099, -0.00226823, -0.00188947, -0.00200575, -0.00161932, -0.00161495, -0.00105177, -0.000849288, -0.000312984, -0.000294708, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0776377, 0.0862274, 0.0939269, 0.103627, 0.112625, 0.113894, 0.117124, 0.128582, 0.154053, 0.16029, 0.169687, 0.178905, 0.19734, 0.215467, 0.246882, 0.265586, 0.285178, 0.310676, 0.319878, 0.332209, 0.345241, 0.36271, 0.376455, 0.41136, 0.445102, 0.485166, 0.555927, 0.60227");
-            values ( \
-              "-0.0262052, -0.0834411, -0.0999219, -0.115696, -0.122703, -0.130452, -0.132921, -0.129212, -0.125209, -0.12503, -0.125945, -0.128386, -0.124774, -0.108954, -0.0718672, -0.0533266, -0.038304, -0.0219485, -0.0170664, -0.0135863, -0.0111854, -0.00946415, -0.00863228, -0.00557498, -0.00410467, -0.00279924, -0.00124186, -0.000717707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.081745, 0.0891332, 0.0988994, 0.10661, 0.112521, 0.112676, 0.114843, 0.116332, 0.118817, 0.122625, 0.132369, 0.137312, 0.187856, 0.200521, 0.214072, 0.239777, 0.249599, 0.258467, 0.268509, 0.31119, 0.324049, 0.338837, 0.355068, 0.38652, 0.40233, 0.411534, 0.424574, 0.431331, 0.444845, 0.485024, 0.524411, 0.562632, 0.617084, 0.653449, 0.703904, 0.762541, 0.821178, 0.879816");
-            values ( \
-              "-0.118386, -0.122869, -0.149144, -0.163086, -0.168639, -0.175007, -0.181725, -0.183013, -0.183268, -0.18153, -0.17901, -0.178528, -0.171407, -0.172328, -0.174157, -0.168109, -0.161174, -0.153692, -0.143381, -0.0960673, -0.0837294, -0.0708253, -0.0582311, -0.037419, -0.0284107, -0.0242764, -0.0194684, -0.0179857, -0.015641, -0.0121204, -0.00918672, -0.00687044, -0.00422137, -0.00298706, -0.00167634, -0.000897968, -0.000406384, -0.000247856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0872912, 0.112168, 0.114916, 0.118072, 0.130616, 0.136546, 0.163701, 0.192322, 0.221018, 0.240868, 0.28003, 0.281573, 0.286865, 0.29392, 0.306833, 0.320269, 0.340634, 0.364391, 0.412038, 0.428736, 0.484523, 0.502222, 0.511871, 0.528076, 0.549568, 0.57166, 0.607101, 0.619114, 0.643139, 0.667303, 0.695803, 0.730103, 0.775554, 0.814781, 0.865323, 0.923961, 0.982598, 1.04124, 1.15851, 1.21715");
-            values ( \
-              "-0.211352, -0.211368, -0.226008, -0.229435, -0.226151, -0.225409, -0.223044, -0.219825, -0.216245, -0.214498, -0.213836, -0.214107, -0.213903, -0.213002, -0.209745, -0.204455, -0.193276, -0.174625, -0.133507, -0.119924, -0.0786217, -0.0665258, -0.0612539, -0.0538397, -0.0462404, -0.0395768, -0.0300249, -0.0270965, -0.0218952, -0.0182064, -0.0149482, -0.0121675, -0.00929399, -0.00726675, -0.00524588, -0.00343807, -0.00224953, -0.00139817, -0.000540178, -0.000369421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.087124, 0.109511, 0.119882, 0.131851, 0.140083, 0.2365, 0.333331, 0.403638, 0.436357, 0.472445, 0.497143, 0.544702, 0.638351, 0.688026, 0.737407, 0.791415, 0.870802, 0.935918, 1.01646, 1.07155, 1.12252, 1.22734, 1.28597, 1.40325, 1.52052, 1.81371");
-            values ( \
-              "-0.237087, -0.239462, -0.265018, -0.26222, -0.261343, -0.255414, -0.247256, -0.244041, -0.240062, -0.230962, -0.221422, -0.197107, -0.144168, -0.113761, -0.0926648, -0.074736, -0.0527453, -0.0382559, -0.0245976, -0.0184877, -0.0146052, -0.00928827, -0.00726879, -0.00439735, -0.00260843, -0.000655125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.13415, 0.14703, 0.153623, 0.156963, 0.163645, 0.169731, 0.180559, 0.189331, 0.205701, 0.220591, 0.234356, 0.247527, 0.24896, 0.251828, 0.258255, 0.26756, 0.276847, 0.284384, 0.309606, 0.317221, 0.324347, 0.345866, 0.347417, 0.35052, 0.355857, 0.362282, 0.368816, 0.374466, 0.380924, 0.386393, 0.391341, 0.400019, 0.403382, 0.410108, 0.418048, 0.428709, 0.44333, 0.462833, 0.495265, 0.53029, 0.571513, 0.618059, 0.675972, 0.734609");
-            values ( \
-              "-0.00257638, -0.0177917, -0.0204323, -0.0221085, -0.0259528, -0.0290268, -0.0340689, -0.0375209, -0.0425782, -0.0454731, -0.0497831, -0.0499281, -0.0490721, -0.0493846, -0.0453425, -0.0385768, -0.0314247, -0.0263928, -0.0120365, -0.0103869, -0.00990846, -0.00757135, -0.00701805, -0.00695163, -0.0058452, -0.00527567, -0.00415955, -0.00366767, -0.00244778, -0.00190539, -0.00125641, -0.00102229, -0.000782811, -0.000870881, -0.000703356, -0.000852544, -0.000729416, -0.000838096, -0.000586434, -0.000533209, -0.000226228, -0.000213433, -5.09084e-06, -8.77575e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.134129, 0.149075, 0.155397, 0.168317, 0.173726, 0.184542, 0.195094, 0.213099, 0.229636, 0.24895, 0.252241, 0.255974, 0.259812, 0.274717, 0.301614, 0.308528, 0.320648, 0.329168, 0.336812, 0.344929, 0.352008, 0.375536, 0.396274, 0.417902, 0.426158, 0.438208, 0.445314, 0.467007, 0.482943, 0.574924, 0.675466, 0.734103");
-            values ( \
-              "-0.00254747, -0.0307028, -0.034847, -0.0463011, -0.0506457, -0.0584635, -0.065054, -0.0728703, -0.0769477, -0.0840557, -0.0864555, -0.0855992, -0.0833659, -0.071914, -0.0448989, -0.038894, -0.0298997, -0.0246422, -0.0193662, -0.0149474, -0.0131104, -0.0102286, -0.00689703, -0.00381311, -0.00295386, -0.00235359, -0.00214059, -0.00186476, -0.0017588, -0.000703213, -0.000160393, -0.000112013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.145697, 0.162891, 0.180378, 0.191382, 0.204952, 0.226031, 0.245805, 0.24885, 0.25181, 0.256511, 0.26445, 0.271555, 0.2829, 0.303301, 0.328198, 0.353073, 0.371011, 0.401893, 0.415966, 0.424633, 0.438804, 0.487542, 0.54297, 0.590436, 0.676366");
-            values ( \
-              "-0.0620679, -0.0620798, -0.0838511, -0.0951531, -0.10632, -0.116774, -0.120785, -0.122035, -0.126031, -0.127734, -0.128637, -0.128711, -0.124186, -0.106726, -0.0765334, -0.0516838, -0.0381151, -0.0186326, -0.0140461, -0.0121962, -0.0102849, -0.00621108, -0.00364747, -0.00224221, -0.00078737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.149601, 0.171032, 0.181305, 0.191735, 0.199264, 0.206793, 0.222099, 0.228889, 0.232284, 0.235678, 0.2487, 0.249257, 0.250371, 0.25172, 0.252472, 0.253974, 0.256228, 0.262156, 0.267079, 0.274316, 0.283419, 0.293294, 0.300135, 0.306561, 0.312987, 0.319413, 0.326156, 0.330604, 0.335687, 0.344543, 0.354572, 0.387607, 0.395644, 0.41011, 0.424298, 0.432277, 0.440725, 0.44961, 0.465704, 0.481058, 0.488388, 0.497035, 0.503907, 0.511128, 0.51835, 0.528368, 0.538386, 0.578193, 0.60367, 0.626404");
-            values ( \
-              "-0.0940925, -0.0991196, -0.115992, -0.131666, -0.139333, -0.14753, -0.165825, -0.16629, -0.166696, -0.167217, -0.169789, -0.173578, -0.174121, -0.175231, -0.175254, -0.175219, -0.174958, -0.173356, -0.172419, -0.172279, -0.172627, -0.172686, -0.172531, -0.171893, -0.170892, -0.169529, -0.167674, -0.164753, -0.160951, -0.153252, -0.14307, -0.10608, -0.0975146, -0.0835551, -0.0712339, -0.0648041, -0.0586138, -0.0524215, -0.0418695, -0.0323002, -0.0282991, -0.0240613, -0.0211424, -0.0190013, -0.0172996, -0.015999, -0.0148785, -0.0115191, -0.00968728, -0.0082165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.145489, 0.183538, 0.208249, 0.218612, 0.229309, 0.248671, 0.249276, 0.252903, 0.256515, 0.276543, 0.306612, 0.326361, 0.372341, 0.379378, 0.392309, 0.405763, 0.426128, 0.449885, 0.514099, 0.587944, 0.613262, 0.634763, 0.692575, 0.728934, 0.752944, 0.781429, 0.815443, 0.900249, 0.950388, 1.00876, 1.11381");
-            values ( \
-              "-0.0740935, -0.148854, -0.187468, -0.198833, -0.207307, -0.216419, -0.220364, -0.223882, -0.22367, -0.220016, -0.216239, -0.214502, -0.213904, -0.213008, -0.209752, -0.204454, -0.193278, -0.174626, -0.120026, -0.0663924, -0.0539647, -0.0463318, -0.030027, -0.0218377, -0.0181878, -0.0149351, -0.0121793, -0.007269, -0.00526073, -0.00345672, -0.00162048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.152639, 0.21976, 0.236136, 0.248732, 0.254753, 0.262346, 0.269241, 0.323104, 0.397485, 0.425035, 0.480133, 0.490066, 0.50993, 0.556873, 0.571302, 0.599038, 0.627403, 0.72467, 0.762138, 0.778569, 0.801553, 0.863513, 0.906409, 0.948811, 1.00517, 1.05619, 1.10752, 1.16249, 1.22469, 1.30875, 1.42603, 1.5433, 1.71921, 1.89512");
-            values ( \
-              "-0.128041, -0.230841, -0.245399, -0.252351, -0.259476, -0.260873, -0.259233, -0.255354, -0.249088, -0.24709, -0.244187, -0.2441, -0.241931, -0.231651, -0.226456, -0.214152, -0.19913, -0.144208, -0.120782, -0.111556, -0.101106, -0.0792171, -0.0662003, -0.0548028, -0.0418508, -0.0319388, -0.023947, -0.0181022, -0.0136215, -0.00948953, -0.00578231, -0.00346611, -0.00155245, -0.000674598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.26114, 0.275415, 0.288584, 0.302072, 0.314455, 0.334349, 0.345001, 0.386312, 0.397467, 0.408119, 0.424564, 0.437494, 0.441569, 0.462339, 0.482101, 0.49012, 0.499036, 0.502593, 0.516079, 0.522898, 0.530301, 0.534566, 0.541877, 0.548262, 0.550198, 0.554071, 0.563231, 0.568637, 0.58356, 0.589862, 0.597979, 0.608854, 0.623866, 0.645577, 0.678112, 0.713663, 0.755107, 0.802359, 0.860996, 0.919633");
-            values ( \
-              "-0.00908915, -0.0094465, -0.0118304, -0.0148999, -0.018037, -0.0225242, -0.0247645, -0.0326999, -0.0355099, -0.0390813, -0.040596, -0.0376118, -0.0368465, -0.026308, -0.0170432, -0.0127489, -0.0111458, -0.0109901, -0.00942115, -0.00875559, -0.00733113, -0.00701954, -0.0055539, -0.00501745, -0.00440193, -0.00474469, -0.00289421, -0.00208581, -0.00119526, -0.00119949, -0.000987838, -0.00106253, -0.000875553, -0.000918509, -0.000606929, -0.000536131, -0.000214615, -0.000212732, -1e-22, -9.33495e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.261025, 0.278448, 0.297534, 0.320352, 0.353341, 0.379415, 0.402, 0.421828, 0.440014, 0.458764, 0.495662, 0.524966, 0.531624, 0.550198, 0.556813, 0.577729, 0.599832, 0.608208, 0.625013, 0.680119, 0.747434, 0.791675, 0.808282");
-            values ( \
-              "-0.0119365, -0.016568, -0.0225133, -0.0318654, -0.0434932, -0.0517413, -0.0581982, -0.0670303, -0.0697324, -0.0624111, -0.0354467, -0.0175429, -0.0149464, -0.0121871, -0.0122639, -0.00797042, -0.00439642, -0.00347993, -0.00258914, -0.00166192, -0.000825033, -0.000509161, -0.000451729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.270838, 0.297121, 0.320833, 0.349794, 0.397018, 0.422691, 0.44564, 0.467092, 0.491498, 0.526744, 0.550176, 0.553879, 0.570268, 0.588232, 0.595832, 0.607403, 0.613858, 0.630621, 0.64298, 0.666523, 0.679418, 0.700893, 0.731666, 0.782837, 0.8228, 0.876823, 0.994098");
-            values ( \
-              "-0.0332737, -0.0343317, -0.0481996, -0.0641917, -0.0866057, -0.0964855, -0.108412, -0.111181, -0.0966398, -0.0628498, -0.0440401, -0.0430642, -0.0312258, -0.0197836, -0.0168962, -0.0137441, -0.0124073, -0.0103679, -0.00945623, -0.00711206, -0.00606034, -0.00490472, -0.00367733, -0.00213678, -0.00136408, -0.000640987, -0.000111519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275163, 0.30643, 0.346884, 0.368643, 0.390902, 0.426275, 0.48639, 0.514269, 0.543759, 0.550124, 0.556415, 0.570314, 0.59406, 0.628344, 0.649552, 0.669617, 0.686275, 0.699343, 0.708206, 0.725931, 0.76366, 0.809306, 0.882006, 0.917463, 0.963311, 1.02195, 1.13922");
-            values ( \
-              "-0.0493131, -0.0540609, -0.0858939, -0.10119, -0.116204, -0.135684, -0.160011, -0.160636, -0.140811, -0.134003, -0.129444, -0.113397, -0.0888498, -0.0597458, -0.0452586, -0.0328481, -0.024533, -0.0197477, -0.0177967, -0.0151473, -0.0118956, -0.00860532, -0.00468276, -0.0033111, -0.00202458, -0.00103961, -0.000262242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.284644, 0.33495, 0.369651, 0.410805, 0.427918, 0.462143, 0.495496, 0.550128, 0.556075, 0.567968, 0.581702, 0.593731, 0.596042, 0.600664, 0.609908, 0.64019, 0.66317, 0.714582, 0.78763, 0.803512, 0.824509, 0.84689, 0.876667, 0.882817, 0.895118, 0.919719, 0.943647, 0.97204, 1.00592, 1.02104, 1.05127, 1.08998, 1.14006, 1.19803, 1.25666, 1.3153, 1.37394, 1.43257, 1.49121");
-            values ( \
-              "-0.07251, -0.0951548, -0.127563, -0.160717, -0.172344, -0.190904, -0.201091, -0.211426, -0.216668, -0.211903, -0.211354, -0.204203, -0.205754, -0.201252, -0.198485, -0.175696, -0.153381, -0.111008, -0.0601392, -0.0550972, -0.0453942, -0.0408459, -0.030631, -0.0311489, -0.0259238, -0.022852, -0.0170214, -0.0160135, -0.0110546, -0.0122085, -0.00818742, -0.0084031, -0.00417299, -0.00459105, -0.00116968, -0.00252661, -1e-22, -0.00165664, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.284947, 0.319989, 0.351071, 0.382228, 0.410756, 0.457159, 0.486093, 0.543962, 0.55019, 0.552056, 0.555789, 0.570362, 0.580298, 0.615923, 0.648204, 0.681104, 0.713744, 0.749741, 0.774374, 0.796648, 0.915626, 0.952812, 0.968951, 0.991759, 1.0196, 1.05296, 1.10034, 1.1416, 1.1969, 1.21316, 1.24568, 1.2975, 1.35161, 1.40106, 1.4155, 1.44438, 1.50213, 1.56077, 1.61941, 1.73668, 1.85396, 1.91259, 2.08851");
-            values ( \
-              "-0.089328, -0.0929843, -0.127012, -0.159018, -0.18514, -0.220043, -0.234048, -0.248457, -0.24879, -0.251325, -0.252434, -0.250678, -0.249794, -0.24713, -0.245371, -0.244025, -0.240054, -0.230965, -0.221452, -0.210778, -0.144196, -0.120951, -0.111858, -0.101404, -0.0909131, -0.079691, -0.0653441, -0.0543505, -0.0416803, -0.0382628, -0.0321915, -0.0240861, -0.0182595, -0.014517, -0.0136254, -0.0120158, -0.00938695, -0.00734755, -0.0057168, -0.00342328, -0.00200878, -0.00154055, -0.000661482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.509861, 0.539283, 0.57348, 0.599084, 0.661508, 0.730844, 0.757971, 0.771518, 0.782078, 0.793984, 0.80575, 0.824806, 0.858285, 0.883587, 0.93797, 0.952956, 0.971511, 1.04558, 1.12472, 1.21588, 1.23738, 1.29259");
-            values ( \
-              "-0.00413316, -0.0047795, -0.00685397, -0.00913329, -0.0156817, -0.0221669, -0.0261707, -0.0275762, -0.0274907, -0.0281726, -0.0269308, -0.0236341, -0.0130949, -0.0105941, -0.00237414, -0.00170925, -0.00140991, -0.000781616, -0.000281456, -5.3172e-05, -0.000242592, -6.22475e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.510008, 0.560513, 0.580336, 0.608086, 0.620525, 0.673611, 0.713832, 0.747919, 0.777171, 0.803347, 0.824987, 0.836524, 0.851908, 0.901452, 0.903584, 0.907848, 0.915743, 0.924699, 0.932132, 0.950665, 0.957325, 0.965689, 0.983058, 0.992839, 1.00272, 1.01348, 1.02708, 1.03411, 1.04818, 1.07631, 1.11555, 1.15993, 1.21122, 1.22563, 1.25107, 1.30036, 1.35332");
-            values ( \
-              "-0.00594397, -0.00992305, -0.0124511, -0.0164511, -0.0189328, -0.027914, -0.0338183, -0.0391326, -0.0457471, -0.0489962, -0.0484622, -0.0455843, -0.0401682, -0.0171571, -0.0171922, -0.0161509, -0.0153158, -0.0136822, -0.0127294, -0.00893964, -0.00799268, -0.00624994, -0.00417837, -0.00380226, -0.00322329, -0.0031038, -0.00257956, -0.00260874, -0.00212324, -0.00176068, -0.000973309, -0.000686458, -0.000200051, -0.0006435, -0.000293298, -0.000118157, -6.82116e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.523949, 0.570304, 0.591721, 0.694212, 0.777079, 0.810114, 0.839806, 0.866199, 0.874632, 0.885876, 0.963383, 0.978426, 0.990743, 1.0058, 1.01657, 1.05294, 1.08347, 1.14077, 1.21587, 1.23717, 1.2912, 1.4035");
-            values ( \
-              "-0.0154717, -0.0172823, -0.0214488, -0.0473556, -0.0660764, -0.0764672, -0.081779, -0.079275, -0.0761025, -0.0707415, -0.0254668, -0.0188969, -0.0155828, -0.0131621, -0.0123258, -0.00805791, -0.00592406, -0.00325611, -0.00122817, -0.00152894, -0.00063676, -0.000117166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.536254, 0.586766, 0.614778, 0.66023, 0.728498, 0.826002, 0.865313, 0.876682, 0.901084, 0.91107, 0.923697, 0.9371, 0.955598, 1.01566, 1.05743, 1.07239, 1.0981, 1.11779, 1.14667, 1.18405, 1.21589, 1.22931, 1.25415, 1.31045, 1.36233, 1.42096, 1.53824");
-            values ( \
-              "-0.0284701, -0.0292376, -0.0373981, -0.0536942, -0.0766423, -0.10582, -0.120837, -0.124275, -0.126689, -0.126035, -0.123262, -0.117568, -0.106477, -0.0654794, -0.0398204, -0.0317372, -0.0218463, -0.018203, -0.0149643, -0.01148, -0.0090751, -0.00889868, -0.00695028, -0.00395354, -0.00226586, -0.00112351, -0.000276463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.551159, 0.639014, 0.6988, 0.733262, 0.782499, 0.819772, 0.847747, 0.954339, 0.979532, 1.00149, 1.02497, 1.05084, 1.15065, 1.182, 1.20575, 1.216, 1.22779, 1.25483, 1.29861, 1.32092, 1.34602, 1.37763, 1.40983, 1.44042, 1.47807, 1.52018, 1.57173, 1.63037, 1.68901, 1.74764, 1.86492");
-            values ( \
-              "-0.0384341, -0.0575551, -0.0836716, -0.0978385, -0.117391, -0.13126, -0.141075, -0.175584, -0.180675, -0.178641, -0.172978, -0.159606, -0.094342, -0.0725449, -0.0599539, -0.0557203, -0.0525345, -0.0431573, -0.0305959, -0.0251672, -0.0204554, -0.0163836, -0.0133908, -0.0111307, -0.00883936, -0.00674817, -0.00474966, -0.00310196, -0.00198828, -0.00125528, -0.000493833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.568668, 0.644188, 0.805258, 0.863895, 0.914276, 0.949349, 1.00799, 1.02519, 1.05959, 1.09616, 1.13126, 1.16651, 1.17534, 1.20562, 1.22706, 1.33109, 1.37303, 1.41471, 1.47832, 1.53783, 1.59402, 1.63138, 1.67423, 1.7249, 1.78128, 1.85865, 1.96392, 2.0812, 2.19847, 2.37439, 2.5503");
-            values ( \
-              "-0.0674559, -0.0695557, -0.146074, -0.171101, -0.190068, -0.201449, -0.216065, -0.219639, -0.225184, -0.229958, -0.230424, -0.225649, -0.223578, -0.213427, -0.204595, -0.146033, -0.119959, -0.099779, -0.0776387, -0.0602179, -0.046376, -0.0383735, -0.0304667, -0.0230204, -0.0173393, -0.0122849, -0.00786686, -0.00477177, -0.00284143, -0.00124151, -0.000557177" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0123174, 0.0123288, 0.0123422, 0.0123556, 0.0123665, 0.012374", \
-            "0.0146429, 0.0146486, 0.0146569, 0.0146668, 0.014676, 0.0146831", \
-            "0.0160682, 0.0160701, 0.0160736, 0.0160789, 0.016085, 0.0160906", \
-            "0.0169173, 0.0169199, 0.016919, 0.0169207, 0.0169235, 0.0169268", \
-            "0.0173771, 0.0173773, 0.0173777, 0.0173782, 0.0173791, 0.0173804", \
-            "0.0176261, 0.0176265, 0.0176269, 0.0176274, 0.0176281, 0.0176287" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0168333, 0.0168419, 0.0168535, 0.0168668, 0.0168783, 0.0168869", \
-            "0.0190075, 0.0190144, 0.0190236, 0.0190339, 0.0190431, 0.0190501", \
-            "0.0205759, 0.0205843, 0.0205941, 0.0206037, 0.0206119, 0.0206178", \
-            "0.0218743, 0.0218474, 0.0218359, 0.0218172, 0.021804, 0.0217951", \
-            "0.0229351, 0.0228465, 0.0227337, 0.0226128, 0.0225086, 0.0224324", \
-            "0.0237077, 0.0236342, 0.0234933, 0.0232425, 0.0229845, 0.0227872" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.115815, 0.130623, 0.158315, 0.210056, 0.307195, 0.490192", \
-            "0.121715, 0.136577, 0.164394, 0.216341, 0.313686, 0.49685", \
-            "0.13516, 0.149988, 0.177845, 0.229937, 0.327529, 0.510942", \
-            "0.16448, 0.179236, 0.206889, 0.258885, 0.35659, 0.540258", \
-            "0.224166, 0.241215, 0.271724, 0.323833, 0.421171, 0.605117", \
-            "0.321833, 0.344703, 0.384597, 0.451745, 0.562438, 0.748842" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.142806, 0.163709, 0.202301, 0.275648, 0.412407, 0.670883", \
-            "0.142669, 0.163628, 0.202227, 0.2756, 0.412379, 0.670866", \
-            "0.142232, 0.163222, 0.201916, 0.275408, 0.412261, 0.670793", \
-            "0.143287, 0.163289, 0.201148, 0.274655, 0.411828, 0.670598", \
-            "0.165897, 0.18315, 0.216364, 0.282539, 0.412622, 0.669847", \
-            "0.222354, 0.243093, 0.278659, 0.338136, 0.449355, 0.684102" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0771279, 0.0862707, 0.103126, 0.134222, 0.191967, 0.300041", \
-            "0.0817866, 0.0909835, 0.107917, 0.13911, 0.19694, 0.305076", \
-            "0.0919131, 0.10116, 0.118212, 0.149583, 0.207609, 0.315913", \
-            "0.115032, 0.124456, 0.141023, 0.172862, 0.230994, 0.339539", \
-            "0.14507, 0.158778, 0.182486, 0.221756, 0.284105, 0.391123", \
-            "0.166383, 0.185922, 0.219988, 0.276758, 0.367206, 0.504963" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0737949, 0.0845698, 0.105541, 0.145703, 0.222088, 0.367356", \
-            "0.073604, 0.0843538, 0.105329, 0.145506, 0.221851, 0.36708", \
-            "0.0730092, 0.0840353, 0.105206, 0.145315, 0.221735, 0.367006", \
-            "0.0826424, 0.091672, 0.109763, 0.147062, 0.221601, 0.366983", \
-            "0.118858, 0.128946, 0.147502, 0.179033, 0.240785, 0.370635", \
-            "0.180683, 0.194727, 0.219524, 0.261727, 0.329962, 0.442135" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0468912, 0.0510404, 0.0764353, 0.101832, 0.108494, 0.127387, 0.136616, 0.14902, 0.154579, 0.170036, 0.185929, 0.193436, 0.199646, 0.208171, 0.245853, 0.253794, 0.280888, 0.307421, 0.333926, 0.362165, 0.398941, 0.466312, 0.531603");
-            values ( \
-              "0.0140222, 0.0493957, 0.0435376, 0.039389, 0.0379254, 0.0314548, 0.0313278, 0.027834, 0.0248576, 0.0196331, 0.0163495, 0.0160338, 0.016888, 0.0160191, 0.0101411, 0.010077, 0.00868491, 0.00594666, 0.00416807, 0.00293618, 0.00181407, 0.000709294, 0.000420334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0468586, 0.0525128, 0.0572911, 0.0646451, 0.0703945, 0.0826872, 0.110323, 0.12044, 0.135378, 0.142245, 0.153685, 0.16553, 0.169675, 0.175202, 0.183275, 0.190545, 0.199898, 0.213213, 0.222736, 0.239484, 0.246375, 0.266072, 0.275548, 0.285592, 0.304783, 0.313902, 0.31449, 0.315666, 0.318019, 0.331492, 0.342008, 0.365299, 0.371496, 0.38389, 0.400176, 0.417253, 0.44158, 0.448127, 0.461219, 0.487403, 0.539772, 0.619836, 0.699899");
-            values ( \
-              "0.00983731, 0.0781589, 0.0774887, 0.0748195, 0.0730588, 0.0698149, 0.0640432, 0.061393, 0.0549419, 0.0536306, 0.0502459, 0.0477136, 0.044401, 0.0410884, 0.0369949, 0.034276, 0.0315325, 0.0285443, 0.025509, 0.024271, 0.023133, 0.0188247, 0.0184619, 0.0178764, 0.0159395, 0.0148237, 0.0150374, 0.0146919, 0.0145879, 0.0124288, 0.010543, 0.00780636, 0.00742632, 0.00627318, 0.00535246, 0.0042373, 0.00331915, 0.00291524, 0.002637, 0.00178212, 0.00103611, 0.000248732, 0.000187771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0467852, 0.0545574, 0.0607972, 0.076888, 0.0938185, 0.129485, 0.14242, 0.162212, 0.169945, 0.184244, 0.199736, 0.20683, 0.223238, 0.296364, 0.309325, 0.319795, 0.344506, 0.415335, 0.445339, 0.485375, 0.531253, 0.591022, 0.639977, 0.710635, 0.870763");
-            values ( \
-              "0.00779687, 0.113388, 0.112592, 0.106525, 0.102059, 0.0944652, 0.0908661, 0.0825086, 0.0819808, 0.0759215, 0.0722855, 0.0659606, 0.0572892, 0.0322496, 0.0311486, 0.0319434, 0.0293125, 0.0159895, 0.0117124, 0.00783091, 0.00492338, 0.00265506, 0.0016577, 0.000708825, 8.58106e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0505324, 0.0574219, 0.0818123, 0.0972951, 0.164026, 0.183203, 0.210027, 0.221735, 0.262932, 0.267244, 0.283151, 0.317421, 0.374932, 0.387197, 0.395321, 0.432463, 0.493395, 0.521836, 0.573306, 0.608261, 0.677347, 0.737151, 0.843868, 1.004, 1.08406");
-            values ( \
-              "0.109239, 0.149588, 0.142355, 0.138445, 0.125888, 0.121669, 0.11267, 0.111245, 0.0975753, 0.0937874, 0.0861527, 0.0749079, 0.0527758, 0.0525209, 0.0507923, 0.0451741, 0.0332425, 0.0270767, 0.0184084, 0.0141001, 0.00823388, 0.00512796, 0.00211295, 0.000470604, 0.000455414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0551917, 0.0552117, 0.124905, 0.23607, 0.259472, 0.304583, 0.318944, 0.347665, 0.384314, 0.443483, 0.493669, 0.506133, 0.535728, 0.642502, 0.742018, 0.800205, 0.895468, 0.999727, 1.07359, 1.23371, 1.3807");
-            values ( \
-              "1e-22, 0.194449, 0.166246, 0.151646, 0.147987, 0.138249, 0.137313, 0.131182, 0.117694, 0.101995, 0.0846581, 0.0852919, 0.0808599, 0.0543877, 0.0365732, 0.0278398, 0.0172353, 0.00993438, 0.00666601, 0.00271763, 0.00119343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0551186, 0.0551386, 0.176389, 0.355176, 0.403089, 0.466163, 0.501998, 0.637205, 0.696732, 0.711845, 0.73787, 0.774375, 1.02452, 1.13043, 1.20051, 1.3495, 1.49916, 1.57922, 1.71182, 1.87195, 2.03208, 2.15949");
-            values ( \
-              "1e-22, 0.207363, 0.185709, 0.171682, 0.167175, 0.159373, 0.157438, 0.132053, 0.118664, 0.119175, 0.117465, 0.111868, 0.0635634, 0.0483896, 0.0401023, 0.0257167, 0.0161433, 0.0123202, 0.00792522, 0.00460411, 0.0026492, 0.00206688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0677378, 0.0709535, 0.0749851, 0.0807573, 0.0818336, 0.0839862, 0.0882914, 0.0963024, 0.116213, 0.122063, 0.12832, 0.147203, 0.156451, 0.166051, 0.168814, 0.174341, 0.18978, 0.208483, 0.222373, 0.237399, 0.23907, 0.249096, 0.266521, 0.274356, 0.291263, 0.299509, 0.300628, 0.302865, 0.307339, 0.31967, 0.335636, 0.353667, 0.381577, 0.418517, 0.423129, 0.432354, 0.450805, 0.486424, 0.553747, 0.63381");
-            values ( \
-              "0.0330315, 0.0486417, 0.0489523, 0.0470467, 0.0471948, 0.0463248, 0.0455306, 0.0436029, 0.0403907, 0.039332, 0.0379578, 0.0313056, 0.0315396, 0.0283198, 0.0279468, 0.0248475, 0.0196498, 0.0159758, 0.016752, 0.0147006, 0.0142504, 0.0125406, 0.0100577, 0.0100801, 0.00925869, 0.00863, 0.00866104, 0.00854825, 0.00816303, 0.00667258, 0.00522538, 0.00415234, 0.00293011, 0.00179753, 0.00179206, 0.00148111, 0.00125797, 0.00067755, 0.000331537, 2.17673e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0677917, 0.0725148, 0.0761805, 0.0773007, 0.0940779, 0.102634, 0.13183, 0.140351, 0.154423, 0.162142, 0.177579, 0.186854, 0.197856, 0.209915, 0.234242, 0.24544, 0.253849, 0.263075, 0.272913, 0.28196, 0.290956, 0.301723, 0.311714, 0.339308, 0.366666, 0.388806, 0.397881, 0.424157, 0.464332, 0.468843, 0.477866, 0.495912, 0.522809, 0.656665, 0.736729");
-            values ( \
-              "0.0273307, 0.0779911, 0.0776647, 0.0773668, 0.0721712, 0.0699617, 0.0637013, 0.0614307, 0.0555576, 0.0528412, 0.0501301, 0.0460206, 0.0398337, 0.0341198, 0.0281571, 0.0249974, 0.0247573, 0.0236986, 0.0217865, 0.0195276, 0.0185399, 0.0181458, 0.0173382, 0.0143752, 0.00993062, 0.0075196, 0.00673082, 0.00494153, 0.00303978, 0.00306515, 0.00255892, 0.00224362, 0.00143357, 0.00015763, 0.000195933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0676772, 0.0745895, 0.0808395, 0.0980921, 0.113804, 0.137415, 0.162404, 0.189868, 0.211958, 0.220753, 0.244568, 0.255734, 0.260416, 0.26978, 0.288507, 0.314957, 0.324005, 0.341819, 0.353151, 0.396615, 0.427563, 0.46028, 0.473737, 0.513554, 0.543171, 0.583387, 0.637008, 0.717071, 0.797135, 0.877199");
-            values ( \
-              "0.02311, 0.113131, 0.112523, 0.106465, 0.102207, 0.0970737, 0.0910458, 0.0795907, 0.0756432, 0.069381, 0.056182, 0.0531627, 0.0511537, 0.0487367, 0.0424751, 0.0324049, 0.0313944, 0.0316068, 0.030807, 0.0237103, 0.0173346, 0.0123033, 0.0107549, 0.00721524, 0.00541251, 0.00360557, 0.00200691, 0.000876396, 0.000321807, 0.000173971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0678296, 0.0778032, 0.0849476, 0.0962179, 0.114079, 0.185835, 0.203517, 0.230609, 0.242043, 0.283233, 0.287538, 0.303412, 0.3377, 0.383366, 0.395201, 0.407455, 0.41564, 0.452949, 0.513683, 0.541887, 0.593381, 0.628953, 0.697991, 0.756947, 0.862309, 1.02244, 1.1025");
-            values ( \
-              "0.0293639, 0.149417, 0.148097, 0.144149, 0.139387, 0.1256, 0.121686, 0.1126, 0.111283, 0.097628, 0.0938354, 0.0862046, 0.0749174, 0.0567985, 0.0527617, 0.0525119, 0.0507769, 0.0451369, 0.0332436, 0.027122, 0.0184359, 0.0140541, 0.00820862, 0.00514603, 0.00214504, 0.000477861, 0.000462296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.076538, 0.076558, 0.145404, 0.249533, 0.279937, 0.322353, 0.339396, 0.369943, 0.404774, 0.460889, 0.511603, 0.523373, 0.553046, 0.663038, 0.728875, 0.843812, 0.927387, 0.983079, 1.04257, 1.12667, 1.2868, 1.35552");
-            values ( \
-              "1e-22, 0.199138, 0.166108, 0.152756, 0.147879, 0.13891, 0.137231, 0.130766, 0.117773, 0.103011, 0.0855474, 0.0851696, 0.0813165, 0.0543679, 0.0423548, 0.0249511, 0.0163447, 0.0122372, 0.00894292, 0.00570773, 0.00238814, 0.00196535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0763686, 0.0763886, 0.196917, 0.375706, 0.486631, 0.522521, 0.657254, 0.71668, 0.731858, 0.758385, 0.795014, 1.04493, 1.22081, 1.35635, 1.45192, 1.59998, 1.81241, 2.07727");
-            values ( \
-              "1e-22, 0.209579, 0.185829, 0.171791, 0.159486, 0.157341, 0.132241, 0.118667, 0.119217, 0.117533, 0.11192, 0.0636628, 0.0400434, 0.0268689, 0.0199841, 0.0123955, 0.00610509, 0.00276391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.107084, 0.119399, 0.122473, 0.126479, 0.132057, 0.161111, 0.170826, 0.176556, 0.191558, 0.200491, 0.210175, 0.213086, 0.218908, 0.236308, 0.2428, 0.249288, 0.256573, 0.262603, 0.270556, 0.276473, 0.301857, 0.310561, 0.318563, 0.335569, 0.343979, 0.346375, 0.354189, 0.361596, 0.369239, 0.377306, 0.385809, 0.39612, 0.397732, 0.400955, 0.407403, 0.419437, 0.436266, 0.459623, 0.46633, 0.479743, 0.506569, 0.557373, 0.714285");
-            values ( \
-              "0.0074825, 0.0457721, 0.0464053, 0.0465446, 0.0458753, 0.0404901, 0.0385219, 0.0369996, 0.0310251, 0.0321327, 0.0280836, 0.0282532, 0.024664, 0.0190663, 0.0180728, 0.01646, 0.0159695, 0.0168313, 0.0162076, 0.0155383, 0.0111693, 0.0100984, 0.0100293, 0.00920593, 0.0086469, 0.00863632, 0.00794282, 0.00686543, 0.00621177, 0.00534972, 0.0049513, 0.00419278, 0.00428609, 0.00394911, 0.00382357, 0.00313085, 0.00269377, 0.00183154, 0.00184894, 0.00138225, 0.00111336, 0.000410159, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.112613, 0.116422, 0.119068, 0.121609, 0.125535, 0.129948, 0.184585, 0.206337, 0.217838, 0.230911, 0.246283, 0.253876, 0.263753, 0.286043, 0.296408, 0.303121, 0.33044, 0.33996, 0.360927, 0.387718, 0.396546, 0.41847, 0.435527, 0.461016, 0.504285, 0.526251, 0.614118, 0.774245");
-            values ( \
-              "0.060818, 0.0696596, 0.0719833, 0.0738006, 0.0746234, 0.0746804, 0.0615991, 0.052546, 0.0515865, 0.046517, 0.0376576, 0.0345479, 0.0316907, 0.0257699, 0.0245484, 0.0243932, 0.0186594, 0.0185186, 0.0168366, 0.0138149, 0.0120164, 0.00888037, 0.00722303, 0.00536756, 0.00320162, 0.00245848, 0.000773665, 3.7979e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.114787, 0.114807, 0.145813, 0.158247, 0.206721, 0.226494, 0.234199, 0.248476, 0.263654, 0.272528, 0.280953, 0.290941, 0.325188, 0.355114, 0.360435, 0.371076, 0.380984, 0.392577, 0.404892, 0.440893, 0.468754, 0.502266, 0.521214, 0.560714, 0.598682, 0.654985, 0.707273, 0.787337, 0.794772");
-            values ( \
-              "1e-22, 0.113084, 0.106381, 0.102763, 0.0910983, 0.0825753, 0.0821663, 0.0760733, 0.0725875, 0.0651643, 0.0603244, 0.0559956, 0.0450056, 0.0335115, 0.0322699, 0.0311903, 0.0318196, 0.0311601, 0.0298122, 0.023643, 0.0179285, 0.0126062, 0.0104215, 0.00702083, 0.00478982, 0.00268688, 0.00155866, 0.000639395, 0.000614654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.117845, 0.117865, 0.159626, 0.178713, 0.220859, 0.247889, 0.275659, 0.286388, 0.327543, 0.331841, 0.347681, 0.381954, 0.42768, 0.43949, 0.451743, 0.459812, 0.496857, 0.557934, 0.58662, 0.638036, 0.672401, 0.741443, 0.802164, 0.910439, 1.07057, 1.15063");
-            values ( \
-              "1e-22, 0.160783, 0.139885, 0.135335, 0.127566, 0.121841, 0.112384, 0.111504, 0.0978405, 0.094008, 0.0863628, 0.0749755, 0.056808, 0.0527197, 0.0525298, 0.0508055, 0.0451734, 0.0332328, 0.02704, 0.0183914, 0.0141515, 0.00826976, 0.00511301, 0.00207987, 0.000464231, 0.000446572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.117769, 0.117789, 0.188306, 0.324499, 0.366356, 0.383939, 0.414462, 0.449287, 0.504422, 0.556397, 0.582563, 0.598088, 0.70766, 0.806823, 0.888099, 0.939287, 1.02903, 1.1695, 1.32963, 1.40449");
-            values ( \
-              "1e-22, 0.181625, 0.166771, 0.148096, 0.138893, 0.137469, 0.130629, 0.11773, 0.103474, 0.0855872, 0.0835969, 0.0811532, 0.0543871, 0.0366606, 0.0249412, 0.019308, 0.0121163, 0.00573456, 0.00238563, 0.00192106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.120484, 0.120504, 0.232609, 0.437046, 0.515888, 0.565875, 0.694932, 0.761789, 0.778111, 0.803255, 0.839142, 1.08931, 1.26541, 1.41485, 1.49702, 1.64499, 1.85727, 2.09746, 2.16171");
-            values ( \
-              "1e-22, 0.207627, 0.186671, 0.170266, 0.161095, 0.157375, 0.133675, 0.118593, 0.119163, 0.117471, 0.111991, 0.0636797, 0.0401383, 0.0257367, 0.0199025, 0.0123221, 0.00617296, 0.00266695, 0.0023734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.186916, 0.20295, 0.212476, 0.227078, 0.236497, 0.242644, 0.248791, 0.251498, 0.253893, 0.256965, 0.263109, 0.27176, 0.280374, 0.288803, 0.301935, 0.310107, 0.32123, 0.331739, 0.338552, 0.341306, 0.350044, 0.355589, 0.362206, 0.370601, 0.40136, 0.409293, 0.426443, 0.433374, 0.440947, 0.441336, 0.442113, 0.443667, 0.445738, 0.449882, 0.457144, 0.46442, 0.472496, 0.481343, 0.491632, 0.494205, 0.499352, 0.509644, 0.527816, 0.551784, 0.564221, 0.589094, 0.632158, 0.697376, 0.77744");
-            values ( \
-              "0.00432352, 0.0256302, 0.0299977, 0.0345432, 0.0361773, 0.0369897, 0.0375081, 0.0396454, 0.040285, 0.0402977, 0.0400201, 0.0382373, 0.0354496, 0.0313873, 0.0318175, 0.0271981, 0.023921, 0.019048, 0.018817, 0.0176037, 0.0158586, 0.0165028, 0.0165841, 0.015933, 0.0106626, 0.0101966, 0.00965179, 0.00905829, 0.0087388, 0.00854644, 0.0088056, 0.00838774, 0.00854499, 0.00779972, 0.00720215, 0.00609968, 0.00569157, 0.004778, 0.00448936, 0.00405728, 0.00409998, 0.00333911, 0.00292253, 0.00188952, 0.00187326, 0.00109231, 0.00082509, 0.000117107, 0.000221301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.186907, 0.205766, 0.209566, 0.216575, 0.222041, 0.232973, 0.243682, 0.248829, 0.252494, 0.257208, 0.26138, 0.262915, 0.265985, 0.272125, 0.282099, 0.295848, 0.303631, 0.319197, 0.327987, 0.340711, 0.352939, 0.36053, 0.372252, 0.381945, 0.392479, 0.399452, 0.407002, 0.41769, 0.427458, 0.437112, 0.44686, 0.457803, 0.465667, 0.484247, 0.493119, 0.50377, 0.514987, 0.527026, 0.532165, 0.542441, 0.557539, 0.575517, 0.600342, 0.608139, 0.623732, 0.654919, 0.698848, 0.778912, 0.858976");
-            values ( \
-              "0.00517368, 0.0428344, 0.0457303, 0.0503649, 0.0533337, 0.0578063, 0.0605871, 0.0614877, 0.0650819, 0.0665512, 0.0664249, 0.066471, 0.0661137, 0.0650605, 0.0622774, 0.0569905, 0.0512559, 0.05306, 0.0446207, 0.0410398, 0.0324074, 0.0329941, 0.0279606, 0.0268031, 0.0238176, 0.024794, 0.022719, 0.0210788, 0.0185268, 0.0186864, 0.0177122, 0.0168739, 0.015844, 0.0138725, 0.0119425, 0.0106511, 0.00880378, 0.00799797, 0.00711679, 0.00671522, 0.00526211, 0.00463819, 0.00310725, 0.00320512, 0.00230987, 0.00189116, 0.000798275, 0.000518618, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.186889, 0.214862, 0.229903, 0.242532, 0.248786, 0.255724, 0.259985, 0.268507, 0.279191, 0.303956, 0.316041, 0.331249, 0.354407, 0.361893, 0.376866, 0.387242, 0.399685, 0.401196, 0.421387, 0.457129, 0.468458, 0.478402, 0.490291, 0.502879, 0.537531, 0.580674, 0.605213, 0.636526, 0.685628, 0.714502, 0.753002, 0.803931, 0.883995, 0.964059, 1.04412");
-            values ( \
-              "0.0116797, 0.0724274, 0.0840784, 0.0903303, 0.0922545, 0.0998708, 0.100605, 0.100671, 0.0983656, 0.0916039, 0.08737, 0.0794331, 0.0765627, 0.069271, 0.0624425, 0.0554601, 0.0527407, 0.0514688, 0.0452512, 0.0321414, 0.0312806, 0.0318505, 0.0311371, 0.029596, 0.0237125, 0.0152237, 0.0118112, 0.00862283, 0.00525001, 0.00390642, 0.0026992, 0.00150964, 0.000689696, 0.00022222, 0.000155678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.186936, 0.21283, 0.223239, 0.234252, 0.248781, 0.252712, 0.257505, 0.261952, 0.269066, 0.330799, 0.344887, 0.372941, 0.383285, 0.424293, 0.428615, 0.443966, 0.478576, 0.506105, 0.524288, 0.536111, 0.548359, 0.556417, 0.593255, 0.654528, 0.683361, 0.734707, 0.768858, 0.837896, 0.859272, 0.864935, 0.876259, 0.898908, 1.00713, 1.16726, 1.24732");
-            values ( \
-              "0.000520933, 0.0920772, 0.105097, 0.115463, 0.124979, 0.131835, 0.136227, 0.137398, 0.138038, 0.125347, 0.122059, 0.112598, 0.112057, 0.0982227, 0.0942618, 0.086771, 0.074965, 0.0645733, 0.0567431, 0.0528188, 0.0525325, 0.050805, 0.0452429, 0.0332598, 0.0269868, 0.0183596, 0.0141467, 0.00825937, 0.00728295, 0.00667326, 0.00640565, 0.0050895, 0.00206054, 0.000445977, 0.00046421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.197921, 0.216931, 0.236307, 0.248788, 0.253734, 0.258584, 0.263176, 0.272361, 0.313513, 0.393112, 0.421673, 0.46466, 0.481051, 0.511557, 0.539643, 0.546313, 0.598936, 0.648284, 0.671822, 0.685572, 0.702617, 0.791548, 0.856913, 0.912621, 0.949024, 0.996377, 1.04214, 1.08922, 1.15341, 1.18563, 1.22802, 1.28247, 1.36253, 1.44259, 1.52266, 1.60272, 1.76285");
-            values ( \
-              "0.0594496, 0.11812, 0.14279, 0.152496, 0.162113, 0.167452, 0.169334, 0.17062, 0.163377, 0.152601, 0.148192, 0.138838, 0.137671, 0.13073, 0.121509, 0.117979, 0.104276, 0.0862701, 0.0844712, 0.0827948, 0.0795384, 0.0569987, 0.0447777, 0.0352276, 0.029758, 0.0235916, 0.0187405, 0.0147077, 0.0104794, 0.00884038, 0.00705435, 0.00527783, 0.00339991, 0.00220631, 0.00140843, 0.00092037, 0.000386468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.21066, 0.248902, 0.256507, 0.271527, 0.32796, 0.553001, 0.592391, 0.664937, 0.70499, 0.736114, 0.815962, 0.857092, 0.88671, 0.900707, 0.937973, 1.18805, 1.29327, 1.36333, 1.41868, 1.51145, 1.60807, 1.75157, 1.87493, 1.955, 2.03506, 2.19519, 2.35531, 2.67557");
-            values ( \
-              "0.171754, 0.172884, 0.188158, 0.194819, 0.187407, 0.168604, 0.163757, 0.156957, 0.150933, 0.143942, 0.128822, 0.119084, 0.118455, 0.117392, 0.111693, 0.0634391, 0.0483861, 0.0401075, 0.0340913, 0.0257945, 0.0190519, 0.0119553, 0.00793607, 0.00616627, 0.00461954, 0.00266793, 0.00152763, 0.000478442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.344387, 0.364553, 0.379647, 0.399039, 0.429069, 0.453879, 0.476661, 0.498989, 0.504591, 0.510567, 0.520012, 0.522413, 0.527214, 0.534528, 0.54247, 0.550242, 0.55432, 0.561133, 0.567344, 0.573847, 0.588717, 0.612759, 0.620533, 0.630232, 0.639657, 0.646834, 0.654822, 0.655267, 0.667648, 0.683504, 0.703514, 0.708224, 0.717644, 0.759215, 0.773582, 0.777863, 0.786426, 0.80355, 0.906298, 0.986361");
-            values ( \
-              "0.00172655, 0.0112843, 0.0149086, 0.0189683, 0.0246762, 0.0281787, 0.0293841, 0.0283417, 0.0293742, 0.029366, 0.0262159, 0.0278406, 0.0239079, 0.0220586, 0.0180671, 0.0166593, 0.0168731, 0.0159325, 0.0167796, 0.0170507, 0.0152533, 0.0111023, 0.0109861, 0.0103816, 0.0100624, 0.00928774, 0.00904261, 0.00880182, 0.00724176, 0.00569899, 0.00442279, 0.00430856, 0.00371542, 0.00215932, 0.0019071, 0.00167623, 0.00161615, 0.00117044, 0.000217374, 0.000145066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.347239, 0.367863, 0.384612, 0.406017, 0.438954, 0.466253, 0.491425, 0.516433, 0.535175, 0.542498, 0.550251, 0.55535, 0.568451, 0.586472, 0.595556, 0.605392, 0.613379, 0.63269, 0.642095, 0.652894, 0.673614, 0.683336, 0.688738, 0.706614, 0.717792, 0.728568, 0.746451, 0.771145, 0.812733, 0.824103, 0.846841, 0.892318, 0.943538, 1.10367");
-            values ( \
-              "0.00484949, 0.0192442, 0.0254856, 0.0326807, 0.0426508, 0.0483176, 0.0503284, 0.0489537, 0.0472485, 0.0454396, 0.0383018, 0.0387191, 0.034162, 0.029168, 0.0263419, 0.0248287, 0.0246061, 0.0206615, 0.0193623, 0.0191202, 0.0168902, 0.0156582, 0.0152121, 0.0120735, 0.0104057, 0.00902146, 0.00727347, 0.00545123, 0.00331263, 0.0030297, 0.00216778, 0.00134731, 0.000587147, 8.20561e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.348335, 0.373205, 0.392832, 0.4346, 0.455661, 0.487517, 0.517198, 0.546879, 0.550195, 0.561829, 0.567187, 0.575318, 0.577335, 0.600992, 0.613939, 0.642519, 0.654717, 0.669846, 0.672073, 0.684286, 0.693372, 0.70471, 0.717613, 0.751881, 0.78018, 0.81359, 0.832134, 0.87228, 0.910064, 0.9594, 1.01319, 1.09325, 1.25338");
-            values ( \
-              "0.00704493, 0.0307823, 0.0413302, 0.0614288, 0.0695615, 0.0780587, 0.0803768, 0.0779699, 0.0739519, 0.0821455, 0.0763264, 0.0741699, 0.0714254, 0.0578302, 0.0525558, 0.0429467, 0.0378543, 0.0325817, 0.0320672, 0.0320838, 0.0324215, 0.031443, 0.0298516, 0.0236725, 0.0177571, 0.0125446, 0.0104116, 0.00696004, 0.00473784, 0.00283882, 0.00169215, 0.000628934, 6.50899e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.36475, 0.393939, 0.436374, 0.459478, 0.483128, 0.523333, 0.55015, 0.561047, 0.587339, 0.598984, 0.615869, 0.632166, 0.641009, 0.658695, 0.674019, 0.69099, 0.69343, 0.73714, 0.749894, 0.761553, 0.765905, 0.817138, 0.915494, 0.960738, 1.01836, 1.05993, 1.07986, 1.11973, 1.17691, 1.25697, 1.33704, 1.49717");
-            values ( \
-              "0.0488173, 0.0549553, 0.0830863, 0.0959253, 0.105831, 0.115702, 0.118266, 0.123426, 0.115787, 0.109686, 0.110707, 0.100006, 0.0978071, 0.0865293, 0.0824434, 0.0751929, 0.0752134, 0.0575606, 0.0531866, 0.0532391, 0.0519171, 0.0434923, 0.0238142, 0.0169432, 0.0109078, 0.0079914, 0.00675835, 0.00503725, 0.00311394, 0.00170532, 0.000805739, 0.000177161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.363108, 0.426111, 0.46545, 0.488024, 0.518123, 0.550128, 0.554636, 0.561256, 0.566659, 0.577466, 0.637196, 0.681047, 0.69635, 0.726731, 0.758956, 0.761239, 0.81241, 0.860894, 0.884575, 0.899116, 0.916046, 1.01294, 1.07902, 1.15078, 1.19575, 1.22624, 1.29502, 1.35894, 1.40015, 1.45714, 1.53313, 1.61319, 1.77332, 2.01351");
-            values ( \
-              "0.0413526, 0.0929582, 0.12124, 0.133212, 0.144423, 0.152515, 0.155968, 0.158452, 0.158823, 0.158379, 0.148794, 0.139041, 0.138599, 0.130867, 0.120768, 0.118798, 0.104486, 0.086912, 0.0848064, 0.0830205, 0.0799182, 0.0556013, 0.0434344, 0.031689, 0.0254508, 0.0218813, 0.0154569, 0.0109902, 0.00883067, 0.00650791, 0.00437851, 0.00276015, 0.00112112, 0.000353109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.387251, 0.455711, 0.509976, 0.562069, 0.575803, 0.762846, 0.819036, 0.892612, 1.01624, 1.07648, 1.0914, 1.11588, 1.15204, 1.40261, 1.50843, 1.57819, 1.72746, 1.80965, 1.95761, 2.08979, 2.16985, 2.41004, 2.5131");
-            values ( \
-              "0.103385, 0.130075, 0.163071, 0.183459, 0.185984, 0.169304, 0.162535, 0.155775, 0.132005, 0.118415, 0.119317, 0.117541, 0.111989, 0.0635995, 0.0484388, 0.0400922, 0.0257665, 0.0199295, 0.0123493, 0.0079704, 0.00614795, 0.00269277, 0.0022215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.6716, 0.703318, 0.711751, 0.73627, 0.755477, 0.805932, 0.85847, 0.862864, 0.871653, 0.897341, 0.914171, 0.930071, 0.944344, 0.959929, 0.965328, 0.99541, 0.996729, 0.999365, 1.00291, 1.01714, 1.02512, 1.04219, 1.04582, 1.05308, 1.06158, 1.07785, 1.08505, 1.0916, 1.09984, 1.10734, 1.11638, 1.11936, 1.12531, 1.13473, 1.14853, 1.16405, 1.1845, 1.18883, 1.19748, 1.21478, 1.21891, 1.22442, 1.26812, 1.30268, 1.36186, 1.43743, 1.51749");
-            values ( \
-              "0.00325163, 0.00501725, 0.00526297, 0.00712747, 0.00915351, 0.0137443, 0.017908, 0.0180214, 0.0187635, 0.0197104, 0.0197464, 0.0209003, 0.0227016, 0.021407, 0.0206703, 0.0152618, 0.0155388, 0.0153731, 0.0154952, 0.0138089, 0.0122417, 0.00988247, 0.0100688, 0.00999335, 0.0101454, 0.0097541, 0.00946453, 0.00856107, 0.00801767, 0.00715393, 0.00679699, 0.00639204, 0.00623451, 0.00553636, 0.00498651, 0.00409246, 0.00340181, 0.00309673, 0.00293018, 0.00226682, 0.00272082, 0.0028794, 0.0017485, 0.00106552, 0.000477458, 0.000134631, 5.71463e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.682748, 0.720298, 0.819273, 0.875639, 0.891057, 0.911615, 0.951565, 0.961571, 0.970726, 0.985059, 1.00106, 1.01974, 1.03942, 1.06791, 1.07779, 1.08853, 1.09837, 1.11893, 1.12845, 1.15773, 1.18283, 1.21615, 1.22976, 1.29431, 1.35033, 1.39938, 1.47944, 1.55951");
-            values ( \
-              "0.00943878, 0.00970644, 0.0238572, 0.0311172, 0.0328129, 0.0343976, 0.0362393, 0.0389635, 0.0393907, 0.0381947, 0.0334101, 0.0295753, 0.0215424, 0.0185139, 0.0183378, 0.0186579, 0.0183889, 0.0169763, 0.0161504, 0.0115749, 0.00903257, 0.00643042, 0.00688226, 0.00347453, 0.00175358, 0.000949325, 0.000319631, 0.000112244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.671441, 0.743696, 0.800664, 0.862861, 0.911258, 0.953249, 0.974067, 0.992716, 1.01215, 1.02979, 1.06978, 1.07321, 1.09374, 1.10774, 1.12079, 1.13146, 1.14174, 1.15444, 1.19572, 1.216, 1.22123, 1.22664, 1.26675, 1.29721, 1.31702, 1.34029, 1.38835, 1.41626, 1.47206, 1.55213, 1.63219, 1.71225");
-            values ( \
-              "0.00647471, 0.0186795, 0.0316653, 0.0443593, 0.0528577, 0.0586304, 0.0597029, 0.0613066, 0.0655666, 0.0620149, 0.0474138, 0.0445586, 0.0360587, 0.031869, 0.0290463, 0.0315814, 0.0319155, 0.0319707, 0.0264442, 0.022313, 0.0226042, 0.0223023, 0.015622, 0.0116453, 0.00964215, 0.00762387, 0.00469372, 0.00355471, 0.00195477, 0.000830715, 0.000326741, 0.000152063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.682485, 0.730222, 0.903496, 0.961244, 1.01407, 1.04542, 1.08363, 1.13061, 1.15226, 1.16383, 1.18608, 1.20335, 1.21607, 1.22206, 1.23188, 1.27556, 1.38207, 1.411, 1.459, 1.49109, 1.53553, 1.59236, 1.66656, 1.74662, 1.80928");
-            values ( \
-              "0.0148812, 0.0224348, 0.0702741, 0.0840296, 0.0915133, 0.0932636, 0.0975746, 0.0821396, 0.0685686, 0.0657485, 0.0581196, 0.0544156, 0.0536508, 0.0553456, 0.0553647, 0.0475246, 0.025154, 0.0202215, 0.0141387, 0.0111366, 0.00784462, 0.00503395, 0.0028188, 0.00142581, 0.00101092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.711135, 0.771802, 0.933606, 0.965911, 1.03052, 1.05719, 1.09276, 1.10587, 1.1321, 1.1703, 1.20244, 1.22519, 1.23686, 1.29134, 1.33435, 1.34554, 1.37394, 1.40856, 1.45521, 1.49104, 1.55762, 1.60645, 1.64241, 1.69985, 1.76136, 1.82661, 1.89761, 1.94389, 2.02395, 2.10402, 2.18408, 2.34421, 2.42427");
-            values ( \
-              "0.0406404, 0.0407763, 0.0963624, 0.106138, 0.121309, 0.125497, 0.129137, 0.13005, 0.130878, 0.131558, 0.127419, 0.122926, 0.119908, 0.1028, 0.0878187, 0.0873061, 0.0838941, 0.0760255, 0.0635117, 0.05505, 0.0427614, 0.0346009, 0.0292087, 0.0220271, 0.0161013, 0.0114604, 0.00784809, 0.0061604, 0.00395615, 0.00258536, 0.00163493, 0.00066577, 0.000461169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.710827, 0.782113, 0.939607, 0.997507, 1.06114, 1.14121, 1.20692, 1.2155, 1.22588, 1.24104, 1.32125, 1.35596, 1.48695, 1.54771, 1.56334, 1.59166, 1.62897, 1.8787, 1.9842, 2.05391, 2.10939, 2.20237, 2.29937, 2.44274, 2.56586, 2.64592, 2.72599, 2.88611, 3.04624, 3.3665");
-            values ( \
-              "0.0394093, 0.0502055, 0.111833, 0.132023, 0.148197, 0.161666, 0.167551, 0.167746, 0.16979, 0.170236, 0.159957, 0.158846, 0.133275, 0.119158, 0.119209, 0.117502, 0.111726, 0.0635081, 0.0484012, 0.0401284, 0.0341295, 0.025809, 0.0190423, 0.0119568, 0.00794586, 0.00615856, 0.00462829, 0.00267581, 0.00153479, 0.000484419" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.012669, 0.0127077, 0.0127541, 0.0128001, 0.0128374, 0.0128631", \
-            "0.0159057, 0.0159536, 0.0160192, 0.0160918, 0.0161588, 0.0162095", \
-            "0.0179772, 0.0180165, 0.0180772, 0.0181561, 0.0182384, 0.0183088", \
-            "0.0192416, 0.0192521, 0.0192741, 0.019313, 0.0193665, 0.0194221", \
-            "0.0200994, 0.0200759, 0.0200463, 0.0200175, 0.020001, 0.0200018", \
-            "0.0207591, 0.0207203, 0.0206625, 0.0205782, 0.020481, 0.0203952" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0189135, 0.0189455, 0.0189961, 0.0190576, 0.0191152, 0.019159", \
-            "0.0200709, 0.0200474, 0.0200262, 0.020016, 0.0200154, 0.0200192", \
-            "0.0197893, 0.0196995, 0.0195795, 0.0194409, 0.019316, 0.0192159", \
-            "0.0194202, 0.0193003, 0.0191296, 0.0189269, 0.0187174, 0.0185501", \
-            "0.0196056, 0.0194648, 0.0192182, 0.0189083, 0.0185931, 0.0183279", \
-            "0.016967, 0.0171487, 0.0175576, 0.0183575, 0.0188769, 0.0185131" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0296455, 0.0319374, 0.0353661, 0.0386752, 0.0412565, 0.046419, 0.0531622, 0.0698784, 0.077112, 0.0886842, 0.100005, 0.111302, 0.113695, 0.135944, 0.156207, 0.16199, 0.170061, 0.176623, 0.18537, 0.211352, 0.220168, 0.22714, 0.232758, 0.237675, 0.246111, 0.252074, 0.259106, 0.267454, 0.277936, 0.29321, 0.312622, 0.341038, 0.372329, 0.389125");
-            values ( \
-              "-0.0560656, -0.0584796, -0.0563529, -0.0554424, -0.055201, -0.0549291, -0.0549986, -0.054638, -0.0550366, -0.0579711, -0.0580194, -0.0508007, -0.0496188, -0.0283101, -0.0132192, -0.0104455, -0.00897737, -0.00854416, -0.00734351, -0.0029327, -0.00271073, -0.00161141, -0.00153098, -0.000783562, -0.00118698, -0.00077794, -0.00134074, -0.000983916, -0.00141044, -0.000906633, -0.00106449, -0.000367053, -0.000488076, -0.000291371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0296975, 0.033031, 0.040263, 0.0748516, 0.0845684, 0.097922, 0.103713, 0.110894, 0.127602, 0.150597, 0.168221, 0.187717, 0.197149, 0.211364, 0.228445, 0.239649, 0.265209, 0.282254, 0.393456, 0.42802");
-            values ( \
-              "-0.0527998, -0.0964438, -0.0921907, -0.0905277, -0.090998, -0.0952537, -0.0961738, -0.0946088, -0.0809067, -0.0495884, -0.0308362, -0.0152282, -0.0114057, -0.00834212, -0.00587908, -0.00566947, -0.0033077, -0.00256286, -0.000523744, -0.000437767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.029612, 0.0344751, 0.0434578, 0.0873746, 0.0980694, 0.114726, 0.131096, 0.15067, 0.182405, 0.202862, 0.235687, 0.251496, 0.261055, 0.288638, 0.354397, 0.430115");
-            values ( \
-              "-0.0473472, -0.146316, -0.141591, -0.138757, -0.13895, -0.143979, -0.142531, -0.124124, -0.0736482, -0.0480981, -0.019162, -0.0100227, -0.00885708, -0.00950878, -0.00432437, -0.00158162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0317528, 0.036589, 0.0432732, 0.0770784, 0.111081, 0.122915, 0.145814, 0.168716, 0.192986, 0.239662, 0.290615, 0.310086, 0.341887, 0.359067, 0.411871, 0.511097, 0.53348");
-            values ( \
-              "-0.167415, -0.20132, -0.198654, -0.196664, -0.192931, -0.192561, -0.196376, -0.193339, -0.171689, -0.1017, -0.0432662, -0.0301626, -0.0193983, -0.0158719, -0.00954595, -0.00341853, -0.00278835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0330076, 0.0330276, 0.0441643, 0.100173, 0.16888, 0.203577, 0.23832, 0.261169, 0.27614, 0.370832, 0.393442, 0.435802, 0.47328, 0.533913, 0.579626, 0.648768, 0.730566, 0.838366, 1.00921");
-            values ( \
-              "-1e-22, -0.253597, -0.251854, -0.249398, -0.242219, -0.243534, -0.237411, -0.223413, -0.209345, -0.0989879, -0.0762319, -0.0548224, -0.0396306, -0.0198681, -0.0122106, -0.00702169, -0.00376726, -0.00142489, -0.000631631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0336198, 0.0410548, 0.0509513, 0.060873, 0.0880147, 0.112253, 0.192027, 0.232288, 0.299333, 0.311703, 0.336442, 0.373686, 0.388834, 0.40903, 0.432667, 0.49603, 0.549069, 0.565575, 0.634357, 0.695677, 0.748552, 0.771083, 0.812301, 0.864111, 0.893657, 0.924622, 0.965909, 1.02286, 1.13675, 1.25065, 1.36455, 1.59234");
-            values ( \
-              "-0.289427, -0.292638, -0.294138, -0.294605, -0.293806, -0.29252, -0.286136, -0.282215, -0.278982, -0.279235, -0.2761, -0.267364, -0.261577, -0.251459, -0.236287, -0.186009, -0.138988, -0.129751, -0.0944971, -0.0672359, -0.0488738, -0.0424908, -0.0322775, -0.0224186, -0.0181166, -0.0147609, -0.0115263, -0.00830515, -0.00465078, -0.00263127, -0.00145822, -0.000412916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0479475, 0.0512321, 0.0530519, 0.0541119, 0.0544262, 0.0550547, 0.0563118, 0.057922, 0.0600446, 0.0641748, 0.06794, 0.0718137, 0.077741, 0.0837058, 0.118546, 0.129819, 0.130608, 0.149393, 0.153594, 0.159847, 0.168163, 0.170297, 0.170762, 0.171691, 0.17355, 0.176669, 0.19028, 0.198471, 0.202888, 0.211724, 0.218763, 0.22618, 0.234966, 0.242225, 0.247762, 0.252221, 0.258453, 0.262128, 0.268314, 0.2749, 0.283032, 0.293704, 0.307367, 0.329628, 0.355175, 0.388282, 0.425997, 0.449139");
-            values ( \
-              "-0.0228346, -0.0571526, -0.064058, -0.0602817, -0.0618774, -0.0592198, -0.0597504, -0.0568407, -0.0575632, -0.054885, -0.0562153, -0.0543905, -0.0558657, -0.0539934, -0.0588833, -0.0502224, -0.0516037, -0.0324194, -0.0297498, -0.0236157, -0.0188498, -0.0160242, -0.016739, -0.0150266, -0.0145715, -0.011644, -0.00847541, -0.00858571, -0.00705309, -0.00638251, -0.00435249, -0.00378719, -0.00243446, -0.00249238, -0.00128221, -0.00148865, -0.000661892, -0.00125395, -0.000643224, -0.00141552, -0.000806728, -0.00156065, -0.000760387, -0.00125118, -0.000212405, -0.000684438, -1e-22, -0.000193072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0497072, 0.0531826, 0.0584278, 0.0624647, 0.0671986, 0.0895317, 0.10315, 0.120053, 0.127021, 0.129491, 0.134431, 0.143319, 0.179378, 0.200408, 0.207471, 0.217238, 0.240764, 0.251739, 0.262206, 0.287745, 0.299673, 0.330759, 0.347075, 0.37048, 0.402718, 0.409243");
-            values ( \
-              "-0.0961894, -0.101783, -0.0957834, -0.0934116, -0.0923209, -0.0909001, -0.0908323, -0.096186, -0.0956991, -0.094802, -0.0918117, -0.0837122, -0.0379272, -0.0197139, -0.0146709, -0.0108654, -0.0065685, -0.00571596, -0.00543573, -0.00299552, -0.00249641, -0.00230024, -0.00157566, -0.00143298, -0.000518699, -0.000480193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0488864, 0.0537375, 0.0626482, 0.0725452, 0.116745, 0.139837, 0.149828, 0.16937, 0.200562, 0.22114, 0.25667, 0.26355, 0.273266, 0.306013, 0.371748, 0.45386");
-            values ( \
-              "-0.0405508, -0.153515, -0.144134, -0.141585, -0.138636, -0.145062, -0.142355, -0.124292, -0.0745762, -0.0486226, -0.0169009, -0.0120596, -0.00917895, -0.00963659, -0.00432414, -0.00134696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0513341, 0.0538107, 0.0551664, 0.0622641, 0.066865, 0.0733995, 0.0959096, 0.130124, 0.141684, 0.164604, 0.187481, 0.211709, 0.258284, 0.284915, 0.309102, 0.31867, 0.328425, 0.359537, 0.376369, 0.428803, 0.477003, 0.528501, 0.59899");
-            values ( \
-              "-0.176722, -0.208312, -0.208915, -0.202125, -0.199857, -0.198506, -0.196887, -0.19307, -0.192754, -0.1966, -0.193613, -0.171988, -0.102004, -0.0692496, -0.0435274, -0.0352584, -0.0303432, -0.0196712, -0.0160605, -0.0096888, -0.00609575, -0.00344567, -0.00142746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0521554, 0.0552676, 0.0741673, 0.187687, 0.222361, 0.257067, 0.279336, 0.294832, 0.411824, 0.491404, 0.552195, 0.596837, 0.663809, 0.767667");
-            values ( \
-              "-0.235569, -0.259377, -0.252638, -0.242385, -0.243813, -0.237631, -0.224136, -0.209637, -0.0765226, -0.039833, -0.0199351, -0.01235, -0.0071787, -0.00401486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0529951, 0.0539847, 0.0554099, 0.0582603, 0.0741651, 0.10713, 0.161782, 0.243106, 0.273651, 0.333387, 0.344007, 0.358166, 0.393453, 0.402743, 0.421325, 0.451542, 0.508063, 0.562527, 0.583678, 0.652948, 0.68517, 0.714976, 0.766911, 0.78873, 0.830034, 0.881009, 0.921536, 0.942435, 0.984234, 1.04118, 1.09813, 1.15508, 1.26898, 1.38287, 1.55372");
-            values ( \
-              "-0.276917, -0.291311, -0.296665, -0.298273, -0.295439, -0.293913, -0.29056, -0.283151, -0.280911, -0.278906, -0.278059, -0.275961, -0.267269, -0.263867, -0.255288, -0.23652, -0.191891, -0.143267, -0.130105, -0.0947378, -0.0796406, -0.0671161, -0.0490603, -0.0427713, -0.0325449, -0.0226691, -0.0170965, -0.0148779, -0.0114873, -0.00834772, -0.00623192, -0.00468433, -0.00266344, -0.00149163, -0.00061597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0760727, 0.0891653, 0.100001, 0.112595, 0.113309, 0.114736, 0.119288, 0.121986, 0.124788, 0.130394, 0.136645, 0.148226, 0.159494, 0.170757, 0.171544, 0.173119, 0.176269, 0.180053, 0.185672, 0.186335, 0.187661, 0.190313, 0.194602, 0.202936, 0.209484, 0.2116, 0.215833, 0.22935, 0.235599, 0.243848, 0.253491, 0.260953, 0.268849, 0.277163, 0.28375, 0.288666, 0.293924, 0.29931, 0.305165, 0.311058, 0.318229, 0.326307, 0.338001, 0.351926, 0.376555, 0.402379, 0.436902, 0.453352");
-            values ( \
-              "-0.00115829, -0.0342137, -0.0438211, -0.0536999, -0.0587494, -0.0591155, -0.056341, -0.0564542, -0.0551085, -0.0554777, -0.0548737, -0.0585625, -0.057778, -0.0514387, -0.0504412, -0.0503718, -0.0464189, -0.0437182, -0.0369057, -0.0373635, -0.0349866, -0.0335686, -0.0286575, -0.0231315, -0.0174899, -0.0168231, -0.0127067, -0.00859818, -0.00905225, -0.007053, -0.00623196, -0.00414287, -0.00354954, -0.00240275, -0.002373, -0.00132745, -0.00137506, -0.000725494, -0.00119071, -0.000736877, -0.00138206, -0.000886394, -0.00148562, -0.00078231, -0.00110905, -0.000204279, -0.00057277, -0.000328735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0761668, 0.0911876, 0.102816, 0.112566, 0.114255, 0.116654, 0.118136, 0.120111, 0.124063, 0.130479, 0.134649, 0.139376, 0.144121, 0.153613, 0.157438, 0.163995, 0.17038, 0.184295, 0.187051, 0.209565, 0.227185, 0.248304, 0.258115, 0.264021, 0.26546, 0.268338, 0.274093, 0.280404, 0.29121, 0.301534, 0.312104, 0.319231, 0.327109, 0.331189, 0.384636, 0.406373, 0.47394, 0.577749");
-            values ( \
-              "-0.000428294, -0.0596154, -0.0761298, -0.088627, -0.0948159, -0.0970438, -0.0952274, -0.0940426, -0.0926348, -0.0913873, -0.091016, -0.0909132, -0.0912693, -0.0939006, -0.0954696, -0.0964081, -0.0948054, -0.0841069, -0.0812757, -0.05032, -0.0313141, -0.014472, -0.0111334, -0.00945617, -0.00987315, -0.00869684, -0.00801823, -0.0065116, -0.00600546, -0.00528599, -0.00493021, -0.00371125, -0.00331618, -0.00287589, -0.00186619, -0.00130142, -0.000348167, -1.89019e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0763586, 0.0975272, 0.115383, 0.118471, 0.121444, 0.129295, 0.141154, 0.153151, 0.166513, 0.174689, 0.191042, 0.210523, 0.242496, 0.262625, 0.300884, 0.309854, 0.320959, 0.349021, 0.384152, 0.410829, 0.49249");
-            values ( \
-              "-0.0065591, -0.10448, -0.144465, -0.147097, -0.143876, -0.141528, -0.13968, -0.138718, -0.141045, -0.144241, -0.142817, -0.124537, -0.0736144, -0.0483754, -0.0148308, -0.010289, -0.00889877, -0.00959094, -0.00613646, -0.00439527, -0.00126719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0766613, 0.102689, 0.116965, 0.129279, 0.160127, 0.182935, 0.206043, 0.223955, 0.238259, 0.252991, 0.297044, 0.335649, 0.360076, 0.390475, 0.416903, 0.473544, 0.519287, 0.595744");
-            values ( \
-              "-0.0108242, -0.160325, -0.204198, -0.198587, -0.19441, -0.19239, -0.197193, -0.195542, -0.187028, -0.171957, -0.105603, -0.0588136, -0.0352995, -0.022794, -0.0161484, -0.009379, -0.00606098, -0.00263004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0846221, 0.0982759, 0.116651, 0.136145, 0.224184, 0.269874, 0.281225, 0.299076, 0.316665, 0.336523, 0.426729, 0.455577, 0.485283, 0.527858, 0.582993, 0.630636, 0.712667, 0.796548, 0.839079");
-            values ( \
-              "-0.0625287, -0.184796, -0.257345, -0.251765, -0.24267, -0.244267, -0.242754, -0.236841, -0.227536, -0.20958, -0.104528, -0.0752821, -0.0599496, -0.0421114, -0.0232455, -0.0136013, -0.00703599, -0.00377735, -0.00289028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0930026, 0.112534, 0.115431, 0.119703, 0.121684, 0.125645, 0.138617, 0.196791, 0.301524, 0.34229, 0.372182, 0.400789, 0.430359, 0.45969, 0.493066, 0.525467, 0.550637, 0.602977, 0.623458, 0.703197, 0.753804, 0.808127, 0.83215, 0.872573, 0.92371, 0.952448, 0.982018, 1.02144, 1.07839, 1.19229, 1.30619, 1.42008, 1.59093");
-            values ( \
-              "-0.266306, -0.274749, -0.291919, -0.300664, -0.298218, -0.296655, -0.294643, -0.291076, -0.281722, -0.279865, -0.279394, -0.275917, -0.268769, -0.257239, -0.23648, -0.212043, -0.191223, -0.144168, -0.131134, -0.0905267, -0.0682081, -0.0491676, -0.0422907, -0.0323155, -0.0224976, -0.0183171, -0.0150486, -0.0118007, -0.00851438, -0.00475504, -0.00269072, -0.0014948, -0.000637935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.131053, 0.161069, 0.174321, 0.194961, 0.219927, 0.226663, 0.239552, 0.24896, 0.251097, 0.267867, 0.276087, 0.28704, 0.302807, 0.31168, 0.326029, 0.334907, 0.344341, 0.351984, 0.3598, 0.368191, 0.374691, 0.379646, 0.383661, 0.390236, 0.395681, 0.401743, 0.408733, 0.416929, 0.428754, 0.442812, 0.466727, 0.492053, 0.526193, 0.541889");
-            values ( \
-              "-0.000190907, -0.0216547, -0.0278043, -0.0357715, -0.0444639, -0.0472615, -0.0545941, -0.0579202, -0.0602884, -0.0470186, -0.0382359, -0.0284062, -0.0161518, -0.0105875, -0.00840028, -0.00779078, -0.00550273, -0.00487484, -0.00283519, -0.00321417, -0.00157769, -0.0020799, -0.000693173, -0.00153645, -0.000487593, -0.00154895, -0.000673885, -0.00166581, -0.000756368, -0.00152699, -0.000369155, -0.000949092, -1e-22, -0.00022563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.143277, 0.153227, 0.164071, 0.178341, 0.200597, 0.231298, 0.24878, 0.253022, 0.257285, 0.26159, 0.2702, 0.275361, 0.285682, 0.297793, 0.305168, 0.31648, 0.324443, 0.33776, 0.346744, 0.354275, 0.35635, 0.360499, 0.37647, 0.387267, 0.39777, 0.406441, 0.412333, 0.428916, 0.45873, 0.474348, 0.52689, 0.563764, 0.611186, 0.668134");
-            values ( \
-              "-0.0194004, -0.0305331, -0.038159, -0.0488373, -0.0627604, -0.0800394, -0.0932969, -0.0973554, -0.0975267, -0.0950644, -0.0890977, -0.0845459, -0.0709561, -0.0540386, -0.0450791, -0.0330219, -0.026218, -0.0156203, -0.0116675, -0.00972764, -0.00968907, -0.00854342, -0.00612439, -0.00584081, -0.00518613, -0.00435452, -0.00358663, -0.00270492, -0.00223264, -0.0018275, -0.000771083, -0.000407195, -0.00012418, -7.09361e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.142972, 0.15677, 0.185077, 0.210214, 0.230894, 0.248473, 0.249354, 0.250362, 0.252147, 0.255053, 0.259376, 0.265062, 0.273233, 0.281342, 0.298801, 0.330844, 0.346201, 0.354164, 0.364129, 0.377415, 0.387725, 0.392588, 0.39451, 0.398354, 0.403928, 0.411175, 0.421384, 0.435485, 0.459039, 0.470032, 0.473751, 0.481188, 0.491559, 0.503533, 0.518309, 0.536838, 0.559064, 0.591108, 0.690324");
-            values ( \
-              "-0.0173113, -0.0509268, -0.0816011, -0.105155, -0.122391, -0.136237, -0.140605, -0.142351, -0.142599, -0.142433, -0.14309, -0.144688, -0.14528, -0.14248, -0.12717, -0.0765102, -0.0559156, -0.0471582, -0.0377488, -0.0267164, -0.0170887, -0.0143055, -0.0122142, -0.0113704, -0.00926729, -0.00933332, -0.00894796, -0.00977435, -0.00796859, -0.00641653, -0.00648831, -0.00554054, -0.00523267, -0.00420727, -0.0038124, -0.00265618, -0.00217468, -0.00100901, -2.45579e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.143492, 0.162817, 0.196828, 0.226921, 0.24891, 0.251616, 0.257029, 0.269692, 0.274494, 0.284098, 0.297358, 0.317755, 0.324748, 0.334037, 0.344308, 0.390613, 0.430653, 0.452123, 0.474557, 0.476794, 0.481267, 0.504655, 0.525554, 0.540346, 0.557341, 0.5641, 0.577619, 0.596345, 0.617369, 0.641433, 0.704374, 0.807597, 0.864546");
-            values ( \
-              "-0.0124245, -0.0798128, -0.129134, -0.166712, -0.190423, -0.199121, -0.195233, -0.193207, -0.193248, -0.194157, -0.19732, -0.194509, -0.190433, -0.183382, -0.171886, -0.102235, -0.054493, -0.0344691, -0.0249516, -0.0247558, -0.0227521, -0.0165967, -0.0141064, -0.0117825, -0.0103343, -0.00923315, -0.00855554, -0.00674563, -0.00587618, -0.00413778, -0.00177817, -0.000242398, -0.000420897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.154851, 0.170312, 0.211925, 0.248734, 0.25214, 0.272874, 0.315114, 0.331706, 0.355343, 0.389917, 0.396409, 0.414933, 0.427748, 0.542003, 0.548917, 0.577571, 0.618746, 0.671996, 0.698401, 0.720592, 0.742133, 0.804129, 0.885605, 0.970281");
-            values ( \
-              "-0.102859, -0.115482, -0.188589, -0.243298, -0.251806, -0.247499, -0.242753, -0.242686, -0.244328, -0.237506, -0.234527, -0.222008, -0.20976, -0.078953, -0.0739247, -0.0592762, -0.0419968, -0.0236898, -0.0175619, -0.0136049, -0.0111947, -0.0069165, -0.00373678, -0.00193566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.155005, 0.180684, 0.203042, 0.248695, 0.253751, 0.259914, 0.265642, 0.277098, 0.286007, 0.294968, 0.406014, 0.458329, 0.475028, 0.497294, 0.521972, 0.551354, 0.584677, 0.617079, 0.642209, 0.694336, 0.714259, 0.797863, 0.822318, 0.869869, 0.910526, 0.933362, 0.971825, 1.02491, 1.05424, 1.0975, 1.15445, 1.2114, 1.3253, 1.43919, 1.66699");
-            values ( \
-              "-0.0920735, -0.156824, -0.203069, -0.28492, -0.293064, -0.295117, -0.292789, -0.292201, -0.291127, -0.290646, -0.28062, -0.279515, -0.278431, -0.274949, -0.26877, -0.25719, -0.236508, -0.212044, -0.191277, -0.144393, -0.131594, -0.089084, -0.0779244, -0.059009, -0.0459999, -0.0397087, -0.0307074, -0.0209488, -0.0171234, -0.0129448, -0.00928878, -0.00687592, -0.00388525, -0.00218843, -0.000652615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243608, 0.275572, 0.290749, 0.31876, 0.340038, 0.39306, 0.400896, 0.427692, 0.437036, 0.445251, 0.452993, 0.463699, 0.501409, 0.504852, 0.550265, 0.55402, 0.567968, 0.579895, 0.626514, 0.64902, 0.707531, 0.795506");
-            values ( \
-              "-0.000197446, -0.00801125, -0.0106284, -0.0168112, -0.0207779, -0.0293726, -0.030995, -0.0390788, -0.0407546, -0.0414488, -0.0412807, -0.0362685, -0.0126508, -0.011535, -0.00375077, -0.00457612, -0.00235074, -0.00160449, -0.00133831, -0.000856021, -0.000236118, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.243599, 0.288517, 0.324923, 0.347618, 0.394506, 0.413014, 0.434007, 0.441616, 0.452316, 0.4653, 0.469793, 0.478512, 0.504643, 0.528738, 0.537377, 0.548595, 0.553658, 0.558564, 0.561798, 0.574201, 0.584452, 0.607901, 0.620253, 0.624322, 0.632019, 0.643214, 0.657354, 0.703089, 0.778485, 0.830652");
-            values ( \
-              "-0.000421296, -0.0169944, -0.0298387, -0.0366818, -0.0489888, -0.0545045, -0.0644603, -0.0675602, -0.0706192, -0.0715171, -0.0708249, -0.0651762, -0.0399028, -0.0198717, -0.014575, -0.0108095, -0.0115111, -0.0105125, -0.00947401, -0.00767404, -0.00688, -0.00395551, -0.00322303, -0.00323095, -0.00286397, -0.00271, -0.00216963, -0.00102036, -0.000171544, -0.000159839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.259438, 0.285746, 0.335443, 0.374907, 0.433934, 0.457724, 0.478777, 0.499301, 0.517399, 0.550253, 0.557139, 0.586181, 0.600026, 0.609984, 0.635523, 0.68508, 0.753178, 0.762556");
-            values ( \
-              "-0.0103685, -0.0254019, -0.0507753, -0.0680537, -0.0914208, -0.106271, -0.116372, -0.113683, -0.0947049, -0.0534206, -0.0488834, -0.0206988, -0.0122631, -0.0110403, -0.0105589, -0.00561241, -0.00213777, -0.00193456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275153, 0.302345, 0.340498, 0.376475, 0.398905, 0.468439, 0.496861, 0.522583, 0.547191, 0.553954, 0.594636, 0.611682, 0.640157, 0.648352, 0.659294, 0.680807, 0.694114, 0.713131, 0.74558, 0.767265, 0.792493, 0.834707, 0.893749, 0.992201, 1.04915");
-            values ( \
-              "-0.0419557, -0.0468104, -0.0742906, -0.0966707, -0.109498, -0.146492, -0.166841, -0.178963, -0.169092, -0.163869, -0.102451, -0.0804502, -0.0481967, -0.0404336, -0.0332971, -0.0247907, -0.0205634, -0.016082, -0.0116799, -0.00943055, -0.00743527, -0.00483124, -0.00235754, -0.000575898, -0.000275287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.274974, 0.340376, 0.378839, 0.436547, 0.523088, 0.559035, 0.574884, 0.588821, 0.603803, 0.616809, 0.631287, 0.729078, 0.752485, 0.782698, 0.822963, 0.869634, 0.89644, 0.921634, 0.948435, 1.01239, 1.09421, 1.20195, 1.31585");
-            values ( \
-              "-0.0349809, -0.0938027, -0.124952, -0.166983, -0.221865, -0.247829, -0.241177, -0.24036, -0.232524, -0.223161, -0.20974, -0.0955792, -0.073945, -0.0585698, -0.0417216, -0.0254527, -0.0187552, -0.0138806, -0.0108645, -0.00661742, -0.00351811, -0.00130734, -0.000330746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.304029, 0.357536, 0.369688, 0.420009, 0.445203, 0.457728, 0.470254, 0.482779, 0.495305, 0.50348, 0.511656, 0.519832, 0.528007, 0.533927, 0.545767, 0.551687, 0.554441, 0.559949, 0.570375, 0.59678, 0.610731, 0.639127, 0.660612, 0.667774, 0.682077, 0.696379, 0.711163, 0.725947, 0.733279, 0.747945, 0.755278, 0.763621, 0.788651, 0.80485, 0.829339, 0.866181, 0.875323, 0.888784, 0.898199, 0.918062, 1.0035, 1.03148, 1.06567, 1.10199, 1.1323, 1.1614, 1.18348, 1.19586, 1.22062, 1.25534");
-            values ( \
-              "-0.114791, -0.124768, -0.136313, -0.181354, -0.201911, -0.214476, -0.228601, -0.244283, -0.261523, -0.262868, -0.264855, -0.267485, -0.270758, -0.273529, -0.280082, -0.283864, -0.286781, -0.285734, -0.284335, -0.281797, -0.280852, -0.280034, -0.27904, -0.278637, -0.277115, -0.275045, -0.271819, -0.267661, -0.265067, -0.258968, -0.255464, -0.250863, -0.235412, -0.224024, -0.205099, -0.173923, -0.165558, -0.15184, -0.143894, -0.131673, -0.0877727, -0.0752359, -0.0619025, -0.0496811, -0.0405045, -0.033415, -0.0287531, -0.0263947, -0.0221899, -0.0173798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.475411, 0.488833, 0.526963, 0.556056, 0.570027, 0.597968, 0.640271, 0.667861, 0.744805, 0.789545, 0.800118, 0.812516, 0.82384, 0.84366, 0.858379, 0.874519, 0.882819, 0.88555, 0.896716, 0.918857, 0.939983, 0.952581, 0.976783, 1.03327, 1.09802, 1.1018");
-            values ( \
-              "-0.00187672, -0.00221838, -0.00353532, -0.00489788, -0.00572468, -0.00797249, -0.0120899, -0.014308, -0.0198437, -0.0261995, -0.026694, -0.0281291, -0.0276753, -0.0260024, -0.0213645, -0.0143708, -0.0127938, -0.0127076, -0.0109587, -0.0060569, -0.00305663, -0.00244748, -0.00212785, -0.000904661, -0.000222034, -0.000213734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.480729, 0.531489, 0.576892, 0.679749, 0.76194, 0.815613, 0.834438, 0.847621, 0.871321, 0.917486, 0.939671, 0.977074, 1.01105, 1.08948, 1.17023, 1.23713, 1.29217");
-            values ( \
-              "-0.00349045, -0.00643994, -0.0105154, -0.0254598, -0.0351097, -0.0463291, -0.0488226, -0.0486374, -0.0444785, -0.0181412, -0.0118933, -0.00661217, -0.00406246, -0.00114206, -0.000179085, -0.000541048, -6.43647e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.502229, 0.56761, 0.587989, 0.65957, 0.700565, 0.79192, 0.828189, 0.859753, 0.869599, 0.8796, 0.889381, 0.908942, 0.936195, 0.977357, 0.986565, 0.997698, 1.01091, 1.03103, 1.0405, 1.06484, 1.07461, 1.08933, 1.12297, 1.17987, 1.21604, 1.23613, 1.28507, 1.33311");
-            values ( \
-              "-0.00973645, -0.0152471, -0.0187034, -0.0353052, -0.0434493, -0.0595659, -0.07013, -0.0779518, -0.0796993, -0.0807645, -0.0804786, -0.0761586, -0.0584866, -0.0252559, -0.0197747, -0.0159224, -0.0153236, -0.0134571, -0.0114707, -0.00836422, -0.00778024, -0.00613849, -0.00376016, -0.00135672, -0.000899765, -0.00131887, -0.000367202, -0.000209696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.502801, 0.557257, 0.582742, 0.60812, 0.661855, 0.688917, 0.73533, 0.819284, 0.841866, 0.884623, 0.895471, 0.906491, 0.92279, 0.94135, 0.958707, 0.987339, 1.01647, 1.0528, 1.06219, 1.07016, 1.09895, 1.11104, 1.12921, 1.14206, 1.15706, 1.17381, 1.19241, 1.2159, 1.22845, 1.25809, 1.28848, 1.32346, 1.37945, 1.4364, 1.49335");
-            values ( \
-              "-0.0121802, -0.0205342, -0.0259652, -0.0326181, -0.0501879, -0.0582425, -0.0706272, -0.0908444, -0.0966986, -0.110949, -0.11516, -0.118234, -0.121759, -0.12503, -0.124343, -0.112661, -0.0880629, -0.0531319, -0.0462074, -0.0416981, -0.0292697, -0.0246774, -0.0198749, -0.0170632, -0.0145047, -0.0122022, -0.0102096, -0.00806584, -0.00826916, -0.00561341, -0.00387714, -0.00229019, -0.00108612, -0.000402294, -0.00024518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.531369, 0.608376, 0.68219, 0.740974, 0.84825, 0.922, 0.989288, 1.02348, 1.04703, 1.06974, 1.10083, 1.14405, 1.17714, 1.1871, 1.21574, 1.21791, 1.25468, 1.30196, 1.3236, 1.35154, 1.37204, 1.41523, 1.45128, 1.53908, 1.59461, 1.65155, 1.76545");
-            values ( \
-              "-0.0290264, -0.0427109, -0.0717527, -0.0927155, -0.126665, -0.14856, -0.173014, -0.181218, -0.183485, -0.179653, -0.161898, -0.122672, -0.0890238, -0.0819252, -0.0664798, -0.0671576, -0.0491568, -0.0301381, -0.0229887, -0.0167844, -0.0137861, -0.0096325, -0.00732471, -0.00373627, -0.00236969, -0.00142462, -0.0005002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.561514, 0.660166, 0.727071, 0.761674, 0.818622, 0.91281, 1.11321, 1.13802, 1.17549, 1.18022, 1.19915, 1.21606, 1.22807, 1.2806, 1.34583, 1.38025, 1.41777, 1.47459, 1.50412, 1.54483, 1.57703, 1.61828, 1.66141, 1.70882, 1.77893, 1.83356, 1.89051, 2.00441, 2.11831, 2.3461");
-            values ( \
-              "-0.0531411, -0.0733323, -0.103335, -0.117352, -0.139712, -0.174422, -0.242904, -0.249623, -0.255615, -0.255737, -0.254501, -0.250371, -0.245345, -0.205946, -0.147931, -0.126911, -0.107253, -0.079535, -0.067297, -0.0525419, -0.0429148, -0.0328252, -0.0240532, -0.0172552, -0.0110605, -0.0083129, -0.00605748, -0.0034045, -0.00188537, -0.000522691" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0121383, 0.0121583, 0.0121822, 0.0122057, 0.0122244, 0.0122374", \
-            "0.0142472, 0.014259, 0.0142758, 0.0142953, 0.0143135, 0.0143273", \
-            "0.0154487, 0.015453, 0.0154605, 0.0154713, 0.0154834, 0.0154943", \
-            "0.0161466, 0.0161478, 0.0161499, 0.0161537, 0.0161592, 0.0161656", \
-            "0.0165253, 0.016526, 0.0165267, 0.0165277, 0.0165293, 0.0165317", \
-            "0.0167338, 0.0167342, 0.0167349, 0.0167357, 0.0167367, 0.0167378" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0167236, 0.0167382, 0.0167568, 0.0167761, 0.0167922, 0.016806", \
-            "0.0182353, 0.0182465, 0.0182598, 0.0182734, 0.0182842, 0.0182911", \
-            "0.019183, 0.0191959, 0.0192105, 0.0192234, 0.0192331, 0.019239", \
-            "0.0198414, 0.0198113, 0.0197792, 0.0197493, 0.0197267, 0.0197118", \
-            "0.0207646, 0.0206314, 0.0204659, 0.0202977, 0.020157, 0.0200557", \
-            "0.0202022, 0.0207437, 0.0210689, 0.0209292, 0.0206033, 0.0203509" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.116352, 0.131443, 0.159668, 0.21228, 0.310693, 0.495706", \
-            "0.120567, 0.135745, 0.164119, 0.216978, 0.31568, 0.500987", \
-            "0.131996, 0.147156, 0.175346, 0.228652, 0.327603, 0.513326", \
-            "0.16102, 0.175679, 0.203669, 0.25639, 0.353953, 0.541118", \
-            "0.226194, 0.243012, 0.272275, 0.323175, 0.420547, 0.605485", \
-            "0.328478, 0.351885, 0.393323, 0.462356, 0.573007, 0.755503" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.13536, 0.156165, 0.195161, 0.269142, 0.408262, 0.673226", \
-            "0.135266, 0.156114, 0.1951, 0.269103, 0.408212, 0.673206", \
-            "0.135093, 0.156003, 0.195107, 0.26906, 0.408143, 0.673187", \
-            "0.138593, 0.1579, 0.195125, 0.2688, 0.408076, 0.673142", \
-            "0.173531, 0.189602, 0.220059, 0.282477, 0.410775, 0.673037", \
-            "0.251, 0.272135, 0.307006, 0.363816, 0.469625, 0.696778" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0654306, 0.0747454, 0.0920145, 0.124044, 0.183841, 0.296217", \
-            "0.0695736, 0.0789742, 0.0963519, 0.128504, 0.188409, 0.300871", \
-            "0.078076, 0.0876437, 0.105125, 0.137488, 0.197666, 0.310313", \
-            "0.0941306, 0.105066, 0.12416, 0.157064, 0.217622, 0.330582", \
-            "0.112252, 0.127007, 0.152078, 0.193298, 0.260604, 0.375331", \
-            "0.119051, 0.139935, 0.175673, 0.233896, 0.324768, 0.463856" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0685421, 0.0800099, 0.102178, 0.14452, 0.224897, 0.377325", \
-            "0.0684485, 0.0799476, 0.102131, 0.144452, 0.224908, 0.377313", \
-            "0.0687188, 0.0797973, 0.101839, 0.144351, 0.224893, 0.377277", \
-            "0.0800429, 0.0891954, 0.108216, 0.147155, 0.22486, 0.377211", \
-            "0.11094, 0.120748, 0.139457, 0.174084, 0.241204, 0.381906", \
-            "0.166026, 0.178534, 0.201372, 0.241348, 0.310664, 0.434207" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0364673, 0.0401676, 0.0449229, 0.0531569, 0.102148, 0.12794, 0.137253, 0.147022, 0.162263, 0.181044, 0.186892, 0.193139, 0.199036, 0.20581, 0.221139, 0.244352, 0.254726, 0.286715, 0.302856, 0.321412, 0.348499, 0.38619, 0.484567, 0.559258");
-            values ( \
-              "0.0288537, 0.0421201, 0.0405424, 0.0390863, 0.0341574, 0.0300608, 0.0309314, 0.031227, 0.027478, 0.0214935, 0.0190619, 0.0191507, 0.018691, 0.0174685, 0.0137393, 0.0119627, 0.0108923, 0.00621981, 0.00476906, 0.00352572, 0.00222441, 0.00112112, 0.000117251, 0.000104546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0363997, 0.041544, 0.0471136, 0.0566866, 0.0765831, 0.0940769, 0.0973936, 0.104027, 0.117294, 0.119249, 0.123159, 0.142985, 0.16095, 0.167393, 0.179513, 0.194191, 0.208405, 0.217849, 0.228973, 0.240853, 0.248522, 0.258112, 0.276829, 0.285729, 0.289109, 0.313613, 0.33389, 0.340199, 0.360631, 0.392758, 0.426924, 0.458681, 0.508135, 0.580647, 0.66178");
-            values ( \
-              "0.0289571, 0.0677754, 0.0658612, 0.0637706, 0.0609074, 0.0588583, 0.0582297, 0.0575741, 0.0550982, 0.0549557, 0.0539167, 0.0499913, 0.0517828, 0.0505156, 0.0471786, 0.0404877, 0.034802, 0.0293433, 0.0258336, 0.0238739, 0.0232025, 0.0230946, 0.0203598, 0.0184878, 0.0182582, 0.0126963, 0.00929291, 0.00850646, 0.00633221, 0.00393962, 0.00236433, 0.00142283, 0.000646399, 0.000175215, 5.54144e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0363603, 0.0436225, 0.0507165, 0.0600569, 0.0879769, 0.126757, 0.162696, 0.171232, 0.186407, 0.201612, 0.224003, 0.235285, 0.253429, 0.280784, 0.307752, 0.319283, 0.331705, 0.345095, 0.353299, 0.366998, 0.399975, 0.410377, 0.412285, 0.416102, 0.423736, 0.438624, 0.463413, 0.482688, 0.488597, 0.500415, 0.52405, 0.647286, 0.728419, 0.809552");
-            values ( \
-              "0.0290569, 0.100651, 0.0981492, 0.0960583, 0.0915647, 0.0859048, 0.0782113, 0.0767656, 0.07805, 0.0767359, 0.0676677, 0.0621005, 0.0525595, 0.0366289, 0.0363818, 0.0345177, 0.0322344, 0.028834, 0.0272988, 0.0232353, 0.0157672, 0.014393, 0.01364, 0.0134841, 0.0119266, 0.0104222, 0.00738629, 0.00624374, 0.00540187, 0.00507198, 0.00342388, 0.000506848, 0.000450199, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0402128, 0.0468133, 0.0651272, 0.145292, 0.183454, 0.211554, 0.224114, 0.244892, 0.266101, 0.310901, 0.347109, 0.356694, 0.37821, 0.405827, 0.491801, 0.544537, 0.581591, 0.647523, 0.694731, 0.745656, 0.826789, 0.865676");
-            values ( \
-              "0.134414, 0.135795, 0.130937, 0.119758, 0.113516, 0.107735, 0.106613, 0.107207, 0.103218, 0.085233, 0.0651856, 0.0645644, 0.060014, 0.0511316, 0.0312559, 0.0208338, 0.0153876, 0.00873029, 0.00574983, 0.00367911, 0.00167568, 0.00144183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.044964, 0.044984, 0.118787, 0.202403, 0.260733, 0.300711, 0.322417, 0.353752, 0.386757, 0.419486, 0.46089, 0.49229, 0.507357, 0.617765, 0.699361, 0.768955, 0.829239, 0.903825, 0.9924, 1.12599, 1.28826, 1.34159");
-            values ( \
-              "1e-22, 0.184255, 0.156468, 0.148121, 0.141016, 0.134818, 0.134359, 0.132179, 0.125645, 0.115755, 0.0985693, 0.0948724, 0.0912799, 0.0593462, 0.0428796, 0.0312875, 0.0231019, 0.0154602, 0.00935862, 0.00424312, 0.00155768, 0.00132351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0452786, 0.0452986, 0.203399, 0.332136, 0.454836, 0.503618, 0.558524, 0.597824, 0.654636, 0.702631, 0.759431, 1.02955, 1.12722, 1.34199, 1.41985, 1.56755, 1.69663, 2.02116, 2.05159");
-            values ( \
-              "1e-22, 0.197895, 0.1762, 0.167961, 0.156872, 0.155247, 0.149966, 0.143792, 0.129327, 0.126252, 0.116578, 0.0627355, 0.0489075, 0.0263476, 0.0205621, 0.0125553, 0.00803089, 0.00249052, 0.00235631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0550386, 0.0587398, 0.0672896, 0.071486, 0.0786363, 0.0885814, 0.106525, 0.125485, 0.143342, 0.146176, 0.151842, 0.159816, 0.16743, 0.177013, 0.204349, 0.210102, 0.216271, 0.224557, 0.239369, 0.262682, 0.27036, 0.272831, 0.28274, 0.29018, 0.304258, 0.321458, 0.341795, 0.368922, 0.420329, 0.467184, 0.530694, 0.610478");
-            values ( \
-              "0.0277048, 0.0432493, 0.0403899, 0.0395131, 0.0384339, 0.037351, 0.0357178, 0.0334501, 0.0304695, 0.0302302, 0.0304331, 0.0316325, 0.0306008, 0.0285524, 0.0195576, 0.0188811, 0.0189391, 0.0173329, 0.0137488, 0.0119593, 0.0110088, 0.0109106, 0.00963632, 0.0082162, 0.00629216, 0.00474366, 0.00340636, 0.00214465, 0.000825143, 0.000414715, 4.98976e-05, 7.47282e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0550669, 0.0601832, 0.0674925, 0.0751342, 0.0830307, 0.115786, 0.132864, 0.161363, 0.180252, 0.196745, 0.222398, 0.243777, 0.251269, 0.260081, 0.268177, 0.277505, 0.287045, 0.307449, 0.338931, 0.350137, 0.36153, 0.388131, 0.406942, 0.435626, 0.472441, 0.517807, 0.549189");
-            values ( \
-              "0.0276034, 0.0695944, 0.0662569, 0.0641681, 0.0627596, 0.0583788, 0.0557516, 0.0501097, 0.0517761, 0.0475133, 0.0364657, 0.0261851, 0.0256636, 0.0234751, 0.0234801, 0.0228223, 0.0216597, 0.01821, 0.0115101, 0.00960469, 0.00815663, 0.00555206, 0.00421803, 0.00272373, 0.00153066, 0.00072566, 0.000504252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0571804, 0.0622718, 0.0692756, 0.0751565, 0.0813624, 0.103142, 0.145196, 0.160168, 0.181145, 0.189694, 0.204863, 0.220062, 0.242388, 0.253726, 0.271862, 0.299213, 0.301585, 0.30633, 0.315225, 0.326179, 0.337724, 0.350156, 0.371683, 0.385394, 0.418375, 0.428713, 0.430606, 0.434392, 0.441964, 0.481271, 0.50073, 0.506713, 0.51868, 0.542614, 0.589622, 0.665763, 0.746896, 0.828029");
-            values ( \
-              "0.0824494, 0.102501, 0.0990655, 0.0971707, 0.0957757, 0.0921874, 0.0858588, 0.0829016, 0.078162, 0.0768733, 0.0780059, 0.0768269, 0.0676387, 0.0621954, 0.0525011, 0.0365778, 0.0367999, 0.0364118, 0.0365193, 0.0363333, 0.0345926, 0.0321782, 0.0272575, 0.0233105, 0.0158354, 0.0143526, 0.0137147, 0.0134549, 0.0120094, 0.00749063, 0.00622017, 0.0054735, 0.00503073, 0.00346663, 0.00215267, 0.000554855, 0.000398825, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0573135, 0.0655412, 0.0835922, 0.163911, 0.242701, 0.263279, 0.284709, 0.329506, 0.365554, 0.375092, 0.396794, 0.424427, 0.533951, 0.600347, 0.626862, 0.681599, 0.763677, 0.864787");
-            values ( \
-              "0.0795433, 0.137154, 0.131207, 0.119676, 0.106053, 0.107509, 0.103085, 0.0851247, 0.0653563, 0.0645091, 0.0599365, 0.0510458, 0.0261828, 0.0154533, 0.0122521, 0.00759733, 0.00361439, 0.00182862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0605753, 0.0605953, 0.139464, 0.249524, 0.319165, 0.341209, 0.372532, 0.405542, 0.438268, 0.479666, 0.496645, 0.510799, 0.525821, 0.636382, 0.701099, 0.752065, 0.787795, 0.847911, 0.922183, 0.984591, 1.06354, 1.14467, 1.30694, 1.55034");
-            values ( \
-              "1e-22, 0.169841, 0.15637, 0.144882, 0.135001, 0.134264, 0.132287, 0.125562, 0.115864, 0.0984917, 0.097044, 0.0948691, 0.0914379, 0.0593308, 0.0461109, 0.0370859, 0.0313435, 0.0231788, 0.0155591, 0.0110254, 0.00703164, 0.00430712, 0.00162006, 0.000425335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0678245, 0.0678445, 0.219304, 0.328785, 0.455934, 0.526591, 0.584874, 0.608632, 0.674714, 0.721172, 0.757449, 1.03969, 1.17751, 1.34604, 1.51192, 1.63481, 1.79708, 2.05424");
-            values ( \
-              "1e-22, 0.206157, 0.176523, 0.169449, 0.158617, 0.154745, 0.148987, 0.14541, 0.12934, 0.126425, 0.120605, 0.0644068, 0.0452322, 0.0277369, 0.0162829, 0.0107571, 0.00612804, 0.00280618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0811172, 0.103915, 0.112559, 0.114168, 0.116886, 0.119573, 0.122241, 0.126384, 0.13085, 0.138614, 0.1487, 0.161575, 0.167611, 0.177287, 0.188276, 0.197701, 0.207482, 0.209499, 0.213533, 0.221602, 0.233158, 0.24157, 0.247455, 0.253739, 0.259659, 0.266066, 0.272681, 0.286788, 0.294263, 0.302093, 0.308002, 0.314548, 0.314939, 0.330257, 0.355865, 0.361729, 0.372866, 0.385825, 0.403899, 0.40952, 0.42076, 0.443241, 0.482214, 0.609184");
-            values ( \
-              "0.000756411, 0.0280034, 0.0334207, 0.0408768, 0.0396231, 0.0407798, 0.0388045, 0.039132, 0.0371974, 0.037372, 0.0352049, 0.0348721, 0.0328884, 0.0322661, 0.0296295, 0.0315595, 0.0307584, 0.0313347, 0.0293033, 0.0282585, 0.0235428, 0.0219062, 0.0185213, 0.019626, 0.018147, 0.0179357, 0.0154196, 0.0128627, 0.0132336, 0.0118109, 0.0119531, 0.0104721, 0.0111585, 0.00838201, 0.00520985, 0.00514963, 0.00391525, 0.00356233, 0.00226315, 0.00246507, 0.00164258, 0.00146021, 0.000394775, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0813193, 0.112545, 0.114697, 0.117617, 0.130971, 0.157893, 0.179777, 0.188727, 0.203427, 0.222137, 0.227783, 0.239074, 0.268811, 0.278649, 0.287608, 0.293331, 0.302339, 0.310323, 0.319956, 0.329545, 0.349433, 0.370115, 0.380102, 0.391195, 0.404835, 0.431603, 0.45122, 0.468835, 0.492322, 0.52627, 0.591465, 0.669486");
-            values ( \
-              "0.00457245, 0.0543818, 0.0622094, 0.0661794, 0.0624235, 0.0584896, 0.054992, 0.0529527, 0.0501621, 0.0518562, 0.0506288, 0.0474009, 0.034533, 0.0293572, 0.0260745, 0.0255173, 0.0235647, 0.0235284, 0.0228277, 0.021626, 0.0182123, 0.013529, 0.0116456, 0.00975123, 0.00800818, 0.00543761, 0.00407331, 0.00310924, 0.00218693, 0.0012544, 0.000433952, 8.98988e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0813784, 0.11255, 0.115348, 0.11681, 0.119735, 0.127897, 0.133714, 0.140925, 0.148475, 0.174646, 0.204261, 0.216876, 0.231644, 0.255581, 0.274775, 0.295713, 0.307583, 0.328865, 0.339608, 0.352225, 0.361856, 0.373485, 0.384982, 0.413419, 0.418027, 0.441879, 0.45793, 0.470186, 0.485726, 0.502612, 0.525697, 0.543677, 0.558928, 0.579262, 0.61856, 0.68325, 0.764383, 0.845515");
-            values ( \
-              "0.000772961, 0.0821217, 0.0961524, 0.0978764, 0.0987084, 0.0961223, 0.0945408, 0.0931094, 0.0918416, 0.0878533, 0.0825581, 0.0797628, 0.076765, 0.0783044, 0.0720278, 0.0621986, 0.0558618, 0.0437688, 0.0372895, 0.0364374, 0.0367982, 0.0356612, 0.0337006, 0.027177, 0.0258546, 0.0197724, 0.0164984, 0.0142099, 0.0119541, 0.00974328, 0.00746496, 0.00595041, 0.00494468, 0.00390029, 0.00233474, 0.0010347, 0.000309334, 0.000141941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0949718, 0.118069, 0.121055, 0.142827, 0.206291, 0.285092, 0.30571, 0.327076, 0.371876, 0.407936, 0.417498, 0.439149, 0.466787, 0.576267, 0.617671, 0.642704, 0.669177, 0.723807, 0.805663, 0.886796, 0.967929, 1.13019");
-            values ( \
-              "0.0583205, 0.133883, 0.134266, 0.1287, 0.119704, 0.106272, 0.10749, 0.103087, 0.0851383, 0.0653676, 0.0645564, 0.0599678, 0.0510662, 0.0261972, 0.0188635, 0.0154501, 0.0122597, 0.00760953, 0.00362849, 0.00177055, 0.00075272, 0.000129974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0955525, 0.112645, 0.115183, 0.119424, 0.123202, 0.134363, 0.154298, 0.188415, 0.264047, 0.292356, 0.322355, 0.362241, 0.384039, 0.415363, 0.447321, 0.463063, 0.495351, 0.522212, 0.524616, 0.532527, 0.55628, 0.587795, 0.673909, 0.707864, 0.760824, 0.835684, 0.889317, 0.943644, 1.00496, 1.04074, 1.07543, 1.12167, 1.2028, 1.36507, 1.52733, 1.60846");
-            values ( \
-              "0.0596009, 0.13787, 0.157886, 0.165899, 0.16614, 0.162865, 0.159641, 0.155725, 0.148109, 0.144851, 0.140929, 0.134934, 0.134322, 0.132242, 0.125846, 0.121754, 0.109819, 0.0983259, 0.0987221, 0.0979231, 0.0944039, 0.0862944, 0.0608078, 0.0529521, 0.0428626, 0.0304915, 0.0232796, 0.0174293, 0.0125657, 0.0101057, 0.00828592, 0.00649799, 0.00389112, 0.00143047, 0.000493621, 0.000440176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.109199, 0.109219, 0.260931, 0.371977, 0.499118, 0.569778, 0.628055, 0.651815, 0.717895, 0.764347, 0.80063, 1.08307, 1.22049, 1.38908, 1.55525, 1.67785, 1.84011, 2.00238, 2.13068");
-            values ( \
-              "1e-22, 0.201724, 0.176663, 0.169429, 0.158645, 0.154774, 0.149017, 0.145389, 0.129345, 0.12643, 0.12061, 0.0643762, 0.0452576, 0.0277504, 0.0162765, 0.0107638, 0.00613217, 0.00345878, 0.00225613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.144696, 0.165228, 0.180122, 0.189473, 0.214254, 0.224414, 0.245993, 0.248818, 0.251534, 0.256041, 0.259279, 0.264879, 0.271866, 0.283424, 0.285415, 0.289398, 0.297363, 0.30648, 0.314981, 0.324282, 0.337544, 0.34333, 0.34939, 0.355204, 0.363118, 0.372861, 0.380028, 0.39418, 0.416709, 0.421956, 0.436804, 0.44337, 0.452858, 0.462892, 0.474792, 0.486311, 0.502617, 0.506764, 0.51506, 0.531651, 0.556346, 0.671065, 0.752198");
-            values ( \
-              "0.00227835, 0.010497, 0.015868, 0.0187486, 0.0255311, 0.0280179, 0.0327183, 0.0331696, 0.0353187, 0.035741, 0.034843, 0.0338311, 0.0323478, 0.030584, 0.0304675, 0.0305853, 0.0318078, 0.0308124, 0.0287082, 0.0259366, 0.0217013, 0.0193383, 0.0189977, 0.0188216, 0.0174214, 0.0149443, 0.0137023, 0.0127586, 0.0103934, 0.00938957, 0.00705445, 0.00642111, 0.00532933, 0.00469014, 0.00371861, 0.00324383, 0.00230665, 0.00233184, 0.00183819, 0.00154986, 0.000829787, 1e-22, 0.000122074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.14928, 0.153707, 0.166796, 0.177412, 0.196292, 0.217691, 0.240625, 0.249085, 0.252141, 0.255175, 0.265896, 0.284212, 0.300317, 0.318679, 0.324587, 0.336405, 0.364889, 0.375023, 0.384119, 0.390216, 0.399146, 0.407243, 0.416586, 0.426312, 0.444456, 0.446096, 0.449375, 0.455935, 0.466165, 0.476251, 0.487478, 0.503971, 0.536465, 0.55444, 0.577936, 0.608171, 0.667948, 0.689616");
-            values ( \
-              "0.00991804, 0.0111226, 0.0177332, 0.024024, 0.0337526, 0.0433451, 0.0523474, 0.0552454, 0.0586205, 0.0596526, 0.0575043, 0.0534511, 0.0503231, 0.0521255, 0.0506619, 0.0471931, 0.03483, 0.0295484, 0.0260412, 0.0254341, 0.0236592, 0.0237395, 0.0229838, 0.0216915, 0.0183934, 0.0183263, 0.0174365, 0.0161726, 0.0136038, 0.0117649, 0.00977033, 0.00768991, 0.0047744, 0.00365596, 0.00255283, 0.00163339, 0.00056304, 0.000453447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.15321, 0.19089, 0.228579, 0.248678, 0.251868, 0.25651, 0.327939, 0.351233, 0.372178, 0.392715, 0.43898, 0.450849, 0.469931, 0.494403, 0.543779, 0.560753, 0.584628, 0.629857, 0.664644, 0.690451, 0.742065, 0.904331");
-            values ( \
-              "0.0149037, 0.0469359, 0.0729435, 0.0847246, 0.0896743, 0.0918074, 0.0769636, 0.0784159, 0.071543, 0.0617969, 0.0367474, 0.0369151, 0.035816, 0.0307998, 0.0186347, 0.0151321, 0.0114502, 0.00665395, 0.00433115, 0.00330197, 0.00156572, 9.54119e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.153214, 0.180978, 0.194759, 0.201893, 0.216163, 0.227404, 0.248749, 0.254677, 0.263248, 0.265732, 0.270701, 0.278647, 0.302057, 0.320504, 0.340155, 0.369774, 0.380768, 0.401539, 0.422716, 0.44388, 0.46751, 0.469865, 0.484, 0.493197, 0.503733, 0.513435, 0.52367, 0.534773, 0.548323, 0.56245, 0.578803, 0.620811, 0.62987, 0.647988, 0.671796, 0.700586, 0.713261, 0.738611, 0.764962, 0.80394, 0.819362, 0.850206, 0.900601, 0.981734, 1.06287, 1.22513");
-            values ( \
-              "0.0115365, 0.0526413, 0.0675215, 0.0750003, 0.0888453, 0.0993801, 0.117718, 0.125116, 0.127135, 0.125906, 0.124655, 0.1232, 0.119859, 0.11713, 0.113575, 0.10762, 0.106794, 0.107351, 0.103123, 0.0957629, 0.0850929, 0.0834057, 0.0756443, 0.0699727, 0.06544, 0.0645636, 0.0624817, 0.0599791, 0.0553712, 0.0510398, 0.0471236, 0.0378459, 0.0355525, 0.0314503, 0.0261995, 0.0210248, 0.0188533, 0.0154288, 0.0122271, 0.00883824, 0.00759897, 0.00590074, 0.00363696, 0.00179592, 0.000743221, 0.000116583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.184105, 0.222545, 0.226901, 0.231258, 0.235615, 0.242187, 0.245472, 0.247115, 0.248758, 0.251466, 0.253648, 0.256184, 0.262268, 0.271493, 0.287429, 0.358417, 0.409372, 0.41677, 0.431566, 0.442943, 0.454321, 0.472374, 0.48556, 0.507068, 0.542727, 0.549378, 0.558247, 0.574694, 0.616603, 0.617378, 0.623192, 0.631312, 0.639542, 0.650312, 0.665537, 0.699255, 0.747279, 0.775255, 0.806823, 0.83148, 0.862965, 0.886231, 0.913882, 0.945247, 0.984965, 1.01132, 1.0306, 1.0621, 1.1079, 1.14795");
-            values ( \
-              "0.119974, 0.128619, 0.130767, 0.134021, 0.138381, 0.14705, 0.148498, 0.1485, 0.148021, 0.157508, 0.159707, 0.15978, 0.159362, 0.15767, 0.155607, 0.147948, 0.141891, 0.140912, 0.138202, 0.136657, 0.135476, 0.134581, 0.133626, 0.131522, 0.125503, 0.123901, 0.121284, 0.115563, 0.0983743, 0.0986735, 0.0982271, 0.0972988, 0.0961089, 0.0941494, 0.0906482, 0.0811539, 0.0662001, 0.0587453, 0.0521505, 0.0473368, 0.0414525, 0.037362, 0.0329534, 0.0283651, 0.0230535, 0.0199715, 0.0180237, 0.0152249, 0.0118455, 0.00926232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.174138, 0.206861, 0.257508, 0.301905, 0.48985, 0.613277, 0.662105, 0.716344, 0.756286, 0.813059, 0.861002, 0.896625, 1.18953, 1.35367, 1.49935, 1.5927, 1.73549, 1.93121, 2.17461, 2.19464");
-            values ( \
-              "0.0823092, 0.11301, 0.185084, 0.180613, 0.167938, 0.156978, 0.155162, 0.14995, 0.143911, 0.129245, 0.126369, 0.120618, 0.062575, 0.0409285, 0.0265244, 0.0197033, 0.0122403, 0.00630338, 0.00262341, 0.00253347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.275218, 0.305979, 0.324142, 0.368537, 0.410519, 0.444004, 0.474618, 0.491999, 0.501333, 0.513187, 0.523725, 0.525736, 0.537797, 0.55018, 0.551539, 0.553549, 0.560355, 0.571602, 0.580131, 0.591795, 0.62948, 0.661964, 0.683394, 0.714258, 0.762295, 0.803133, 0.827212");
-            values ( \
-              "0.00417044, 0.00435554, 0.00616246, 0.0132059, 0.0179979, 0.021178, 0.0231256, 0.0235931, 0.0247555, 0.0275996, 0.0274713, 0.0276054, 0.0260224, 0.0226744, 0.0231853, 0.0229981, 0.0206913, 0.0197687, 0.018328, 0.0154831, 0.011447, 0.00642514, 0.00454058, 0.00271733, 0.0011969, 0.000540238, 0.000397798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.275268, 0.310212, 0.327669, 0.367394, 0.392823, 0.421145, 0.457154, 0.488796, 0.504632, 0.5182, 0.532657, 0.544537, 0.550229, 0.555567, 0.562531, 0.571903, 0.58958, 0.598068, 0.606503, 0.610695, 0.618805, 0.626627, 0.636296, 0.663619, 0.674224, 0.694138, 0.712147, 0.725303, 0.740771, 0.77117, 0.778943, 0.810033, 0.851487, 0.920076, 1.00121");
-            values ( \
-              "0.00623142, 0.00798318, 0.0110364, 0.0212886, 0.026455, 0.0315868, 0.0374173, 0.0409773, 0.0421034, 0.043829, 0.0487372, 0.0478141, 0.04702, 0.0471093, 0.0447518, 0.04068, 0.0323329, 0.0276414, 0.0264835, 0.0254394, 0.0250322, 0.0249061, 0.0236977, 0.018818, 0.016109, 0.0118233, 0.00906076, 0.0075681, 0.00597389, 0.00378635, 0.00336407, 0.00207004, 0.00112102, 0.000294145, 0.000118346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.275178, 0.316884, 0.344633, 0.368473, 0.388395, 0.439271, 0.47954, 0.502216, 0.53844, 0.547391, 0.561375, 0.577453, 0.590097, 0.609186, 0.654021, 0.668283, 0.677839, 0.68823, 0.712649, 0.745581, 0.777046, 0.806353, 0.850873, 0.889951, 0.935667, 0.995639, 1.1579");
-            values ( \
-              "0.00847173, 0.0140758, 0.0230721, 0.032358, 0.038982, 0.053341, 0.0634008, 0.06802, 0.0725963, 0.0748659, 0.0800172, 0.0764176, 0.0718094, 0.0631948, 0.0385005, 0.0376101, 0.0374343, 0.0361766, 0.0310476, 0.022378, 0.015517, 0.0110077, 0.00642227, 0.00392959, 0.00240436, 0.000909592, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275205, 0.328209, 0.341765, 0.367787, 0.385914, 0.409565, 0.44835, 0.469507, 0.511821, 0.526876, 0.543345, 0.550166, 0.554218, 0.558032, 0.57593, 0.586825, 0.598346, 0.618953, 0.640197, 0.671484, 0.707372, 0.717835, 0.728628, 0.738252, 0.749652, 0.780981, 0.817457, 0.841266, 0.846701, 0.857572, 0.879314, 0.924965, 0.929977, 0.940002, 0.986043, 1.02293, 1.03679, 1.11917, 1.2003, 1.28143, 1.36257, 1.4437");
-            values ( \
-              "0.00918296, 0.0244121, 0.0305583, 0.0437964, 0.0523323, 0.0624419, 0.0778385, 0.0858675, 0.100543, 0.105156, 0.109511, 0.111049, 0.113624, 0.11438, 0.110546, 0.108471, 0.107644, 0.107429, 0.103109, 0.0916221, 0.0722782, 0.0661633, 0.0654717, 0.0632449, 0.0609815, 0.0506573, 0.0423784, 0.0372506, 0.035563, 0.0333481, 0.028135, 0.0196471, 0.0191799, 0.0173868, 0.011774, 0.00879052, 0.00752952, 0.00352536, 0.00184234, 0.000666519, 0.000506132, 4.78932e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.274943, 0.345767, 0.391868, 0.44304, 0.517014, 0.549904, 0.560629, 0.575767, 0.590797, 0.611796, 0.663489, 0.694701, 0.700319, 0.706739, 0.71958, 0.739136, 0.759837, 0.789011, 0.833858, 0.835847, 0.852017, 0.866205, 0.88129, 0.897536, 0.992067, 1.02373, 1.05651, 1.10378, 1.14045, 1.20201, 1.23644, 1.27868, 1.33994, 1.36495, 1.41497, 1.4961, 1.57723, 1.65836, 1.82063, 1.90176");
-            values ( \
-              "0.00646181, 0.0411681, 0.0687787, 0.0958934, 0.131745, 0.145629, 0.149595, 0.149302, 0.146578, 0.144358, 0.136605, 0.134033, 0.134175, 0.133914, 0.132824, 0.129986, 0.125579, 0.117062, 0.0984854, 0.0987935, 0.0970339, 0.0947291, 0.0911659, 0.0867477, 0.0589689, 0.0522434, 0.0458768, 0.0375024, 0.0315907, 0.0232007, 0.0194021, 0.0153698, 0.0109538, 0.009449, 0.00714532, 0.00438221, 0.00276093, 0.00164985, 0.000607439, 0.000429708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.331429, 0.392799, 0.456062, 0.493669, 0.549888, 0.563759, 0.585293, 0.590253, 0.682762, 0.763895, 0.809822, 0.856865, 0.880532, 0.925002, 0.938899, 0.962617, 0.998914, 1.02859, 1.04229, 1.05658, 1.07504, 1.09261, 1.11138, 1.16035, 1.28509, 1.39367, 1.45798, 1.51056, 1.57205, 1.62794, 1.69986, 1.74378, 1.80235, 1.86575, 1.94688, 1.98848, 2.06961, 2.15075, 2.23188, 2.31301, 2.47528, 2.63754, 2.79981, 2.88094");
-            values ( \
-              "0.0764943, 0.0794077, 0.11919, 0.141364, 0.172329, 0.177181, 0.177026, 0.175952, 0.169523, 0.163004, 0.158645, 0.155784, 0.154755, 0.150548, 0.148995, 0.145388, 0.137267, 0.129361, 0.128467, 0.128046, 0.12644, 0.123928, 0.120612, 0.110822, 0.0849474, 0.0644036, 0.0547102, 0.0477566, 0.0404263, 0.034511, 0.0277491, 0.0241975, 0.0201148, 0.0162903, 0.012458, 0.0107682, 0.00819619, 0.00613459, 0.00466704, 0.00346003, 0.00193834, 0.00107902, 0.000595509, 0.000495314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.499236, 0.606135, 0.662926, 0.703493, 0.778618, 0.837603, 0.869926, 0.915557, 0.952175, 0.983116, 0.996831, 1.00377, 1.01841, 1.06452, 1.11979, 1.18847, 1.2131, 1.21566, 1.22322, 1.27792, 1.30612");
-            values ( \
-              "0.00055294, 0.00189998, 0.003411, 0.00550631, 0.0110076, 0.0137406, 0.0147047, 0.0149109, 0.0176476, 0.0179205, 0.0176357, 0.0168111, 0.0182358, 0.0145555, 0.00686401, 0.00223465, 0.00178532, 0.00131679, 0.00204143, 0.000846604, 0.000520048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.499631, 0.612087, 0.670085, 0.711009, 0.758751, 0.812317, 0.855577, 0.888122, 0.938376, 0.98004, 0.999709, 1.019, 1.06095, 1.07764, 1.09351, 1.1579, 1.21491, 1.22284, 1.26308, 1.29316, 1.3487, 1.38222");
-            values ( \
-              "0.000869508, 0.00354954, 0.00632999, 0.0100255, 0.016059, 0.0210207, 0.0241196, 0.0258551, 0.026854, 0.0320151, 0.0319068, 0.0323691, 0.0263347, 0.0269825, 0.0255366, 0.0126852, 0.00586368, 0.00647712, 0.0036932, 0.00227725, 0.000936946, 0.000607288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.499616, 0.621876, 0.648893, 0.683762, 0.719965, 0.758779, 0.815352, 0.871252, 0.897599, 0.950294, 0.971464, 0.994045, 1.01641, 1.04024, 1.05636, 1.0723, 1.0886, 1.10888, 1.12773, 1.13933, 1.14389, 1.16467, 1.21604, 1.21972, 1.22539, 1.2738, 1.31072, 1.33885, 1.3739, 1.42064, 1.50036, 1.58149, 1.66262");
-            values ( \
-              "0.00117446, 0.00652105, 0.00841298, 0.0117791, 0.0171374, 0.0244372, 0.0329381, 0.0392309, 0.0415584, 0.0454671, 0.0463442, 0.04849, 0.0532323, 0.0547424, 0.0551443, 0.0537427, 0.0504125, 0.0430044, 0.040555, 0.0404657, 0.0401297, 0.0371495, 0.0241176, 0.0243997, 0.0237639, 0.0139803, 0.00906492, 0.00644261, 0.00419013, 0.00231392, 0.000783615, 0.000264519, 9.32799e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.580558, 0.698039, 0.715886, 0.785877, 0.847648, 0.877751, 0.937957, 0.973518, 1.04357, 1.0985, 1.12243, 1.148, 1.17011, 1.18809, 1.1985, 1.21589, 1.22267, 1.23186, 1.26133, 1.35839, 1.41025, 1.43331, 1.46304, 1.5045, 1.54944, 1.58403, 1.65322, 1.73435, 1.81549, 1.89662");
-            values ( \
-              "0.0113894, 0.0199182, 0.0235267, 0.0401577, 0.0514994, 0.0563688, 0.0654354, 0.0701768, 0.0767746, 0.0870212, 0.0871618, 0.0849036, 0.0775829, 0.0701084, 0.0692677, 0.0666559, 0.0666828, 0.0646677, 0.0542334, 0.0303341, 0.0202239, 0.0167288, 0.0130212, 0.00906802, 0.0060974, 0.00450368, 0.00235214, 0.00112353, 0.000500619, 0.000262856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.580522, 0.663997, 0.739705, 0.803905, 0.831299, 0.886086, 0.945011, 1.02614, 1.04926, 1.08008, 1.11076, 1.14806, 1.18106, 1.21309, 1.21588, 1.22148, 1.23145, 1.2468, 1.26231, 1.31514, 1.32168, 1.33359, 1.34653, 1.37637, 1.48069, 1.54514, 1.58601, 1.66751, 1.7296, 1.78796, 1.83303, 1.84834, 1.87897, 1.94022, 2.01557, 2.17783, 2.25897, 2.3401, 2.42123");
-            values ( \
-              "0.0198876, 0.0205169, 0.0370422, 0.0551784, 0.0617854, 0.0739777, 0.0862121, 0.102056, 0.106161, 0.111023, 0.115202, 0.119691, 0.125947, 0.129296, 0.129192, 0.130112, 0.129238, 0.125454, 0.120889, 0.100319, 0.100947, 0.0987974, 0.0970467, 0.0893138, 0.0585704, 0.0454894, 0.0381837, 0.0257852, 0.0185854, 0.0136334, 0.0104141, 0.00972972, 0.00799112, 0.00576541, 0.00353716, 0.00128457, 0.000953445, 0.000427165, 0.000427477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.608236, 0.703034, 0.871474, 1.04972, 1.16295, 1.21591, 1.23646, 1.36369, 1.42212, 1.51113, 1.55736, 1.59497, 1.9209, 2.03752, 2.19956, 2.32722, 2.40835, 2.62673, 2.83781");
-            values ( \
-              "0.0326957, 0.0347139, 0.0830706, 0.127946, 0.153165, 0.163202, 0.164101, 0.154786, 0.148945, 0.129466, 0.126613, 0.120554, 0.057465, 0.0425018, 0.0263505, 0.0174793, 0.0134045, 0.00627515, 0.00347543" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0126229, 0.0126585, 0.0127, 0.0127397, 0.0127708, 0.0127919", \
-            "0.0159492, 0.0159895, 0.0160414, 0.0160953, 0.0161419, 0.0161756", \
-            "0.0180727, 0.0181035, 0.018147, 0.0181981, 0.0182467, 0.0182849", \
-            "0.0192635, 0.0192774, 0.0193002, 0.0193316, 0.0193662, 0.0193963", \
-            "0.0199925, 0.0199737, 0.0199527, 0.0199356, 0.0199283, 0.0199304", \
-            "0.0204671, 0.0204343, 0.0203892, 0.0203332, 0.0202735, 0.0202254" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0189523, 0.0189978, 0.0190545, 0.019113, 0.0191609, 0.0191935", \
-            "0.0201431, 0.0201542, 0.0201679, 0.0201759, 0.0201874, 0.0201926", \
-            "0.0196558, 0.0195616, 0.0194448, 0.0193271, 0.0192266, 0.0191559", \
-            "0.0193355, 0.01914, 0.0189001, 0.01866, 0.018458, 0.01831", \
-            "0.0198697, 0.0196139, 0.0191392, 0.0186915, 0.0183069, 0.0180274", \
-            "0.0178671, 0.0179661, 0.0181351, 0.0185737, 0.0186691, 0.0182277" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0328302, 0.034827, 0.0493143, 0.0574892, 0.063762, 0.0667582, 0.0705702, 0.0761934, 0.0770565, 0.0787827, 0.0822351, 0.0854211, 0.0886974, 0.0946444, 0.101571, 0.108256, 0.116468, 0.120855, 0.133488, 0.142709, 0.145111, 0.152716, 0.159546, 0.183809, 0.190657, 0.213293, 0.241926, 0.248299, 0.290186, 0.384269");
-            values ( \
-              "-0.0482329, -0.083475, -0.0796809, -0.0763129, -0.0710289, -0.0711128, -0.063589, -0.0603113, -0.0567487, -0.0580722, -0.0552199, -0.0564872, -0.0540679, -0.0521737, -0.0460806, -0.0421073, -0.0340026, -0.0307782, -0.0219763, -0.0169101, -0.0144903, -0.0110451, -0.00978678, -0.00695482, -0.00571766, -0.00255616, -0.000612657, -0.000427941, -0.000924488, -0.000413489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0331045, 0.0356128, 0.0432949, 0.0531306, 0.0630226, 0.0670605, 0.0741437, 0.0794581, 0.0858117, 0.0863112, 0.0873101, 0.0893079, 0.0924346, 0.0998103, 0.108329, 0.126196, 0.132151, 0.146751, 0.162945, 0.17579, 0.184265, 0.195496, 0.202149, 0.234063, 0.245316, 0.253828, 0.263428, 0.266004, 0.271157, 0.279383, 0.32975, 0.410687, 0.505136");
-            values ( \
-              "-0.0675404, -0.130947, -0.127748, -0.124725, -0.119668, -0.115128, -0.111161, -0.101685, -0.0956483, -0.0934137, -0.0938812, -0.0924893, -0.092498, -0.0900999, -0.0833457, -0.0646397, -0.0567086, -0.0414425, -0.0277276, -0.0181391, -0.0137971, -0.0114329, -0.0106734, -0.00462697, -0.00443051, -0.00360044, -0.00344894, -0.00275633, -0.0026563, -0.0019841, -0.00169762, -0.000518497, -5.9889e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0329815, 0.0368025, 0.0437784, 0.0600804, 0.0732233, 0.0783244, 0.082965, 0.0878356, 0.0975767, 0.103596, 0.108935, 0.114653, 0.120563, 0.129162, 0.139125, 0.156595, 0.161484, 0.187263, 0.199906, 0.216467, 0.23873, 0.249372, 0.25799, 0.27019, 0.284254, 0.310781, 0.321326, 0.334839, 0.358592, 0.436235, 0.459806");
-            values ( \
-              "-0.0598795, -0.186769, -0.183266, -0.177706, -0.171118, -0.165795, -0.163793, -0.159353, -0.146019, -0.140981, -0.139463, -0.138639, -0.134857, -0.127761, -0.116886, -0.0938005, -0.0861246, -0.0549416, -0.0429544, -0.0304271, -0.0153428, -0.0110611, -0.0091742, -0.00801851, -0.00848393, -0.00763466, -0.00626793, -0.00517526, -0.00394773, -0.00148251, -0.00116731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0330107, 0.0385521, 0.0473908, 0.0727658, 0.0920782, 0.0987982, 0.105874, 0.132201, 0.135618, 0.149818, 0.171068, 0.185132, 0.230715, 0.263704, 0.303647, 0.312348, 0.328205, 0.350536, 0.465311, 0.562734");
-            values ( \
-              "-0.0809587, -0.240944, -0.236526, -0.229144, -0.221622, -0.21653, -0.214725, -0.191556, -0.192086, -0.188839, -0.174237, -0.159235, -0.0996244, -0.0646875, -0.0321281, -0.0262193, -0.020202, -0.0159003, -0.00623575, -0.00224425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0354252, 0.0354452, 0.0578293, 0.0963077, 0.148947, 0.184065, 0.195524, 0.240977, 0.271761, 0.401214, 0.429283, 0.485016, 0.539162, 0.611445, 0.741265");
-            values ( \
-              "-1e-22, -0.287935, -0.278059, -0.270664, -0.257122, -0.237031, -0.238505, -0.219969, -0.195845, -0.0683975, -0.0546428, -0.0362239, -0.0212591, -0.0112704, -0.00463786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0355888, 0.0355892, 0.0356055, 0.0457135, 0.0611454, 0.17943, 0.221224, 0.249673, 0.266077, 0.280887, 0.293865, 0.307872, 0.335886, 0.368345, 0.388665, 0.422845, 0.434925, 0.517549, 0.568498, 0.585351, 0.679916, 0.714591, 0.755639, 0.81071, 0.850442, 0.902662, 0.940938, 0.995526, 1.10411, 1.21507, 1.32603, 1.54794");
-            values ( \
-              "-1e-22, -0.31865, -0.318656, -0.31286, -0.308617, -0.294495, -0.287562, -0.280424, -0.275168, -0.273029, -0.272492, -0.270515, -0.264705, -0.25568, -0.247994, -0.229972, -0.222274, -0.163282, -0.123697, -0.114105, -0.0758705, -0.0638586, -0.0506183, -0.0366193, -0.0287313, -0.0200191, -0.0159187, -0.0117135, -0.0067454, -0.00389653, -0.00219863, -0.000610724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0513511, 0.0521292, 0.0529876, 0.0553594, 0.0630291, 0.0731279, 0.0800161, 0.0847781, 0.0950254, 0.0978265, 0.106699, 0.11009, 0.119543, 0.144632, 0.166236, 0.176513, 0.196615, 0.231526, 0.260083, 0.266359, 0.295463, 0.325481, 0.393739");
-            values ( \
-              "-0.0754673, -0.0811053, -0.0826984, -0.0833069, -0.0814259, -0.0776464, -0.0723859, -0.0698732, -0.0581291, -0.0567872, -0.0548982, -0.05313, -0.0467885, -0.026663, -0.0128477, -0.00978658, -0.00762987, -0.00243778, -0.00061753, -0.000416296, -0.000846212, -0.000886458, -0.000483665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0519097, 0.0527864, 0.0538773, 0.0552631, 0.0567442, 0.0712958, 0.0783014, 0.0866013, 0.0922858, 0.0978057, 0.103955, 0.104435, 0.105395, 0.107315, 0.110803, 0.117915, 0.126418, 0.144204, 0.165215, 0.181119, 0.193172, 0.201456, 0.212523, 0.22023, 0.252168, 0.263323, 0.271865, 0.281399, 0.284055, 0.289367, 0.297674, 0.347813, 0.425998");
-            values ( \
-              "-0.120945, -0.127476, -0.129508, -0.130347, -0.130472, -0.125083, -0.121506, -0.114024, -0.111009, -0.101877, -0.0955988, -0.0938912, -0.0940697, -0.0928206, -0.0924927, -0.0900576, -0.0833372, -0.0647429, -0.0410839, -0.0276614, -0.0186324, -0.0141363, -0.0115622, -0.0106874, -0.00462634, -0.00443646, -0.0036047, -0.00346214, -0.00275319, -0.00265975, -0.00196561, -0.00171477, -0.000539721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0525826, 0.0541011, 0.0570745, 0.0783439, 0.0918263, 0.105172, 0.106083, 0.116402, 0.121835, 0.128381, 0.133488, 0.147393, 0.15735, 0.174882, 0.179718, 0.205349, 0.218128, 0.234904, 0.25702, 0.267511, 0.276033, 0.288147, 0.302074, 0.328708, 0.339199, 0.353547, 0.377202, 0.454565, 0.543013");
-            values ( \
-              "-0.17744, -0.183831, -0.186342, -0.177938, -0.170614, -0.159301, -0.160305, -0.145293, -0.141511, -0.13914, -0.138528, -0.127851, -0.1168, -0.0937989, -0.0860493, -0.0550878, -0.0429537, -0.0302751, -0.0153026, -0.0110715, -0.00922311, -0.00800358, -0.00849743, -0.00768549, -0.00628442, -0.00512486, -0.00391694, -0.00146692, -0.000280068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0532946, 0.0582657, 0.0719702, 0.0996949, 0.110902, 0.131621, 0.141946, 0.151829, 0.16505, 0.180283, 0.194028, 0.203487, 0.246454, 0.260927, 0.28117, 0.321755, 0.330698, 0.346505, 0.368591, 0.482688, 0.526667, 0.591217, 0.692693");
-            values ( \
-              "-0.238253, -0.240863, -0.235149, -0.226164, -0.221157, -0.208821, -0.198707, -0.19184, -0.189827, -0.181244, -0.169643, -0.15955, -0.102923, -0.0858843, -0.0655713, -0.0321726, -0.0262312, -0.0202166, -0.0159425, -0.00628461, -0.00394227, -0.00175656, -0.000351668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0538083, 0.0592703, 0.0778373, 0.114671, 0.140515, 0.157804, 0.168247, 0.179099, 0.207578, 0.213955, 0.244918, 0.25034, 0.261184, 0.290166, 0.339755, 0.415619, 0.426687, 0.455057, 0.496398, 0.564307, 0.627423, 0.682258, 0.760071, 0.973502");
-            values ( \
-              "-0.273768, -0.285319, -0.278098, -0.27076, -0.264506, -0.258123, -0.256813, -0.249238, -0.236461, -0.238616, -0.228472, -0.224008, -0.219746, -0.1965, -0.143282, -0.0713676, -0.0633422, -0.051286, -0.0379785, -0.019518, -0.0112148, -0.00746651, -0.00411513, -0.000408065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0541736, 0.0593319, 0.0744437, 0.0903921, 0.158587, 0.196315, 0.239794, 0.26771, 0.284635, 0.299395, 0.312259, 0.326449, 0.354829, 0.38693, 0.406783, 0.440396, 0.453498, 0.536143, 0.585888, 0.602313, 0.669714, 0.733777, 0.777491, 0.822897, 0.864823, 0.91445, 0.95906, 1.02368, 1.13464, 1.24559, 1.35655, 1.57846");
-            values ( \
-              "-0.303326, -0.31606, -0.310297, -0.307211, -0.299582, -0.294716, -0.287477, -0.280689, -0.275223, -0.273057, -0.272518, -0.270511, -0.264623, -0.255684, -0.248186, -0.230606, -0.222269, -0.163261, -0.124557, -0.114883, -0.0871817, -0.0635541, -0.0497838, -0.0381651, -0.0294714, -0.021005, -0.0160247, -0.0111758, -0.00640548, -0.00371308, -0.00210504, -0.000606098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0811565, 0.0888262, 0.0963298, 0.101525, 0.106327, 0.109452, 0.112577, 0.113937, 0.115339, 0.116879, 0.11996, 0.123161, 0.124223, 0.126347, 0.129926, 0.13435, 0.139691, 0.144767, 0.145927, 0.148246, 0.152885, 0.158782, 0.165508, 0.17366, 0.175123, 0.178048, 0.19068, 0.199901, 0.202298, 0.20287, 0.206305, 0.210193, 0.217413, 0.233999, 0.240072, 0.248168, 0.268366, 0.281667, 0.289063, 0.294503, 0.306932, 0.327027, 0.350022, 0.426866, 0.507653");
-            values ( \
-              "-0.0112488, -0.0505905, -0.0605406, -0.0660538, -0.0698994, -0.0714993, -0.0723192, -0.0761786, -0.0773905, -0.0764208, -0.0735112, -0.0697421, -0.0722588, -0.0671707, -0.0646335, -0.0582062, -0.0571207, -0.0545546, -0.0553201, -0.0532393, -0.0511688, -0.0464221, -0.0418137, -0.0342358, -0.033568, -0.0309152, -0.0221005, -0.0168012, -0.0146021, -0.0145382, -0.0125399, -0.0109975, -0.00965565, -0.00785342, -0.00706057, -0.00569358, -0.00275595, -0.00171818, -0.00139785, -0.000787626, -0.000413586, -0.000776284, -0.000943709, -0.000371689, -6.23362e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0811558, 0.092711, 0.0993427, 0.11091, 0.11261, 0.114057, 0.116426, 0.121146, 0.124631, 0.130329, 0.131899, 0.135038, 0.13916, 0.143957, 0.148578, 0.152874, 0.157395, 0.164234, 0.172174, 0.183271, 0.189645, 0.190712, 0.192847, 0.197116, 0.214539, 0.220027, 0.221334, 0.223948, 0.229176, 0.236823, 0.244643, 0.266818, 0.284031, 0.293668, 0.315763, 0.330026, 0.346321, 0.376551, 0.445024, 0.527124");
-            values ( \
-              "-0.0204474, -0.0870933, -0.100132, -0.114385, -0.115698, -0.121585, -0.124625, -0.121024, -0.11752, -0.11064, -0.113538, -0.104604, -0.102866, -0.093452, -0.0946038, -0.0903628, -0.0912103, -0.0834524, -0.0783104, -0.0640842, -0.057888, -0.0554614, -0.0540623, -0.0484785, -0.0321086, -0.0285513, -0.0269755, -0.0254725, -0.0210953, -0.0161689, -0.0129081, -0.00936803, -0.00600566, -0.0046763, -0.0036125, -0.00237386, -0.00184445, -0.00186712, -0.000715256, -8.58329e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0810985, 0.0959879, 0.117302, 0.131569, 0.145786, 0.161434, 0.176089, 0.182896, 0.196967, 0.231741, 0.246575, 0.262602, 0.285952, 0.300841, 0.311183, 0.32041, 0.362681, 0.393838, 0.418272, 0.497913, 0.512644");
-            values ( \
-              "-0.0248933, -0.133172, -0.180727, -0.171559, -0.159923, -0.141467, -0.136876, -0.131596, -0.117303, -0.0701668, -0.053427, -0.0389542, -0.0222147, -0.0135946, -0.00997469, -0.00846422, -0.00806271, -0.00513817, -0.00391344, -0.00143062, -0.00124821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0860462, 0.0932702, 0.100874, 0.112561, 0.115006, 0.118429, 0.121087, 0.149115, 0.160298, 0.17119, 0.18546, 0.193563, 0.200457, 0.207573, 0.217392, 0.229377, 0.243235, 0.28148, 0.303669, 0.321967, 0.351735, 0.367559, 0.379168, 0.401747, 0.49712, 0.536178, 0.61685, 0.716032");
-            values ( \
-              "-0.0848567, -0.161919, -0.188562, -0.217009, -0.232958, -0.235171, -0.23483, -0.222457, -0.214824, -0.210725, -0.19546, -0.191948, -0.191049, -0.18862, -0.183001, -0.173705, -0.159582, -0.10896, -0.0827088, -0.064634, -0.0396925, -0.0281853, -0.0223124, -0.0167214, -0.00804839, -0.00549483, -0.00229147, -0.000632874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0860376, 0.0974743, 0.117794, 0.186424, 0.219264, 0.237045, 0.261135, 0.290442, 0.308925, 0.330227, 0.39051, 0.45974, 0.488518, 0.543564, 0.597391, 0.670259, 0.812484, 0.851631");
-            values ( \
-              "-0.0867175, -0.208558, -0.280445, -0.262781, -0.250991, -0.240397, -0.236239, -0.224853, -0.213965, -0.196049, -0.13307, -0.0683938, -0.0544049, -0.036206, -0.0213166, -0.0112347, -0.00395159, -0.00333408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0921326, 0.1126, 0.115244, 0.119463, 0.121693, 0.129341, 0.136937, 0.146081, 0.198849, 0.236618, 0.280034, 0.307956, 0.324759, 0.33976, 0.352726, 0.366673, 0.394569, 0.427147, 0.447657, 0.481176, 0.493725, 0.518821, 0.576354, 0.604424, 0.625932, 0.642342, 0.679618, 0.744787, 0.778074, 0.821499, 0.855684, 0.898229, 0.944061, 0.998304, 1.0251, 1.0787, 1.18966, 1.30062, 1.41157, 1.52253, 1.57801");
-            values ( \
-              "-0.26779, -0.285769, -0.301871, -0.312805, -0.311514, -0.309217, -0.307358, -0.305892, -0.299663, -0.294775, -0.287403, -0.28086, -0.275399, -0.273136, -0.272511, -0.270503, -0.264745, -0.25569, -0.247905, -0.230267, -0.222269, -0.204967, -0.163285, -0.142213, -0.124696, -0.114974, -0.0993796, -0.0736617, -0.0622, -0.0487223, -0.0399161, -0.0308207, -0.0225316, -0.0161169, -0.0139982, -0.0103221, -0.00594327, -0.00343586, -0.00193653, -0.0010524, -0.000930269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.137635, 0.157468, 0.166865, 0.176263, 0.193893, 0.197526, 0.202371, 0.207008, 0.216281, 0.218639, 0.223356, 0.226938, 0.231206, 0.237137, 0.241226, 0.245616, 0.248955, 0.251857, 0.255316, 0.26223, 0.26862, 0.27599, 0.285297, 0.288963, 0.289936, 0.291883, 0.295777, 0.301505, 0.309109, 0.322807, 0.333127, 0.341086, 0.348917, 0.357725, 0.366376, 0.369421, 0.375511, 0.380278, 0.387018, 0.393578, 0.399845, 0.406707, 0.415082, 0.426673, 0.442216, 0.466952, 0.494332, 0.525451");
-            values ( \
-              "-0.00106503, -0.0319984, -0.0377645, -0.0444004, -0.0532072, -0.0541417, -0.055544, -0.0563801, -0.0568227, -0.0540456, -0.0563228, -0.048135, -0.0492907, -0.0436898, -0.0471032, -0.0414794, -0.0426934, -0.0416679, -0.0397856, -0.0335492, -0.0285463, -0.0233891, -0.0176602, -0.0147764, -0.0143837, -0.0131224, -0.0116703, -0.0102494, -0.00939661, -0.00767345, -0.00595659, -0.00475577, -0.00348661, -0.00242625, -0.00194733, -0.00165425, -0.00127744, -0.000747217, -0.000657584, -0.000495755, -0.000702903, -0.000700861, -0.000922113, -0.00090185, -0.000974894, -0.000705471, -0.000554006, -0.000264774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.145349, 0.152956, 0.166847, 0.180326, 0.188461, 0.202976, 0.2163, 0.229542, 0.232846, 0.237954, 0.243566, 0.243964, 0.244762, 0.248913, 0.251506, 0.254291, 0.259194, 0.281378, 0.294456, 0.307834, 0.323286, 0.331176, 0.371087, 0.381134, 0.402551, 0.409328, 0.416922, 0.42719, 0.467996, 0.54484, 0.633492");
-            values ( \
-              "-0.0226917, -0.0426733, -0.0596321, -0.0732785, -0.080313, -0.0907855, -0.0952839, -0.0925663, -0.0910262, -0.0822268, -0.082616, -0.0809167, -0.0815533, -0.0805812, -0.0828717, -0.082916, -0.0780745, -0.0517995, -0.0385219, -0.0275029, -0.0162802, -0.0132606, -0.00580016, -0.00485231, -0.00371978, -0.00292006, -0.00246569, -0.00205662, -0.00177832, -0.000590057, -7.04034e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.145339, 0.156726, 0.166077, 0.17877, 0.191449, 0.199094, 0.214382, 0.220256, 0.226419, 0.232336, 0.240039, 0.244214, 0.248686, 0.250616, 0.251612, 0.253605, 0.256624, 0.261067, 0.265651, 0.274247, 0.284177, 0.313105, 0.320782, 0.336136, 0.348163, 0.365349, 0.3792, 0.385368, 0.387888, 0.392928, 0.408372, 0.421917, 0.434662, 0.449347, 0.458529, 0.47094, 0.482973, 0.494914, 0.507534, 0.521475, 0.538896, 0.590128, 0.684066");
-            values ( \
-              "-0.0154304, -0.0673523, -0.0833691, -0.102029, -0.11944, -0.128279, -0.142651, -0.145998, -0.148091, -0.148849, -0.144106, -0.144363, -0.133124, -0.139415, -0.139942, -0.140294, -0.140006, -0.138273, -0.135284, -0.127857, -0.117223, -0.0776926, -0.0680229, -0.0510637, -0.0403474, -0.0277159, -0.0180413, -0.014445, -0.0138977, -0.0113029, -0.0083463, -0.00857816, -0.00831181, -0.00827283, -0.00698391, -0.00610365, -0.00490686, -0.00452951, -0.00375439, -0.00342221, -0.00263028, -0.00125109, -0.000185933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.150633, 0.161839, 0.173939, 0.183388, 0.203556, 0.215929, 0.238236, 0.248865, 0.253192, 0.259349, 0.265141, 0.27292, 0.281509, 0.298163, 0.317112, 0.330922, 0.374582, 0.387608, 0.408399, 0.429247, 0.448911, 0.457995, 0.473976, 0.496844, 0.517498, 0.55066, 0.569335, 0.611015, 0.655023, 0.720078, 0.822375");
-            values ( \
-              "-0.061258, -0.0978488, -0.122768, -0.140279, -0.174121, -0.190895, -0.208374, -0.209601, -0.212881, -0.211456, -0.20616, -0.197382, -0.193456, -0.187649, -0.174059, -0.159282, -0.102013, -0.086885, -0.0656937, -0.0479333, -0.0323625, -0.0263275, -0.020249, -0.0158496, -0.0144236, -0.0114224, -0.00929305, -0.00620113, -0.00387182, -0.00169261, -0.000307236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.150574, 0.168906, 0.185586, 0.207512, 0.229446, 0.248898, 0.252866, 0.258092, 0.292133, 0.307103, 0.323576, 0.350573, 0.364171, 0.396964, 0.418251, 0.478674, 0.547769, 0.5759, 0.631567, 0.685186, 0.713515, 0.75838, 0.820262, 0.900652, 0.980888");
-            values ( \
-              "-0.0513031, -0.132795, -0.170574, -0.214025, -0.245823, -0.261489, -0.268091, -0.270167, -0.256391, -0.252655, -0.241629, -0.23588, -0.23122, -0.213966, -0.196038, -0.132934, -0.0683821, -0.0546528, -0.0362155, -0.0213675, -0.0164409, -0.0112268, -0.00718742, -0.00394853, -0.00268414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.159556, 0.17857, 0.190989, 0.202536, 0.221154, 0.233805, 0.248852, 0.254384, 0.261842, 0.26889, 0.341217, 0.382653, 0.396899, 0.423638, 0.44723, 0.455068, 0.470744, 0.490237, 0.515685, 0.546505, 0.582023, 0.654439, 0.719753, 0.734505, 0.775717, 0.8268, 0.861615, 0.903001, 0.957639, 0.997471, 1.05011, 1.08814, 1.14249, 1.19797, 1.25344, 1.3644, 1.47536, 1.58631, 1.69727");
-            values ( \
-              "-0.165082, -0.171126, -0.201959, -0.226963, -0.262443, -0.27983, -0.295049, -0.30293, -0.305482, -0.302934, -0.29233, -0.28431, -0.281066, -0.273019, -0.272114, -0.270358, -0.267624, -0.262753, -0.255629, -0.243089, -0.222312, -0.170974, -0.121024, -0.113647, -0.0964697, -0.0761657, -0.0637692, -0.0507296, -0.0368112, -0.028651, -0.0201018, -0.0160038, -0.0117996, -0.00899058, -0.00674166, -0.00391661, -0.00223073, -0.00123087, -0.000652625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.265253, 0.291296, 0.307668, 0.332345, 0.352554, 0.370611, 0.387453, 0.404001, 0.418178, 0.421651, 0.428595, 0.433603, 0.438156, 0.443347, 0.452659, 0.470123, 0.476869, 0.478543, 0.481892, 0.488591, 0.496838, 0.50751, 0.513037, 0.51407, 0.516137, 0.520271, 0.525153, 0.550281, 0.552628, 0.562588, 0.568337, 0.577672, 0.688237, 0.692162");
-            values ( \
-              "-0.0085465, -0.0168902, -0.0231878, -0.0299167, -0.0350354, -0.0375229, -0.0401955, -0.0391885, -0.036221, -0.0332079, -0.0314819, -0.0296248, -0.029245, -0.0261816, -0.0229668, -0.0140048, -0.0116837, -0.0119412, -0.0111012, -0.0106149, -0.0091409, -0.00774128, -0.00648136, -0.00674021, -0.00592301, -0.00551873, -0.00438745, -0.00192916, -0.00300587, -0.00201967, -0.00171737, -0.00152387, -0.000394951, -0.000379386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.265106, 0.281316, 0.287154, 0.314222, 0.341541, 0.36386, 0.402018, 0.419969, 0.42416, 0.431063, 0.438267, 0.439197, 0.441059, 0.45105, 0.503422, 0.512296, 0.535341, 0.54304, 0.550097, 0.555267, 0.562172, 0.568985, 0.589339, 0.603613, 0.621077, 0.692403, 0.726274, 0.767691, 0.818608");
-            values ( \
-              "-0.00915159, -0.0214897, -0.0240914, -0.0392863, -0.0514844, -0.0599978, -0.0697655, -0.068918, -0.0689667, -0.0627754, -0.0594604, -0.0578171, -0.0580752, -0.0546112, -0.0188654, -0.0151883, -0.0104221, -0.00916524, -0.00710987, -0.00862304, -0.00797859, -0.00668397, -0.00408747, -0.00303424, -0.00255163, -0.00109741, -0.000663208, -0.000259772, -0.000127981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.273622, 0.287396, 0.323155, 0.357412, 0.383262, 0.406108, 0.427202, 0.447997, 0.45278, 0.460988, 0.469677, 0.480458, 0.508801, 0.535988, 0.550206, 0.555071, 0.568564, 0.577609, 0.586068, 0.596542, 0.62412, 0.667202, 0.724276, 0.779102, 0.791991");
-            values ( \
-              "-0.03341, -0.0348451, -0.0620435, -0.0840594, -0.0979811, -0.107748, -0.114748, -0.112025, -0.109613, -0.10138, -0.100503, -0.092266, -0.0643752, -0.0407745, -0.0307656, -0.0301746, -0.0201087, -0.0150044, -0.0123167, -0.0109567, -0.00987695, -0.00564297, -0.00283303, -0.00123691, -0.00109962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.28501, 0.317316, 0.343277, 0.382539, 0.414253, 0.442271, 0.468468, 0.475016, 0.488112, 0.494794, 0.502174, 0.509908, 0.520235, 0.550196, 0.556732, 0.565503, 0.584053, 0.610582, 0.641414, 0.65128, 0.665268, 0.674259, 0.686247, 0.703798, 0.724, 0.738825, 0.760887, 0.788201, 0.828967, 0.886564, 0.98228, 1.03776");
-            values ( \
-              "-0.0639759, -0.0738668, -0.0975711, -0.129422, -0.15173, -0.165849, -0.174148, -0.172869, -0.166256, -0.161684, -0.161821, -0.15833, -0.152253, -0.120349, -0.118153, -0.10513, -0.0836811, -0.0578902, -0.0321439, -0.0270083, -0.0217064, -0.019395, -0.0173747, -0.0151857, -0.0136031, -0.0116486, -0.0094201, -0.00725542, -0.00478241, -0.00241064, -0.000593877, -0.000387626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.284911, 0.308725, 0.355468, 0.398632, 0.420654, 0.462026, 0.499266, 0.508603, 0.527277, 0.535685, 0.550086, 0.553431, 0.556803, 0.562112, 0.572607, 0.587203, 0.606666, 0.612425, 0.67201, 0.741863, 0.758075, 0.792128, 0.825567, 0.875867, 0.903877, 0.93057, 0.953959, 1.01719, 1.09843, 1.20469, 1.31565");
-            values ( \
-              "-0.0645647, -0.0772485, -0.127626, -0.169757, -0.189047, -0.218707, -0.233358, -0.233441, -0.231716, -0.226615, -0.226896, -0.23112, -0.231659, -0.230136, -0.225145, -0.216784, -0.201372, -0.195858, -0.133679, -0.0682699, -0.0592679, -0.0469059, -0.0362428, -0.0220957, -0.016983, -0.0132616, -0.0110844, -0.00703486, -0.00382711, -0.00153829, -0.000511802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.298124, 0.339274, 0.362978, 0.408821, 0.454612, 0.478058, 0.524949, 0.536616, 0.55016, 0.558308, 0.577905, 0.592439, 0.609764, 0.636501, 0.650396, 0.710024, 0.72687, 0.744839, 0.777477, 0.851876, 0.909736, 0.923555, 0.993767, 1.02429, 1.05915, 1.11667, 1.14152, 1.1852, 1.23241, 1.28254, 1.307, 1.35593, 1.41141, 1.46689, 1.57785, 1.6888, 1.91072");
-            values ( \
-              "-0.109465, -0.122139, -0.149713, -0.199007, -0.241945, -0.259065, -0.281479, -0.284068, -0.286277, -0.288964, -0.286067, -0.281116, -0.276817, -0.271696, -0.271076, -0.256237, -0.249854, -0.241619, -0.222298, -0.169509, -0.124571, -0.116633, -0.0873149, -0.0753909, -0.0630315, -0.0458161, -0.0395089, -0.0301142, -0.0219298, -0.0161428, -0.0140812, -0.0107559, -0.00819878, -0.00620735, -0.00361793, -0.00206967, -0.000628313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.519461, 0.547337, 0.55748, 0.640482, 0.672781, 0.701024, 0.727155, 0.765155, 0.777577, 0.827712, 0.851496, 0.888431, 0.890271, 0.903684, 0.916256, 0.922414, 0.929153, 0.937294, 0.990135, 1.05054, 1.13938, 1.21605, 1.23252, 1.27325");
-            values ( \
-              "-0.00630342, -0.00640274, -0.00714588, -0.0185867, -0.0220613, -0.0243207, -0.0257688, -0.0262201, -0.0260723, -0.0138267, -0.0119107, -0.00512743, -0.00552557, -0.0032183, -0.00238027, -0.0024728, -0.00212416, -0.0022283, -0.00114953, -0.000376885, -1.74524e-06, -1e-22, -0.000408452, -6.0987e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.519236, 0.544945, 0.563814, 0.653899, 0.685161, 0.708951, 0.741344, 0.76099, 0.788246, 0.801459, 0.818213, 0.824397, 0.840403, 0.879183, 0.904539, 0.948356, 0.966332, 0.985089, 1.04315, 1.07933, 1.17198, 1.21586, 1.23639, 1.26454");
-            values ( \
-              "-0.00921833, -0.0101085, -0.0126556, -0.0321476, -0.0377705, -0.0411244, -0.0450318, -0.0461662, -0.0468252, -0.0464892, -0.0398986, -0.0355946, -0.0331269, -0.0173515, -0.0120011, -0.00588775, -0.00450414, -0.00372632, -0.00177098, -0.000983421, -0.000173385, -6.70145e-05, -0.000673384, -0.000316091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.537709, 0.587885, 0.625354, 0.65916, 0.712478, 0.751953, 0.766376, 0.783646, 0.813163, 0.81756, 0.822585, 0.828824, 0.838504, 0.843411, 0.860998, 0.874909, 0.87832, 0.920451, 0.943111, 0.95253, 0.965419, 0.990233, 1.00311, 1.02434, 1.04985, 1.08764, 1.13766, 1.18162, 1.21588, 1.23535, 1.2835, 1.32992");
-            values ( \
-              "-0.0217036, -0.0251788, -0.037612, -0.0481768, -0.0626901, -0.0711811, -0.0740334, -0.0769397, -0.0791297, -0.0789064, -0.0800442, -0.0798852, -0.0784839, -0.0766297, -0.0656671, -0.0625552, -0.0611001, -0.037322, -0.0224791, -0.0179812, -0.0153683, -0.0137786, -0.0123971, -0.00941142, -0.0069499, -0.00436082, -0.00209518, -0.00106042, -0.000532458, -0.00143301, -0.000410285, -0.000267589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.53779, 0.595225, 0.652056, 0.713999, 0.757258, 0.804116, 0.833775, 0.858198, 0.892106, 0.909993, 0.921235, 0.929806, 0.954353, 1.02468, 1.04539, 1.07748, 1.14101, 1.16438, 1.18334, 1.20415, 1.2159, 1.2281, 1.25675, 1.31773, 1.42868");
-            values ( \
-              "-0.0244491, -0.0360834, -0.0597555, -0.0837226, -0.0987702, -0.112741, -0.120822, -0.125594, -0.126738, -0.116602, -0.112143, -0.110835, -0.0958173, -0.0427495, -0.0323907, -0.0223467, -0.0127368, -0.010747, -0.00848277, -0.00734248, -0.00601426, -0.00706383, -0.00483072, -0.00210318, -0.000388771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.551075, 0.608394, 0.692915, 0.771053, 0.83211, 0.885118, 0.932985, 0.944963, 0.968918, 0.978538, 0.995578, 1.01036, 1.02261, 1.03318, 1.07546, 1.15613, 1.18441, 1.21607, 1.22891, 1.29277, 1.31588, 1.34697, 1.40155, 1.43517, 1.52133, 1.57681, 1.6021");
-            values ( \
-              "-0.0390728, -0.0495052, -0.0904758, -0.12554, -0.150253, -0.168797, -0.183263, -0.184736, -0.186357, -0.18432, -0.177804, -0.175696, -0.171546, -0.165769, -0.136204, -0.0717344, -0.0592957, -0.0477189, -0.045607, -0.0244429, -0.0194618, -0.0147861, -0.00977982, -0.00768246, -0.00397255, -0.00251108, -0.00221372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.574745, 0.647889, 0.800056, 0.854269, 0.902068, 0.935112, 0.990591, 1.03643, 1.07968, 1.09595, 1.11406, 1.13692, 1.17394, 1.22662, 1.28044, 1.33303, 1.36919, 1.4373, 1.51242, 1.54442, 1.5788, 1.62098, 1.67263, 1.71192, 1.7678, 1.82328, 1.87876, 1.98972, 2.10067, 2.32259");
-            values ( \
-              "-0.06699, -0.0760812, -0.15443, -0.180092, -0.201111, -0.21411, -0.232901, -0.244166, -0.24715, -0.24322, -0.243919, -0.242678, -0.233014, -0.207745, -0.169456, -0.127958, -0.109668, -0.0814867, -0.0549556, -0.0458545, -0.0373529, -0.0286564, -0.0202594, -0.0160374, -0.0117456, -0.00891883, -0.00672163, -0.00390735, -0.00223043, -0.00066602" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0120549, 0.0120856, 0.0121232, 0.0121609, 0.0121919, 0.0122137", \
-            "0.0142267, 0.0142495, 0.0142818, 0.0143196, 0.0143552, 0.0143828", \
-            "0.0155504, 0.0155604, 0.0155773, 0.0156014, 0.0156285, 0.0156532", \
-            "0.0163785, 0.0163804, 0.0163819, 0.0163869, 0.0163967, 0.0164094", \
-            "0.01686, 0.0168549, 0.0168477, 0.0168392, 0.0168318, 0.0168285", \
-            "0.0171381, 0.0171312, 0.0171202, 0.0171049, 0.017087, 0.0170701" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0168115, 0.0168387, 0.0168756, 0.0169199, 0.0169601, 0.0169899", \
-            "0.0186862, 0.0186877, 0.0186944, 0.0187081, 0.018725, 0.0187396", \
-            "0.0200205, 0.0199873, 0.0199457, 0.019903, 0.0198678, 0.0198436", \
-            "0.0210671, 0.0209936, 0.0208954, 0.020785, 0.020683, 0.0206004", \
-            "0.0220814, 0.0219406, 0.0217516, 0.0215383, 0.0213397, 0.0211824", \
-            "0.0210629, 0.0217772, 0.0222927, 0.0222778, 0.0219413, 0.0216474" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.116352, 0.131443, 0.159668, 0.21228, 0.310693, 0.495706", \
-            "0.120567, 0.135745, 0.164119, 0.216978, 0.31568, 0.500987", \
-            "0.131996, 0.147156, 0.175346, 0.228652, 0.327603, 0.513326", \
-            "0.16102, 0.175679, 0.203669, 0.25639, 0.353953, 0.541118", \
-            "0.226194, 0.243012, 0.272275, 0.323175, 0.420547, 0.605485", \
-            "0.328478, 0.351885, 0.393323, 0.462356, 0.573007, 0.755503" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.13536, 0.156165, 0.195161, 0.269142, 0.408262, 0.673226", \
-            "0.135266, 0.156114, 0.1951, 0.269103, 0.408212, 0.673206", \
-            "0.135093, 0.156003, 0.195107, 0.26906, 0.408143, 0.673187", \
-            "0.138593, 0.1579, 0.195125, 0.2688, 0.408076, 0.673142", \
-            "0.173531, 0.189602, 0.220059, 0.282477, 0.410775, 0.673037", \
-            "0.251, 0.272135, 0.307006, 0.363816, 0.469625, 0.696778" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0771279, 0.0862707, 0.103126, 0.134222, 0.191967, 0.300041", \
-            "0.0817866, 0.0909835, 0.107917, 0.13911, 0.19694, 0.305076", \
-            "0.0919131, 0.10116, 0.118212, 0.149583, 0.207609, 0.315913", \
-            "0.115032, 0.124456, 0.141023, 0.172862, 0.230994, 0.339539", \
-            "0.14507, 0.158778, 0.182486, 0.221756, 0.284105, 0.391123", \
-            "0.166383, 0.185922, 0.219988, 0.276758, 0.367206, 0.504963" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0737949, 0.0845698, 0.105541, 0.145703, 0.222088, 0.367356", \
-            "0.073604, 0.0843538, 0.105329, 0.145506, 0.221851, 0.36708", \
-            "0.0730092, 0.0840353, 0.105206, 0.145315, 0.221735, 0.367006", \
-            "0.0826424, 0.091672, 0.109763, 0.147062, 0.221601, 0.366983", \
-            "0.118858, 0.128946, 0.147502, 0.179033, 0.240785, 0.370635", \
-            "0.180683, 0.194727, 0.219524, 0.261727, 0.329962, 0.442135" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0364673, 0.0401676, 0.0449229, 0.0531569, 0.102148, 0.12794, 0.137253, 0.147022, 0.162263, 0.181044, 0.186892, 0.193139, 0.199036, 0.20581, 0.221139, 0.244352, 0.254726, 0.286715, 0.302856, 0.321412, 0.348499, 0.38619, 0.484567, 0.559258");
-            values ( \
-              "0.0288537, 0.0421201, 0.0405424, 0.0390863, 0.0341574, 0.0300608, 0.0309314, 0.031227, 0.027478, 0.0214935, 0.0190619, 0.0191507, 0.018691, 0.0174685, 0.0137393, 0.0119627, 0.0108923, 0.00621981, 0.00476906, 0.00352572, 0.00222441, 0.00112112, 0.000117251, 0.000104546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0363997, 0.041544, 0.0471136, 0.0566866, 0.0765831, 0.0940769, 0.0973936, 0.104027, 0.117294, 0.119249, 0.123159, 0.142985, 0.16095, 0.167393, 0.179513, 0.194191, 0.208405, 0.217849, 0.228973, 0.240853, 0.248522, 0.258112, 0.276829, 0.285729, 0.289109, 0.313613, 0.33389, 0.340199, 0.360631, 0.392758, 0.426924, 0.458681, 0.508135, 0.580647, 0.66178");
-            values ( \
-              "0.0289571, 0.0677754, 0.0658612, 0.0637706, 0.0609074, 0.0588583, 0.0582297, 0.0575741, 0.0550982, 0.0549557, 0.0539167, 0.0499913, 0.0517828, 0.0505156, 0.0471786, 0.0404877, 0.034802, 0.0293433, 0.0258336, 0.0238739, 0.0232025, 0.0230946, 0.0203598, 0.0184878, 0.0182582, 0.0126963, 0.00929291, 0.00850646, 0.00633221, 0.00393962, 0.00236433, 0.00142283, 0.000646399, 0.000175215, 5.54144e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0363603, 0.0436225, 0.0507165, 0.0600569, 0.0879769, 0.126757, 0.162696, 0.171232, 0.186407, 0.201612, 0.224003, 0.235285, 0.253429, 0.280784, 0.307752, 0.319283, 0.331705, 0.345095, 0.353299, 0.366998, 0.399975, 0.410377, 0.412285, 0.416102, 0.423736, 0.438624, 0.463413, 0.482688, 0.488597, 0.500415, 0.52405, 0.647286, 0.728419, 0.809552");
-            values ( \
-              "0.0290569, 0.100651, 0.0981492, 0.0960583, 0.0915647, 0.0859048, 0.0782113, 0.0767656, 0.07805, 0.0767359, 0.0676677, 0.0621005, 0.0525595, 0.0366289, 0.0363818, 0.0345177, 0.0322344, 0.028834, 0.0272988, 0.0232353, 0.0157672, 0.014393, 0.01364, 0.0134841, 0.0119266, 0.0104222, 0.00738629, 0.00624374, 0.00540187, 0.00507198, 0.00342388, 0.000506848, 0.000450199, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0402128, 0.0468133, 0.0651272, 0.145292, 0.183454, 0.211554, 0.224114, 0.244892, 0.266101, 0.310901, 0.347109, 0.356694, 0.37821, 0.405827, 0.491801, 0.544537, 0.581591, 0.647523, 0.694731, 0.745656, 0.826789, 0.865676");
-            values ( \
-              "0.134414, 0.135795, 0.130937, 0.119758, 0.113516, 0.107735, 0.106613, 0.107207, 0.103218, 0.085233, 0.0651856, 0.0645644, 0.060014, 0.0511316, 0.0312559, 0.0208338, 0.0153876, 0.00873029, 0.00574983, 0.00367911, 0.00167568, 0.00144183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.044964, 0.044984, 0.118787, 0.202403, 0.260733, 0.300711, 0.322417, 0.353752, 0.386757, 0.419486, 0.46089, 0.49229, 0.507357, 0.617765, 0.699361, 0.768955, 0.829239, 0.903825, 0.9924, 1.12599, 1.28826, 1.34159");
-            values ( \
-              "1e-22, 0.184255, 0.156468, 0.148121, 0.141016, 0.134818, 0.134359, 0.132179, 0.125645, 0.115755, 0.0985693, 0.0948724, 0.0912799, 0.0593462, 0.0428796, 0.0312875, 0.0231019, 0.0154602, 0.00935862, 0.00424312, 0.00155768, 0.00132351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0452786, 0.0452986, 0.203399, 0.332136, 0.454836, 0.503618, 0.558524, 0.597824, 0.654636, 0.702631, 0.759431, 1.02955, 1.12722, 1.34199, 1.41985, 1.56755, 1.69663, 2.02116, 2.05159");
-            values ( \
-              "1e-22, 0.197895, 0.1762, 0.167961, 0.156872, 0.155247, 0.149966, 0.143792, 0.129327, 0.126252, 0.116578, 0.0627355, 0.0489075, 0.0263476, 0.0205621, 0.0125553, 0.00803089, 0.00249052, 0.00235631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0550386, 0.0587398, 0.0672896, 0.071486, 0.0786363, 0.0885814, 0.106525, 0.125485, 0.143342, 0.146176, 0.151842, 0.159816, 0.16743, 0.177013, 0.204349, 0.210102, 0.216271, 0.224557, 0.239369, 0.262682, 0.27036, 0.272831, 0.28274, 0.29018, 0.304258, 0.321458, 0.341795, 0.368922, 0.420329, 0.467184, 0.530694, 0.610478");
-            values ( \
-              "0.0277048, 0.0432493, 0.0403899, 0.0395131, 0.0384339, 0.037351, 0.0357178, 0.0334501, 0.0304695, 0.0302302, 0.0304331, 0.0316325, 0.0306008, 0.0285524, 0.0195576, 0.0188811, 0.0189391, 0.0173329, 0.0137488, 0.0119593, 0.0110088, 0.0109106, 0.00963632, 0.0082162, 0.00629216, 0.00474366, 0.00340636, 0.00214465, 0.000825143, 0.000414715, 4.98976e-05, 7.47282e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0550669, 0.0601832, 0.0674925, 0.0751342, 0.0830307, 0.115786, 0.132864, 0.161363, 0.180252, 0.196745, 0.222398, 0.243777, 0.251269, 0.260081, 0.268177, 0.277505, 0.287045, 0.307449, 0.338931, 0.350137, 0.36153, 0.388131, 0.406942, 0.435626, 0.472441, 0.517807, 0.549189");
-            values ( \
-              "0.0276034, 0.0695944, 0.0662569, 0.0641681, 0.0627596, 0.0583788, 0.0557516, 0.0501097, 0.0517761, 0.0475133, 0.0364657, 0.0261851, 0.0256636, 0.0234751, 0.0234801, 0.0228223, 0.0216597, 0.01821, 0.0115101, 0.00960469, 0.00815663, 0.00555206, 0.00421803, 0.00272373, 0.00153066, 0.00072566, 0.000504252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0571804, 0.0622718, 0.0692756, 0.0751565, 0.0813624, 0.103142, 0.145196, 0.160168, 0.181145, 0.189694, 0.204863, 0.220062, 0.242388, 0.253726, 0.271862, 0.299213, 0.301585, 0.30633, 0.315225, 0.326179, 0.337724, 0.350156, 0.371683, 0.385394, 0.418375, 0.428713, 0.430606, 0.434392, 0.441964, 0.481271, 0.50073, 0.506713, 0.51868, 0.542614, 0.589622, 0.665763, 0.746896, 0.828029");
-            values ( \
-              "0.0824494, 0.102501, 0.0990655, 0.0971707, 0.0957757, 0.0921874, 0.0858588, 0.0829016, 0.078162, 0.0768733, 0.0780059, 0.0768269, 0.0676387, 0.0621954, 0.0525011, 0.0365778, 0.0367999, 0.0364118, 0.0365193, 0.0363333, 0.0345926, 0.0321782, 0.0272575, 0.0233105, 0.0158354, 0.0143526, 0.0137147, 0.0134549, 0.0120094, 0.00749063, 0.00622017, 0.0054735, 0.00503073, 0.00346663, 0.00215267, 0.000554855, 0.000398825, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0573135, 0.0655412, 0.0835922, 0.163911, 0.242701, 0.263279, 0.284709, 0.329506, 0.365554, 0.375092, 0.396794, 0.424427, 0.533951, 0.600347, 0.626862, 0.681599, 0.763677, 0.864787");
-            values ( \
-              "0.0795433, 0.137154, 0.131207, 0.119676, 0.106053, 0.107509, 0.103085, 0.0851247, 0.0653563, 0.0645091, 0.0599365, 0.0510458, 0.0261828, 0.0154533, 0.0122521, 0.00759733, 0.00361439, 0.00182862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0605753, 0.0605953, 0.139464, 0.249524, 0.319165, 0.341209, 0.372532, 0.405542, 0.438268, 0.479666, 0.496645, 0.510799, 0.525821, 0.636382, 0.701099, 0.752065, 0.787795, 0.847911, 0.922183, 0.984591, 1.06354, 1.14467, 1.30694, 1.55034");
-            values ( \
-              "1e-22, 0.169841, 0.15637, 0.144882, 0.135001, 0.134264, 0.132287, 0.125562, 0.115864, 0.0984917, 0.097044, 0.0948691, 0.0914379, 0.0593308, 0.0461109, 0.0370859, 0.0313435, 0.0231788, 0.0155591, 0.0110254, 0.00703164, 0.00430712, 0.00162006, 0.000425335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0678245, 0.0678445, 0.219304, 0.328785, 0.455934, 0.526591, 0.584874, 0.608632, 0.674714, 0.721172, 0.757449, 1.03969, 1.17751, 1.34604, 1.51192, 1.63481, 1.79708, 2.05424");
-            values ( \
-              "1e-22, 0.206157, 0.176523, 0.169449, 0.158617, 0.154745, 0.148987, 0.14541, 0.12934, 0.126425, 0.120605, 0.0644068, 0.0452322, 0.0277369, 0.0162829, 0.0107571, 0.00612804, 0.00280618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0811172, 0.103915, 0.112559, 0.114168, 0.116886, 0.119573, 0.122241, 0.126384, 0.13085, 0.138614, 0.1487, 0.161575, 0.167611, 0.177287, 0.188276, 0.197701, 0.207482, 0.209499, 0.213533, 0.221602, 0.233158, 0.24157, 0.247455, 0.253739, 0.259659, 0.266066, 0.272681, 0.286788, 0.294263, 0.302093, 0.308002, 0.314548, 0.314939, 0.330257, 0.355865, 0.361729, 0.372866, 0.385825, 0.403899, 0.40952, 0.42076, 0.443241, 0.482214, 0.609184");
-            values ( \
-              "0.000756411, 0.0280034, 0.0334207, 0.0408768, 0.0396231, 0.0407798, 0.0388045, 0.039132, 0.0371974, 0.037372, 0.0352049, 0.0348721, 0.0328884, 0.0322661, 0.0296295, 0.0315595, 0.0307584, 0.0313347, 0.0293033, 0.0282585, 0.0235428, 0.0219062, 0.0185213, 0.019626, 0.018147, 0.0179357, 0.0154196, 0.0128627, 0.0132336, 0.0118109, 0.0119531, 0.0104721, 0.0111585, 0.00838201, 0.00520985, 0.00514963, 0.00391525, 0.00356233, 0.00226315, 0.00246507, 0.00164258, 0.00146021, 0.000394775, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0813193, 0.112545, 0.114697, 0.117617, 0.130971, 0.157893, 0.179777, 0.188727, 0.203427, 0.222137, 0.227783, 0.239074, 0.268811, 0.278649, 0.287608, 0.293331, 0.302339, 0.310323, 0.319956, 0.329545, 0.349433, 0.370115, 0.380102, 0.391195, 0.404835, 0.431603, 0.45122, 0.468835, 0.492322, 0.52627, 0.591465, 0.669486");
-            values ( \
-              "0.00457245, 0.0543818, 0.0622094, 0.0661794, 0.0624235, 0.0584896, 0.054992, 0.0529527, 0.0501621, 0.0518562, 0.0506288, 0.0474009, 0.034533, 0.0293572, 0.0260745, 0.0255173, 0.0235647, 0.0235284, 0.0228277, 0.021626, 0.0182123, 0.013529, 0.0116456, 0.00975123, 0.00800818, 0.00543761, 0.00407331, 0.00310924, 0.00218693, 0.0012544, 0.000433952, 8.98988e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0813784, 0.11255, 0.115348, 0.11681, 0.119735, 0.127897, 0.133714, 0.140925, 0.148475, 0.174646, 0.204261, 0.216876, 0.231644, 0.255581, 0.274775, 0.295713, 0.307583, 0.328865, 0.339608, 0.352225, 0.361856, 0.373485, 0.384982, 0.413419, 0.418027, 0.441879, 0.45793, 0.470186, 0.485726, 0.502612, 0.525697, 0.543677, 0.558928, 0.579262, 0.61856, 0.68325, 0.764383, 0.845515");
-            values ( \
-              "0.000772961, 0.0821217, 0.0961524, 0.0978764, 0.0987084, 0.0961223, 0.0945408, 0.0931094, 0.0918416, 0.0878533, 0.0825581, 0.0797628, 0.076765, 0.0783044, 0.0720278, 0.0621986, 0.0558618, 0.0437688, 0.0372895, 0.0364374, 0.0367982, 0.0356612, 0.0337006, 0.027177, 0.0258546, 0.0197724, 0.0164984, 0.0142099, 0.0119541, 0.00974328, 0.00746496, 0.00595041, 0.00494468, 0.00390029, 0.00233474, 0.0010347, 0.000309334, 0.000141941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0949718, 0.118069, 0.121055, 0.142827, 0.206291, 0.285092, 0.30571, 0.327076, 0.371876, 0.407936, 0.417498, 0.439149, 0.466787, 0.576267, 0.617671, 0.642704, 0.669177, 0.723807, 0.805663, 0.886796, 0.967929, 1.13019");
-            values ( \
-              "0.0583205, 0.133883, 0.134266, 0.1287, 0.119704, 0.106272, 0.10749, 0.103087, 0.0851383, 0.0653676, 0.0645564, 0.0599678, 0.0510662, 0.0261972, 0.0188635, 0.0154501, 0.0122597, 0.00760953, 0.00362849, 0.00177055, 0.00075272, 0.000129974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0955525, 0.112645, 0.115183, 0.119424, 0.123202, 0.134363, 0.154298, 0.188415, 0.264047, 0.292356, 0.322355, 0.362241, 0.384039, 0.415363, 0.447321, 0.463063, 0.495351, 0.522212, 0.524616, 0.532527, 0.55628, 0.587795, 0.673909, 0.707864, 0.760824, 0.835684, 0.889317, 0.943644, 1.00496, 1.04074, 1.07543, 1.12167, 1.2028, 1.36507, 1.52733, 1.60846");
-            values ( \
-              "0.0596009, 0.13787, 0.157886, 0.165899, 0.16614, 0.162865, 0.159641, 0.155725, 0.148109, 0.144851, 0.140929, 0.134934, 0.134322, 0.132242, 0.125846, 0.121754, 0.109819, 0.0983259, 0.0987221, 0.0979231, 0.0944039, 0.0862944, 0.0608078, 0.0529521, 0.0428626, 0.0304915, 0.0232796, 0.0174293, 0.0125657, 0.0101057, 0.00828592, 0.00649799, 0.00389112, 0.00143047, 0.000493621, 0.000440176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.109199, 0.109219, 0.260931, 0.371977, 0.499118, 0.569778, 0.628055, 0.651815, 0.717895, 0.764347, 0.80063, 1.08307, 1.22049, 1.38908, 1.55525, 1.67785, 1.84011, 2.00238, 2.13068");
-            values ( \
-              "1e-22, 0.201724, 0.176663, 0.169429, 0.158645, 0.154774, 0.149017, 0.145389, 0.129345, 0.12643, 0.12061, 0.0643762, 0.0452576, 0.0277504, 0.0162765, 0.0107638, 0.00613217, 0.00345878, 0.00225613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.144696, 0.165228, 0.180122, 0.189473, 0.214254, 0.224414, 0.245993, 0.248818, 0.251534, 0.256041, 0.259279, 0.264879, 0.271866, 0.283424, 0.285415, 0.289398, 0.297363, 0.30648, 0.314981, 0.324282, 0.337544, 0.34333, 0.34939, 0.355204, 0.363118, 0.372861, 0.380028, 0.39418, 0.416709, 0.421956, 0.436804, 0.44337, 0.452858, 0.462892, 0.474792, 0.486311, 0.502617, 0.506764, 0.51506, 0.531651, 0.556346, 0.671065, 0.752198");
-            values ( \
-              "0.00227835, 0.010497, 0.015868, 0.0187486, 0.0255311, 0.0280179, 0.0327183, 0.0331696, 0.0353187, 0.035741, 0.034843, 0.0338311, 0.0323478, 0.030584, 0.0304675, 0.0305853, 0.0318078, 0.0308124, 0.0287082, 0.0259366, 0.0217013, 0.0193383, 0.0189977, 0.0188216, 0.0174214, 0.0149443, 0.0137023, 0.0127586, 0.0103934, 0.00938957, 0.00705445, 0.00642111, 0.00532933, 0.00469014, 0.00371861, 0.00324383, 0.00230665, 0.00233184, 0.00183819, 0.00154986, 0.000829787, 1e-22, 0.000122074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.14928, 0.153707, 0.166796, 0.177412, 0.196292, 0.217691, 0.240625, 0.249085, 0.252141, 0.255175, 0.265896, 0.284212, 0.300317, 0.318679, 0.324587, 0.336405, 0.364889, 0.375023, 0.384119, 0.390216, 0.399146, 0.407243, 0.416586, 0.426312, 0.444456, 0.446096, 0.449375, 0.455935, 0.466165, 0.476251, 0.487478, 0.503971, 0.536465, 0.55444, 0.577936, 0.608171, 0.667948, 0.689616");
-            values ( \
-              "0.00991804, 0.0111226, 0.0177332, 0.024024, 0.0337526, 0.0433451, 0.0523474, 0.0552454, 0.0586205, 0.0596526, 0.0575043, 0.0534511, 0.0503231, 0.0521255, 0.0506619, 0.0471931, 0.03483, 0.0295484, 0.0260412, 0.0254341, 0.0236592, 0.0237395, 0.0229838, 0.0216915, 0.0183934, 0.0183263, 0.0174365, 0.0161726, 0.0136038, 0.0117649, 0.00977033, 0.00768991, 0.0047744, 0.00365596, 0.00255283, 0.00163339, 0.00056304, 0.000453447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.15321, 0.19089, 0.228579, 0.248678, 0.251868, 0.25651, 0.327939, 0.351233, 0.372178, 0.392715, 0.43898, 0.450849, 0.469931, 0.494403, 0.543779, 0.560753, 0.584628, 0.629857, 0.664644, 0.690451, 0.742065, 0.904331");
-            values ( \
-              "0.0149037, 0.0469359, 0.0729435, 0.0847246, 0.0896743, 0.0918074, 0.0769636, 0.0784159, 0.071543, 0.0617969, 0.0367474, 0.0369151, 0.035816, 0.0307998, 0.0186347, 0.0151321, 0.0114502, 0.00665395, 0.00433115, 0.00330197, 0.00156572, 9.54119e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.153214, 0.180978, 0.194759, 0.201893, 0.216163, 0.227404, 0.248749, 0.254677, 0.263248, 0.265732, 0.270701, 0.278647, 0.302057, 0.320504, 0.340155, 0.369774, 0.380768, 0.401539, 0.422716, 0.44388, 0.46751, 0.469865, 0.484, 0.493197, 0.503733, 0.513435, 0.52367, 0.534773, 0.548323, 0.56245, 0.578803, 0.620811, 0.62987, 0.647988, 0.671796, 0.700586, 0.713261, 0.738611, 0.764962, 0.80394, 0.819362, 0.850206, 0.900601, 0.981734, 1.06287, 1.22513");
-            values ( \
-              "0.0115365, 0.0526413, 0.0675215, 0.0750003, 0.0888453, 0.0993801, 0.117718, 0.125116, 0.127135, 0.125906, 0.124655, 0.1232, 0.119859, 0.11713, 0.113575, 0.10762, 0.106794, 0.107351, 0.103123, 0.0957629, 0.0850929, 0.0834057, 0.0756443, 0.0699727, 0.06544, 0.0645636, 0.0624817, 0.0599791, 0.0553712, 0.0510398, 0.0471236, 0.0378459, 0.0355525, 0.0314503, 0.0261995, 0.0210248, 0.0188533, 0.0154288, 0.0122271, 0.00883824, 0.00759897, 0.00590074, 0.00363696, 0.00179592, 0.000743221, 0.000116583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.184105, 0.222545, 0.226901, 0.231258, 0.235615, 0.242187, 0.245472, 0.247115, 0.248758, 0.251466, 0.253648, 0.256184, 0.262268, 0.271493, 0.287429, 0.358417, 0.409372, 0.41677, 0.431566, 0.442943, 0.454321, 0.472374, 0.48556, 0.507068, 0.542727, 0.549378, 0.558247, 0.574694, 0.616603, 0.617378, 0.623192, 0.631312, 0.639542, 0.650312, 0.665537, 0.699255, 0.747279, 0.775255, 0.806823, 0.83148, 0.862965, 0.886231, 0.913882, 0.945247, 0.984965, 1.01132, 1.0306, 1.0621, 1.1079, 1.14795");
-            values ( \
-              "0.119974, 0.128619, 0.130767, 0.134021, 0.138381, 0.14705, 0.148498, 0.1485, 0.148021, 0.157508, 0.159707, 0.15978, 0.159362, 0.15767, 0.155607, 0.147948, 0.141891, 0.140912, 0.138202, 0.136657, 0.135476, 0.134581, 0.133626, 0.131522, 0.125503, 0.123901, 0.121284, 0.115563, 0.0983743, 0.0986735, 0.0982271, 0.0972988, 0.0961089, 0.0941494, 0.0906482, 0.0811539, 0.0662001, 0.0587453, 0.0521505, 0.0473368, 0.0414525, 0.037362, 0.0329534, 0.0283651, 0.0230535, 0.0199715, 0.0180237, 0.0152249, 0.0118455, 0.00926232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.174138, 0.206861, 0.257508, 0.301905, 0.48985, 0.613277, 0.662105, 0.716344, 0.756286, 0.813059, 0.861002, 0.896625, 1.18953, 1.35367, 1.49935, 1.5927, 1.73549, 1.93121, 2.17461, 2.19464");
-            values ( \
-              "0.0823092, 0.11301, 0.185084, 0.180613, 0.167938, 0.156978, 0.155162, 0.14995, 0.143911, 0.129245, 0.126369, 0.120618, 0.062575, 0.0409285, 0.0265244, 0.0197033, 0.0122403, 0.00630338, 0.00262341, 0.00253347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.275218, 0.305979, 0.324142, 0.368537, 0.410519, 0.444004, 0.474618, 0.491999, 0.501333, 0.513187, 0.523725, 0.525736, 0.537797, 0.55018, 0.551539, 0.553549, 0.560355, 0.571602, 0.580131, 0.591795, 0.62948, 0.661964, 0.683394, 0.714258, 0.762295, 0.803133, 0.827212");
-            values ( \
-              "0.00417044, 0.00435554, 0.00616246, 0.0132059, 0.0179979, 0.021178, 0.0231256, 0.0235931, 0.0247555, 0.0275996, 0.0274713, 0.0276054, 0.0260224, 0.0226744, 0.0231853, 0.0229981, 0.0206913, 0.0197687, 0.018328, 0.0154831, 0.011447, 0.00642514, 0.00454058, 0.00271733, 0.0011969, 0.000540238, 0.000397798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.275268, 0.310212, 0.327669, 0.367394, 0.392823, 0.421145, 0.457154, 0.488796, 0.504632, 0.5182, 0.532657, 0.544537, 0.550229, 0.555567, 0.562531, 0.571903, 0.58958, 0.598068, 0.606503, 0.610695, 0.618805, 0.626627, 0.636296, 0.663619, 0.674224, 0.694138, 0.712147, 0.725303, 0.740771, 0.77117, 0.778943, 0.810033, 0.851487, 0.920076, 1.00121");
-            values ( \
-              "0.00623142, 0.00798318, 0.0110364, 0.0212886, 0.026455, 0.0315868, 0.0374173, 0.0409773, 0.0421034, 0.043829, 0.0487372, 0.0478141, 0.04702, 0.0471093, 0.0447518, 0.04068, 0.0323329, 0.0276414, 0.0264835, 0.0254394, 0.0250322, 0.0249061, 0.0236977, 0.018818, 0.016109, 0.0118233, 0.00906076, 0.0075681, 0.00597389, 0.00378635, 0.00336407, 0.00207004, 0.00112102, 0.000294145, 0.000118346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.275178, 0.316884, 0.344633, 0.368473, 0.388395, 0.439271, 0.47954, 0.502216, 0.53844, 0.547391, 0.561375, 0.577453, 0.590097, 0.609186, 0.654021, 0.668283, 0.677839, 0.68823, 0.712649, 0.745581, 0.777046, 0.806353, 0.850873, 0.889951, 0.935667, 0.995639, 1.1579");
-            values ( \
-              "0.00847173, 0.0140758, 0.0230721, 0.032358, 0.038982, 0.053341, 0.0634008, 0.06802, 0.0725963, 0.0748659, 0.0800172, 0.0764176, 0.0718094, 0.0631948, 0.0385005, 0.0376101, 0.0374343, 0.0361766, 0.0310476, 0.022378, 0.015517, 0.0110077, 0.00642227, 0.00392959, 0.00240436, 0.000909592, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275205, 0.328209, 0.341765, 0.367787, 0.385914, 0.409565, 0.44835, 0.469507, 0.511821, 0.526876, 0.543345, 0.550166, 0.554218, 0.558032, 0.57593, 0.586825, 0.598346, 0.618953, 0.640197, 0.671484, 0.707372, 0.717835, 0.728628, 0.738252, 0.749652, 0.780981, 0.817457, 0.841266, 0.846701, 0.857572, 0.879314, 0.924965, 0.929977, 0.940002, 0.986043, 1.02293, 1.03679, 1.11917, 1.2003, 1.28143, 1.36257, 1.4437");
-            values ( \
-              "0.00918296, 0.0244121, 0.0305583, 0.0437964, 0.0523323, 0.0624419, 0.0778385, 0.0858675, 0.100543, 0.105156, 0.109511, 0.111049, 0.113624, 0.11438, 0.110546, 0.108471, 0.107644, 0.107429, 0.103109, 0.0916221, 0.0722782, 0.0661633, 0.0654717, 0.0632449, 0.0609815, 0.0506573, 0.0423784, 0.0372506, 0.035563, 0.0333481, 0.028135, 0.0196471, 0.0191799, 0.0173868, 0.011774, 0.00879052, 0.00752952, 0.00352536, 0.00184234, 0.000666519, 0.000506132, 4.78932e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.274943, 0.345767, 0.391868, 0.44304, 0.517014, 0.549904, 0.560629, 0.575767, 0.590797, 0.611796, 0.663489, 0.694701, 0.700319, 0.706739, 0.71958, 0.739136, 0.759837, 0.789011, 0.833858, 0.835847, 0.852017, 0.866205, 0.88129, 0.897536, 0.992067, 1.02373, 1.05651, 1.10378, 1.14045, 1.20201, 1.23644, 1.27868, 1.33994, 1.36495, 1.41497, 1.4961, 1.57723, 1.65836, 1.82063, 1.90176");
-            values ( \
-              "0.00646181, 0.0411681, 0.0687787, 0.0958934, 0.131745, 0.145629, 0.149595, 0.149302, 0.146578, 0.144358, 0.136605, 0.134033, 0.134175, 0.133914, 0.132824, 0.129986, 0.125579, 0.117062, 0.0984854, 0.0987935, 0.0970339, 0.0947291, 0.0911659, 0.0867477, 0.0589689, 0.0522434, 0.0458768, 0.0375024, 0.0315907, 0.0232007, 0.0194021, 0.0153698, 0.0109538, 0.009449, 0.00714532, 0.00438221, 0.00276093, 0.00164985, 0.000607439, 0.000429708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.331429, 0.392799, 0.456062, 0.493669, 0.549888, 0.563759, 0.585293, 0.590253, 0.682762, 0.763895, 0.809822, 0.856865, 0.880532, 0.925002, 0.938899, 0.962617, 0.998914, 1.02859, 1.04229, 1.05658, 1.07504, 1.09261, 1.11138, 1.16035, 1.28509, 1.39367, 1.45798, 1.51056, 1.57205, 1.62794, 1.69986, 1.74378, 1.80235, 1.86575, 1.94688, 1.98848, 2.06961, 2.15075, 2.23188, 2.31301, 2.47528, 2.63754, 2.79981, 2.88094");
-            values ( \
-              "0.0764943, 0.0794077, 0.11919, 0.141364, 0.172329, 0.177181, 0.177026, 0.175952, 0.169523, 0.163004, 0.158645, 0.155784, 0.154755, 0.150548, 0.148995, 0.145388, 0.137267, 0.129361, 0.128467, 0.128046, 0.12644, 0.123928, 0.120612, 0.110822, 0.0849474, 0.0644036, 0.0547102, 0.0477566, 0.0404263, 0.034511, 0.0277491, 0.0241975, 0.0201148, 0.0162903, 0.012458, 0.0107682, 0.00819619, 0.00613459, 0.00466704, 0.00346003, 0.00193834, 0.00107902, 0.000595509, 0.000495314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.499236, 0.606135, 0.662926, 0.703493, 0.778618, 0.837603, 0.869926, 0.915557, 0.952175, 0.983116, 0.996831, 1.00377, 1.01841, 1.06452, 1.11979, 1.18847, 1.2131, 1.21566, 1.22322, 1.27792, 1.30612");
-            values ( \
-              "0.00055294, 0.00189998, 0.003411, 0.00550631, 0.0110076, 0.0137406, 0.0147047, 0.0149109, 0.0176476, 0.0179205, 0.0176357, 0.0168111, 0.0182358, 0.0145555, 0.00686401, 0.00223465, 0.00178532, 0.00131679, 0.00204143, 0.000846604, 0.000520048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.499631, 0.612087, 0.670085, 0.711009, 0.758751, 0.812317, 0.855577, 0.888122, 0.938376, 0.98004, 0.999709, 1.019, 1.06095, 1.07764, 1.09351, 1.1579, 1.21491, 1.22284, 1.26308, 1.29316, 1.3487, 1.38222");
-            values ( \
-              "0.000869508, 0.00354954, 0.00632999, 0.0100255, 0.016059, 0.0210207, 0.0241196, 0.0258551, 0.026854, 0.0320151, 0.0319068, 0.0323691, 0.0263347, 0.0269825, 0.0255366, 0.0126852, 0.00586368, 0.00647712, 0.0036932, 0.00227725, 0.000936946, 0.000607288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.499616, 0.621876, 0.648893, 0.683762, 0.719965, 0.758779, 0.815352, 0.871252, 0.897599, 0.950294, 0.971464, 0.994045, 1.01641, 1.04024, 1.05636, 1.0723, 1.0886, 1.10888, 1.12773, 1.13933, 1.14389, 1.16467, 1.21604, 1.21972, 1.22539, 1.2738, 1.31072, 1.33885, 1.3739, 1.42064, 1.50036, 1.58149, 1.66262");
-            values ( \
-              "0.00117446, 0.00652105, 0.00841298, 0.0117791, 0.0171374, 0.0244372, 0.0329381, 0.0392309, 0.0415584, 0.0454671, 0.0463442, 0.04849, 0.0532323, 0.0547424, 0.0551443, 0.0537427, 0.0504125, 0.0430044, 0.040555, 0.0404657, 0.0401297, 0.0371495, 0.0241176, 0.0243997, 0.0237639, 0.0139803, 0.00906492, 0.00644261, 0.00419013, 0.00231392, 0.000783615, 0.000264519, 9.32799e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.580558, 0.698039, 0.715886, 0.785877, 0.847648, 0.877751, 0.937957, 0.973518, 1.04357, 1.0985, 1.12243, 1.148, 1.17011, 1.18809, 1.1985, 1.21589, 1.22267, 1.23186, 1.26133, 1.35839, 1.41025, 1.43331, 1.46304, 1.5045, 1.54944, 1.58403, 1.65322, 1.73435, 1.81549, 1.89662");
-            values ( \
-              "0.0113894, 0.0199182, 0.0235267, 0.0401577, 0.0514994, 0.0563688, 0.0654354, 0.0701768, 0.0767746, 0.0870212, 0.0871618, 0.0849036, 0.0775829, 0.0701084, 0.0692677, 0.0666559, 0.0666828, 0.0646677, 0.0542334, 0.0303341, 0.0202239, 0.0167288, 0.0130212, 0.00906802, 0.0060974, 0.00450368, 0.00235214, 0.00112353, 0.000500619, 0.000262856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.580522, 0.663997, 0.739705, 0.803905, 0.831299, 0.886086, 0.945011, 1.02614, 1.04926, 1.08008, 1.11076, 1.14806, 1.18106, 1.21309, 1.21588, 1.22148, 1.23145, 1.2468, 1.26231, 1.31514, 1.32168, 1.33359, 1.34653, 1.37637, 1.48069, 1.54514, 1.58601, 1.66751, 1.7296, 1.78796, 1.83303, 1.84834, 1.87897, 1.94022, 2.01557, 2.17783, 2.25897, 2.3401, 2.42123");
-            values ( \
-              "0.0198876, 0.0205169, 0.0370422, 0.0551784, 0.0617854, 0.0739777, 0.0862121, 0.102056, 0.106161, 0.111023, 0.115202, 0.119691, 0.125947, 0.129296, 0.129192, 0.130112, 0.129238, 0.125454, 0.120889, 0.100319, 0.100947, 0.0987974, 0.0970467, 0.0893138, 0.0585704, 0.0454894, 0.0381837, 0.0257852, 0.0185854, 0.0136334, 0.0104141, 0.00972972, 0.00799112, 0.00576541, 0.00353716, 0.00128457, 0.000953445, 0.000427165, 0.000427477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.608236, 0.703034, 0.871474, 1.04972, 1.16295, 1.21591, 1.23646, 1.36369, 1.42212, 1.51113, 1.55736, 1.59497, 1.9209, 2.03752, 2.19956, 2.32722, 2.40835, 2.62673, 2.83781");
-            values ( \
-              "0.0326957, 0.0347139, 0.0830706, 0.127946, 0.153165, 0.163202, 0.164101, 0.154786, 0.148945, 0.129466, 0.126613, 0.120554, 0.057465, 0.0425018, 0.0263505, 0.0174793, 0.0134045, 0.00627515, 0.00347543" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.012669, 0.0127077, 0.0127541, 0.0128001, 0.0128374, 0.0128631", \
-            "0.0159057, 0.0159536, 0.0160192, 0.0160918, 0.0161588, 0.0162095", \
-            "0.0179772, 0.0180165, 0.0180772, 0.0181561, 0.0182384, 0.0183088", \
-            "0.0192416, 0.0192521, 0.0192741, 0.019313, 0.0193665, 0.0194221", \
-            "0.0200994, 0.0200759, 0.0200463, 0.0200175, 0.020001, 0.0200018", \
-            "0.0207591, 0.0207203, 0.0206625, 0.0205782, 0.020481, 0.0203952" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0189135, 0.0189455, 0.0189961, 0.0190576, 0.0191152, 0.019159", \
-            "0.0200709, 0.0200474, 0.0200262, 0.020016, 0.0200154, 0.0200192", \
-            "0.0197893, 0.0196995, 0.0195795, 0.0194409, 0.019316, 0.0192159", \
-            "0.0194202, 0.0193003, 0.0191296, 0.0189269, 0.0187174, 0.0185501", \
-            "0.0196056, 0.0194648, 0.0192182, 0.0189083, 0.0185931, 0.0183279", \
-            "0.016967, 0.0171487, 0.0175576, 0.0183575, 0.0188769, 0.0185131" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0296455, 0.0319374, 0.0353661, 0.0386752, 0.0412565, 0.046419, 0.0531622, 0.0698784, 0.077112, 0.0886842, 0.100005, 0.111302, 0.113695, 0.135944, 0.156207, 0.16199, 0.170061, 0.176623, 0.18537, 0.211352, 0.220168, 0.22714, 0.232758, 0.237675, 0.246111, 0.252074, 0.259106, 0.267454, 0.277936, 0.29321, 0.312622, 0.341038, 0.372329, 0.389125");
-            values ( \
-              "-0.0560656, -0.0584796, -0.0563529, -0.0554424, -0.055201, -0.0549291, -0.0549986, -0.054638, -0.0550366, -0.0579711, -0.0580194, -0.0508007, -0.0496188, -0.0283101, -0.0132192, -0.0104455, -0.00897737, -0.00854416, -0.00734351, -0.0029327, -0.00271073, -0.00161141, -0.00153098, -0.000783562, -0.00118698, -0.00077794, -0.00134074, -0.000983916, -0.00141044, -0.000906633, -0.00106449, -0.000367053, -0.000488076, -0.000291371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0296975, 0.033031, 0.040263, 0.0748516, 0.0845684, 0.097922, 0.103713, 0.110894, 0.127602, 0.150597, 0.168221, 0.187717, 0.197149, 0.211364, 0.228445, 0.239649, 0.265209, 0.282254, 0.393456, 0.42802");
-            values ( \
-              "-0.0527998, -0.0964438, -0.0921907, -0.0905277, -0.090998, -0.0952537, -0.0961738, -0.0946088, -0.0809067, -0.0495884, -0.0308362, -0.0152282, -0.0114057, -0.00834212, -0.00587908, -0.00566947, -0.0033077, -0.00256286, -0.000523744, -0.000437767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.029612, 0.0344751, 0.0434578, 0.0873746, 0.0980694, 0.114726, 0.131096, 0.15067, 0.182405, 0.202862, 0.235687, 0.251496, 0.261055, 0.288638, 0.354397, 0.430115");
-            values ( \
-              "-0.0473472, -0.146316, -0.141591, -0.138757, -0.13895, -0.143979, -0.142531, -0.124124, -0.0736482, -0.0480981, -0.019162, -0.0100227, -0.00885708, -0.00950878, -0.00432437, -0.00158162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0317528, 0.036589, 0.0432732, 0.0770784, 0.111081, 0.122915, 0.145814, 0.168716, 0.192986, 0.239662, 0.290615, 0.310086, 0.341887, 0.359067, 0.411871, 0.511097, 0.53348");
-            values ( \
-              "-0.167415, -0.20132, -0.198654, -0.196664, -0.192931, -0.192561, -0.196376, -0.193339, -0.171689, -0.1017, -0.0432662, -0.0301626, -0.0193983, -0.0158719, -0.00954595, -0.00341853, -0.00278835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0330076, 0.0330276, 0.0441643, 0.100173, 0.16888, 0.203577, 0.23832, 0.261169, 0.27614, 0.370832, 0.393442, 0.435802, 0.47328, 0.533913, 0.579626, 0.648768, 0.730566, 0.838366, 1.00921");
-            values ( \
-              "-1e-22, -0.253597, -0.251854, -0.249398, -0.242219, -0.243534, -0.237411, -0.223413, -0.209345, -0.0989879, -0.0762319, -0.0548224, -0.0396306, -0.0198681, -0.0122106, -0.00702169, -0.00376726, -0.00142489, -0.000631631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0336198, 0.0410548, 0.0509513, 0.060873, 0.0880147, 0.112253, 0.192027, 0.232288, 0.299333, 0.311703, 0.336442, 0.373686, 0.388834, 0.40903, 0.432667, 0.49603, 0.549069, 0.565575, 0.634357, 0.695677, 0.748552, 0.771083, 0.812301, 0.864111, 0.893657, 0.924622, 0.965909, 1.02286, 1.13675, 1.25065, 1.36455, 1.59234");
-            values ( \
-              "-0.289427, -0.292638, -0.294138, -0.294605, -0.293806, -0.29252, -0.286136, -0.282215, -0.278982, -0.279235, -0.2761, -0.267364, -0.261577, -0.251459, -0.236287, -0.186009, -0.138988, -0.129751, -0.0944971, -0.0672359, -0.0488738, -0.0424908, -0.0322775, -0.0224186, -0.0181166, -0.0147609, -0.0115263, -0.00830515, -0.00465078, -0.00263127, -0.00145822, -0.000412916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0479475, 0.0512321, 0.0530519, 0.0541119, 0.0544262, 0.0550547, 0.0563118, 0.057922, 0.0600446, 0.0641748, 0.06794, 0.0718137, 0.077741, 0.0837058, 0.118546, 0.129819, 0.130608, 0.149393, 0.153594, 0.159847, 0.168163, 0.170297, 0.170762, 0.171691, 0.17355, 0.176669, 0.19028, 0.198471, 0.202888, 0.211724, 0.218763, 0.22618, 0.234966, 0.242225, 0.247762, 0.252221, 0.258453, 0.262128, 0.268314, 0.2749, 0.283032, 0.293704, 0.307367, 0.329628, 0.355175, 0.388282, 0.425997, 0.449139");
-            values ( \
-              "-0.0228346, -0.0571526, -0.064058, -0.0602817, -0.0618774, -0.0592198, -0.0597504, -0.0568407, -0.0575632, -0.054885, -0.0562153, -0.0543905, -0.0558657, -0.0539934, -0.0588833, -0.0502224, -0.0516037, -0.0324194, -0.0297498, -0.0236157, -0.0188498, -0.0160242, -0.016739, -0.0150266, -0.0145715, -0.011644, -0.00847541, -0.00858571, -0.00705309, -0.00638251, -0.00435249, -0.00378719, -0.00243446, -0.00249238, -0.00128221, -0.00148865, -0.000661892, -0.00125395, -0.000643224, -0.00141552, -0.000806728, -0.00156065, -0.000760387, -0.00125118, -0.000212405, -0.000684438, -1e-22, -0.000193072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0497072, 0.0531826, 0.0584278, 0.0624647, 0.0671986, 0.0895317, 0.10315, 0.120053, 0.127021, 0.129491, 0.134431, 0.143319, 0.179378, 0.200408, 0.207471, 0.217238, 0.240764, 0.251739, 0.262206, 0.287745, 0.299673, 0.330759, 0.347075, 0.37048, 0.402718, 0.409243");
-            values ( \
-              "-0.0961894, -0.101783, -0.0957834, -0.0934116, -0.0923209, -0.0909001, -0.0908323, -0.096186, -0.0956991, -0.094802, -0.0918117, -0.0837122, -0.0379272, -0.0197139, -0.0146709, -0.0108654, -0.0065685, -0.00571596, -0.00543573, -0.00299552, -0.00249641, -0.00230024, -0.00157566, -0.00143298, -0.000518699, -0.000480193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0488864, 0.0537375, 0.0626482, 0.0725452, 0.116745, 0.139837, 0.149828, 0.16937, 0.200562, 0.22114, 0.25667, 0.26355, 0.273266, 0.306013, 0.371748, 0.45386");
-            values ( \
-              "-0.0405508, -0.153515, -0.144134, -0.141585, -0.138636, -0.145062, -0.142355, -0.124292, -0.0745762, -0.0486226, -0.0169009, -0.0120596, -0.00917895, -0.00963659, -0.00432414, -0.00134696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0513341, 0.0538107, 0.0551664, 0.0622641, 0.066865, 0.0733995, 0.0959096, 0.130124, 0.141684, 0.164604, 0.187481, 0.211709, 0.258284, 0.284915, 0.309102, 0.31867, 0.328425, 0.359537, 0.376369, 0.428803, 0.477003, 0.528501, 0.59899");
-            values ( \
-              "-0.176722, -0.208312, -0.208915, -0.202125, -0.199857, -0.198506, -0.196887, -0.19307, -0.192754, -0.1966, -0.193613, -0.171988, -0.102004, -0.0692496, -0.0435274, -0.0352584, -0.0303432, -0.0196712, -0.0160605, -0.0096888, -0.00609575, -0.00344567, -0.00142746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0521554, 0.0552676, 0.0741673, 0.187687, 0.222361, 0.257067, 0.279336, 0.294832, 0.411824, 0.491404, 0.552195, 0.596837, 0.663809, 0.767667");
-            values ( \
-              "-0.235569, -0.259377, -0.252638, -0.242385, -0.243813, -0.237631, -0.224136, -0.209637, -0.0765226, -0.039833, -0.0199351, -0.01235, -0.0071787, -0.00401486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0529951, 0.0539847, 0.0554099, 0.0582603, 0.0741651, 0.10713, 0.161782, 0.243106, 0.273651, 0.333387, 0.344007, 0.358166, 0.393453, 0.402743, 0.421325, 0.451542, 0.508063, 0.562527, 0.583678, 0.652948, 0.68517, 0.714976, 0.766911, 0.78873, 0.830034, 0.881009, 0.921536, 0.942435, 0.984234, 1.04118, 1.09813, 1.15508, 1.26898, 1.38287, 1.55372");
-            values ( \
-              "-0.276917, -0.291311, -0.296665, -0.298273, -0.295439, -0.293913, -0.29056, -0.283151, -0.280911, -0.278906, -0.278059, -0.275961, -0.267269, -0.263867, -0.255288, -0.23652, -0.191891, -0.143267, -0.130105, -0.0947378, -0.0796406, -0.0671161, -0.0490603, -0.0427713, -0.0325449, -0.0226691, -0.0170965, -0.0148779, -0.0114873, -0.00834772, -0.00623192, -0.00468433, -0.00266344, -0.00149163, -0.00061597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0760727, 0.0891653, 0.100001, 0.112595, 0.113309, 0.114736, 0.119288, 0.121986, 0.124788, 0.130394, 0.136645, 0.148226, 0.159494, 0.170757, 0.171544, 0.173119, 0.176269, 0.180053, 0.185672, 0.186335, 0.187661, 0.190313, 0.194602, 0.202936, 0.209484, 0.2116, 0.215833, 0.22935, 0.235599, 0.243848, 0.253491, 0.260953, 0.268849, 0.277163, 0.28375, 0.288666, 0.293924, 0.29931, 0.305165, 0.311058, 0.318229, 0.326307, 0.338001, 0.351926, 0.376555, 0.402379, 0.436902, 0.453352");
-            values ( \
-              "-0.00115829, -0.0342137, -0.0438211, -0.0536999, -0.0587494, -0.0591155, -0.056341, -0.0564542, -0.0551085, -0.0554777, -0.0548737, -0.0585625, -0.057778, -0.0514387, -0.0504412, -0.0503718, -0.0464189, -0.0437182, -0.0369057, -0.0373635, -0.0349866, -0.0335686, -0.0286575, -0.0231315, -0.0174899, -0.0168231, -0.0127067, -0.00859818, -0.00905225, -0.007053, -0.00623196, -0.00414287, -0.00354954, -0.00240275, -0.002373, -0.00132745, -0.00137506, -0.000725494, -0.00119071, -0.000736877, -0.00138206, -0.000886394, -0.00148562, -0.00078231, -0.00110905, -0.000204279, -0.00057277, -0.000328735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0761668, 0.0911876, 0.102816, 0.112566, 0.114255, 0.116654, 0.118136, 0.120111, 0.124063, 0.130479, 0.134649, 0.139376, 0.144121, 0.153613, 0.157438, 0.163995, 0.17038, 0.184295, 0.187051, 0.209565, 0.227185, 0.248304, 0.258115, 0.264021, 0.26546, 0.268338, 0.274093, 0.280404, 0.29121, 0.301534, 0.312104, 0.319231, 0.327109, 0.331189, 0.384636, 0.406373, 0.47394, 0.577749");
-            values ( \
-              "-0.000428294, -0.0596154, -0.0761298, -0.088627, -0.0948159, -0.0970438, -0.0952274, -0.0940426, -0.0926348, -0.0913873, -0.091016, -0.0909132, -0.0912693, -0.0939006, -0.0954696, -0.0964081, -0.0948054, -0.0841069, -0.0812757, -0.05032, -0.0313141, -0.014472, -0.0111334, -0.00945617, -0.00987315, -0.00869684, -0.00801823, -0.0065116, -0.00600546, -0.00528599, -0.00493021, -0.00371125, -0.00331618, -0.00287589, -0.00186619, -0.00130142, -0.000348167, -1.89019e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0763586, 0.0975272, 0.115383, 0.118471, 0.121444, 0.129295, 0.141154, 0.153151, 0.166513, 0.174689, 0.191042, 0.210523, 0.242496, 0.262625, 0.300884, 0.309854, 0.320959, 0.349021, 0.384152, 0.410829, 0.49249");
-            values ( \
-              "-0.0065591, -0.10448, -0.144465, -0.147097, -0.143876, -0.141528, -0.13968, -0.138718, -0.141045, -0.144241, -0.142817, -0.124537, -0.0736144, -0.0483754, -0.0148308, -0.010289, -0.00889877, -0.00959094, -0.00613646, -0.00439527, -0.00126719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0766613, 0.102689, 0.116965, 0.129279, 0.160127, 0.182935, 0.206043, 0.223955, 0.238259, 0.252991, 0.297044, 0.335649, 0.360076, 0.390475, 0.416903, 0.473544, 0.519287, 0.595744");
-            values ( \
-              "-0.0108242, -0.160325, -0.204198, -0.198587, -0.19441, -0.19239, -0.197193, -0.195542, -0.187028, -0.171957, -0.105603, -0.0588136, -0.0352995, -0.022794, -0.0161484, -0.009379, -0.00606098, -0.00263004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0846221, 0.0982759, 0.116651, 0.136145, 0.224184, 0.269874, 0.281225, 0.299076, 0.316665, 0.336523, 0.426729, 0.455577, 0.485283, 0.527858, 0.582993, 0.630636, 0.712667, 0.796548, 0.839079");
-            values ( \
-              "-0.0625287, -0.184796, -0.257345, -0.251765, -0.24267, -0.244267, -0.242754, -0.236841, -0.227536, -0.20958, -0.104528, -0.0752821, -0.0599496, -0.0421114, -0.0232455, -0.0136013, -0.00703599, -0.00377735, -0.00289028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0930026, 0.112534, 0.115431, 0.119703, 0.121684, 0.125645, 0.138617, 0.196791, 0.301524, 0.34229, 0.372182, 0.400789, 0.430359, 0.45969, 0.493066, 0.525467, 0.550637, 0.602977, 0.623458, 0.703197, 0.753804, 0.808127, 0.83215, 0.872573, 0.92371, 0.952448, 0.982018, 1.02144, 1.07839, 1.19229, 1.30619, 1.42008, 1.59093");
-            values ( \
-              "-0.266306, -0.274749, -0.291919, -0.300664, -0.298218, -0.296655, -0.294643, -0.291076, -0.281722, -0.279865, -0.279394, -0.275917, -0.268769, -0.257239, -0.23648, -0.212043, -0.191223, -0.144168, -0.131134, -0.0905267, -0.0682081, -0.0491676, -0.0422907, -0.0323155, -0.0224976, -0.0183171, -0.0150486, -0.0118007, -0.00851438, -0.00475504, -0.00269072, -0.0014948, -0.000637935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.131053, 0.161069, 0.174321, 0.194961, 0.219927, 0.226663, 0.239552, 0.24896, 0.251097, 0.267867, 0.276087, 0.28704, 0.302807, 0.31168, 0.326029, 0.334907, 0.344341, 0.351984, 0.3598, 0.368191, 0.374691, 0.379646, 0.383661, 0.390236, 0.395681, 0.401743, 0.408733, 0.416929, 0.428754, 0.442812, 0.466727, 0.492053, 0.526193, 0.541889");
-            values ( \
-              "-0.000190907, -0.0216547, -0.0278043, -0.0357715, -0.0444639, -0.0472615, -0.0545941, -0.0579202, -0.0602884, -0.0470186, -0.0382359, -0.0284062, -0.0161518, -0.0105875, -0.00840028, -0.00779078, -0.00550273, -0.00487484, -0.00283519, -0.00321417, -0.00157769, -0.0020799, -0.000693173, -0.00153645, -0.000487593, -0.00154895, -0.000673885, -0.00166581, -0.000756368, -0.00152699, -0.000369155, -0.000949092, -1e-22, -0.00022563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.143277, 0.153227, 0.164071, 0.178341, 0.200597, 0.231298, 0.24878, 0.253022, 0.257285, 0.26159, 0.2702, 0.275361, 0.285682, 0.297793, 0.305168, 0.31648, 0.324443, 0.33776, 0.346744, 0.354275, 0.35635, 0.360499, 0.37647, 0.387267, 0.39777, 0.406441, 0.412333, 0.428916, 0.45873, 0.474348, 0.52689, 0.563764, 0.611186, 0.668134");
-            values ( \
-              "-0.0194004, -0.0305331, -0.038159, -0.0488373, -0.0627604, -0.0800394, -0.0932969, -0.0973554, -0.0975267, -0.0950644, -0.0890977, -0.0845459, -0.0709561, -0.0540386, -0.0450791, -0.0330219, -0.026218, -0.0156203, -0.0116675, -0.00972764, -0.00968907, -0.00854342, -0.00612439, -0.00584081, -0.00518613, -0.00435452, -0.00358663, -0.00270492, -0.00223264, -0.0018275, -0.000771083, -0.000407195, -0.00012418, -7.09361e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.142972, 0.15677, 0.185077, 0.210214, 0.230894, 0.248473, 0.249354, 0.250362, 0.252147, 0.255053, 0.259376, 0.265062, 0.273233, 0.281342, 0.298801, 0.330844, 0.346201, 0.354164, 0.364129, 0.377415, 0.387725, 0.392588, 0.39451, 0.398354, 0.403928, 0.411175, 0.421384, 0.435485, 0.459039, 0.470032, 0.473751, 0.481188, 0.491559, 0.503533, 0.518309, 0.536838, 0.559064, 0.591108, 0.690324");
-            values ( \
-              "-0.0173113, -0.0509268, -0.0816011, -0.105155, -0.122391, -0.136237, -0.140605, -0.142351, -0.142599, -0.142433, -0.14309, -0.144688, -0.14528, -0.14248, -0.12717, -0.0765102, -0.0559156, -0.0471582, -0.0377488, -0.0267164, -0.0170887, -0.0143055, -0.0122142, -0.0113704, -0.00926729, -0.00933332, -0.00894796, -0.00977435, -0.00796859, -0.00641653, -0.00648831, -0.00554054, -0.00523267, -0.00420727, -0.0038124, -0.00265618, -0.00217468, -0.00100901, -2.45579e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.143492, 0.162817, 0.196828, 0.226921, 0.24891, 0.251616, 0.257029, 0.269692, 0.274494, 0.284098, 0.297358, 0.317755, 0.324748, 0.334037, 0.344308, 0.390613, 0.430653, 0.452123, 0.474557, 0.476794, 0.481267, 0.504655, 0.525554, 0.540346, 0.557341, 0.5641, 0.577619, 0.596345, 0.617369, 0.641433, 0.704374, 0.807597, 0.864546");
-            values ( \
-              "-0.0124245, -0.0798128, -0.129134, -0.166712, -0.190423, -0.199121, -0.195233, -0.193207, -0.193248, -0.194157, -0.19732, -0.194509, -0.190433, -0.183382, -0.171886, -0.102235, -0.054493, -0.0344691, -0.0249516, -0.0247558, -0.0227521, -0.0165967, -0.0141064, -0.0117825, -0.0103343, -0.00923315, -0.00855554, -0.00674563, -0.00587618, -0.00413778, -0.00177817, -0.000242398, -0.000420897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.154851, 0.170312, 0.211925, 0.248734, 0.25214, 0.272874, 0.315114, 0.331706, 0.355343, 0.389917, 0.396409, 0.414933, 0.427748, 0.542003, 0.548917, 0.577571, 0.618746, 0.671996, 0.698401, 0.720592, 0.742133, 0.804129, 0.885605, 0.970281");
-            values ( \
-              "-0.102859, -0.115482, -0.188589, -0.243298, -0.251806, -0.247499, -0.242753, -0.242686, -0.244328, -0.237506, -0.234527, -0.222008, -0.20976, -0.078953, -0.0739247, -0.0592762, -0.0419968, -0.0236898, -0.0175619, -0.0136049, -0.0111947, -0.0069165, -0.00373678, -0.00193566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.155005, 0.180684, 0.203042, 0.248695, 0.253751, 0.259914, 0.265642, 0.277098, 0.286007, 0.294968, 0.406014, 0.458329, 0.475028, 0.497294, 0.521972, 0.551354, 0.584677, 0.617079, 0.642209, 0.694336, 0.714259, 0.797863, 0.822318, 0.869869, 0.910526, 0.933362, 0.971825, 1.02491, 1.05424, 1.0975, 1.15445, 1.2114, 1.3253, 1.43919, 1.66699");
-            values ( \
-              "-0.0920735, -0.156824, -0.203069, -0.28492, -0.293064, -0.295117, -0.292789, -0.292201, -0.291127, -0.290646, -0.28062, -0.279515, -0.278431, -0.274949, -0.26877, -0.25719, -0.236508, -0.212044, -0.191277, -0.144393, -0.131594, -0.089084, -0.0779244, -0.059009, -0.0459999, -0.0397087, -0.0307074, -0.0209488, -0.0171234, -0.0129448, -0.00928878, -0.00687592, -0.00388525, -0.00218843, -0.000652615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243608, 0.275572, 0.290749, 0.31876, 0.340038, 0.39306, 0.400896, 0.427692, 0.437036, 0.445251, 0.452993, 0.463699, 0.501409, 0.504852, 0.550265, 0.55402, 0.567968, 0.579895, 0.626514, 0.64902, 0.707531, 0.795506");
-            values ( \
-              "-0.000197446, -0.00801125, -0.0106284, -0.0168112, -0.0207779, -0.0293726, -0.030995, -0.0390788, -0.0407546, -0.0414488, -0.0412807, -0.0362685, -0.0126508, -0.011535, -0.00375077, -0.00457612, -0.00235074, -0.00160449, -0.00133831, -0.000856021, -0.000236118, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.243599, 0.288517, 0.324923, 0.347618, 0.394506, 0.413014, 0.434007, 0.441616, 0.452316, 0.4653, 0.469793, 0.478512, 0.504643, 0.528738, 0.537377, 0.548595, 0.553658, 0.558564, 0.561798, 0.574201, 0.584452, 0.607901, 0.620253, 0.624322, 0.632019, 0.643214, 0.657354, 0.703089, 0.778485, 0.830652");
-            values ( \
-              "-0.000421296, -0.0169944, -0.0298387, -0.0366818, -0.0489888, -0.0545045, -0.0644603, -0.0675602, -0.0706192, -0.0715171, -0.0708249, -0.0651762, -0.0399028, -0.0198717, -0.014575, -0.0108095, -0.0115111, -0.0105125, -0.00947401, -0.00767404, -0.00688, -0.00395551, -0.00322303, -0.00323095, -0.00286397, -0.00271, -0.00216963, -0.00102036, -0.000171544, -0.000159839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.259438, 0.285746, 0.335443, 0.374907, 0.433934, 0.457724, 0.478777, 0.499301, 0.517399, 0.550253, 0.557139, 0.586181, 0.600026, 0.609984, 0.635523, 0.68508, 0.753178, 0.762556");
-            values ( \
-              "-0.0103685, -0.0254019, -0.0507753, -0.0680537, -0.0914208, -0.106271, -0.116372, -0.113683, -0.0947049, -0.0534206, -0.0488834, -0.0206988, -0.0122631, -0.0110403, -0.0105589, -0.00561241, -0.00213777, -0.00193456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275153, 0.302345, 0.340498, 0.376475, 0.398905, 0.468439, 0.496861, 0.522583, 0.547191, 0.553954, 0.594636, 0.611682, 0.640157, 0.648352, 0.659294, 0.680807, 0.694114, 0.713131, 0.74558, 0.767265, 0.792493, 0.834707, 0.893749, 0.992201, 1.04915");
-            values ( \
-              "-0.0419557, -0.0468104, -0.0742906, -0.0966707, -0.109498, -0.146492, -0.166841, -0.178963, -0.169092, -0.163869, -0.102451, -0.0804502, -0.0481967, -0.0404336, -0.0332971, -0.0247907, -0.0205634, -0.016082, -0.0116799, -0.00943055, -0.00743527, -0.00483124, -0.00235754, -0.000575898, -0.000275287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.274974, 0.340376, 0.378839, 0.436547, 0.523088, 0.559035, 0.574884, 0.588821, 0.603803, 0.616809, 0.631287, 0.729078, 0.752485, 0.782698, 0.822963, 0.869634, 0.89644, 0.921634, 0.948435, 1.01239, 1.09421, 1.20195, 1.31585");
-            values ( \
-              "-0.0349809, -0.0938027, -0.124952, -0.166983, -0.221865, -0.247829, -0.241177, -0.24036, -0.232524, -0.223161, -0.20974, -0.0955792, -0.073945, -0.0585698, -0.0417216, -0.0254527, -0.0187552, -0.0138806, -0.0108645, -0.00661742, -0.00351811, -0.00130734, -0.000330746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.304029, 0.357536, 0.369688, 0.420009, 0.445203, 0.457728, 0.470254, 0.482779, 0.495305, 0.50348, 0.511656, 0.519832, 0.528007, 0.533927, 0.545767, 0.551687, 0.554441, 0.559949, 0.570375, 0.59678, 0.610731, 0.639127, 0.660612, 0.667774, 0.682077, 0.696379, 0.711163, 0.725947, 0.733279, 0.747945, 0.755278, 0.763621, 0.788651, 0.80485, 0.829339, 0.866181, 0.875323, 0.888784, 0.898199, 0.918062, 1.0035, 1.03148, 1.06567, 1.10199, 1.1323, 1.1614, 1.18348, 1.19586, 1.22062, 1.25534");
-            values ( \
-              "-0.114791, -0.124768, -0.136313, -0.181354, -0.201911, -0.214476, -0.228601, -0.244283, -0.261523, -0.262868, -0.264855, -0.267485, -0.270758, -0.273529, -0.280082, -0.283864, -0.286781, -0.285734, -0.284335, -0.281797, -0.280852, -0.280034, -0.27904, -0.278637, -0.277115, -0.275045, -0.271819, -0.267661, -0.265067, -0.258968, -0.255464, -0.250863, -0.235412, -0.224024, -0.205099, -0.173923, -0.165558, -0.15184, -0.143894, -0.131673, -0.0877727, -0.0752359, -0.0619025, -0.0496811, -0.0405045, -0.033415, -0.0287531, -0.0263947, -0.0221899, -0.0173798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.475411, 0.488833, 0.526963, 0.556056, 0.570027, 0.597968, 0.640271, 0.667861, 0.744805, 0.789545, 0.800118, 0.812516, 0.82384, 0.84366, 0.858379, 0.874519, 0.882819, 0.88555, 0.896716, 0.918857, 0.939983, 0.952581, 0.976783, 1.03327, 1.09802, 1.1018");
-            values ( \
-              "-0.00187672, -0.00221838, -0.00353532, -0.00489788, -0.00572468, -0.00797249, -0.0120899, -0.014308, -0.0198437, -0.0261995, -0.026694, -0.0281291, -0.0276753, -0.0260024, -0.0213645, -0.0143708, -0.0127938, -0.0127076, -0.0109587, -0.0060569, -0.00305663, -0.00244748, -0.00212785, -0.000904661, -0.000222034, -0.000213734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.480729, 0.531489, 0.576892, 0.679749, 0.76194, 0.815613, 0.834438, 0.847621, 0.871321, 0.917486, 0.939671, 0.977074, 1.01105, 1.08948, 1.17023, 1.23713, 1.29217");
-            values ( \
-              "-0.00349045, -0.00643994, -0.0105154, -0.0254598, -0.0351097, -0.0463291, -0.0488226, -0.0486374, -0.0444785, -0.0181412, -0.0118933, -0.00661217, -0.00406246, -0.00114206, -0.000179085, -0.000541048, -6.43647e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.502229, 0.56761, 0.587989, 0.65957, 0.700565, 0.79192, 0.828189, 0.859753, 0.869599, 0.8796, 0.889381, 0.908942, 0.936195, 0.977357, 0.986565, 0.997698, 1.01091, 1.03103, 1.0405, 1.06484, 1.07461, 1.08933, 1.12297, 1.17987, 1.21604, 1.23613, 1.28507, 1.33311");
-            values ( \
-              "-0.00973645, -0.0152471, -0.0187034, -0.0353052, -0.0434493, -0.0595659, -0.07013, -0.0779518, -0.0796993, -0.0807645, -0.0804786, -0.0761586, -0.0584866, -0.0252559, -0.0197747, -0.0159224, -0.0153236, -0.0134571, -0.0114707, -0.00836422, -0.00778024, -0.00613849, -0.00376016, -0.00135672, -0.000899765, -0.00131887, -0.000367202, -0.000209696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.502801, 0.557257, 0.582742, 0.60812, 0.661855, 0.688917, 0.73533, 0.819284, 0.841866, 0.884623, 0.895471, 0.906491, 0.92279, 0.94135, 0.958707, 0.987339, 1.01647, 1.0528, 1.06219, 1.07016, 1.09895, 1.11104, 1.12921, 1.14206, 1.15706, 1.17381, 1.19241, 1.2159, 1.22845, 1.25809, 1.28848, 1.32346, 1.37945, 1.4364, 1.49335");
-            values ( \
-              "-0.0121802, -0.0205342, -0.0259652, -0.0326181, -0.0501879, -0.0582425, -0.0706272, -0.0908444, -0.0966986, -0.110949, -0.11516, -0.118234, -0.121759, -0.12503, -0.124343, -0.112661, -0.0880629, -0.0531319, -0.0462074, -0.0416981, -0.0292697, -0.0246774, -0.0198749, -0.0170632, -0.0145047, -0.0122022, -0.0102096, -0.00806584, -0.00826916, -0.00561341, -0.00387714, -0.00229019, -0.00108612, -0.000402294, -0.00024518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.531369, 0.608376, 0.68219, 0.740974, 0.84825, 0.922, 0.989288, 1.02348, 1.04703, 1.06974, 1.10083, 1.14405, 1.17714, 1.1871, 1.21574, 1.21791, 1.25468, 1.30196, 1.3236, 1.35154, 1.37204, 1.41523, 1.45128, 1.53908, 1.59461, 1.65155, 1.76545");
-            values ( \
-              "-0.0290264, -0.0427109, -0.0717527, -0.0927155, -0.126665, -0.14856, -0.173014, -0.181218, -0.183485, -0.179653, -0.161898, -0.122672, -0.0890238, -0.0819252, -0.0664798, -0.0671576, -0.0491568, -0.0301381, -0.0229887, -0.0167844, -0.0137861, -0.0096325, -0.00732471, -0.00373627, -0.00236969, -0.00142462, -0.0005002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.561514, 0.660166, 0.727071, 0.761674, 0.818622, 0.91281, 1.11321, 1.13802, 1.17549, 1.18022, 1.19915, 1.21606, 1.22807, 1.2806, 1.34583, 1.38025, 1.41777, 1.47459, 1.50412, 1.54483, 1.57703, 1.61828, 1.66141, 1.70882, 1.77893, 1.83356, 1.89051, 2.00441, 2.11831, 2.3461");
-            values ( \
-              "-0.0531411, -0.0733323, -0.103335, -0.117352, -0.139712, -0.174422, -0.242904, -0.249623, -0.255615, -0.255737, -0.254501, -0.250371, -0.245345, -0.205946, -0.147931, -0.126911, -0.107253, -0.079535, -0.067297, -0.0525419, -0.0429148, -0.0328252, -0.0240532, -0.0172552, -0.0110605, -0.0083129, -0.00605748, -0.0034045, -0.00188537, -0.000522691" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0120549, 0.0120856, 0.0121232, 0.0121609, 0.0121919, 0.0122137", \
-            "0.0142267, 0.0142495, 0.0142818, 0.0143196, 0.0143552, 0.0143828", \
-            "0.0155504, 0.0155604, 0.0155773, 0.0156014, 0.0156285, 0.0156532", \
-            "0.0163785, 0.0163804, 0.0163819, 0.0163869, 0.0163967, 0.0164094", \
-            "0.01686, 0.0168549, 0.0168477, 0.0168392, 0.0168318, 0.0168285", \
-            "0.0171381, 0.0171312, 0.0171202, 0.0171049, 0.017087, 0.0170701" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0168115, 0.0168387, 0.0168756, 0.0169199, 0.0169601, 0.0169899", \
-            "0.0186862, 0.0186877, 0.0186944, 0.0187081, 0.018725, 0.0187396", \
-            "0.0200205, 0.0199873, 0.0199457, 0.019903, 0.0198678, 0.0198436", \
-            "0.0210671, 0.0209936, 0.0208954, 0.020785, 0.020683, 0.0206004", \
-            "0.0220814, 0.0219406, 0.0217516, 0.0215383, 0.0213397, 0.0211824", \
-            "0.0210629, 0.0217772, 0.0222927, 0.0222778, 0.0219413, 0.0216474" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0975547, 0.112386, 0.140136, 0.192031, 0.289395, 0.472842", \
-            "0.102317, 0.117212, 0.145103, 0.197171, 0.294781, 0.478261", \
-            "0.114305, 0.129147, 0.157015, 0.20918, 0.306969, 0.490674", \
-            "0.143547, 0.158754, 0.18612, 0.237905, 0.335433, 0.519132", \
-            "0.207111, 0.224672, 0.255038, 0.305838, 0.401678, 0.584014", \
-            "0.302138, 0.326759, 0.369727, 0.441038, 0.553851, 0.735021" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.149736, 0.170622, 0.209308, 0.281823, 0.417948, 0.676068", \
-            "0.149685, 0.170597, 0.2093, 0.281801, 0.41795, 0.676057", \
-            "0.148966, 0.170276, 0.209259, 0.281762, 0.41793, 0.67606", \
-            "0.154649, 0.174281, 0.21032, 0.281315, 0.417828, 0.676", \
-            "0.19428, 0.209578, 0.239425, 0.298614, 0.421874, 0.675789", \
-            "0.28088, 0.299432, 0.331964, 0.384772, 0.485015, 0.702591" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0761054, 0.0863346, 0.105319, 0.140576, 0.20638, 0.329998", \
-            "0.0807415, 0.0910036, 0.110047, 0.145376, 0.211273, 0.334943", \
-            "0.0911552, 0.101441, 0.12056, 0.156013, 0.222038, 0.345843", \
-            "0.1147, 0.124984, 0.14392, 0.179596, 0.24569, 0.369627", \
-            "0.146071, 0.161304, 0.187461, 0.23069, 0.299529, 0.423261", \
-            "0.169418, 0.191021, 0.228659, 0.291205, 0.390293, 0.540571" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0831841, 0.095871, 0.120425, 0.167325, 0.256773, 0.426127", \
-            "0.0830876, 0.095739, 0.120241, 0.167328, 0.256699, 0.426124", \
-            "0.0827658, 0.0955052, 0.120341, 0.167293, 0.256714, 0.426122", \
-            "0.0937458, 0.104053, 0.125501, 0.169072, 0.256633, 0.426106", \
-            "0.132124, 0.143611, 0.164382, 0.200656, 0.27435, 0.429519", \
-            "0.198045, 0.21329, 0.240731, 0.287318, 0.363766, 0.49462" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0285224, 0.0326174, 0.0412319, 0.0449365, 0.0498759, 0.0570017, 0.0807894, 0.109159, 0.119439, 0.127853, 0.13204, 0.140413, 0.150296, 0.156856, 0.165611, 0.16851, 0.173919, 0.179447, 0.187834, 0.19579, 0.222465, 0.230088, 0.238847, 0.247635, 0.256355, 0.264307, 0.272726, 0.280024, 0.295211, 0.314431, 0.320059, 0.331314, 0.368519, 0.385203, 0.389782, 0.398941, 0.417258, 0.442495, 0.487748, 0.64952");
-            values ( \
-              "0.00477807, 0.0593171, 0.0491465, 0.0467388, 0.044699, 0.042977, 0.038862, 0.030996, 0.0292107, 0.0271838, 0.0249475, 0.0216687, 0.0183894, 0.017186, 0.01609, 0.0155576, 0.0157728, 0.0165771, 0.0167831, 0.0158581, 0.0114956, 0.0108608, 0.0106095, 0.0101955, 0.0095244, 0.00909162, 0.00822135, 0.00723358, 0.00573115, 0.0044814, 0.00430926, 0.00363046, 0.00223311, 0.00192118, 0.00166544, 0.00161837, 0.00112653, 0.000932831, 0.000373698, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0304823, 0.0338466, 0.0380862, 0.0421871, 0.0459318, 0.0532166, 0.0632591, 0.0861455, 0.0935807, 0.101642, 0.124015, 0.135774, 0.144957, 0.150059, 0.160262, 0.170936, 0.177839, 0.184928, 0.186525, 0.196107, 0.205407, 0.214211, 0.222877, 0.233609, 0.24082, 0.248511, 0.268256, 0.300668, 0.315354, 0.336967, 0.358767, 0.392293, 0.431539, 0.491055, 0.554539, 0.591644");
-            values ( \
-              "0.055727, 0.0921067, 0.0839667, 0.0784764, 0.0752817, 0.0715639, 0.0689464, 0.0641508, 0.0621483, 0.059628, 0.0515104, 0.0484003, 0.0455048, 0.0420258, 0.0367123, 0.0319472, 0.0303752, 0.029236, 0.0293374, 0.0282225, 0.0261525, 0.0253002, 0.0249671, 0.0231452, 0.0213832, 0.0199447, 0.0187783, 0.0151794, 0.0125406, 0.00939783, 0.0072521, 0.00491673, 0.00308025, 0.00148062, 0.000685451, 0.00046203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0303661, 0.0355138, 0.0412699, 0.0461306, 0.051807, 0.0604702, 0.0982323, 0.123613, 0.150798, 0.164144, 0.173381, 0.195911, 0.208687, 0.217086, 0.224766, 0.240673, 0.281751, 0.303861, 0.315375, 0.362967, 0.407208, 0.431053, 0.461379, 0.512527, 0.572762, 0.624647, 0.705749, 0.71123");
-            values ( \
-              "0.0542348, 0.128612, 0.117213, 0.111176, 0.107499, 0.104342, 0.0961333, 0.0889277, 0.0786731, 0.074935, 0.0712899, 0.0577469, 0.0521275, 0.0499575, 0.0493368, 0.0464147, 0.0327905, 0.0327534, 0.0318492, 0.0237648, 0.0150183, 0.0117785, 0.00870149, 0.00519306, 0.00285773, 0.00160257, 0.00070431, 0.000685446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0304144, 0.0381126, 0.0467598, 0.0533163, 0.0622847, 0.128643, 0.156033, 0.18161, 0.202558, 0.219369, 0.23275, 0.268793, 0.279309, 0.305364, 0.339059, 0.353215, 0.364196, 0.409441, 0.525233, 0.555751, 0.602051, 0.630619, 0.673288, 0.724784, 0.79118, 0.872282, 0.953384, 1.11559");
-            values ( \
-              "0.0691731, 0.162367, 0.148095, 0.143217, 0.140408, 0.128032, 0.121951, 0.114755, 0.107754, 0.10344, 0.0980997, 0.0801946, 0.0774922, 0.0731763, 0.0611916, 0.0549413, 0.0544513, 0.0470023, 0.0239317, 0.0190896, 0.0134585, 0.0107817, 0.00778438, 0.00522104, 0.00304344, 0.00160036, 0.000799378, 0.000200105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.036061, 0.036081, 0.126832, 0.185, 0.231575, 0.31025, 0.341128, 0.379086, 0.425923, 0.475656, 0.4882, 0.517381, 0.59696, 0.630975, 0.726723, 0.804821, 0.86577, 0.905922, 0.963076, 1.07138, 1.23359, 1.39579, 1.558");
-            values ( \
-              "1e-22, 0.191318, 0.162635, 0.1551, 0.148066, 0.132325, 0.123176, 0.109664, 0.101646, 0.086145, 0.0860523, 0.0819158, 0.0615982, 0.0540653, 0.0368761, 0.0254881, 0.0187726, 0.0153466, 0.0112988, 0.0063418, 0.00259483, 0.0010394, 0.000400182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0397353, 0.0397553, 0.185244, 0.291288, 0.372391, 0.484686, 0.595782, 0.641167, 0.683678, 0.698983, 0.724213, 0.760632, 0.948762, 1.01133, 1.1167, 1.18722, 1.26075, 1.33572, 1.41725, 1.56647, 1.69909, 1.7802, 1.8613, 2.0235, 2.18571, 2.51012");
-            values ( \
-              "1e-22, 0.215224, 0.182861, 0.174763, 0.167389, 0.154639, 0.13291, 0.12733, 0.118702, 0.119869, 0.117791, 0.111998, 0.0748321, 0.0635184, 0.04843, 0.0400349, 0.0322893, 0.0257421, 0.0199453, 0.012299, 0.00791622, 0.00612835, 0.00457304, 0.00261883, 0.0014844, 0.000448934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0492524, 0.0526421, 0.054006, 0.05536, 0.0639135, 0.0684178, 0.0744567, 0.0783821, 0.0914596, 0.107147, 0.127048, 0.132712, 0.139186, 0.14708, 0.158018, 0.168325, 0.175097, 0.187715, 0.193413, 0.199344, 0.208537, 0.216227, 0.242758, 0.249949, 0.26001, 0.285877, 0.30198, 0.318653, 0.339214, 0.370407, 0.405571, 0.453579, 0.504788, 0.540189");
-            values ( \
-              "0.0460054, 0.0541111, 0.0561957, 0.056309, 0.0488924, 0.045991, 0.0436317, 0.0427096, 0.0403715, 0.0370017, 0.0311298, 0.0300548, 0.0294874, 0.0266513, 0.0219528, 0.0186671, 0.0171372, 0.0155493, 0.0158385, 0.0167289, 0.0166119, 0.015617, 0.011333, 0.0108155, 0.0105588, 0.00889368, 0.00689194, 0.00543146, 0.0042158, 0.00285883, 0.00180458, 0.000942199, 0.00046323, 0.000313719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0475278, 0.0531357, 0.0545903, 0.057086, 0.0649152, 0.0700257, 0.0756422, 0.0820112, 0.100513, 0.119289, 0.142731, 0.160696, 0.179879, 0.190184, 0.199194, 0.213504, 0.221405, 0.230907, 0.239141, 0.249154, 0.267724, 0.278014, 0.298136, 0.319344, 0.353928, 0.377351, 0.410525, 0.449652, 0.51545, 0.585635, 0.593248");
-            values ( \
-              "0.00372456, 0.0848653, 0.0876826, 0.0876268, 0.0781364, 0.0738272, 0.0710755, 0.0691066, 0.0650838, 0.0600654, 0.0514609, 0.0468085, 0.0361779, 0.03181, 0.0298689, 0.0284298, 0.0267464, 0.0252981, 0.0252114, 0.0237379, 0.0198065, 0.0195131, 0.0176476, 0.0151596, 0.00961413, 0.00727203, 0.00495571, 0.00311428, 0.00138563, 0.000572448, 0.000534777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0475626, 0.0548938, 0.0573876, 0.0663654, 0.0707775, 0.0775659, 0.0851172, 0.129226, 0.142457, 0.170577, 0.185296, 0.193381, 0.218617, 0.230278, 0.237566, 0.245918, 0.257078, 0.266754, 0.296532, 0.302842, 0.313932, 0.323297, 0.334499, 0.381814, 0.39555, 0.42568, 0.449796, 0.483637, 0.532454, 0.5872, 0.649767, 0.730869, 0.744544");
-            values ( \
-              "0.00382471, 0.124553, 0.125778, 0.113676, 0.109372, 0.105493, 0.103178, 0.0930835, 0.0888508, 0.0784169, 0.0738891, 0.0707582, 0.0558202, 0.0513409, 0.0497392, 0.04903, 0.046958, 0.0444896, 0.0337136, 0.0324848, 0.0328039, 0.0327149, 0.031874, 0.023791, 0.0206975, 0.015061, 0.011788, 0.00841046, 0.00514244, 0.0029795, 0.00149864, 0.000644035, 0.000600251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.05361, 0.05363, 0.107278, 0.147683, 0.193399, 0.242131, 0.278977, 0.29292, 0.31267, 0.335053, 0.368657, 0.380916, 0.393263, 0.437706, 0.527317, 0.578866, 0.644592, 0.683243, 0.742088, 0.851035, 1.01324, 1.09434");
-            values ( \
-              "1e-22, 0.163961, 0.13552, 0.128095, 0.116996, 0.102237, 0.0838245, 0.0787394, 0.0756808, 0.0698767, 0.0566244, 0.0539432, 0.0531254, 0.0454551, 0.0270722, 0.0184294, 0.0113155, 0.00825837, 0.0051971, 0.00212868, 0.000499096, 0.000394096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0550979, 0.0551179, 0.130956, 0.231364, 0.260223, 0.320421, 0.339077, 0.404194, 0.416426, 0.429253, 0.44599, 0.496169, 0.509084, 0.539223, 0.616641, 0.651155, 0.745508, 0.82258, 0.886805, 0.92926, 0.987857, 1.08329, 1.23663, 1.39884, 1.40842");
-            values ( \
-              "1e-22, 0.193103, 0.164697, 0.151246, 0.146362, 0.134262, 0.130103, 0.108358, 0.106198, 0.104985, 0.101439, 0.0860749, 0.0858985, 0.0813763, 0.0614754, 0.0539429, 0.0369365, 0.0256563, 0.018581, 0.0150655, 0.0109456, 0.00657035, 0.00281356, 0.00111239, 0.00108361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0580081, 0.0580281, 0.208045, 0.310613, 0.38327, 0.503937, 0.615061, 0.662481, 0.704884, 0.720169, 0.743562, 0.773774, 0.966905, 1.02992, 1.0985, 1.20619, 1.28002, 1.35502, 1.43675, 1.5859, 1.71839, 1.7995, 1.8806, 2.0428, 2.20501, 2.52942");
-            values ( \
-              "1e-22, 0.211925, 0.182637, 0.174768, 0.168269, 0.154449, 0.132829, 0.127023, 0.118589, 0.119796, 0.117723, 0.113046, 0.0750732, 0.0636175, 0.0535246, 0.0400866, 0.0322778, 0.0257285, 0.0199199, 0.0122812, 0.00790459, 0.00614048, 0.00456184, 0.00260823, 0.00147443, 0.00044017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0755486, 0.0859753, 0.10374, 0.112541, 0.115102, 0.118784, 0.124565, 0.129123, 0.151127, 0.170562, 0.180852, 0.189171, 0.193409, 0.211727, 0.218266, 0.229844, 0.235291, 0.240814, 0.249257, 0.25722, 0.28366, 0.291228, 0.300083, 0.308937, 0.317665, 0.32563, 0.341478, 0.356737, 0.376123, 0.381411, 0.391987, 0.428945, 0.446195, 0.450965, 0.460503, 0.479581, 0.554361, 0.715667");
-            values ( \
-              "0.00274951, 0.0224174, 0.0364317, 0.0420825, 0.0464504, 0.0475019, 0.044163, 0.0423124, 0.0366297, 0.0310706, 0.0292395, 0.027229, 0.0249512, 0.0183755, 0.0171725, 0.0155659, 0.0157624, 0.0165783, 0.0167707, 0.0158439, 0.0115223, 0.010905, 0.010644, 0.0102153, 0.00954177, 0.00910538, 0.00721696, 0.00572389, 0.00447182, 0.00430219, 0.00366948, 0.0022681, 0.00192244, 0.00167565, 0.00160708, 0.00111687, 0.000346633, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0756652, 0.0882412, 0.0967606, 0.107823, 0.112551, 0.114706, 0.117487, 0.127063, 0.134045, 0.156862, 0.163075, 0.185398, 0.196986, 0.206836, 0.21144, 0.220647, 0.231713, 0.238826, 0.246055, 0.247894, 0.258729, 0.268104, 0.285592, 0.295483, 0.305771, 0.315308, 0.32609, 0.347373, 0.357274, 0.361791, 0.380369, 0.391725, 0.402803, 0.419682, 0.427962, 0.441372, 0.460395, 0.483748, 0.523757, 0.574887, 0.636916, 0.718018, 0.799121");
-            values ( \
-              "0.00155806, 0.0379874, 0.0494945, 0.0627582, 0.0676666, 0.0741518, 0.0770531, 0.0709439, 0.0678634, 0.061672, 0.0596512, 0.0514206, 0.0486499, 0.0452348, 0.0420685, 0.0371994, 0.0321602, 0.0304617, 0.0292311, 0.0293651, 0.028036, 0.0258391, 0.0247593, 0.0230327, 0.0205963, 0.0195824, 0.0191563, 0.0168247, 0.0156213, 0.0152265, 0.011952, 0.0102337, 0.00892527, 0.00730436, 0.00667595, 0.00568237, 0.00459615, 0.00344313, 0.00211094, 0.00114983, 0.000474942, 0.000195737, 2.77387e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0756943, 0.0937552, 0.0979481, 0.11257, 0.114326, 0.115725, 0.118522, 0.130709, 0.136097, 0.145416, 0.159855, 0.180983, 0.193778, 0.210838, 0.218338, 0.228033, 0.236171, 0.256749, 0.269037, 0.277966, 0.285576, 0.294699, 0.30502, 0.318912, 0.334484, 0.344323, 0.345582, 0.3481, 0.361503, 0.372862, 0.385788, 0.423339, 0.431192, 0.456553, 0.470574, 0.489377, 0.500015, 0.514199, 0.537835, 0.568445, 0.584431, 0.616403, 0.673249, 0.754351, 0.835453, 0.916556");
-            values ( \
-              "0.00156341, 0.065271, 0.0738397, 0.0995434, 0.109369, 0.112273, 0.113033, 0.104385, 0.102129, 0.0993602, 0.0961605, 0.0903516, 0.085887, 0.0792525, 0.0768507, 0.0743205, 0.0705967, 0.0581777, 0.0525256, 0.0501319, 0.0494213, 0.0479782, 0.0457392, 0.0412265, 0.0351928, 0.0325563, 0.0327994, 0.0326616, 0.0328596, 0.0323542, 0.0306281, 0.0240058, 0.0222307, 0.0170649, 0.0147928, 0.0121765, 0.0109475, 0.00955773, 0.00750308, 0.00555907, 0.00468194, 0.00342156, 0.00183718, 0.000791544, 0.000285438, 0.00015487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0756854, 0.105702, 0.11933, 0.137234, 0.190466, 0.235668, 0.286595, 0.335444, 0.365965, 0.411417, 0.480679, 0.569833, 0.62147, 0.687492, 0.726306, 0.784388, 0.89293, 1.05514, 1.13624");
-            values ( \
-              "0.00816711, 0.115553, 0.149827, 0.138816, 0.128113, 0.117145, 0.101629, 0.0787951, 0.0733432, 0.0566049, 0.0454131, 0.0271185, 0.0184514, 0.0112993, 0.00824073, 0.00521834, 0.00214676, 0.000506025, 0.000393343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0755875, 0.114449, 0.116758, 0.118893, 0.123164, 0.133318, 0.143019, 0.157207, 0.274167, 0.303044, 0.381912, 0.400719, 0.430873, 0.44697, 0.459202, 0.472011, 0.488762, 0.504092, 0.526353, 0.539088, 0.552049, 0.582332, 0.612309, 0.659498, 0.694089, 0.788233, 0.86548, 0.897774, 0.929519, 0.971845, 1.03054, 1.0719, 1.12629, 1.19882, 1.27992, 1.44213, 1.60433, 1.68543");
-            values ( \
-              "0.00395742, 0.171231, 0.179804, 0.181252, 0.179867, 0.172441, 0.169226, 0.166982, 0.151299, 0.146333, 0.130141, 0.124181, 0.113063, 0.108404, 0.106165, 0.105028, 0.101413, 0.09714, 0.0890879, 0.0860464, 0.0859218, 0.0813463, 0.0741578, 0.061491, 0.0538938, 0.0369738, 0.0256677, 0.0218585, 0.0186103, 0.0150641, 0.0109725, 0.00880924, 0.0065815, 0.00453909, 0.00282362, 0.00112676, 0.000429084, 0.000363651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0905299, 0.11188, 0.119672, 0.135125, 0.148603, 0.207525, 0.353735, 0.434838, 0.547126, 0.658223, 0.703599, 0.746129, 0.761439, 0.774033, 0.786654, 0.823057, 1.01113, 1.07373, 1.17913, 1.24963, 1.32318, 1.39815, 1.47969, 1.54779, 1.6289, 1.7615, 1.8426, 1.9237, 2.08591, 2.24811, 2.57252");
-            values ( \
-              "0.123698, 0.175784, 0.204319, 0.194866, 0.191794, 0.186226, 0.174771, 0.167386, 0.154632, 0.132914, 0.127334, 0.118692, 0.119858, 0.118437, 0.117787, 0.112002, 0.0748461, 0.0635281, 0.0484324, 0.0400375, 0.032292, 0.0257445, 0.0199469, 0.0161244, 0.0123007, 0.00791824, 0.00612819, 0.00457461, 0.00262012, 0.00148552, 0.000449874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.145163, 0.163931, 0.168566, 0.189019, 0.19833, 0.208216, 0.216669, 0.221826, 0.232141, 0.23651, 0.240879, 0.245248, 0.249616, 0.250867, 0.253329, 0.255711, 0.263511, 0.266121, 0.26873, 0.273083, 0.277437, 0.28375, 0.296115, 0.300909, 0.303265, 0.310333, 0.324974, 0.328203, 0.331432, 0.334531, 0.33763, 0.342614, 0.346688, 0.353925, 0.358172, 0.366293, 0.375458, 0.381838, 0.383965, 0.394025, 0.403079, 0.425409, 0.440412, 0.446192, 0.454669, 0.461652, 0.473997, 0.494682, 0.512646, 0.549243");
-            values ( \
-              "0.0133574, 0.0141885, 0.0160023, 0.0228402, 0.0257713, 0.0282489, 0.030721, 0.0323895, 0.0360907, 0.0367668, 0.0371672, 0.037292, 0.0371411, 0.0378724, 0.0373551, 0.0366248, 0.0331444, 0.0323327, 0.0316122, 0.0308384, 0.0298678, 0.0281101, 0.0227884, 0.0208424, 0.0200802, 0.0181841, 0.0156129, 0.0156429, 0.0158476, 0.0162085, 0.0167305, 0.0166219, 0.0164347, 0.0158835, 0.0153177, 0.0140002, 0.0122982, 0.0114718, 0.0112618, 0.0108744, 0.010434, 0.0089803, 0.00703716, 0.00644273, 0.00573442, 0.00524684, 0.00450785, 0.00346703, 0.00277402, 0.00168463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.135752, 0.155791, 0.172133, 0.204823, 0.218766, 0.241136, 0.248829, 0.253052, 0.259346, 0.271071, 0.281033, 0.28743, 0.295626, 0.304313, 0.318602, 0.329553, 0.336608, 0.343787, 0.345566, 0.356171, 0.365639, 0.383106, 0.393203, 0.403489, 0.41302, 0.423538, 0.45936, 0.488041, 0.499202, 0.517105, 0.542872, 0.560703, 0.585988, 0.607415, 0.635986, 0.674952, 0.752884, 0.833986");
-            values ( \
-              "0.00684008, 0.0179481, 0.0278678, 0.0448058, 0.0512823, 0.05976, 0.0615745, 0.064027, 0.0623762, 0.0563693, 0.0524576, 0.0504997, 0.0489124, 0.0450504, 0.036941, 0.032137, 0.0303345, 0.0292719, 0.0292963, 0.0280286, 0.0258638, 0.024772, 0.0229903, 0.0205806, 0.0197498, 0.0192326, 0.0152327, 0.0103705, 0.00907116, 0.00733878, 0.00544976, 0.00443618, 0.00327225, 0.00252191, 0.00180137, 0.00108553, 0.000401975, 0.000114422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.136854, 0.161048, 0.170184, 0.179413, 0.20083, 0.215901, 0.232317, 0.248872, 0.252763, 0.257505, 0.262502, 0.271985, 0.282508, 0.295433, 0.309792, 0.310562, 0.312101, 0.31518, 0.321337, 0.331306, 0.342801, 0.359331, 0.37067, 0.377782, 0.385871, 0.396393, 0.406076, 0.419408, 0.435527, 0.442874, 0.453923, 0.46348, 0.474818, 0.487233, 0.521632, 0.534803, 0.549596, 0.565015, 0.582673, 0.590491, 0.606128, 0.628049, 0.654401, 0.681015, 0.692383, 0.715118, 0.752645, 0.817156, 0.898258, 0.979361");
-            values ( \
-              "0.00834603, 0.0305652, 0.0382297, 0.0464687, 0.0634256, 0.0744204, 0.0856071, 0.0950932, 0.0989997, 0.0993873, 0.0967953, 0.0928653, 0.0891027, 0.084395, 0.0787809, 0.0786454, 0.0781231, 0.0772054, 0.0755811, 0.0719368, 0.064717, 0.0553807, 0.0511544, 0.049702, 0.0489488, 0.0470935, 0.0445763, 0.0399193, 0.0338895, 0.0325651, 0.0330201, 0.0328401, 0.0319039, 0.0299332, 0.0237509, 0.0208359, 0.0178407, 0.0151717, 0.0126869, 0.011728, 0.0100339, 0.00807602, 0.00618904, 0.00472322, 0.00424323, 0.00332865, 0.00229161, 0.0011175, 0.000486878, 0.000168821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.135678, 0.16885, 0.188648, 0.216168, 0.248737, 0.253729, 0.257134, 0.27075, 0.323122, 0.375328, 0.38889, 0.425585, 0.435981, 0.459977, 0.467956, 0.495666, 0.510647, 0.522048, 0.57111, 0.660729, 0.714666, 0.75962, 0.788489, 0.831146, 0.884278, 0.950827, 1.03193, 1.11303, 1.27524");
-            values ( \
-              "0.0047412, 0.0489593, 0.0715124, 0.100599, 0.130679, 0.137878, 0.137453, 0.131954, 0.119782, 0.104246, 0.099387, 0.0808752, 0.0777907, 0.0740341, 0.0718812, 0.061881, 0.0553767, 0.0545262, 0.0464829, 0.028301, 0.0189736, 0.0135099, 0.0108749, 0.00778234, 0.0051453, 0.00304928, 0.00155341, 0.000822692, 0.000227469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.155343, 0.180119, 0.2074, 0.253734, 0.259882, 0.265461, 0.276621, 0.366651, 0.399769, 0.459941, 0.478719, 0.497623, 0.527573, 0.543491, 0.555717, 0.568443, 0.585252, 0.600516, 0.623598, 0.636284, 0.649324, 0.680215, 0.709, 0.756466, 0.79147, 0.88445, 0.961512, 0.994442, 1.02668, 1.06966, 1.12936, 1.16867, 1.22024, 1.28901, 1.37011, 1.53232, 1.69452, 1.77563");
-            values ( \
-              "0.0620139, 0.0741547, 0.111148, 0.168425, 0.170519, 0.167675, 0.164349, 0.151996, 0.146397, 0.134285, 0.130123, 0.124116, 0.113071, 0.108426, 0.106192, 0.105044, 0.101458, 0.0972036, 0.0888839, 0.0860844, 0.0858989, 0.0811029, 0.0741529, 0.0614164, 0.0537649, 0.0370576, 0.0257572, 0.0218686, 0.0185737, 0.014969, 0.0108562, 0.00881258, 0.00668699, 0.00469355, 0.00293635, 0.001178, 0.000454847, 0.000366945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.155719, 0.249229, 0.255527, 0.263486, 0.271187, 0.282608, 0.450794, 0.526782, 0.643365, 0.735224, 0.807678, 0.829919, 0.847891, 0.863263, 0.901474, 1.15438, 1.2244, 1.31872, 1.37555, 1.49641, 1.61033, 1.69144, 1.7756, 1.88408, 2.04629, 2.20849, 2.3707, 2.69511");
-            values ( \
-              "0.0526601, 0.183973, 0.192166, 0.194174, 0.190506, 0.188418, 0.174781, 0.167927, 0.154558, 0.136328, 0.126195, 0.121285, 0.118779, 0.119621, 0.11507, 0.0664025, 0.0553638, 0.0432049, 0.0368666, 0.0256845, 0.0179946, 0.0138085, 0.0104769, 0.00730526, 0.00422767, 0.00243186, 0.00139077, 0.000442447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.257292, 0.292069, 0.300509, 0.323669, 0.345551, 0.368622, 0.393398, 0.425419, 0.454159, 0.468557, 0.482289, 0.496404, 0.516458, 0.535757, 0.543858, 0.547405, 0.552957, 0.557036, 0.561174, 0.568096, 0.601524, 0.610737, 0.619149, 0.643534, 0.659374, 0.67637, 0.71239, 0.749453, 0.769304, 0.796097, 0.828308, 0.887623, 0.925786");
-            values ( \
-              "0.00310546, 0.0044351, 0.00524777, 0.00839516, 0.0124157, 0.0158135, 0.0188579, 0.0220931, 0.0234624, 0.0231734, 0.0233344, 0.0243187, 0.0198039, 0.0162809, 0.0154937, 0.0153717, 0.0170891, 0.0176088, 0.0175085, 0.016915, 0.0118541, 0.0115956, 0.0110843, 0.00925857, 0.00705771, 0.00562188, 0.00363872, 0.00224545, 0.00171226, 0.00118085, 0.000819179, 0.000294471, 0.000225188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.257426, 0.296756, 0.312181, 0.353426, 0.376748, 0.404804, 0.43947, 0.470238, 0.491022, 0.514863, 0.550384, 0.552595, 0.574251, 0.582169, 0.590997, 0.599613, 0.620258, 0.638002, 0.648912, 0.678215, 0.707263, 0.737645, 0.766635, 0.792654, 0.824503, 0.844546, 0.88463, 0.957837");
-            values ( \
-              "0.00451937, 0.0081044, 0.0108806, 0.0219874, 0.0273244, 0.0328816, 0.0387812, 0.0416945, 0.0416357, 0.0431753, 0.0313352, 0.0322125, 0.0285834, 0.0267374, 0.0259455, 0.025599, 0.0215387, 0.0202587, 0.0191154, 0.0155182, 0.0105163, 0.00730994, 0.00522233, 0.0039035, 0.00260015, 0.00211117, 0.00121523, 0.000533436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.234924, 0.275353, 0.302931, 0.325573, 0.395241, 0.462873, 0.497378, 0.53035, 0.540332, 0.554193, 0.581531, 0.590859, 0.615471, 0.625275, 0.654376, 0.663333, 0.674375, 0.69669, 0.764609, 0.810161, 0.829748, 0.879828, 0.926811, 0.947928, 0.985657, 1.05008, 1.13118, 1.21228");
-            values ( \
-              "0.00369996, 0.00805366, 0.0139988, 0.0209974, 0.0464769, 0.0652143, 0.0709633, 0.0717188, 0.0737094, 0.0712614, 0.0546614, 0.051344, 0.047223, 0.0447013, 0.0341441, 0.0333103, 0.0339691, 0.032018, 0.0186329, 0.0117405, 0.00963605, 0.00583189, 0.00359934, 0.00296866, 0.00194036, 0.00103338, 0.000365068, 0.000207047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275236, 0.314921, 0.396292, 0.455081, 0.501274, 0.540862, 0.550197, 0.555015, 0.595006, 0.608023, 0.639445, 0.650745, 0.681397, 0.710006, 0.726806, 0.751108, 0.784666, 0.902554, 0.948115, 1.00757, 1.05068, 1.10072, 1.16271, 1.24382, 1.32492, 1.48712");
-            values ( \
-              "0.0206257, 0.0242887, 0.0634804, 0.0878644, 0.104438, 0.114191, 0.115436, 0.117834, 0.104523, 0.0991467, 0.0827456, 0.0787467, 0.0731629, 0.0634159, 0.0563797, 0.0533871, 0.0474727, 0.023837, 0.0169552, 0.0107824, 0.0077597, 0.00526631, 0.00318258, 0.00167675, 0.000833188, 0.000205817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.275029, 0.332335, 0.379251, 0.426504, 0.48273, 0.555665, 0.561034, 0.581092, 0.616671, 0.684759, 0.695512, 0.715463, 0.755403, 0.765378, 0.789407, 0.802583, 0.848613, 0.860541, 0.889226, 0.925668, 0.972603, 1.00644, 1.07042, 1.13614, 1.18193, 1.21125, 1.23958, 1.27735, 1.33379, 1.38464, 1.45378, 1.53488, 1.61598, 1.77819, 1.94039");
-            values ( \
-              "0.0189042, 0.0399731, 0.068059, 0.0944667, 0.123755, 0.156837, 0.157191, 0.152725, 0.14662, 0.132811, 0.130136, 0.123689, 0.109489, 0.107304, 0.104239, 0.101278, 0.0867005, 0.0865141, 0.0827371, 0.0742168, 0.0615843, 0.0540929, 0.0423523, 0.0316286, 0.0252966, 0.0218659, 0.0189489, 0.0156726, 0.0116012, 0.00886344, 0.00612049, 0.00399459, 0.00250739, 0.00100848, 0.000392705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.27501, 0.356059, 0.415206, 0.481102, 0.532501, 0.549972, 0.559196, 0.573223, 0.582504, 0.697938, 0.759379, 0.8233, 0.859124, 0.897953, 0.947766, 0.969574, 1.00741, 1.02853, 1.05, 1.06647, 1.08186, 1.0986, 1.11739, 1.16062, 1.30095, 1.37217, 1.44196, 1.5042, 1.57561, 1.62846, 1.69694, 1.7367, 1.78972, 1.85851, 1.93961, 1.99251, 2.07361, 2.15471, 2.31692, 2.47912, 2.64133, 2.88464");
-            values ( \
-              "0.0173846, 0.0614632, 0.100434, 0.141637, 0.171507, 0.180664, 0.184199, 0.183633, 0.181693, 0.172166, 0.166085, 0.158346, 0.15469, 0.147399, 0.136882, 0.133019, 0.128574, 0.125088, 0.120003, 0.11932, 0.119133, 0.117555, 0.114993, 0.107026, 0.0791074, 0.0658339, 0.0550736, 0.0467702, 0.0383994, 0.0330447, 0.0268134, 0.0237217, 0.0201731, 0.0161096, 0.0124523, 0.010387, 0.00801437, 0.00603477, 0.00347755, 0.00198979, 0.00112892, 0.000553381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.518833, 0.586845, 0.629443, 0.655513, 0.701839, 0.758084, 0.802212, 0.822893, 0.864254, 0.866849, 0.872038, 0.882416, 0.90194, 0.910082, 0.92468, 0.961359, 0.973805, 0.984378, 0.992651, 1.00047, 1.0066, 1.01544, 1.03674, 1.04538, 1.05404, 1.0782, 1.10643, 1.1422, 1.17751, 1.20952, 1.21582, 1.2284, 1.26974, 1.29174, 1.33576, 1.41058, 1.49168");
-            values ( \
-              "0.0019129, 0.00194322, 0.00302278, 0.00405644, 0.00711128, 0.0114748, 0.0138804, 0.014556, 0.0153019, 0.0151053, 0.0152084, 0.0148527, 0.0152306, 0.0158487, 0.01529, 0.0124424, 0.0119187, 0.0116471, 0.0134915, 0.0142383, 0.0145262, 0.0144461, 0.0130986, 0.0119754, 0.0123045, 0.0113975, 0.00829072, 0.00573188, 0.00384195, 0.0025739, 0.00242808, 0.00253352, 0.00150981, 0.00112697, 0.000598359, 0.000199367, 5.10896e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.518743, 0.592471, 0.650394, 0.68848, 0.77025, 0.819308, 0.841727, 0.886566, 0.903395, 0.919176, 0.934622, 0.952369, 0.980922, 1.00072, 1.01107, 1.0317, 1.04142, 1.05416, 1.0753, 1.08556, 1.09628, 1.12359, 1.15354, 1.19382, 1.21573, 1.22227, 1.28356, 1.3089, 1.35506, 1.4166, 1.49771, 1.57881");
-            values ( \
-              "0.00314713, 0.0035867, 0.00649661, 0.00999628, 0.0199541, 0.0241479, 0.0255459, 0.0270704, 0.0268631, 0.0269668, 0.0281844, 0.0279418, 0.0242734, 0.0225707, 0.022532, 0.0231176, 0.0241394, 0.0239836, 0.021243, 0.0218488, 0.021219, 0.0187045, 0.0133837, 0.00881815, 0.00697044, 0.00728698, 0.00369395, 0.00271446, 0.00153725, 0.000694922, 0.00023039, 7.78333e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.51909, 0.602911, 0.640965, 0.69476, 0.766895, 0.815576, 0.874975, 0.927654, 0.956112, 0.998438, 1.04137, 1.05177, 1.06313, 1.07232, 1.08401, 1.11184, 1.12445, 1.13565, 1.14627, 1.15043, 1.1614, 1.2161, 1.22134, 1.2269, 1.26665, 1.29706, 1.33957, 1.388, 1.41637, 1.4731, 1.5542, 1.71641");
-            values ( \
-              "0.00470141, 0.00651845, 0.00942245, 0.0162894, 0.0294378, 0.036343, 0.042844, 0.0461473, 0.0466686, 0.048841, 0.0419567, 0.0413397, 0.0413711, 0.0421904, 0.0414566, 0.0358006, 0.0343075, 0.0360737, 0.0355065, 0.035587, 0.0343839, 0.0237436, 0.0237052, 0.0229632, 0.0156904, 0.0116857, 0.00768022, 0.00470499, 0.00353755, 0.0019285, 0.000803881, 0.000141296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.518822, 0.620442, 0.644954, 0.683297, 0.698446, 0.767981, 0.830463, 0.914409, 0.958358, 0.990956, 1.03272, 1.04906, 1.06544, 1.08346, 1.11735, 1.1315, 1.14171, 1.15335, 1.17404, 1.19624, 1.20738, 1.21586, 1.22182, 1.23209, 1.3896, 1.43322, 1.47323, 1.51221, 1.54379, 1.57374, 1.61366, 1.69351, 1.77461, 1.85572, 1.93682");
-            values ( \
-              "0.00577828, 0.0117541, 0.0146495, 0.0206483, 0.0237338, 0.0404547, 0.05275, 0.0663045, 0.0720715, 0.0749493, 0.0771867, 0.0792593, 0.0800277, 0.0777738, 0.0720527, 0.0705821, 0.0701795, 0.0701021, 0.0663777, 0.059581, 0.0584592, 0.0581271, 0.0587116, 0.0572986, 0.0237747, 0.0172147, 0.0127734, 0.00952013, 0.00742058, 0.00588613, 0.00433506, 0.00224498, 0.00118447, 0.000583953, 0.000326217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.518501, 0.647683, 0.693767, 0.815214, 0.927588, 1.00869, 1.05391, 1.09114, 1.16167, 1.19172, 1.22365, 1.25177, 1.27579, 1.28935, 1.32571, 1.3514, 1.36628, 1.39726, 1.46699, 1.52635, 1.60489, 1.63914, 1.6853, 1.72465, 1.77326, 1.84165, 1.88627, 1.96978, 2.05088, 2.13198, 2.29419, 2.45639");
-            values ( \
-              "0.00560918, 0.0205234, 0.0296199, 0.0623473, 0.0874628, 0.103712, 0.111331, 0.116376, 0.122772, 0.122181, 0.116296, 0.107605, 0.10391, 0.100877, 0.0895358, 0.0874679, 0.085311, 0.0783023, 0.0597653, 0.0481154, 0.034366, 0.0292519, 0.0233501, 0.0191641, 0.014896, 0.010411, 0.00819727, 0.00523633, 0.00339368, 0.00214916, 0.00087137, 0.000346941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.60797, 0.745572, 0.856757, 0.954098, 1.0334, 1.10043, 1.14553, 1.18059, 1.21564, 1.22946, 1.34294, 1.37351, 1.43781, 1.45377, 1.49206, 1.51125, 1.52735, 1.54424, 1.55877, 1.58253, 1.61015, 1.80678, 1.86941, 1.97489, 2.04527, 2.10048, 2.19305, 2.28935, 2.35288, 2.43398, 2.55754, 2.63864, 2.71975, 2.80085, 2.96305, 3.12526, 3.36857");
-            values ( \
-              "0.0318641, 0.0504707, 0.0832648, 0.109156, 0.12915, 0.145066, 0.154767, 0.161722, 0.167656, 0.168211, 0.154574, 0.148957, 0.135528, 0.132836, 0.12839, 0.125199, 0.121211, 0.119514, 0.11986, 0.117797, 0.113514, 0.0748898, 0.0635341, 0.0484331, 0.040044, 0.034111, 0.0258339, 0.0191119, 0.0156153, 0.0119638, 0.00795136, 0.00608094, 0.00461431, 0.00352491, 0.00203738, 0.00117619, 0.000505752" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00998812, 0.0100089, 0.0100345, 0.0100599, 0.0100807, 0.010095", \
-            "0.0123183, 0.0123382, 0.0123657, 0.0123974, 0.0124269, 0.0124494", \
-            "0.0137459, 0.0137569, 0.0137748, 0.0137991, 0.013826, 0.0138495", \
-            "0.0145684, 0.0145649, 0.0145628, 0.0145647, 0.0145715, 0.0145814", \
-            "0.0150348, 0.0150175, 0.014994, 0.014967, 0.0149436, 0.0149287", \
-            "0.0153101, 0.0152917, 0.015264, 0.0152255, 0.015178, 0.015132" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0146074, 0.0146095, 0.0146184, 0.0146338, 0.0146508, 0.0146647", \
-            "0.0153349, 0.0153027, 0.0152642, 0.0152267, 0.0151967, 0.0151759", \
-            "0.0147825, 0.0147259, 0.0146553, 0.0145789, 0.0144978, 0.0144398", \
-            "0.0143823, 0.0143058, 0.0142049, 0.0140901, 0.0139836, 0.0138893", \
-            "0.0147083, 0.0145194, 0.0143045, 0.0140878, 0.0138897, 0.0137366", \
-            "0.015051, 0.0150509, 0.0152672, 0.0147037, 0.0142123, 0.0138826" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.024896, 0.0270463, 0.0285572, 0.0303758, 0.0327527, 0.0364517, 0.0423414, 0.0490594, 0.0679561, 0.0748698, 0.0876608, 0.100316, 0.1126, 0.114316, 0.13232, 0.139524, 0.162914, 0.165132, 0.169568, 0.184463, 0.191444, 0.198376, 0.200493, 0.204728, 0.210743, 0.217419, 0.222962, 0.228897, 0.235716, 0.241382, 0.248536, 0.256029, 0.263236, 0.271154, 0.281522, 0.294784, 0.316025, 0.344402, 0.380111, 0.42, 0.460933");
-            values ( \
-              "-0.0588895, -0.0630895, -0.0588312, -0.0561757, -0.0539653, -0.0525972, -0.0518967, -0.0516555, -0.050296, -0.0502557, -0.0522049, -0.0514984, -0.0431215, -0.0431917, -0.0297211, -0.0249912, -0.011958, -0.0113314, -0.0105195, -0.00919056, -0.00822351, -0.00772102, -0.00687073, -0.0068594, -0.00543164, -0.00508569, -0.00385808, -0.0035167, -0.00202494, -0.00181035, -0.000934965, -0.00112461, -0.000668656, -0.00101827, -0.000656394, -0.00100331, -0.000615434, -0.000835861, -0.000310841, -0.000465543, -5.85949e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0249656, 0.0279693, 0.0327171, 0.0376281, 0.0415786, 0.08316, 0.0979684, 0.112671, 0.127361, 0.128839, 0.149394, 0.166549, 0.196164, 0.210659, 0.217805, 0.226468, 0.22855, 0.232714, 0.237461, 0.246184, 0.252954, 0.260976, 0.277113, 0.285341, 0.294618, 0.299514, 0.309305, 0.320992, 0.338269, 0.359037, 0.392039, 0.432634, 0.47747");
-            values ( \
-              "-0.0655571, -0.0999441, -0.0898032, -0.0867225, -0.0858969, -0.0823967, -0.085248, -0.0837466, -0.0709637, -0.0708942, -0.0496365, -0.0346522, -0.0154993, -0.0121072, -0.0117405, -0.0100886, -0.0103885, -0.00923632, -0.00901223, -0.00710085, -0.00656418, -0.00503241, -0.00300317, -0.00287548, -0.00214627, -0.00242995, -0.00189402, -0.00212037, -0.00154927, -0.00165625, -0.000899852, -0.000856742, -0.000224048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0261653, 0.0261853, 0.0304195, 0.0343173, 0.0379282, 0.0431793, 0.0661255, 0.0871461, 0.0983401, 0.116952, 0.135567, 0.154169, 0.15596, 0.176625, 0.192111, 0.208786, 0.224614, 0.246673, 0.255695, 0.268874, 0.277875, 0.288556, 0.315931, 0.339632, 0.364661, 0.395145, 0.442759, 0.53261, 0.655737");
-            values ( \
-              "-1e-22, -0.159878, -0.142352, -0.135401, -0.132471, -0.130852, -0.128723, -0.125722, -0.124951, -0.12771, -0.125037, -0.107115, -0.106666, -0.081507, -0.06433, -0.0491416, -0.0374722, -0.0231588, -0.0178879, -0.0136738, -0.0119288, -0.0106183, -0.00852589, -0.00631166, -0.00487104, -0.00366015, -0.00221215, -0.000686668, -7.70359e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0268582, 0.0268782, 0.0338297, 0.042917, 0.126504, 0.152341, 0.178091, 0.194281, 0.249494, 0.277189, 0.319752, 0.337688, 0.360938, 0.391169, 0.428759, 0.544971, 0.625887, 0.634385");
-            values ( \
-              "-1e-22, -0.208903, -0.188075, -0.181649, -0.171851, -0.173468, -0.168439, -0.155908, -0.0959985, -0.070682, -0.040287, -0.0298594, -0.0202515, -0.0148713, -0.0116627, -0.00465121, -0.00200571, -0.00192523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.027272, 0.027292, 0.0335491, 0.0386361, 0.0443049, 0.0574417, 0.097801, 0.17225, 0.19124, 0.218012, 0.256699, 0.278868, 0.297988, 0.358453, 0.438689, 0.449943, 0.467886, 0.491017, 0.553912, 0.571279, 0.595183, 0.625728, 0.658167, 0.722536, 0.813226, 0.873212, 0.938487");
-            values ( \
-              "-1e-22, -0.250534, -0.235339, -0.230249, -0.227735, -0.226129, -0.223399, -0.214748, -0.214018, -0.214354, -0.205635, -0.193037, -0.178247, -0.126353, -0.0673422, -0.0611855, -0.0533976, -0.045314, -0.0278375, -0.0238797, -0.0195249, -0.0157524, -0.0128363, -0.0087337, -0.00484284, -0.00319017, -0.00216216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0277867, 0.0278067, 0.0365458, 0.0395803, 0.0510008, 0.149981, 0.276715, 0.341782, 0.374948, 0.410285, 0.432681, 0.456067, 0.568598, 0.619528, 0.640329, 0.667827, 0.729219, 0.771403, 0.821249, 0.862433, 0.93228, 0.993843, 1.05125, 1.11795, 1.24107, 1.3642, 1.48733, 1.54045");
-            values ( \
-              "-1e-22, -0.279787, -0.267446, -0.265323, -0.262689, -0.257315, -0.247079, -0.244027, -0.239889, -0.230987, -0.222474, -0.211403, -0.148491, -0.117269, -0.106796, -0.0956866, -0.0749252, -0.062493, -0.0497729, -0.0405977, -0.0278476, -0.0199018, -0.0152464, -0.0113534, -0.0067506, -0.00396497, -0.00227683, -0.00197713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.038312, 0.0474246, 0.0509347, 0.0517961, 0.0529057, 0.0552307, 0.0570141, 0.0599339, 0.0634708, 0.0676239, 0.0734718, 0.0804103, 0.0932539, 0.0981603, 0.106224, 0.112522, 0.118772, 0.129127, 0.132763, 0.154364, 0.182254, 0.18348, 0.185932, 0.198072, 0.206603, 0.212085, 0.219145, 0.227101, 0.235564, 0.241592, 0.247333, 0.254149, 0.259768, 0.266893, 0.274353, 0.281462, 0.289303, 0.299452, 0.312763, 0.333463, 0.362821, 0.397687, 0.437129, 0.458165");
-            values ( \
-              "-0.00492817, -0.0465233, -0.051713, -0.057577, -0.0599179, -0.0557564, -0.0541899, -0.0527798, -0.0521916, -0.0517203, -0.0513606, -0.0507278, -0.0501196, -0.0507518, -0.0524966, -0.0527726, -0.0508989, -0.0448229, -0.0430944, -0.027267, -0.0116168, -0.0114954, -0.010745, -0.00951049, -0.00881797, -0.00800547, -0.00730911, -0.00584231, -0.00503898, -0.00392059, -0.00347255, -0.00208787, -0.00178262, -0.000972966, -0.00109575, -0.000693377, -0.000992639, -0.000676591, -0.000981213, -0.000638823, -0.000816321, -0.000335611, -0.000451348, -0.000251373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0384702, 0.0503143, 0.0509461, 0.052065, 0.0530859, 0.0543626, 0.0575964, 0.0607431, 0.0644677, 0.0694528, 0.0719688, 0.0770007, 0.0866998, 0.101676, 0.10799, 0.116526, 0.120127, 0.125552, 0.131077, 0.142126, 0.14721, 0.15574, 0.171676, 0.179426, 0.187937, 0.199628, 0.210776, 0.218962, 0.225811, 0.232702, 0.239145, 0.246513, 0.247119, 0.248332, 0.266318, 0.289809, 0.29822, 0.305821, 0.314774, 0.325101, 0.371854, 0.399129, 0.482257, 0.596107");
-            values ( \
-              "-0.0132854, -0.0829625, -0.0843293, -0.0969626, -0.0969021, -0.093731, -0.0888328, -0.0870964, -0.0858957, -0.0854618, -0.0850092, -0.084735, -0.0834475, -0.0823178, -0.0833208, -0.0855194, -0.0860419, -0.0854202, -0.0830902, -0.0745109, -0.0711503, -0.0620538, -0.0460074, -0.039262, -0.0325893, -0.0252514, -0.0175867, -0.0141311, -0.0124654, -0.0122005, -0.0108115, -0.0104515, -0.00997632, -0.00994339, -0.00708316, -0.00377957, -0.00300529, -0.00259576, -0.00229056, -0.00209412, -0.00157559, -0.00118168, -0.000425718, -6.10803e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0386501, 0.0520602, 0.0533273, 0.0574264, 0.0595888, 0.0619085, 0.0659012, 0.071427, 0.0799432, 0.0983017, 0.116745, 0.124682, 0.135657, 0.141221, 0.15235, 0.157542, 0.164465, 0.174394, 0.193714, 0.202294, 0.214603, 0.225303, 0.24234, 0.253541, 0.269202, 0.275382, 0.281989, 0.28726, 0.296516, 0.302471, 0.310179, 0.338352, 0.349317, 0.361366, 0.377889, 0.41111, 0.458612, 0.494232, 0.54567, 0.605117, 0.666681");
-            values ( \
-              "-0.0115606, -0.138198, -0.144412, -0.13612, -0.133848, -0.132287, -0.131004, -0.130056, -0.12929, -0.126855, -0.124653, -0.125702, -0.128248, -0.128719, -0.125251, -0.12174, -0.115855, -0.106602, -0.0831204, -0.0732529, -0.0603614, -0.0507667, -0.0379804, -0.0305226, -0.0206321, -0.0176277, -0.0152984, -0.0138597, -0.0119961, -0.0111945, -0.0104226, -0.00823502, -0.00708196, -0.00615325, -0.00516858, -0.00380331, -0.00233037, -0.00156486, -0.000790017, -0.000374695, -0.000137516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0386962, 0.0527649, 0.0539292, 0.0572115, 0.0601118, 0.0636351, 0.0683121, 0.0756292, 0.0941899, 0.114587, 0.129061, 0.145138, 0.17095, 0.196732, 0.212716, 0.22539, 0.258423, 0.268134, 0.281083, 0.305626, 0.338775, 0.353876, 0.373231, 0.386716, 0.394809, 0.405599, 0.457318, 0.474123, 0.522662, 0.576646, 0.615092, 0.667153, 0.728716, 0.790279");
-            values ( \
-              "-0.000149739, -0.194847, -0.194887, -0.188355, -0.184691, -0.182357, -0.180857, -0.179909, -0.178236, -0.17558, -0.173332, -0.171742, -0.173535, -0.168378, -0.156089, -0.143429, -0.106117, -0.0959967, -0.0835699, -0.0628582, -0.0400563, -0.0311548, -0.022434, -0.0184877, -0.0169913, -0.015504, -0.0109678, -0.00971578, -0.00673456, -0.00413617, -0.00279106, -0.00158193, -0.000764287, -0.000376699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0443192, 0.0533362, 0.0624235, 0.0675989, 0.0740541, 0.120311, 0.171072, 0.197474, 0.238604, 0.245547, 0.254804, 0.276836, 0.297942, 0.321005, 0.38495, 0.440943, 0.457966, 0.468721, 0.486749, 0.509467, 0.559551, 0.590846, 0.615116, 0.645395, 0.677487, 0.740921, 0.780879, 0.830355, 0.95096, 0.981037");
-            values ( \
-              "-0.134334, -0.239966, -0.229887, -0.227718, -0.226524, -0.223025, -0.217041, -0.214366, -0.214136, -0.213717, -0.212145, -0.204615, -0.192679, -0.174567, -0.120123, -0.0784596, -0.0670432, -0.0611894, -0.0533282, -0.0454065, -0.0312141, -0.0237142, -0.0193604, -0.015663, -0.0128013, -0.00876063, -0.00686384, -0.00490403, -0.00201422, -0.00176595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0453211, 0.0547935, 0.0617487, 0.0710879, 0.0874422, 0.134949, 0.168734, 0.295471, 0.354782, 0.360536, 0.372044, 0.39506, 0.428971, 0.450412, 0.474271, 0.586387, 0.646478, 0.669455, 0.73178, 0.781083, 0.821771, 0.876554, 0.924447, 0.976461, 1.03084, 1.09485, 1.18195, 1.30508, 1.42821, 1.6129, 1.79759");
-            values ( \
-              "-0.189888, -0.27368, -0.266274, -0.263007, -0.261624, -0.259348, -0.257204, -0.247075, -0.243944, -0.244029, -0.242928, -0.239627, -0.231, -0.222911, -0.21168, -0.149036, -0.112854, -0.102357, -0.0800622, -0.0650438, -0.0541952, -0.041615, -0.0322904, -0.0241395, -0.0182641, -0.0136227, -0.00936685, -0.00556201, -0.00323999, -0.00139586, -0.00057265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0661943, 0.0848457, 0.103614, 0.112577, 0.114602, 0.117161, 0.119365, 0.125593, 0.130066, 0.134631, 0.143761, 0.147441, 0.153739, 0.159988, 0.170322, 0.173978, 0.195569, 0.223452, 0.224691, 0.227169, 0.239324, 0.247819, 0.2533, 0.260356, 0.268281, 0.276686, 0.282684, 0.288379, 0.295234, 0.30081, 0.306152, 0.313848, 0.318105, 0.325794, 0.334383, 0.346178, 0.362215, 0.38524, 0.417997, 0.454031, 0.496003, 0.54458, 0.604405");
-            values ( \
-              "-0.0037602, -0.0313951, -0.0453738, -0.0513314, -0.0539662, -0.0536213, -0.0518549, -0.0507518, -0.050384, -0.0503488, -0.0515052, -0.0525843, -0.0527059, -0.0509572, -0.0447875, -0.0431327, -0.0272782, -0.0116195, -0.0115014, -0.0107367, -0.00950357, -0.00882134, -0.00800387, -0.00731029, -0.00584653, -0.00505118, -0.00393553, -0.0034956, -0.00210556, -0.00179879, -0.00107627, -0.00112833, -0.000740104, -0.000997798, -0.000683232, -0.000978189, -0.000675803, -0.00089398, -0.000453806, -0.000548183, -0.000102757, -0.000260084, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0721339, 0.0794212, 0.0930969, 0.112543, 0.113535, 0.120605, 0.124211, 0.127937, 0.13525, 0.142893, 0.157695, 0.172398, 0.187087, 0.191521, 0.209119, 0.216908, 0.226466, 0.239631, 0.2434, 0.244262, 0.245986, 0.249433, 0.254725, 0.262437, 0.269028, 0.275945, 0.28375, 0.288081, 0.294288, 0.29928, 0.308787, 0.315664, 0.324494, 0.33194, 0.340236, 0.351981, 0.358628, 0.368764, 0.379958, 0.394901, 0.415521, 0.443152, 0.483183, 0.527375, 0.54788");
-            values ( \
-              "-0.0251185, -0.0430907, -0.0617575, -0.0843682, -0.0905928, -0.0854536, -0.0847934, -0.0836096, -0.0831131, -0.0822617, -0.085457, -0.0835373, -0.0711893, -0.0685169, -0.0492642, -0.0430826, -0.0341203, -0.0265569, -0.0228264, -0.023493, -0.0209883, -0.0199378, -0.0155701, -0.0141683, -0.011699, -0.012523, -0.00982343, -0.0110029, -0.00835289, -0.00926097, -0.00606231, -0.00675561, -0.00388278, -0.00447901, -0.00216617, -0.00318003, -0.00147148, -0.00285341, -0.00117999, -0.00253062, -0.000756466, -0.00193519, -1.72081e-06, -0.00118769, -0.000729371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0721541, 0.081904, 0.0976894, 0.112578, 0.114736, 0.12127, 0.125602, 0.148764, 0.158252, 0.176861, 0.195473, 0.214078, 0.215869, 0.241694, 0.252408, 0.268823, 0.284524, 0.314835, 0.327943, 0.337661, 0.354078, 0.367508, 0.403782, 0.453442, 0.499976, 0.58606, 0.707286");
-            values ( \
-              "-0.026429, -0.0701241, -0.101625, -0.127775, -0.13613, -0.130858, -0.129504, -0.125554, -0.124993, -0.127708, -0.125039, -0.10712, -0.106642, -0.0755733, -0.0639672, -0.0490089, -0.0374371, -0.0182096, -0.013849, -0.0119032, -0.010037, -0.00938048, -0.00593742, -0.00365374, -0.00221887, -0.000692164, -3.26928e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0723256, 0.0856968, 0.116039, 0.129426, 0.186318, 0.212529, 0.238235, 0.25689, 0.309659, 0.347138, 0.395115, 0.428148, 0.48152, 0.585614, 0.659638");
-            values ( \
-              "-0.0271292, -0.106272, -0.186456, -0.179313, -0.171486, -0.174148, -0.168106, -0.153719, -0.0960323, -0.0628942, -0.0313402, -0.0184994, -0.0123483, -0.00558855, -0.00318667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0809159, 0.0966383, 0.11545, 0.11921, 0.122614, 0.134238, 0.161874, 0.191285, 0.217621, 0.239044, 0.278193, 0.279846, 0.285514, 0.293071, 0.305506, 0.318446, 0.338787, 0.36257, 0.407867, 0.426409, 0.485667, 0.494173, 0.505919, 0.518265, 0.534727, 0.561036, 0.605974, 0.633165, 0.658155, 0.688003, 0.722316, 0.745414, 0.782574, 0.821643, 0.871062, 0.929276, 0.990839, 1.0524, 1.17553");
-            values ( \
-              "-0.166117, -0.169568, -0.228845, -0.231377, -0.227478, -0.225382, -0.222994, -0.219765, -0.216466, -0.214429, -0.213885, -0.214114, -0.213864, -0.212823, -0.209573, -0.204457, -0.193281, -0.174604, -0.135421, -0.120231, -0.0761873, -0.0702816, -0.063479, -0.0575486, -0.0509203, -0.0423815, -0.0299581, -0.0235021, -0.0191791, -0.0155135, -0.0125722, -0.0109469, -0.00877924, -0.00686383, -0.00496092, -0.00326018, -0.00207079, -0.00126235, -0.000466248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0811375, 0.0983787, 0.115639, 0.125672, 0.139145, 0.210671, 0.337414, 0.402477, 0.414506, 0.438566, 0.47083, 0.514366, 0.543394, 0.635706, 0.684686, 0.73408, 0.79009, 0.870441, 0.934514, 1.01377, 1.06859, 1.12054, 1.22958, 1.29114, 1.41427, 1.53739, 1.5557");
-            values ( \
-              "-0.170422, -0.200233, -0.267242, -0.262951, -0.261289, -0.257285, -0.247078, -0.244038, -0.24287, -0.239322, -0.231021, -0.212613, -0.197085, -0.144802, -0.114809, -0.0936345, -0.0748626, -0.052525, -0.0382542, -0.0247711, -0.0186299, -0.0146381, -0.00914946, -0.00706397, -0.00415736, -0.00239301, -0.00228428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129343, 0.148346, 0.157704, 0.181179, 0.208619, 0.224927, 0.239168, 0.248897, 0.252001, 0.257972, 0.26326, 0.28707, 0.314424, 0.31647, 0.320562, 0.327176, 0.334737, 0.342162, 0.382854, 0.394447, 0.402762, 0.408887, 0.416294, 0.4243, 0.435589, 0.449827, 0.472257, 0.50284, 0.538513, 0.578781, 0.626352, 0.682572");
-            values ( \
-              "-0.011342, -0.0172799, -0.021046, -0.0293055, -0.0378606, -0.0426316, -0.0494494, -0.0520014, -0.0531565, -0.0473877, -0.045063, -0.0274369, -0.0117163, -0.0115916, -0.0103385, -0.0101574, -0.00904991, -0.00855382, -0.00283983, -0.00136914, -0.00113642, -0.000798656, -0.000989906, -0.00070246, -0.000987161, -0.000677836, -0.00092615, -0.000472293, -0.000601293, -0.000109279, -0.000302745, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.133883, 0.150048, 0.15525, 0.160283, 0.171719, 0.184524, 0.193694, 0.201805, 0.211914, 0.216969, 0.225766, 0.234564, 0.238231, 0.241898, 0.245565, 0.247399, 0.249232, 0.250902, 0.254067, 0.25688, 0.260457, 0.264196, 0.269723, 0.273736, 0.280249, 0.289897, 0.299585, 0.305555, 0.307943, 0.311913, 0.315883, 0.31905, 0.325385, 0.335583, 0.338114, 0.340284, 0.343177, 0.346725, 0.348827, 0.350928, 0.353029, 0.379619, 0.388361, 0.398296, 0.405162, 0.421137, 0.42694, 0.431639, 0.439931, 0.448747");
-            values ( \
-              "-0.0278462, -0.0291482, -0.0327584, -0.0358382, -0.0426747, -0.0500359, -0.0549998, -0.0591586, -0.0640157, -0.0663115, -0.0711734, -0.0764202, -0.0791755, -0.0821545, -0.0842116, -0.0850376, -0.0857287, -0.0880084, -0.0871998, -0.0863956, -0.0850669, -0.0830062, -0.0791131, -0.0759504, -0.0703264, -0.0608817, -0.0509443, -0.0450858, -0.0427889, -0.0394732, -0.036356, -0.0341509, -0.0298413, -0.0231198, -0.0210402, -0.0194563, -0.0176253, -0.0157679, -0.0148694, -0.0141209, -0.0135223, -0.0101308, -0.00883209, -0.00719633, -0.00625093, -0.00389557, -0.00327053, -0.00292943, -0.00252097, -0.00226645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.129371, 0.155113, 0.17091, 0.195199, 0.20726, 0.230062, 0.248662, 0.249709, 0.251804, 0.255854, 0.259097, 0.26818, 0.277225, 0.286602, 0.306994, 0.337725, 0.355809, 0.374349, 0.375648, 0.378247, 0.383445, 0.390245, 0.407808, 0.414869, 0.420406, 0.428156, 0.429067, 0.430889, 0.434534, 0.440429, 0.451954, 0.466568, 0.477723, 0.489505, 0.500612, 0.513404, 0.516836, 0.523698, 0.537423, 0.553457, 0.578022, 0.607852, 0.652006, 0.705832, 0.767395, 0.828958");
-            values ( \
-              "-0.0198704, -0.0495112, -0.064371, -0.0851709, -0.0947051, -0.111584, -0.124027, -0.127667, -0.127889, -0.126802, -0.12696, -0.128554, -0.128621, -0.12399, -0.106579, -0.0698327, -0.0522254, -0.0380927, -0.0379275, -0.0353817, -0.0325795, -0.0275583, -0.0172132, -0.0155731, -0.013345, -0.0125309, -0.0116997, -0.0120557, -0.0109738, -0.0109355, -0.0093901, -0.00894236, -0.00717745, -0.006766, -0.00541612, -0.00533596, -0.00460446, -0.00486498, -0.00376825, -0.00374683, -0.00242813, -0.00221302, -0.000857939, -0.000830221, -1e-22, -0.000377202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.140424, 0.163801, 0.212613, 0.248786, 0.252581, 0.260171, 0.26848, 0.275182, 0.284167, 0.304036, 0.323887, 0.329901, 0.34193, 0.358329, 0.399463, 0.442367, 0.479218, 0.495429, 0.519497, 0.556335, 0.589098, 0.685484, 0.759322, 0.874434, 0.935997");
-            values ( \
-              "-0.0710023, -0.0793984, -0.137064, -0.173572, -0.179894, -0.172796, -0.173728, -0.171707, -0.172, -0.174273, -0.170829, -0.167238, -0.159121, -0.143465, -0.0975202, -0.0597359, -0.0352326, -0.026712, -0.0181811, -0.0135855, -0.0107651, -0.00491554, -0.00220228, -0.000383495, -0.000601201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.142963, 0.17077, 0.207472, 0.248802, 0.25367, 0.261174, 0.268098, 0.274814, 0.283222, 0.291735, 0.326934, 0.353505, 0.370126, 0.403367, 0.423937, 0.44403, 0.52942, 0.576901, 0.597225, 0.610673, 0.654148, 0.697684, 0.746265, 0.808896, 0.873416, 0.960776, 1.08036, 1.14192, 1.20348, 1.26505");
-            values ( \
-              "-0.0890323, -0.109626, -0.164575, -0.220104, -0.228621, -0.220771, -0.222762, -0.220242, -0.220025, -0.218441, -0.21463, -0.214134, -0.214477, -0.207769, -0.197677, -0.182984, -0.111377, -0.0763187, -0.0634934, -0.0570367, -0.0417635, -0.0297352, -0.019474, -0.0127312, -0.00859283, -0.00479303, -0.0018677, -0.00152314, -0.000567966, -0.000714805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.144257, 0.172099, 0.202861, 0.240354, 0.253458, 0.259815, 0.265179, 0.275907, 0.285268, 0.302222, 0.364985, 0.426549, 0.433876, 0.493958, 0.526669, 0.562739, 0.587422, 0.609673, 0.635001, 0.726125, 0.76678, 0.785508, 0.810294, 0.839795, 0.881184, 0.920595, 0.960099, 1.02612, 1.05473, 1.10733, 1.16056, 1.21258, 1.25907, 1.32063, 1.3822, 1.44376, 1.50532, 1.62845, 1.75158, 1.93626");
-            values ( \
-              "-0.101055, -0.128329, -0.182518, -0.243021, -0.261025, -0.261509, -0.259491, -0.259206, -0.258198, -0.257334, -0.252239, -0.246916, -0.246744, -0.244017, -0.240077, -0.23096, -0.22142, -0.210742, -0.197091, -0.145497, -0.120154, -0.110117, -0.0994275, -0.0886257, -0.0750141, -0.0633598, -0.0530056, -0.0382615, -0.0328685, -0.0245095, -0.0186055, -0.0146121, -0.0119248, -0.00916575, -0.0070884, -0.00543984, -0.00417518, -0.00240681, -0.00136296, -0.000558359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243064, 0.272054, 0.309359, 0.332904, 0.345984, 0.390865, 0.399846, 0.417807, 0.421186, 0.427942, 0.439623, 0.448697, 0.457386, 0.476507, 0.49687, 0.503049, 0.509896, 0.514808, 0.523286, 0.531683, 0.538722, 0.546058, 0.550167, 0.552361, 0.56084, 0.574076, 0.579746, 0.586388, 0.60117, 0.612179, 0.621532, 0.635253, 0.654085, 0.685253, 0.719426, 0.759081, 0.787738");
-            values ( \
-              "-0.00693133, -0.00827489, -0.0150429, -0.0187461, -0.0206594, -0.0267307, -0.0282073, -0.0323604, -0.0338629, -0.0352499, -0.0370191, -0.0371117, -0.0364022, -0.0276064, -0.0176285, -0.0139246, -0.0119584, -0.0111861, -0.0104688, -0.00923165, -0.00868365, -0.00708278, -0.00672422, -0.00756369, -0.0058093, -0.00345136, -0.00257024, -0.00184436, -0.00121549, -0.00115007, -0.00100207, -0.00102126, -0.000837542, -0.000751637, -0.00045736, -0.000358483, -0.000207263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.242925, 0.26397, 0.275184, 0.288751, 0.316143, 0.38657, 0.413349, 0.43644, 0.456605, 0.475536, 0.476266, 0.486285, 0.511927, 0.537123, 0.543026, 0.550146, 0.55285, 0.55826, 0.564124, 0.5709, 0.592916, 0.615583, 0.623761, 0.633369, 0.64042, 0.660573, 0.69296, 0.757062, 0.799466, 0.849509, 0.908806");
-            values ( \
-              "-0.0118006, -0.0118126, -0.0145982, -0.0184556, -0.0268385, -0.0436169, -0.0492098, -0.0583758, -0.0643408, -0.0622334, -0.0622685, -0.0554324, -0.0363893, -0.0202453, -0.0170052, -0.0143941, -0.0155305, -0.0149625, -0.0133677, -0.0122691, -0.00810564, -0.00450363, -0.00357505, -0.00297303, -0.00265215, -0.00219306, -0.00169031, -0.000862525, -0.000556126, -0.000233754, -0.000143204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.242325, 0.270584, 0.284548, 0.317754, 0.348169, 0.371851, 0.406632, 0.436549, 0.462653, 0.485854, 0.508793, 0.509267, 0.518752, 0.5502, 0.556728, 0.575503, 0.602689, 0.614707, 0.619935, 0.62967, 0.639078, 0.664347, 0.687123, 0.699752, 0.730923, 0.768594, 0.795184, 0.833202, 0.87772");
-            values ( \
-              "-0.012618, -0.0206711, -0.0261462, -0.0411386, -0.0530602, -0.0616606, -0.0736643, -0.0834485, -0.0967365, -0.105574, -0.0982475, -0.0985061, -0.0899944, -0.0593249, -0.055799, -0.0405382, -0.0220189, -0.0165832, -0.0150686, -0.0127752, -0.0114953, -0.00927804, -0.00695947, -0.00602661, -0.00444643, -0.00305811, -0.00229755, -0.00144957, -0.000846957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.258895, 0.302623, 0.329059, 0.37966, 0.439353, 0.474646, 0.505754, 0.534201, 0.550067, 0.556293, 0.599117, 0.610855, 0.642888, 0.663967, 0.68608, 0.703703, 0.717073, 0.727289, 0.74772, 0.767453, 0.783767, 0.824935, 0.853083, 0.906704, 0.990129, 1.11326, 1.17482");
-            values ( \
-              "-0.0345437, -0.0471882, -0.0630253, -0.0899665, -0.118587, -0.134111, -0.152162, -0.161092, -0.154859, -0.150933, -0.102913, -0.0910127, -0.0632246, -0.0482052, -0.0341791, -0.0253769, -0.0203046, -0.0179977, -0.0149519, -0.0134428, -0.0117904, -0.00879403, -0.00707419, -0.00437299, -0.00179838, -0.000391625, -0.000256798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.258747, 0.295016, 0.332546, 0.407812, 0.490966, 0.549965, 0.558755, 0.571705, 0.591229, 0.609075, 0.625049, 0.658622, 0.733671, 0.801587, 0.832559, 0.901998, 0.926452, 0.951194, 0.981065, 1.01407, 1.07782, 1.1653, 1.22319, 1.29769");
-            values ( \
-              "-0.0394015, -0.0535931, -0.0813616, -0.13177, -0.181201, -0.212512, -0.214434, -0.215011, -0.21249, -0.206861, -0.199305, -0.174544, -0.111524, -0.0636441, -0.050271, -0.0299402, -0.0240994, -0.0195983, -0.0158369, -0.0128851, -0.00880867, -0.00500727, -0.00332623, -0.00209968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.275118, 0.349177, 0.392281, 0.47287, 0.526657, 0.55008, 0.557799, 0.582365, 0.633288, 0.694851, 0.698385, 0.705453, 0.719587, 0.741904, 0.765257, 0.792145, 0.82792, 0.930858, 0.969298, 0.987202, 1.01119, 1.07399, 1.11031, 1.15432, 1.21064, 1.26959, 1.31918, 1.3733, 1.41997, 1.45939, 1.51196, 1.57352, 1.69665, 1.81978, 1.9429, 2.12759");
-            values ( \
-              "-0.0643984, -0.107448, -0.141301, -0.200988, -0.237298, -0.251757, -0.253635, -0.25109, -0.247047, -0.243815, -0.243971, -0.243366, -0.241843, -0.237572, -0.231484, -0.221253, -0.203296, -0.145235, -0.121246, -0.111413, -0.100773, -0.0786521, -0.0675294, -0.0555289, -0.0424194, -0.0310296, -0.0234956, -0.0178779, -0.0144047, -0.0121339, -0.00968275, -0.00748964, -0.00442268, -0.00255706, -0.00145224, -0.00059035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.437217, 0.469883, 0.508033, 0.548562, 0.570019, 0.645146, 0.745014, 0.786346, 0.817763, 0.83178, 0.849342, 0.869044, 0.879672, 0.909413, 0.955668, 0.970888, 0.978131, 0.986296, 0.996742, 1.00806, 1.02148, 1.04833, 1.08075, 1.11912, 1.16192, 1.21285, 1.22269, 1.24347, 1.28383, 1.32679");
-            values ( \
-              "-0.0011373, -0.00182647, -0.00302834, -0.00487986, -0.00627817, -0.0120545, -0.018251, -0.023174, -0.025267, -0.0250168, -0.0235035, -0.0186285, -0.0143241, -0.0110049, -0.0029979, -0.00191521, -0.00187813, -0.00153721, -0.00155801, -0.00127432, -0.00130187, -0.000908885, -0.000787908, -0.000378138, -0.000326218, -4.94329e-05, -0.000503297, -0.000219827, -7.19693e-05, -6.14784e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.469359, 0.513951, 0.534741, 0.563174, 0.625108, 0.667874, 0.763904, 0.773926, 0.80932, 0.844396, 0.85782, 0.8808, 0.902466, 0.925941, 0.932254, 0.954787, 0.995871, 1.00412, 1.01703, 1.03651, 1.06247, 1.11543, 1.20076, 1.21596, 1.22988, 1.26449, 1.33728");
-            values ( \
-              "-0.00551767, -0.00562456, -0.00714613, -0.00970641, -0.0176055, -0.0226108, -0.032361, -0.0338565, -0.040436, -0.044331, -0.0438419, -0.0399189, -0.0314218, -0.0192993, -0.0177351, -0.0140257, -0.00608725, -0.00504997, -0.00397493, -0.00319425, -0.0024975, -0.0014217, -0.000456494, -0.000410077, -0.000876399, -0.000358223, -6.19589e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.468823, 0.52374, 0.560558, 0.575826, 0.628238, 0.697746, 0.797056, 0.836627, 0.871172, 0.871605, 0.880245, 0.889462, 0.903737, 0.919863, 0.938421, 0.956638, 1.00601, 1.01846, 1.03396, 1.07275, 1.09975, 1.13871, 1.15951, 1.1867, 1.2158, 1.23418, 1.27743, 1.32014, 1.37349, 1.43506");
-            values ( \
-              "-0.00700626, -0.0101218, -0.0148618, -0.0173761, -0.0276188, -0.0398477, -0.0547838, -0.0640889, -0.0713277, -0.0711223, -0.0724184, -0.0731675, -0.0725792, -0.0690071, -0.0604712, -0.0506056, -0.0220192, -0.017639, -0.0144881, -0.00973115, -0.00721013, -0.00493049, -0.00390029, -0.00289837, -0.00199127, -0.00242197, -0.00117937, -0.000687614, -0.000234828, -0.000157209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.480673, 0.539865, 0.560125, 0.593098, 0.655952, 0.708623, 0.852395, 0.917993, 0.940593, 0.960964, 0.980201, 1.0073, 1.10441, 1.12849, 1.14214, 1.16155, 1.21578, 1.22528, 1.25109, 1.29142, 1.35804, 1.40463, 1.4662, 1.58932");
-            values ( \
-              "-0.0124158, -0.0176883, -0.0214215, -0.0287914, -0.0455956, -0.0583693, -0.0890214, -0.107329, -0.111359, -0.11359, -0.111497, -0.100249, -0.0377863, -0.0263379, -0.0220452, -0.0183776, -0.012409, -0.0127629, -0.0102066, -0.00709822, -0.00359429, -0.00217915, -0.00101965, -0.000222047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.504822, 0.564158, 0.608324, 0.672929, 0.753991, 0.85988, 0.94041, 1.01222, 1.02641, 1.05088, 1.07349, 1.10243, 1.12291, 1.19095, 1.21599, 1.24338, 1.25562, 1.2751, 1.30159, 1.35621, 1.39125, 1.42348, 1.44728, 1.50126, 1.57464, 1.63003, 1.69159, 1.75315, 1.81472, 1.93784");
-            values ( \
-              "-0.0275896, -0.0292735, -0.0416902, -0.0629743, -0.0873972, -0.11643, -0.136933, -0.158626, -0.161865, -0.165295, -0.165869, -0.158172, -0.147464, -0.102479, -0.0844664, -0.0676627, -0.0615455, -0.0532335, -0.0442179, -0.0284509, -0.0210316, -0.01668, -0.01433, -0.0103287, -0.0064843, -0.00441148, -0.0028217, -0.00174187, -0.00108665, -0.000411818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.53024, 0.608182, 0.716144, 0.7657, 0.888826, 0.960048, 1.14862, 1.17602, 1.21585, 1.21855, 1.22396, 1.24955, 1.2675, 1.38241, 1.42869, 1.44986, 1.47621, 1.53892, 1.58026, 1.61775, 1.6695, 1.71143, 1.7642, 1.81781, 1.86982, 1.88538, 1.9165, 1.97806, 2.03962, 2.10119, 2.22431, 2.28588, 2.409, 2.59369");
-            values ( \
-              "-0.0454152, -0.0493775, -0.088829, -0.106117, -0.147086, -0.169148, -0.223406, -0.228932, -0.230899, -0.231355, -0.230295, -0.219546, -0.210607, -0.146213, -0.117555, -0.106947, -0.0962253, -0.074934, -0.062771, -0.0529381, -0.0411974, -0.032994, -0.0245576, -0.0186356, -0.0146126, -0.0136696, -0.0119125, -0.00918132, -0.00707248, -0.00545226, -0.00317728, -0.00238969, -0.0013467, -0.000571486" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.010012, 0.0100311, 0.0100537, 0.0100754, 0.0100926, 0.0101043", \
-            "0.0117531, 0.011766, 0.0117836, 0.0118032, 0.0118208, 0.0118341", \
-            "0.0127676, 0.0127723, 0.0127803, 0.0127917, 0.0128043, 0.012815", \
-            "0.0133789, 0.0133779, 0.0133764, 0.0133769, 0.0133794, 0.0133834", \
-            "0.0137105, 0.0137057, 0.0137029, 0.013695, 0.0136881, 0.0136838", \
-            "0.0139081, 0.0139033, 0.0138957, 0.0138841, 0.0138711, 0.0138594" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0137475, 0.0137583, 0.0137726, 0.0137871, 0.0138011, 0.0138123", \
-            "0.0149638, 0.0149547, 0.0149464, 0.0149413, 0.0149385, 0.0149379", \
-            "0.0157172, 0.0156807, 0.0156424, 0.0155987, 0.0155633, 0.0155394", \
-            "0.0163861, 0.0163136, 0.0162241, 0.0161312, 0.01605, 0.0159897", \
-            "0.0171801, 0.0170687, 0.016905, 0.01673, 0.0165754, 0.01646", \
-            "0.0161427, 0.0166927, 0.0171915, 0.0172904, 0.0170598, 0.0168484" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.112556, 0.128693, 0.158867, 0.215276, 0.320851, 0.519551", \
-            "0.117201, 0.133398, 0.163727, 0.220326, 0.326092, 0.524959", \
-            "0.129143, 0.145298, 0.175649, 0.23232, 0.338316, 0.537414", \
-            "0.158818, 0.174592, 0.204518, 0.260839, 0.36665, 0.565765", \
-            "0.225422, 0.243182, 0.274062, 0.328516, 0.432689, 0.63074", \
-            "0.329568, 0.354531, 0.398, 0.470954, 0.587042, 0.781623" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.146153, 0.168928, 0.210283, 0.287824, 0.4352, 0.716057", \
-            "0.146088, 0.168884, 0.210278, 0.287796, 0.435199, 0.716057", \
-            "0.145602, 0.168633, 0.210168, 0.287771, 0.435184, 0.716056", \
-            "0.14921, 0.17031, 0.209918, 0.287413, 0.435136, 0.716049", \
-            "0.183528, 0.201137, 0.233941, 0.30003, 0.437038, 0.716015", \
-            "0.261127, 0.283325, 0.320446, 0.379655, 0.492977, 0.736344" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.057873, 0.0672403, 0.0846132, 0.116836, 0.176902, 0.289353", \
-            "0.0623826, 0.0717833, 0.0892251, 0.121578, 0.181727, 0.29424", \
-            "0.0726471, 0.0820717, 0.0994564, 0.132043, 0.192321, 0.304962", \
-            "0.0934891, 0.104525, 0.123252, 0.155532, 0.215836, 0.328613", \
-            "0.115145, 0.130973, 0.157912, 0.201509, 0.269058, 0.3816", \
-            "0.125903, 0.148044, 0.186061, 0.248644, 0.346294, 0.492386" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0699057, 0.0813632, 0.103497, 0.145781, 0.226145, 0.378525", \
-            "0.0698646, 0.0813418, 0.103479, 0.145786, 0.226217, 0.378524", \
-            "0.0712336, 0.0819179, 0.103355, 0.145735, 0.226203, 0.378539", \
-            "0.0879306, 0.0962448, 0.11381, 0.150544, 0.22652, 0.378506", \
-            "0.128569, 0.138484, 0.156747, 0.188867, 0.250925, 0.38552", \
-            "0.19672, 0.209728, 0.234152, 0.275937, 0.345252, 0.460349" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0289597, 0.0335019, 0.0377126, 0.0437782, 0.0490271, 0.0630105, 0.0914479, 0.116018, 0.124135, 0.140315, 0.147203, 0.170857, 0.173803, 0.179693, 0.185624, 0.189981, 0.193668, 0.19747, 0.209298, 0.21623, 0.224969, 0.233558, 0.242801, 0.2504, 0.25787, 0.259808, 0.263684, 0.270549, 0.277682, 0.286443, 0.294548, 0.306417, 0.319409, 0.335209, 0.356708, 0.367486, 0.429983, 0.56317");
-            values ( \
-              "0.009106, 0.0486702, 0.0445362, 0.0404652, 0.038488, 0.035834, 0.0328567, 0.028878, 0.0278938, 0.0288527, 0.0279857, 0.0221017, 0.021094, 0.0199088, 0.0180782, 0.0187254, 0.0181793, 0.0182587, 0.0164256, 0.0146826, 0.0140266, 0.0129153, 0.0121918, 0.0111555, 0.0105463, 0.00982892, 0.00958719, 0.00823647, 0.00757032, 0.00635786, 0.00584506, 0.00473853, 0.0041108, 0.00310972, 0.00240205, 0.00189286, 0.000657344, 1.20372e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0315842, 0.0316042, 0.066753, 0.0996127, 0.140376, 0.158502, 0.16669, 0.179884, 0.224617, 0.238858, 0.248908, 0.269075, 0.289737, 0.318407, 0.342564, 0.358727, 0.401507, 0.439292, 0.514529, 0.56331");
-            values ( \
-              "1e-22, 0.0726708, 0.0586887, 0.0540769, 0.046503, 0.0478886, 0.0462808, 0.0423289, 0.026238, 0.0245945, 0.0243198, 0.021781, 0.018409, 0.0121159, 0.00870568, 0.00705875, 0.00398969, 0.00239297, 0.000793812, 0.000553576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0315616, 0.036412, 0.0431156, 0.0486415, 0.0553705, 0.0650139, 0.0817319, 0.11811, 0.170475, 0.186716, 0.201135, 0.240185, 0.268657, 0.27889, 0.289714, 0.292178, 0.306962, 0.334114, 0.384043, 0.418555, 0.449373, 0.501633, 0.53912, 0.589104, 0.673692, 0.842867");
-            values ( \
-              "0.08364, 0.11041, 0.100609, 0.0957721, 0.0924, 0.089781, 0.0865796, 0.0813946, 0.0712079, 0.073068, 0.0707362, 0.0570139, 0.0431266, 0.0393477, 0.0369035, 0.037201, 0.0364953, 0.0324219, 0.0202073, 0.0138453, 0.00992844, 0.00558587, 0.0036629, 0.00231127, 0.000642956, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0343899, 0.0344099, 0.0958233, 0.142647, 0.179217, 0.208752, 0.226916, 0.246797, 0.266245, 0.2961, 0.323561, 0.338446, 0.350146, 0.375839, 0.410312, 0.449502, 0.507017, 0.555873, 0.581392, 0.608086, 0.638648, 0.681454, 0.734196, 0.804519, 0.889107, 1.05828, 1.14287");
-            values ( \
-              "1e-22, 0.14178, 0.117943, 0.112164, 0.10685, 0.101323, 0.0995507, 0.100446, 0.0961066, 0.0878209, 0.0766887, 0.0694196, 0.065948, 0.0610275, 0.0511255, 0.043133, 0.0300287, 0.0209464, 0.0172581, 0.0140552, 0.0111799, 0.00787704, 0.00516059, 0.0029868, 0.00139203, 0.000298212, 0.000231861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0345074, 0.0345274, 0.116648, 0.204264, 0.266653, 0.295939, 0.346194, 0.372695, 0.393823, 0.408979, 0.474433, 0.495995, 0.531443, 0.631852, 0.664534, 0.727288, 0.794606, 0.881288, 0.940163, 1.04219, 1.16385, 1.33302, 1.5022, 1.67137");
-            values ( \
-              "1e-22, 0.166192, 0.146266, 0.138515, 0.131669, 0.127752, 0.124828, 0.121624, 0.118068, 0.114921, 0.0960718, 0.0934785, 0.0863875, 0.0598415, 0.0526895, 0.0412199, 0.030676, 0.0202323, 0.0150528, 0.0088558, 0.00464291, 0.00182253, 0.000675953, 0.00021286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0375388, 0.0375588, 0.185315, 0.369513, 0.474832, 0.521284, 0.591734, 0.692025, 0.753957, 1.10569, 1.28672, 1.40963, 1.63367, 1.81826, 2.15661, 2.1615");
-            values ( \
-              "1e-22, 0.188885, 0.165803, 0.154966, 0.146616, 0.145334, 0.139333, 0.123094, 0.1184, 0.0559422, 0.0353206, 0.0249475, 0.0126537, 0.00707485, 0.00235786, 0.00233892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0425602, 0.060124, 0.0645315, 0.0696949, 0.0753572, 0.0817196, 0.120579, 0.140302, 0.142802, 0.147803, 0.15623, 0.165898, 0.205294, 0.209965, 0.215275, 0.219384, 0.231414, 0.238496, 0.273639, 0.293433, 0.310781, 0.324801, 0.34562, 0.381393, 0.385655, 0.39418, 0.44311, 0.499806, 0.573485, 0.658073");
-            values ( \
-              "0.00881443, 0.0443644, 0.0413186, 0.0389022, 0.0372399, 0.0360554, 0.0314161, 0.0280904, 0.0279619, 0.0279954, 0.0289995, 0.0279321, 0.0181565, 0.0186058, 0.0180509, 0.0178602, 0.0154305, 0.0144371, 0.0108666, 0.00774789, 0.00589534, 0.00481016, 0.00355737, 0.00206902, 0.0020342, 0.00169206, 0.000755691, 0.000360543, 3.28993e-05, 6.92394e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0509768, 0.0509968, 0.0788829, 0.0883752, 0.128312, 0.158958, 0.171964, 0.185392, 0.198501, 0.223363, 0.235194, 0.242683, 0.257442, 0.267793, 0.277575, 0.288108, 0.308613, 0.315013, 0.337339, 0.361378, 0.376936, 0.394599, 0.418894, 0.443824, 0.490653, 0.553091, 0.632266, 0.716854");
-            values ( \
-              "1e-22, 0.0773332, 0.0603744, 0.058259, 0.0524451, 0.0463571, 0.0476547, 0.046823, 0.042067, 0.0339739, 0.0286168, 0.0263801, 0.0246478, 0.0242377, 0.0231619, 0.0216402, 0.0182845, 0.0166849, 0.0121191, 0.00874329, 0.00716084, 0.00571948, 0.00412315, 0.00295412, 0.00154414, 0.000635606, 0.000171868, 6.46473e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0531321, 0.0531521, 0.0913057, 0.151642, 0.189333, 0.204664, 0.219708, 0.252246, 0.291935, 0.303839, 0.335953, 0.364378, 0.409841, 0.447189, 0.470192, 0.521384, 0.60295, 0.677937, 0.681114");
-            values ( \
-              "1e-22, 0.115581, 0.0883249, 0.0788394, 0.0711184, 0.0730652, 0.0709346, 0.0596446, 0.0413516, 0.0375665, 0.0354456, 0.0301014, 0.0188895, 0.0125822, 0.00982929, 0.00563222, 0.00235656, 0.000894375, 0.000878877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0539899, 0.0540099, 0.110048, 0.161684, 0.195891, 0.241855, 0.253944, 0.268608, 0.308279, 0.321165, 0.342598, 0.355076, 0.366856, 0.39329, 0.427631, 0.443276, 0.461697, 0.532375, 0.588113, 0.626049, 0.65589, 0.699757, 0.754213, 0.826821, 0.911409, 1.08058, 1.16517");
-            values ( \
-              "1e-22, 0.145655, 0.118629, 0.112202, 0.107233, 0.099184, 0.100445, 0.0998467, 0.0900762, 0.0857241, 0.0767243, 0.0704943, 0.0664387, 0.0613842, 0.0517513, 0.0479686, 0.0445991, 0.0286618, 0.0189028, 0.0141167, 0.0113759, 0.00786961, 0.00506797, 0.00295031, 0.00130405, 0.000241377, 0.000273828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0557011, 0.0557211, 0.138591, 0.223282, 0.314957, 0.365132, 0.391597, 0.427996, 0.493486, 0.514945, 0.550366, 0.68454, 0.811932, 0.885172, 0.964114, 1.03788, 1.1736, 1.34278, 1.37951");
-            values ( \
-              "1e-22, 0.173958, 0.145984, 0.138527, 0.127751, 0.124835, 0.121642, 0.114922, 0.0960716, 0.0934931, 0.0864133, 0.0525066, 0.0309157, 0.0217969, 0.0146771, 0.0102202, 0.004883, 0.00191965, 0.00173592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0607339, 0.0607539, 0.187787, 0.338631, 0.494143, 0.540615, 0.611128, 0.710806, 0.7729, 1.125, 1.306, 1.43834, 1.6528, 1.83779, 2.17614, 2.19552");
-            values ( \
-              "1e-22, 0.201785, 0.166689, 0.158286, 0.146576, 0.145372, 0.139365, 0.123183, 0.11841, 0.0559815, 0.0353605, 0.0242451, 0.0126252, 0.00703442, 0.00232057, 0.0022455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0689557, 0.107452, 0.112483, 0.114912, 0.118277, 0.121085, 0.124766, 0.129559, 0.135999, 0.143167, 0.156195, 0.163146, 0.177048, 0.185355, 0.194371, 0.201506, 0.208402, 0.219725, 0.232162, 0.235001, 0.240679, 0.246725, 0.251045, 0.254767, 0.258598, 0.263927, 0.270497, 0.277436, 0.28616, 0.294775, 0.304005, 0.311591, 0.319033, 0.320983, 0.324883, 0.331721, 0.338858, 0.347619, 0.355722, 0.367585, 0.380594, 0.396377, 0.417915, 0.428614, 0.450013, 0.490907, 0.549842, 0.624392");
-            values ( \
-              "0.0014986, 0.0325566, 0.0356604, 0.0394785, 0.0405676, 0.03908, 0.0378895, 0.0363093, 0.0350918, 0.0340528, 0.0324905, 0.0314082, 0.0289246, 0.0279352, 0.0283889, 0.0288971, 0.0279786, 0.025255, 0.0220664, 0.0210954, 0.0199763, 0.0180824, 0.0187154, 0.0181689, 0.0182743, 0.0173388, 0.0164379, 0.0146728, 0.0140529, 0.0129039, 0.0122125, 0.0111437, 0.0105662, 0.00980976, 0.00960438, 0.00821633, 0.00759215, 0.00633819, 0.0058681, 0.00471943, 0.00413284, 0.00308961, 0.00242287, 0.00187334, 0.00151148, 0.000638782, 0.000362162, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0691104, 0.111539, 0.112594, 0.113703, 0.115299, 0.116602, 0.11921, 0.126478, 0.131284, 0.13885, 0.149202, 0.160612, 0.176197, 0.192595, 0.20163, 0.2197, 0.227929, 0.241119, 0.25572, 0.262152, 0.266403, 0.270093, 0.278353, 0.286084, 0.292613, 0.300097, 0.30998, 0.31968, 0.330116, 0.340701, 0.350845, 0.357646, 0.367823, 0.379524, 0.403695, 0.409878, 0.422243, 0.440768, 0.466361, 0.476106, 0.478853, 0.484346, 0.495331, 0.515165, 0.55395, 0.617596, 0.702183");
-            values ( \
-              "0.00178367, 0.0567508, 0.0577502, 0.0624811, 0.0659245, 0.0660353, 0.0653574, 0.0615074, 0.0595938, 0.0575751, 0.0558473, 0.0542639, 0.0514707, 0.0479804, 0.0465002, 0.0479449, 0.0462606, 0.0423497, 0.0370098, 0.0352449, 0.033817, 0.0323776, 0.0284391, 0.026223, 0.0255566, 0.0246227, 0.0243255, 0.0231851, 0.0218057, 0.019889, 0.0184244, 0.0166329, 0.0146436, 0.0121468, 0.00872858, 0.008228, 0.00685727, 0.00552244, 0.00380367, 0.00347199, 0.0032291, 0.00309556, 0.00258199, 0.0020481, 0.00111982, 0.000499189, 7.89442e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0692088, 0.121275, 0.132554, 0.143393, 0.183647, 0.221787, 0.23205, 0.248343, 0.262732, 0.30174, 0.329028, 0.339705, 0.3508, 0.353699, 0.370384, 0.397817, 0.438741, 0.467442, 0.489933, 0.511691, 0.563648, 0.599788, 0.647975, 0.729451, 0.898626");
-            values ( \
-              "0.00633866, 0.0969053, 0.0902718, 0.087114, 0.0808219, 0.0729123, 0.071421, 0.0730592, 0.0707353, 0.057022, 0.0437271, 0.0395623, 0.0369638, 0.0372185, 0.036352, 0.0319927, 0.0218049, 0.0159142, 0.0124742, 0.0098703, 0.00557522, 0.00371416, 0.0023706, 0.000715385, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0832483, 0.0978522, 0.112519, 0.117061, 0.119888, 0.134502, 0.141275, 0.153058, 0.204378, 0.235305, 0.264778, 0.287817, 0.293426, 0.299836, 0.312656, 0.329876, 0.358648, 0.385219, 0.400649, 0.412606, 0.424861, 0.43826, 0.453529, 0.472046, 0.529143, 0.558287, 0.587006, 0.616197, 0.65251, 0.701494, 0.760736, 0.794716, 0.862676, 0.947263, 1.03185, 1.20103");
-            values ( \
-              "0.0373942, 0.0846372, 0.116062, 0.132944, 0.132825, 0.123527, 0.121291, 0.11884, 0.112227, 0.107807, 0.102453, 0.0992002, 0.100061, 0.100473, 0.0995405, 0.0955649, 0.0876617, 0.0766247, 0.069334, 0.0656826, 0.0637073, 0.0607673, 0.0568504, 0.0510257, 0.0392046, 0.0323301, 0.0263394, 0.0212613, 0.0160811, 0.0109925, 0.00687242, 0.00530121, 0.00298795, 0.0015258, 0.000703252, 0.000148322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0836242, 0.104846, 0.118949, 0.141245, 0.165554, 0.266642, 0.329025, 0.36081, 0.403735, 0.421258, 0.457583, 0.501235, 0.527799, 0.555924, 0.58962, 0.689156, 0.723871, 0.82329, 0.908997, 0.933947, 0.983847, 1.04873, 1.09492, 1.1417, 1.20408, 1.28867, 1.45784, 1.62702, 1.71161");
-            values ( \
-              "0.037603, 0.121356, 0.163725, 0.151639, 0.147714, 0.13856, 0.131715, 0.127446, 0.125205, 0.123476, 0.117869, 0.106713, 0.0978055, 0.0939766, 0.0874351, 0.0609191, 0.053416, 0.0357209, 0.0239861, 0.0214203, 0.0165511, 0.0120419, 0.00933404, 0.00731028, 0.0054189, 0.00331597, 0.00129272, 0.000473181, 0.000440161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0962665, 0.11955, 0.141006, 0.168097, 0.382679, 0.538191, 0.584661, 0.655295, 0.754026, 0.816365, 1.16904, 1.35, 1.48238, 1.69685, 1.8818, 2.19836");
-            values ( \
-              "0.171495, 0.186418, 0.174599, 0.170797, 0.158284, 0.146578, 0.145371, 0.139356, 0.123292, 0.118461, 0.0560064, 0.0353856, 0.0242281, 0.0126091, 0.00702043, 0.00261009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.147682, 0.169919, 0.19109, 0.204557, 0.211932, 0.224949, 0.230593, 0.241879, 0.249161, 0.25233, 0.254939, 0.259597, 0.2729, 0.275562, 0.278223, 0.280884, 0.283546, 0.285812, 0.290344, 0.29261, 0.296147, 0.299683, 0.301391, 0.306513, 0.317637, 0.323948, 0.333118, 0.338836, 0.340332, 0.343325, 0.344822, 0.349224, 0.353109, 0.356037, 0.359772, 0.362531, 0.368623, 0.375668, 0.402363, 0.411655, 0.417146, 0.429563, 0.438822, 0.445383, 0.453595, 0.465654, 0.475437, 0.48264, 0.494463, 0.526669");
-            values ( \
-              "0.0131405, 0.0138458, 0.0197431, 0.023276, 0.0250903, 0.0280457, 0.0292, 0.0312932, 0.0342475, 0.0340234, 0.0337535, 0.0330797, 0.0291631, 0.0288984, 0.0287048, 0.0285825, 0.0285313, 0.0286953, 0.0288753, 0.0288912, 0.0288176, 0.0286237, 0.0284679, 0.0277946, 0.0252682, 0.0235468, 0.0212385, 0.0194064, 0.019063, 0.0185457, 0.0183718, 0.0183993, 0.0183022, 0.018101, 0.0177095, 0.0173232, 0.0160715, 0.0148161, 0.0120631, 0.0110351, 0.0103173, 0.00835391, 0.00718645, 0.00646179, 0.00572537, 0.0047962, 0.00416523, 0.00375584, 0.00316279, 0.00190568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.138538, 0.157952, 0.189202, 0.211445, 0.227042, 0.248914, 0.251258, 0.263143, 0.268214, 0.273791, 0.284947, 0.299077, 0.312057, 0.325387, 0.338511, 0.367214, 0.371239, 0.383469, 0.389999, 0.397508, 0.407285, 0.416958, 0.427389, 0.437986, 0.448099, 0.455025, 0.465195, 0.476943, 0.488329, 0.501087, 0.507261, 0.519607, 0.538138, 0.563523, 0.573436, 0.576216, 0.581777, 0.592898, 0.61478, 0.658474, 0.727574, 0.812161");
-            values ( \
-              "0.00926164, 0.0163259, 0.0313795, 0.0408592, 0.0468009, 0.0544756, 0.0584928, 0.055134, 0.0532329, 0.0520815, 0.0491313, 0.0465945, 0.0476767, 0.0469328, 0.0419993, 0.0326033, 0.0303678, 0.0260738, 0.0256835, 0.0244953, 0.0244967, 0.0230689, 0.0219858, 0.0197639, 0.0186079, 0.0164712, 0.0148035, 0.0119748, 0.0107303, 0.00856056, 0.00840116, 0.00668603, 0.00569946, 0.00363963, 0.00365378, 0.0030436, 0.00328476, 0.00238332, 0.00218076, 0.000810601, 0.000611254, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.138331, 0.183126, 0.206435, 0.227988, 0.24889, 0.253514, 0.259349, 0.263754, 0.276335, 0.290314, 0.329001, 0.351155, 0.378144, 0.398255, 0.427596, 0.437671, 0.448392, 0.455331, 0.464583, 0.477357, 0.505042, 0.545371, 0.560874, 0.579923, 0.602172, 0.625089, 0.656923, 0.69307, 0.720591, 0.775634, 0.860222, 0.944809");
-            values ( \
-              "0.00995355, 0.0426793, 0.0582774, 0.0715999, 0.0832231, 0.0871759, 0.0874116, 0.08536, 0.0821004, 0.0793864, 0.0712007, 0.0732184, 0.0643664, 0.0570876, 0.0430874, 0.0391371, 0.0370951, 0.0369513, 0.0364474, 0.035069, 0.0297911, 0.0196986, 0.0167236, 0.0135355, 0.0106801, 0.00842332, 0.00590193, 0.00398328, 0.00298186, 0.00155665, 0.000597958, 0.000186162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.156445, 0.191657, 0.253116, 0.25789, 0.280388, 0.313095, 0.367986, 0.38537, 0.404926, 0.449551, 0.50661, 0.532567, 0.583242, 0.695192, 0.725134, 0.770138, 0.840802, 0.890514, 0.956796, 1.04138, 1.21056, 1.29515");
-            values ( \
-              "0.0497827, 0.065514, 0.120629, 0.122115, 0.115234, 0.110861, 0.101196, 0.0995303, 0.100463, 0.0895898, 0.0664276, 0.0614664, 0.0478391, 0.0243576, 0.0192378, 0.0135954, 0.0077552, 0.00518734, 0.00320309, 0.00140825, 0.000256166, 0.000301761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.156393, 0.182376, 0.211571, 0.248737, 0.254546, 0.257599, 0.270409, 0.280331, 0.291505, 0.38887, 0.425082, 0.456617, 0.490261, 0.495951, 0.51546, 0.553132, 0.568097, 0.602329, 0.61739, 0.634019, 0.64013, 0.652351, 0.667932, 0.687432, 0.731657, 0.77756, 0.813349, 0.88969, 0.944015, 0.988712, 1.02253, 1.04351, 1.11795, 1.20932, 1.26196, 1.34655, 1.51572, 1.6849, 1.85407");
-            values ( \
-              "0.0604764, 0.0693127, 0.103817, 0.14429, 0.15335, 0.152886, 0.148456, 0.146481, 0.145111, 0.13599, 0.131574, 0.127331, 0.125466, 0.125395, 0.123648, 0.117967, 0.114711, 0.105208, 0.0997816, 0.0959967, 0.0955716, 0.0938234, 0.0911741, 0.086972, 0.0755554, 0.0629427, 0.0546582, 0.04062, 0.0320181, 0.026112, 0.0220576, 0.0198848, 0.0136604, 0.00850024, 0.00660276, 0.00408486, 0.0016084, 0.000603161, 0.000197337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.17468, 0.233609, 0.257797, 0.291565, 0.499887, 0.63439, 0.679025, 0.749988, 0.848429, 0.910917, 1.26508, 1.44597, 1.57843, 1.7952, 1.97447, 2.31282, 2.32034");
-            values ( \
-              "0.109143, 0.147029, 0.176692, 0.169195, 0.156948, 0.14657, 0.145458, 0.13943, 0.123428, 0.1187, 0.055967, 0.0353516, 0.0242736, 0.0125638, 0.00714113, 0.00237689, 0.00234748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.227573, 0.275487, 0.29542, 0.405842, 0.452785, 0.500831, 0.515082, 0.539475, 0.550448, 0.554814, 0.559326, 0.573853, 0.651791, 0.686117, 0.765798, 0.801281");
-            values ( \
-              "0.00091445, 0.00260527, 0.00399518, 0.0171537, 0.0212299, 0.0230927, 0.0262746, 0.0240401, 0.021186, 0.0221256, 0.019799, 0.0190675, 0.00801389, 0.0048143, 0.00148055, 0.00104121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.230396, 0.275892, 0.300153, 0.318197, 0.369789, 0.417486, 0.455121, 0.489744, 0.516478, 0.534571, 0.550853, 0.555629, 0.561904, 0.576604, 0.581167, 0.593723, 0.60884, 0.618021, 0.618724, 0.620131, 0.622945, 0.627206, 0.6456, 0.66486, 0.697893, 0.736995, 0.781641, 0.793355, 0.796867, 0.803891, 0.817938, 0.881984, 0.911293");
-            values ( \
-              "0.00170459, 0.00446284, 0.00734967, 0.0103082, 0.0218321, 0.0301187, 0.0358883, 0.0394657, 0.0408355, 0.0459047, 0.0439832, 0.044082, 0.0423979, 0.0363889, 0.035867, 0.0293752, 0.025791, 0.0254992, 0.024999, 0.025439, 0.0248395, 0.0249133, 0.0225438, 0.0193753, 0.0120529, 0.00711181, 0.00388122, 0.00360204, 0.00313788, 0.00314688, 0.00232175, 0.000859042, 0.000700771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.299331, 0.351901, 0.37194, 0.38325, 0.436877, 0.447407, 0.457937, 0.478997, 0.518146, 0.520927, 0.529107, 0.536962, 0.5434, 0.549838, 0.550793, 0.556526, 0.56095, 0.565374, 0.573409, 0.58197, 0.60807, 0.618381, 0.62266, 0.635235, 0.641101, 0.648171, 0.653285, 0.659256, 0.662242, 0.665227, 0.673483, 0.681739, 0.690739, 0.697341, 0.708147, 0.715178, 0.725611, 0.735816, 0.749649, 0.765007, 0.773448, 0.78229, 0.794523, 0.804699, 0.82226, 0.831894, 0.841528, 0.855496, 0.869464, 0.890938");
-            values ( \
-              "0.0241608, 0.0271739, 0.0335532, 0.0368046, 0.0515206, 0.0540646, 0.056466, 0.0608409, 0.0675557, 0.0679051, 0.0685356, 0.0692495, 0.0699865, 0.0708502, 0.0725772, 0.0749497, 0.0747272, 0.0742088, 0.0724003, 0.0698127, 0.0609288, 0.0568463, 0.0547087, 0.0491323, 0.0458981, 0.042484, 0.0403439, 0.0386422, 0.0380563, 0.037647, 0.0372085, 0.0366897, 0.0358135, 0.0349402, 0.0331518, 0.0317881, 0.0295106, 0.0269892, 0.0232641, 0.0196737, 0.0179571, 0.0163997, 0.0144019, 0.0128793, 0.0105967, 0.00956348, 0.0086257, 0.00747639, 0.00644594, 0.00509422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275173, 0.318569, 0.405098, 0.468241, 0.517536, 0.555417, 0.560425, 0.592605, 0.603664, 0.620273, 0.639666, 0.673391, 0.71372, 0.725578, 0.751962, 0.786927, 0.90344, 0.932673, 0.984478, 1.05797, 1.1109, 1.18148, 1.26607, 1.43524, 1.51983");
-            values ( \
-              "0.0181221, 0.0229303, 0.0590413, 0.0821366, 0.0982151, 0.108287, 0.108211, 0.100531, 0.100128, 0.100551, 0.0970675, 0.0879758, 0.0699894, 0.066305, 0.0612495, 0.0512046, 0.0262042, 0.0208651, 0.0140293, 0.00783127, 0.00510432, 0.00303358, 0.00133404, 0.000243194, 0.000285458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.274937, 0.335437, 0.385362, 0.474582, 0.517779, 0.549898, 0.560881, 0.577918, 0.582419, 0.58842, 0.641684, 0.673391, 0.706841, 0.712522, 0.719016, 0.732002, 0.75371, 0.762998, 0.769822, 0.784812, 0.819528, 0.835071, 0.851355, 0.867487, 0.882411, 0.90221, 0.946107, 1.0093, 1.04296, 1.06964, 1.15051, 1.18573, 1.2317, 1.27672, 1.3255, 1.39494, 1.41861, 1.42545, 1.43913, 1.4665, 1.52122, 1.60581, 1.77498, 1.94416, 2.02874");
-            values ( \
-              "0.0181619, 0.0376098, 0.0636885, 0.106888, 0.126029, 0.139323, 0.14169, 0.13998, 0.138853, 0.137986, 0.131684, 0.12723, 0.12555, 0.125414, 0.124955, 0.123656, 0.120596, 0.118827, 0.117953, 0.114658, 0.105022, 0.0993699, 0.0960071, 0.0941098, 0.0915249, 0.087444, 0.0761608, 0.0590432, 0.0522366, 0.0470371, 0.0334763, 0.0286595, 0.0228346, 0.0182775, 0.0144772, 0.00995166, 0.00900019, 0.00849516, 0.00809538, 0.00685438, 0.00530275, 0.00323648, 0.00125906, 0.000458422, 0.00043418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.274825, 0.360964, 0.468322, 0.542038, 0.556415, 0.567066, 0.574876, 0.594771, 0.693897, 0.773962, 0.843642, 0.886783, 0.969027, 1.01124, 1.0628, 1.08125, 1.1252, 1.17727, 1.39568, 1.48027, 1.56163, 1.66139, 1.73559, 1.81237, 1.925, 2.00958, 2.12382, 2.19078, 2.27537, 2.35996, 2.52914, 2.69831, 3.03666");
-            values ( \
-              "0.0154489, 0.0587119, 0.120552, 0.160124, 0.167464, 0.167764, 0.165774, 0.164091, 0.158259, 0.152705, 0.146976, 0.145788, 0.138967, 0.132633, 0.123409, 0.123244, 0.118924, 0.110025, 0.069646, 0.0560055, 0.045923, 0.0353212, 0.0288103, 0.0230248, 0.016437, 0.0126481, 0.00890988, 0.00718223, 0.00553965, 0.00419616, 0.00243933, 0.00141191, 0.000464646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.530706, 0.606771, 0.630175, 0.655139, 0.695213, 0.74194, 0.773251, 0.793948, 0.835342, 0.867459, 0.892846, 0.915985, 0.937629, 0.954069, 0.972063, 0.977296, 0.987763, 0.995307, 1.00084, 1.00627, 1.01075, 1.01578, 1.0219, 1.02943, 1.03762, 1.0456, 1.05517, 1.06545, 1.07432, 1.08167, 1.08832, 1.09644, 1.1027, 1.11097, 1.12078, 1.1328, 1.14542, 1.16229, 1.1659, 1.17311, 1.18755, 1.20622, 1.216, 1.22714, 1.24002, 1.25281, 1.2784, 1.3078, 1.36009, 1.43394");
-            values ( \
-              "0.0019137, 0.00203699, 0.00259321, 0.00338954, 0.00541637, 0.00867608, 0.0105028, 0.0115291, 0.013211, 0.0140959, 0.0142353, 0.0142148, 0.0158409, 0.016812, 0.0166244, 0.0168753, 0.016835, 0.0173428, 0.0166191, 0.0171103, 0.0170597, 0.0180061, 0.0177743, 0.0178922, 0.0161954, 0.0156822, 0.0145505, 0.0140784, 0.012943, 0.0124179, 0.0108938, 0.0102448, 0.00891797, 0.00848318, 0.00704939, 0.00647197, 0.00510353, 0.00443171, 0.0038636, 0.00379191, 0.00282302, 0.00239142, 0.00182304, 0.00225863, 0.00204654, 0.00163924, 0.00110957, 0.000668514, 0.00029438, 6.4062e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.530565, 0.607936, 0.642817, 0.667171, 0.692313, 0.762347, 0.808616, 0.865008, 0.915265, 0.940477, 0.983461, 1.00417, 1.01834, 1.02806, 1.03688, 1.05262, 1.06066, 1.07052, 1.08084, 1.10348, 1.12375, 1.15229, 1.1536, 1.1702, 1.21508, 1.22064, 1.27789, 1.31659, 1.36081, 1.41636");
-            values ( \
-              "0.00334149, 0.00360182, 0.00512118, 0.00662439, 0.00875293, 0.0163524, 0.0202276, 0.0238115, 0.0254917, 0.0258106, 0.0305151, 0.0301146, 0.0309628, 0.0311902, 0.0302397, 0.0271012, 0.0268192, 0.0258672, 0.0259019, 0.0237327, 0.0205656, 0.0142558, 0.014376, 0.011605, 0.00671436, 0.00737593, 0.00360122, 0.002128, 0.00112787, 0.00056186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.530383, 0.611623, 0.661046, 0.688033, 0.779782, 0.834118, 0.897769, 0.956391, 0.976237, 0.998918, 1.01988, 1.06992, 1.07566, 1.09769, 1.12907, 1.14135, 1.15466, 1.18341, 1.21576, 1.22862, 1.26796, 1.30212, 1.35469, 1.41481, 1.46351, 1.5481, 1.55349");
-            values ( \
-              "0.00538592, 0.00618896, 0.00998733, 0.0130758, 0.0273359, 0.0338922, 0.0400215, 0.0438388, 0.0444839, 0.0465756, 0.050804, 0.0526605, 0.0524799, 0.0482754, 0.0394054, 0.0385657, 0.0384286, 0.0342241, 0.0259484, 0.0246475, 0.0164692, 0.0115325, 0.00654666, 0.00339073, 0.00195101, 0.000711353, 0.000691813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.530543, 0.628896, 0.670035, 0.709935, 0.782394, 0.818296, 0.878331, 0.953592, 1.02124, 1.06067, 1.0791, 1.09937, 1.1287, 1.14326, 1.17608, 1.19691, 1.216, 1.22281, 1.23329, 1.27736, 1.38295, 1.43844, 1.4971, 1.55925, 1.63084, 1.70721, 1.7918, 1.96097");
-            values ( \
-              "0.00690024, 0.0112108, 0.0160894, 0.0229599, 0.0383202, 0.0447009, 0.0539912, 0.0644736, 0.071578, 0.0749173, 0.0789398, 0.0821015, 0.0830877, 0.083213, 0.0765694, 0.0691551, 0.0659032, 0.0662593, 0.0646765, 0.0516752, 0.0278696, 0.0184426, 0.0117238, 0.00717629, 0.00405183, 0.002167, 0.00105866, 0.000254039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.530461, 0.655522, 0.666799, 0.727106, 0.780731, 0.830816, 0.949652, 1.02933, 1.06782, 1.11914, 1.16613, 1.19526, 1.21598, 1.22688, 1.23874, 1.26222, 1.30767, 1.32499, 1.35351, 1.38797, 1.50367, 1.57122, 1.63728, 1.72138, 1.76052, 1.81066, 1.88118, 1.92762, 2.01364, 2.09823, 2.18282, 2.35199, 2.52117");
-            values ( \
-              "0.0074321, 0.0195385, 0.0213882, 0.033985, 0.0477038, 0.0590744, 0.0826966, 0.0972694, 0.103605, 0.110548, 0.115926, 0.121214, 0.122936, 0.123113, 0.121719, 0.117308, 0.104808, 0.0987679, 0.0946722, 0.0879902, 0.0575783, 0.0445768, 0.033684, 0.0226909, 0.0187411, 0.0145574, 0.0101332, 0.00794423, 0.00505601, 0.00327136, 0.0020349, 0.000804948, 0.000307356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.607921, 0.721027, 0.845901, 0.990955, 1.05837, 1.12777, 1.17618, 1.21559, 1.23665, 1.25854, 1.281, 1.3205, 1.36631, 1.44862, 1.50072, 1.54734, 1.56513, 1.60989, 1.66155, 1.7949, 1.87949, 1.96407, 2.02785, 2.04543, 2.1452, 2.26801, 2.33372, 2.40883, 2.49342, 2.57414, 2.6745, 2.75908, 2.84367, 3.01285, 3.18202, 3.3512, 3.52037");
-            values ( \
-              "0.0344059, 0.0390616, 0.0724442, 0.107297, 0.122756, 0.137769, 0.1475, 0.154641, 0.154874, 0.153041, 0.150653, 0.147651, 0.145722, 0.139366, 0.131714, 0.123604, 0.123132, 0.118685, 0.110028, 0.084735, 0.0696967, 0.0559586, 0.0483711, 0.0458934, 0.0352994, 0.0249306, 0.0205682, 0.0164431, 0.0126388, 0.00982797, 0.00716844, 0.00555796, 0.00418018, 0.00242162, 0.00139277, 0.000792698, 0.000443245" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0105262, 0.0105582, 0.0105954, 0.0106307, 0.0106583, 0.010677", \
-            "0.0133931, 0.0134284, 0.0134742, 0.0135225, 0.0135644, 0.0135947", \
-            "0.0153577, 0.0153779, 0.015409, 0.0154481, 0.0154876, 0.0155193", \
-            "0.0165854, 0.0165775, 0.0165723, 0.0165746, 0.016585, 0.016599", \
-            "0.0173092, 0.0172805, 0.0172422, 0.017201, 0.0171674, 0.0171474", \
-            "0.0176941, 0.0176624, 0.0176203, 0.0175692, 0.0175102, 0.0174532" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0158602, 0.0158759, 0.0159019, 0.0159331, 0.0159614, 0.0159847", \
-            "0.0169965, 0.0169488, 0.0168927, 0.0168437, 0.0168057, 0.0167796", \
-            "0.0164374, 0.0163425, 0.016212, 0.0160922, 0.015977, 0.0158972", \
-            "0.0159003, 0.0157564, 0.0155734, 0.0153865, 0.0152225, 0.0150993", \
-            "0.0156598, 0.0156498, 0.0155098, 0.015262, 0.0149844, 0.0147794", \
-            "0.0141251, 0.0141958, 0.0143127, 0.0147121, 0.0151727, 0.0148805" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0253449, 0.0269805, 0.0292055, 0.0341838, 0.0363609, 0.040715, 0.0411949, 0.0421548, 0.0440745, 0.0496714, 0.0585156, 0.0694488, 0.0723076, 0.0749213, 0.0779379, 0.0800792, 0.0820278, 0.0856921, 0.0948498, 0.111176, 0.12051, 0.140045, 0.146572, 0.154083, 0.171052, 0.19937, 0.209239, 0.23502, 0.244013, 0.26603, 0.296122, 0.387939, 0.431925");
-            values ( \
-              "-0.0700122, -0.0905534, -0.0862817, -0.0817339, -0.0816509, -0.0790182, -0.0796142, -0.0787218, -0.0782812, -0.0753248, -0.0687996, -0.0542807, -0.0515664, -0.0502474, -0.0516608, -0.0539493, -0.0539667, -0.0532899, -0.0469786, -0.0333289, -0.0263496, -0.013667, -0.0109122, -0.00962368, -0.00774539, -0.0033816, -0.00225894, -0.000619809, -0.000470825, -0.000855969, -0.000922381, -0.000225286, -0.000110354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0253943, 0.0277004, 0.0304349, 0.0359069, 0.0373615, 0.0399636, 0.042455, 0.0450154, 0.0499932, 0.0656012, 0.0788025, 0.0815006, 0.0841798, 0.090215, 0.0931028, 0.0971411, 0.107861, 0.115596, 0.129674, 0.147424, 0.170372, 0.178323, 0.190618, 0.194811, 0.203195, 0.21234, 0.221401, 0.242564, 0.25353, 0.258765, 0.277881, 0.306837, 0.371215, 0.451713");
-            values ( \
-              "-0.076307, -0.139316, -0.133345, -0.127626, -0.128144, -0.125782, -0.125704, -0.123729, -0.121949, -0.109575, -0.0881206, -0.08526, -0.0839252, -0.0896415, -0.0895912, -0.0872163, -0.0773381, -0.0689728, -0.0522021, -0.0347048, -0.0172539, -0.0136453, -0.0111092, -0.0106907, -0.00899505, -0.00752315, -0.00545894, -0.00395928, -0.00365201, -0.00282524, -0.00192297, -0.00189324, -0.000890867, -0.000187881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0264196, 0.0264396, 0.0302747, 0.0356039, 0.0388712, 0.0585793, 0.0655287, 0.0792375, 0.096213, 0.0991045, 0.102399, 0.105724, 0.109846, 0.113801, 0.118898, 0.13235, 0.172554, 0.188183, 0.198283, 0.219228, 0.230097, 0.239382, 0.254745, 0.299597, 0.331753, 0.357745, 0.441502");
-            values ( \
-              "-1e-22, -0.201983, -0.19145, -0.184339, -0.182254, -0.173679, -0.169408, -0.158064, -0.131733, -0.129569, -0.131266, -0.135671, -0.136794, -0.135249, -0.130961, -0.117048, -0.0636924, -0.0473521, -0.0387104, -0.0236841, -0.0162288, -0.012052, -0.00853674, -0.00807086, -0.00492909, -0.0037036, -0.00129422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0269424, 0.0269624, 0.0360028, 0.0468609, 0.0497377, 0.0543849, 0.0594843, 0.0744044, 0.0945472, 0.128511, 0.136038, 0.140968, 0.160219, 0.178375, 0.229395, 0.252756, 0.285199, 0.312117, 0.339636, 0.459121, 0.549791");
-            values ( \
-              "-1e-22, -0.255863, -0.239141, -0.233078, -0.23359, -0.230812, -0.230539, -0.225153, -0.215358, -0.180102, -0.186967, -0.188628, -0.177461, -0.159513, -0.0931197, -0.068602, -0.0407043, -0.023259, -0.0163868, -0.0061274, -0.00254375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0271756, 0.0271956, 0.033699, 0.0418825, 0.0570675, 0.0984359, 0.134892, 0.152181, 0.177185, 0.182758, 0.188534, 0.194966, 0.202724, 0.22004, 0.234395, 0.253534, 0.264694, 0.322388, 0.394229, 0.408456, 0.44116, 0.477842, 0.54003, 0.591404, 0.665277, 0.748719, 0.86028, 0.976267");
-            values ( \
-              "-1e-22, -0.298427, -0.286637, -0.279942, -0.27557, -0.267505, -0.257071, -0.248337, -0.228685, -0.228152, -0.233143, -0.235329, -0.234317, -0.227367, -0.219847, -0.206064, -0.195891, -0.135616, -0.0681204, -0.0600676, -0.0479211, -0.0362249, -0.0194097, -0.0122973, -0.00715953, -0.00381083, -0.00141815, -0.000395117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0276594, 0.0281363, 0.0376262, 0.0530773, 0.15872, 0.205417, 0.241655, 0.271506, 0.282009, 0.289708, 0.301266, 0.340962, 0.361761, 0.392649, 0.428099, 0.510933, 0.561175, 0.576234, 0.708603, 0.752761, 0.796214, 0.838582, 0.890329, 0.933699, 0.997447, 1.11343, 1.22942, 1.30015");
-            values ( \
-              "-0.328009, -0.328238, -0.313985, -0.307768, -0.296007, -0.288729, -0.278421, -0.265385, -0.266751, -0.270507, -0.270349, -0.261872, -0.255339, -0.243318, -0.222025, -0.163328, -0.123852, -0.115812, -0.0633971, -0.0497382, -0.0385782, -0.0295304, -0.0209255, -0.0161084, -0.0113057, -0.00635248, -0.00361951, -0.00293684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.041338, 0.0443835, 0.051053, 0.0518765, 0.0597477, 0.0607171, 0.0626558, 0.0650196, 0.0681933, 0.0745407, 0.0923441, 0.100541, 0.104747, 0.108415, 0.113365, 0.119623, 0.128148, 0.129688, 0.132767, 0.138925, 0.145542, 0.154595, 0.15856, 0.160762, 0.163917, 0.169813, 0.177696, 0.186657, 0.190358, 0.19776, 0.208138, 0.215453, 0.224817, 0.233216, 0.23667, 0.243577, 0.248968, 0.254892, 0.262768, 0.269114, 0.276208, 0.284232, 0.295605, 0.310542, 0.325776");
-            values ( \
-              "-0.0255209, -0.0606673, -0.0766199, -0.0864808, -0.0790093, -0.0804368, -0.0773773, -0.0781984, -0.0742672, -0.0720966, -0.0495041, -0.0551393, -0.0518729, -0.0513901, -0.0458399, -0.0429234, -0.0335221, -0.0345432, -0.0297691, -0.0276439, -0.0208449, -0.0177709, -0.012374, -0.0138871, -0.00997548, -0.0113213, -0.007712, -0.00942731, -0.00623419, -0.00792971, -0.00346544, -0.00529002, -0.000996955, -0.00345813, -0.00020111, -0.00286232, -1e-22, -0.00205412, -1e-22, -0.00202395, -1e-22, -0.00225801, -1e-22, -0.00235173, -0.00086254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0404567, 0.0453464, 0.0488964, 0.0520705, 0.0535386, 0.0545768, 0.0559612, 0.0583571, 0.0608959, 0.0635627, 0.0683532, 0.0824629, 0.0891666, 0.0928491, 0.100556, 0.103312, 0.109019, 0.111664, 0.115625, 0.126388, 0.148193, 0.154615, 0.166286, 0.188497, 0.196545, 0.208561, 0.213887, 0.233976, 0.243389, 0.254641, 0.263924, 0.274122, 0.27759, 0.295326, 0.323105, 0.382366, 0.457999, 0.50969");
-            values ( \
-              "-0.00172047, -0.0998152, -0.110437, -0.12962, -0.134706, -0.132075, -0.131286, -0.127365, -0.12658, -0.124117, -0.122212, -0.111502, -0.101684, -0.0948112, -0.0845348, -0.0841949, -0.0897387, -0.0895143, -0.0873323, -0.0773896, -0.0522774, -0.0454318, -0.0344761, -0.0175571, -0.0136665, -0.0112524, -0.0106086, -0.00694261, -0.00497335, -0.0045338, -0.0037847, -0.00348019, -0.00280192, -0.00191941, -0.00189075, -0.00101378, -0.000261036, -0.000105001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0410812, 0.0470654, 0.0529546, 0.0577628, 0.0646439, 0.0694646, 0.0707886, 0.0734366, 0.0787325, 0.0843575, 0.0987146, 0.115016, 0.122122, 0.125368, 0.131861, 0.132639, 0.134195, 0.137308, 0.203696, 0.254776, 0.259985, 0.267887, 0.276809, 0.291199, 0.304916, 0.319606, 0.331015, 0.337228, 0.349652, 0.36117, 0.375119, 0.389569, 0.408005, 0.430238, 0.457425");
-            values ( \
-              "-0.0084993, -0.148828, -0.192097, -0.183487, -0.178604, -0.178941, -0.175704, -0.177071, -0.171578, -0.170802, -0.158504, -0.130344, -0.130644, -0.137599, -0.13451, -0.136845, -0.132659, -0.132913, -0.0489856, -0.0124524, -0.0128022, -0.00813038, -0.00971219, -0.00705181, -0.00976115, -0.00652152, -0.00793755, -0.00466054, -0.00645634, -0.00303799, -0.00525353, -0.00185341, -0.0040908, -0.000524356, -0.00232519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0407532, 0.0488502, 0.0534091, 0.0656054, 0.0811683, 0.103101, 0.119088, 0.14518, 0.147047, 0.154659, 0.159906, 0.17895, 0.19695, 0.25746, 0.305461, 0.333952, 0.35083, 0.368766, 0.454533, 0.496732, 0.550624, 0.567299");
-            values ( \
-              "-0.0175434, -0.202626, -0.24477, -0.233646, -0.229544, -0.220405, -0.210523, -0.180761, -0.180049, -0.187111, -0.18871, -0.177268, -0.159477, -0.0825255, -0.039429, -0.0219005, -0.0176036, -0.0156432, -0.00765862, -0.00502299, -0.00268569, -0.00243803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0406588, 0.0518321, 0.0539802, 0.0607012, 0.0694898, 0.121281, 0.152822, 0.170952, 0.1963, 0.201721, 0.207325, 0.213798, 0.221341, 0.236167, 0.258392, 0.283451, 0.347184, 0.413202, 0.422498, 0.444389, 0.515493, 0.543878, 0.573673, 0.60939, 0.659272, 0.736104, 0.839732, 0.870828");
-            values ( \
-              "-0.0259405, -0.276145, -0.289352, -0.28168, -0.277449, -0.266473, -0.257331, -0.248397, -0.228553, -0.22828, -0.233194, -0.235316, -0.234386, -0.228594, -0.216648, -0.195957, -0.129716, -0.0680789, -0.0624983, -0.0532465, -0.0305995, -0.0231555, -0.0169107, -0.012485, -0.00861172, -0.00493418, -0.00210434, -0.00182957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0448767, 0.0545918, 0.0600814, 0.0718925, 0.18402, 0.228592, 0.260548, 0.287025, 0.294492, 0.310927, 0.320039, 0.360815, 0.380575, 0.411479, 0.446911, 0.529742, 0.580758, 0.595749, 0.692952, 0.727246, 0.770901, 0.816412, 0.85831, 0.910292, 0.952621, 1.01456, 1.13055, 1.24653, 1.5365");
-            values ( \
-              "-0.217296, -0.320525, -0.313511, -0.308166, -0.294841, -0.287653, -0.278179, -0.266397, -0.264918, -0.270844, -0.270388, -0.261441, -0.255484, -0.243173, -0.222162, -0.163241, -0.123428, -0.11538, -0.0757076, -0.0635199, -0.0498673, -0.0382019, -0.0294146, -0.0207163, -0.0160476, -0.0113597, -0.00635711, -0.00360301, -0.00087308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0635648, 0.0878299, 0.0993636, 0.108304, 0.11262, 0.114037, 0.115678, 0.124508, 0.132107, 0.135313, 0.140567, 0.143519, 0.151622, 0.17541, 0.197166, 0.205112, 0.21181, 0.236989, 0.257727, 0.274596, 0.295042, 0.33538, 0.479129");
-            values ( \
-              "-0.00320509, -0.0508378, -0.0627331, -0.0693018, -0.0711724, -0.0738006, -0.0735761, -0.0603094, -0.0514515, -0.0502992, -0.0543206, -0.0539284, -0.0489206, -0.0297519, -0.0151133, -0.0112326, -0.00980102, -0.00683135, -0.00348373, -0.00182921, -0.00054178, -0.000945286, -9.46012e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0715476, 0.0772316, 0.0910261, 0.103981, 0.112553, 0.114963, 0.118777, 0.122043, 0.130797, 0.134191, 0.141401, 0.144111, 0.149907, 0.156568, 0.167377, 0.185972, 0.195618, 0.213696, 0.216164, 0.216619, 0.217527, 0.219343, 0.222333, 0.228146, 0.236805, 0.248155, 0.254875, 0.267785, 0.277515, 0.287309, 0.298683, 0.306913, 0.316181, 0.318653, 0.323597, 0.332438, 0.339765, 0.348966, 0.358257, 0.370603, 0.385115, 0.407212, 0.435642, 0.473561, 0.517669");
-            values ( \
-              "-0.0295608, -0.0578171, -0.0853771, -0.105988, -0.116126, -0.124308, -0.115677, -0.113799, -0.10075, -0.0944258, -0.084647, -0.0842471, -0.0900086, -0.0877294, -0.0777727, -0.0555181, -0.0449844, -0.0289454, -0.0279297, -0.0266152, -0.0269987, -0.0245301, -0.0233549, -0.0178807, -0.0144297, -0.0109503, -0.0111925, -0.00755591, -0.00706231, -0.00404838, -0.00503164, -0.00306046, -0.00399766, -0.00214327, -0.00327796, -0.00137917, -0.00266415, -0.00121474, -0.00255094, -0.00113319, -0.00228342, -0.000633489, -0.00150607, -1e-22, -0.000832963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0765842, 0.0883801, 0.0925718, 0.0965588, 0.0985523, 0.104533, 0.105564, 0.106595, 0.107626, 0.110297, 0.112328, 0.112781, 0.113687, 0.114607, 0.115601, 0.122101, 0.128885, 0.132442, 0.140009, 0.14293, 0.150981, 0.155954, 0.158335, 0.160108, 0.163048, 0.166261, 0.16719, 0.169975, 0.173562, 0.177329, 0.180119, 0.184719, 0.192115, 0.20321, 0.222309, 0.231729, 0.239753, 0.248799, 0.2574, 0.27621, 0.284934, 0.290153, 0.300098, 0.304187, 0.310409, 0.312483, 0.315959, 0.340825, 0.356356, 0.378953");
-            values ( \
-              "-0.11176, -0.114258, -0.125241, -0.134722, -0.140389, -0.16109, -0.161274, -0.161733, -0.162468, -0.165652, -0.169494, -0.175054, -0.176587, -0.179253, -0.178577, -0.172564, -0.167382, -0.164361, -0.156781, -0.152343, -0.13816, -0.130398, -0.130294, -0.130903, -0.132981, -0.136625, -0.136604, -0.136169, -0.134788, -0.13197, -0.129537, -0.125004, -0.116516, -0.102061, -0.0754953, -0.0641428, -0.0554167, -0.0465542, -0.0391603, -0.0253857, -0.0193876, -0.0160448, -0.0116209, -0.0101469, -0.00890717, -0.00862138, -0.00853225, -0.00831558, -0.00791942, -0.00588914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0716477, 0.0832154, 0.0924268, 0.103275, 0.115223, 0.118163, 0.12111, 0.144409, 0.160222, 0.165223, 0.178358, 0.185996, 0.188333, 0.197052, 0.20264, 0.212308, 0.220728, 0.234097, 0.23824, 0.275395, 0.295262, 0.315933, 0.335716, 0.356286, 0.365302, 0.381346, 0.402919, 0.444855, 0.474727, 0.518286, 0.564984, 0.634313, 0.743934");
-            values ( \
-              "-0.0255812, -0.128494, -0.161732, -0.19614, -0.232183, -0.234424, -0.230987, -0.220258, -0.21097, -0.206505, -0.189663, -0.181223, -0.18009, -0.187934, -0.188353, -0.183242, -0.176748, -0.164259, -0.159376, -0.110206, -0.086316, -0.0655539, -0.0485221, -0.0322126, -0.0263238, -0.0202638, -0.0160556, -0.0126553, -0.00951366, -0.00624097, -0.00379114, -0.00157153, -0.000262076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0783029, 0.0963213, 0.117197, 0.129177, 0.163609, 0.193681, 0.212226, 0.237764, 0.243101, 0.248609, 0.255067, 0.262452, 0.277319, 0.299924, 0.324733, 0.388284, 0.45444, 0.486373, 0.552919, 0.612307, 0.65033, 0.702592, 0.779345, 0.882765, 0.91276");
-            values ( \
-              "-0.15834, -0.206461, -0.280535, -0.274122, -0.266296, -0.25753, -0.248376, -0.228492, -0.228354, -0.233238, -0.235293, -0.234461, -0.228676, -0.216495, -0.195954, -0.129906, -0.0681156, -0.0529169, -0.0317132, -0.0173325, -0.0125145, -0.00848256, -0.00485486, -0.002065, -0.00180684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0785934, 0.0952439, 0.11514, 0.128545, 0.134023, 0.139541, 0.150576, 0.17024, 0.192462, 0.231566, 0.246524, 0.287778, 0.332185, 0.340326, 0.349075, 0.358988, 0.361569, 0.393073, 0.422131, 0.452909, 0.488491, 0.522869, 0.571319, 0.623383, 0.662183, 0.680968, 0.705061, 0.768642, 0.827666, 0.90087, 0.984288, 0.994308, 1.01435, 1.05283, 1.10316, 1.16115, 1.21914, 1.27714, 1.33513, 1.39312, 1.45112, 1.50911, 1.5671");
-            values ( \
-              "-0.16148, -0.222552, -0.311543, -0.306062, -0.305858, -0.304305, -0.30402, -0.301078, -0.299477, -0.293527, -0.292073, -0.283712, -0.264923, -0.266192, -0.269849, -0.271051, -0.270068, -0.264217, -0.255055, -0.243699, -0.221724, -0.199021, -0.163714, -0.12227, -0.104239, -0.0975057, -0.0868602, -0.0630818, -0.0453482, -0.0287216, -0.0166411, -0.0165546, -0.0138851, -0.0120869, -0.00852243, -0.00722922, -0.00464736, -0.00432867, -0.00242948, -0.0026386, -0.00113471, -0.00166298, -0.000395584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.128767, 0.144439, 0.156251, 0.174543, 0.184504, 0.200267, 0.2037, 0.208488, 0.218087, 0.221803, 0.232554, 0.236503, 0.241021, 0.24904, 0.251927, 0.269169, 0.295616, 0.301193, 0.323114, 0.352721, 0.377607, 0.389513, 0.433447, 0.499746");
-            values ( \
-              "-0.0177854, -0.0240283, -0.0315538, -0.0403957, -0.044108, -0.0479832, -0.0477977, -0.0466976, -0.0429315, -0.0424113, -0.0497492, -0.0493272, -0.0479412, -0.0431618, -0.0427208, -0.0283164, -0.0117993, -0.0103442, -0.00765862, -0.00299928, -0.00096553, -0.000469824, -0.00105814, -0.000398009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.129008, 0.137537, 0.148059, 0.161154, 0.18143, 0.198225, 0.203065, 0.212745, 0.21504, 0.2181, 0.227845, 0.231318, 0.235006, 0.241314, 0.244433, 0.248936, 0.25035, 0.259199, 0.284965, 0.297389, 0.322318, 0.33021, 0.372053, 0.382693, 0.403728, 0.409556, 0.417486, 0.429103, 0.473099, 0.556993, 0.599897");
-            values ( \
-              "-0.0289157, -0.029344, -0.0414295, -0.0534301, -0.0689869, -0.0787364, -0.0806709, -0.083226, -0.0828552, -0.0817316, -0.0766091, -0.0757445, -0.0774575, -0.0856304, -0.0863344, -0.085188, -0.087202, -0.077995, -0.0479382, -0.0359225, -0.0168334, -0.0134947, -0.00563142, -0.00476958, -0.00362009, -0.0028968, -0.00244136, -0.00202052, -0.00171159, -0.000465472, -0.000263493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.129265, 0.141855, 0.154049, 0.162956, 0.182227, 0.193053, 0.212562, 0.22231, 0.230133, 0.236045, 0.241719, 0.248936, 0.256623, 0.260981, 0.265229, 0.276231, 0.283745, 0.298774, 0.30572, 0.322978, 0.339291, 0.35011, 0.381976, 0.390337, 0.395566, 0.406025, 0.419716, 0.434746, 0.449729, 0.461462, 0.482788, 0.508219, 0.541323, 0.59778, 0.645644, 0.703638");
-            values ( \
-              "-0.0262318, -0.0485527, -0.0669276, -0.0784498, -0.100768, -0.111993, -0.129138, -0.134853, -0.137123, -0.13535, -0.131962, -0.128396, -0.136553, -0.13717, -0.135848, -0.125777, -0.11716, -0.0970239, -0.0867206, -0.0647991, -0.0476551, -0.0384171, -0.0158498, -0.012318, -0.010579, -0.00862, -0.00841723, -0.00851221, -0.00807509, -0.00677499, -0.00499935, -0.00378941, -0.00259654, -0.00114433, -0.000528283, -0.000152614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.129387, 0.147929, 0.161573, 0.172197, 0.200165, 0.211436, 0.235398, 0.24215, 0.252696, 0.256883, 0.273177, 0.280016, 0.281481, 0.287343, 0.292099, 0.297232, 0.303999, 0.317133, 0.329829, 0.36805, 0.390233, 0.413543, 0.438442, 0.454651, 0.466399, 0.48428, 0.502078, 0.539841, 0.556325, 0.587694, 0.629075, 0.681615, 0.766704, 0.824697");
-            values ( \
-              "-0.0220981, -0.0739833, -0.0995153, -0.116128, -0.157284, -0.172218, -0.199348, -0.204951, -0.211711, -0.208529, -0.185755, -0.180256, -0.180723, -0.186844, -0.188752, -0.187247, -0.183343, -0.172712, -0.159654, -0.108939, -0.0827016, -0.0601563, -0.0394469, -0.0278898, -0.0220685, -0.0174811, -0.0155579, -0.0125503, -0.0103739, -0.0076707, -0.00508453, -0.00278343, -0.000842509, -0.000516092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.139516, 0.156111, 0.176203, 0.209717, 0.239378, 0.24874, 0.25395, 0.260408, 0.265851, 0.280548, 0.296702, 0.304356, 0.328907, 0.334549, 0.341642, 0.34453, 0.350306, 0.35762, 0.376602, 0.395166, 0.416382, 0.478809, 0.54601, 0.557341, 0.582643, 0.625394, 0.675378, 0.697702, 0.724225, 0.776442, 0.848789, 0.940886, 1.05687, 1.11487");
-            values ( \
-              "-0.0977988, -0.10561, -0.145611, -0.204564, -0.250521, -0.263437, -0.267661, -0.26665, -0.263677, -0.259294, -0.252834, -0.247996, -0.228508, -0.22851, -0.233879, -0.234932, -0.235066, -0.233023, -0.224868, -0.213893, -0.196065, -0.130918, -0.0682024, -0.0614293, -0.0512837, -0.0375697, -0.0232807, -0.0187419, -0.0145187, -0.00967366, -0.00576957, -0.00279842, -0.000972015, -0.000709898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.140044, 0.195651, 0.229909, 0.248976, 0.254035, 0.263876, 0.272091, 0.284109, 0.288238, 0.33822, 0.360925, 0.377141, 0.393795, 0.420091, 0.427267, 0.443074, 0.453313, 0.474632, 0.492047, 0.513835, 0.544709, 0.58017, 0.662995, 0.715615, 0.730455, 0.796748, 0.860156, 0.902762, 0.952541, 0.993471, 1.04583, 1.08608, 1.14437, 1.20236, 1.26036, 1.37635, 1.49233, 1.66631");
-            values ( \
-              "-0.087413, -0.200682, -0.265308, -0.297424, -0.303083, -0.302905, -0.30004, -0.299634, -0.298673, -0.291675, -0.287761, -0.28395, -0.278113, -0.266461, -0.264912, -0.270691, -0.270431, -0.266184, -0.26201, -0.255444, -0.243236, -0.222136, -0.163304, -0.122352, -0.114708, -0.087288, -0.0635903, -0.0503167, -0.0375936, -0.0290129, -0.0204621, -0.0160692, -0.0116086, -0.00867103, -0.00650019, -0.00369765, -0.00206195, -0.00085993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.219317, 0.271574, 0.292566, 0.322101, 0.343186, 0.351616, 0.362856, 0.367636, 0.373865, 0.386894, 0.390234, 0.391904, 0.398613, 0.40535, 0.411138, 0.420468, 0.430053, 0.439455, 0.462167, 0.477253, 0.484217, 0.499041, 0.536216, 0.546698, 0.550126, 0.552565, 0.561899, 0.567104, 0.577381, 0.602887, 0.637799, 0.66479, 0.733977, 0.780535");
-            values ( \
-              "-0.000229119, -0.0123424, -0.0187867, -0.025471, -0.0289422, -0.0299177, -0.0308952, -0.0308109, -0.0300667, -0.0275186, -0.0272823, -0.0273152, -0.0311603, -0.0338179, -0.0341357, -0.0349739, -0.0346685, -0.0324257, -0.0223367, -0.0140376, -0.0122324, -0.0102127, -0.00379465, -0.00273027, -0.00248198, -0.00311108, -0.00191191, -0.0015281, -0.00121502, -0.00128932, -0.00100208, -0.000604481, -0.000132077, -0.000117117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.233952, 0.239573, 0.253022, 0.264072, 0.27533, 0.300077, 0.32053, 0.33248, 0.356381, 0.372235, 0.38217, 0.392067, 0.398802, 0.406231, 0.408753, 0.417404, 0.422885, 0.434689, 0.441716, 0.448585, 0.462323, 0.509934, 0.518428, 0.540992, 0.547417, 0.550291, 0.556041, 0.567458, 0.602588, 0.616356, 0.719724, 0.736434");
-            values ( \
-              "-0.00854771, -0.00968895, -0.0132972, -0.0167308, -0.0211003, -0.0324075, -0.0400004, -0.0438562, -0.0504317, -0.0533612, -0.0540192, -0.0522397, -0.0503184, -0.0493983, -0.0498511, -0.0577391, -0.0598441, -0.0612107, -0.0617816, -0.0609217, -0.0546177, -0.020426, -0.0161307, -0.010983, -0.00987418, -0.00879405, -0.00917283, -0.00693642, -0.00333755, -0.00267506, -0.000785729, -0.000658419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.239673, 0.275358, 0.304014, 0.329224, 0.349721, 0.379749, 0.394753, 0.406478, 0.414228, 0.42285, 0.430269, 0.433187, 0.442621, 0.449256, 0.462506, 0.471503, 0.480415, 0.49824, 0.534484, 0.550319, 0.555187, 0.574348, 0.590956, 0.60286, 0.641411, 0.663612, 0.678683, 0.739659, 0.782348");
-            values ( \
-              "-0.0141954, -0.0304593, -0.0488411, -0.0624131, -0.0721924, -0.0844438, -0.0886992, -0.0905959, -0.0894909, -0.0870273, -0.0856934, -0.086066, -0.0969214, -0.10053, -0.10264, -0.102899, -0.100985, -0.0866825, -0.0507717, -0.0379407, -0.0363909, -0.0210359, -0.0127065, -0.0107002, -0.00909397, -0.0065864, -0.00537745, -0.00258783, -0.00148687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.257197, 0.28744, 0.321124, 0.352812, 0.413197, 0.430548, 0.445214, 0.454038, 0.463836, 0.472406, 0.487777, 0.493989, 0.504888, 0.515676, 0.532907, 0.556876, 0.591126, 0.615744, 0.649502, 0.659157, 0.677174, 0.70155, 0.769006, 0.809722, 0.856305, 0.924883, 0.932688");
-            values ( \
-              "-0.0486688, -0.0495854, -0.0763048, -0.097827, -0.132875, -0.140054, -0.143953, -0.143329, -0.141315, -0.141238, -0.157499, -0.160255, -0.161805, -0.160828, -0.153251, -0.128624, -0.0859791, -0.0614986, -0.0331735, -0.0272629, -0.0208288, -0.016273, -0.0095472, -0.00644881, -0.00392618, -0.00165757, -0.00156063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.25731, 0.288853, 0.346887, 0.390224, 0.418961, 0.464965, 0.483321, 0.505414, 0.51697, 0.528929, 0.535691, 0.544149, 0.554884, 0.580576, 0.598859, 0.620338, 0.685004, 0.750082, 0.778696, 0.819678, 0.861358, 0.886711, 0.915447, 0.946673, 0.987827, 1.05971, 1.15563, 1.32961");
-            values ( \
-              "-0.0463925, -0.0605792, -0.112311, -0.146812, -0.168141, -0.198633, -0.20839, -0.216326, -0.216615, -0.215897, -0.217902, -0.228331, -0.236083, -0.225013, -0.214203, -0.196029, -0.128725, -0.0681499, -0.0542928, -0.0406935, -0.0280719, -0.0216783, -0.0162244, -0.0124923, -0.00919475, -0.00549779, -0.00257381, -0.000625016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.275205, 0.330315, 0.352475, 0.404389, 0.441425, 0.480715, 0.538709, 0.550166, 0.555315, 0.560672, 0.586904, 0.629436, 0.637239, 0.644287, 0.655019, 0.682009, 0.717282, 0.73025, 0.755356, 0.783729, 0.857926, 0.917544, 0.932005, 0.97469, 1.02887, 1.0635, 1.10538, 1.15778, 1.19812, 1.2505, 1.28967, 1.34597, 1.403, 1.461, 1.57698, 1.69297, 1.86695");
-            values ( \
-              "-0.0848271, -0.108861, -0.130314, -0.177229, -0.208901, -0.240543, -0.28129, -0.28799, -0.289965, -0.289493, -0.282086, -0.264672, -0.266996, -0.270017, -0.270812, -0.265384, -0.255716, -0.250944, -0.239439, -0.222242, -0.169607, -0.123473, -0.115554, -0.0975733, -0.0760076, -0.0637027, -0.0505112, -0.0371451, -0.0288414, -0.0202618, -0.0160216, -0.0116944, -0.00882874, -0.00655902, -0.00371935, -0.002063, -0.000900736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.451007, 0.495375, 0.518366, 0.536754, 0.566728, 0.576677, 0.608402, 0.65608, 0.664218, 0.680493, 0.698241, 0.713053, 0.722861, 0.734111, 0.73644, 0.746703, 0.753029, 0.759109, 0.765046, 0.776611, 0.795712, 0.808238, 0.822322, 0.845828, 0.864982, 0.903676, 0.924157, 0.930882, 0.936922, 0.975414, 1.02403, 1.0935, 1.19634, 1.21607, 1.2325, 1.25466");
-            values ( \
-              "-0.00233996, -0.00357138, -0.0047826, -0.00615598, -0.00917219, -0.0105829, -0.0141493, -0.0182159, -0.018445, -0.0195379, -0.0195422, -0.0185338, -0.0173346, -0.0178455, -0.0191559, -0.0216897, -0.0217747, -0.0222059, -0.0223522, -0.0229945, -0.0230524, -0.0226323, -0.0210293, -0.0143392, -0.0122208, -0.00526084, -0.00266068, -0.00228123, -0.00200922, -0.00170407, -0.000907514, -0.000244775, -9.86008e-06, -3.94709e-05, -0.000282986, -0.000123507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.450174, 0.50168, 0.529031, 0.544753, 0.575432, 0.586956, 0.640447, 0.681765, 0.702372, 0.718332, 0.728105, 0.739383, 0.749658, 0.762468, 0.770546, 0.807674, 0.819116, 0.840453, 0.866412, 0.891925, 0.926595, 0.940832, 0.949097, 0.971472, 0.989892, 1.04736, 1.11249, 1.21221, 1.22354, 1.24669");
-            values ( \
-              "-0.00312215, -0.00652104, -0.00908132, -0.0111563, -0.0162192, -0.0186969, -0.0276544, -0.0325251, -0.0343927, -0.0346281, -0.0339216, -0.03209, -0.0312103, -0.0368353, -0.0385934, -0.041407, -0.0412798, -0.039789, -0.0313349, -0.0192016, -0.0110692, -0.00877747, -0.00804773, -0.00500062, -0.00389416, -0.00203206, -0.000641936, -2.07721e-05, -0.000618021, -0.000253202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.449456, 0.512434, 0.541874, 0.659712, 0.711624, 0.744387, 0.752927, 0.786504, 0.801751, 0.809165, 0.84363, 0.864036, 0.895448, 0.899211, 0.966599, 0.975712, 0.986688, 1.02182, 1.0433, 1.06669, 1.09367, 1.13489, 1.21162, 1.22502, 1.24919, 1.29858");
-            values ( \
-              "-0.00333597, -0.0116, -0.0161122, -0.0449258, -0.0545279, -0.0585282, -0.0587873, -0.0550658, -0.0639146, -0.0656582, -0.0697539, -0.0705911, -0.0639828, -0.0623004, -0.0214342, -0.0172613, -0.0152081, -0.0125235, -0.00946339, -0.0070718, -0.00513027, -0.00292584, -0.0008096, -0.00145851, -0.000817629, -0.000274503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.448468, 0.529249, 0.561714, 0.580338, 0.633105, 0.680405, 0.752617, 0.792421, 0.809017, 0.824776, 0.8404, 0.84702, 0.856547, 0.865634, 0.907549, 0.923128, 0.938293, 0.968621, 1.00293, 1.05781, 1.06995, 1.10226, 1.15549, 1.19261, 1.21444, 1.21841, 1.22369, 1.22858, 1.25229, 1.28016, 1.32146, 1.37555, 1.43354");
-            values ( \
-              "-0.00227716, -0.0197768, -0.0276618, -0.0331185, -0.0513813, -0.0661158, -0.0851312, -0.0929167, -0.0943472, -0.0933355, -0.091674, -0.0929034, -0.100353, -0.104591, -0.111269, -0.112869, -0.112355, -0.102921, -0.0796481, -0.03856, -0.0323444, -0.0223686, -0.0142243, -0.00991412, -0.00797808, -0.0087173, -0.00881068, -0.0080728, -0.00605419, -0.0042897, -0.00246705, -0.00115569, -0.00047934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.496481, 0.554081, 0.601984, 0.677243, 0.735004, 0.824238, 0.859418, 0.876145, 0.896772, 0.928425, 0.937487, 0.952887, 0.96258, 0.989933, 1.00583, 1.03113, 1.05577, 1.09285, 1.13996, 1.18003, 1.19427, 1.27824, 1.31805, 1.34163, 1.37239, 1.42698, 1.46118, 1.54794, 1.60535, 1.66334, 1.77933");
-            values ( \
-              "-0.0261717, -0.0324381, -0.0488323, -0.0788769, -0.0996653, -0.128171, -0.137649, -0.141462, -0.144314, -0.144043, -0.146082, -0.157271, -0.160274, -0.165531, -0.167843, -0.169929, -0.16637, -0.147257, -0.111136, -0.0766144, -0.0676185, -0.0370639, -0.0247273, -0.0194906, -0.0148418, -0.00978828, -0.00765245, -0.00394827, -0.00246001, -0.00147428, -0.000502041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.510252, 0.587616, 0.698836, 0.800173, 0.857799, 0.948417, 1.00798, 1.02737, 1.06404, 1.08779, 1.09885, 1.12345, 1.14597, 1.16774, 1.20062, 1.22547, 1.27505, 1.37974, 1.49723, 1.56758, 1.64481, 1.69973, 1.73096, 1.76211, 1.86164, 1.97763, 2.09362, 2.32559");
-            values ( \
-              "-0.0326054, -0.0500114, -0.0976117, -0.138399, -0.160199, -0.191469, -0.208236, -0.211304, -0.214605, -0.228088, -0.231606, -0.236491, -0.239517, -0.241173, -0.237756, -0.228806, -0.194936, -0.117672, -0.0697421, -0.0474492, -0.0295573, -0.0208172, -0.0169871, -0.0141786, -0.0083771, -0.00472073, -0.00262043, -0.000710711" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0094806, 0.00949483, 0.00951226, 0.00952986, 0.00954436, 0.00955453", \
-            "0.0110687, 0.0110781, 0.0110912, 0.0111064, 0.0111206, 0.0111316", \
-            "0.0120107, 0.0120141, 0.0120199, 0.0120283, 0.0120379, 0.0120465", \
-            "0.012579, 0.0125779, 0.0125769, 0.0125769, 0.0125787, 0.0125817", \
-            "0.0128826, 0.0128791, 0.0128742, 0.0128682, 0.0128628, 0.0128591", \
-            "0.0130535, 0.01305, 0.0130451, 0.0130364, 0.0130264, 0.013017" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0130769, 0.0130851, 0.0130959, 0.013107, 0.0131165, 0.0131258", \
-            "0.0143952, 0.0143883, 0.0143813, 0.014376, 0.0143724, 0.0143699", \
-            "0.0152306, 0.0152044, 0.0151711, 0.0151357, 0.0151078, 0.0150887", \
-            "0.0159189, 0.0158712, 0.0158087, 0.0157443, 0.0156818, 0.015637", \
-            "0.0165286, 0.0164446, 0.0163327, 0.0162098, 0.016099, 0.0160125", \
-            "0.017, 0.0169183, 0.0167941, 0.0166182, 0.0164118, 0.0162457" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.112556, 0.128693, 0.158867, 0.215276, 0.320851, 0.519551", \
-            "0.117201, 0.133398, 0.163727, 0.220326, 0.326092, 0.524959", \
-            "0.129143, 0.145298, 0.175649, 0.23232, 0.338316, 0.537414", \
-            "0.158818, 0.174592, 0.204518, 0.260839, 0.36665, 0.565765", \
-            "0.225422, 0.243182, 0.274062, 0.328516, 0.432689, 0.63074", \
-            "0.329568, 0.354531, 0.398, 0.470954, 0.587042, 0.781623" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.146153, 0.168928, 0.210283, 0.287824, 0.4352, 0.716057", \
-            "0.146088, 0.168884, 0.210278, 0.287796, 0.435199, 0.716057", \
-            "0.145602, 0.168633, 0.210168, 0.287771, 0.435184, 0.716056", \
-            "0.14921, 0.17031, 0.209918, 0.287413, 0.435136, 0.716049", \
-            "0.183528, 0.201137, 0.233941, 0.30003, 0.437038, 0.716015", \
-            "0.261127, 0.283325, 0.320446, 0.379655, 0.492977, 0.736344" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0761054, 0.0863346, 0.105319, 0.140576, 0.20638, 0.329998", \
-            "0.0807415, 0.0910036, 0.110047, 0.145376, 0.211273, 0.334943", \
-            "0.0911552, 0.101441, 0.12056, 0.156013, 0.222038, 0.345843", \
-            "0.1147, 0.124984, 0.14392, 0.179596, 0.24569, 0.369627", \
-            "0.146071, 0.161304, 0.187461, 0.23069, 0.299529, 0.423261", \
-            "0.169418, 0.191021, 0.228659, 0.291205, 0.390293, 0.540571" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0831841, 0.095871, 0.120425, 0.167325, 0.256773, 0.426127", \
-            "0.0830876, 0.095739, 0.120241, 0.167328, 0.256699, 0.426124", \
-            "0.0827658, 0.0955052, 0.120341, 0.167293, 0.256714, 0.426122", \
-            "0.0937458, 0.104053, 0.125501, 0.169072, 0.256633, 0.426106", \
-            "0.132124, 0.143611, 0.164382, 0.200656, 0.27435, 0.429519", \
-            "0.198045, 0.21329, 0.240731, 0.287318, 0.363766, 0.49462" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0289597, 0.0335019, 0.0377126, 0.0437782, 0.0490271, 0.0630105, 0.0914479, 0.116018, 0.124135, 0.140315, 0.147203, 0.170857, 0.173803, 0.179693, 0.185624, 0.189981, 0.193668, 0.19747, 0.209298, 0.21623, 0.224969, 0.233558, 0.242801, 0.2504, 0.25787, 0.259808, 0.263684, 0.270549, 0.277682, 0.286443, 0.294548, 0.306417, 0.319409, 0.335209, 0.356708, 0.367486, 0.429983, 0.56317");
-            values ( \
-              "0.009106, 0.0486702, 0.0445362, 0.0404652, 0.038488, 0.035834, 0.0328567, 0.028878, 0.0278938, 0.0288527, 0.0279857, 0.0221017, 0.021094, 0.0199088, 0.0180782, 0.0187254, 0.0181793, 0.0182587, 0.0164256, 0.0146826, 0.0140266, 0.0129153, 0.0121918, 0.0111555, 0.0105463, 0.00982892, 0.00958719, 0.00823647, 0.00757032, 0.00635786, 0.00584506, 0.00473853, 0.0041108, 0.00310972, 0.00240205, 0.00189286, 0.000657344, 1.20372e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0315842, 0.0316042, 0.066753, 0.0996127, 0.140376, 0.158502, 0.16669, 0.179884, 0.224617, 0.238858, 0.248908, 0.269075, 0.289737, 0.318407, 0.342564, 0.358727, 0.401507, 0.439292, 0.514529, 0.56331");
-            values ( \
-              "1e-22, 0.0726708, 0.0586887, 0.0540769, 0.046503, 0.0478886, 0.0462808, 0.0423289, 0.026238, 0.0245945, 0.0243198, 0.021781, 0.018409, 0.0121159, 0.00870568, 0.00705875, 0.00398969, 0.00239297, 0.000793812, 0.000553576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0315616, 0.036412, 0.0431156, 0.0486415, 0.0553705, 0.0650139, 0.0817319, 0.11811, 0.170475, 0.186716, 0.201135, 0.240185, 0.268657, 0.27889, 0.289714, 0.292178, 0.306962, 0.334114, 0.384043, 0.418555, 0.449373, 0.501633, 0.53912, 0.589104, 0.673692, 0.842867");
-            values ( \
-              "0.08364, 0.11041, 0.100609, 0.0957721, 0.0924, 0.089781, 0.0865796, 0.0813946, 0.0712079, 0.073068, 0.0707362, 0.0570139, 0.0431266, 0.0393477, 0.0369035, 0.037201, 0.0364953, 0.0324219, 0.0202073, 0.0138453, 0.00992844, 0.00558587, 0.0036629, 0.00231127, 0.000642956, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0343899, 0.0344099, 0.0958233, 0.142647, 0.179217, 0.208752, 0.226916, 0.246797, 0.266245, 0.2961, 0.323561, 0.338446, 0.350146, 0.375839, 0.410312, 0.449502, 0.507017, 0.555873, 0.581392, 0.608086, 0.638648, 0.681454, 0.734196, 0.804519, 0.889107, 1.05828, 1.14287");
-            values ( \
-              "1e-22, 0.14178, 0.117943, 0.112164, 0.10685, 0.101323, 0.0995507, 0.100446, 0.0961066, 0.0878209, 0.0766887, 0.0694196, 0.065948, 0.0610275, 0.0511255, 0.043133, 0.0300287, 0.0209464, 0.0172581, 0.0140552, 0.0111799, 0.00787704, 0.00516059, 0.0029868, 0.00139203, 0.000298212, 0.000231861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.0345074, 0.0345274, 0.116648, 0.204264, 0.266653, 0.295939, 0.346194, 0.372695, 0.393823, 0.408979, 0.474433, 0.495995, 0.531443, 0.631852, 0.664534, 0.727288, 0.794606, 0.881288, 0.940163, 1.04219, 1.16385, 1.33302, 1.5022, 1.67137");
-            values ( \
-              "1e-22, 0.166192, 0.146266, 0.138515, 0.131669, 0.127752, 0.124828, 0.121624, 0.118068, 0.114921, 0.0960718, 0.0934785, 0.0863875, 0.0598415, 0.0526895, 0.0412199, 0.030676, 0.0202323, 0.0150528, 0.0088558, 0.00464291, 0.00182253, 0.000675953, 0.00021286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0375388, 0.0375588, 0.185315, 0.369513, 0.474832, 0.521284, 0.591734, 0.692025, 0.753957, 1.10569, 1.28672, 1.40963, 1.63367, 1.81826, 2.15661, 2.1615");
-            values ( \
-              "1e-22, 0.188885, 0.165803, 0.154966, 0.146616, 0.145334, 0.139333, 0.123094, 0.1184, 0.0559422, 0.0353206, 0.0249475, 0.0126537, 0.00707485, 0.00235786, 0.00233892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0425602, 0.060124, 0.0645315, 0.0696949, 0.0753572, 0.0817196, 0.120579, 0.140302, 0.142802, 0.147803, 0.15623, 0.165898, 0.205294, 0.209965, 0.215275, 0.219384, 0.231414, 0.238496, 0.273639, 0.293433, 0.310781, 0.324801, 0.34562, 0.381393, 0.385655, 0.39418, 0.44311, 0.499806, 0.573485, 0.658073");
-            values ( \
-              "0.00881443, 0.0443644, 0.0413186, 0.0389022, 0.0372399, 0.0360554, 0.0314161, 0.0280904, 0.0279619, 0.0279954, 0.0289995, 0.0279321, 0.0181565, 0.0186058, 0.0180509, 0.0178602, 0.0154305, 0.0144371, 0.0108666, 0.00774789, 0.00589534, 0.00481016, 0.00355737, 0.00206902, 0.0020342, 0.00169206, 0.000755691, 0.000360543, 3.28993e-05, 6.92394e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0509768, 0.0509968, 0.0788829, 0.0883752, 0.128312, 0.158958, 0.171964, 0.185392, 0.198501, 0.223363, 0.235194, 0.242683, 0.257442, 0.267793, 0.277575, 0.288108, 0.308613, 0.315013, 0.337339, 0.361378, 0.376936, 0.394599, 0.418894, 0.443824, 0.490653, 0.553091, 0.632266, 0.716854");
-            values ( \
-              "1e-22, 0.0773332, 0.0603744, 0.058259, 0.0524451, 0.0463571, 0.0476547, 0.046823, 0.042067, 0.0339739, 0.0286168, 0.0263801, 0.0246478, 0.0242377, 0.0231619, 0.0216402, 0.0182845, 0.0166849, 0.0121191, 0.00874329, 0.00716084, 0.00571948, 0.00412315, 0.00295412, 0.00154414, 0.000635606, 0.000171868, 6.46473e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0531321, 0.0531521, 0.0913057, 0.151642, 0.189333, 0.204664, 0.219708, 0.252246, 0.291935, 0.303839, 0.335953, 0.364378, 0.409841, 0.447189, 0.470192, 0.521384, 0.60295, 0.677937, 0.681114");
-            values ( \
-              "1e-22, 0.115581, 0.0883249, 0.0788394, 0.0711184, 0.0730652, 0.0709346, 0.0596446, 0.0413516, 0.0375665, 0.0354456, 0.0301014, 0.0188895, 0.0125822, 0.00982929, 0.00563222, 0.00235656, 0.000894375, 0.000878877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0539899, 0.0540099, 0.110048, 0.161684, 0.195891, 0.241855, 0.253944, 0.268608, 0.308279, 0.321165, 0.342598, 0.355076, 0.366856, 0.39329, 0.427631, 0.443276, 0.461697, 0.532375, 0.588113, 0.626049, 0.65589, 0.699757, 0.754213, 0.826821, 0.911409, 1.08058, 1.16517");
-            values ( \
-              "1e-22, 0.145655, 0.118629, 0.112202, 0.107233, 0.099184, 0.100445, 0.0998467, 0.0900762, 0.0857241, 0.0767243, 0.0704943, 0.0664387, 0.0613842, 0.0517513, 0.0479686, 0.0445991, 0.0286618, 0.0189028, 0.0141167, 0.0113759, 0.00786961, 0.00506797, 0.00295031, 0.00130405, 0.000241377, 0.000273828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0557011, 0.0557211, 0.138591, 0.223282, 0.314957, 0.365132, 0.391597, 0.427996, 0.493486, 0.514945, 0.550366, 0.68454, 0.811932, 0.885172, 0.964114, 1.03788, 1.1736, 1.34278, 1.37951");
-            values ( \
-              "1e-22, 0.173958, 0.145984, 0.138527, 0.127751, 0.124835, 0.121642, 0.114922, 0.0960716, 0.0934931, 0.0864133, 0.0525066, 0.0309157, 0.0217969, 0.0146771, 0.0102202, 0.004883, 0.00191965, 0.00173592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0607339, 0.0607539, 0.187787, 0.338631, 0.494143, 0.540615, 0.611128, 0.710806, 0.7729, 1.125, 1.306, 1.43834, 1.6528, 1.83779, 2.17614, 2.19552");
-            values ( \
-              "1e-22, 0.201785, 0.166689, 0.158286, 0.146576, 0.145372, 0.139365, 0.123183, 0.11841, 0.0559815, 0.0353605, 0.0242451, 0.0126252, 0.00703442, 0.00232057, 0.0022455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0689557, 0.107452, 0.112483, 0.114912, 0.118277, 0.121085, 0.124766, 0.129559, 0.135999, 0.143167, 0.156195, 0.163146, 0.177048, 0.185355, 0.194371, 0.201506, 0.208402, 0.219725, 0.232162, 0.235001, 0.240679, 0.246725, 0.251045, 0.254767, 0.258598, 0.263927, 0.270497, 0.277436, 0.28616, 0.294775, 0.304005, 0.311591, 0.319033, 0.320983, 0.324883, 0.331721, 0.338858, 0.347619, 0.355722, 0.367585, 0.380594, 0.396377, 0.417915, 0.428614, 0.450013, 0.490907, 0.549842, 0.624392");
-            values ( \
-              "0.0014986, 0.0325566, 0.0356604, 0.0394785, 0.0405676, 0.03908, 0.0378895, 0.0363093, 0.0350918, 0.0340528, 0.0324905, 0.0314082, 0.0289246, 0.0279352, 0.0283889, 0.0288971, 0.0279786, 0.025255, 0.0220664, 0.0210954, 0.0199763, 0.0180824, 0.0187154, 0.0181689, 0.0182743, 0.0173388, 0.0164379, 0.0146728, 0.0140529, 0.0129039, 0.0122125, 0.0111437, 0.0105662, 0.00980976, 0.00960438, 0.00821633, 0.00759215, 0.00633819, 0.0058681, 0.00471943, 0.00413284, 0.00308961, 0.00242287, 0.00187334, 0.00151148, 0.000638782, 0.000362162, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0691104, 0.111539, 0.112594, 0.113703, 0.115299, 0.116602, 0.11921, 0.126478, 0.131284, 0.13885, 0.149202, 0.160612, 0.176197, 0.192595, 0.20163, 0.2197, 0.227929, 0.241119, 0.25572, 0.262152, 0.266403, 0.270093, 0.278353, 0.286084, 0.292613, 0.300097, 0.30998, 0.31968, 0.330116, 0.340701, 0.350845, 0.357646, 0.367823, 0.379524, 0.403695, 0.409878, 0.422243, 0.440768, 0.466361, 0.476106, 0.478853, 0.484346, 0.495331, 0.515165, 0.55395, 0.617596, 0.702183");
-            values ( \
-              "0.00178367, 0.0567508, 0.0577502, 0.0624811, 0.0659245, 0.0660353, 0.0653574, 0.0615074, 0.0595938, 0.0575751, 0.0558473, 0.0542639, 0.0514707, 0.0479804, 0.0465002, 0.0479449, 0.0462606, 0.0423497, 0.0370098, 0.0352449, 0.033817, 0.0323776, 0.0284391, 0.026223, 0.0255566, 0.0246227, 0.0243255, 0.0231851, 0.0218057, 0.019889, 0.0184244, 0.0166329, 0.0146436, 0.0121468, 0.00872858, 0.008228, 0.00685727, 0.00552244, 0.00380367, 0.00347199, 0.0032291, 0.00309556, 0.00258199, 0.0020481, 0.00111982, 0.000499189, 7.89442e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0692088, 0.121275, 0.132554, 0.143393, 0.183647, 0.221787, 0.23205, 0.248343, 0.262732, 0.30174, 0.329028, 0.339705, 0.3508, 0.353699, 0.370384, 0.397817, 0.438741, 0.467442, 0.489933, 0.511691, 0.563648, 0.599788, 0.647975, 0.729451, 0.898626");
-            values ( \
-              "0.00633866, 0.0969053, 0.0902718, 0.087114, 0.0808219, 0.0729123, 0.071421, 0.0730592, 0.0707353, 0.057022, 0.0437271, 0.0395623, 0.0369638, 0.0372185, 0.036352, 0.0319927, 0.0218049, 0.0159142, 0.0124742, 0.0098703, 0.00557522, 0.00371416, 0.0023706, 0.000715385, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0832483, 0.0978522, 0.112519, 0.117061, 0.119888, 0.134502, 0.141275, 0.153058, 0.204378, 0.235305, 0.264778, 0.287817, 0.293426, 0.299836, 0.312656, 0.329876, 0.358648, 0.385219, 0.400649, 0.412606, 0.424861, 0.43826, 0.453529, 0.472046, 0.529143, 0.558287, 0.587006, 0.616197, 0.65251, 0.701494, 0.760736, 0.794716, 0.862676, 0.947263, 1.03185, 1.20103");
-            values ( \
-              "0.0373942, 0.0846372, 0.116062, 0.132944, 0.132825, 0.123527, 0.121291, 0.11884, 0.112227, 0.107807, 0.102453, 0.0992002, 0.100061, 0.100473, 0.0995405, 0.0955649, 0.0876617, 0.0766247, 0.069334, 0.0656826, 0.0637073, 0.0607673, 0.0568504, 0.0510257, 0.0392046, 0.0323301, 0.0263394, 0.0212613, 0.0160811, 0.0109925, 0.00687242, 0.00530121, 0.00298795, 0.0015258, 0.000703252, 0.000148322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0836242, 0.104846, 0.118949, 0.141245, 0.165554, 0.266642, 0.329025, 0.36081, 0.403735, 0.421258, 0.457583, 0.501235, 0.527799, 0.555924, 0.58962, 0.689156, 0.723871, 0.82329, 0.908997, 0.933947, 0.983847, 1.04873, 1.09492, 1.1417, 1.20408, 1.28867, 1.45784, 1.62702, 1.71161");
-            values ( \
-              "0.037603, 0.121356, 0.163725, 0.151639, 0.147714, 0.13856, 0.131715, 0.127446, 0.125205, 0.123476, 0.117869, 0.106713, 0.0978055, 0.0939766, 0.0874351, 0.0609191, 0.053416, 0.0357209, 0.0239861, 0.0214203, 0.0165511, 0.0120419, 0.00933404, 0.00731028, 0.0054189, 0.00331597, 0.00129272, 0.000473181, 0.000440161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0962665, 0.11955, 0.141006, 0.168097, 0.382679, 0.538191, 0.584661, 0.655295, 0.754026, 0.816365, 1.16904, 1.35, 1.48238, 1.69685, 1.8818, 2.19836");
-            values ( \
-              "0.171495, 0.186418, 0.174599, 0.170797, 0.158284, 0.146578, 0.145371, 0.139356, 0.123292, 0.118461, 0.0560064, 0.0353856, 0.0242281, 0.0126091, 0.00702043, 0.00261009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.147682, 0.169919, 0.19109, 0.204557, 0.211932, 0.224949, 0.230593, 0.241879, 0.249161, 0.25233, 0.254939, 0.259597, 0.2729, 0.275562, 0.278223, 0.280884, 0.283546, 0.285812, 0.290344, 0.29261, 0.296147, 0.299683, 0.301391, 0.306513, 0.317637, 0.323948, 0.333118, 0.338836, 0.340332, 0.343325, 0.344822, 0.349224, 0.353109, 0.356037, 0.359772, 0.362531, 0.368623, 0.375668, 0.402363, 0.411655, 0.417146, 0.429563, 0.438822, 0.445383, 0.453595, 0.465654, 0.475437, 0.48264, 0.494463, 0.526669");
-            values ( \
-              "0.0131405, 0.0138458, 0.0197431, 0.023276, 0.0250903, 0.0280457, 0.0292, 0.0312932, 0.0342475, 0.0340234, 0.0337535, 0.0330797, 0.0291631, 0.0288984, 0.0287048, 0.0285825, 0.0285313, 0.0286953, 0.0288753, 0.0288912, 0.0288176, 0.0286237, 0.0284679, 0.0277946, 0.0252682, 0.0235468, 0.0212385, 0.0194064, 0.019063, 0.0185457, 0.0183718, 0.0183993, 0.0183022, 0.018101, 0.0177095, 0.0173232, 0.0160715, 0.0148161, 0.0120631, 0.0110351, 0.0103173, 0.00835391, 0.00718645, 0.00646179, 0.00572537, 0.0047962, 0.00416523, 0.00375584, 0.00316279, 0.00190568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.138538, 0.157952, 0.189202, 0.211445, 0.227042, 0.248914, 0.251258, 0.263143, 0.268214, 0.273791, 0.284947, 0.299077, 0.312057, 0.325387, 0.338511, 0.367214, 0.371239, 0.383469, 0.389999, 0.397508, 0.407285, 0.416958, 0.427389, 0.437986, 0.448099, 0.455025, 0.465195, 0.476943, 0.488329, 0.501087, 0.507261, 0.519607, 0.538138, 0.563523, 0.573436, 0.576216, 0.581777, 0.592898, 0.61478, 0.658474, 0.727574, 0.812161");
-            values ( \
-              "0.00926164, 0.0163259, 0.0313795, 0.0408592, 0.0468009, 0.0544756, 0.0584928, 0.055134, 0.0532329, 0.0520815, 0.0491313, 0.0465945, 0.0476767, 0.0469328, 0.0419993, 0.0326033, 0.0303678, 0.0260738, 0.0256835, 0.0244953, 0.0244967, 0.0230689, 0.0219858, 0.0197639, 0.0186079, 0.0164712, 0.0148035, 0.0119748, 0.0107303, 0.00856056, 0.00840116, 0.00668603, 0.00569946, 0.00363963, 0.00365378, 0.0030436, 0.00328476, 0.00238332, 0.00218076, 0.000810601, 0.000611254, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.138331, 0.183126, 0.206435, 0.227988, 0.24889, 0.253514, 0.259349, 0.263754, 0.276335, 0.290314, 0.329001, 0.351155, 0.378144, 0.398255, 0.427596, 0.437671, 0.448392, 0.455331, 0.464583, 0.477357, 0.505042, 0.545371, 0.560874, 0.579923, 0.602172, 0.625089, 0.656923, 0.69307, 0.720591, 0.775634, 0.860222, 0.944809");
-            values ( \
-              "0.00995355, 0.0426793, 0.0582774, 0.0715999, 0.0832231, 0.0871759, 0.0874116, 0.08536, 0.0821004, 0.0793864, 0.0712007, 0.0732184, 0.0643664, 0.0570876, 0.0430874, 0.0391371, 0.0370951, 0.0369513, 0.0364474, 0.035069, 0.0297911, 0.0196986, 0.0167236, 0.0135355, 0.0106801, 0.00842332, 0.00590193, 0.00398328, 0.00298186, 0.00155665, 0.000597958, 0.000186162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.156445, 0.191657, 0.253116, 0.25789, 0.280388, 0.313095, 0.367986, 0.38537, 0.404926, 0.449551, 0.50661, 0.532567, 0.583242, 0.695192, 0.725134, 0.770138, 0.840802, 0.890514, 0.956796, 1.04138, 1.21056, 1.29515");
-            values ( \
-              "0.0497827, 0.065514, 0.120629, 0.122115, 0.115234, 0.110861, 0.101196, 0.0995303, 0.100463, 0.0895898, 0.0664276, 0.0614664, 0.0478391, 0.0243576, 0.0192378, 0.0135954, 0.0077552, 0.00518734, 0.00320309, 0.00140825, 0.000256166, 0.000301761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.156393, 0.182376, 0.211571, 0.248737, 0.254546, 0.257599, 0.270409, 0.280331, 0.291505, 0.38887, 0.425082, 0.456617, 0.490261, 0.495951, 0.51546, 0.553132, 0.568097, 0.602329, 0.61739, 0.634019, 0.64013, 0.652351, 0.667932, 0.687432, 0.731657, 0.77756, 0.813349, 0.88969, 0.944015, 0.988712, 1.02253, 1.04351, 1.11795, 1.20932, 1.26196, 1.34655, 1.51572, 1.6849, 1.85407");
-            values ( \
-              "0.0604764, 0.0693127, 0.103817, 0.14429, 0.15335, 0.152886, 0.148456, 0.146481, 0.145111, 0.13599, 0.131574, 0.127331, 0.125466, 0.125395, 0.123648, 0.117967, 0.114711, 0.105208, 0.0997816, 0.0959967, 0.0955716, 0.0938234, 0.0911741, 0.086972, 0.0755554, 0.0629427, 0.0546582, 0.04062, 0.0320181, 0.026112, 0.0220576, 0.0198848, 0.0136604, 0.00850024, 0.00660276, 0.00408486, 0.0016084, 0.000603161, 0.000197337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.17468, 0.233609, 0.257797, 0.291565, 0.499887, 0.63439, 0.679025, 0.749988, 0.848429, 0.910917, 1.26508, 1.44597, 1.57843, 1.7952, 1.97447, 2.31282, 2.32034");
-            values ( \
-              "0.109143, 0.147029, 0.176692, 0.169195, 0.156948, 0.14657, 0.145458, 0.13943, 0.123428, 0.1187, 0.055967, 0.0353516, 0.0242736, 0.0125638, 0.00714113, 0.00237689, 0.00234748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.227573, 0.275487, 0.29542, 0.405842, 0.452785, 0.500831, 0.515082, 0.539475, 0.550448, 0.554814, 0.559326, 0.573853, 0.651791, 0.686117, 0.765798, 0.801281");
-            values ( \
-              "0.00091445, 0.00260527, 0.00399518, 0.0171537, 0.0212299, 0.0230927, 0.0262746, 0.0240401, 0.021186, 0.0221256, 0.019799, 0.0190675, 0.00801389, 0.0048143, 0.00148055, 0.00104121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.230396, 0.275892, 0.300153, 0.318197, 0.369789, 0.417486, 0.455121, 0.489744, 0.516478, 0.534571, 0.550853, 0.555629, 0.561904, 0.576604, 0.581167, 0.593723, 0.60884, 0.618021, 0.618724, 0.620131, 0.622945, 0.627206, 0.6456, 0.66486, 0.697893, 0.736995, 0.781641, 0.793355, 0.796867, 0.803891, 0.817938, 0.881984, 0.911293");
-            values ( \
-              "0.00170459, 0.00446284, 0.00734967, 0.0103082, 0.0218321, 0.0301187, 0.0358883, 0.0394657, 0.0408355, 0.0459047, 0.0439832, 0.044082, 0.0423979, 0.0363889, 0.035867, 0.0293752, 0.025791, 0.0254992, 0.024999, 0.025439, 0.0248395, 0.0249133, 0.0225438, 0.0193753, 0.0120529, 0.00711181, 0.00388122, 0.00360204, 0.00313788, 0.00314688, 0.00232175, 0.000859042, 0.000700771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.299331, 0.351901, 0.37194, 0.38325, 0.436877, 0.447407, 0.457937, 0.478997, 0.518146, 0.520927, 0.529107, 0.536962, 0.5434, 0.549838, 0.550793, 0.556526, 0.56095, 0.565374, 0.573409, 0.58197, 0.60807, 0.618381, 0.62266, 0.635235, 0.641101, 0.648171, 0.653285, 0.659256, 0.662242, 0.665227, 0.673483, 0.681739, 0.690739, 0.697341, 0.708147, 0.715178, 0.725611, 0.735816, 0.749649, 0.765007, 0.773448, 0.78229, 0.794523, 0.804699, 0.82226, 0.831894, 0.841528, 0.855496, 0.869464, 0.890938");
-            values ( \
-              "0.0241608, 0.0271739, 0.0335532, 0.0368046, 0.0515206, 0.0540646, 0.056466, 0.0608409, 0.0675557, 0.0679051, 0.0685356, 0.0692495, 0.0699865, 0.0708502, 0.0725772, 0.0749497, 0.0747272, 0.0742088, 0.0724003, 0.0698127, 0.0609288, 0.0568463, 0.0547087, 0.0491323, 0.0458981, 0.042484, 0.0403439, 0.0386422, 0.0380563, 0.037647, 0.0372085, 0.0366897, 0.0358135, 0.0349402, 0.0331518, 0.0317881, 0.0295106, 0.0269892, 0.0232641, 0.0196737, 0.0179571, 0.0163997, 0.0144019, 0.0128793, 0.0105967, 0.00956348, 0.0086257, 0.00747639, 0.00644594, 0.00509422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.275173, 0.318569, 0.405098, 0.468241, 0.517536, 0.555417, 0.560425, 0.592605, 0.603664, 0.620273, 0.639666, 0.673391, 0.71372, 0.725578, 0.751962, 0.786927, 0.90344, 0.932673, 0.984478, 1.05797, 1.1109, 1.18148, 1.26607, 1.43524, 1.51983");
-            values ( \
-              "0.0181221, 0.0229303, 0.0590413, 0.0821366, 0.0982151, 0.108287, 0.108211, 0.100531, 0.100128, 0.100551, 0.0970675, 0.0879758, 0.0699894, 0.066305, 0.0612495, 0.0512046, 0.0262042, 0.0208651, 0.0140293, 0.00783127, 0.00510432, 0.00303358, 0.00133404, 0.000243194, 0.000285458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.274937, 0.335437, 0.385362, 0.474582, 0.517779, 0.549898, 0.560881, 0.577918, 0.582419, 0.58842, 0.641684, 0.673391, 0.706841, 0.712522, 0.719016, 0.732002, 0.75371, 0.762998, 0.769822, 0.784812, 0.819528, 0.835071, 0.851355, 0.867487, 0.882411, 0.90221, 0.946107, 1.0093, 1.04296, 1.06964, 1.15051, 1.18573, 1.2317, 1.27672, 1.3255, 1.39494, 1.41861, 1.42545, 1.43913, 1.4665, 1.52122, 1.60581, 1.77498, 1.94416, 2.02874");
-            values ( \
-              "0.0181619, 0.0376098, 0.0636885, 0.106888, 0.126029, 0.139323, 0.14169, 0.13998, 0.138853, 0.137986, 0.131684, 0.12723, 0.12555, 0.125414, 0.124955, 0.123656, 0.120596, 0.118827, 0.117953, 0.114658, 0.105022, 0.0993699, 0.0960071, 0.0941098, 0.0915249, 0.087444, 0.0761608, 0.0590432, 0.0522366, 0.0470371, 0.0334763, 0.0286595, 0.0228346, 0.0182775, 0.0144772, 0.00995166, 0.00900019, 0.00849516, 0.00809538, 0.00685438, 0.00530275, 0.00323648, 0.00125906, 0.000458422, 0.00043418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.274825, 0.360964, 0.468322, 0.542038, 0.556415, 0.567066, 0.574876, 0.594771, 0.693897, 0.773962, 0.843642, 0.886783, 0.969027, 1.01124, 1.0628, 1.08125, 1.1252, 1.17727, 1.39568, 1.48027, 1.56163, 1.66139, 1.73559, 1.81237, 1.925, 2.00958, 2.12382, 2.19078, 2.27537, 2.35996, 2.52914, 2.69831, 3.03666");
-            values ( \
-              "0.0154489, 0.0587119, 0.120552, 0.160124, 0.167464, 0.167764, 0.165774, 0.164091, 0.158259, 0.152705, 0.146976, 0.145788, 0.138967, 0.132633, 0.123409, 0.123244, 0.118924, 0.110025, 0.069646, 0.0560055, 0.045923, 0.0353212, 0.0288103, 0.0230248, 0.016437, 0.0126481, 0.00890988, 0.00718223, 0.00553965, 0.00419616, 0.00243933, 0.00141191, 0.000464646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.530706, 0.606771, 0.630175, 0.655139, 0.695213, 0.74194, 0.773251, 0.793948, 0.835342, 0.867459, 0.892846, 0.915985, 0.937629, 0.954069, 0.972063, 0.977296, 0.987763, 0.995307, 1.00084, 1.00627, 1.01075, 1.01578, 1.0219, 1.02943, 1.03762, 1.0456, 1.05517, 1.06545, 1.07432, 1.08167, 1.08832, 1.09644, 1.1027, 1.11097, 1.12078, 1.1328, 1.14542, 1.16229, 1.1659, 1.17311, 1.18755, 1.20622, 1.216, 1.22714, 1.24002, 1.25281, 1.2784, 1.3078, 1.36009, 1.43394");
-            values ( \
-              "0.0019137, 0.00203699, 0.00259321, 0.00338954, 0.00541637, 0.00867608, 0.0105028, 0.0115291, 0.013211, 0.0140959, 0.0142353, 0.0142148, 0.0158409, 0.016812, 0.0166244, 0.0168753, 0.016835, 0.0173428, 0.0166191, 0.0171103, 0.0170597, 0.0180061, 0.0177743, 0.0178922, 0.0161954, 0.0156822, 0.0145505, 0.0140784, 0.012943, 0.0124179, 0.0108938, 0.0102448, 0.00891797, 0.00848318, 0.00704939, 0.00647197, 0.00510353, 0.00443171, 0.0038636, 0.00379191, 0.00282302, 0.00239142, 0.00182304, 0.00225863, 0.00204654, 0.00163924, 0.00110957, 0.000668514, 0.00029438, 6.4062e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.530565, 0.607936, 0.642817, 0.667171, 0.692313, 0.762347, 0.808616, 0.865008, 0.915265, 0.940477, 0.983461, 1.00417, 1.01834, 1.02806, 1.03688, 1.05262, 1.06066, 1.07052, 1.08084, 1.10348, 1.12375, 1.15229, 1.1536, 1.1702, 1.21508, 1.22064, 1.27789, 1.31659, 1.36081, 1.41636");
-            values ( \
-              "0.00334149, 0.00360182, 0.00512118, 0.00662439, 0.00875293, 0.0163524, 0.0202276, 0.0238115, 0.0254917, 0.0258106, 0.0305151, 0.0301146, 0.0309628, 0.0311902, 0.0302397, 0.0271012, 0.0268192, 0.0258672, 0.0259019, 0.0237327, 0.0205656, 0.0142558, 0.014376, 0.011605, 0.00671436, 0.00737593, 0.00360122, 0.002128, 0.00112787, 0.00056186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.530383, 0.611623, 0.661046, 0.688033, 0.779782, 0.834118, 0.897769, 0.956391, 0.976237, 0.998918, 1.01988, 1.06992, 1.07566, 1.09769, 1.12907, 1.14135, 1.15466, 1.18341, 1.21576, 1.22862, 1.26796, 1.30212, 1.35469, 1.41481, 1.46351, 1.5481, 1.55349");
-            values ( \
-              "0.00538592, 0.00618896, 0.00998733, 0.0130758, 0.0273359, 0.0338922, 0.0400215, 0.0438388, 0.0444839, 0.0465756, 0.050804, 0.0526605, 0.0524799, 0.0482754, 0.0394054, 0.0385657, 0.0384286, 0.0342241, 0.0259484, 0.0246475, 0.0164692, 0.0115325, 0.00654666, 0.00339073, 0.00195101, 0.000711353, 0.000691813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.530543, 0.628896, 0.670035, 0.709935, 0.782394, 0.818296, 0.878331, 0.953592, 1.02124, 1.06067, 1.0791, 1.09937, 1.1287, 1.14326, 1.17608, 1.19691, 1.216, 1.22281, 1.23329, 1.27736, 1.38295, 1.43844, 1.4971, 1.55925, 1.63084, 1.70721, 1.7918, 1.96097");
-            values ( \
-              "0.00690024, 0.0112108, 0.0160894, 0.0229599, 0.0383202, 0.0447009, 0.0539912, 0.0644736, 0.071578, 0.0749173, 0.0789398, 0.0821015, 0.0830877, 0.083213, 0.0765694, 0.0691551, 0.0659032, 0.0662593, 0.0646765, 0.0516752, 0.0278696, 0.0184426, 0.0117238, 0.00717629, 0.00405183, 0.002167, 0.00105866, 0.000254039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.530461, 0.655522, 0.666799, 0.727106, 0.780731, 0.830816, 0.949652, 1.02933, 1.06782, 1.11914, 1.16613, 1.19526, 1.21598, 1.22688, 1.23874, 1.26222, 1.30767, 1.32499, 1.35351, 1.38797, 1.50367, 1.57122, 1.63728, 1.72138, 1.76052, 1.81066, 1.88118, 1.92762, 2.01364, 2.09823, 2.18282, 2.35199, 2.52117");
-            values ( \
-              "0.0074321, 0.0195385, 0.0213882, 0.033985, 0.0477038, 0.0590744, 0.0826966, 0.0972694, 0.103605, 0.110548, 0.115926, 0.121214, 0.122936, 0.123113, 0.121719, 0.117308, 0.104808, 0.0987679, 0.0946722, 0.0879902, 0.0575783, 0.0445768, 0.033684, 0.0226909, 0.0187411, 0.0145574, 0.0101332, 0.00794423, 0.00505601, 0.00327136, 0.0020349, 0.000804948, 0.000307356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.607921, 0.721027, 0.845901, 0.990955, 1.05837, 1.12777, 1.17618, 1.21559, 1.23665, 1.25854, 1.281, 1.3205, 1.36631, 1.44862, 1.50072, 1.54734, 1.56513, 1.60989, 1.66155, 1.7949, 1.87949, 1.96407, 2.02785, 2.04543, 2.1452, 2.26801, 2.33372, 2.40883, 2.49342, 2.57414, 2.6745, 2.75908, 2.84367, 3.01285, 3.18202, 3.3512, 3.52037");
-            values ( \
-              "0.0344059, 0.0390616, 0.0724442, 0.107297, 0.122756, 0.137769, 0.1475, 0.154641, 0.154874, 0.153041, 0.150653, 0.147651, 0.145722, 0.139366, 0.131714, 0.123604, 0.123132, 0.118685, 0.110028, 0.084735, 0.0696967, 0.0559586, 0.0483711, 0.0458934, 0.0352994, 0.0249306, 0.0205682, 0.0164431, 0.0126388, 0.00982797, 0.00716844, 0.00555796, 0.00418018, 0.00242162, 0.00139277, 0.000792698, 0.000443245" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00998812, 0.0100089, 0.0100345, 0.0100599, 0.0100807, 0.010095", \
-            "0.0123183, 0.0123382, 0.0123657, 0.0123974, 0.0124269, 0.0124494", \
-            "0.0137459, 0.0137569, 0.0137748, 0.0137991, 0.013826, 0.0138495", \
-            "0.0145684, 0.0145649, 0.0145628, 0.0145647, 0.0145715, 0.0145814", \
-            "0.0150348, 0.0150175, 0.014994, 0.014967, 0.0149436, 0.0149287", \
-            "0.0153101, 0.0152917, 0.015264, 0.0152255, 0.015178, 0.015132" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0146074, 0.0146095, 0.0146184, 0.0146338, 0.0146508, 0.0146647", \
-            "0.0153349, 0.0153027, 0.0152642, 0.0152267, 0.0151967, 0.0151759", \
-            "0.0147825, 0.0147259, 0.0146553, 0.0145789, 0.0144978, 0.0144398", \
-            "0.0143823, 0.0143058, 0.0142049, 0.0140901, 0.0139836, 0.0138893", \
-            "0.0147083, 0.0145194, 0.0143045, 0.0140878, 0.0138897, 0.0137366", \
-            "0.015051, 0.0150509, 0.0152672, 0.0147037, 0.0142123, 0.0138826" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.024896, 0.0270463, 0.0285572, 0.0303758, 0.0327527, 0.0364517, 0.0423414, 0.0490594, 0.0679561, 0.0748698, 0.0876608, 0.100316, 0.1126, 0.114316, 0.13232, 0.139524, 0.162914, 0.165132, 0.169568, 0.184463, 0.191444, 0.198376, 0.200493, 0.204728, 0.210743, 0.217419, 0.222962, 0.228897, 0.235716, 0.241382, 0.248536, 0.256029, 0.263236, 0.271154, 0.281522, 0.294784, 0.316025, 0.344402, 0.380111, 0.42, 0.460933");
-            values ( \
-              "-0.0588895, -0.0630895, -0.0588312, -0.0561757, -0.0539653, -0.0525972, -0.0518967, -0.0516555, -0.050296, -0.0502557, -0.0522049, -0.0514984, -0.0431215, -0.0431917, -0.0297211, -0.0249912, -0.011958, -0.0113314, -0.0105195, -0.00919056, -0.00822351, -0.00772102, -0.00687073, -0.0068594, -0.00543164, -0.00508569, -0.00385808, -0.0035167, -0.00202494, -0.00181035, -0.000934965, -0.00112461, -0.000668656, -0.00101827, -0.000656394, -0.00100331, -0.000615434, -0.000835861, -0.000310841, -0.000465543, -5.85949e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0068944");
-            index_3 ("0.0249656, 0.0279693, 0.0327171, 0.0376281, 0.0415786, 0.08316, 0.0979684, 0.112671, 0.127361, 0.128839, 0.149394, 0.166549, 0.196164, 0.210659, 0.217805, 0.226468, 0.22855, 0.232714, 0.237461, 0.246184, 0.252954, 0.260976, 0.277113, 0.285341, 0.294618, 0.299514, 0.309305, 0.320992, 0.338269, 0.359037, 0.392039, 0.432634, 0.47747");
-            values ( \
-              "-0.0655571, -0.0999441, -0.0898032, -0.0867225, -0.0858969, -0.0823967, -0.085248, -0.0837466, -0.0709637, -0.0708942, -0.0496365, -0.0346522, -0.0154993, -0.0121072, -0.0117405, -0.0100886, -0.0103885, -0.00923632, -0.00901223, -0.00710085, -0.00656418, -0.00503241, -0.00300317, -0.00287548, -0.00214627, -0.00242995, -0.00189402, -0.00212037, -0.00154927, -0.00165625, -0.000899852, -0.000856742, -0.000224048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0130573");
-            index_3 ("0.0261653, 0.0261853, 0.0304195, 0.0343173, 0.0379282, 0.0431793, 0.0661255, 0.0871461, 0.0983401, 0.116952, 0.135567, 0.154169, 0.15596, 0.176625, 0.192111, 0.208786, 0.224614, 0.246673, 0.255695, 0.268874, 0.277875, 0.288556, 0.315931, 0.339632, 0.364661, 0.395145, 0.442759, 0.53261, 0.655737");
-            values ( \
-              "-1e-22, -0.159878, -0.142352, -0.135401, -0.132471, -0.130852, -0.128723, -0.125722, -0.124951, -0.12771, -0.125037, -0.107115, -0.106666, -0.081507, -0.06433, -0.0491416, -0.0374722, -0.0231588, -0.0178879, -0.0136738, -0.0119288, -0.0106183, -0.00852589, -0.00631166, -0.00487104, -0.00366015, -0.00221215, -0.000686668, -7.70359e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0247292");
-            index_3 ("0.0268582, 0.0268782, 0.0338297, 0.042917, 0.126504, 0.152341, 0.178091, 0.194281, 0.249494, 0.277189, 0.319752, 0.337688, 0.360938, 0.391169, 0.428759, 0.544971, 0.625887, 0.634385");
-            values ( \
-              "-1e-22, -0.208903, -0.188075, -0.181649, -0.171851, -0.173468, -0.168439, -0.155908, -0.0959985, -0.070682, -0.040287, -0.0298594, -0.0202515, -0.0148713, -0.0116627, -0.00465121, -0.00200571, -0.00192523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0468346");
-            index_3 ("0.027272, 0.027292, 0.0335491, 0.0386361, 0.0443049, 0.0574417, 0.097801, 0.17225, 0.19124, 0.218012, 0.256699, 0.278868, 0.297988, 0.358453, 0.438689, 0.449943, 0.467886, 0.491017, 0.553912, 0.571279, 0.595183, 0.625728, 0.658167, 0.722536, 0.813226, 0.873212, 0.938487");
-            values ( \
-              "-1e-22, -0.250534, -0.235339, -0.230249, -0.227735, -0.226129, -0.223399, -0.214748, -0.214018, -0.214354, -0.205635, -0.193037, -0.178247, -0.126353, -0.0673422, -0.0611855, -0.0533976, -0.045314, -0.0278375, -0.0238797, -0.0195249, -0.0157524, -0.0128363, -0.0087337, -0.00484284, -0.00319017, -0.00216216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0887001");
-            index_3 ("0.0277867, 0.0278067, 0.0365458, 0.0395803, 0.0510008, 0.149981, 0.276715, 0.341782, 0.374948, 0.410285, 0.432681, 0.456067, 0.568598, 0.619528, 0.640329, 0.667827, 0.729219, 0.771403, 0.821249, 0.862433, 0.93228, 0.993843, 1.05125, 1.11795, 1.24107, 1.3642, 1.48733, 1.54045");
-            values ( \
-              "-1e-22, -0.279787, -0.267446, -0.265323, -0.262689, -0.257315, -0.247079, -0.244027, -0.239889, -0.230987, -0.222474, -0.211403, -0.148491, -0.117269, -0.106796, -0.0956866, -0.0749252, -0.062493, -0.0497729, -0.0405977, -0.0278476, -0.0199018, -0.0152464, -0.0113534, -0.0067506, -0.00396497, -0.00227683, -0.00197713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.038312, 0.0474246, 0.0509347, 0.0517961, 0.0529057, 0.0552307, 0.0570141, 0.0599339, 0.0634708, 0.0676239, 0.0734718, 0.0804103, 0.0932539, 0.0981603, 0.106224, 0.112522, 0.118772, 0.129127, 0.132763, 0.154364, 0.182254, 0.18348, 0.185932, 0.198072, 0.206603, 0.212085, 0.219145, 0.227101, 0.235564, 0.241592, 0.247333, 0.254149, 0.259768, 0.266893, 0.274353, 0.281462, 0.289303, 0.299452, 0.312763, 0.333463, 0.362821, 0.397687, 0.437129, 0.458165");
-            values ( \
-              "-0.00492817, -0.0465233, -0.051713, -0.057577, -0.0599179, -0.0557564, -0.0541899, -0.0527798, -0.0521916, -0.0517203, -0.0513606, -0.0507278, -0.0501196, -0.0507518, -0.0524966, -0.0527726, -0.0508989, -0.0448229, -0.0430944, -0.027267, -0.0116168, -0.0114954, -0.010745, -0.00951049, -0.00881797, -0.00800547, -0.00730911, -0.00584231, -0.00503898, -0.00392059, -0.00347255, -0.00208787, -0.00178262, -0.000972966, -0.00109575, -0.000693377, -0.000992639, -0.000676591, -0.000981213, -0.000638823, -0.000816321, -0.000335611, -0.000451348, -0.000251373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0068944");
-            index_3 ("0.0384702, 0.0503143, 0.0509461, 0.052065, 0.0530859, 0.0543626, 0.0575964, 0.0607431, 0.0644677, 0.0694528, 0.0719688, 0.0770007, 0.0866998, 0.101676, 0.10799, 0.116526, 0.120127, 0.125552, 0.131077, 0.142126, 0.14721, 0.15574, 0.171676, 0.179426, 0.187937, 0.199628, 0.210776, 0.218962, 0.225811, 0.232702, 0.239145, 0.246513, 0.247119, 0.248332, 0.266318, 0.289809, 0.29822, 0.305821, 0.314774, 0.325101, 0.371854, 0.399129, 0.482257, 0.596107");
-            values ( \
-              "-0.0132854, -0.0829625, -0.0843293, -0.0969626, -0.0969021, -0.093731, -0.0888328, -0.0870964, -0.0858957, -0.0854618, -0.0850092, -0.084735, -0.0834475, -0.0823178, -0.0833208, -0.0855194, -0.0860419, -0.0854202, -0.0830902, -0.0745109, -0.0711503, -0.0620538, -0.0460074, -0.039262, -0.0325893, -0.0252514, -0.0175867, -0.0141311, -0.0124654, -0.0122005, -0.0108115, -0.0104515, -0.00997632, -0.00994339, -0.00708316, -0.00377957, -0.00300529, -0.00259576, -0.00229056, -0.00209412, -0.00157559, -0.00118168, -0.000425718, -6.10803e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0130573");
-            index_3 ("0.0386501, 0.0520602, 0.0533273, 0.0574264, 0.0595888, 0.0619085, 0.0659012, 0.071427, 0.0799432, 0.0983017, 0.116745, 0.124682, 0.135657, 0.141221, 0.15235, 0.157542, 0.164465, 0.174394, 0.193714, 0.202294, 0.214603, 0.225303, 0.24234, 0.253541, 0.269202, 0.275382, 0.281989, 0.28726, 0.296516, 0.302471, 0.310179, 0.338352, 0.349317, 0.361366, 0.377889, 0.41111, 0.458612, 0.494232, 0.54567, 0.605117, 0.666681");
-            values ( \
-              "-0.0115606, -0.138198, -0.144412, -0.13612, -0.133848, -0.132287, -0.131004, -0.130056, -0.12929, -0.126855, -0.124653, -0.125702, -0.128248, -0.128719, -0.125251, -0.12174, -0.115855, -0.106602, -0.0831204, -0.0732529, -0.0603614, -0.0507667, -0.0379804, -0.0305226, -0.0206321, -0.0176277, -0.0152984, -0.0138597, -0.0119961, -0.0111945, -0.0104226, -0.00823502, -0.00708196, -0.00615325, -0.00516858, -0.00380331, -0.00233037, -0.00156486, -0.000790017, -0.000374695, -0.000137516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0247292");
-            index_3 ("0.0386962, 0.0527649, 0.0539292, 0.0572115, 0.0601118, 0.0636351, 0.0683121, 0.0756292, 0.0941899, 0.114587, 0.129061, 0.145138, 0.17095, 0.196732, 0.212716, 0.22539, 0.258423, 0.268134, 0.281083, 0.305626, 0.338775, 0.353876, 0.373231, 0.386716, 0.394809, 0.405599, 0.457318, 0.474123, 0.522662, 0.576646, 0.615092, 0.667153, 0.728716, 0.790279");
-            values ( \
-              "-0.000149739, -0.194847, -0.194887, -0.188355, -0.184691, -0.182357, -0.180857, -0.179909, -0.178236, -0.17558, -0.173332, -0.171742, -0.173535, -0.168378, -0.156089, -0.143429, -0.106117, -0.0959967, -0.0835699, -0.0628582, -0.0400563, -0.0311548, -0.022434, -0.0184877, -0.0169913, -0.015504, -0.0109678, -0.00971578, -0.00673456, -0.00413617, -0.00279106, -0.00158193, -0.000764287, -0.000376699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0468346");
-            index_3 ("0.0443192, 0.0533362, 0.0624235, 0.0675989, 0.0740541, 0.120311, 0.171072, 0.197474, 0.238604, 0.245547, 0.254804, 0.276836, 0.297942, 0.321005, 0.38495, 0.440943, 0.457966, 0.468721, 0.486749, 0.509467, 0.559551, 0.590846, 0.615116, 0.645395, 0.677487, 0.740921, 0.780879, 0.830355, 0.95096, 0.981037");
-            values ( \
-              "-0.134334, -0.239966, -0.229887, -0.227718, -0.226524, -0.223025, -0.217041, -0.214366, -0.214136, -0.213717, -0.212145, -0.204615, -0.192679, -0.174567, -0.120123, -0.0784596, -0.0670432, -0.0611894, -0.0533282, -0.0454065, -0.0312141, -0.0237142, -0.0193604, -0.015663, -0.0128013, -0.00876063, -0.00686384, -0.00490403, -0.00201422, -0.00176595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0887001");
-            index_3 ("0.0453211, 0.0547935, 0.0617487, 0.0710879, 0.0874422, 0.134949, 0.168734, 0.295471, 0.354782, 0.360536, 0.372044, 0.39506, 0.428971, 0.450412, 0.474271, 0.586387, 0.646478, 0.669455, 0.73178, 0.781083, 0.821771, 0.876554, 0.924447, 0.976461, 1.03084, 1.09485, 1.18195, 1.30508, 1.42821, 1.6129, 1.79759");
-            values ( \
-              "-0.189888, -0.27368, -0.266274, -0.263007, -0.261624, -0.259348, -0.257204, -0.247075, -0.243944, -0.244029, -0.242928, -0.239627, -0.231, -0.222911, -0.21168, -0.149036, -0.112854, -0.102357, -0.0800622, -0.0650438, -0.0541952, -0.041615, -0.0322904, -0.0241395, -0.0182641, -0.0136227, -0.00936685, -0.00556201, -0.00323999, -0.00139586, -0.00057265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0661943, 0.0848457, 0.103614, 0.112577, 0.114602, 0.117161, 0.119365, 0.125593, 0.130066, 0.134631, 0.143761, 0.147441, 0.153739, 0.159988, 0.170322, 0.173978, 0.195569, 0.223452, 0.224691, 0.227169, 0.239324, 0.247819, 0.2533, 0.260356, 0.268281, 0.276686, 0.282684, 0.288379, 0.295234, 0.30081, 0.306152, 0.313848, 0.318105, 0.325794, 0.334383, 0.346178, 0.362215, 0.38524, 0.417997, 0.454031, 0.496003, 0.54458, 0.604405");
-            values ( \
-              "-0.0037602, -0.0313951, -0.0453738, -0.0513314, -0.0539662, -0.0536213, -0.0518549, -0.0507518, -0.050384, -0.0503488, -0.0515052, -0.0525843, -0.0527059, -0.0509572, -0.0447875, -0.0431327, -0.0272782, -0.0116195, -0.0115014, -0.0107367, -0.00950357, -0.00882134, -0.00800387, -0.00731029, -0.00584653, -0.00505118, -0.00393553, -0.0034956, -0.00210556, -0.00179879, -0.00107627, -0.00112833, -0.000740104, -0.000997798, -0.000683232, -0.000978189, -0.000675803, -0.00089398, -0.000453806, -0.000548183, -0.000102757, -0.000260084, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0068944");
-            index_3 ("0.0721339, 0.0794212, 0.0930969, 0.112543, 0.113535, 0.120605, 0.124211, 0.127937, 0.13525, 0.142893, 0.157695, 0.172398, 0.187087, 0.191521, 0.209119, 0.216908, 0.226466, 0.239631, 0.2434, 0.244262, 0.245986, 0.249433, 0.254725, 0.262437, 0.269028, 0.275945, 0.28375, 0.288081, 0.294288, 0.29928, 0.308787, 0.315664, 0.324494, 0.33194, 0.340236, 0.351981, 0.358628, 0.368764, 0.379958, 0.394901, 0.415521, 0.443152, 0.483183, 0.527375, 0.54788");
-            values ( \
-              "-0.0251185, -0.0430907, -0.0617575, -0.0843682, -0.0905928, -0.0854536, -0.0847934, -0.0836096, -0.0831131, -0.0822617, -0.085457, -0.0835373, -0.0711893, -0.0685169, -0.0492642, -0.0430826, -0.0341203, -0.0265569, -0.0228264, -0.023493, -0.0209883, -0.0199378, -0.0155701, -0.0141683, -0.011699, -0.012523, -0.00982343, -0.0110029, -0.00835289, -0.00926097, -0.00606231, -0.00675561, -0.00388278, -0.00447901, -0.00216617, -0.00318003, -0.00147148, -0.00285341, -0.00117999, -0.00253062, -0.000756466, -0.00193519, -1.72081e-06, -0.00118769, -0.000729371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0130573");
-            index_3 ("0.0721541, 0.081904, 0.0976894, 0.112578, 0.114736, 0.12127, 0.125602, 0.148764, 0.158252, 0.176861, 0.195473, 0.214078, 0.215869, 0.241694, 0.252408, 0.268823, 0.284524, 0.314835, 0.327943, 0.337661, 0.354078, 0.367508, 0.403782, 0.453442, 0.499976, 0.58606, 0.707286");
-            values ( \
-              "-0.026429, -0.0701241, -0.101625, -0.127775, -0.13613, -0.130858, -0.129504, -0.125554, -0.124993, -0.127708, -0.125039, -0.10712, -0.106642, -0.0755733, -0.0639672, -0.0490089, -0.0374371, -0.0182096, -0.013849, -0.0119032, -0.010037, -0.00938048, -0.00593742, -0.00365374, -0.00221887, -0.000692164, -3.26928e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0247292");
-            index_3 ("0.0723256, 0.0856968, 0.116039, 0.129426, 0.186318, 0.212529, 0.238235, 0.25689, 0.309659, 0.347138, 0.395115, 0.428148, 0.48152, 0.585614, 0.659638");
-            values ( \
-              "-0.0271292, -0.106272, -0.186456, -0.179313, -0.171486, -0.174148, -0.168106, -0.153719, -0.0960323, -0.0628942, -0.0313402, -0.0184994, -0.0123483, -0.00558855, -0.00318667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0468346");
-            index_3 ("0.0809159, 0.0966383, 0.11545, 0.11921, 0.122614, 0.134238, 0.161874, 0.191285, 0.217621, 0.239044, 0.278193, 0.279846, 0.285514, 0.293071, 0.305506, 0.318446, 0.338787, 0.36257, 0.407867, 0.426409, 0.485667, 0.494173, 0.505919, 0.518265, 0.534727, 0.561036, 0.605974, 0.633165, 0.658155, 0.688003, 0.722316, 0.745414, 0.782574, 0.821643, 0.871062, 0.929276, 0.990839, 1.0524, 1.17553");
-            values ( \
-              "-0.166117, -0.169568, -0.228845, -0.231377, -0.227478, -0.225382, -0.222994, -0.219765, -0.216466, -0.214429, -0.213885, -0.214114, -0.213864, -0.212823, -0.209573, -0.204457, -0.193281, -0.174604, -0.135421, -0.120231, -0.0761873, -0.0702816, -0.063479, -0.0575486, -0.0509203, -0.0423815, -0.0299581, -0.0235021, -0.0191791, -0.0155135, -0.0125722, -0.0109469, -0.00877924, -0.00686383, -0.00496092, -0.00326018, -0.00207079, -0.00126235, -0.000466248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0887001");
-            index_3 ("0.0811375, 0.0983787, 0.115639, 0.125672, 0.139145, 0.210671, 0.337414, 0.402477, 0.414506, 0.438566, 0.47083, 0.514366, 0.543394, 0.635706, 0.684686, 0.73408, 0.79009, 0.870441, 0.934514, 1.01377, 1.06859, 1.12054, 1.22958, 1.29114, 1.41427, 1.53739, 1.5557");
-            values ( \
-              "-0.170422, -0.200233, -0.267242, -0.262951, -0.261289, -0.257285, -0.247078, -0.244038, -0.24287, -0.239322, -0.231021, -0.212613, -0.197085, -0.144802, -0.114809, -0.0936345, -0.0748626, -0.052525, -0.0382542, -0.0247711, -0.0186299, -0.0146381, -0.00914946, -0.00706397, -0.00415736, -0.00239301, -0.00228428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129343, 0.148346, 0.157704, 0.181179, 0.208619, 0.224927, 0.239168, 0.248897, 0.252001, 0.257972, 0.26326, 0.28707, 0.314424, 0.31647, 0.320562, 0.327176, 0.334737, 0.342162, 0.382854, 0.394447, 0.402762, 0.408887, 0.416294, 0.4243, 0.435589, 0.449827, 0.472257, 0.50284, 0.538513, 0.578781, 0.626352, 0.682572");
-            values ( \
-              "-0.011342, -0.0172799, -0.021046, -0.0293055, -0.0378606, -0.0426316, -0.0494494, -0.0520014, -0.0531565, -0.0473877, -0.045063, -0.0274369, -0.0117163, -0.0115916, -0.0103385, -0.0101574, -0.00904991, -0.00855382, -0.00283983, -0.00136914, -0.00113642, -0.000798656, -0.000989906, -0.00070246, -0.000987161, -0.000677836, -0.00092615, -0.000472293, -0.000601293, -0.000109279, -0.000302745, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0068944");
-            index_3 ("0.133883, 0.150048, 0.15525, 0.160283, 0.171719, 0.184524, 0.193694, 0.201805, 0.211914, 0.216969, 0.225766, 0.234564, 0.238231, 0.241898, 0.245565, 0.247399, 0.249232, 0.250902, 0.254067, 0.25688, 0.260457, 0.264196, 0.269723, 0.273736, 0.280249, 0.289897, 0.299585, 0.305555, 0.307943, 0.311913, 0.315883, 0.31905, 0.325385, 0.335583, 0.338114, 0.340284, 0.343177, 0.346725, 0.348827, 0.350928, 0.353029, 0.379619, 0.388361, 0.398296, 0.405162, 0.421137, 0.42694, 0.431639, 0.439931, 0.448747");
-            values ( \
-              "-0.0278462, -0.0291482, -0.0327584, -0.0358382, -0.0426747, -0.0500359, -0.0549998, -0.0591586, -0.0640157, -0.0663115, -0.0711734, -0.0764202, -0.0791755, -0.0821545, -0.0842116, -0.0850376, -0.0857287, -0.0880084, -0.0871998, -0.0863956, -0.0850669, -0.0830062, -0.0791131, -0.0759504, -0.0703264, -0.0608817, -0.0509443, -0.0450858, -0.0427889, -0.0394732, -0.036356, -0.0341509, -0.0298413, -0.0231198, -0.0210402, -0.0194563, -0.0176253, -0.0157679, -0.0148694, -0.0141209, -0.0135223, -0.0101308, -0.00883209, -0.00719633, -0.00625093, -0.00389557, -0.00327053, -0.00292943, -0.00252097, -0.00226645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0130573");
-            index_3 ("0.129371, 0.155113, 0.17091, 0.195199, 0.20726, 0.230062, 0.248662, 0.249709, 0.251804, 0.255854, 0.259097, 0.26818, 0.277225, 0.286602, 0.306994, 0.337725, 0.355809, 0.374349, 0.375648, 0.378247, 0.383445, 0.390245, 0.407808, 0.414869, 0.420406, 0.428156, 0.429067, 0.430889, 0.434534, 0.440429, 0.451954, 0.466568, 0.477723, 0.489505, 0.500612, 0.513404, 0.516836, 0.523698, 0.537423, 0.553457, 0.578022, 0.607852, 0.652006, 0.705832, 0.767395, 0.828958");
-            values ( \
-              "-0.0198704, -0.0495112, -0.064371, -0.0851709, -0.0947051, -0.111584, -0.124027, -0.127667, -0.127889, -0.126802, -0.12696, -0.128554, -0.128621, -0.12399, -0.106579, -0.0698327, -0.0522254, -0.0380927, -0.0379275, -0.0353817, -0.0325795, -0.0275583, -0.0172132, -0.0155731, -0.013345, -0.0125309, -0.0116997, -0.0120557, -0.0109738, -0.0109355, -0.0093901, -0.00894236, -0.00717745, -0.006766, -0.00541612, -0.00533596, -0.00460446, -0.00486498, -0.00376825, -0.00374683, -0.00242813, -0.00221302, -0.000857939, -0.000830221, -1e-22, -0.000377202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0247292");
-            index_3 ("0.140424, 0.163801, 0.212613, 0.248786, 0.252581, 0.260171, 0.26848, 0.275182, 0.284167, 0.304036, 0.323887, 0.329901, 0.34193, 0.358329, 0.399463, 0.442367, 0.479218, 0.495429, 0.519497, 0.556335, 0.589098, 0.685484, 0.759322, 0.874434, 0.935997");
-            values ( \
-              "-0.0710023, -0.0793984, -0.137064, -0.173572, -0.179894, -0.172796, -0.173728, -0.171707, -0.172, -0.174273, -0.170829, -0.167238, -0.159121, -0.143465, -0.0975202, -0.0597359, -0.0352326, -0.026712, -0.0181811, -0.0135855, -0.0107651, -0.00491554, -0.00220228, -0.000383495, -0.000601201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0468346");
-            index_3 ("0.142963, 0.17077, 0.207472, 0.248802, 0.25367, 0.261174, 0.268098, 0.274814, 0.283222, 0.291735, 0.326934, 0.353505, 0.370126, 0.403367, 0.423937, 0.44403, 0.52942, 0.576901, 0.597225, 0.610673, 0.654148, 0.697684, 0.746265, 0.808896, 0.873416, 0.960776, 1.08036, 1.14192, 1.20348, 1.26505");
-            values ( \
-              "-0.0890323, -0.109626, -0.164575, -0.220104, -0.228621, -0.220771, -0.222762, -0.220242, -0.220025, -0.218441, -0.21463, -0.214134, -0.214477, -0.207769, -0.197677, -0.182984, -0.111377, -0.0763187, -0.0634934, -0.0570367, -0.0417635, -0.0297352, -0.019474, -0.0127312, -0.00859283, -0.00479303, -0.0018677, -0.00152314, -0.000567966, -0.000714805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0887001");
-            index_3 ("0.144257, 0.172099, 0.202861, 0.240354, 0.253458, 0.259815, 0.265179, 0.275907, 0.285268, 0.302222, 0.364985, 0.426549, 0.433876, 0.493958, 0.526669, 0.562739, 0.587422, 0.609673, 0.635001, 0.726125, 0.76678, 0.785508, 0.810294, 0.839795, 0.881184, 0.920595, 0.960099, 1.02612, 1.05473, 1.10733, 1.16056, 1.21258, 1.25907, 1.32063, 1.3822, 1.44376, 1.50532, 1.62845, 1.75158, 1.93626");
-            values ( \
-              "-0.101055, -0.128329, -0.182518, -0.243021, -0.261025, -0.261509, -0.259491, -0.259206, -0.258198, -0.257334, -0.252239, -0.246916, -0.246744, -0.244017, -0.240077, -0.23096, -0.22142, -0.210742, -0.197091, -0.145497, -0.120154, -0.110117, -0.0994275, -0.0886257, -0.0750141, -0.0633598, -0.0530056, -0.0382615, -0.0328685, -0.0245095, -0.0186055, -0.0146121, -0.0119248, -0.00916575, -0.0070884, -0.00543984, -0.00417518, -0.00240681, -0.00136296, -0.000558359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243064, 0.272054, 0.309359, 0.332904, 0.345984, 0.390865, 0.399846, 0.417807, 0.421186, 0.427942, 0.439623, 0.448697, 0.457386, 0.476507, 0.49687, 0.503049, 0.509896, 0.514808, 0.523286, 0.531683, 0.538722, 0.546058, 0.550167, 0.552361, 0.56084, 0.574076, 0.579746, 0.586388, 0.60117, 0.612179, 0.621532, 0.635253, 0.654085, 0.685253, 0.719426, 0.759081, 0.787738");
-            values ( \
-              "-0.00693133, -0.00827489, -0.0150429, -0.0187461, -0.0206594, -0.0267307, -0.0282073, -0.0323604, -0.0338629, -0.0352499, -0.0370191, -0.0371117, -0.0364022, -0.0276064, -0.0176285, -0.0139246, -0.0119584, -0.0111861, -0.0104688, -0.00923165, -0.00868365, -0.00708278, -0.00672422, -0.00756369, -0.0058093, -0.00345136, -0.00257024, -0.00184436, -0.00121549, -0.00115007, -0.00100207, -0.00102126, -0.000837542, -0.000751637, -0.00045736, -0.000358483, -0.000207263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0068944");
-            index_3 ("0.242925, 0.26397, 0.275184, 0.288751, 0.316143, 0.38657, 0.413349, 0.43644, 0.456605, 0.475536, 0.476266, 0.486285, 0.511927, 0.537123, 0.543026, 0.550146, 0.55285, 0.55826, 0.564124, 0.5709, 0.592916, 0.615583, 0.623761, 0.633369, 0.64042, 0.660573, 0.69296, 0.757062, 0.799466, 0.849509, 0.908806");
-            values ( \
-              "-0.0118006, -0.0118126, -0.0145982, -0.0184556, -0.0268385, -0.0436169, -0.0492098, -0.0583758, -0.0643408, -0.0622334, -0.0622685, -0.0554324, -0.0363893, -0.0202453, -0.0170052, -0.0143941, -0.0155305, -0.0149625, -0.0133677, -0.0122691, -0.00810564, -0.00450363, -0.00357505, -0.00297303, -0.00265215, -0.00219306, -0.00169031, -0.000862525, -0.000556126, -0.000233754, -0.000143204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0130573");
-            index_3 ("0.242325, 0.270584, 0.284548, 0.317754, 0.348169, 0.371851, 0.406632, 0.436549, 0.462653, 0.485854, 0.508793, 0.509267, 0.518752, 0.5502, 0.556728, 0.575503, 0.602689, 0.614707, 0.619935, 0.62967, 0.639078, 0.664347, 0.687123, 0.699752, 0.730923, 0.768594, 0.795184, 0.833202, 0.87772");
-            values ( \
-              "-0.012618, -0.0206711, -0.0261462, -0.0411386, -0.0530602, -0.0616606, -0.0736643, -0.0834485, -0.0967365, -0.105574, -0.0982475, -0.0985061, -0.0899944, -0.0593249, -0.055799, -0.0405382, -0.0220189, -0.0165832, -0.0150686, -0.0127752, -0.0114953, -0.00927804, -0.00695947, -0.00602661, -0.00444643, -0.00305811, -0.00229755, -0.00144957, -0.000846957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0247292");
-            index_3 ("0.258895, 0.302623, 0.329059, 0.37966, 0.439353, 0.474646, 0.505754, 0.534201, 0.550067, 0.556293, 0.599117, 0.610855, 0.642888, 0.663967, 0.68608, 0.703703, 0.717073, 0.727289, 0.74772, 0.767453, 0.783767, 0.824935, 0.853083, 0.906704, 0.990129, 1.11326, 1.17482");
-            values ( \
-              "-0.0345437, -0.0471882, -0.0630253, -0.0899665, -0.118587, -0.134111, -0.152162, -0.161092, -0.154859, -0.150933, -0.102913, -0.0910127, -0.0632246, -0.0482052, -0.0341791, -0.0253769, -0.0203046, -0.0179977, -0.0149519, -0.0134428, -0.0117904, -0.00879403, -0.00707419, -0.00437299, -0.00179838, -0.000391625, -0.000256798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0468346");
-            index_3 ("0.258747, 0.295016, 0.332546, 0.407812, 0.490966, 0.549965, 0.558755, 0.571705, 0.591229, 0.609075, 0.625049, 0.658622, 0.733671, 0.801587, 0.832559, 0.901998, 0.926452, 0.951194, 0.981065, 1.01407, 1.07782, 1.1653, 1.22319, 1.29769");
-            values ( \
-              "-0.0394015, -0.0535931, -0.0813616, -0.13177, -0.181201, -0.212512, -0.214434, -0.215011, -0.21249, -0.206861, -0.199305, -0.174544, -0.111524, -0.0636441, -0.050271, -0.0299402, -0.0240994, -0.0195983, -0.0158369, -0.0128851, -0.00880867, -0.00500727, -0.00332623, -0.00209968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0887001");
-            index_3 ("0.275118, 0.349177, 0.392281, 0.47287, 0.526657, 0.55008, 0.557799, 0.582365, 0.633288, 0.694851, 0.698385, 0.705453, 0.719587, 0.741904, 0.765257, 0.792145, 0.82792, 0.930858, 0.969298, 0.987202, 1.01119, 1.07399, 1.11031, 1.15432, 1.21064, 1.26959, 1.31918, 1.3733, 1.41997, 1.45939, 1.51196, 1.57352, 1.69665, 1.81978, 1.9429, 2.12759");
-            values ( \
-              "-0.0643984, -0.107448, -0.141301, -0.200988, -0.237298, -0.251757, -0.253635, -0.25109, -0.247047, -0.243815, -0.243971, -0.243366, -0.241843, -0.237572, -0.231484, -0.221253, -0.203296, -0.145235, -0.121246, -0.111413, -0.100773, -0.0786521, -0.0675294, -0.0555289, -0.0424194, -0.0310296, -0.0234956, -0.0178779, -0.0144047, -0.0121339, -0.00968275, -0.00748964, -0.00442268, -0.00255706, -0.00145224, -0.00059035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.437217, 0.469883, 0.508033, 0.548562, 0.570019, 0.645146, 0.745014, 0.786346, 0.817763, 0.83178, 0.849342, 0.869044, 0.879672, 0.909413, 0.955668, 0.970888, 0.978131, 0.986296, 0.996742, 1.00806, 1.02148, 1.04833, 1.08075, 1.11912, 1.16192, 1.21285, 1.22269, 1.24347, 1.28383, 1.32679");
-            values ( \
-              "-0.0011373, -0.00182647, -0.00302834, -0.00487986, -0.00627817, -0.0120545, -0.018251, -0.023174, -0.025267, -0.0250168, -0.0235035, -0.0186285, -0.0143241, -0.0110049, -0.0029979, -0.00191521, -0.00187813, -0.00153721, -0.00155801, -0.00127432, -0.00130187, -0.000908885, -0.000787908, -0.000378138, -0.000326218, -4.94329e-05, -0.000503297, -0.000219827, -7.19693e-05, -6.14784e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0068944");
-            index_3 ("0.469359, 0.513951, 0.534741, 0.563174, 0.625108, 0.667874, 0.763904, 0.773926, 0.80932, 0.844396, 0.85782, 0.8808, 0.902466, 0.925941, 0.932254, 0.954787, 0.995871, 1.00412, 1.01703, 1.03651, 1.06247, 1.11543, 1.20076, 1.21596, 1.22988, 1.26449, 1.33728");
-            values ( \
-              "-0.00551767, -0.00562456, -0.00714613, -0.00970641, -0.0176055, -0.0226108, -0.032361, -0.0338565, -0.040436, -0.044331, -0.0438419, -0.0399189, -0.0314218, -0.0192993, -0.0177351, -0.0140257, -0.00608725, -0.00504997, -0.00397493, -0.00319425, -0.0024975, -0.0014217, -0.000456494, -0.000410077, -0.000876399, -0.000358223, -6.19589e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0130573");
-            index_3 ("0.468823, 0.52374, 0.560558, 0.575826, 0.628238, 0.697746, 0.797056, 0.836627, 0.871172, 0.871605, 0.880245, 0.889462, 0.903737, 0.919863, 0.938421, 0.956638, 1.00601, 1.01846, 1.03396, 1.07275, 1.09975, 1.13871, 1.15951, 1.1867, 1.2158, 1.23418, 1.27743, 1.32014, 1.37349, 1.43506");
-            values ( \
-              "-0.00700626, -0.0101218, -0.0148618, -0.0173761, -0.0276188, -0.0398477, -0.0547838, -0.0640889, -0.0713277, -0.0711223, -0.0724184, -0.0731675, -0.0725792, -0.0690071, -0.0604712, -0.0506056, -0.0220192, -0.017639, -0.0144881, -0.00973115, -0.00721013, -0.00493049, -0.00390029, -0.00289837, -0.00199127, -0.00242197, -0.00117937, -0.000687614, -0.000234828, -0.000157209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0247292");
-            index_3 ("0.480673, 0.539865, 0.560125, 0.593098, 0.655952, 0.708623, 0.852395, 0.917993, 0.940593, 0.960964, 0.980201, 1.0073, 1.10441, 1.12849, 1.14214, 1.16155, 1.21578, 1.22528, 1.25109, 1.29142, 1.35804, 1.40463, 1.4662, 1.58932");
-            values ( \
-              "-0.0124158, -0.0176883, -0.0214215, -0.0287914, -0.0455956, -0.0583693, -0.0890214, -0.107329, -0.111359, -0.11359, -0.111497, -0.100249, -0.0377863, -0.0263379, -0.0220452, -0.0183776, -0.012409, -0.0127629, -0.0102066, -0.00709822, -0.00359429, -0.00217915, -0.00101965, -0.000222047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0468346");
-            index_3 ("0.504822, 0.564158, 0.608324, 0.672929, 0.753991, 0.85988, 0.94041, 1.01222, 1.02641, 1.05088, 1.07349, 1.10243, 1.12291, 1.19095, 1.21599, 1.24338, 1.25562, 1.2751, 1.30159, 1.35621, 1.39125, 1.42348, 1.44728, 1.50126, 1.57464, 1.63003, 1.69159, 1.75315, 1.81472, 1.93784");
-            values ( \
-              "-0.0275896, -0.0292735, -0.0416902, -0.0629743, -0.0873972, -0.11643, -0.136933, -0.158626, -0.161865, -0.165295, -0.165869, -0.158172, -0.147464, -0.102479, -0.0844664, -0.0676627, -0.0615455, -0.0532335, -0.0442179, -0.0284509, -0.0210316, -0.01668, -0.01433, -0.0103287, -0.0064843, -0.00441148, -0.0028217, -0.00174187, -0.00108665, -0.000411818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0887001");
-            index_3 ("0.53024, 0.608182, 0.716144, 0.7657, 0.888826, 0.960048, 1.14862, 1.17602, 1.21585, 1.21855, 1.22396, 1.24955, 1.2675, 1.38241, 1.42869, 1.44986, 1.47621, 1.53892, 1.58026, 1.61775, 1.6695, 1.71143, 1.7642, 1.81781, 1.86982, 1.88538, 1.9165, 1.97806, 2.03962, 2.10119, 2.22431, 2.28588, 2.409, 2.59369");
-            values ( \
-              "-0.0454152, -0.0493775, -0.088829, -0.106117, -0.147086, -0.169148, -0.223406, -0.228932, -0.230899, -0.231355, -0.230295, -0.219546, -0.210607, -0.146213, -0.117555, -0.106947, -0.0962253, -0.074934, -0.062771, -0.0529381, -0.0411974, -0.032994, -0.0245576, -0.0186356, -0.0146126, -0.0136696, -0.0119125, -0.00918132, -0.00707248, -0.00545226, -0.00317728, -0.00238969, -0.0013467, -0.000571486" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0094806, 0.00949483, 0.00951226, 0.00952986, 0.00954436, 0.00955453", \
-            "0.0110687, 0.0110781, 0.0110912, 0.0111064, 0.0111206, 0.0111316", \
-            "0.0120107, 0.0120141, 0.0120199, 0.0120283, 0.0120379, 0.0120465", \
-            "0.012579, 0.0125779, 0.0125769, 0.0125769, 0.0125787, 0.0125817", \
-            "0.0128826, 0.0128791, 0.0128742, 0.0128682, 0.0128628, 0.0128591", \
-            "0.0130535, 0.01305, 0.0130451, 0.0130364, 0.0130264, 0.013017" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0130769, 0.0130851, 0.0130959, 0.013107, 0.0131165, 0.0131258", \
-            "0.0143952, 0.0143883, 0.0143813, 0.014376, 0.0143724, 0.0143699", \
-            "0.0152306, 0.0152044, 0.0151711, 0.0151357, 0.0151078, 0.0150887", \
-            "0.0159189, 0.0158712, 0.0158087, 0.0157443, 0.0156818, 0.015637", \
-            "0.0165286, 0.0164446, 0.0163327, 0.0162098, 0.016099, 0.0160125", \
-            "0.017, 0.0169183, 0.0167941, 0.0166182, 0.0164118, 0.0162457" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0175184, 0.0175802, 0.0176575, 0.0177862, 0.0180345, 0.0185754", \
-            "0.0174755, 0.0175342, 0.0176218, 0.017765, 0.0180193, 0.0185717", \
-            "0.0174202, 0.0174775, 0.017571, 0.0177544, 0.0179884, 0.0185376", \
-            "0.017347, 0.0173969, 0.0175184, 0.0176767, 0.0179945, 0.0185047", \
-            "0.0173218, 0.0173608, 0.0174671, 0.0175988, 0.0178677, 0.0185004", \
-            "0.0176323, 0.0175965, 0.0176136, 0.0177517, 0.017993, 0.0184465" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00455362, 0.00457261, 0.00462827, 0.00469459, 0.00480339, 0.00510244", \
-            "0.0043996, 0.00443968, 0.00448427, 0.00455996, 0.0046985, 0.00493811", \
-            "0.00423575, 0.00427479, 0.00433119, 0.00440262, 0.00455616, 0.00482156", \
-            "0.00410472, 0.00414263, 0.00419296, 0.00427155, 0.004434, 0.00470311", \
-            "0.00407378, 0.00410107, 0.00416287, 0.00424181, 0.00437368, 0.00463139", \
-            "0.00435567, 0.00439108, 0.00434483, 0.00443862, 0.00456123, 0.00470699" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0185929, 0.0186313, 0.0186903, 0.0187468, 0.0188407, 0.0190875", \
-            "0.018535, 0.0185854, 0.0186504, 0.0187135, 0.0188157, 0.0190684", \
-            "0.0184741, 0.0185302, 0.0185865, 0.0186797, 0.0187843, 0.0190476", \
-            "0.0184048, 0.0184346, 0.0185228, 0.0186148, 0.0187901, 0.0190211", \
-            "0.0183657, 0.018395, 0.0184659, 0.0185456, 0.0186782, 0.0190274", \
-            "0.0187113, 0.0186605, 0.0187182, 0.0187022, 0.0187921, 0.0190091" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00400347, 0.00404172, 0.00411618, 0.00421572, 0.00441557, 0.00483419", \
-            "0.00394502, 0.00399739, 0.00407926, 0.0041808, 0.00440716, 0.00479416", \
-            "0.00385349, 0.00392084, 0.0039955, 0.00412254, 0.00437439, 0.00477034", \
-            "0.00375317, 0.00381269, 0.00389501, 0.00402913, 0.00430315, 0.00469607", \
-            "0.00371401, 0.00378747, 0.00386386, 0.00398037, 0.00424354, 0.00465216", \
-            "0.00403438, 0.0040609, 0.00408998, 0.00418991, 0.00439355, 0.00468556" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0185929, 0.0186313, 0.0186903, 0.0187468, 0.0188407, 0.0190875", \
-            "0.018535, 0.0185854, 0.0186504, 0.0187135, 0.0188157, 0.0190684", \
-            "0.0184741, 0.0185302, 0.0185865, 0.0186797, 0.0187843, 0.0190476", \
-            "0.0184048, 0.0184346, 0.0185228, 0.0186148, 0.0187901, 0.0190211", \
-            "0.0183657, 0.018395, 0.0184659, 0.0185456, 0.0186782, 0.0190274", \
-            "0.0187113, 0.0186605, 0.0187182, 0.0187022, 0.0187921, 0.0190091" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00455362, 0.00457261, 0.00462827, 0.00469459, 0.00480339, 0.00510244", \
-            "0.0043996, 0.00443968, 0.00448427, 0.00455996, 0.0046985, 0.00493811", \
-            "0.00423575, 0.00427479, 0.00433119, 0.00440262, 0.00455616, 0.00482156", \
-            "0.00410472, 0.00414263, 0.00419296, 0.00427155, 0.004434, 0.00470311", \
-            "0.00407378, 0.00410107, 0.00416287, 0.00424181, 0.00437368, 0.00463139", \
-            "0.00435567, 0.00439108, 0.00434483, 0.00443862, 0.00456123, 0.00470699" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0183982, 0.0185092, 0.0186735, 0.0188746, 0.0190757, 0.0194127", \
-            "0.0183402, 0.0184555, 0.0186324, 0.0188429, 0.0190641, 0.0194049", \
-            "0.0182376, 0.0183619, 0.018538, 0.0187696, 0.019015, 0.0193779", \
-            "0.0180629, 0.018195, 0.0183806, 0.018645, 0.018933, 0.0193535", \
-            "0.0178344, 0.017931, 0.0181043, 0.018375, 0.0187499, 0.0192577", \
-            "0.0178776, 0.0179527, 0.0180773, 0.0182377, 0.0185123, 0.0190746" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00616845, 0.00619755, 0.00625693, 0.00634065, 0.00646935, 0.00674395", \
-            "0.00608741, 0.00612444, 0.00618231, 0.00627742, 0.00641747, 0.00672754", \
-            "0.00592018, 0.00596352, 0.00604388, 0.00618069, 0.00634791, 0.00664894", \
-            "0.0056484, 0.00570114, 0.00584436, 0.00601219, 0.00621406, 0.00658009", \
-            "0.00541105, 0.00548499, 0.00560595, 0.00579861, 0.00609282, 0.00645421", \
-            "0.00568172, 0.0056928, 0.00573732, 0.00589076, 0.00612625, 0.00640586" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0178476, 0.0179472, 0.018081, 0.0182238, 0.0183786, 0.018618", \
-            "0.0177495, 0.0178555, 0.018004, 0.0181746, 0.0183418, 0.0186461", \
-            "0.0175707, 0.0177064, 0.0178595, 0.0180879, 0.0182364, 0.0185968", \
-            "0.0174181, 0.0175382, 0.0177166, 0.017965, 0.0181014, 0.0184943", \
-            "0.0174429, 0.0175196, 0.0176041, 0.0178242, 0.0181299, 0.0185327", \
-            "0.0180666, 0.0180714, 0.0181293, 0.0181397, 0.0182342, 0.0186298" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00456559, 0.00462238, 0.00469005, 0.00478983, 0.00493636, 0.00525963", \
-            "0.00452382, 0.00458698, 0.00466784, 0.00478417, 0.0049396, 0.00526996", \
-            "0.00439268, 0.00447465, 0.00457799, 0.00472745, 0.00491312, 0.00524641", \
-            "0.00412018, 0.00423485, 0.00439685, 0.00458801, 0.00482095, 0.00519872", \
-            "0.00397679, 0.00403643, 0.00417207, 0.00438228, 0.00470133, 0.00509229", \
-            "0.00425426, 0.00422328, 0.00424057, 0.00438797, 0.00467002, 0.00503074" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0183982, 0.0185092, 0.0186735, 0.0188746, 0.0190757, 0.0194127", \
-            "0.0183402, 0.0184555, 0.0186324, 0.0188429, 0.0190641, 0.0194049", \
-            "0.0182376, 0.0183619, 0.018538, 0.0187696, 0.019015, 0.0193779", \
-            "0.0180629, 0.018195, 0.0183806, 0.018645, 0.018933, 0.0193535", \
-            "0.0178344, 0.017931, 0.0181043, 0.018375, 0.0187499, 0.0192577", \
-            "0.0178776, 0.0179527, 0.0180773, 0.0182377, 0.0185123, 0.0190746" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00616845, 0.00619755, 0.00625693, 0.00634065, 0.00646935, 0.00674395", \
-            "0.00608741, 0.00612444, 0.00618231, 0.00627742, 0.00641747, 0.00672754", \
-            "0.00592018, 0.00596352, 0.00604388, 0.00618069, 0.00634791, 0.00664894", \
-            "0.0056484, 0.00570114, 0.00584436, 0.00601219, 0.00621406, 0.00658009", \
-            "0.00541105, 0.00548499, 0.00560595, 0.00579861, 0.00609282, 0.00645421", \
-            "0.00568172, 0.0056928, 0.00573732, 0.00589076, 0.00612625, 0.00640586" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0161444, 0.0162843, 0.0164962, 0.0167488, 0.0170443, 0.0174318", \
-            "0.0160829, 0.0162373, 0.0164424, 0.0167333, 0.0170055, 0.0173813", \
-            "0.015993, 0.0161381, 0.0163626, 0.01667, 0.0169646, 0.0173856", \
-            "0.0158833, 0.0160561, 0.0162643, 0.0165642, 0.0169199, 0.0173735", \
-            "0.016071, 0.016119, 0.016261, 0.016494, 0.0168398, 0.0173018", \
-            "0.0168719, 0.0168371, 0.0168645, 0.016847, 0.017003, 0.0174071" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00656548, 0.00662019, 0.00669911, 0.00681021, 0.00700555, 0.00744342", \
-            "0.00653979, 0.00660083, 0.00668, 0.00681299, 0.00705048, 0.00746006", \
-            "0.00648142, 0.00656042, 0.00665213, 0.0067725, 0.00702211, 0.00745725", \
-            "0.00643653, 0.0064815, 0.00656074, 0.00671416, 0.00696087, 0.00740564", \
-            "0.00647297, 0.00653438, 0.00658878, 0.00668465, 0.00691151, 0.0073709", \
-            "0.00698216, 0.00692593, 0.00697688, 0.00706234, 0.00721802, 0.00747917" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0146333, 0.0146946, 0.0147895, 0.0149605, 0.0152378, 0.0157354", \
-            "0.0145778, 0.0146429, 0.0147497, 0.0149165, 0.015216, 0.0157245", \
-            "0.0145043, 0.0145604, 0.0146795, 0.0148493, 0.0151812, 0.0156936", \
-            "0.0144748, 0.014516, 0.014636, 0.0148065, 0.0150825, 0.0156605", \
-            "0.0146746, 0.0147251, 0.0147615, 0.0148391, 0.0150982, 0.0156855", \
-            "0.0155515, 0.0155558, 0.0155408, 0.0155235, 0.0155162, 0.0158776" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00543247, 0.00549625, 0.00558053, 0.00570609, 0.00588339, 0.00623831", \
-            "0.00539616, 0.00545352, 0.00554342, 0.00565706, 0.00584141, 0.00617566", \
-            "0.00529573, 0.00537881, 0.00547289, 0.00560294, 0.00579367, 0.0061447", \
-            "0.00511202, 0.00520103, 0.00532531, 0.00550239, 0.00571299, 0.00608053", \
-            "0.00510592, 0.00514128, 0.00523141, 0.00536111, 0.00563897, 0.00602062", \
-            "0.00560797, 0.00559343, 0.00558172, 0.00563771, 0.00580368, 0.0060848" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.0161444, 0.0162843, 0.0164962, 0.0167488, 0.0170443, 0.0174318", \
-            "0.0160829, 0.0162373, 0.0164424, 0.0167333, 0.0170055, 0.0173813", \
-            "0.015993, 0.0161381, 0.0163626, 0.01667, 0.0169646, 0.0173856", \
-            "0.0158833, 0.0160561, 0.0162643, 0.0165642, 0.0169199, 0.0173735", \
-            "0.016071, 0.016119, 0.016261, 0.016494, 0.0168398, 0.0173018", \
-            "0.0168719, 0.0168371, 0.0168645, 0.016847, 0.017003, 0.0174071" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0068944, 0.0130573, 0.0247292, 0.0468346, 0.0887001");
-          values ( \
-            "0.00656548, 0.00662019, 0.00669911, 0.00681021, 0.00700555, 0.00744342", \
-            "0.00653979, 0.00660083, 0.00668, 0.00681299, 0.00705048, 0.00746006", \
-            "0.00648142, 0.00656042, 0.00665213, 0.0067725, 0.00702211, 0.00745725", \
-            "0.00643653, 0.0064815, 0.00656074, 0.00671416, 0.00696087, 0.00740564", \
-            "0.00647297, 0.00653438, 0.00658878, 0.00668465, 0.00691151, 0.0073709", \
-            "0.00698216, 0.00692593, 0.00697688, 0.00706234, 0.00721802, 0.00747917" \
-          );
-        }
-      }
-    }
-    pin (S) {
-      direction : output;
-      function : "(A * B * CI) + (A * !B * !CI) + (!A * B * !CI) + (!A * !B * CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.205867;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.119039, 0.133503, 0.160524, 0.215113, 0.334463, 0.60187", \
-            "0.122103, 0.136475, 0.16357, 0.218189, 0.33754, 0.604965", \
-            "0.128016, 0.142394, 0.169415, 0.224148, 0.343592, 0.611052", \
-            "0.140433, 0.154916, 0.182078, 0.236913, 0.35648, 0.623939", \
-            "0.158295, 0.173402, 0.201334, 0.256776, 0.376681, 0.644248", \
-            "0.170338, 0.186807, 0.216035, 0.272282, 0.392405, 0.660285" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0344193, 0.0492428, 0.0818012, 0.157061, 0.330981, 0.724889", \
-            "0.0344407, 0.0491888, 0.0818269, 0.156963, 0.331024, 0.724894", \
-            "0.0343619, 0.0491417, 0.0817668, 0.156981, 0.331007, 0.724902", \
-            "0.0348945, 0.0496989, 0.082008, 0.157131, 0.331052, 0.724907", \
-            "0.0372562, 0.0518519, 0.083824, 0.158329, 0.331515, 0.72502", \
-            "0.0426301, 0.0568815, 0.088127, 0.16067, 0.332508, 0.725795" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.139982, 0.153087, 0.176846, 0.221942, 0.314785, 0.518973", \
-            "0.145847, 0.159022, 0.182851, 0.227859, 0.320731, 0.524854", \
-            "0.159549, 0.172752, 0.196703, 0.241862, 0.334654, 0.53873", \
-            "0.187387, 0.200756, 0.224962, 0.270487, 0.363467, 0.567542", \
-            "0.239328, 0.253668, 0.279042, 0.325801, 0.419508, 0.623807", \
-            "0.323604, 0.339934, 0.368351, 0.41848, 0.514791, 0.71962" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0292041, 0.0406319, 0.0645066, 0.116807, 0.23623, 0.511567", \
-            "0.0292428, 0.0406268, 0.0645726, 0.116733, 0.236243, 0.511433", \
-            "0.0292035, 0.0406453, 0.0645695, 0.116806, 0.236256, 0.511498", \
-            "0.0293981, 0.0407989, 0.064664, 0.116807, 0.23616, 0.511572", \
-            "0.0324461, 0.0440161, 0.0676016, 0.118715, 0.236933, 0.511525", \
-            "0.0390472, 0.0512509, 0.074806, 0.125242, 0.241775, 0.513538" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0871301, 0.0943578, 0.0962094, 0.105827, 0.113554, 0.121801, 0.125278, 0.130547, 0.135765, 0.140979, 0.150509, 0.159607, 0.169217, 0.17716, 0.183243, 0.19113, 0.210158, 0.212182");
-            values ( \
-              "0.0129845, 0.023302, 0.0287158, 0.0710172, 0.0980366, 0.11741, 0.122555, 0.126324, 0.125086, 0.114584, 0.0690263, 0.039601, 0.0214372, 0.0127531, 0.00853945, 0.00518908, 0.00166088, 0.00155125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.000750517, 0.00171342, 0.0101229, 0.0233888, 0.0262572, 0.0792793, 0.0960829, 0.122291, 0.1307, 0.145805, 0.158147, 0.185861, 0.206518, 0.221435");
-            values ( \
-              "1e-22, 0.00809906, 0.00562479, 0.0229706, 6.02862e-05, 1e-22, 0.0321871, 0.163287, 0.186131, 0.199046, 0.180485, 0.0694093, 0.028365, 0.0179983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.000122694, 0.0231864, 0.0281425, 0.0784992, 0.0949815, 0.130344, 0.144658, 0.160023, 0.172816, 0.184887, 0.23523, 0.278653, 0.321503");
-            values ( \
-              "1e-22, 0.0360792, 1e-22, 2e-22, 0.0353513, 0.232223, 0.264091, 0.273081, 0.266813, 0.250235, 0.105234, 0.0362084, 0.01277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0950508, 0.116047, 0.125285, 0.134341, 0.148703, 0.158582, 0.176546, 0.189756, 0.203347, 0.225861, 0.237706, 0.2516, 0.277085, 0.315797, 0.34542, 0.38187, 0.403002, 0.430987, 0.44753, 0.47646, 0.50934, 0.535542, 0.587948, 0.618348");
-            values ( \
-              "0.107843, 0.186309, 0.238168, 0.275645, 0.312589, 0.325373, 0.333419, 0.330988, 0.324702, 0.308796, 0.297722, 0.281915, 0.243233, 0.174773, 0.128762, 0.0844202, 0.0648738, 0.0451429, 0.0362008, 0.0243234, 0.015428, 0.0106531, 0.00490696, 0.00356345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0953195, 0.114779, 0.123948, 0.135075, 0.150415, 0.160157, 0.177607, 0.191677, 0.205004, 0.22714, 0.249881, 0.290828, 0.32663, 0.346105, 0.385056, 0.42716, 0.56209, 0.591323, 0.640596, 0.680785, 0.711812, 0.751701, 0.784427, 0.823282, 0.878114, 0.935207, 0.978952, 1.0601, 1.14125, 1.2224, 1.3847");
-            values ( \
-              "0.154443, 0.185538, 0.243575, 0.295408, 0.340037, 0.355616, 0.370334, 0.373707, 0.373588, 0.369258, 0.36235, 0.347197, 0.332375, 0.323618, 0.303604, 0.275811, 0.164358, 0.142323, 0.109601, 0.0873097, 0.0727388, 0.0569976, 0.0464699, 0.0362948, 0.0253246, 0.0173808, 0.013006, 0.00741962, 0.00426874, 0.00240108, 0.000766136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.109633, 0.169371, 0.210463, 0.270929, 0.351789, 0.547193, 0.694226, 0.791102, 1.20936, 1.35572, 1.52308, 1.7119, 1.96505, 2.24299");
-            values ( \
-              "0.319988, 0.382001, 0.398538, 0.393787, 0.38028, 0.343869, 0.310868, 0.281621, 0.12703, 0.0872397, 0.0548875, 0.0314987, 0.0146079, 0.00666454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.106612, 0.115313, 0.122808, 0.130544, 0.136746, 0.142295, 0.147559, 0.152776, 0.157989, 0.167519, 0.176615, 0.186223, 0.194168, 0.20025, 0.208135, 0.227158, 0.22959");
-            values ( \
-              "0.0214126, 0.0363979, 0.070835, 0.0979551, 0.113517, 0.122639, 0.126345, 0.125118, 0.114606, 0.0690245, 0.0396061, 0.0214409, 0.0127524, 0.00854083, 0.00519051, 0.0016621, 0.00152953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.104588, 0.11406, 0.126148, 0.132752, 0.138557, 0.146939, 0.154592, 0.162026, 0.169447, 0.174533, 0.191202, 0.202226, 0.212328, 0.223026, 0.228668, 0.236773, 0.253123, 0.267462, 0.27768");
-            values ( \
-              "0.0191616, 0.0428885, 0.110913, 0.142278, 0.164014, 0.186524, 0.196783, 0.199165, 0.192499, 0.179971, 0.106661, 0.0690239, 0.0450333, 0.0279848, 0.0216434, 0.0148838, 0.00705807, 0.00364256, 0.00261326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.101588, 0.116814, 0.126862, 0.134482, 0.142041, 0.151936, 0.164744, 0.176912, 0.189071, 0.20177, 0.209039, 0.215892, 0.235058, 0.250029, 0.261973, 0.274633, 0.285294, 0.295134, 0.308252, 0.318437, 0.329817, 0.344991, 0.375339, 0.392772");
-            values ( \
-              "0.0218311, 0.0646888, 0.132386, 0.178003, 0.213426, 0.245954, 0.268285, 0.273369, 0.267697, 0.2505, 0.23363, 0.213274, 0.151345, 0.110285, 0.0838876, 0.0617215, 0.0471409, 0.0365702, 0.0258072, 0.019642, 0.0144532, 0.00952439, 0.0039734, 0.0027793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.102611, 0.122224, 0.136124, 0.151433, 0.166727, 0.175618, 0.192568, 0.208722, 0.220344, 0.242878, 0.266702, 0.279804, 0.294778, 0.344304, 0.36748, 0.388235, 0.413043, 0.43388, 0.452835, 0.478108, 0.498013, 0.519967, 0.54924, 0.607784, 0.688934, 0.770083");
-            values ( \
-              "0.0400672, 0.110462, 0.205618, 0.27684, 0.315129, 0.325767, 0.333644, 0.330455, 0.324665, 0.308868, 0.284288, 0.266174, 0.241722, 0.155775, 0.121612, 0.0958248, 0.0707987, 0.0542219, 0.0422826, 0.0300328, 0.0228423, 0.016851, 0.0111367, 0.00463401, 0.0012425, 0.000344588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.117263, 0.165025, 0.177339, 0.195011, 0.208962, 0.222176, 0.244313, 0.267056, 0.341383, 0.407077, 0.477121, 0.612735, 0.694438, 0.779776, 0.856319, 0.959136, 1.0377, 1.11885, 1.12697");
-            values ( \
-              "0.184382, 0.334133, 0.355574, 0.370354, 0.373711, 0.373545, 0.369251, 0.36233, 0.333429, 0.300801, 0.249791, 0.139308, 0.089143, 0.0533116, 0.0327179, 0.0166097, 0.00973857, 0.00554909, 0.00539884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.128659, 0.171933, 0.196508, 0.227563, 0.254016, 0.287344, 0.419079, 0.573744, 0.711334, 0.808202, 1.22647, 1.37282, 1.5402, 1.72904, 1.84047, 1.98219, 2.28374");
-            values ( \
-              "0.35488, 0.362223, 0.389974, 0.398463, 0.397904, 0.393765, 0.371223, 0.341855, 0.31075, 0.281509, 0.127117, 0.0873158, 0.0548129, 0.031564, 0.0225846, 0.0146702, 0.00605003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.144078, 0.151803, 0.159694, 0.167359, 0.173527, 0.179059, 0.184311, 0.18952, 0.194725, 0.204224, 0.213348, 0.22296, 0.230878, 0.236962, 0.244867, 0.263948, 0.266061");
-            values ( \
-              "0.0236144, 0.0357431, 0.071787, 0.0985915, 0.113972, 0.122953, 0.126577, 0.125276, 0.114704, 0.0691773, 0.0396126, 0.0214348, 0.0127685, 0.00854907, 0.00518779, 0.00165511, 0.00161107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.14403, 0.151149, 0.165151, 0.169474, 0.17531, 0.183672, 0.191314, 0.198741, 0.206156, 0.20874, 0.211375, 0.228438, 0.233093, 0.23911, 0.243985, 0.250485, 0.256239, 0.263746, 0.27279, 0.2772, 0.284111, 0.293326, 0.311757, 0.338061, 0.371064");
-            values ( \
-              "0.0371746, 0.0453704, 0.122649, 0.142593, 0.16467, 0.186723, 0.197109, 0.199198, 0.192678, 0.187211, 0.179581, 0.104552, 0.0873245, 0.0685454, 0.0559525, 0.0422095, 0.0327245, 0.0233055, 0.015332, 0.012537, 0.00914696, 0.00599821, 0.0025386, 0.000746456, 0.000190984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.146075, 0.157294, 0.167935, 0.173835, 0.183137, 0.188681, 0.199767, 0.204791, 0.211488, 0.217761, 0.225732, 0.237941, 0.245306, 0.252558, 0.26706, 0.285748, 0.295999, 0.303926, 0.314495, 0.329353, 0.338189, 0.352809, 0.369575, 0.382893, 0.409529, 0.434125");
-            values ( \
-              "0.0675959, 0.0920015, 0.161227, 0.192629, 0.230665, 0.246674, 0.266578, 0.270867, 0.273142, 0.272186, 0.267315, 0.251348, 0.234569, 0.213232, 0.1652, 0.112611, 0.0892169, 0.0739454, 0.0569598, 0.0389915, 0.0309208, 0.0208763, 0.0132917, 0.00922799, 0.00432955, 0.00249794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.146959, 0.163404, 0.173057, 0.18814, 0.202387, 0.212319, 0.229944, 0.244115, 0.257062, 0.27958, 0.291341, 0.303886, 0.323028, 0.381171, 0.396977, 0.419079, 0.437182, 0.451164, 0.471344, 0.488195, 0.506397, 0.532278, 0.558641, 0.578751, 0.618972, 0.698129, 0.779279");
-            values ( \
-              "0.0957744, 0.144923, 0.208058, 0.276957, 0.313092, 0.325749, 0.333537, 0.330835, 0.324705, 0.308804, 0.2978, 0.283695, 0.255961, 0.155604, 0.131748, 0.102637, 0.0827472, 0.0695875, 0.0537301, 0.0430783, 0.0337441, 0.0236418, 0.0164181, 0.0123793, 0.00692284, 0.00194932, 0.000528031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.157119, 0.18108, 0.188949, 0.203717, 0.213966, 0.23194, 0.245724, 0.258798, 0.28093, 0.303675, 0.378873, 0.441962, 0.476058, 0.513756, 0.601661, 0.648073, 0.695112, 0.732104, 0.760656, 0.816402, 0.840759, 0.878724, 0.93287, 1.00312, 1.08427, 1.16542, 1.24657, 1.40887");
-            values ( \
-              "0.243551, 0.263005, 0.296982, 0.339463, 0.35605, 0.370671, 0.373847, 0.373578, 0.369281, 0.362317, 0.333051, 0.301819, 0.279419, 0.249738, 0.175631, 0.140239, 0.109169, 0.0886216, 0.0749108, 0.0532824, 0.0457635, 0.0358832, 0.0252025, 0.0158259, 0.00906325, 0.00520147, 0.00294458, 0.00094704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.166234, 0.214952, 0.247109, 0.26448, 0.292839, 0.325218, 0.455985, 0.601213, 0.748244, 0.845123, 1.26339, 1.40974, 1.57712, 1.76596, 1.87739, 2.01911, 2.32472");
-            values ( \
-              "0.354968, 0.373091, 0.395948, 0.39853, 0.397788, 0.393609, 0.371222, 0.343751, 0.310757, 0.281516, 0.127101, 0.0872944, 0.0548356, 0.03154, 0.02256, 0.014645, 0.00590852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.000143485, 0.00245096, 0.0133716, 0.0732731, 0.127119, 0.135633, 0.154187, 0.175826, 0.20921, 0.224435, 0.22932, 0.249099, 0.256599, 0.259703, 0.265065, 0.270349, 0.275629, 0.285398, 0.29272, 0.299413, 0.307994, 0.313529, 0.321204, 0.326525, 0.337169, 0.341936");
-            values ( \
-              "1e-22, 0.000488512, 4.56862e-05, 3.93196e-05, 0.00136838, 1e-22, 0.000572853, 1e-22, 2e-22, 0.0122327, 0.0248639, 0.0990907, 0.115296, 0.119959, 0.124584, 0.123715, 0.113833, 0.0678785, 0.0434886, 0.0286429, 0.0164371, 0.0114148, 0.00695276, 0.00497176, 0.00257947, 0.00213106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.000483041, 0.00279051, 0.0307177, 0.0812149, 0.127512, 0.136035, 0.140117, 0.15464, 0.176423, 0.210146, 0.228181, 0.249438, 0.259712, 0.264589, 0.272408, 0.279896, 0.287397, 0.290978, 0.309406, 0.320336, 0.329585, 0.341454, 0.355502, 0.365009, 0.377127");
-            values ( \
-              "1e-22, 0.000672521, 1.1597e-05, 0.000202493, 0.00270273, 0.000240623, 9.45671e-05, 0.00108885, 0.000137538, 1e-22, 0.0267675, 0.134431, 0.17036, 0.182511, 0.194462, 0.197331, 0.191498, 0.183677, 0.10557, 0.0686446, 0.0464983, 0.0274495, 0.0144156, 0.00933077, 0.00537056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.000494337, 0.0812558, 0.127522, 0.140118, 0.163454, 0.210116, 0.22814, 0.24945, 0.262746, 0.279308, 0.288892, 0.29486, 0.306991, 0.31975, 0.376175, 0.38913, 0.409121, 0.435932, 0.451463, 0.466749");
-            values ( \
-              "1e-22, 0.000287049, 0.00472373, 0.00156545, 0.00226601, 1e-22, 0.031766, 0.159729, 0.221238, 0.262387, 0.270889, 0.272041, 0.266922, 0.249878, 0.091569, 0.0673313, 0.04064, 0.0199495, 0.0131082, 0.0088691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.227375, 0.241475, 0.253403, 0.262909, 0.268787, 0.278258, 0.293138, 0.297349, 0.305773, 0.315501, 0.334958, 0.343834, 0.36126, 0.372441, 0.386225, 0.411717, 0.450411, 0.480103, 0.500664, 0.516441, 0.537477, 0.565654, 0.582307, 0.611136, 0.643845, 0.669881, 0.721953, 0.803102, 0.884252");
-            values ( \
-              "0.11808, 0.124422, 0.201235, 0.250127, 0.273352, 0.300844, 0.324457, 0.327826, 0.331657, 0.332342, 0.326184, 0.320932, 0.307843, 0.297467, 0.281779, 0.243179, 0.174748, 0.128674, 0.101902, 0.0844545, 0.0650027, 0.045102, 0.0361343, 0.0242935, 0.0154417, 0.0107074, 0.00494264, 0.00134446, 0.000353031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.227072, 0.249335, 0.26103, 0.276995, 0.291313, 0.301726, 0.315609, 0.335938, 0.347133, 0.362059, 0.384538, 0.452377, 0.506029, 0.534147, 0.588761, 0.699159, 0.733447, 0.776968, 0.810212, 0.854536, 0.887376, 0.913489, 0.948305, 1.00901, 1.07791, 1.13281, 1.21396, 1.29511, 1.37626, 1.53855");
-            values ( \
-              "0.111994, 0.185217, 0.255562, 0.318771, 0.350188, 0.362492, 0.371021, 0.373772, 0.372374, 0.36908, 0.362216, 0.336187, 0.311093, 0.294856, 0.254799, 0.162491, 0.137064, 0.108606, 0.0900357, 0.069268, 0.0566589, 0.0481573, 0.0386081, 0.0259513, 0.0164772, 0.0113916, 0.00651686, 0.00372206, 0.00211825, 0.000686809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.248504, 0.298097, 0.311533, 0.345563, 0.37306, 0.405473, 0.537085, 0.682317, 0.829346, 0.926226, 1.3445, 1.49084, 1.65822, 1.84706, 1.95849, 2.10021, 2.40219");
-            values ( \
-              "0.373405, 0.374171, 0.38732, 0.398346, 0.397752, 0.393733, 0.371192, 0.343769, 0.310776, 0.281534, 0.127089, 0.0872872, 0.054842, 0.0315357, 0.0225566, 0.0146421, 0.00600983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.000282784, 0.00128278, 0.00322649, 0.00978186, 0.0185224, 0.158597, 0.228392, 0.249287, 0.258565, 0.268905, 0.274004, 0.284608, 0.294199, 0.312102, 0.333862, 0.357704, 0.384431, 0.390109, 0.393364, 0.396836, 0.398759, 0.402604, 0.40577, 0.411901, 0.414806, 0.421802, 0.424175, 0.42794, 0.433687, 0.439303, 0.444913, 0.447704, 0.452079, 0.454876, 0.460277, 0.463491, 0.467638, 0.473061, 0.474959, 0.477309, 0.480443, 0.486712, 0.490793, 0.492983, 0.497365, 0.506128, 0.510109");
-            values ( \
-              "1e-22, 0.000214443, 0.000203336, 4.43886e-05, 3.1642e-06, 1.60912e-05, 0.000294486, 0.000543576, 1e-22, 2.44524e-05, 0.000223412, 0.000360844, 0.000311694, 0.000110446, 1e-22, 2e-22, 0.00537672, 0.0132693, 0.0200128, 0.0287303, 0.0346788, 0.0477591, 0.0591741, 0.0774885, 0.0855381, 0.101569, 0.105846, 0.111502, 0.116646, 0.117049, 0.109117, 0.098428, 0.0782995, 0.0663871, 0.048134, 0.0399602, 0.031035, 0.0220353, 0.0195729, 0.016856, 0.0137953, 0.00919032, 0.00711519, 0.00621824, 0.00475226, 0.00282531, 0.00242011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.384677, 0.396018, 0.416251, 0.42323, 0.432441, 0.440685, 0.448548, 0.456413, 0.464258, 0.477617, 0.487769, 0.499835, 0.510449, 0.524085, 0.539487, 0.555665");
-            values ( \
-              "0.0302404, 0.0372065, 0.122942, 0.147251, 0.171733, 0.184473, 0.189207, 0.185083, 0.167063, 0.109224, 0.0740476, 0.0450137, 0.0282764, 0.0152325, 0.00757949, 0.00430996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.385247, 0.398255, 0.421519, 0.428018, 0.437767, 0.451303, 0.463936, 0.47645, 0.490211, 0.501922, 0.527141, 0.546496, 0.563527, 0.584667, 0.600918, 0.610721, 0.627233, 0.649248, 0.671951");
-            values ( \
-              "0.046617, 0.0546502, 0.171494, 0.198858, 0.230023, 0.25641, 0.264721, 0.261875, 0.245419, 0.216995, 0.138607, 0.0907539, 0.0598115, 0.034982, 0.0226867, 0.0174627, 0.0111746, 0.00604536, 0.00362784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.385969, 0.40511, 0.42731, 0.437367, 0.452856, 0.462722, 0.48242, 0.48563, 0.49205, 0.50489, 0.515055, 0.531728, 0.55005, 0.560632, 0.57321, 0.627097, 0.638876, 0.662434, 0.676536, 0.691962, 0.71253, 0.734637, 0.747033, 0.771825, 0.794561, 0.829167, 0.875309, 0.952736, 1.03389");
-            values ( \
-              "0.059125, 0.0951102, 0.217517, 0.258814, 0.300641, 0.315574, 0.327582, 0.327802, 0.327231, 0.323245, 0.317903, 0.306142, 0.288782, 0.275679, 0.257569, 0.164864, 0.146288, 0.112998, 0.0960118, 0.0797369, 0.0615866, 0.0462352, 0.0392237, 0.0279953, 0.0204769, 0.0126181, 0.00643845, 0.00187702, 0.000509718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.386593, 0.416245, 0.438262, 0.453388, 0.464479, 0.484393, 0.503311, 0.510086, 0.523635, 0.549965, 0.555264, 0.565862, 0.589643, 0.624444, 0.651657, 0.692425, 0.730476, 0.76557, 0.838502, 0.879313, 0.910657, 0.95001, 0.976999, 1.01058, 1.05469, 1.0952, 1.14921, 1.19006, 1.23526, 1.29553, 1.37668, 1.45783, 1.53898, 1.70128");
-            values ( \
-              "0.0572308, 0.165859, 0.277658, 0.324544, 0.345531, 0.36514, 0.370331, 0.370331, 0.368787, 0.362327, 0.359984, 0.357337, 0.349211, 0.335516, 0.323263, 0.302609, 0.277549, 0.249563, 0.187799, 0.155356, 0.132699, 0.107322, 0.0921818, 0.0758082, 0.057906, 0.0449555, 0.0317965, 0.024273, 0.0180061, 0.0120621, 0.00684713, 0.00396797, 0.00220172, 0.00068939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.408143, 0.447347, 0.46809, 0.484809, 0.501152, 0.516173, 0.540747, 0.576073, 0.61048, 0.789281, 0.919284, 1.00043, 1.09732, 1.16942, 1.36892, 1.51561, 1.59007, 1.66194, 1.72499, 1.82932, 1.87571, 1.95685, 2.01817, 2.1296, 2.2713, 2.4336, 2.5959, 2.83935, 3.16395");
-            values ( \
-              "0.263743, 0.319231, 0.366035, 0.384489, 0.392304, 0.395669, 0.395829, 0.392481, 0.387821, 0.356124, 0.330068, 0.310749, 0.281514, 0.255375, 0.177712, 0.127093, 0.105432, 0.0872919, 0.073549, 0.0548388, 0.0479774, 0.0378414, 0.0315384, 0.0225596, 0.0146455, 0.00887949, 0.0053663, 0.00252435, 0.00092159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.000142714, 0.00114271, 0.0231602, 0.359754, 0.4576, 0.49224, 0.500547, 0.509545, 0.526433, 0.544926, 0.644173, 0.687, 0.697875, 0.719627, 0.723018, 0.727138, 0.732633, 0.735809, 0.750501, 0.756092, 0.764539, 0.771819, 0.778457, 0.78484, 0.791208, 0.792193, 0.803605, 0.811481, 0.815446, 0.820732, 0.82884, 0.835658, 0.839901, 0.846947, 0.856341, 0.872402");
-            values ( \
-              "1e-22, 9.70334e-05, 1e-22, 1.66338e-05, 8.12391e-05, 0.000174571, 0.000173895, 1e-22, 2e-22, 0.000167014, 1e-22, 2e-22, 0.000387629, 0.00689476, 0.00908183, 0.0130972, 0.0202008, 0.0255857, 0.059028, 0.0701634, 0.0849044, 0.0953017, 0.101704, 0.104182, 0.0996062, 0.0971335, 0.0566817, 0.0364054, 0.0289059, 0.0210139, 0.0128074, 0.00836373, 0.00647459, 0.00427631, 0.00251435, 0.00115846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.000201304, 0.0012013, 0.0032013, 0.0117802, 0.0388426, 0.359876, 0.457693, 0.500593, 0.50959, 0.517143, 0.526473, 0.544961, 0.55924, 0.581386, 0.644278, 0.687098, 0.697979, 0.719742, 0.724253, 0.728736, 0.730906, 0.7338, 0.739112, 0.752183, 0.762077, 0.76625, 0.774595, 0.77697, 0.781719, 0.786295, 0.795, 0.803525, 0.812041, 0.827236, 0.832125, 0.838409, 0.843417, 0.850096, 0.856052, 0.85862, 0.863757, 0.873026, 0.87635, 0.879855, 0.884528, 0.893875, 0.912568, 0.912778");
-            values ( \
-              "1e-22, 0.000119693, 0.000130889, 4.35129e-05, 1e-22, 3.113e-05, 0.000173225, 0.000376242, 0.000106553, 1e-22, 2e-22, 0.000303581, 0.000342357, 0.000311645, 1e-22, 2e-22, 0.000392662, 0.0108732, 0.0155174, 0.0221788, 0.0259045, 0.0316018, 0.044359, 0.0845399, 0.112703, 0.124151, 0.144249, 0.149358, 0.158103, 0.164906, 0.172643, 0.17238, 0.158533, 0.100394, 0.084041, 0.0661749, 0.0542463, 0.0410883, 0.0318691, 0.0285138, 0.0227392, 0.0149862, 0.0129274, 0.0110647, 0.00899516, 0.00593539, 0.00256518, 0.00255118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.000308115, 0.360022, 0.50068, 0.517227, 0.581457, 0.644464, 0.687319, 0.728451, 0.73824, 0.76335, 0.782506, 0.794123, 0.804371, 0.811139, 0.824352, 0.837562, 0.844552, 0.877136, 0.896072, 0.912899, 0.931442, 0.940863, 0.95423, 0.967932, 0.978393, 0.999314, 1.01018");
-            values ( \
-              "1e-22, 5.72316e-05, 0.00074145, 1.48877e-05, 0.000671265, 1e-22, 2e-22, 0.0252218, 0.0502458, 0.139925, 0.202206, 0.228493, 0.24302, 0.248381, 0.25019, 0.239989, 0.227673, 0.134666, 0.0893274, 0.0599133, 0.0374961, 0.0293242, 0.0205313, 0.0142399, 0.0107322, 0.00601376, 0.00486811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.000139847, 0.35979, 0.50049, 0.51703, 0.687256, 0.730897, 0.79084, 0.809324, 0.822122, 0.83332, 0.855715, 0.862343, 0.880455, 0.901532, 0.931077, 0.981302, 1.0195, 1.05362, 1.08772, 1.1321, 1.17885, 1.21609, 1.24285");
-            values ( \
-              "1e-22, 9.192e-05, 0.00128839, 0.000515928, 1e-22, 0.0358176, 0.256182, 0.29565, 0.310145, 0.316271, 0.316186, 0.313695, 0.303168, 0.28434, 0.242319, 0.156337, 0.10306, 0.0682293, 0.0439327, 0.0240668, 0.0125732, 0.00736919, 0.00527997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.730562, 0.762271, 0.782145, 0.798465, 0.810808, 0.835494, 0.858058, 0.881009, 0.903629, 0.937119, 1.00036, 1.05078, 1.09194, 1.22163, 1.26554, 1.30812, 1.35068, 1.40132, 1.43477, 1.50509, 1.55539, 1.629, 1.71015, 1.7913, 1.86512");
-            values ( \
-              "0.109143, 0.158836, 0.244364, 0.297727, 0.325341, 0.356096, 0.364615, 0.364081, 0.359042, 0.348312, 0.322483, 0.296241, 0.267537, 0.160154, 0.12861, 0.102081, 0.0799141, 0.0587976, 0.047769, 0.0304198, 0.0218822, 0.0133997, 0.00768077, 0.00438559, 0.00330231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.730385, 0.814339, 0.838146, 0.861825, 0.89502, 0.958775, 1.0567, 1.24689, 1.34331, 1.46682, 1.84069, 1.97731, 2.05126, 2.19237, 2.27878, 2.43079, 2.64636, 2.9647");
-            values ( \
-              "0.0974301, 0.345639, 0.377301, 0.389968, 0.393355, 0.386669, 0.37017, 0.334179, 0.312221, 0.274389, 0.134698, 0.0954849, 0.0782586, 0.0526692, 0.0409918, 0.0260694, 0.0135329, 0.00512544" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0125062, 0.0125252, 0.012548, 0.0125685, 0.0125824, 0.0125901", \
-            "0.0166448, 0.0166573, 0.0166759, 0.0166959, 0.0167115, 0.0167209", \
-            "0.0197331, 0.0197369, 0.019745, 0.0197574, 0.0197697, 0.0197785", \
-            "0.0214083, 0.0214084, 0.0214094, 0.0214127, 0.0214187, 0.0214246", \
-            "0.0222244, 0.0222228, 0.0222208, 0.0222192, 0.0222192, 0.0222211", \
-            "0.0227637, 0.0227629, 0.0227612, 0.0227582, 0.0227546, 0.0227521" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.020408, 0.0204166, 0.0204336, 0.020455, 0.0204731, 0.0204845", \
-            "0.0222334, 0.0222243, 0.0222183, 0.0222213, 0.0222301, 0.0222383", \
-            "0.0212371, 0.0212227, 0.0212012, 0.0211799, 0.0211687, 0.0211658", \
-            "0.0203298, 0.0203247, 0.0203128, 0.0202905, 0.0202648, 0.0202468", \
-            "0.020359, 0.0204248, 0.0204767, 0.0205079, 0.0205164, 0.0205093", \
-            "0.0198453, 0.0198453, 0.0198539, 0.0200005, 0.020155, 0.0202068" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.114622, 0.119301, 0.119679, 0.120434, 0.121945, 0.124135, 0.12749, 0.129042, 0.132145, 0.1362, 0.141971, 0.14704, 0.151703, 0.156168, 0.160599, 0.165414, 0.1725, 0.175218, 0.177442, 0.179324, 0.181497, 0.18463, 0.186949, 0.188485, 0.191558, 0.197682");
-            values ( \
-              "-0.0261569, -0.0357262, -0.035927, -0.0380917, -0.043676, -0.0540324, -0.0721145, -0.0777164, -0.0904827, -0.104285, -0.123, -0.135529, -0.144926, -0.149257, -0.146861, -0.125335, -0.0696905, -0.052223, -0.0405885, -0.0325486, -0.0250153, -0.0169289, -0.0126717, -0.0104535, -0.00709402, -0.00324322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.116915, 0.121647, 0.133678, 0.146486, 0.151328, 0.158222, 0.164673, 0.170941, 0.1772, 0.17979, 0.184286, 0.194039, 0.198584, 0.203661, 0.21058, 0.218214, 0.22365, 0.23452, 0.238091");
-            values ( \
-              "-0.047135, -0.060491, -0.13252, -0.18813, -0.204159, -0.222074, -0.232875, -0.236585, -0.226678, -0.216541, -0.187519, -0.108738, -0.0799907, -0.0553703, -0.0326369, -0.0179017, -0.0115619, -0.00462573, -0.00381105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.116113, 0.126409, 0.133154, 0.142576, 0.150928, 0.156171, 0.169697, 0.178217, 0.187145, 0.190781, 0.194558, 0.198337, 0.205894, 0.208753, 0.214085, 0.230291, 0.242001, 0.253849, 0.263355, 0.276977, 0.291913, 0.308982, 0.321434");
-            values ( \
-              "-0.0192856, -0.108716, -0.156423, -0.21181, -0.250342, -0.269958, -0.306511, -0.320661, -0.3289, -0.329858, -0.329059, -0.326397, -0.312988, -0.304762, -0.281252, -0.178482, -0.116879, -0.0732431, -0.0495979, -0.0276732, -0.0145504, -0.0066264, -0.00435995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.114944, 0.131185, 0.139257, 0.148659, 0.15605, 0.16896, 0.177898, 0.195773, 0.20553, 0.215434, 0.233497, 0.251558, 0.261303, 0.271375, 0.291035, 0.309516, 0.32974, 0.343776, 0.358747, 0.371465, 0.380406, 0.398288, 0.408716, 0.424089, 0.444586, 0.48558, 0.539876");
-            values ( \
-              "-0.0240331, -0.157798, -0.215471, -0.269298, -0.302304, -0.346514, -0.367712, -0.396193, -0.404192, -0.408962, -0.408323, -0.394966, -0.380594, -0.357918, -0.286822, -0.214404, -0.149581, -0.114753, -0.0854754, -0.0662435, -0.0552155, -0.0380531, -0.0306183, -0.0221659, -0.014287, -0.00562457, -0.00148977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.128856, 0.149928, 0.170361, 0.179665, 0.198144, 0.218287, 0.237001, 0.254253, 0.283912, 0.302007, 0.326286, 0.362779, 0.382231, 0.404861, 0.489745, 0.522896, 0.572607, 0.619632, 0.646338, 0.683949, 0.724251, 0.755304, 0.817411, 0.884314, 0.897698");
-            values ( \
-              "-0.289425, -0.291219, -0.373124, -0.397546, -0.431402, -0.451861, -0.461253, -0.464393, -0.462582, -0.457977, -0.448645, -0.426913, -0.409468, -0.380331, -0.217427, -0.164973, -0.106392, -0.0688855, -0.0534931, -0.0372188, -0.0252133, -0.0186016, -0.00994153, -0.00502296, -0.00464808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.128189, 0.153145, 0.175541, 0.197864, 0.217616, 0.236893, 0.258923, 0.290517, 0.318079, 0.374773, 0.451419, 0.490109, 0.530397, 0.5973, 0.644385, 0.702381, 0.769284, 0.844215, 0.886171, 0.953074, 1.01005, 1.04776, 1.11466, 1.17642, 1.21913, 1.28603, 1.33808, 1.38498, 1.44751, 1.51441, 1.58132, 1.71512, 1.84893, 2.04964");
-            values ( \
-              "-0.279112, -0.315106, -0.399294, -0.446557, -0.470974, -0.484191, -0.492047, -0.49629, -0.495657, -0.489754, -0.477466, -0.469787, -0.46087, -0.441602, -0.422873, -0.388539, -0.329691, -0.259093, -0.223336, -0.173534, -0.138741, -0.119225, -0.0902888, -0.0696121, -0.0580592, -0.0433832, -0.0346293, -0.0282124, -0.0213463, -0.0159142, -0.0117552, -0.00644686, -0.00352324, -0.00147341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.133713, 0.139326, 0.152482, 0.161771, 0.166848, 0.171517, 0.175987, 0.180421, 0.184851, 0.195049, 0.201325, 0.206781, 0.211394, 0.213386");
-            values ( \
-              "-0.0246617, -0.0342732, -0.0920832, -0.122653, -0.135427, -0.14468, -0.149215, -0.14669, -0.127107, -0.052165, -0.0249904, -0.0126469, -0.00706812, -0.00582981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.137092, 0.141448, 0.154168, 0.16357, 0.171259, 0.17814, 0.184598, 0.190864, 0.197124, 0.199698, 0.20421, 0.213963, 0.218509, 0.223585, 0.230503, 0.238139, 0.243576, 0.254449, 0.256107");
-            values ( \
-              "-0.0475809, -0.0604892, -0.135525, -0.177997, -0.204585, -0.222201, -0.232696, -0.236716, -0.226536, -0.216602, -0.187513, -0.108737, -0.0799839, -0.0553691, -0.0326398, -0.0179015, -0.0115596, -0.00462454, -0.00424613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.137801, 0.151618, 0.157919, 0.16254, 0.170814, 0.176129, 0.187636, 0.198185, 0.20833, 0.21837, 0.228407, 0.229531, 0.234028, 0.25029, 0.258637, 0.268844, 0.27523, 0.283321, 0.292674, 0.295919, 0.303439, 0.312034, 0.329222, 0.355023, 0.3865");
-            values ( \
-              "-0.0449168, -0.145893, -0.186287, -0.21165, -0.250235, -0.269795, -0.302447, -0.320794, -0.329528, -0.326693, -0.305864, -0.302186, -0.281923, -0.178591, -0.132747, -0.0896661, -0.0693671, -0.0496667, -0.0333321, -0.0290234, -0.0210139, -0.0144411, -0.00659943, -0.00186893, -0.000383668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.137867, 0.162239, 0.170577, 0.175884, 0.186498, 0.197723, 0.216018, 0.229129, 0.235254, 0.247506, 0.253288, 0.262315, 0.271643, 0.290154, 0.297456, 0.333391, 0.350406, 0.359384, 0.368887, 0.381185, 0.391289, 0.403539, 0.420845, 0.439146, 0.453211, 0.481342, 0.531894, 0.591913");
-            values ( \
-              "-0.0653036, -0.23471, -0.279815, -0.30233, -0.340029, -0.367687, -0.396782, -0.406539, -0.408807, -0.409762, -0.408103, -0.403286, -0.394205, -0.360789, -0.338475, -0.199603, -0.146952, -0.124137, -0.103328, -0.0810053, -0.0661222, -0.0514639, -0.035873, -0.0244649, -0.0181569, -0.00982732, -0.00294689, -0.000647296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.137118, 0.159116, 0.170232, 0.17696, 0.190352, 0.199689, 0.21755, 0.235784, 0.243225, 0.253146, 0.274266, 0.304164, 0.321548, 0.346286, 0.382782, 0.402433, 0.424894, 0.507223, 0.541944, 0.575835, 0.603215, 0.625219, 0.65029, 0.683716, 0.710437, 0.737714, 0.774083, 0.840986, 0.907888, 0.974791, 1.04169");
-            values ( \
-              "-0.0742251, -0.226519, -0.292902, -0.324634, -0.373097, -0.397635, -0.430556, -0.449928, -0.454886, -0.459694, -0.464333, -0.462507, -0.458099, -0.448652, -0.426906, -0.409268, -0.380302, -0.221891, -0.166329, -0.12374, -0.0965386, -0.0788019, -0.0623185, -0.0452765, -0.0350015, -0.0268869, -0.018816, -0.00954631, -0.00482159, -0.00243283, -0.00122352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.15301, 0.239124, 0.27188, 0.296035, 0.319417, 0.356818, 0.459361, 0.550051, 0.632697, 0.699107, 0.768529, 0.948551, 1.00164, 1.06668, 1.13358, 1.1968, 1.2406, 1.35835, 1.46416, 1.53106, 1.73177, 1.75102");
-            values ( \
-              "-0.334741, -0.4728, -0.490035, -0.495316, -0.495956, -0.494352, -0.479743, -0.460951, -0.435941, -0.404248, -0.349182, -0.190283, -0.154987, -0.119424, -0.0906511, -0.069462, -0.0575016, -0.034443, -0.0217042, -0.0160483, -0.00660617, -0.00630791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.179194, 0.183052, 0.183931, 0.18569, 0.188394, 0.193322, 0.199949, 0.200486, 0.203708, 0.206242, 0.211323, 0.215989, 0.220456, 0.224887, 0.229315, 0.230482, 0.236034, 0.2395, 0.242361, 0.245793, 0.248925, 0.251239, 0.252771, 0.255835, 0.261964, 0.265238");
-            values ( \
-              "-0.0262905, -0.0342382, -0.0353926, -0.0416196, -0.053684, -0.0795488, -0.101458, -0.104664, -0.115156, -0.122545, -0.135773, -0.144588, -0.149468, -0.146595, -0.127285, -0.119826, -0.0750567, -0.0522096, -0.0376711, -0.0249491, -0.0169704, -0.012641, -0.0104915, -0.00707702, -0.0032729, -0.00246358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.178378, 0.186969, 0.19238, 0.198668, 0.208082, 0.215778, 0.222663, 0.229124, 0.235392, 0.241654, 0.244213, 0.24874, 0.258494, 0.263041, 0.268117, 0.275035, 0.282673, 0.28811, 0.298986, 0.303109");
-            values ( \
-              "-0.0026128, -0.0658596, -0.0983651, -0.135255, -0.177786, -0.204416, -0.222064, -0.232638, -0.236622, -0.22652, -0.216635, -0.187487, -0.108733, -0.0799796, -0.0553697, -0.0326441, -0.0178953, -0.011562, -0.00461866, -0.00368086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.18118, 0.191047, 0.198407, 0.207268, 0.212874, 0.220841, 0.227101, 0.232327, 0.242912, 0.253038, 0.263082, 0.273121, 0.274243, 0.278728, 0.295127, 0.303355, 0.313305, 0.319934, 0.328313, 0.337487, 0.340688, 0.347941, 0.35623, 0.37281, 0.39843, 0.429546");
-            values ( \
-              "-0.0251484, -0.108419, -0.160259, -0.211598, -0.2385, -0.269653, -0.289005, -0.301972, -0.320772, -0.329297, -0.326708, -0.30571, -0.302148, -0.281969, -0.177854, -0.132737, -0.0905833, -0.0694083, -0.0490963, -0.033206, -0.0289673, -0.0212176, -0.0147811, -0.00696585, -0.00199352, -0.000418114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.18208, 0.203907, 0.213487, 0.220739, 0.23362, 0.242576, 0.260487, 0.269973, 0.280109, 0.298172, 0.316233, 0.325993, 0.336052, 0.355675, 0.37433, 0.39447, 0.40776, 0.421937, 0.4378, 0.44899, 0.466251, 0.473586, 0.488256, 0.517598, 0.564918, 0.621141");
-            values ( \
-              "-0.055142, -0.215551, -0.270261, -0.302647, -0.346528, -0.367883, -0.396192, -0.404155, -0.408866, -0.408413, -0.394849, -0.380552, -0.357908, -0.286963, -0.213902, -0.149423, -0.116285, -0.08806, -0.0640733, -0.0509561, -0.0355504, -0.0304983, -0.0223792, -0.0117905, -0.00389615, -0.000972639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.182928, 0.211004, 0.220458, 0.229138, 0.237475, 0.244372, 0.258168, 0.277071, 0.282945, 0.294694, 0.318964, 0.348599, 0.354653, 0.366761, 0.390996, 0.427491, 0.446935, 0.469573, 0.553169, 0.59502, 0.618547, 0.638151, 0.669443, 0.680922, 0.70388, 0.745959, 0.760495, 0.794886, 0.834188, 0.901091, 0.967994, 1.0349, 1.1018");
-            values ( \
-              "-0.088358, -0.271224, -0.320001, -0.353751, -0.380051, -0.397562, -0.424338, -0.447058, -0.45169, -0.458288, -0.46446, -0.462501, -0.461235, -0.457883, -0.448699, -0.426834, -0.409468, -0.380326, -0.219686, -0.154804, -0.125953, -0.105587, -0.07916, -0.0711317, -0.0572813, -0.0381613, -0.0331723, -0.0237747, -0.0161394, -0.00818047, -0.004128, -0.00208373, -0.00104647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.200978, 0.244867, 0.264983, 0.286072, 0.305001, 0.326634, 0.364415, 0.405596, 0.439501, 0.506404, 0.564701, 0.59513, 0.655986, 0.677702, 0.719848, 0.767092, 0.833995, 0.908827, 0.952667, 1.01957, 1.07263, 1.10752, 1.17442, 1.24724, 1.29836, 1.36527, 1.44302, 1.49005, 1.55695, 1.62385, 1.75766, 1.89146, 2.09217");
-            values ( \
-              "-0.378096, -0.412227, -0.45024, -0.47418, -0.485654, -0.492881, -0.496259, -0.493761, -0.489634, -0.479236, -0.46772, -0.460841, -0.443636, -0.436095, -0.417657, -0.38847, -0.32967, -0.259159, -0.221815, -0.172327, -0.139912, -0.121605, -0.092172, -0.067823, -0.0545099, -0.0407213, -0.0290332, -0.023569, -0.0175526, -0.0129952, -0.00713618, -0.00390717, -0.00161428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.274154, 0.278658, 0.292191, 0.302095, 0.307206, 0.311899, 0.316386, 0.320833, 0.325276, 0.335508, 0.341755, 0.347233, 0.351882, 0.358081, 0.358965");
-            values ( \
-              "-0.0238374, -0.0304475, -0.0888575, -0.121703, -0.134629, -0.144064, -0.148688, -0.146344, -0.126839, -0.0519786, -0.0250049, -0.0126234, -0.00702527, -0.00321128, -0.00300296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.276285, 0.28262, 0.286394, 0.292004, 0.300055, 0.304211, 0.311975, 0.3189, 0.325373, 0.331656, 0.337931, 0.340412, 0.342548, 0.345021, 0.354782, 0.359332, 0.364409, 0.366714, 0.371326, 0.374888, 0.37897, 0.384412, 0.395112");
-            values ( \
-              "-0.0412768, -0.0619441, -0.0831843, -0.118142, -0.157907, -0.175357, -0.203214, -0.221042, -0.232341, -0.235967, -0.226431, -0.216765, -0.20513, -0.187351, -0.108678, -0.0799342, -0.0553452, -0.0465817, -0.0326363, -0.0246887, -0.0178928, -0.0115509, -0.00473521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.277352, 0.287025, 0.294559, 0.303498, 0.310971, 0.317174, 0.328722, 0.339288, 0.349447, 0.359496, 0.369541, 0.370658, 0.375125, 0.391727, 0.399779, 0.40795, 0.414577, 0.420525, 0.428456, 0.435187, 0.444319, 0.456495, 0.479402, 0.506123");
-            values ( \
-              "-0.0312964, -0.105043, -0.157349, -0.209653, -0.245161, -0.268696, -0.301679, -0.32033, -0.32914, -0.326499, -0.30567, -0.302073, -0.282028, -0.176767, -0.132725, -0.0971999, -0.0746026, -0.0585097, -0.0419265, -0.0314747, -0.0212569, -0.0124065, -0.00416666, -0.00112996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.277639, 0.292755, 0.300578, 0.310618, 0.317566, 0.330416, 0.339407, 0.357388, 0.366393, 0.376944, 0.395005, 0.413066, 0.42283, 0.432885, 0.452504, 0.471177, 0.491308, 0.504519, 0.51861, 0.534809, 0.54624, 0.563228, 0.570431, 0.584837, 0.613649, 0.660891, 0.716905");
-            values ( \
-              "-0.0364388, -0.158814, -0.21516, -0.272105, -0.302226, -0.346707, -0.367569, -0.396531, -0.403785, -0.408962, -0.408329, -0.394938, -0.38055, -0.357908, -0.286981, -0.213851, -0.149409, -0.116453, -0.0883392, -0.0638435, -0.0505202, -0.0354458, -0.0304958, -0.0225022, -0.012006, -0.00397496, -0.00100105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.288304, 0.31008, 0.318789, 0.332339, 0.341455, 0.359374, 0.377983, 0.384026, 0.392084, 0.408199, 0.416022, 0.431669, 0.451605, 0.487396, 0.506983, 0.525793, 0.564068, 0.572234, 0.639103, 0.675113, 0.689721, 0.714574, 0.742977, 0.766708, 0.794891, 0.832468, 0.854231, 0.906668, 0.966596, 1.0335, 1.1673");
-            values ( \
-              "-0.231496, -0.284249, -0.326018, -0.374648, -0.398236, -0.431073, -0.450478, -0.454538, -0.458687, -0.463396, -0.464342, -0.464196, -0.461086, -0.449035, -0.438653, -0.425778, -0.384338, -0.371323, -0.239557, -0.178676, -0.157779, -0.126945, -0.0981706, -0.0788767, -0.0605677, -0.0422304, -0.034244, -0.0205657, -0.0112583, -0.00569327, -0.00144674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.28853, 0.315217, 0.329761, 0.344814, 0.363709, 0.384398, 0.406186, 0.429427, 0.461406, 0.498842, 0.536515, 0.597278, 0.644926, 0.692138, 0.759041, 0.806134, 0.864126, 0.931029, 1.00596, 1.04987, 1.11677, 1.15223, 1.20431, 1.27121, 1.34471, 1.39636, 1.46326, 1.5397, 1.65269, 1.71959, 1.8534, 1.9872, 2.18791");
-            values ( \
-              "-0.239064, -0.319577, -0.377632, -0.419504, -0.453512, -0.475484, -0.487726, -0.494042, -0.49631, -0.494201, -0.489735, -0.480257, -0.471279, -0.460806, -0.441667, -0.422935, -0.388457, -0.329779, -0.259162, -0.221678, -0.172296, -0.149972, -0.121717, -0.0923223, -0.0677428, -0.0542653, -0.04059, -0.0290566, -0.0176298, -0.0131019, -0.00720739, -0.00395856, -0.00159688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.466825, 0.482031, 0.491447, 0.503458, 0.514398, 0.519299, 0.524115, 0.528923, 0.541238, 0.545771, 0.552198, 0.557808, 0.563199, 0.566949");
-            values ( \
-              "-0.00439625, -0.0398654, -0.074255, -0.107795, -0.130849, -0.136387, -0.136213, -0.119668, -0.0416873, -0.0248459, -0.0111776, -0.00567899, -0.00299167, -0.00222315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.470618, 0.484091, 0.499483, 0.51411, 0.521686, 0.528671, 0.535385, 0.542088, 0.548806, 0.564374, 0.569382, 0.576441, 0.584272, 0.589858, 0.601028, 0.603781");
-            values ( \
-              "-0.0179135, -0.0637205, -0.133213, -0.184331, -0.203305, -0.216456, -0.221989, -0.215815, -0.18514, -0.0772896, -0.0542055, -0.0319414, -0.0174426, -0.0112077, -0.00445741, -0.0038659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.471209, 0.480226, 0.484027, 0.489343, 0.501213, 0.51146, 0.519947, 0.532453, 0.543708, 0.547039, 0.550369, 0.559015, 0.564752, 0.569854, 0.574659, 0.576919, 0.579932, 0.585959, 0.596452, 0.607395, 0.614463, 0.620767, 0.62602, 0.631998, 0.640479, 0.64935, 0.656157, 0.66977, 0.67986");
-            values ( \
-              "-0.0331714, -0.0620064, -0.0760574, -0.101926, -0.166042, -0.213219, -0.244975, -0.281725, -0.303216, -0.308122, -0.311569, -0.31708, -0.315716, -0.310127, -0.300038, -0.293144, -0.281024, -0.247762, -0.18167, -0.123612, -0.0944453, -0.0734992, -0.0593872, -0.0463735, -0.0323328, -0.0221451, -0.0165276, -0.00899965, -0.00645339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.467479, 0.520249, 0.534546, 0.543684, 0.550127, 0.55619, 0.563306, 0.566208, 0.569524, 0.576145, 0.582518, 0.595266, 0.600896, 0.61004, 0.619413, 0.638089, 0.641081, 0.645071, 0.652783, 0.662415, 0.681495, 0.69389, 0.698385, 0.702172, 0.707221, 0.71678, 0.729139, 0.732544, 0.739352, 0.751581, 0.768872, 0.77485, 0.7766, 0.7801, 0.7871, 0.801101, 0.829102, 0.879578, 0.93947");
-            values ( \
-              "-0.00381338, -0.275982, -0.325609, -0.348296, -0.36214, -0.371578, -0.382412, -0.386014, -0.389724, -0.395754, -0.399854, -0.403401, -0.402819, -0.399204, -0.391261, -0.359159, -0.351062, -0.338454, -0.31001, -0.271194, -0.198955, -0.159468, -0.146865, -0.136867, -0.124422, -0.103476, -0.0810378, -0.0757091, -0.0660109, -0.0514045, -0.0358472, -0.0316451, -0.0305136, -0.0283593, -0.0244872, -0.0182, -0.00988179, -0.00297068, -0.000655714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.467856, 0.517008, 0.531323, 0.546025, 0.566973, 0.57677, 0.58579, 0.60383, 0.622259, 0.653337, 0.667781, 0.694464, 0.709312, 0.732338, 0.770534, 0.778988, 0.79026, 0.843023, 0.879914, 0.895755, 0.923821, 0.955896, 0.973497, 0.994319, 1.01956, 1.05474, 1.06929, 1.09838, 1.15658, 1.22348, 1.29039, 1.35729, 1.42419");
-            values ( \
-              "-0.0032249, -0.277412, -0.335299, -0.378574, -0.419728, -0.433261, -0.442806, -0.455236, -0.460949, -0.460828, -0.457655, -0.448136, -0.440722, -0.425574, -0.384426, -0.370951, -0.350406, -0.244519, -0.181342, -0.1585, -0.123944, -0.092568, -0.0786809, -0.0647661, -0.05097, -0.0363062, -0.0315502, -0.0237675, -0.0132667, -0.00671715, -0.0033863, -0.00170931, -0.00085791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.495399, 0.529759, 0.556931, 0.573096, 0.586239, 0.602637, 0.624502, 0.654681, 0.667866, 0.694238, 0.737145, 0.819708, 0.886611, 0.92294, 0.971378, 1.00046, 1.03375, 1.07064, 1.13755, 1.21228, 1.25605, 1.32296, 1.35873, 1.41133, 1.47823, 1.55035, 1.60094, 1.66784, 1.71095, 1.79494, 1.86184, 1.92875, 2.06255, 2.19636, 2.39706");
-            values ( \
-              "-0.311601, -0.339228, -0.416243, -0.445812, -0.462812, -0.477127, -0.488249, -0.494494, -0.495112, -0.494481, -0.490324, -0.477346, -0.463682, -0.454576, -0.439626, -0.42837, -0.412203, -0.388373, -0.32966, -0.25924, -0.221871, -0.172442, -0.149927, -0.121422, -0.0920846, -0.0679642, -0.0547022, -0.0409147, -0.0338871, -0.0234502, -0.0174188, -0.0129404, -0.00711713, -0.00390788, -0.00157955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.868927, 0.87517, 0.880315, 0.881601, 0.884174, 0.888393, 0.890615, 0.895059, 0.899236, 0.906816, 0.910139, 0.916785, 0.918407, 0.92165, 0.925443, 0.931771, 0.937633, 0.943303, 0.948961, 0.949639, 0.950543, 0.952352, 0.955913, 0.956696, 0.9577, 0.958847, 0.960683, 0.963051, 0.963581, 0.964641, 0.966762, 0.970712, 0.972197, 0.972775, 0.973931, 0.976243, 0.980866, 0.990113, 0.991018");
-            values ( \
-              "-0.00828423, -0.0116973, -0.0146233, -0.016717, -0.019008, -0.0246148, -0.0282807, -0.0378704, -0.0486229, -0.0647126, -0.0714322, -0.0840109, -0.0870396, -0.0926869, -0.0990668, -0.108385, -0.114908, -0.116798, -0.105973, -0.103412, -0.0993986, -0.0900336, -0.0696381, -0.0653024, -0.0599278, -0.0540724, -0.0454719, -0.0358622, -0.0339541, -0.0303848, -0.0241764, -0.0154748, -0.01307, -0.0122409, -0.0107286, -0.00822742, -0.00480905, -0.00162067, -0.00153616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.87058, 0.88547, 0.887986, 0.890171, 0.892862, 0.894207, 0.896049, 0.899733, 0.904779, 0.90978, 0.913099, 0.916417, 0.919736, 0.920295, 0.92253, 0.927589, 0.932104, 0.935824, 0.939917, 0.941971, 0.944025, 0.946079, 0.948133, 0.950062, 0.95199, 0.953919, 0.955848, 0.957745, 0.959643, 0.96154, 0.963437, 0.965331, 0.967226, 0.96912, 0.971014, 0.971573, 0.973251, 0.979883, 0.98384, 0.985794, 0.987338, 0.988346, 0.990363, 0.992598, 0.993824, 0.99505, 0.997503, 0.999956, 1.00283, 1.0056");
-            values ( \
-              "-0.00820892, -0.0312854, -0.0358611, -0.04025, -0.04619, -0.0493807, -0.0543395, -0.0665975, -0.0821152, -0.096753, -0.10606, -0.115043, -0.123702, -0.125375, -0.131354, -0.144372, -0.155309, -0.163922, -0.173182, -0.177415, -0.181371, -0.18505, -0.188452, -0.190126, -0.191287, -0.191935, -0.19207, -0.191703, -0.19084, -0.189481, -0.187625, -0.18435, -0.18021, -0.175204, -0.169334, -0.167025, -0.157423, -0.115155, -0.0910456, -0.0807903, -0.0733607, -0.0689072, -0.0603008, -0.0512311, -0.0468836, -0.0428831, -0.0362049, -0.0303517, -0.0246497, -0.0196183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.875114, 0.891571, 0.895881, 0.901628, 0.929292, 0.937423, 0.951959, 0.964712, 0.976522, 0.987943, 0.999345, 1.00323, 1.00724, 1.02224, 1.03523, 1.04718, 1.05913, 1.07036, 1.0768, 1.08967, 1.10446");
-            values ( \
-              "-0.024822, -0.0553684, -0.0667281, -0.0845693, -0.182691, -0.207471, -0.245779, -0.270451, -0.286182, -0.291438, -0.279891, -0.269568, -0.254022, -0.171794, -0.110001, -0.0696509, -0.0430708, -0.0269707, -0.0205618, -0.0117618, -0.00683613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.875457, 0.902758, 0.937821, 0.950449, 0.964588, 0.986759, 1.00696, 1.02644, 1.04588, 1.06612, 1.07133, 1.07829, 1.10896, 1.12742, 1.14468, 1.15709, 1.16728, 1.17957, 1.19693, 1.21727, 1.22928, 1.24085, 1.2582, 1.28383, 1.30431");
-            values ( \
-              "-0.00807916, -0.100049, -0.235475, -0.275489, -0.312361, -0.353447, -0.375542, -0.383704, -0.377661, -0.348147, -0.334454, -0.311669, -0.197831, -0.142531, -0.102872, -0.0806438, -0.065806, -0.0512548, -0.0357571, -0.0232761, -0.0180823, -0.0141846, -0.00980324, -0.00565398, -0.00392365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.881592, 0.955366, 0.990709, 1.01035, 1.04545, 1.06799, 1.08561, 1.12266, 1.13954, 1.18402, 1.21575, 1.26865, 1.3214, 1.3667, 1.41962, 1.48255, 1.54958, 1.60765, 1.66846");
-            values ( \
-              "-0.0360691, -0.308801, -0.390272, -0.417069, -0.443972, -0.44924, -0.44986, -0.441908, -0.434466, -0.400895, -0.354775, -0.251327, -0.163842, -0.109956, -0.067432, -0.0369139, -0.0192561, -0.0107449, -0.00591961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.901337, 0.933495, 0.97013, 0.991795, 1.01585, 1.05054, 1.0845, 1.09476, 1.11529, 1.15634, 1.25138, 1.29521, 1.32743, 1.39186, 1.44646, 1.49946, 1.56637, 1.64126, 1.68513, 1.75204, 1.78758, 1.8398, 1.9067, 1.97984, 2.0312, 2.0981, 2.17526, 2.28876, 2.35567, 2.48947, 2.62328, 2.82399");
-            values ( \
-              "-0.222642, -0.238584, -0.359986, -0.407551, -0.442485, -0.472112, -0.483873, -0.485922, -0.487143, -0.486394, -0.474516, -0.46725, -0.46034, -0.442357, -0.420468, -0.38839, -0.32973, -0.25917, -0.221721, -0.17233, -0.149956, -0.121635, -0.0922567, -0.067801, -0.0543808, -0.0406765, -0.0290275, -0.0175719, -0.0130585, -0.00718339, -0.00394534, -0.00159164" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0123061, 0.0123228, 0.0123435, 0.0123626, 0.0123759, 0.0123834", \
-            "0.0145934, 0.0146005, 0.0146125, 0.0146268, 0.0146387, 0.0146463", \
-            "0.0159927, 0.0159939, 0.0159976, 0.0160045, 0.0160126, 0.016019", \
-            "0.0168101, 0.0168099, 0.0168109, 0.0168126, 0.0168161, 0.0168202", \
-            "0.0172398, 0.0172397, 0.0172397, 0.0172398, 0.0172404, 0.0172419", \
-            "0.0174797, 0.0174795, 0.0174792, 0.0174788, 0.0174784, 0.0174783" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0167538, 0.0167798, 0.0167967, 0.0168155, 0.0168302, 0.0168391", \
-            "0.0188002, 0.0188032, 0.0188099, 0.0188198, 0.0188294, 0.0188365", \
-            "0.0203025, 0.0203026, 0.0202968, 0.0202977, 0.0203006, 0.0203038", \
-            "0.0219086, 0.0219068, 0.0218895, 0.021877, 0.0218681, 0.0218633", \
-            "0.0230136, 0.0230088, 0.0229999, 0.0229878, 0.0229741, 0.0229629", \
-            "0.0239432, 0.0239658, 0.0240297, 0.0240662, 0.02408, 0.0240821" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.107623, 0.122264, 0.150213, 0.206569, 0.327951, 0.596989", \
-            "0.112019, 0.126612, 0.154448, 0.210689, 0.33198, 0.600979", \
-            "0.120535, 0.135044, 0.162717, 0.218772, 0.339916, 0.608812", \
-            "0.137301, 0.151717, 0.179269, 0.235173, 0.356186, 0.624966", \
-            "0.160076, 0.174917, 0.202965, 0.259178, 0.380517, 0.649334", \
-            "0.176115, 0.192295, 0.221353, 0.278051, 0.399318, 0.668728" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0333725, 0.0483322, 0.0813873, 0.157261, 0.331539, 0.725303", \
-            "0.0333891, 0.048317, 0.0813814, 0.157259, 0.331537, 0.725302", \
-            "0.0332954, 0.0482258, 0.0813223, 0.157231, 0.331533, 0.725304", \
-            "0.0336258, 0.0484558, 0.0813496, 0.157222, 0.331507, 0.725296", \
-            "0.0357286, 0.0505326, 0.0828804, 0.158074, 0.331839, 0.725356", \
-            "0.0411278, 0.0551768, 0.0862097, 0.159672, 0.332534, 0.725976" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.17496, 0.190102, 0.216887, 0.265196, 0.360387, 0.564682", \
-            "0.180234, 0.195475, 0.222088, 0.270676, 0.365919, 0.570267", \
-            "0.192818, 0.207921, 0.234725, 0.283307, 0.378635, 0.58307", \
-            "0.219143, 0.2342, 0.260954, 0.30963, 0.405217, 0.60966", \
-            "0.269221, 0.284821, 0.312266, 0.361785, 0.457826, 0.662482", \
-            "0.350341, 0.367703, 0.397931, 0.451019, 0.550432, 0.756635" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0342125, 0.0467476, 0.0717823, 0.124534, 0.241807, 0.513649", \
-            "0.0342908, 0.0467371, 0.0718514, 0.12451, 0.241792, 0.513655", \
-            "0.034301, 0.0468106, 0.0718325, 0.124484, 0.241771, 0.513638", \
-            "0.0342697, 0.0468021, 0.0717841, 0.124336, 0.241732, 0.513628", \
-            "0.0364746, 0.0490083, 0.0736707, 0.125489, 0.242045, 0.513728", \
-            "0.0419309, 0.0552639, 0.0806787, 0.132508, 0.247423, 0.515802" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0816461, 0.0871733, 0.102884, 0.108709, 0.114004, 0.11911, 0.124207, 0.129293, 0.138286, 0.14798, 0.155748, 0.166067, 0.178453, 0.194052, 0.198287");
-            values ( \
-              "0.0220524, 0.0391565, 0.105278, 0.119965, 0.127054, 0.130199, 0.127442, 0.116349, 0.0716089, 0.0393712, 0.0239099, 0.0121716, 0.00549361, 0.00214431, 0.00182567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0835913, 0.0894352, 0.101977, 0.111377, 0.119383, 0.126825, 0.134121, 0.14141, 0.145507, 0.164113, 0.174473, 0.183619, 0.195494, 0.209532, 0.230927, 0.232329");
-            values ( \
-              "0.0374258, 0.0626373, 0.13655, 0.173939, 0.193104, 0.201364, 0.201816, 0.194152, 0.184057, 0.102984, 0.0682268, 0.0463398, 0.0273406, 0.0143758, 0.00537344, 0.00515193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0878778, 0.102317, 0.117766, 0.125033, 0.137477, 0.149516, 0.161536, 0.174329, 0.186208, 0.212871, 0.231626, 0.248531, 0.266833, 0.28964, 0.314322, 0.335747, 0.357571");
-            values ( \
-              "0.0652914, 0.164959, 0.236491, 0.255761, 0.272784, 0.275101, 0.267827, 0.249777, 0.220211, 0.135977, 0.0898941, 0.0599197, 0.037598, 0.0204842, 0.0104861, 0.00574843, 0.0035343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.088125, 0.101835, 0.112579, 0.120347, 0.126421, 0.138569, 0.14995, 0.166879, 0.182947, 0.194671, 0.217295, 0.229058, 0.241605, 0.264309, 0.313369, 0.349424, 0.377034, 0.396235, 0.421259, 0.435284, 0.463336, 0.480543, 0.506942, 0.542141, 0.612539, 0.693891");
-            values ( \
-              "0.0434706, 0.171886, 0.23762, 0.271915, 0.291559, 0.317436, 0.329043, 0.333599, 0.329265, 0.323244, 0.307428, 0.29665, 0.282867, 0.249836, 0.164785, 0.112055, 0.0809032, 0.0636216, 0.0459774, 0.0381429, 0.0259527, 0.0204643, 0.014143, 0.00852251, 0.00283156, 0.000749286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0984332, 0.115273, 0.128786, 0.140111, 0.153031, 0.170121, 0.184224, 0.197855, 0.220067, 0.242935, 0.310525, 0.339365, 0.370432, 0.392897, 0.437828, 0.541876, 0.615099, 0.646027, 0.679615, 0.724398, 0.766711, 0.790376, 0.837707, 0.878509, 0.919633, 0.974465, 1.05582, 1.13717, 1.21852, 1.38122");
-            values ( \
-              "0.256064, 0.260979, 0.315274, 0.342643, 0.360112, 0.370545, 0.372521, 0.371826, 0.367292, 0.360676, 0.33561, 0.32311, 0.307715, 0.294619, 0.262544, 0.175268, 0.121538, 0.10262, 0.0846778, 0.0647882, 0.0498434, 0.0429433, 0.0316024, 0.0241969, 0.0184331, 0.0127208, 0.00726673, 0.00411199, 0.00234182, 0.000754127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.109231, 0.167047, 0.185337, 0.20514, 0.234381, 0.266581, 0.29952, 0.397391, 0.500022, 0.581374, 0.66355, 0.726078, 0.80743, 0.859015, 1.05561, 1.11588, 1.17958, 1.26093, 1.31851, 1.3917, 1.47305, 1.51708, 1.60518, 1.68006, 1.76141, 1.82136, 1.90271, 1.98406, 2.14677, 2.30947, 2.47217, 2.79758");
-            values ( \
-              "0.378649, 0.38627, 0.394069, 0.396325, 0.395523, 0.391643, 0.38698, 0.370559, 0.351823, 0.33563, 0.317282, 0.300773, 0.274372, 0.255485, 0.178964, 0.15688, 0.135372, 0.110628, 0.0953073, 0.0783441, 0.0623954, 0.0550634, 0.0427196, 0.0341892, 0.0268275, 0.0223325, 0.0174608, 0.0135489, 0.00816929, 0.00490504, 0.00293544, 0.0010403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0999439, 0.105533, 0.121234, 0.127065, 0.132376, 0.137474, 0.142573, 0.147661, 0.156658, 0.166344, 0.174082, 0.184456, 0.196783, 0.212255, 0.21589");
-            values ( \
-              "0.0219501, 0.0391347, 0.105423, 0.119559, 0.127226, 0.129953, 0.127576, 0.116195, 0.0715847, 0.0393822, 0.0239615, 0.0121566, 0.00550448, 0.0021629, 0.00205435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.102226, 0.107563, 0.120258, 0.129643, 0.137644, 0.145083, 0.152377, 0.159663, 0.163779, 0.18244, 0.192742, 0.201847, 0.213761, 0.227797, 0.236908, 0.249055, 0.25484");
-            values ( \
-              "0.0386738, 0.0621902, 0.136824, 0.174062, 0.193257, 0.20139, 0.201906, 0.19414, 0.184017, 0.102696, 0.0681825, 0.046387, 0.0273225, 0.0143674, 0.00947608, 0.00540222, 0.00448029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.1055, 0.120796, 0.136376, 0.143508, 0.155951, 0.167988, 0.180116, 0.1928, 0.249675, 0.268223, 0.283479, 0.306864, 0.339127, 0.346835");
-            values ( \
-              "0.0625571, 0.164891, 0.236994, 0.255804, 0.272817, 0.275131, 0.26774, 0.249778, 0.0907139, 0.0581199, 0.0394204, 0.0211485, 0.00878531, 0.00745848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.108337, 0.124078, 0.130652, 0.142577, 0.148389, 0.156137, 0.168003, 0.184725, 0.190285, 0.201347, 0.212719, 0.235348, 0.247039, 0.25951, 0.284297, 0.333901, 0.350896, 0.374211, 0.395411, 0.409601, 0.427933, 0.440318, 0.45683, 0.485655, 0.518359, 0.544412, 0.596518, 0.676807, 0.758159");
-            values ( \
-              "0.0826765, 0.200206, 0.238089, 0.286459, 0.301988, 0.316676, 0.329075, 0.333602, 0.332701, 0.329108, 0.323265, 0.307407, 0.296716, 0.283038, 0.2466, 0.160716, 0.13482, 0.103684, 0.0805836, 0.0675324, 0.053361, 0.0453701, 0.0363807, 0.0244576, 0.0155239, 0.0107219, 0.00493868, 0.00133345, 0.000356427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.113599, 0.140618, 0.159888, 0.171264, 0.188425, 0.202481, 0.216073, 0.238283, 0.261152, 0.328752, 0.388625, 0.411113, 0.456089, 0.560115, 0.633471, 0.697671, 0.742233, 0.78506, 0.856925, 0.897043, 0.991506, 1.07286, 1.15421, 1.17824");
-            values ( \
-              "0.18202, 0.293813, 0.34568, 0.36042, 0.370538, 0.372687, 0.371746, 0.367412, 0.360579, 0.335601, 0.307721, 0.294622, 0.262503, 0.175252, 0.121438, 0.0847586, 0.064939, 0.0498038, 0.031396, 0.0241455, 0.012825, 0.00732554, 0.00414674, 0.00381325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.121751, 0.175651, 0.192073, 0.207924, 0.223043, 0.251714, 0.284194, 0.383868, 0.51792, 0.599272, 0.681448, 0.743976, 0.825328, 0.876913, 1.07351, 1.13378, 1.19748, 1.27883, 1.3364, 1.4096, 1.49095, 1.54882, 1.63183, 1.7035, 1.78486, 1.83948, 1.92083, 2.00219, 2.08354, 2.16489, 2.32759, 2.57165, 2.8157");
-            values ( \
-              "0.308985, 0.379722, 0.390571, 0.395065, 0.39642, 0.395505, 0.391773, 0.375971, 0.351752, 0.335701, 0.317349, 0.300706, 0.274439, 0.255419, 0.178904, 0.156938, 0.135314, 0.110684, 0.0953601, 0.0782933, 0.0624447, 0.0529478, 0.041604, 0.0336592, 0.0263535, 0.0223485, 0.017421, 0.0135698, 0.0105407, 0.00819278, 0.00492994, 0.00228591, 0.00106594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.140101, 0.145123, 0.160582, 0.166381, 0.171661, 0.176755, 0.181839, 0.186916, 0.195893, 0.205592, 0.213411, 0.223602, 0.236071, 0.251862, 0.260876");
-            values ( \
-              "0.0242794, 0.040576, 0.106031, 0.120128, 0.127598, 0.130316, 0.127816, 0.116386, 0.0717185, 0.0394017, 0.0238353, 0.0122315, 0.00548517, 0.00211389, 0.00144237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.142996, 0.148245, 0.157301, 0.16402, 0.168856, 0.176823, 0.184242, 0.191522, 0.198794, 0.203028, 0.222021, 0.231967, 0.240828, 0.24707, 0.252984, 0.26087, 0.267015, 0.274166, 0.2837, 0.30277, 0.304676");
-            values ( \
-              "0.0441867, 0.0713199, 0.127192, 0.15814, 0.174996, 0.193862, 0.201852, 0.202204, 0.194373, 0.183809, 0.100934, 0.0678994, 0.0466708, 0.0354305, 0.0271901, 0.0189447, 0.014296, 0.0103159, 0.00665611, 0.00270505, 0.00256162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.145011, 0.15301, 0.159876, 0.168529, 0.175387, 0.182467, 0.194887, 0.206911, 0.219032, 0.23171, 0.242904, 0.267299, 0.28716, 0.304113, 0.325203, 0.348446, 0.374576, 0.404439, 0.410216");
-            values ( \
-              "0.0447312, 0.120094, 0.166751, 0.212068, 0.237923, 0.256438, 0.27317, 0.275361, 0.267859, 0.249843, 0.222257, 0.14434, 0.0936487, 0.0626118, 0.0365955, 0.0196912, 0.00964851, 0.00406344, 0.00364923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.144864, 0.159101, 0.166286, 0.176639, 0.183512, 0.196634, 0.207, 0.223769, 0.229265, 0.240256, 0.251695, 0.2751, 0.286056, 0.298577, 0.321973, 0.370717, 0.383395, 0.408752, 0.431605, 0.44666, 0.466169, 0.482684, 0.500879, 0.526692, 0.553013, 0.573096, 0.61326, 0.689502, 0.770854");
-            values ( \
-              "0.0327578, 0.174525, 0.221044, 0.269991, 0.292461, 0.319497, 0.329423, 0.333776, 0.332837, 0.329219, 0.323331, 0.30676, 0.296685, 0.282933, 0.248769, 0.164236, 0.1444, 0.109103, 0.0833459, 0.0691744, 0.0538487, 0.0433538, 0.0339529, 0.0237838, 0.0165057, 0.0124331, 0.00693628, 0.00204851, 0.000542663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.153398, 0.167922, 0.185538, 0.199263, 0.209729, 0.226574, 0.240775, 0.254516, 0.276721, 0.299591, 0.367218, 0.396021, 0.426863, 0.449551, 0.494928, 0.598754, 0.673304, 0.702729, 0.734658, 0.777231, 0.824661, 0.851213, 0.904316, 0.949784, 1.01898, 1.10034, 1.18169, 1.26304, 1.42574");
-            values ( \
-              "0.215338, 0.240412, 0.316697, 0.34772, 0.360709, 0.370631, 0.372747, 0.371846, 0.367408, 0.360632, 0.33559, 0.323105, 0.307831, 0.294622, 0.262188, 0.175088, 0.120525, 0.10259, 0.0854762, 0.0663219, 0.0494442, 0.0418175, 0.0295936, 0.021949, 0.0138195, 0.0078997, 0.00447382, 0.00254736, 0.000819994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.163494, 0.228219, 0.261593, 0.291779, 0.323508, 0.420888, 0.55647, 0.719998, 0.863878, 1.23603, 1.37495, 1.44815, 1.58737, 1.67039, 1.82341, 2.04074, 2.36615, 2.36736");
-            values ( \
-              "0.352625, 0.389299, 0.396435, 0.395381, 0.391681, 0.376237, 0.351746, 0.317349, 0.274437, 0.135326, 0.0953452, 0.0783092, 0.0529647, 0.0416201, 0.02637, 0.0135516, 0.00491095, 0.00490133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.22717, 0.233721, 0.235448, 0.23679, 0.238131, 0.240435, 0.242739, 0.245384, 0.247198, 0.248558, 0.25132, 0.253788, 0.255066, 0.256717, 0.257463, 0.258956, 0.260139, 0.26185, 0.263412, 0.264918, 0.265422, 0.266429, 0.266933, 0.26794, 0.268948, 0.269955, 0.270962, 0.272335, 0.273021, 0.275914, 0.278412, 0.280275, 0.281516, 0.282758, 0.285014, 0.286143, 0.287271, 0.290967, 0.292914, 0.29347, 0.295602, 0.297733, 0.301116, 0.302795, 0.303541, 0.30578, 0.308737, 0.310215, 0.312642, 0.316973");
-            values ( \
-              "0.0527127, 0.0571592, 0.0657484, 0.0717975, 0.0775051, 0.086375, 0.0945067, 0.10293, 0.108315, 0.111366, 0.117163, 0.121712, 0.123221, 0.125601, 0.126513, 0.127731, 0.128366, 0.129138, 0.128894, 0.128379, 0.127994, 0.126986, 0.126363, 0.124878, 0.123077, 0.120958, 0.118523, 0.113893, 0.110777, 0.0968126, 0.0836598, 0.0743937, 0.0689339, 0.0638625, 0.0558088, 0.0521353, 0.0486973, 0.0386123, 0.0340193, 0.0328178, 0.028759, 0.0251159, 0.0201371, 0.0178377, 0.0169698, 0.0145975, 0.0121118, 0.0110089, 0.00943592, 0.00705225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.224433, 0.237318, 0.240482, 0.243709, 0.248922, 0.25328, 0.256846, 0.261385, 0.267814, 0.271035, 0.275328, 0.277882, 0.280686, 0.283586, 0.289388, 0.295869, 0.300884, 0.303556, 0.307118, 0.314243, 0.319362, 0.322178, 0.326453, 0.332106, 0.336858, 0.342544, 0.350675, 0.354508, 0.359939, 0.367181, 0.381664, 0.405735, 0.434926");
-            values ( \
-              "0.0177326, 0.096927, 0.115276, 0.132124, 0.155405, 0.169976, 0.180627, 0.190907, 0.199725, 0.201594, 0.201787, 0.200581, 0.198045, 0.193752, 0.178311, 0.149094, 0.124906, 0.113546, 0.099257, 0.0746856, 0.0606314, 0.0538189, 0.0447496, 0.0348505, 0.0281772, 0.0217583, 0.0149549, 0.0125595, 0.00980781, 0.00704532, 0.00360708, 0.0011486, 0.000315342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.224547, 0.23644, 0.239373, 0.243925, 0.248901, 0.25626, 0.262337, 0.267091, 0.273746, 0.279561, 0.291596, 0.303631, 0.306456, 0.309371, 0.315201, 0.31871, 0.323388, 0.330529, 0.344813, 0.351779, 0.36407, 0.374145, 0.381741, 0.389622, 0.403268, 0.409561, 0.422148, 0.432805, 0.447247, 0.466502, 0.502948, 0.545425");
-            values ( \
-              "0.00478551, 0.106036, 0.126643, 0.157359, 0.186007, 0.219562, 0.241212, 0.253878, 0.265994, 0.272127, 0.275149, 0.268044, 0.265025, 0.261398, 0.252246, 0.245178, 0.233865, 0.212836, 0.165736, 0.145015, 0.111764, 0.0889398, 0.0743432, 0.0613301, 0.0434293, 0.0368991, 0.0264338, 0.0198583, 0.0134318, 0.00785531, 0.00262916, 0.00070449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.224136, 0.248766, 0.263447, 0.267861, 0.276688, 0.289275, 0.29581, 0.304524, 0.313677, 0.331985, 0.344409, 0.359514, 0.383135, 0.402306, 0.46043, 0.476093, 0.498359, 0.516609, 0.530726, 0.550619, 0.56714, 0.585332, 0.611142, 0.637456, 0.657531, 0.697681, 0.773465, 0.854817");
-            values ( \
-              "0.00564242, 0.2032, 0.274455, 0.289301, 0.310884, 0.327636, 0.331518, 0.333551, 0.332819, 0.325908, 0.318259, 0.306775, 0.282949, 0.255487, 0.155824, 0.13222, 0.102867, 0.0828036, 0.0695123, 0.0538597, 0.0433571, 0.0339504, 0.0237877, 0.0165079, 0.0124365, 0.0069392, 0.00206882, 0.000547526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.238385, 0.258447, 0.270105, 0.278902, 0.287184, 0.294326, 0.30861, 0.324121, 0.339111, 0.361294, 0.384145, 0.451833, 0.510494, 0.534089, 0.581278, 0.684191, 0.764102, 0.812626, 0.844195, 0.885104, 0.919618, 0.957872, 1.01211, 1.06747, 1.10971, 1.19106, 1.27241, 1.35376, 1.51647");
-            values ( \
-              "0.217495, 0.267559, 0.314843, 0.337358, 0.352333, 0.360228, 0.370035, 0.372701, 0.37226, 0.367358, 0.360915, 0.335599, 0.308336, 0.294625, 0.260766, 0.174354, 0.116483, 0.0887859, 0.0737667, 0.0574243, 0.0462834, 0.0362674, 0.0253786, 0.0176066, 0.0132736, 0.0075587, 0.00430472, 0.00242625, 0.000771118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.24882, 0.298578, 0.315859, 0.331292, 0.345986, 0.373049, 0.40631, 0.506283, 0.640836, 0.722188, 0.804362, 0.866892, 0.948243, 0.999829, 1.19643, 1.2567, 1.3204, 1.40175, 1.45932, 1.53252, 1.61387, 1.67173, 1.75476, 1.82643, 1.90778, 1.9624, 2.04375, 2.1251, 2.20645, 2.28781, 2.45051, 2.69457, 2.93862");
-            values ( \
-              "0.365897, 0.379121, 0.390996, 0.395293, 0.396581, 0.395734, 0.391947, 0.376068, 0.351753, 0.335702, 0.317351, 0.300704, 0.274439, 0.255418, 0.178905, 0.156936, 0.135315, 0.110683, 0.0953592, 0.078294, 0.0624453, 0.0529424, 0.041597, 0.0336653, 0.0263465, 0.0223552, 0.0174144, 0.0135765, 0.010534, 0.00819956, 0.00493678, 0.00227899, 0.00107309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.387726, 0.399879, 0.409101, 0.417377, 0.423956, 0.429777, 0.435259, 0.440658, 0.446053, 0.456057, 0.463288, 0.469585, 0.478642, 0.489073, 0.496117, 0.505509, 0.513954");
-            values ( \
-              "0.00155116, 0.0325053, 0.0666717, 0.091749, 0.107903, 0.117107, 0.121872, 0.121171, 0.112179, 0.066834, 0.0432478, 0.0293275, 0.0164063, 0.00834609, 0.00537119, 0.00302917, 0.00206895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.378851, 0.396256, 0.425869, 0.434675, 0.44263, 0.450273, 0.457917, 0.462683, 0.48309, 0.493515, 0.504611, 0.517961, 0.535321, 0.550008");
-            values ( \
-              "0.00845707, 0.0263081, 0.154911, 0.178785, 0.190459, 0.193992, 0.188573, 0.178311, 0.0939883, 0.062076, 0.0386348, 0.0212973, 0.00966282, 0.00501144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.382797, 0.400759, 0.420873, 0.434711, 0.440886, 0.453993, 0.466376, 0.478759, 0.492518, 0.538123, 0.556697, 0.581824, 0.601833, 0.629491, 0.645041");
-            values ( \
-              "0.0157069, 0.0483714, 0.161285, 0.221397, 0.239519, 0.262944, 0.268934, 0.264078, 0.246092, 0.115111, 0.0745332, 0.0399561, 0.023592, 0.0111798, 0.00754742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.40018, 0.412913, 0.425912, 0.435829, 0.441089, 0.451609, 0.465546, 0.482296, 0.48815, 0.499347, 0.51078, 0.534296, 0.550176, 0.575737, 0.63597, 0.673662, 0.690409, 0.712739, 0.73814, 0.753178, 0.783256, 0.818426, 0.846866, 0.903747, 0.931308");
-            values ( \
-              "0.101097, 0.130905, 0.208876, 0.254656, 0.274145, 0.301531, 0.322148, 0.329516, 0.329657, 0.32663, 0.321663, 0.305576, 0.290786, 0.257354, 0.154879, 0.102474, 0.0839679, 0.0635242, 0.0456852, 0.0373807, 0.0247001, 0.015148, 0.0101004, 0.00429426, 0.00321614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.399978, 0.430506, 0.447459, 0.455739, 0.468434, 0.485146, 0.499763, 0.513644, 0.535992, 0.589824, 0.638192, 0.690239, 0.70901, 0.746553, 0.86924, 0.900856, 0.949675, 0.990415, 1.02122, 1.06083, 1.09309, 1.13187, 1.18652, 1.24338, 1.28694, 1.36829, 1.44964, 1.53099, 1.6937");
-            values ( \
-              "0.0724782, 0.241876, 0.311458, 0.332249, 0.353381, 0.366386, 0.370293, 0.36989, 0.366135, 0.349575, 0.330652, 0.30566, 0.294533, 0.268273, 0.166367, 0.142493, 0.109977, 0.087359, 0.0728279, 0.0571779, 0.0467428, 0.0364734, 0.0254868, 0.0175024, 0.0130622, 0.00744976, 0.00423001, 0.00239608, 0.000766405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.420458, 0.460979, 0.472769, 0.490096, 0.505812, 0.520594, 0.546743, 0.589037, 0.713075, 0.858398, 0.924445, 1.0058, 1.10253, 1.1746, 1.37334, 1.49906, 1.59587, 1.69579, 1.77714, 1.85098, 1.96173, 2.0231, 2.10445, 2.18896, 2.35166, 2.51437, 2.67707, 2.92112, 3.16518");
-            values ( \
-              "0.347776, 0.354981, 0.373374, 0.387241, 0.393106, 0.394578, 0.394542, 0.390395, 0.370402, 0.343488, 0.329889, 0.310658, 0.281562, 0.255469, 0.178151, 0.134106, 0.105354, 0.0808323, 0.0645283, 0.0522988, 0.0378459, 0.0315439, 0.0246769, 0.0190917, 0.0115647, 0.00697053, 0.00418862, 0.00195087, 0.000900321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.723041, 0.735036, 0.741591, 0.758811, 0.770685, 0.77768, 0.784068, 0.790221, 0.79636, 0.799112, 0.807331, 0.812726, 0.816775, 0.823785, 0.826675, 0.832059, 0.838212, 0.847319, 0.853903, 0.867071, 0.885649, 0.891094");
-            values ( \
-              "0.0065989, 0.0152621, 0.0252018, 0.0658155, 0.0885397, 0.0990823, 0.105644, 0.107922, 0.102595, 0.0941903, 0.0615265, 0.045291, 0.0359632, 0.0235828, 0.0197893, 0.0141548, 0.00960689, 0.00551752, 0.00374972, 0.00178974, 0.000735786, 0.000671581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.722978, 0.739331, 0.741925, 0.764938, 0.772709, 0.783008, 0.791986, 0.800381, 0.80865, 0.81691, 0.831092, 0.842603, 0.853612, 0.860659, 0.869498, 0.877816, 0.887385, 0.894876, 0.909858, 0.915449");
-            values ( \
-              "0.00563182, 0.0303082, 0.0361905, 0.107447, 0.129993, 0.155726, 0.171246, 0.178528, 0.177192, 0.161794, 0.104742, 0.067798, 0.0432536, 0.0319277, 0.0215918, 0.0148281, 0.00967359, 0.00692432, 0.00352435, 0.00298307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.722532, 0.745474, 0.771078, 0.78896, 0.803316, 0.808954, 0.816466, 0.829363, 0.842258, 0.844488, 0.857047, 0.881301, 0.900579, 0.916706, 0.936089, 0.945368, 0.964293, 0.975698, 0.99851, 1.02254");
-            values ( \
-              "0.00227104, 0.0551819, 0.152836, 0.213504, 0.243697, 0.250428, 0.255558, 0.255197, 0.243217, 0.239443, 0.209307, 0.136052, 0.0894874, 0.0610022, 0.0373585, 0.0293137, 0.0176809, 0.0129816, 0.00685989, 0.00386923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.734889, 0.755318, 0.783995, 0.800016, 0.815826, 0.836327, 0.845122, 0.856849, 0.872968, 0.885956, 0.909906, 0.92858, 0.986597, 1.02483, 1.0592, 1.09275, 1.13705, 1.18378, 1.25388");
-            values ( \
-              "0.0445047, 0.100015, 0.223897, 0.275975, 0.306425, 0.32149, 0.322196, 0.320081, 0.312619, 0.303784, 0.281245, 0.255254, 0.156744, 0.103365, 0.0682316, 0.0442283, 0.024214, 0.0126203, 0.00472293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.735054, 0.791971, 0.80839, 0.818989, 0.840189, 0.865305, 0.893151, 0.946063, 1.00765, 1.05812, 1.09923, 1.21619, 1.27876, 1.36195, 1.42427, 1.51293, 1.56134, 1.64068, 1.72203, 1.78817");
-            values ( \
-              "0.0283337, 0.2674, 0.317322, 0.337832, 0.360191, 0.36671, 0.363291, 0.347093, 0.322209, 0.296079, 0.267574, 0.170695, 0.125008, 0.0782475, 0.0534936, 0.0302589, 0.022016, 0.0129362, 0.00738925, 0.00478369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.760035, 0.842491, 0.857735, 0.896363, 0.966461, 1.06457, 1.24899, 1.35176, 1.47505, 1.84723, 1.9861, 2.19857, 2.43465, 2.65194, 2.91058");
-            values ( \
-              "0.242737, 0.380608, 0.388714, 0.393499, 0.385879, 0.369726, 0.335402, 0.31207, 0.274371, 0.135302, 0.095359, 0.0529454, 0.0263492, 0.0135694, 0.0067026" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0124967, 0.0125116, 0.0125307, 0.012548, 0.0125597, 0.0125662", \
-            "0.0167043, 0.0167188, 0.0167406, 0.0167643, 0.0167826, 0.0167936", \
-            "0.0197705, 0.0197799, 0.0197972, 0.019821, 0.0198437, 0.0198594", \
-            "0.0215735, 0.021573, 0.0215751, 0.0215834, 0.0215974, 0.0216106", \
-            "0.0224641, 0.0224625, 0.0224594, 0.0224565, 0.0224572, 0.0224623", \
-            "0.0229283, 0.0229281, 0.0229278, 0.0229266, 0.0229247, 0.0229243" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0208169, 0.0208293, 0.0208505, 0.0208756, 0.0208955, 0.0209076", \
-            "0.0233856, 0.0233857, 0.0233924, 0.0234085, 0.0234268, 0.0234401", \
-            "0.0221489, 0.0221349, 0.0221134, 0.0220917, 0.0220774, 0.02207", \
-            "0.0206206, 0.0206168, 0.0206068, 0.0205877, 0.0205654, 0.0205487", \
-            "0.0205464, 0.0206055, 0.0206469, 0.0206667, 0.0206632, 0.020655", \
-            "0.020061, 0.0200612, 0.0200719, 0.0202358, 0.0203854, 0.0204294" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.133845, 0.149166, 0.16246, 0.174952, 0.180977, 0.186484, 0.191688, 0.196802, 0.201909, 0.21517, 0.220622, 0.227321, 0.232705, 0.238965");
-            values ( \
-              "-2.08076e-05, -0.0281286, -0.071433, -0.102686, -0.114734, -0.123282, -0.128475, -0.128211, -0.113891, -0.0384114, -0.0208393, -0.00943565, -0.00486667, -0.00256451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.139042, 0.148176, 0.149528, 0.152233, 0.157485, 0.163597, 0.16569, 0.169876, 0.177186, 0.18634, 0.194393, 0.201842, 0.209008, 0.216163, 0.223297, 0.234234, 0.239993, 0.246427, 0.249936, 0.25491, 0.260514, 0.26489, 0.273642, 0.27733");
-            values ( \
-              "-0.0201508, -0.040898, -0.0426572, -0.050322, -0.0698012, -0.0998207, -0.106527, -0.123444, -0.147144, -0.173957, -0.190708, -0.202934, -0.207834, -0.203539, -0.17595, -0.103583, -0.0718685, -0.0460191, -0.0356479, -0.0245477, -0.0160485, -0.0114467, -0.00570685, -0.00469043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.143182, 0.153572, 0.172425, 0.181846, 0.191865, 0.20521, 0.217225, 0.228571, 0.239672, 0.250761, 0.254276, 0.258026, 0.286536, 0.298496, 0.310598, 0.321747, 0.328099, 0.340803, 0.348199");
-            values ( \
-              "-0.0587369, -0.0680678, -0.159652, -0.197635, -0.22927, -0.264414, -0.283437, -0.29652, -0.297363, -0.284185, -0.273809, -0.25906, -0.110281, -0.0697355, -0.0428178, -0.0268882, -0.0205685, -0.0118381, -0.00935554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.00168197, 0.0247457, 0.0408016, 0.0570222, 0.126134, 0.151205, 0.205977, 0.239058, 0.259049, 0.278458, 0.297842, 0.318216, 0.379644, 0.43195, 0.463683");
-            values ( \
-              "-1e-22, -0.0521525, -0.017217, -0.00223334, -1e-22, -0.0607212, -0.296473, -0.359553, -0.377829, -0.383708, -0.376647, -0.34699, -0.142345, -0.0511087, -0.0273149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.149353, 0.182521, 0.189953, 0.203924, 0.216623, 0.239156, 0.259371, 0.288362, 0.297715, 0.316421, 0.334797, 0.371769, 0.379285, 0.402562, 0.410273, 0.425695, 0.451381, 0.533845, 0.56852, 0.58216, 0.603124, 0.631077, 0.652118, 0.676037, 0.700343, 0.734597, 0.748145, 0.775241, 0.829432, 0.897277, 0.965122, 1.03297, 1.10081");
-            values ( \
-              "-0.152777, -0.234081, -0.265593, -0.315155, -0.348529, -0.392747, -0.417523, -0.439166, -0.442418, -0.447218, -0.447622, -0.441387, -0.43835, -0.42665, -0.421569, -0.409081, -0.378351, -0.221716, -0.16641, -0.148019, -0.123099, -0.0955588, -0.0787149, -0.0629456, -0.0499775, -0.0359281, -0.0315261, -0.0242294, -0.0141141, -0.0070784, -0.00353198, -0.00176585, -0.000876318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.149347, 0.183712, 0.202582, 0.219311, 0.24039, 0.264696, 0.292276, 0.319302, 0.343819, 0.382367, 0.420185, 0.480098, 0.497174, 0.576322, 0.644166, 0.688769, 0.748519, 0.816363, 0.889866, 0.931512, 0.999357, 1.05662, 1.09468, 1.16253, 1.22113, 1.26164, 1.32948, 1.38323, 1.43282, 1.49893, 1.56678, 1.63462, 1.77031, 1.906, 2.10954");
-            values ( \
-              "-0.185881, -0.245659, -0.32065, -0.367234, -0.410148, -0.442336, -0.465581, -0.477697, -0.484108, -0.48689, -0.485865, -0.478529, -0.476163, -0.460266, -0.440692, -0.423117, -0.388236, -0.3286, -0.259486, -0.223993, -0.173475, -0.138565, -0.118892, -0.0896982, -0.0700987, -0.0589992, -0.0439435, -0.0347883, -0.0280065, -0.020883, -0.0154708, -0.0114094, -0.00621145, -0.00337354, -0.00136754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.155547, 0.169253, 0.178203, 0.19405, 0.200094, 0.205613, 0.210825, 0.215945, 0.221059, 0.234327, 0.239778, 0.246485, 0.251875, 0.260475, 0.265009");
-            values ( \
-              "-0.00672939, -0.0295477, -0.061504, -0.10213, -0.114635, -0.122884, -0.128442, -0.127929, -0.113927, -0.0383561, -0.0208696, -0.00939663, -0.00489087, -0.00165018, -0.0013859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.157348, 0.171918, 0.189131, 0.205357, 0.213408, 0.220856, 0.228021, 0.235175, 0.242308, 0.259006, 0.265436, 0.27392, 0.283903, 0.292658, 0.30032");
-            values ( \
-              "-0.00898996, -0.0523545, -0.124302, -0.173964, -0.190796, -0.202931, -0.207891, -0.203532, -0.175984, -0.071878, -0.0460089, -0.0245335, -0.011459, -0.00568972, -0.0035938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.163262, 0.176049, 0.196336, 0.206226, 0.218135, 0.224064, 0.23613, 0.247486, 0.258599, 0.2697, 0.273145, 0.27682, 0.305488, 0.317448, 0.329535, 0.340698, 0.347064, 0.359796, 0.376555");
-            values ( \
-              "-0.0682507, -0.0826369, -0.178503, -0.215201, -0.249432, -0.262743, -0.283815, -0.295409, -0.297836, -0.283341, -0.273902, -0.259592, -0.110248, -0.0697218, -0.0428424, -0.0268917, -0.0205476, -0.0118223, -0.00619915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.161861, 0.18043, 0.192906, 0.209833, 0.223549, 0.23519, 0.256776, 0.276761, 0.296167, 0.315547, 0.335921, 0.341603, 0.349178, 0.379017, 0.397347, 0.414562, 0.427045, 0.437366, 0.449654, 0.467029, 0.485293, 0.499313, 0.527353, 0.578038, 0.638138");
-            values ( \
-              "-0.0871819, -0.113673, -0.180108, -0.253245, -0.296332, -0.324143, -0.359701, -0.377888, -0.383782, -0.376663, -0.347013, -0.332115, -0.307082, -0.19697, -0.142347, -0.102898, -0.0805726, -0.0655962, -0.0511079, -0.0356547, -0.0243857, -0.0181429, -0.00987058, -0.00296982, -0.00066026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.161962, 0.185872, 0.204156, 0.219931, 0.236085, 0.257832, 0.278792, 0.3063, 0.317122, 0.335902, 0.354203, 0.391175, 0.398697, 0.406462, 0.421993, 0.429679, 0.445053, 0.470787, 0.51283, 0.553262, 0.587948, 0.601559, 0.62248, 0.650374, 0.671521, 0.695565, 0.719832, 0.754048, 0.767556, 0.794571, 0.848602, 0.916447, 0.984292, 1.05214, 1.11998");
-            values ( \
-              "-0.127412, -0.149315, -0.244399, -0.304488, -0.349007, -0.391592, -0.417727, -0.438368, -0.442493, -0.447164, -0.447688, -0.441328, -0.43835, -0.434992, -0.426636, -0.421569, -0.409128, -0.37835, -0.299589, -0.221699, -0.166379, -0.148028, -0.123155, -0.0956548, -0.0787174, -0.0628733, -0.0499388, -0.0359129, -0.0315258, -0.0242475, -0.0141492, -0.00709547, -0.00354118, -0.00176981, -0.000878931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.183366, 0.229581, 0.257437, 0.279897, 0.292714, 0.309803, 0.337619, 0.363316, 0.401887, 0.43969, 0.49935, 0.551332, 0.595825, 0.66367, 0.708272, 0.768021, 0.835866, 0.909364, 0.952463, 1.02031, 1.05656, 1.1103, 1.17815, 1.24548, 1.2926, 1.36044, 1.40684, 1.44712, 1.50082, 1.56866, 1.63651, 1.7722, 1.90789, 2.11142");
-            values ( \
-              "-0.315978, -0.343398, -0.405827, -0.437824, -0.450826, -0.463878, -0.47741, -0.483825, -0.487081, -0.485642, -0.478771, -0.469724, -0.460076, -0.440876, -0.423281, -0.388089, -0.328733, -0.259587, -0.222721, -0.172599, -0.149747, -0.12072, -0.0912329, -0.0687204, -0.0561111, -0.0418543, -0.0341258, -0.0286118, -0.0226393, -0.0166966, -0.0123962, -0.0067709, -0.00369788, -0.00143789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.197838, 0.201787, 0.21175, 0.230617, 0.243629, 0.249149, 0.254362, 0.259483, 0.264597, 0.277866, 0.283315, 0.290026, 0.29542, 0.301014");
-            values ( \
-              "-0.00985411, -0.0126866, -0.0276464, -0.0859965, -0.114468, -0.12298, -0.128316, -0.128009, -0.113832, -0.0383653, -0.0208604, -0.00940675, -0.00487527, -0.00280054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.200034, 0.215884, 0.232522, 0.248554, 0.256622, 0.26408, 0.271253, 0.278415, 0.285551, 0.302256, 0.308683, 0.31717, 0.327159, 0.33592, 0.347202");
-            values ( \
-              "-0.0100844, -0.0539568, -0.124215, -0.173345, -0.190654, -0.202522, -0.207842, -0.20326, -0.176013, -0.0718286, -0.046023, -0.0245456, -0.0114413, -0.00569641, -0.00260592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.198024, 0.217711, 0.234652, 0.244952, 0.254432, 0.267793, 0.279823, 0.291176, 0.302282, 0.313377, 0.316863, 0.320581, 0.349157, 0.361118, 0.373214, 0.384368, 0.390725, 0.40344, 0.411741");
-            values ( \
-              "-0.00733609, -0.0742126, -0.156853, -0.198749, -0.229131, -0.263817, -0.283498, -0.296106, -0.297485, -0.283885, -0.27384, -0.259283, -0.110266, -0.0697292, -0.0428281, -0.0268901, -0.020561, -0.0118322, -0.00904638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.194282, 0.220275, 0.2472, 0.265259, 0.278549, 0.300106, 0.320101, 0.339502, 0.358879, 0.378304, 0.384944, 0.392533, 0.42235, 0.440677, 0.45789, 0.470374, 0.480697, 0.492985, 0.510359, 0.528621, 0.54264, 0.570678, 0.62136, 0.681457");
-            values ( \
-              "-0.0169731, -0.0966055, -0.230455, -0.292188, -0.324255, -0.359947, -0.377856, -0.383958, -0.376591, -0.349152, -0.33211, -0.306999, -0.196956, -0.142349, -0.102903, -0.0805741, -0.0655945, -0.0511072, -0.0356543, -0.024386, -0.0181438, -0.00987144, -0.00297047, -0.00066034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.197807, 0.229861, 0.242283, 0.253177, 0.26672, 0.27975, 0.300086, 0.322417, 0.35031, 0.360756, 0.379528, 0.397831, 0.434798, 0.442356, 0.465763, 0.473185, 0.488031, 0.51441, 0.597019, 0.631847, 0.64509, 0.665434, 0.692558, 0.715087, 0.740765, 0.775003, 0.800804, 0.827197, 0.862387, 0.930232, 0.998077, 1.06592, 1.13377");
-            values ( \
-              "-0.0540525, -0.152381, -0.218955, -0.267845, -0.314624, -0.349763, -0.38935, -0.418228, -0.438395, -0.442868, -0.446992, -0.447976, -0.441127, -0.438357, -0.426558, -0.421664, -0.409729, -0.378358, -0.221466, -0.16599, -0.148145, -0.123891, -0.0969314, -0.0787641, -0.0619482, -0.0446585, -0.034841, -0.0269993, -0.0191208, -0.00960838, -0.00480166, -0.00240087, -0.00119247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.221611, 0.266852, 0.28271, 0.303962, 0.328022, 0.356249, 0.382904, 0.407109, 0.445642, 0.483465, 0.544267, 0.592831, 0.639601, 0.707446, 0.75205, 0.811797, 0.996229, 1.06407, 1.1541, 1.22194, 1.28923, 1.3363, 1.40414, 1.45059, 1.5447, 1.61254, 1.68039, 1.81608, 1.95177, 2.1553");
-            values ( \
-              "-0.252818, -0.323954, -0.368765, -0.410527, -0.443276, -0.465698, -0.478464, -0.483712, -0.487419, -0.485427, -0.478866, -0.470393, -0.45987, -0.441083, -0.423459, -0.387926, -0.222639, -0.172695, -0.120668, -0.0912642, -0.0687458, -0.0561137, -0.0418819, -0.0341164, -0.0226349, -0.0166832, -0.0123952, -0.00677114, -0.00369821, -0.00143708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.292576, 0.301526, 0.3023, 0.306944, 0.331753, 0.343307, 0.348517, 0.353635, 0.358747, 0.372013, 0.377463, 0.384169, 0.389559, 0.398115, 0.421488");
-            values ( \
-              "-0.0103418, -0.0225921, -0.0213205, -0.0305477, -0.102443, -0.123134, -0.128338, -0.12812, -0.11382, -0.0383882, -0.0208478, -0.00942233, -0.0048679, -0.00167364, -0.00116038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.292936, 0.311635, 0.322321, 0.333859, 0.343043, 0.351105, 0.358562, 0.365735, 0.372896, 0.380031, 0.396739, 0.403161, 0.413425, 0.421642, 0.430406, 0.43745");
-            values ( \
-              "-0.00302763, -0.0578073, -0.106828, -0.147299, -0.173087, -0.190969, -0.202291, -0.208087, -0.203063, -0.17621, -0.0717978, -0.0460492, -0.0214424, -0.0114236, -0.00570983, -0.00375054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.292134, 0.310383, 0.315615, 0.332643, 0.348988, 0.362312, 0.374328, 0.385696, 0.396795, 0.408121, 0.411339, 0.415016, 0.430891, 0.443675, 0.455634, 0.46772, 0.478883, 0.485249, 0.497981, 0.511173");
-            values ( \
-              "-0.0116105, -0.067097, -0.0887605, -0.172565, -0.230965, -0.264, -0.284455, -0.295107, -0.298366, -0.282792, -0.273955, -0.25962, -0.171478, -0.110253, -0.0697237, -0.0428442, -0.0268915, -0.0205503, -0.0118203, -0.00739551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.30626, 0.327349, 0.339129, 0.348032, 0.363587, 0.37329, 0.392697, 0.399043, 0.407109, 0.414766, 0.430081, 0.434153, 0.442298, 0.453494, 0.472947, 0.486464, 0.516862, 0.535287, 0.552542, 0.564995, 0.575259, 0.587561, 0.604946, 0.62325, 0.637305, 0.665415, 0.716106, 0.77623");
-            values ( \
-              "-0.124349, -0.16154, -0.219438, -0.254942, -0.302468, -0.324949, -0.357404, -0.364883, -0.372544, -0.377886, -0.383548, -0.383689, -0.382523, -0.376332, -0.348949, -0.309334, -0.197233, -0.142314, -0.102797, -0.0805392, -0.0656449, -0.0511328, -0.035664, -0.024372, -0.0181197, -0.00984169, -0.00296094, -0.000657431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.306305, 0.328923, 0.342754, 0.358821, 0.374556, 0.396896, 0.417169, 0.445032, 0.455462, 0.474224, 0.492526, 0.529482, 0.537175, 0.545116, 0.560999, 0.567881, 0.581647, 0.609098, 0.691927, 0.726819, 0.734751, 0.759399, 0.785691, 0.809709, 0.837152, 0.873743, 0.896743, 0.920427, 0.952006, 1.01516, 1.08301, 1.15085, 1.2187");
-            values ( \
-              "-0.169926, -0.177794, -0.247726, -0.307892, -0.350497, -0.393579, -0.418319, -0.438944, -0.442775, -0.44739, -0.447816, -0.441418, -0.438343, -0.434883, -0.426242, -0.421677, -0.410716, -0.378369, -0.221089, -0.16559, -0.154729, -0.124693, -0.0983273, -0.0788163, -0.060964, -0.0429371, -0.0344131, -0.0273767, -0.0201038, -0.0106337, -0.00531963, -0.00265748, -0.0013231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.306384, 0.342302, 0.362118, 0.377463, 0.398622, 0.422651, 0.450681, 0.477436, 0.501746, 0.540273, 0.578092, 0.637839, 0.689566, 0.734228, 0.802073, 0.846675, 0.906424, 0.974269, 1.04777, 1.09086, 1.15871, 1.19496, 1.24871, 1.31655, 1.38388, 1.43099, 1.49883, 1.54524, 1.58552, 1.63924, 1.70708, 1.77493, 1.91062, 2.04631, 2.24984");
-            values ( \
-              "-0.161413, -0.251389, -0.327431, -0.369586, -0.411451, -0.443351, -0.466065, -0.478302, -0.484043, -0.48722, -0.485676, -0.478797, -0.469781, -0.460062, -0.440892, -0.423292, -0.38808, -0.32874, -0.259584, -0.222726, -0.172595, -0.149759, -0.120732, -0.0912177, -0.0687036, -0.0561321, -0.0418379, -0.034145, -0.0286308, -0.0226175, -0.0167154, -0.012375, -0.00674986, -0.00367684, -0.00145889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.483742, 0.498713, 0.502684, 0.506581, 0.514446, 0.52432, 0.5384, 0.54429, 0.548515, 0.550153, 0.552261, 0.554241, 0.55698, 0.560788, 0.56966, 0.575889, 0.577937, 0.581796, 0.587086, 0.591494, 0.600309, 0.61099, 0.67343");
-            values ( \
-              "-0.0014663, -0.0173842, -0.0231078, -0.0303466, -0.0539186, -0.0786165, -0.106926, -0.115589, -0.120312, -0.121447, -0.122201, -0.122236, -0.119538, -0.10841, -0.0583548, -0.0311422, -0.0248805, -0.015973, -0.00863444, -0.00511808, -0.00174644, -0.000593635, -0.000107687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.485792, 0.499645, 0.505663, 0.511596, 0.524371, 0.533778, 0.543596, 0.550269, 0.555959, 0.560009, 0.565579, 0.56746, 0.571136, 0.574818, 0.582181, 0.593342, 0.598766, 0.605807, 0.609273, 0.614241, 0.619675, 0.623895, 0.632337, 0.644248");
-            values ( \
-              "-0.00732589, -0.0295346, -0.040498, -0.0584403, -0.106578, -0.135998, -0.162416, -0.177017, -0.187472, -0.193425, -0.199338, -0.200336, -0.200673, -0.197034, -0.172874, -0.101723, -0.0725638, -0.0448067, -0.034916, -0.0241181, -0.0160248, -0.0116244, -0.00596932, -0.00256268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.48493, 0.506931, 0.515585, 0.52718, 0.541515, 0.557789, 0.563287, 0.573588, 0.580064, 0.587395, 0.598711, 0.610024, 0.615457, 0.621064, 0.63458, 0.64467, 0.656874, 0.663185, 0.671598, 0.678266, 0.685693, 0.695595, 0.7154, 0.728246");
-            values ( \
-              "-0.00685173, -0.0568816, -0.0869742, -0.138853, -0.192917, -0.239532, -0.252402, -0.272052, -0.281405, -0.289056, -0.293086, -0.280157, -0.264338, -0.238538, -0.162976, -0.115213, -0.0726394, -0.0565889, -0.0401082, -0.0304066, -0.0222776, -0.0145799, -0.00596081, -0.00387407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.494427, 0.513933, 0.543956, 0.559239, 0.575052, 0.587183, 0.597022, 0.617309, 0.636828, 0.656361, 0.676751, 0.68209, 0.689207, 0.719802, 0.738254, 0.755518, 0.767974, 0.778235, 0.790542, 0.807933, 0.826242, 0.840302, 0.868421, 0.919092, 0.979189");
-            values ( \
-              "-0.0594064, -0.0920041, -0.224406, -0.275132, -0.315727, -0.339285, -0.354113, -0.374298, -0.381463, -0.375102, -0.346196, -0.332499, -0.309543, -0.197161, -0.142247, -0.102753, -0.0805117, -0.0656318, -0.0511224, -0.0356567, -0.0243662, -0.0181149, -0.00983812, -0.00296255, -0.000658646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.494207, 0.525063, 0.543936, 0.561219, 0.576611, 0.591669, 0.607259, 0.619855, 0.640188, 0.658386, 0.695636, 0.73288, 0.770131, 0.786355, 0.803662, 0.829697, 0.887436, 0.935852, 0.959411, 0.986335, 1.01258, 1.04376, 1.08534, 1.10816, 1.14347, 1.19056, 1.2584, 1.32625, 1.46194");
-            values ( \
-              "-0.0667781, -0.147655, -0.23616, -0.298543, -0.341645, -0.374089, -0.399267, -0.414533, -0.431748, -0.440953, -0.44734, -0.440849, -0.422328, -0.409354, -0.390399, -0.348455, -0.234479, -0.157292, -0.128088, -0.100466, -0.0789111, -0.0589112, -0.0394867, -0.0316997, -0.0225025, -0.0140994, -0.00707654, -0.00352572, -0.000871934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.522411, 0.564187, 0.579944, 0.594096, 0.611641, 0.625723, 0.653887, 0.680845, 0.705159, 0.74377, 0.781602, 0.841325, 0.893111, 0.937739, 1.00558, 1.05019, 1.10994, 1.29438, 1.36222, 1.39848, 1.45222, 1.52006, 1.5874, 1.6345, 1.70235, 1.74875, 1.84275, 1.91059, 1.97844, 2.11413, 2.24982, 2.45335");
-            values ( \
-              "-0.315255, -0.316088, -0.360792, -0.392296, -0.421738, -0.439668, -0.463874, -0.477043, -0.483192, -0.486832, -0.485449, -0.478751, -0.469763, -0.46003, -0.440905, -0.423304, -0.388059, -0.222708, -0.172612, -0.149744, -0.120717, -0.0912325, -0.068716, -0.0561188, -0.0418499, -0.0341324, -0.0226299, -0.0167039, -0.012387, -0.00676165, -0.00368844, -0.00144758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.892266, 0.904537, 0.907226, 0.908659, 0.911523, 0.912956, 0.913891, 0.915451, 0.916699, 0.918358, 0.921462, 0.92355, 0.925638, 0.927727, 0.931547, 0.933457, 0.93758, 0.939793, 0.942006, 0.946107, 0.947996, 0.951774, 0.955167, 0.958561, 0.961718, 0.964876, 0.966404, 0.967932, 0.96946, 0.970988, 0.972514, 0.974039, 0.975564, 0.977089, 0.977292, 0.977698, 0.978511, 0.980135, 0.982571, 0.987168, 0.98918, 0.991959, 0.992844, 0.993906, 0.995176, 0.996447, 0.997358, 0.999182, 1.00009, 1.00181");
-            values ( \
-              "-0.0131672, -0.0136116, -0.0162328, -0.0177181, -0.0208748, -0.0225463, -0.0239226, -0.0266032, -0.0289288, -0.0322463, -0.0390666, -0.0440839, -0.048587, -0.052898, -0.0601335, -0.0636255, -0.0708732, -0.074603, -0.078223, -0.0846426, -0.0874719, -0.0928907, -0.097382, -0.101683, -0.105515, -0.109183, -0.108619, -0.107852, -0.106882, -0.105709, -0.104335, -0.102759, -0.100981, -0.0990005, -0.0985859, -0.0973547, -0.0942605, -0.0871833, -0.0754689, -0.0528194, -0.0443575, -0.0340732, -0.0310307, -0.0276651, -0.0243681, -0.0213807, -0.0194667, -0.0160395, -0.0145261, -0.0120148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.891958, 0.905915, 0.911876, 0.91835, 0.937781, 0.956961, 0.966743, 0.975612, 0.983963, 0.992158, 1.00034, 1.01438, 1.02165, 1.03013, 1.03633, 1.04824, 1.06208, 1.07845, 1.1264");
-            values ( \
-              "-0.0193491, -0.0227601, -0.0324929, -0.0445927, -0.0981407, -0.141456, -0.159154, -0.172041, -0.179538, -0.17947, -0.159273, -0.0847283, -0.054007, -0.0303088, -0.0194528, -0.00799546, -0.00262189, -0.000797649, -0.000180238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.891721, 0.913151, 0.918756, 0.95717, 0.963461, 0.979203, 0.993026, 1.00585, 1.01817, 1.03049, 1.04286, 1.06084, 1.06952, 1.0829, 1.09233, 1.10063, 1.11079, 1.12433, 1.14837, 1.17634, 1.21172");
-            values ( \
-              "-0.0164691, -0.0450167, -0.0583128, -0.174445, -0.191681, -0.226421, -0.249468, -0.263965, -0.270861, -0.262302, -0.22457, -0.136215, -0.101103, -0.0613095, -0.042259, -0.0302054, -0.0198777, -0.011099, -0.00373516, -0.00100768, -0.000272023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.891476, 0.922583, 0.963182, 0.980292, 0.992474, 1.01653, 1.03833, 1.05905, 1.07951, 1.09996, 1.10207, 1.11051, 1.14305, 1.16557, 1.18034, 1.19299, 1.20349, 1.21679, 1.24122, 1.25905, 1.28455, 1.32559, 1.38087");
-            values ( \
-              "-0.0175813, -0.0773244, -0.213822, -0.259795, -0.286709, -0.3268, -0.350451, -0.361628, -0.360166, -0.337558, -0.333685, -0.312327, -0.199755, -0.134963, -0.102414, -0.0801374, -0.0651343, -0.0496395, -0.0301369, -0.0207518, -0.0120274, -0.00478089, -0.0012599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.904399, 0.93702, 0.964323, 0.984672, 1.01443, 1.04127, 1.07995, 1.0934, 1.12027, 1.14682, 1.17738, 1.19721, 1.22671, 1.25422, 1.31536, 1.3507, 1.40457, 1.45595, 1.48203, 1.51918, 1.58698, 1.64575, 1.7136, 1.7202");
-            values ( \
-              "-0.0710427, -0.13178, -0.230691, -0.289032, -0.351571, -0.390251, -0.422778, -0.429041, -0.435327, -0.434852, -0.426519, -0.416223, -0.391107, -0.350696, -0.232131, -0.174104, -0.108648, -0.0676597, -0.0528402, -0.0369649, -0.019126, -0.0106133, -0.00529751, -0.00510363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.931683, 0.975762, 0.998332, 1.02498, 1.04746, 1.08902, 1.13004, 1.17008, 1.20769, 1.25239, 1.28531, 1.33339, 1.36469, 1.42729, 1.44745, 1.48777, 1.53695, 1.72123, 1.78907, 1.8255, 1.87952, 1.94737, 2.01396, 2.06051, 2.12835, 2.1754, 2.27122, 2.33906, 2.40691, 2.5426, 2.67829, 2.88182");
-            values ( \
-              "-0.258187, -0.272613, -0.331756, -0.383626, -0.415704, -0.453796, -0.472332, -0.479181, -0.479917, -0.477384, -0.473878, -0.466059, -0.459479, -0.442391, -0.43537, -0.418096, -0.388013, -0.222835, -0.172654, -0.149741, -0.120579, -0.0910823, -0.0688168, -0.0563735, -0.0420097, -0.0342, -0.0224642, -0.0166091, -0.0122889, -0.00670113, -0.00364872, -0.00145247" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0122614, 0.0122729, 0.0122871, 0.0122999, 0.0123086, 0.0123134", \
-            "0.0146162, 0.0146244, 0.0146366, 0.0146492, 0.0146593, 0.0146655", \
-            "0.0160791, 0.016085, 0.016095, 0.016108, 0.0161198, 0.0161277", \
-            "0.0169698, 0.0169739, 0.0169811, 0.0169916, 0.017003, 0.0170119", \
-            "0.0174608, 0.0174627, 0.0174664, 0.0174727, 0.017481, 0.0174889", \
-            "0.0177255, 0.0177261, 0.0177274, 0.0177299, 0.017734, 0.0177391" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0167212, 0.0167364, 0.0167593, 0.0167815, 0.0167988, 0.0168087", \
-            "0.0189995, 0.0190183, 0.0190469, 0.0190785, 0.019105, 0.0191217", \
-            "0.0206622, 0.0206769, 0.0207011, 0.020733, 0.0207642, 0.0207865", \
-            "0.0215197, 0.0215236, 0.0215319, 0.0215482, 0.0215705, 0.0215912", \
-            "0.0223273, 0.0223156, 0.0222965, 0.0222767, 0.0222655, 0.0222661", \
-            "0.0231841, 0.0232316, 0.0232692, 0.0232856, 0.023281, 0.0232675" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.251738, 0.292178, 0.376037, 0.551212, 0.924845, 1.73496", \
-            "0.257619, 0.298021, 0.382045, 0.557462, 0.931274, 1.74134", \
-            "0.270956, 0.31137, 0.395458, 0.571179, 0.945166, 1.75541", \
-            "0.2989, 0.339363, 0.423525, 0.599282, 0.973408, 1.78428", \
-            "0.357704, 0.399462, 0.485137, 0.661574, 1.03577, 1.84703", \
-            "0.462371, 0.510565, 0.606957, 0.795908, 1.17426, 1.98578" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0345864, 0.049448, 0.082434, 0.158155, 0.33264, 0.728826", \
-            "0.0345802, 0.0494604, 0.0824449, 0.158154, 0.332649, 0.728821", \
-            "0.0345776, 0.0494598, 0.0824266, 0.15815, 0.332637, 0.728891", \
-            "0.0345912, 0.0494618, 0.0824452, 0.158155, 0.332611, 0.728896", \
-            "0.0347518, 0.0495618, 0.0824667, 0.158148, 0.332645, 0.728806", \
-            "0.0357988, 0.0505114, 0.0829743, 0.158276, 0.332642, 0.728782" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.208672, 0.244756, 0.31834, 0.466576, 0.770309, 1.41074", \
-            "0.212539, 0.248663, 0.32248, 0.470633, 0.774371, 1.41666", \
-            "0.220208, 0.256425, 0.330404, 0.478726, 0.783601, 1.42557", \
-            "0.236628, 0.273501, 0.347991, 0.496835, 0.802074, 1.44444", \
-            "0.26487, 0.30459, 0.383972, 0.536246, 0.842035, 1.48601", \
-            "0.294615, 0.342016, 0.434416, 0.606641, 0.927356, 1.57462" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.033287, 0.0481046, 0.0759446, 0.131293, 0.251032, 0.524619", \
-            "0.0334365, 0.0482243, 0.0762268, 0.131588, 0.251326, 0.524559", \
-            "0.0334338, 0.0482482, 0.0762943, 0.131539, 0.25128, 0.524452", \
-            "0.0334549, 0.0482495, 0.0761934, 0.131554, 0.251127, 0.524454", \
-            "0.0335686, 0.0485027, 0.0762524, 0.131518, 0.251326, 0.524433", \
-            "0.0343958, 0.0493269, 0.0773468, 0.132135, 0.251285, 0.524382" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.221729, 0.229928, 0.241448, 0.252309, 0.257909, 0.263192, 0.268409, 0.273622, 0.283275, 0.290611, 0.297558, 0.305926, 0.316228, 0.33056, 0.346411");
-            values ( \
-              "0.0222617, 0.0356242, 0.0807671, 0.112277, 0.121726, 0.126306, 0.125191, 0.11512, 0.0683638, 0.0434933, 0.028096, 0.0163372, 0.0083553, 0.00349592, 0.00256925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.247297, 0.255159, 0.269493, 0.279998, 0.288529, 0.296257, 0.303732, 0.31119, 0.314758, 0.318382, 0.333175, 0.344081, 0.353426, 0.365372, 0.372874, 0.379594, 0.389278, 0.402189, 0.419115");
-            values ( \
-              "0.0268344, 0.0479281, 0.117494, 0.160478, 0.183964, 0.195481, 0.198493, 0.192497, 0.184636, 0.17239, 0.105356, 0.0683533, 0.0460636, 0.0271285, 0.0192966, 0.0142185, 0.00919435, 0.00512417, 0.00262076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.304278, 0.31404, 0.334434, 0.339335, 0.344393, 0.349937, 0.361026, 0.367009, 0.375322, 0.387568, 0.400319, 0.406968, 0.414454, 0.429164, 0.439279, 0.447111, 0.457847, 0.466286, 0.477539, 0.485111, 0.491258, 0.499455, 0.514828, 0.521946, 0.532822, 0.547323, 0.576326, 0.618129, 0.669533");
-            values ( \
-              "0.058532, 0.076457, 0.181702, 0.202847, 0.221831, 0.238608, 0.261, 0.267224, 0.270557, 0.266382, 0.250061, 0.235023, 0.213091, 0.16425, 0.134572, 0.113714, 0.089129, 0.0729975, 0.0552904, 0.0456742, 0.0390225, 0.0315256, 0.0209213, 0.017317, 0.0129469, 0.00873123, 0.00383683, 0.00107057, 0.000203328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.424717, 0.445581, 0.462156, 0.479738, 0.493649, 0.513641, 0.516587, 0.534264, 0.548984, 0.562623, 0.586286, 0.605198, 0.663416, 0.701557, 0.735271, 0.770377, 0.814983, 0.862617, 0.903905, 0.94388");
-            values ( \
-              "0.110293, 0.153989, 0.231103, 0.288886, 0.3142, 0.327999, 0.328204, 0.324705, 0.316887, 0.307013, 0.283065, 0.255779, 0.155639, 0.10252, 0.0682055, 0.0433607, 0.0236909, 0.0122322, 0.00673854, 0.0042609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.673805, 0.707163, 0.735363, 0.746806, 0.767711, 0.791825, 0.798835, 0.80818, 0.823787, 0.839618, 0.87128, 0.910551, 0.936307, 0.986731, 1.028, 1.15126, 1.18388, 1.23173, 1.271, 1.30148, 1.34253, 1.37646, 1.41509, 1.46977, 1.52602, 1.56902, 1.65501, 1.76555, 1.87609, 1.98663");
-            values ( \
-              "0.15685, 0.199729, 0.296497, 0.322906, 0.352345, 0.364708, 0.365451, 0.365352, 0.363225, 0.359379, 0.349125, 0.333738, 0.322472, 0.296031, 0.26716, 0.165208, 0.140839, 0.109269, 0.087613, 0.0732466, 0.0570723, 0.0462105, 0.0361274, 0.0252835, 0.0174642, 0.0131024, 0.00724904, 0.0033115, 0.00151163, 0.000687866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.20879, 1.26847, 1.28285, 1.30788, 1.33769, 1.37149, 1.40393, 1.43525, 1.4792, 1.53423, 1.63398, 1.74661, 1.85715, 1.90705, 1.96407, 2.19143, 2.24479, 2.35151, 2.41912, 2.49254, 2.59044, 2.68318, 2.73512, 2.83899, 2.92814, 3.01822, 3.12875, 3.23929, 3.34983, 3.46037, 3.68145, 4.01307");
-            values ( \
-              "0.225974, 0.261127, 0.295742, 0.340791, 0.369612, 0.381402, 0.382875, 0.380833, 0.375707, 0.367656, 0.350755, 0.328758, 0.301947, 0.286764, 0.2672, 0.179635, 0.160166, 0.12466, 0.10522, 0.0867946, 0.0663756, 0.0510162, 0.0439266, 0.0322805, 0.0247255, 0.0188313, 0.0133857, 0.00952685, 0.00673496, 0.00479009, 0.00240534, 0.000839765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.240619, 0.246355, 0.250048, 0.261406, 0.265882, 0.27219, 0.277788, 0.28307, 0.288287, 0.293499, 0.303154, 0.310488, 0.31743, 0.325804, 0.329946, 0.336104, 0.344049, 0.350431, 0.363197, 0.374539");
-            values ( \
-              "0.0199522, 0.0257537, 0.0361644, 0.0809747, 0.0956518, 0.112231, 0.121818, 0.126265, 0.125254, 0.115085, 0.0683545, 0.0434904, 0.0281028, 0.0163365, 0.0124738, 0.00835612, 0.00511561, 0.0034961, 0.0016894, 0.00130689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.26728, 0.274872, 0.289268, 0.29979, 0.308326, 0.316056, 0.323532, 0.330992, 0.334523, 0.338109, 0.352524, 0.363656, 0.374274, 0.384551, 0.398622, 0.415793, 0.430891, 0.435443");
-            values ( \
-              "0.0298231, 0.0476147, 0.117196, 0.160333, 0.183908, 0.1954, 0.198476, 0.192447, 0.184715, 0.172677, 0.107177, 0.0689355, 0.0440091, 0.0279126, 0.014722, 0.00682417, 0.00345831, 0.00302701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.326241, 0.34062, 0.349949, 0.354354, 0.363163, 0.369876, 0.38297, 0.395295, 0.407551, 0.420274, 0.426855, 0.434404, 0.449105, 0.459244, 0.467094, 0.477802, 0.486217, 0.497436, 0.505045, 0.511221, 0.519456, 0.534793, 0.541895, 0.552723, 0.567161, 0.596037, 0.637814, 0.689156");
-            values ( \
-              "0.067602, 0.110144, 0.160064, 0.18159, 0.217942, 0.238823, 0.26373, 0.27098, 0.266533, 0.25017, 0.235259, 0.213134, 0.164288, 0.134533, 0.113631, 0.0891146, 0.0730284, 0.055362, 0.0456891, 0.0390061, 0.0314809, 0.0209112, 0.0173162, 0.0129627, 0.00875806, 0.00386343, 0.00107974, 0.000205075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.445515, 0.464463, 0.482572, 0.499527, 0.513885, 0.533844, 0.53679, 0.554467, 0.569185, 0.582825, 0.606487, 0.6254, 0.683619, 0.721759, 0.75547, 0.79058, 0.809068, 0.835187, 0.882817, 0.924103, 0.97151");
-            values ( \
-              "0.122133, 0.147595, 0.231947, 0.287823, 0.314357, 0.327943, 0.328284, 0.324776, 0.316954, 0.306951, 0.283128, 0.255776, 0.155637, 0.102519, 0.0682077, 0.0433599, 0.0338716, 0.0236909, 0.0122323, 0.00673927, 0.00380039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.692447, 0.731246, 0.752057, 0.767245, 0.786723, 0.811122, 0.821402, 0.835109, 0.860057, 0.895987, 0.956742, 1.00711, 1.0486, 1.17192, 1.25229, 1.32093, 1.36272, 1.39738, 1.43584, 1.49038, 1.58871, 1.67385, 1.78438, 1.79091");
-            values ( \
-              "0.131791, 0.213601, 0.286032, 0.32287, 0.351032, 0.364398, 0.365458, 0.364762, 0.359431, 0.347652, 0.322449, 0.296091, 0.267027, 0.165032, 0.109194, 0.0736807, 0.0571602, 0.0460685, 0.0360543, 0.0252546, 0.013168, 0.00733203, 0.00334934, 0.00327106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.22425, 1.35401, 1.38447, 1.41567, 1.456, 1.50211, 1.64093, 1.76732, 1.87766, 1.98785, 2.21365, 2.37757, 2.50699, 2.59627, 2.70892, 2.88264, 3.12709, 3.45871, 3.49215");
-            values ( \
-              "0.183608, 0.366467, 0.379276, 0.382746, 0.380842, 0.375427, 0.353225, 0.328759, 0.302015, 0.266102, 0.17907, 0.123031, 0.0882623, 0.0692088, 0.0502945, 0.0301367, 0.0143537, 0.00514054, 0.00488404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.284876, 0.290497, 0.294258, 0.305803, 0.316302, 0.3219, 0.327182, 0.332398, 0.33761, 0.347263, 0.354599, 0.361547, 0.369912, 0.380214, 0.394548, 0.411479");
-            values ( \
-              "0.0209533, 0.0257055, 0.0366342, 0.0820364, 0.112278, 0.1218, 0.126294, 0.125237, 0.115104, 0.0683674, 0.0434934, 0.0280939, 0.0163394, 0.00835664, 0.00349513, 0.00250571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.31256, 0.319072, 0.333445, 0.343967, 0.352503, 0.360231, 0.367708, 0.375168, 0.378682, 0.383298, 0.396655, 0.407804, 0.418521, 0.428672, 0.442776, 0.460064, 0.475273, 0.480389");
-            values ( \
-              "0.0427476, 0.0476021, 0.117169, 0.160327, 0.183931, 0.195395, 0.198473, 0.192457, 0.184756, 0.168304, 0.107359, 0.0690042, 0.0438714, 0.0279831, 0.014737, 0.00679475, 0.00342608, 0.00294632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.37156, 0.382573, 0.398586, 0.408777, 0.414138, 0.42486, 0.427213, 0.431921, 0.439512, 0.451748, 0.464511, 0.471245, 0.478647, 0.493364, 0.51127, 0.522032, 0.530495, 0.54178, 0.555435, 0.563595, 0.579002, 0.597059, 0.611621, 0.640746, 0.662198");
-            values ( \
-              "0.0909494, 0.0983132, 0.181547, 0.222415, 0.238843, 0.260377, 0.263463, 0.267677, 0.270599, 0.266317, 0.250069, 0.234792, 0.213083, 0.164222, 0.113798, 0.0891445, 0.0729684, 0.0552226, 0.0390381, 0.0315696, 0.0209306, 0.0129312, 0.00870596, 0.00381085, 0.00240149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.491008, 0.515982, 0.532859, 0.543346, 0.558513, 0.578434, 0.58143, 0.599405, 0.613236, 0.627465, 0.651113, 0.670084, 0.728319, 0.766434, 0.799833, 0.835356, 0.853795, 0.879876, 0.927249, 0.968294, 1.00958");
-            values ( \
-              "0.113967, 0.181485, 0.253844, 0.285718, 0.314387, 0.327939, 0.328296, 0.324662, 0.317328, 0.306961, 0.283143, 0.255704, 0.15554, 0.102479, 0.0684456, 0.0432817, 0.0338319, 0.0236747, 0.0122685, 0.00678432, 0.00420739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.737594, 0.774244, 0.800105, 0.811921, 0.832334, 0.856488, 0.864723, 0.875704, 0.897664, 0.918711, 0.946774, 1.00142, 1.04252, 1.08002, 1.11563, 1.21886, 1.2494, 1.29722, 1.33521, 1.36464, 1.40714, 1.44253, 1.48082, 1.53523, 1.59051, 1.63266, 1.71696, 1.8275, 1.93804, 2.04858");
-            values ( \
-              "0.139076, 0.207266, 0.295526, 0.322872, 0.351898, 0.36458, 0.365459, 0.365167, 0.361313, 0.355142, 0.345228, 0.322463, 0.301514, 0.277021, 0.249033, 0.163297, 0.140528, 0.109061, 0.0880818, 0.0740997, 0.0572532, 0.0459377, 0.0359749, 0.0252342, 0.0175458, 0.0132229, 0.00742279, 0.00338031, 0.00155368, 0.000693798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.26891, 1.39872, 1.42963, 1.46127, 1.50078, 1.59975, 1.7362, 1.86879, 2.04043, 2.39796, 2.5231, 2.72378, 2.89417, 3.02185, 3.19997, 3.46555");
-            values ( \
-              "0.182846, 0.366397, 0.37938, 0.382745, 0.380852, 0.367656, 0.343917, 0.315913, 0.263286, 0.130639, 0.0952307, 0.0547936, 0.0332784, 0.0226798, 0.013151, 0.00637329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.381016, 0.386603, 0.390359, 0.40186, 0.406147, 0.412459, 0.41806, 0.423344, 0.428562, 0.433776, 0.443432, 0.450766, 0.457709, 0.466083, 0.470226, 0.476385, 0.484329, 0.490712, 0.503478, 0.514893");
-            values ( \
-              "0.0213774, 0.0255628, 0.0364329, 0.0815847, 0.0955937, 0.112166, 0.12179, 0.126201, 0.125256, 0.115027, 0.0683451, 0.0434843, 0.0281003, 0.0163358, 0.0124723, 0.00835609, 0.00511574, 0.00349552, 0.0016898, 0.00130437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.408133, 0.415219, 0.429596, 0.440114, 0.448652, 0.456382, 0.463858, 0.471319, 0.474838, 0.479449, 0.492822, 0.503965, 0.514648, 0.524842, 0.538935, 0.556183, 0.571354, 0.576211");
-            values ( \
-              "0.0353311, 0.0476245, 0.117246, 0.160325, 0.183895, 0.195399, 0.198455, 0.192457, 0.184738, 0.168304, 0.107297, 0.0689812, 0.04392, 0.0279571, 0.0147302, 0.00680646, 0.00343555, 0.00297888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.466718, 0.481093, 0.490423, 0.494827, 0.503634, 0.510349, 0.523442, 0.535768, 0.548024, 0.560747, 0.567328, 0.574877, 0.589578, 0.599717, 0.607567, 0.618276, 0.62669, 0.637909, 0.645518, 0.651694, 0.659929, 0.675266, 0.682368, 0.693196, 0.707635, 0.736511, 0.778267, 0.829583");
-            values ( \
-              "0.0675903, 0.110174, 0.160094, 0.181583, 0.21795, 0.238809, 0.263743, 0.270964, 0.266547, 0.250169, 0.235259, 0.213134, 0.164288, 0.134533, 0.113631, 0.0891145, 0.0730282, 0.0553619, 0.045689, 0.039006, 0.031481, 0.0209111, 0.0173161, 0.0129626, 0.00875809, 0.00386331, 0.0010807, 0.000205428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.585833, 0.6067, 0.623142, 0.640824, 0.654663, 0.674615, 0.677604, 0.695538, 0.709494, 0.72364, 0.747291, 0.766253, 0.824485, 0.862604, 0.896054, 0.931509, 0.949956, 0.976044, 1.02346, 1.06454, 1.11183");
-            values ( \
-              "0.111193, 0.154445, 0.230925, 0.288987, 0.314293, 0.327898, 0.328301, 0.324705, 0.317316, 0.306906, 0.283189, 0.255717, 0.155557, 0.102486, 0.0684074, 0.0432941, 0.0338386, 0.0236771, 0.0122629, 0.00677681, 0.00382842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.836711, 0.877631, 0.889536, 0.908324, 0.924466, 0.947631, 0.955637, 0.971648, 1.00113, 1.02704, 1.04819, 1.09787, 1.14207, 1.17015, 1.20758, 1.28852, 1.34732, 1.39345, 1.43121, 1.46023, 1.5153, 1.53928, 1.57743, 1.63173, 1.65527, 1.70235, 1.79651, 1.90705, 2.01759, 2.12813");
-            values ( \
-              "0.151112, 0.233452, 0.274721, 0.322699, 0.347218, 0.363064, 0.364792, 0.365126, 0.359448, 0.351104, 0.343303, 0.322483, 0.299649, 0.281483, 0.252758, 0.184618, 0.139444, 0.109119, 0.0882536, 0.0744538, 0.05323, 0.0458133, 0.0359312, 0.025198, 0.0216011, 0.0157867, 0.00824775, 0.00376445, 0.00172939, 0.000772546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.36057, 1.41979, 1.4541, 1.48366, 1.4994, 1.53087, 1.56173, 1.5971, 1.64012, 1.69605, 1.82629, 1.96439, 2.0262, 2.13674, 2.38359, 2.49413, 2.56331, 2.61949, 2.69339, 2.79019, 2.8799, 2.99044, 3.11815, 3.18576, 3.2963, 3.40684, 3.62792, 3.73846, 4.18062");
-            values ( \
-              "0.169862, 0.233412, 0.314601, 0.356667, 0.368841, 0.380683, 0.382836, 0.380829, 0.375829, 0.367662, 0.3451, 0.316109, 0.29979, 0.263314, 0.168392, 0.130708, 0.110039, 0.0951847, 0.0781484, 0.0595979, 0.0460874, 0.0333098, 0.0227078, 0.0184407, 0.013178, 0.00930701, 0.00465981, 0.00334746, 0.000860985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.589338, 0.595494, 0.597256, 0.612779, 0.620846, 0.627457, 0.632775, 0.638016, 0.643253, 0.652986, 0.660283, 0.667092, 0.675664, 0.689102, 0.70557, 0.71441");
-            values ( \
-              "0.0182216, 0.0243929, 0.0288991, 0.086033, 0.109038, 0.120956, 0.125606, 0.124733, 0.11475, 0.0679731, 0.0433809, 0.0282934, 0.0162493, 0.0068375, 0.00252703, 0.00219493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.617915, 0.625622, 0.64019, 0.650778, 0.659348, 0.667098, 0.674591, 0.682067, 0.685349, 0.690199, 0.702531, 0.714167, 0.722954, 0.735184, 0.745953, 0.753405, 0.764803, 0.779999, 0.790464");
-            values ( \
-              "0.0295872, 0.0466933, 0.11628, 0.159584, 0.183293, 0.194952, 0.198105, 0.192217, 0.185183, 0.168173, 0.111505, 0.0704804, 0.048844, 0.0284694, 0.017482, 0.0124872, 0.00748736, 0.00376156, 0.00266642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.67929, 0.692742, 0.703402, 0.70702, 0.714258, 0.722565, 0.735677, 0.747996, 0.760256, 0.772981, 0.779545, 0.787112, 0.801813, 0.811955, 0.819808, 0.830513, 0.838925, 0.85014, 0.857754, 0.863934, 0.872173, 0.887506, 0.894605, 0.905429, 0.919859, 0.948721, 0.990384, 1.04158");
-            values ( \
-              "0.0745698, 0.107142, 0.163774, 0.181189, 0.211884, 0.238588, 0.263652, 0.270856, 0.266513, 0.250126, 0.235282, 0.213119, 0.164285, 0.134524, 0.113618, 0.0891111, 0.0730307, 0.0553689, 0.0456905, 0.0390042, 0.0314755, 0.0209103, 0.0173164, 0.0129648, 0.00876102, 0.00386669, 0.00108534, 0.000207733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.79893, 0.8191, 0.83617, 0.853549, 0.867588, 0.887536, 0.890518, 0.908407, 0.92249, 0.936551, 0.960203, 0.979158, 1.03739, 1.07551, 1.109, 1.1444, 1.16286, 1.18895, 1.23639, 1.2775, 1.32507");
-            values ( \
-              "0.114409, 0.152046, 0.231533, 0.28865, 0.31434, 0.327981, 0.328285, 0.324686, 0.317216, 0.306973, 0.28313, 0.255727, 0.155568, 0.102491, 0.0683796, 0.0433033, 0.033843, 0.0236791, 0.0122585, 0.00677166, 0.00380709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.04813, 1.07978, 1.09232, 1.10991, 1.1214, 1.14282, 1.16669, 1.1729, 1.18119, 1.19759, 1.21422, 1.26127, 1.31091, 1.36134, 1.40261, 1.52586, 1.55849, 1.60633, 1.64562, 1.6761, 1.71715, 1.75107, 1.7897, 1.84438, 1.90063, 1.94363, 2.02963, 2.14017, 2.25071, 2.36125");
-            values ( \
-              "0.15927, 0.192143, 0.239867, 0.296248, 0.322903, 0.352755, 0.364775, 0.365413, 0.365423, 0.363385, 0.359379, 0.343326, 0.322471, 0.296027, 0.267159, 0.165208, 0.140842, 0.109269, 0.087611, 0.0732428, 0.0570716, 0.0462115, 0.0361277, 0.0252838, 0.0174638, 0.0131018, 0.00724839, 0.00331114, 0.00151154, 0.000687757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.5832, 1.69696, 1.71406, 1.74605, 1.77693, 1.85478, 2.00101, 2.12316, 2.2088, 2.3019, 2.5856, 2.75008, 2.9031, 3.03475, 3.20482, 3.33278, 3.5111, 3.84272, 3.84813");
-            values ( \
-              "0.194364, 0.355335, 0.36885, 0.380818, 0.382828, 0.375818, 0.352434, 0.328722, 0.30866, 0.280716, 0.173079, 0.118063, 0.0791897, 0.0547884, 0.0333058, 0.0226804, 0.0131438, 0.00468556, 0.0046478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.02464, 1.03429, 1.04705, 1.05897, 1.06483, 1.0703, 1.07568, 1.08104, 1.09118, 1.10058, 1.10959, 1.11938, 1.13233, 1.1489, 1.15734");
-            values ( \
-              "0.0178262, 0.0296417, 0.0734771, 0.106697, 0.117065, 0.122225, 0.12206, 0.112727, 0.0658807, 0.037129, 0.0209066, 0.0111215, 0.00494151, 0.00190545, 0.0013753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.05783, 1.06693, 1.07074, 1.09089, 1.09895, 1.10281, 1.11079, 1.11841, 1.12603, 1.13369, 1.1491, 1.16011, 1.16601, 1.17251, 1.18264, 1.18989, 1.19637, 1.20354, 1.2158, 1.2359, 1.2503, 1.25705");
-            values ( \
-              "0.0237202, 0.0409333, 0.0549246, 0.141883, 0.168255, 0.178037, 0.190668, 0.19485, 0.189621, 0.169791, 0.100794, 0.0652046, 0.0509635, 0.0383165, 0.0244337, 0.0176427, 0.0130958, 0.00948883, 0.0054838, 0.00274955, 0.00155654, 0.00137976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.1279, 1.13928, 1.16082, 1.17678, 1.19008, 1.19384, 1.20137, 1.20481, 1.20939, 1.21781, 1.22568, 1.23146, 1.23918, 1.26391, 1.28443, 1.29652, 1.30621, 1.31792, 1.33724, 1.34909, 1.36727, 1.3915, 1.42125");
-            values ( \
-              "0.0453344, 0.0704265, 0.175014, 0.23398, 0.260019, 0.263917, 0.267878, 0.268162, 0.267238, 0.261929, 0.252063, 0.24097, 0.220681, 0.14216, 0.090665, 0.0681307, 0.0535922, 0.0398104, 0.0239713, 0.0174931, 0.0107294, 0.00546295, 0.00259635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.26522, 1.28607, 1.30311, 1.32065, 1.33472, 1.3549, 1.35771, 1.37177, 1.38035, 1.39751, 1.41572, 1.42882, 1.4544, 1.49315, 1.52305, 1.55929, 1.58022, 1.60881, 1.62569, 1.65441, 1.68677, 1.71245, 1.7638, 1.80744");
-            values ( \
-              "0.110927, 0.150597, 0.229169, 0.287148, 0.313332, 0.327466, 0.327826, 0.325778, 0.322244, 0.311791, 0.295996, 0.281146, 0.242593, 0.174282, 0.128115, 0.0842912, 0.0650121, 0.0449519, 0.0359159, 0.0242413, 0.0155161, 0.0108064, 0.00507345, 0.0030568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.51848, 1.55446, 1.58164, 1.59266, 1.61336, 1.6373, 1.64531, 1.65598, 1.67733, 1.70157, 1.73251, 1.77993, 1.81296, 1.83574, 1.8813, 1.98545, 2.06067, 2.12144, 2.16362, 2.21266, 2.24013, 2.29508, 2.33833, 2.40434, 2.49237, 2.60291, 2.64118");
-            values ( \
-              "0.141678, 0.2053, 0.297648, 0.322848, 0.35215, 0.364586, 0.365443, 0.365193, 0.361567, 0.354445, 0.343329, 0.323559, 0.307216, 0.293954, 0.261432, 0.17438, 0.119721, 0.0852885, 0.0664104, 0.0490835, 0.0413, 0.0289103, 0.021786, 0.0140482, 0.00767605, 0.00349942, 0.00301823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("2.04268, 2.11246, 2.13007, 2.15654, 2.18492, 2.21783, 2.25031, 2.2825, 2.32628, 2.38147, 2.47473, 2.59391, 2.69172, 2.75187, 2.83207, 3.03268, 3.14874, 3.24342, 3.31529, 3.41112, 3.50289, 3.61817, 3.72871, 3.84689, 3.92227, 4.03281, 4.14335, 4.36443, 4.58551, 4.80659");
-            values ( \
-              "0.140926, 0.252334, 0.295336, 0.342596, 0.36944, 0.381227, 0.382857, 0.38087, 0.375706, 0.367688, 0.35189, 0.328714, 0.305446, 0.287572, 0.259522, 0.181869, 0.140618, 0.111593, 0.0926725, 0.0714104, 0.0551727, 0.039497, 0.0284421, 0.0198855, 0.0158394, 0.0112252, 0.00800045, 0.00402425, 0.00202579, 0.00102525" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.012524, 0.0125434, 0.012565, 0.0125826, 0.0125939, 0.0125999", \
-            "0.0167146, 0.0167341, 0.0167595, 0.0167832, 0.0167996, 0.0168089", \
-            "0.0198075, 0.019822, 0.0198448, 0.0198705, 0.0198911, 0.0199036", \
-            "0.0215513, 0.0215588, 0.0215723, 0.0215911, 0.0216094, 0.0216223", \
-            "0.0224195, 0.0224062, 0.0223909, 0.0223803, 0.0223776, 0.0223795", \
-            "0.0229151, 0.022886, 0.0228398, 0.022783, 0.0227349, 0.0227061" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0206298, 0.0206495, 0.0206766, 0.0207026, 0.0207209, 0.0207312", \
-            "0.023032, 0.0230317, 0.0230407, 0.0230573, 0.0230726, 0.0230822", \
-            "0.0218858, 0.0218195, 0.0217376, 0.0216615, 0.0216094, 0.0215805", \
-            "0.0206138, 0.0204908, 0.0203197, 0.0201525, 0.0200244, 0.0199435", \
-            "0.0206169, 0.0203439, 0.0200042, 0.0196668, 0.0194078, 0.0192551", \
-            "0.0201774, 0.0202063, 0.0203456, 0.0199706, 0.0194564, 0.0191471" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.180336, 0.187361, 0.195331, 0.202913, 0.209198, 0.21279, 0.219098, 0.222606, 0.225472, 0.230655, 0.233219, 0.236991, 0.245524, 0.249872, 0.256615, 0.259312, 0.26384, 0.269878, 0.280128, 0.280362");
-            values ( \
-              "-0.0153397, -0.0400303, -0.0765958, -0.0974883, -0.110731, -0.116463, -0.123723, -0.126116, -0.12688, -0.125135, -0.119813, -0.10556, -0.0554596, -0.0359142, -0.0170697, -0.012548, -0.00743803, -0.0036529, -0.00108348, -0.00107084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.199151, 0.206868, 0.207896, 0.214186, 0.219086, 0.221218, 0.225483, 0.231844, 0.239493, 0.240807, 0.243436, 0.249023, 0.251078, 0.256799, 0.263081, 0.264349, 0.266883, 0.271831, 0.279353, 0.281203, 0.291023, 0.293699, 0.296501, 0.300148, 0.303083, 0.306686, 0.311792, 0.314183, 0.317573, 0.322094, 0.326344");
-            values ( \
-              "-0.0308506, -0.0544377, -0.0551313, -0.0855803, -0.113161, -0.119579, -0.136646, -0.153661, -0.171296, -0.172619, -0.17739, -0.184707, -0.187066, -0.192679, -0.196607, -0.197165, -0.197132, -0.193571, -0.169546, -0.1598, -0.0981182, -0.0835244, -0.0700584, -0.0548621, -0.044828, -0.034825, -0.0238489, -0.0199871, -0.0155226, -0.011129, -0.00844674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.236626, 0.273779, 0.280958, 0.290471, 0.299399, 0.317732, 0.328502, 0.337172, 0.34161, 0.350487, 0.353374, 0.359147, 0.366407, 0.383198, 0.389711, 0.402768, 0.414092, 0.425375, 0.431803, 0.444659, 0.467873, 0.467918");
-            values ( \
-              "-0.00393407, -0.173361, -0.196181, -0.217686, -0.23409, -0.263589, -0.276855, -0.28265, -0.283574, -0.277656, -0.272947, -0.257436, -0.224808, -0.136698, -0.108858, -0.0663725, -0.0423613, -0.0266219, -0.0202475, -0.0117448, -0.00395759, -0.00395319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.325571, 0.36571, 0.376375, 0.391926, 0.41225, 0.422524, 0.435969, 0.45751, 0.46347, 0.475392, 0.483236, 0.493696, 0.498186, 0.507166, 0.519156, 0.540439, 0.561477, 0.584168, 0.59898, 0.611568, 0.621962, 0.634334, 0.651823, 0.670197, 0.6843, 0.712507, 0.766764, 0.831482");
-            values ( \
-              "-0.00660986, -0.175168, -0.209208, -0.247149, -0.289904, -0.309253, -0.330529, -0.354337, -0.358669, -0.364484, -0.365965, -0.364553, -0.362553, -0.355622, -0.338166, -0.275006, -0.200373, -0.134767, -0.102123, -0.0800459, -0.0651901, -0.0507342, -0.0354583, -0.0242653, -0.0179888, -0.00984271, -0.00263293, -0.000546568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.52492, 0.553732, 0.580703, 0.624079, 0.640044, 0.662436, 0.685379, 0.703988, 0.743223, 0.781848, 0.821229, 0.843661, 0.863134, 0.902079, 0.934724, 0.956636, 0.984528, 1.0058, 1.02768, 1.05691, 1.08339, 1.11869, 1.14838, 1.18855, 1.24211, 1.32865, 1.41519, 1.50172");
-            values ( \
-              "-0.0833317, -0.14636, -0.218009, -0.312835, -0.343418, -0.377488, -0.401548, -0.41511, -0.428718, -0.427577, -0.412037, -0.395048, -0.372562, -0.30376, -0.240815, -0.202901, -0.161008, -0.134074, -0.110474, -0.0846459, -0.0662485, -0.0474185, -0.0356683, -0.0242055, -0.0142407, -0.00578176, -0.00233613, -0.000950426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.954983, 1.02228, 1.0936, 1.12502, 1.18224, 1.20623, 1.25422, 1.27858, 1.34122, 1.40394, 1.4584, 1.5061, 1.5911, 1.63415, 1.76685, 1.84378, 1.89096, 1.96141, 2.02419, 2.07802, 2.13557, 2.21771, 2.26985, 2.35907, 2.44561, 2.61868, 2.96483");
-            values ( \
-              "-0.212609, -0.240138, -0.370797, -0.41095, -0.452637, -0.461377, -0.469479, -0.470063, -0.465706, -0.455895, -0.443484, -0.428997, -0.387776, -0.354233, -0.234295, -0.176329, -0.146893, -0.110767, -0.085466, -0.0681847, -0.0533, -0.0373285, -0.0297196, -0.0200818, -0.0137012, -0.00632616, -0.00135398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.198305, 0.202248, 0.203391, 0.208042, 0.21188, 0.220742, 0.227073, 0.232787, 0.238171, 0.243398, 0.248619, 0.253829, 0.262737, 0.267523, 0.273444, 0.280143, 0.28556, 0.29408");
-            values ( \
-              "-0.0247438, -0.0331928, -0.0335589, -0.0514901, -0.0708928, -0.0967539, -0.110644, -0.118719, -0.124531, -0.126581, -0.124902, -0.110694, -0.0595087, -0.0371507, -0.0194974, -0.0090304, -0.0047924, -0.001864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.217336, 0.227983, 0.236273, 0.243039, 0.249159, 0.256817, 0.266941, 0.274179, 0.280069, 0.285128, 0.289252, 0.2968, 0.308034, 0.313944, 0.32056, 0.324162, 0.331095, 0.339557, 0.348584, 0.364354, 0.364919");
-            values ( \
-              "-0.0182363, -0.0682216, -0.112568, -0.137232, -0.153524, -0.170733, -0.184751, -0.191987, -0.195924, -0.196493, -0.192854, -0.169514, -0.100576, -0.0699642, -0.0448349, -0.0346869, -0.0208488, -0.0110537, -0.00551918, -0.00147449, -0.00145744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.249499, 0.306523, 0.317137, 0.335767, 0.346354, 0.351155, 0.35969, 0.363956, 0.37148, 0.383367, 0.401117, 0.409283, 0.421009, 0.434659, 0.447579, 0.456293, 0.473722, 0.48784");
-            values ( \
-              "-0.00437654, -0.212371, -0.232818, -0.26285, -0.275901, -0.279913, -0.282969, -0.281618, -0.272518, -0.231154, -0.138001, -0.103618, -0.0664683, -0.0384804, -0.0225382, -0.0156169, -0.00722659, -0.00450985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.348445, 0.367077, 0.379075, 0.390615, 0.403695, 0.430079, 0.438968, 0.45151, 0.458855, 0.46752, 0.475548, 0.491604, 0.496096, 0.505082, 0.51633, 0.536644, 0.538948, 0.548167, 0.575224, 0.588929, 0.598395, 0.608113, 0.621071, 0.632892, 0.646839, 0.665436, 0.677476, 0.69602, 0.720745, 0.770195, 0.830614");
-            values ( \
-              "-0.0516039, -0.106926, -0.15682, -0.196956, -0.231111, -0.287262, -0.304382, -0.325153, -0.335367, -0.345542, -0.353034, -0.362953, -0.364272, -0.365282, -0.362017, -0.339381, -0.334846, -0.310473, -0.215021, -0.171055, -0.144698, -0.12109, -0.0946435, -0.0751557, -0.0569227, -0.0388742, -0.0303397, -0.0206251, -0.0121547, -0.00383421, -0.000852047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.545349, 0.569756, 0.591699, 0.640292, 0.653844, 0.668501, 0.680216, 0.702755, 0.721931, 0.761227, 0.799895, 0.838563, 0.849553, 0.861275, 0.88121, 0.92108, 0.973659, 1.00971, 1.02463, 1.05448, 1.08297, 1.09509, 1.11933, 1.16049, 1.17478, 1.20752, 1.24493, 1.31976, 1.4063, 1.49284");
-            values ( \
-              "-0.13245, -0.138663, -0.20035, -0.306337, -0.333714, -0.358785, -0.375403, -0.399954, -0.41401, -0.428352, -0.427179, -0.412389, -0.405104, -0.395394, -0.372493, -0.301956, -0.204648, -0.1516, -0.133206, -0.102076, -0.0786757, -0.0703103, -0.0560251, -0.0377346, -0.0329164, -0.0240017, -0.0166477, -0.00774949, -0.00314443, -0.00126319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.969083, 1.02386, 1.11381, 1.14197, 1.19221, 1.22349, 1.2652, 1.31753, 1.36178, 1.44107, 1.48641, 1.52653, 1.60679, 1.63918, 1.8014, 1.87756, 1.94979, 2.00792, 2.06145, 2.12266, 2.20426, 2.27202, 2.37596, 2.46249, 2.63557, 2.68774");
-            values ( \
-              "-0.196556, -0.209746, -0.369593, -0.407046, -0.447284, -0.460189, -0.468758, -0.469659, -0.46556, -0.452725, -0.441473, -0.429003, -0.390833, -0.367146, -0.222795, -0.167529, -0.126131, -0.0995155, -0.0796711, -0.0615308, -0.0432497, -0.0322275, -0.0204147, -0.0138857, -0.00639754, -0.00563647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.236887, 0.24317, 0.251517, 0.259091, 0.265406, 0.271124, 0.276507, 0.281734, 0.286957, 0.292167, 0.305882, 0.311697, 0.318551, 0.324095, 0.331246");
-            values ( \
-              "-0.0189887, -0.0386847, -0.0749948, -0.0970618, -0.110486, -0.118906, -0.124383, -0.126686, -0.124761, -0.110756, -0.0371005, -0.0196818, -0.00897717, -0.00466854, -0.00228419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.251471, 0.283579, 0.287712, 0.29586, 0.298393, 0.308971, 0.312746, 0.320328, 0.323968, 0.327827, 0.335381, 0.34661, 0.352547, 0.359131, 0.362739, 0.36968, 0.373643, 0.378171, 0.387228, 0.402579, 0.421433, 0.445787");
-            values ( \
-              "-0.0020094, -0.142861, -0.153842, -0.171179, -0.175225, -0.188353, -0.191895, -0.196374, -0.196277, -0.192753, -0.16942, -0.100617, -0.0698963, -0.0448332, -0.0347404, -0.0208846, -0.0155429, -0.0110713, -0.00545392, -0.00157621, -0.000429851, -0.000205271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.291874, 0.336322, 0.342388, 0.353175, 0.367896, 0.374527, 0.386727, 0.389821, 0.396008, 0.398474, 0.403406, 0.410267, 0.42217, 0.43987, 0.448203, 0.458893, 0.46538, 0.471128, 0.482442, 0.488899, 0.501814, 0.526224, 0.555297");
-            values ( \
-              "-0.0057166, -0.191205, -0.206234, -0.227632, -0.252622, -0.262637, -0.277033, -0.279555, -0.282575, -0.282737, -0.281039, -0.272322, -0.231045, -0.138193, -0.103133, -0.0688757, -0.0533792, -0.0423432, -0.0265576, -0.020283, -0.0116391, -0.00374552, -0.00095007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.39769, 0.4168, 0.422159, 0.427775, 0.434391, 0.44193, 0.448695, 0.4692, 0.478358, 0.484689, 0.49102, 0.497241, 0.503461, 0.505535, 0.512396, 0.519257, 0.523832, 0.528406, 0.53528, 0.539879, 0.54573, 0.54768, 0.549628, 0.555471, 0.559366, 0.563261, 0.567156, 0.571052, 0.576503, 0.579197, 0.584584, 0.590278, 0.593277, 0.599666, 0.610161, 0.612802, 0.620723, 0.630823, 0.637612, 0.644457, 0.651302, 0.658147, 0.666236, 0.67326, 0.677587, 0.683357, 0.689127, 0.698587, 0.708046, 0.716215");
-            values ( \
-              "-0.142776, -0.152452, -0.173867, -0.191708, -0.210521, -0.229483, -0.244525, -0.288086, -0.305066, -0.315729, -0.325821, -0.334952, -0.343002, -0.345453, -0.351578, -0.356597, -0.359399, -0.361797, -0.364641, -0.364813, -0.364444, -0.364176, -0.363475, -0.360455, -0.357675, -0.354283, -0.350279, -0.345661, -0.336356, -0.329796, -0.315519, -0.298753, -0.289227, -0.267349, -0.228272, -0.21921, -0.193234, -0.162976, -0.143532, -0.126191, -0.111508, -0.0981173, -0.0841046, -0.0727051, -0.0661702, -0.0591861, -0.0528013, -0.0437609, -0.0360714, -0.0304502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.551464, 0.713514, 0.734102, 0.762094, 0.801344, 0.840009, 0.87867, 0.889722, 0.90151, 0.92132, 0.96094, 1.01412, 1.04981, 1.06451, 1.09391, 1.12304, 1.13542, 1.16017, 1.20084, 1.21502, 1.24704, 1.28364, 1.35684, 1.44337, 1.52991");
-            values ( \
-              "-0.0103682, -0.3665, -0.391786, -0.41425, -0.428493, -0.4272, -0.412437, -0.405075, -0.395294, -0.372499, -0.302447, -0.204063, -0.151601, -0.133471, -0.102714, -0.0787087, -0.0701703, -0.055639, -0.0376495, -0.0328809, -0.0241416, -0.0168833, -0.00800469, -0.00324898, -0.00130461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.00723, 1.0569, 1.15382, 1.18437, 1.2161, 1.24249, 1.2842, 1.32259, 1.38283, 1.43935, 1.48245, 1.56371, 1.60564, 1.65036, 1.67051, 1.7998, 1.85171, 1.91954, 1.98013, 2.02621, 2.0858, 2.13565, 2.19412, 2.27698, 2.36406, 2.43091, 2.51745, 2.69052, 2.8636, 3.03667");
-            values ( \
-              "-0.196347, -0.197887, -0.369087, -0.408959, -0.437066, -0.452053, -0.465488, -0.46964, -0.467945, -0.460399, -0.452057, -0.430094, -0.413291, -0.388296, -0.373781, -0.25753, -0.214403, -0.166151, -0.130996, -0.108741, -0.0849657, -0.0689285, -0.0537146, -0.037462, -0.0256373, -0.0190957, -0.0129807, -0.00597724, -0.00274395, -0.00125755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.321887, 0.32715, 0.334904, 0.343768, 0.3501, 0.355818, 0.361204, 0.366434, 0.371659, 0.376872, 0.391421, 0.396405, 0.403264, 0.408812, 0.409607");
-            values ( \
-              "-0.0258497, -0.036466, -0.0707595, -0.0967085, -0.110611, -0.118643, -0.12449, -0.126464, -0.124853, -0.110575, -0.0339503, -0.0196229, -0.00902946, -0.00460872, -0.0043521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.33941, 0.360624, 0.365861, 0.373018, 0.380345, 0.385285, 0.398036, 0.40414, 0.408571, 0.413122, 0.420673, 0.431839, 0.439315, 0.445992, 0.454163, 0.462605, 0.472253, 0.483206");
-            values ( \
-              "-0.00506969, -0.114975, -0.133822, -0.153538, -0.170139, -0.177784, -0.191705, -0.196003, -0.196522, -0.192701, -0.16947, -0.10096, -0.0634085, -0.0401545, -0.0221531, -0.0118316, -0.00551328, -0.00271892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.390442, 0.401242, 0.405549, 0.407339, 0.410919, 0.41271, 0.415413, 0.418117, 0.41992, 0.423525, 0.429114, 0.433063, 0.44213, 0.453467, 0.45521, 0.460441, 0.462917, 0.467868, 0.473785, 0.477226, 0.480801, 0.484376, 0.487298, 0.490221, 0.493144, 0.496227, 0.497194, 0.498483, 0.500417, 0.502351, 0.50364, 0.506218, 0.509291, 0.5139, 0.517645, 0.524271, 0.528885, 0.531712, 0.533671, 0.536175, 0.539052, 0.543928, 0.546636, 0.549344, 0.553986, 0.557727, 0.55992, 0.562114, 0.564308, 0.567773");
-            values ( \
-              "-0.102213, -0.108448, -0.131118, -0.139431, -0.154769, -0.161795, -0.171585, -0.180222, -0.185533, -0.195347, -0.208114, -0.216709, -0.233168, -0.252665, -0.255352, -0.262891, -0.266059, -0.27213, -0.278985, -0.283151, -0.28186, -0.280244, -0.278681, -0.276902, -0.274905, -0.272504, -0.270543, -0.267389, -0.261477, -0.254694, -0.249718, -0.238715, -0.223773, -0.198355, -0.178437, -0.144811, -0.123626, -0.111376, -0.103816, -0.0949208, -0.0855108, -0.0708654, -0.0633459, -0.0565647, -0.0472688, -0.0407785, -0.0373458, -0.0341585, -0.0312166, -0.0270461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.474885, 0.492914, 0.508386, 0.519913, 0.535108, 0.548847, 0.564355, 0.576928, 0.583697, 0.592557, 0.600766, 0.617185, 0.629567, 0.641543, 0.661856, 0.664169, 0.673422, 0.700362, 0.713989, 0.723606, 0.733486, 0.746661, 0.758125, 0.771647, 0.789677, 0.802631, 0.822536, 0.849075, 0.900342, 0.960706");
-            values ( \
-              "-0.0529453, -0.110812, -0.173683, -0.209944, -0.245896, -0.274676, -0.305054, -0.325544, -0.335001, -0.345491, -0.353105, -0.363162, -0.365341, -0.362049, -0.339379, -0.334832, -0.310347, -0.215284, -0.171516, -0.144711, -0.120735, -0.0939589, -0.0751287, -0.0573922, -0.0396736, -0.0303872, -0.0200733, -0.0113523, -0.00339304, -0.000756735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.680419, 0.723233, 0.784048, 0.807023, 0.830112, 0.848704, 0.885428, 0.903376, 0.931849, 0.965875, 1.00555, 1.02217, 1.09837, 1.13616, 1.17693, 1.2033, 1.25141, 1.28918, 1.3295, 1.36054, 1.42262, 1.50509");
-            values ( \
-              "-0.157643, -0.213709, -0.340788, -0.37605, -0.400814, -0.414342, -0.428003, -0.429355, -0.425735, -0.411705, -0.375798, -0.350298, -0.207571, -0.151613, -0.105943, -0.0833151, -0.0531551, -0.0370119, -0.0251199, -0.0185581, -0.00995616, -0.00431159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.09396, 1.1434, 1.24084, 1.26983, 1.30311, 1.32961, 1.37133, 1.40971, 1.46914, 1.52811, 1.56957, 1.65087, 1.69243, 1.73676, 1.759, 1.90161, 1.9491, 2.01046, 2.10544, 2.16995, 2.22853, 2.30664, 2.37194, 2.46031, 2.54685, 2.71993, 2.8183");
-            values ( \
-              "-0.19589, -0.196992, -0.369015, -0.407019, -0.436903, -0.451982, -0.465461, -0.469609, -0.46801, -0.46013, -0.452034, -0.430095, -0.413443, -0.388776, -0.372725, -0.244825, -0.206539, -0.163777, -0.11231, -0.0860202, -0.0672604, -0.0480826, -0.0362089, -0.024605, -0.0167608, -0.00773355, -0.00535908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.499026, 0.513324, 0.52257, 0.528949, 0.534703, 0.540115, 0.545364, 0.550335, 0.554028, 0.569822, 0.577229, 0.583044, 0.587925, 0.592848");
-            values ( \
-              "-0.011122, -0.068474, -0.0958177, -0.109739, -0.118066, -0.123909, -0.126145, -0.124718, -0.116913, -0.0359733, -0.0158679, -0.00815352, -0.00463052, -0.00300578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.521517, 0.541632, 0.548554, 0.556458, 0.563607, 0.570125, 0.575453, 0.579754, 0.58735, 0.591116, 0.594901, 0.602471, 0.613762, 0.619598, 0.62634, 0.629931, 0.636852, 0.645244, 0.654196, 0.667713");
-            values ( \
-              "-0.0111832, -0.111047, -0.136239, -0.157005, -0.171076, -0.180768, -0.1869, -0.190916, -0.19546, -0.195451, -0.191987, -0.169013, -0.100252, -0.0701408, -0.0445845, -0.0345662, -0.0208189, -0.0111201, -0.00555774, -0.00205681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.564261, 0.609935, 0.619463, 0.639865, 0.646865, 0.659073, 0.663794, 0.67082, 0.682518, 0.687527, 0.692696, 0.710711, 0.718919, 0.730406, 0.741995, 0.750776, 0.760022, 0.767129, 0.781343, 0.807743, 0.83912");
-            values ( \
-              "-0.00692903, -0.195559, -0.216663, -0.251936, -0.262294, -0.276718, -0.280461, -0.282556, -0.272791, -0.260152, -0.239509, -0.144967, -0.109146, -0.0709688, -0.0448421, -0.0312503, -0.0213041, -0.0157962, -0.0085327, -0.00245166, -0.000565312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.655972, 0.7062, 0.716822, 0.745378, 0.754559, 0.769214, 0.780262, 0.790775, 0.811331, 0.831639, 0.851939, 0.854129, 0.862888, 0.89148, 0.915621, 0.927163, 0.942553, 0.96319, 0.980199, 0.992686, 1.01107, 1.03558, 1.08461, 1.14341");
-            values ( \
-              "-0.004313, -0.199302, -0.227353, -0.288242, -0.305755, -0.329348, -0.343281, -0.353402, -0.364695, -0.362427, -0.339396, -0.335116, -0.3122, -0.211563, -0.139511, -0.112677, -0.0837406, -0.0556042, -0.03925, -0.0303521, -0.020703, -0.0122593, -0.00391511, -0.000920116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.849329, 0.906216, 0.984968, 1.01943, 1.0386, 1.0779, 1.11656, 1.15523, 1.17796, 1.19788, 1.32638, 1.37102, 1.43615, 1.49148, 1.56131, 1.62745");
-            values ( \
-              "-0.037373, -0.195709, -0.358659, -0.40007, -0.413948, -0.428413, -0.427093, -0.412442, -0.395373, -0.37248, -0.151601, -0.102205, -0.0559496, -0.0329116, -0.0166979, -0.00879958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.28688, 1.33673, 1.43306, 1.46184, 1.49521, 1.52178, 1.56349, 1.60187, 1.66089, 1.7207, 1.76173, 1.84303, 1.8845, 1.92873, 1.95151, 2.09292, 2.20242, 2.29783, 2.3622, 2.42048, 2.49819, 2.5639, 2.65284, 2.73938, 2.91245, 2.98453");
-            values ( \
-              "-0.197777, -0.19911, -0.369351, -0.407099, -0.436973, -0.452124, -0.465495, -0.469671, -0.468029, -0.460037, -0.452059, -0.430072, -0.413486, -0.3889, -0.372449, -0.24554, -0.163901, -0.112203, -0.0859851, -0.0673187, -0.0482088, -0.0362412, -0.0245654, -0.0167322, -0.00771988, -0.00598307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.859822, 0.864938, 0.865689, 0.869565, 0.876567, 0.884619, 0.891224, 0.894965, 0.901495, 0.90509, 0.908045, 0.913199, 0.915797, 0.919892, 0.927951, 0.932573, 0.93833, 0.941149, 0.945546, 0.951408, 0.960869, 0.965012");
-            values ( \
-              "-0.0198275, -0.0294168, -0.0292295, -0.0406777, -0.0698355, -0.0923384, -0.106085, -0.11213, -0.119935, -0.122608, -0.123673, -0.122464, -0.117824, -0.1034, -0.0574337, -0.0365626, -0.019613, -0.0142802, -0.00864814, -0.00433498, -0.00143363, -0.00109845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.890568, 0.899874, 0.902257, 0.903448, 0.906793, 0.910137, 0.913247, 0.914801, 0.91791, 0.919465, 0.921943, 0.924422, 0.926724, 0.929027, 0.93133, 0.933632, 0.936983, 0.938987, 0.942176, 0.945269, 0.947264, 0.950157, 0.953109, 0.956061, 0.95743, 0.958799, 0.959712, 0.961537, 0.96253, 0.963522, 0.964515, 0.965507, 0.967425, 0.969344, 0.971262, 0.97318, 0.973772, 0.974956, 0.978507, 0.982058, 0.985924, 0.987889, 0.990836, 0.993131, 0.996346, 0.998884, 1.00142, 1.00396, 1.00632, 1.00823");
-            values ( \
-              "-0.022174, -0.0543163, -0.0634657, -0.06857, -0.0854631, -0.101619, -0.114132, -0.119913, -0.130522, -0.135351, -0.141671, -0.147706, -0.153059, -0.158167, -0.16303, -0.167648, -0.172893, -0.175783, -0.179964, -0.183578, -0.185655, -0.188283, -0.190545, -0.192383, -0.192644, -0.192713, -0.192653, -0.19228, -0.191331, -0.190157, -0.188758, -0.187135, -0.183361, -0.178747, -0.173294, -0.167002, -0.164568, -0.158057, -0.136463, -0.114166, -0.0914368, -0.0810222, -0.0675537, -0.058293, -0.0463459, -0.0387151, -0.0325299, -0.0271477, -0.0229411, -0.0197268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.95001, 0.962901, 0.9806, 0.992591, 1.00198, 1.00845, 1.02824, 1.04235, 1.04634, 1.05424, 1.06608, 1.07001, 1.07407, 1.07934, 1.08984, 1.10264, 1.11486, 1.12186, 1.12987, 1.13826, 1.15113, 1.16829, 1.19461, 1.23257");
-            values ( \
-              "-0.0291609, -0.0730395, -0.15234, -0.18946, -0.210665, -0.222769, -0.255541, -0.273155, -0.276465, -0.279745, -0.270571, -0.261596, -0.247805, -0.223133, -0.167011, -0.108642, -0.0686754, -0.0521886, -0.0377155, -0.0267096, -0.0155689, -0.00733399, -0.00212134, -0.000694727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.05462, 1.11899, 1.12963, 1.15705, 1.17586, 1.19392, 1.21456, 1.22357, 1.23483, 1.24503, 1.25587, 1.27725, 1.29819, 1.321, 1.3484, 1.37114, 1.38864, 1.40706, 1.4212, 1.44947, 1.48283");
-            values ( \
-              "-0.00583075, -0.222314, -0.246019, -0.301944, -0.331942, -0.351737, -0.363532, -0.364498, -0.361257, -0.353287, -0.337307, -0.27457, -0.200292, -0.134625, -0.0799406, -0.0507683, -0.0354226, -0.0242148, -0.017997, -0.00978424, -0.00534909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.2854, 1.31394, 1.37505, 1.41511, 1.45679, 1.49608, 1.53475, 1.57341, 1.59621, 1.61606, 1.74456, 1.78888, 1.85468, 1.90973, 1.97884, 2.04644");
-            values ( \
-              "-0.151353, -0.170538, -0.306983, -0.375848, -0.414165, -0.4284, -0.427178, -0.412381, -0.395309, -0.372481, -0.151599, -0.102504, -0.0557652, -0.0328931, -0.0168074, -0.00866004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.71162, 1.76799, 1.85419, 1.88487, 1.93334, 1.94303, 1.9624, 1.99336, 2.02304, 2.08239, 2.10213, 2.18291, 2.2642, 2.30571, 2.34999, 2.37253, 2.51446, 2.56213, 2.62367, 2.67073, 2.7189, 2.78333, 2.84174, 2.91962, 2.98515, 3.07385, 3.16039, 3.24693, 3.33347, 3.50654, 3.67962");
-            values ( \
-              "-0.21077, -0.21464, -0.369416, -0.409243, -0.447723, -0.452374, -0.459806, -0.466843, -0.469639, -0.467896, -0.465782, -0.452119, -0.430008, -0.413472, -0.388843, -0.372564, -0.245237, -0.206767, -0.16385, -0.136271, -0.112247, -0.0860025, -0.0672961, -0.0481536, -0.0362297, -0.0245845, -0.0167432, -0.011379, -0.00772431, -0.00355072, -0.00163005" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0123174, 0.0123321, 0.0123494, 0.0123644, 0.0123743, 0.0123797", \
-            "0.0146429, 0.0146505, 0.0146621, 0.0146742, 0.0146834, 0.0146889", \
-            "0.0160682, 0.0160708, 0.0160762, 0.0160838, 0.0160909, 0.0160957", \
-            "0.0169173, 0.0169181, 0.0169197, 0.0169229, 0.016927, 0.0169306", \
-            "0.0173771, 0.0173774, 0.0173779, 0.0173788, 0.0173805, 0.0173825", \
-            "0.0176264, 0.0176268, 0.0176274, 0.0176282, 0.017629, 0.01763" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0168333, 0.0168445, 0.0168607, 0.0168762, 0.0168872, 0.0168935", \
-            "0.0190075, 0.0190167, 0.019029, 0.0190414, 0.0190504, 0.0190554", \
-            "0.0205759, 0.0205868, 0.0205993, 0.0206104, 0.0206181, 0.0206224", \
-            "0.0218742, 0.021851, 0.0218258, 0.0218064, 0.0217947, 0.0217886", \
-            "0.0229364, 0.0228203, 0.0226707, 0.0225292, 0.0224298, 0.022369", \
-            "0.0237072, 0.0236053, 0.0233679, 0.0230372, 0.0227804, 0.0226306" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.251738, 0.292178, 0.376037, 0.551212, 0.924845, 1.73496", \
-            "0.257619, 0.298021, 0.382045, 0.557462, 0.931274, 1.74134", \
-            "0.270956, 0.31137, 0.395458, 0.571179, 0.945166, 1.75541", \
-            "0.2989, 0.339363, 0.423525, 0.599282, 0.973408, 1.78428", \
-            "0.357704, 0.399462, 0.485137, 0.661574, 1.03577, 1.84703", \
-            "0.462371, 0.510565, 0.606957, 0.795908, 1.17426, 1.98578" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0345864, 0.049448, 0.082434, 0.158155, 0.33264, 0.728826", \
-            "0.0345802, 0.0494604, 0.0824449, 0.158154, 0.332649, 0.728821", \
-            "0.0345776, 0.0494598, 0.0824266, 0.15815, 0.332637, 0.728891", \
-            "0.0345912, 0.0494618, 0.0824452, 0.158155, 0.332611, 0.728896", \
-            "0.0347518, 0.0495618, 0.0824667, 0.158148, 0.332645, 0.728806", \
-            "0.0357988, 0.0505114, 0.0829743, 0.158276, 0.332642, 0.728782" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.196071, 0.226832, 0.289977, 0.419353, 0.689967, 1.26673", \
-            "0.20001, 0.230771, 0.293896, 0.423501, 0.694296, 1.27013", \
-            "0.208741, 0.2396, 0.302887, 0.432395, 0.702994, 1.27858", \
-            "0.227146, 0.258597, 0.322738, 0.452979, 0.723747, 1.29944", \
-            "0.25784, 0.292708, 0.361759, 0.4958, 0.768239, 1.34651", \
-            "0.288568, 0.331396, 0.415022, 0.571076, 0.863064, 1.44424" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0279924, 0.0396007, 0.0643132, 0.118297, 0.240465, 0.519809", \
-            "0.0279219, 0.0396082, 0.0643054, 0.118214, 0.240319, 0.519936", \
-            "0.0279107, 0.0395908, 0.0643079, 0.118155, 0.24056, 0.519953", \
-            "0.0279848, 0.0396132, 0.0642607, 0.118107, 0.240321, 0.519949", \
-            "0.0280045, 0.0396483, 0.0643939, 0.118249, 0.240389, 0.519931", \
-            "0.028675, 0.0402285, 0.0648377, 0.118372, 0.240552, 0.519954" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.221729, 0.229928, 0.241448, 0.252309, 0.257909, 0.263192, 0.268409, 0.273622, 0.283275, 0.290611, 0.297558, 0.305926, 0.316228, 0.33056, 0.346411");
-            values ( \
-              "0.0222617, 0.0356242, 0.0807671, 0.112277, 0.121726, 0.126306, 0.125191, 0.11512, 0.0683638, 0.0434933, 0.028096, 0.0163372, 0.0083553, 0.00349592, 0.00256925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.247297, 0.255159, 0.269493, 0.279998, 0.288529, 0.296257, 0.303732, 0.31119, 0.314758, 0.318382, 0.333175, 0.344081, 0.353426, 0.365372, 0.372874, 0.379594, 0.389278, 0.402189, 0.419115");
-            values ( \
-              "0.0268344, 0.0479281, 0.117494, 0.160478, 0.183964, 0.195481, 0.198493, 0.192497, 0.184636, 0.17239, 0.105356, 0.0683533, 0.0460636, 0.0271285, 0.0192966, 0.0142185, 0.00919435, 0.00512417, 0.00262076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.304278, 0.31404, 0.334434, 0.339335, 0.344393, 0.349937, 0.361026, 0.367009, 0.375322, 0.387568, 0.400319, 0.406968, 0.414454, 0.429164, 0.439279, 0.447111, 0.457847, 0.466286, 0.477539, 0.485111, 0.491258, 0.499455, 0.514828, 0.521946, 0.532822, 0.547323, 0.576326, 0.618129, 0.669533");
-            values ( \
-              "0.058532, 0.076457, 0.181702, 0.202847, 0.221831, 0.238608, 0.261, 0.267224, 0.270557, 0.266382, 0.250061, 0.235023, 0.213091, 0.16425, 0.134572, 0.113714, 0.089129, 0.0729975, 0.0552904, 0.0456742, 0.0390225, 0.0315256, 0.0209213, 0.017317, 0.0129469, 0.00873123, 0.00383683, 0.00107057, 0.000203328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.424717, 0.445581, 0.462156, 0.479738, 0.493649, 0.513641, 0.516587, 0.534264, 0.548984, 0.562623, 0.586286, 0.605198, 0.663416, 0.701557, 0.735271, 0.770377, 0.814983, 0.862617, 0.903905, 0.94388");
-            values ( \
-              "0.110293, 0.153989, 0.231103, 0.288886, 0.3142, 0.327999, 0.328204, 0.324705, 0.316887, 0.307013, 0.283065, 0.255779, 0.155639, 0.10252, 0.0682055, 0.0433607, 0.0236909, 0.0122322, 0.00673854, 0.0042609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.673805, 0.707163, 0.735363, 0.746806, 0.767711, 0.791825, 0.798835, 0.80818, 0.823787, 0.839618, 0.87128, 0.910551, 0.936307, 0.986731, 1.028, 1.15126, 1.18388, 1.23173, 1.271, 1.30148, 1.34253, 1.37646, 1.41509, 1.46977, 1.52602, 1.56902, 1.65501, 1.76555, 1.87609, 1.98663");
-            values ( \
-              "0.15685, 0.199729, 0.296497, 0.322906, 0.352345, 0.364708, 0.365451, 0.365352, 0.363225, 0.359379, 0.349125, 0.333738, 0.322472, 0.296031, 0.26716, 0.165208, 0.140839, 0.109269, 0.087613, 0.0732466, 0.0570723, 0.0462105, 0.0361274, 0.0252835, 0.0174642, 0.0131024, 0.00724904, 0.0033115, 0.00151163, 0.000687866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.20879, 1.26847, 1.28285, 1.30788, 1.33769, 1.37149, 1.40393, 1.43525, 1.4792, 1.53423, 1.63398, 1.74661, 1.85715, 1.90705, 1.96407, 2.19143, 2.24479, 2.35151, 2.41912, 2.49254, 2.59044, 2.68318, 2.73512, 2.83899, 2.92814, 3.01822, 3.12875, 3.23929, 3.34983, 3.46037, 3.68145, 4.01307");
-            values ( \
-              "0.225974, 0.261127, 0.295742, 0.340791, 0.369612, 0.381402, 0.382875, 0.380833, 0.375707, 0.367656, 0.350755, 0.328758, 0.301947, 0.286764, 0.2672, 0.179635, 0.160166, 0.12466, 0.10522, 0.0867946, 0.0663756, 0.0510162, 0.0439266, 0.0322805, 0.0247255, 0.0188313, 0.0133857, 0.00952685, 0.00673496, 0.00479009, 0.00240534, 0.000839765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.240619, 0.246355, 0.250048, 0.261406, 0.265882, 0.27219, 0.277788, 0.28307, 0.288287, 0.293499, 0.303154, 0.310488, 0.31743, 0.325804, 0.329946, 0.336104, 0.344049, 0.350431, 0.363197, 0.374539");
-            values ( \
-              "0.0199522, 0.0257537, 0.0361644, 0.0809747, 0.0956518, 0.112231, 0.121818, 0.126265, 0.125254, 0.115085, 0.0683545, 0.0434904, 0.0281028, 0.0163365, 0.0124738, 0.00835612, 0.00511561, 0.0034961, 0.0016894, 0.00130689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.26728, 0.274872, 0.289268, 0.29979, 0.308326, 0.316056, 0.323532, 0.330992, 0.334523, 0.338109, 0.352524, 0.363656, 0.374274, 0.384551, 0.398622, 0.415793, 0.430891, 0.435443");
-            values ( \
-              "0.0298231, 0.0476147, 0.117196, 0.160333, 0.183908, 0.1954, 0.198476, 0.192447, 0.184715, 0.172677, 0.107177, 0.0689355, 0.0440091, 0.0279126, 0.014722, 0.00682417, 0.00345831, 0.00302701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.326241, 0.34062, 0.349949, 0.354354, 0.363163, 0.369876, 0.38297, 0.395295, 0.407551, 0.420274, 0.426855, 0.434404, 0.449105, 0.459244, 0.467094, 0.477802, 0.486217, 0.497436, 0.505045, 0.511221, 0.519456, 0.534793, 0.541895, 0.552723, 0.567161, 0.596037, 0.637814, 0.689156");
-            values ( \
-              "0.067602, 0.110144, 0.160064, 0.18159, 0.217942, 0.238823, 0.26373, 0.27098, 0.266533, 0.25017, 0.235259, 0.213134, 0.164288, 0.134533, 0.113631, 0.0891146, 0.0730284, 0.055362, 0.0456891, 0.0390061, 0.0314809, 0.0209112, 0.0173162, 0.0129627, 0.00875806, 0.00386343, 0.00107974, 0.000205075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.445515, 0.464463, 0.482572, 0.499527, 0.513885, 0.533844, 0.53679, 0.554467, 0.569185, 0.582825, 0.606487, 0.6254, 0.683619, 0.721759, 0.75547, 0.79058, 0.809068, 0.835187, 0.882817, 0.924103, 0.97151");
-            values ( \
-              "0.122133, 0.147595, 0.231947, 0.287823, 0.314357, 0.327943, 0.328284, 0.324776, 0.316954, 0.306951, 0.283128, 0.255776, 0.155637, 0.102519, 0.0682077, 0.0433599, 0.0338716, 0.0236909, 0.0122323, 0.00673927, 0.00380039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.692447, 0.731246, 0.752057, 0.767245, 0.786723, 0.811122, 0.821402, 0.835109, 0.860057, 0.895987, 0.956742, 1.00711, 1.0486, 1.17192, 1.25229, 1.32093, 1.36272, 1.39738, 1.43584, 1.49038, 1.58871, 1.67385, 1.78438, 1.79091");
-            values ( \
-              "0.131791, 0.213601, 0.286032, 0.32287, 0.351032, 0.364398, 0.365458, 0.364762, 0.359431, 0.347652, 0.322449, 0.296091, 0.267027, 0.165032, 0.109194, 0.0736807, 0.0571602, 0.0460685, 0.0360543, 0.0252546, 0.013168, 0.00733203, 0.00334934, 0.00327106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.22425, 1.35401, 1.38447, 1.41567, 1.456, 1.50211, 1.64093, 1.76732, 1.87766, 1.98785, 2.21365, 2.37757, 2.50699, 2.59627, 2.70892, 2.88264, 3.12709, 3.45871, 3.49215");
-            values ( \
-              "0.183608, 0.366467, 0.379276, 0.382746, 0.380842, 0.375427, 0.353225, 0.328759, 0.302015, 0.266102, 0.17907, 0.123031, 0.0882623, 0.0692088, 0.0502945, 0.0301367, 0.0143537, 0.00514054, 0.00488404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.284876, 0.290497, 0.294258, 0.305803, 0.316302, 0.3219, 0.327182, 0.332398, 0.33761, 0.347263, 0.354599, 0.361547, 0.369912, 0.380214, 0.394548, 0.411479");
-            values ( \
-              "0.0209533, 0.0257055, 0.0366342, 0.0820364, 0.112278, 0.1218, 0.126294, 0.125237, 0.115104, 0.0683674, 0.0434934, 0.0280939, 0.0163394, 0.00835664, 0.00349513, 0.00250571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.31256, 0.319072, 0.333445, 0.343967, 0.352503, 0.360231, 0.367708, 0.375168, 0.378682, 0.383298, 0.396655, 0.407804, 0.418521, 0.428672, 0.442776, 0.460064, 0.475273, 0.480389");
-            values ( \
-              "0.0427476, 0.0476021, 0.117169, 0.160327, 0.183931, 0.195395, 0.198473, 0.192457, 0.184756, 0.168304, 0.107359, 0.0690042, 0.0438714, 0.0279831, 0.014737, 0.00679475, 0.00342608, 0.00294632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.37156, 0.382573, 0.398586, 0.408777, 0.414138, 0.42486, 0.427213, 0.431921, 0.439512, 0.451748, 0.464511, 0.471245, 0.478647, 0.493364, 0.51127, 0.522032, 0.530495, 0.54178, 0.555435, 0.563595, 0.579002, 0.597059, 0.611621, 0.640746, 0.662198");
-            values ( \
-              "0.0909494, 0.0983132, 0.181547, 0.222415, 0.238843, 0.260377, 0.263463, 0.267677, 0.270599, 0.266317, 0.250069, 0.234792, 0.213083, 0.164222, 0.113798, 0.0891445, 0.0729684, 0.0552226, 0.0390381, 0.0315696, 0.0209306, 0.0129312, 0.00870596, 0.00381085, 0.00240149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.491008, 0.515982, 0.532859, 0.543346, 0.558513, 0.578434, 0.58143, 0.599405, 0.613236, 0.627465, 0.651113, 0.670084, 0.728319, 0.766434, 0.799833, 0.835356, 0.853795, 0.879876, 0.927249, 0.968294, 1.00958");
-            values ( \
-              "0.113967, 0.181485, 0.253844, 0.285718, 0.314387, 0.327939, 0.328296, 0.324662, 0.317328, 0.306961, 0.283143, 0.255704, 0.15554, 0.102479, 0.0684456, 0.0432817, 0.0338319, 0.0236747, 0.0122685, 0.00678432, 0.00420739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.737594, 0.774244, 0.800105, 0.811921, 0.832334, 0.856488, 0.864723, 0.875704, 0.897664, 0.918711, 0.946774, 1.00142, 1.04252, 1.08002, 1.11563, 1.21886, 1.2494, 1.29722, 1.33521, 1.36464, 1.40714, 1.44253, 1.48082, 1.53523, 1.59051, 1.63266, 1.71696, 1.8275, 1.93804, 2.04858");
-            values ( \
-              "0.139076, 0.207266, 0.295526, 0.322872, 0.351898, 0.36458, 0.365459, 0.365167, 0.361313, 0.355142, 0.345228, 0.322463, 0.301514, 0.277021, 0.249033, 0.163297, 0.140528, 0.109061, 0.0880818, 0.0740997, 0.0572532, 0.0459377, 0.0359749, 0.0252342, 0.0175458, 0.0132229, 0.00742279, 0.00338031, 0.00155368, 0.000693798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.26891, 1.39872, 1.42963, 1.46127, 1.50078, 1.59975, 1.7362, 1.86879, 2.04043, 2.39796, 2.5231, 2.72378, 2.89417, 3.02185, 3.19997, 3.46555");
-            values ( \
-              "0.182846, 0.366397, 0.37938, 0.382745, 0.380852, 0.367656, 0.343917, 0.315913, 0.263286, 0.130639, 0.0952307, 0.0547936, 0.0332784, 0.0226798, 0.013151, 0.00637329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.381016, 0.386603, 0.390359, 0.40186, 0.406147, 0.412459, 0.41806, 0.423344, 0.428562, 0.433776, 0.443432, 0.450766, 0.457709, 0.466083, 0.470226, 0.476385, 0.484329, 0.490712, 0.503478, 0.514893");
-            values ( \
-              "0.0213774, 0.0255628, 0.0364329, 0.0815847, 0.0955937, 0.112166, 0.12179, 0.126201, 0.125256, 0.115027, 0.0683451, 0.0434843, 0.0281003, 0.0163358, 0.0124723, 0.00835609, 0.00511574, 0.00349552, 0.0016898, 0.00130437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.408133, 0.415219, 0.429596, 0.440114, 0.448652, 0.456382, 0.463858, 0.471319, 0.474838, 0.479449, 0.492822, 0.503965, 0.514648, 0.524842, 0.538935, 0.556183, 0.571354, 0.576211");
-            values ( \
-              "0.0353311, 0.0476245, 0.117246, 0.160325, 0.183895, 0.195399, 0.198455, 0.192457, 0.184738, 0.168304, 0.107297, 0.0689812, 0.04392, 0.0279571, 0.0147302, 0.00680646, 0.00343555, 0.00297888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.466718, 0.481093, 0.490423, 0.494827, 0.503634, 0.510349, 0.523442, 0.535768, 0.548024, 0.560747, 0.567328, 0.574877, 0.589578, 0.599717, 0.607567, 0.618276, 0.62669, 0.637909, 0.645518, 0.651694, 0.659929, 0.675266, 0.682368, 0.693196, 0.707635, 0.736511, 0.778267, 0.829583");
-            values ( \
-              "0.0675903, 0.110174, 0.160094, 0.181583, 0.21795, 0.238809, 0.263743, 0.270964, 0.266547, 0.250169, 0.235259, 0.213134, 0.164288, 0.134533, 0.113631, 0.0891145, 0.0730282, 0.0553619, 0.045689, 0.039006, 0.031481, 0.0209111, 0.0173161, 0.0129626, 0.00875809, 0.00386331, 0.0010807, 0.000205428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.585833, 0.6067, 0.623142, 0.640824, 0.654663, 0.674615, 0.677604, 0.695538, 0.709494, 0.72364, 0.747291, 0.766253, 0.824485, 0.862604, 0.896054, 0.931509, 0.949956, 0.976044, 1.02346, 1.06454, 1.11183");
-            values ( \
-              "0.111193, 0.154445, 0.230925, 0.288987, 0.314293, 0.327898, 0.328301, 0.324705, 0.317316, 0.306906, 0.283189, 0.255717, 0.155557, 0.102486, 0.0684074, 0.0432941, 0.0338386, 0.0236771, 0.0122629, 0.00677681, 0.00382842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.836711, 0.877631, 0.889536, 0.908324, 0.924466, 0.947631, 0.955637, 0.971648, 1.00113, 1.02704, 1.04819, 1.09787, 1.14207, 1.17015, 1.20758, 1.28852, 1.34732, 1.39345, 1.43121, 1.46023, 1.5153, 1.53928, 1.57743, 1.63173, 1.65527, 1.70235, 1.79651, 1.90705, 2.01759, 2.12813");
-            values ( \
-              "0.151112, 0.233452, 0.274721, 0.322699, 0.347218, 0.363064, 0.364792, 0.365126, 0.359448, 0.351104, 0.343303, 0.322483, 0.299649, 0.281483, 0.252758, 0.184618, 0.139444, 0.109119, 0.0882536, 0.0744538, 0.05323, 0.0458133, 0.0359312, 0.025198, 0.0216011, 0.0157867, 0.00824775, 0.00376445, 0.00172939, 0.000772546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.36057, 1.41979, 1.4541, 1.48366, 1.4994, 1.53087, 1.56173, 1.5971, 1.64012, 1.69605, 1.82629, 1.96439, 2.0262, 2.13674, 2.38359, 2.49413, 2.56331, 2.61949, 2.69339, 2.79019, 2.8799, 2.99044, 3.11815, 3.18576, 3.2963, 3.40684, 3.62792, 3.73846, 4.18062");
-            values ( \
-              "0.169862, 0.233412, 0.314601, 0.356667, 0.368841, 0.380683, 0.382836, 0.380829, 0.375829, 0.367662, 0.3451, 0.316109, 0.29979, 0.263314, 0.168392, 0.130708, 0.110039, 0.0951847, 0.0781484, 0.0595979, 0.0460874, 0.0333098, 0.0227078, 0.0184407, 0.013178, 0.00930701, 0.00465981, 0.00334746, 0.000860985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.589338, 0.595494, 0.597256, 0.612779, 0.620846, 0.627457, 0.632775, 0.638016, 0.643253, 0.652986, 0.660283, 0.667092, 0.675664, 0.689102, 0.70557, 0.71441");
-            values ( \
-              "0.0182216, 0.0243929, 0.0288991, 0.086033, 0.109038, 0.120956, 0.125606, 0.124733, 0.11475, 0.0679731, 0.0433809, 0.0282934, 0.0162493, 0.0068375, 0.00252703, 0.00219493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.617915, 0.625622, 0.64019, 0.650778, 0.659348, 0.667098, 0.674591, 0.682067, 0.685349, 0.690199, 0.702531, 0.714167, 0.722954, 0.735184, 0.745953, 0.753405, 0.764803, 0.779999, 0.790464");
-            values ( \
-              "0.0295872, 0.0466933, 0.11628, 0.159584, 0.183293, 0.194952, 0.198105, 0.192217, 0.185183, 0.168173, 0.111505, 0.0704804, 0.048844, 0.0284694, 0.017482, 0.0124872, 0.00748736, 0.00376156, 0.00266642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.67929, 0.692742, 0.703402, 0.70702, 0.714258, 0.722565, 0.735677, 0.747996, 0.760256, 0.772981, 0.779545, 0.787112, 0.801813, 0.811955, 0.819808, 0.830513, 0.838925, 0.85014, 0.857754, 0.863934, 0.872173, 0.887506, 0.894605, 0.905429, 0.919859, 0.948721, 0.990384, 1.04158");
-            values ( \
-              "0.0745698, 0.107142, 0.163774, 0.181189, 0.211884, 0.238588, 0.263652, 0.270856, 0.266513, 0.250126, 0.235282, 0.213119, 0.164285, 0.134524, 0.113618, 0.0891111, 0.0730307, 0.0553689, 0.0456905, 0.0390042, 0.0314755, 0.0209103, 0.0173164, 0.0129648, 0.00876102, 0.00386669, 0.00108534, 0.000207733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.79893, 0.8191, 0.83617, 0.853549, 0.867588, 0.887536, 0.890518, 0.908407, 0.92249, 0.936551, 0.960203, 0.979158, 1.03739, 1.07551, 1.109, 1.1444, 1.16286, 1.18895, 1.23639, 1.2775, 1.32507");
-            values ( \
-              "0.114409, 0.152046, 0.231533, 0.28865, 0.31434, 0.327981, 0.328285, 0.324686, 0.317216, 0.306973, 0.28313, 0.255727, 0.155568, 0.102491, 0.0683796, 0.0433033, 0.033843, 0.0236791, 0.0122585, 0.00677166, 0.00380709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.04813, 1.07978, 1.09232, 1.10991, 1.1214, 1.14282, 1.16669, 1.1729, 1.18119, 1.19759, 1.21422, 1.26127, 1.31091, 1.36134, 1.40261, 1.52586, 1.55849, 1.60633, 1.64562, 1.6761, 1.71715, 1.75107, 1.7897, 1.84438, 1.90063, 1.94363, 2.02963, 2.14017, 2.25071, 2.36125");
-            values ( \
-              "0.15927, 0.192143, 0.239867, 0.296248, 0.322903, 0.352755, 0.364775, 0.365413, 0.365423, 0.363385, 0.359379, 0.343326, 0.322471, 0.296027, 0.267159, 0.165208, 0.140842, 0.109269, 0.087611, 0.0732428, 0.0570716, 0.0462115, 0.0361277, 0.0252838, 0.0174638, 0.0131018, 0.00724839, 0.00331114, 0.00151154, 0.000687757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.5832, 1.69696, 1.71406, 1.74605, 1.77693, 1.85478, 2.00101, 2.12316, 2.2088, 2.3019, 2.5856, 2.75008, 2.9031, 3.03475, 3.20482, 3.33278, 3.5111, 3.84272, 3.84813");
-            values ( \
-              "0.194364, 0.355335, 0.36885, 0.380818, 0.382828, 0.375818, 0.352434, 0.328722, 0.30866, 0.280716, 0.173079, 0.118063, 0.0791897, 0.0547884, 0.0333058, 0.0226804, 0.0131438, 0.00468556, 0.0046478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.02464, 1.03429, 1.04705, 1.05897, 1.06483, 1.0703, 1.07568, 1.08104, 1.09118, 1.10058, 1.10959, 1.11938, 1.13233, 1.1489, 1.15734");
-            values ( \
-              "0.0178262, 0.0296417, 0.0734771, 0.106697, 0.117065, 0.122225, 0.12206, 0.112727, 0.0658807, 0.037129, 0.0209066, 0.0111215, 0.00494151, 0.00190545, 0.0013753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.05783, 1.06693, 1.07074, 1.09089, 1.09895, 1.10281, 1.11079, 1.11841, 1.12603, 1.13369, 1.1491, 1.16011, 1.16601, 1.17251, 1.18264, 1.18989, 1.19637, 1.20354, 1.2158, 1.2359, 1.2503, 1.25705");
-            values ( \
-              "0.0237202, 0.0409333, 0.0549246, 0.141883, 0.168255, 0.178037, 0.190668, 0.19485, 0.189621, 0.169791, 0.100794, 0.0652046, 0.0509635, 0.0383165, 0.0244337, 0.0176427, 0.0130958, 0.00948883, 0.0054838, 0.00274955, 0.00155654, 0.00137976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.1279, 1.13928, 1.16082, 1.17678, 1.19008, 1.19384, 1.20137, 1.20481, 1.20939, 1.21781, 1.22568, 1.23146, 1.23918, 1.26391, 1.28443, 1.29652, 1.30621, 1.31792, 1.33724, 1.34909, 1.36727, 1.3915, 1.42125");
-            values ( \
-              "0.0453344, 0.0704265, 0.175014, 0.23398, 0.260019, 0.263917, 0.267878, 0.268162, 0.267238, 0.261929, 0.252063, 0.24097, 0.220681, 0.14216, 0.090665, 0.0681307, 0.0535922, 0.0398104, 0.0239713, 0.0174931, 0.0107294, 0.00546295, 0.00259635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.26522, 1.28607, 1.30311, 1.32065, 1.33472, 1.3549, 1.35771, 1.37177, 1.38035, 1.39751, 1.41572, 1.42882, 1.4544, 1.49315, 1.52305, 1.55929, 1.58022, 1.60881, 1.62569, 1.65441, 1.68677, 1.71245, 1.7638, 1.80744");
-            values ( \
-              "0.110927, 0.150597, 0.229169, 0.287148, 0.313332, 0.327466, 0.327826, 0.325778, 0.322244, 0.311791, 0.295996, 0.281146, 0.242593, 0.174282, 0.128115, 0.0842912, 0.0650121, 0.0449519, 0.0359159, 0.0242413, 0.0155161, 0.0108064, 0.00507345, 0.0030568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.51848, 1.55446, 1.58164, 1.59266, 1.61336, 1.6373, 1.64531, 1.65598, 1.67733, 1.70157, 1.73251, 1.77993, 1.81296, 1.83574, 1.8813, 1.98545, 2.06067, 2.12144, 2.16362, 2.21266, 2.24013, 2.29508, 2.33833, 2.40434, 2.49237, 2.60291, 2.64118");
-            values ( \
-              "0.141678, 0.2053, 0.297648, 0.322848, 0.35215, 0.364586, 0.365443, 0.365193, 0.361567, 0.354445, 0.343329, 0.323559, 0.307216, 0.293954, 0.261432, 0.17438, 0.119721, 0.0852885, 0.0664104, 0.0490835, 0.0413, 0.0289103, 0.021786, 0.0140482, 0.00767605, 0.00349942, 0.00301823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("2.04268, 2.11246, 2.13007, 2.15654, 2.18492, 2.21783, 2.25031, 2.2825, 2.32628, 2.38147, 2.47473, 2.59391, 2.69172, 2.75187, 2.83207, 3.03268, 3.14874, 3.24342, 3.31529, 3.41112, 3.50289, 3.61817, 3.72871, 3.84689, 3.92227, 4.03281, 4.14335, 4.36443, 4.58551, 4.80659");
-            values ( \
-              "0.140926, 0.252334, 0.295336, 0.342596, 0.36944, 0.381227, 0.382857, 0.38087, 0.375706, 0.367688, 0.35189, 0.328714, 0.305446, 0.287572, 0.259522, 0.181869, 0.140618, 0.111593, 0.0926725, 0.0714104, 0.0551727, 0.039497, 0.0284421, 0.0198855, 0.0158394, 0.0112252, 0.00800045, 0.00402425, 0.00202579, 0.00102525" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0125397, 0.0125559, 0.0125741, 0.0125891, 0.0125986, 0.0126037", \
-            "0.0167219, 0.0167376, 0.016758, 0.0167771, 0.0167904, 0.0167979", \
-            "0.0198004, 0.0198121, 0.0198304, 0.019851, 0.0198675, 0.0198776", \
-            "0.0214875, 0.0214902, 0.0214975, 0.0215097, 0.0215228, 0.0215324", \
-            "0.0222973, 0.0222807, 0.0222596, 0.0222427, 0.0222342, 0.0222319", \
-            "0.022778, 0.0227504, 0.0227059, 0.0226492, 0.0225986, 0.0225667" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0206661, 0.0206842, 0.0207086, 0.0207317, 0.020748, 0.0207572", \
-            "0.0227407, 0.0227287, 0.0227228, 0.0227263, 0.0227329, 0.0227381", \
-            "0.0215267, 0.0214555, 0.0213701, 0.0212879, 0.0212308, 0.021199", \
-            "0.0203948, 0.0202727, 0.0201212, 0.019962, 0.0198254, 0.0197365", \
-            "0.0206006, 0.0203024, 0.0199406, 0.0196016, 0.0193329, 0.019147", \
-            "0.0200305, 0.0200835, 0.0203144, 0.0199631, 0.019411, 0.0190844" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.172017, 0.174603, 0.17692, 0.181313, 0.184872, 0.186062, 0.18844, 0.192925, 0.198436, 0.203269, 0.207724, 0.212, 0.216269, 0.220929, 0.226219, 0.227378, 0.228555, 0.230123, 0.232607, 0.23484, 0.237817, 0.240184, 0.241375, 0.243757, 0.248521, 0.256321");
-            values ( \
-              "-0.025421, -0.0311734, -0.034288, -0.0551753, -0.0766387, -0.0805342, -0.0919712, -0.108956, -0.129155, -0.141935, -0.151706, -0.155461, -0.152256, -0.128874, -0.0835345, -0.0744224, -0.0658322, -0.0556261, -0.0416889, -0.0319334, -0.0221886, -0.0163511, -0.0141963, -0.010379, -0.00570709, -0.00203065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.190092, 0.197825, 0.205881, 0.214316, 0.225419, 0.232105, 0.23837, 0.244469, 0.250561, 0.253772, 0.26926, 0.275655, 0.283309, 0.288799, 0.29928, 0.311601");
-            values ( \
-              "-0.0334435, -0.0653934, -0.11874, -0.165022, -0.210836, -0.228769, -0.239778, -0.242589, -0.231686, -0.217233, -0.0955144, -0.0604558, -0.0336896, -0.0218593, -0.009353, -0.00341987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.222524, 0.237771, 0.255898, 0.269952, 0.281461, 0.291978, 0.302045, 0.312028, 0.323141, 0.353989, 0.36727, 0.378302, 0.395736, 0.408623");
-            values ( \
-              "-0.0266386, -0.083784, -0.202846, -0.269053, -0.303456, -0.322684, -0.331345, -0.328622, -0.303534, -0.124109, -0.0737109, -0.0467313, -0.0221923, -0.0139008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.305717, 0.32255, 0.342936, 0.351906, 0.362985, 0.374521, 0.391706, 0.399011, 0.40875, 0.420671, 0.430969, 0.449258, 0.459404, 0.469303, 0.489102, 0.507627, 0.528008, 0.542521, 0.558002, 0.576719, 0.592153, 0.61433, 0.628928, 0.658125, 0.691367");
-            values ( \
-              "-0.0631837, -0.112171, -0.238514, -0.285751, -0.329166, -0.359883, -0.388558, -0.395572, -0.40157, -0.404325, -0.402861, -0.390198, -0.37592, -0.354526, -0.28503, -0.213573, -0.14898, -0.113418, -0.0837248, -0.0575011, -0.0418386, -0.0263726, -0.0193858, -0.0102608, -0.00563558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.469525, 0.502969, 0.525577, 0.55063, 0.570126, 0.590878, 0.610265, 0.627827, 0.659602, 0.673775, 0.701288, 0.718516, 0.739833, 0.778734, 0.7979, 0.854827, 0.90549, 0.957861, 0.982727, 1.01224, 1.05159, 1.09214, 1.11967, 1.17472, 1.25806, 1.28196");
-            values ( \
-              "-0.0363606, -0.161519, -0.278208, -0.374217, -0.414886, -0.438202, -0.449718, -0.453553, -0.45289, -0.449718, -0.440313, -0.43187, -0.418683, -0.379113, -0.347985, -0.237121, -0.156707, -0.0986142, -0.0785089, -0.0596061, -0.0409843, -0.0276817, -0.0212668, -0.0122193, -0.00521429, -0.00460388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.850987, 0.907792, 0.938296, 0.958638, 0.976052, 0.999271, 1.03111, 1.04294, 1.06662, 1.11081, 1.19775, 1.27816, 1.31663, 1.36208, 1.44543, 1.45317, 1.49965, 1.6084, 1.65656, 1.71864, 1.76664, 1.83063, 1.88208, 1.92375, 1.9793, 2.06264, 2.13103, 2.17506, 2.25841, 2.34175, 2.42509, 2.50844, 2.67512, 2.84181");
-            values ( \
-              "-0.199218, -0.317937, -0.408061, -0.441368, -0.459528, -0.474326, -0.482986, -0.484261, -0.484485, -0.481517, -0.468851, -0.452931, -0.443219, -0.429224, -0.388861, -0.383631, -0.34589, -0.246543, -0.207471, -0.164147, -0.136011, -0.104881, -0.0848092, -0.0712233, -0.056158, -0.0391644, -0.0290317, -0.0239997, -0.0165217, -0.0114495, -0.00782852, -0.0054438, -0.00259192, -0.00124238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.190317, 0.196032, 0.203822, 0.21568, 0.220994, 0.224784, 0.229719, 0.233963, 0.236064, 0.238606, 0.245372, 0.249733, 0.254438, 0.259773, 0.26416, 0.270008, 0.279603, 0.28687");
-            values ( \
-              "-0.0241759, -0.0406259, -0.0814214, -0.127298, -0.142392, -0.150186, -0.155789, -0.151947, -0.143894, -0.128673, -0.0720932, -0.0445556, -0.0253001, -0.0129162, -0.00740596, -0.0034912, -0.00105142, -0.000915596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.206533, 0.214578, 0.218033, 0.224774, 0.23102, 0.23611, 0.2436, 0.250283, 0.256548, 0.262648, 0.268741, 0.271954, 0.287449, 0.293837, 0.29711, 0.301473, 0.306976, 0.310478, 0.317483, 0.320024");
-            values ( \
-              "-0.032039, -0.0572864, -0.0770427, -0.123377, -0.157602, -0.181457, -0.21092, -0.228609, -0.239848, -0.242483, -0.231752, -0.217222, -0.0954609, -0.0604535, -0.0473, -0.0337297, -0.0218602, -0.0165354, -0.00933088, -0.0081078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.241276, 0.255522, 0.273851, 0.287669, 0.299167, 0.309686, 0.319752, 0.329735, 0.340849, 0.371694, 0.384974, 0.396006, 0.413438, 0.430768");
-            values ( \
-              "-0.0280088, -0.0843167, -0.203474, -0.268996, -0.303676, -0.322576, -0.331501, -0.328523, -0.303532, -0.124116, -0.0737148, -0.0467351, -0.022196, -0.0110418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.32793, 0.341641, 0.365122, 0.37972, 0.392461, 0.408886, 0.430548, 0.448846, 0.467142, 0.476529, 0.487136, 0.549678, 0.577653, 0.600364, 0.635816, 0.676106");
-            values ( \
-              "-0.0944444, -0.120909, -0.26501, -0.32699, -0.361278, -0.388413, -0.40348, -0.403323, -0.390324, -0.377312, -0.354676, -0.13889, -0.0808766, -0.0511024, -0.0244426, -0.0104604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.505555, 0.529391, 0.543995, 0.554865, 0.566085, 0.57546, 0.58796, 0.609375, 0.628769, 0.646302, 0.676585, 0.695191, 0.719849, 0.757064, 0.776041, 0.796282, 0.806464, 0.820039, 0.870949, 0.892619, 0.920564, 0.941885, 0.96371, 0.992888, 1.01931, 1.05453, 1.08423, 1.12443, 1.17803, 1.26137, 1.34472, 1.42806");
-            values ( \
-              "-0.187978, -0.202436, -0.27959, -0.328354, -0.366793, -0.390491, -0.413902, -0.438694, -0.449673, -0.453813, -0.452973, -0.448802, -0.440241, -0.419614, -0.403843, -0.380339, -0.364943, -0.34085, -0.241275, -0.203504, -0.161312, -0.134213, -0.110584, -0.0847419, -0.0663337, -0.0474871, -0.0357103, -0.0242176, -0.0142302, -0.00599847, -0.00251341, -0.00106075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.844419, 0.897155, 0.936489, 0.955715, 0.975695, 0.994297, 1.0191, 1.05147, 1.07842, 1.10771, 1.13718, 1.19612, 1.25357, 1.29566, 1.37673, 1.42023, 1.47031, 1.55366, 1.63143, 1.678, 1.73761, 1.78174, 1.83063, 1.8957, 1.95563, 2.03553, 2.09896, 2.16939, 2.25274, 2.33608, 2.41942, 2.50277, 2.66945, 2.83614");
-            values ( \
-              "-0.0734159, -0.207728, -0.355248, -0.406668, -0.440161, -0.459736, -0.475062, -0.483295, -0.48455, -0.483312, -0.480271, -0.472072, -0.461828, -0.452851, -0.430277, -0.4127, -0.383707, -0.31224, -0.241899, -0.204665, -0.163263, -0.137349, -0.112855, -0.0861979, -0.0670097, -0.0475466, -0.0360635, -0.0265179, -0.0183606, -0.0126357, -0.0087348, -0.00598233, -0.00282079, -0.00132448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.229815, 0.235607, 0.243393, 0.255253, 0.26056, 0.264418, 0.268646, 0.270572, 0.273141, 0.275825, 0.278153, 0.286011, 0.29017, 0.2929, 0.298518, 0.302643, 0.308143, 0.317052, 0.323801");
-            values ( \
-              "-0.0230948, -0.0406801, -0.0814445, -0.127344, -0.142412, -0.150311, -0.155485, -0.155737, -0.152469, -0.142908, -0.128578, -0.064384, -0.0401708, -0.0290328, -0.0142915, -0.00845778, -0.00420441, -0.00131095, -0.00114262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.247299, 0.249192, 0.253019, 0.254218, 0.256615, 0.264497, 0.266414, 0.270248, 0.275652, 0.283135, 0.289813, 0.296077, 0.302174, 0.308265, 0.3115, 0.314839, 0.324283, 0.330795, 0.335843, 0.33884, 0.343993, 0.350175, 0.355151, 0.3634");
-            values ( \
-              "-0.035055, -0.0405924, -0.055886, -0.0584448, -0.0714973, -0.125134, -0.134079, -0.156419, -0.181522, -0.211231, -0.228572, -0.240063, -0.242416, -0.231909, -0.217132, -0.193611, -0.114191, -0.0729204, -0.050218, -0.0399328, -0.0266146, -0.0163128, -0.0109193, -0.00581388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.28041, 0.295398, 0.310746, 0.327581, 0.339083, 0.349597, 0.359664, 0.369647, 0.380761, 0.411605, 0.424885, 0.435917, 0.453345, 0.468424");
-            values ( \
-              "-0.0268194, -0.0842402, -0.185743, -0.268792, -0.303844, -0.322388, -0.331659, -0.328367, -0.303519, -0.124121, -0.0737179, -0.0467383, -0.0221993, -0.0124922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.372403, 0.388475, 0.395025, 0.400022, 0.40502, 0.410042, 0.415064, 0.420086, 0.426355, 0.432624, 0.436631, 0.440638, 0.444644, 0.448651, 0.454004, 0.459357, 0.467913, 0.475342, 0.47992, 0.484497, 0.489074, 0.493651, 0.498228, 0.502804, 0.507381, 0.510065, 0.513046, 0.516624, 0.521394, 0.527364, 0.529762, 0.534559, 0.541786, 0.548551, 0.555315, 0.564335, 0.572171, 0.578825, 0.583167, 0.59117, 0.596836, 0.603758, 0.609065, 0.618062, 0.626206, 0.631635, 0.637064, 0.64673, 0.656397, 0.664761");
-            values ( \
-              "-0.150033, -0.161717, -0.203778, -0.234155, -0.263046, -0.289939, -0.311549, -0.329862, -0.3467, -0.361186, -0.368748, -0.375658, -0.381916, -0.387522, -0.39398, -0.399191, -0.401064, -0.401599, -0.401423, -0.400863, -0.399918, -0.398208, -0.395981, -0.393237, -0.389976, -0.386945, -0.382615, -0.376585, -0.36759, -0.354602, -0.347664, -0.331922, -0.305217, -0.276791, -0.250055, -0.2169, -0.190359, -0.16948, -0.156707, -0.134736, -0.120594, -0.106046, -0.0959542, -0.080222, -0.0672, -0.0604206, -0.0541998, -0.0446567, -0.0365836, -0.0307075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.509211, 0.645385, 0.655845, 0.669793, 0.685838, 0.717928, 0.722353, 0.745134, 0.759408, 0.787955, 0.79788, 0.817482, 0.83931, 0.881896, 0.921087, 0.954673, 0.965112, 0.980415, 0.997904, 1.03128, 1.05234, 1.06698, 1.09108, 1.12458, 1.13746, 1.16321, 1.21472, 1.29807, 1.38141, 1.46475");
-            values ( \
-              "-0.0283248, -0.435231, -0.443062, -0.449573, -0.453579, -0.452463, -0.451809, -0.445619, -0.440078, -0.425414, -0.418514, -0.402003, -0.375288, -0.297312, -0.222685, -0.169132, -0.154836, -0.135622, -0.116227, -0.0857491, -0.0705951, -0.0615751, -0.0490146, -0.0355417, -0.0313956, -0.0244771, -0.014685, -0.006205, -0.00259208, -0.00109925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.897009, 0.935896, 0.975587, 0.992727, 1.02106, 1.04573, 1.0736, 1.09967, 1.13784, 1.17642, 1.23582, 1.29189, 1.3349, 1.41595, 1.45969, 1.50957, 1.59292, 1.67063, 1.71721, 1.77684, 1.821, 1.86988, 1.93495, 1.99486, 2.07474, 2.13819, 2.20864, 2.29199, 2.37533, 2.45867, 2.54202, 2.7087, 2.87539");
-            values ( \
-              "-0.177235, -0.204315, -0.353435, -0.401182, -0.447391, -0.468156, -0.480243, -0.483812, -0.484198, -0.480168, -0.472156, -0.462148, -0.452708, -0.43042, -0.412584, -0.383701, -0.312209, -0.24194, -0.204679, -0.163275, -0.137344, -0.112844, -0.0862011, -0.0670171, -0.0475481, -0.0360696, -0.0265213, -0.0183556, -0.0126396, -0.00873022, -0.00598635, -0.00282484, -0.0013285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.316209, 0.322229, 0.32521, 0.329973, 0.342335, 0.347167, 0.351637, 0.355907, 0.360178, 0.364838, 0.371083, 0.374146, 0.378696, 0.381559, 0.385285, 0.387781, 0.392773, 0.401271, 0.411153, 0.441735");
-            values ( \
-              "-0.0214998, -0.0407645, -0.0548458, -0.0830299, -0.128767, -0.142323, -0.151165, -0.155844, -0.151776, -0.128556, -0.0759057, -0.0547643, -0.032299, -0.0225293, -0.0140672, -0.0103628, -0.00532744, -0.00183829, -0.000575681, -0.000101043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.333261, 0.34122, 0.359036, 0.370241, 0.376925, 0.383192, 0.389292, 0.395385, 0.398588, 0.415569, 0.42218, 0.430946, 0.442794, 0.447092");
-            values ( \
-              "-0.0324607, -0.0573691, -0.164406, -0.210744, -0.228699, -0.239706, -0.242552, -0.231641, -0.217265, -0.086232, -0.0532468, -0.0269789, -0.0104726, -0.0079651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.370821, 0.383575, 0.401648, 0.415421, 0.426903, 0.437407, 0.447467, 0.457445, 0.468558, 0.473111, 0.48912, 0.499396, 0.512675, 0.523707, 0.53218, 0.541134, 0.561784, 0.565017");
-            values ( \
-              "-0.0339119, -0.0865131, -0.204526, -0.269634, -0.304006, -0.322846, -0.331648, -0.328658, -0.303592, -0.282516, -0.179515, -0.124129, -0.0737226, -0.0467405, -0.0325714, -0.0222011, -0.00890516, -0.00810274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.462274, 0.477797, 0.484001, 0.488598, 0.493195, 0.498888, 0.501575, 0.506948, 0.509635, 0.515518, 0.521402, 0.525435, 0.529469, 0.533502, 0.537535, 0.542696, 0.547856, 0.556596, 0.564085, 0.568661, 0.573236, 0.577812, 0.586962, 0.596412, 0.598818, 0.601826, 0.605435, 0.610247, 0.615059, 0.61662, 0.620265, 0.624258, 0.62999, 0.637143, 0.644296, 0.649064, 0.658601, 0.664859, 0.671117, 0.679476, 0.684582, 0.694154, 0.700344, 0.709933, 0.716732, 0.725946, 0.733359, 0.74204, 0.746381, 0.753271");
-            values ( \
-              "-0.161483, -0.166384, -0.206513, -0.234676, -0.261501, -0.291384, -0.303148, -0.323935, -0.332959, -0.348348, -0.361718, -0.369268, -0.376168, -0.382416, -0.388015, -0.39422, -0.399308, -0.401222, -0.401749, -0.401564, -0.400996, -0.400044, -0.395684, -0.389814, -0.386972, -0.382598, -0.376486, -0.367374, -0.357163, -0.353199, -0.342079, -0.328493, -0.307215, -0.277312, -0.249121, -0.231278, -0.197874, -0.177705, -0.158893, -0.135822, -0.122796, -0.102677, -0.0912935, -0.0749347, -0.0647637, -0.0540399, -0.0465962, -0.039036, -0.0356828, -0.0309158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.625002, 0.650235, 0.672648, 0.685093, 0.697623, 0.716156, 0.735847, 0.749656, 0.762395, 0.774753, 0.799469, 0.829512, 0.848305, 0.8856, 0.903467, 0.922525, 0.939717, 1.00161, 1.02265, 1.04957, 1.06932, 1.09154, 1.12108, 1.14832, 1.18465, 1.21323, 1.24498, 1.28733, 1.37067, 1.45401, 1.53736");
-            values ( \
-              "-0.0985041, -0.163677, -0.279863, -0.33478, -0.375062, -0.413421, -0.436912, -0.446042, -0.451174, -0.453548, -0.453567, -0.447022, -0.4401, -0.41959, -0.404813, -0.383342, -0.356832, -0.237268, -0.200959, -0.160595, -0.135446, -0.111222, -0.0849643, -0.0659987, -0.0467353, -0.0355291, -0.0261618, -0.0172639, -0.00729139, -0.00305542, -0.00129071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.980456, 1.02517, 1.06566, 1.07867, 1.09355, 1.11012, 1.13501, 1.16214, 1.18873, 1.22622, 1.26547, 1.32334, 1.38296, 1.42395, 1.50504, 1.54826, 1.59858, 1.68192, 1.75977, 1.80632, 1.86592, 1.91001, 1.95891, 2.02399, 2.08393, 2.16385, 2.22726, 2.29767, 2.38101, 2.46436, 2.5477, 2.63104, 2.79773, 2.96442");
-            values ( \
-              "-0.125947, -0.205693, -0.357156, -0.393755, -0.424093, -0.44733, -0.468656, -0.479927, -0.48407, -0.484033, -0.480389, -0.472203, -0.46158, -0.452877, -0.430242, -0.412825, -0.383723, -0.312264, -0.241856, -0.20464, -0.163256, -0.137362, -0.112862, -0.0862007, -0.0670069, -0.0475392, -0.0360622, -0.0265199, -0.0183617, -0.0126368, -0.00873519, -0.00598298, -0.00282122, -0.0013248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.495998, 0.503793, 0.511206, 0.520459, 0.523672, 0.528519, 0.532294, 0.534392, 0.537263, 0.541512, 0.543535, 0.546158, 0.554282, 0.557277, 0.562502, 0.566686, 0.569288, 0.574491, 0.577227");
-            values ( \
-              "-0.00979559, -0.041792, -0.0818379, -0.117237, -0.128169, -0.141726, -0.149973, -0.153141, -0.155451, -0.151978, -0.144147, -0.128545, -0.063554, -0.0453127, -0.0240829, -0.0141853, -0.0101901, -0.00516877, -0.00405095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.519062, 0.526253, 0.527192, 0.528492, 0.530139, 0.531311, 0.532484, 0.534829, 0.538171, 0.541148, 0.544124, 0.547493, 0.550322, 0.551489, 0.553822, 0.554989, 0.557443, 0.559898, 0.561671, 0.564918, 0.567947, 0.569474, 0.571, 0.572527, 0.574053, 0.57558, 0.577106, 0.578633, 0.580159, 0.580465, 0.581281, 0.582096, 0.583319, 0.584135, 0.585766, 0.587162, 0.591809, 0.595294, 0.597588, 0.599035, 0.600322, 0.601608, 0.60345, 0.606099, 0.607443, 0.608518, 0.610668, 0.612818, 0.615684, 0.618424");
-            values ( \
-              "-0.0308643, -0.0599523, -0.0645061, -0.0716615, -0.0819047, -0.0906408, -0.0988604, -0.114177, -0.133666, -0.14995, -0.165229, -0.181312, -0.194224, -0.198685, -0.206949, -0.210753, -0.217826, -0.224362, -0.228749, -0.235609, -0.242622, -0.241988, -0.241119, -0.240013, -0.238671, -0.237092, -0.235278, -0.233227, -0.23094, -0.23011, -0.226865, -0.222944, -0.21615, -0.211203, -0.200306, -0.189834, -0.149106, -0.120801, -0.103859, -0.0936479, -0.085893, -0.0785907, -0.0691472, -0.0564044, -0.0506865, -0.0465671, -0.0397291, -0.0336939, -0.0270298, -0.0212539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.557332, 0.57247, 0.590855, 0.597002, 0.604725, 0.61625, 0.626782, 0.636863, 0.646858, 0.656849, 0.657978, 0.662498, 0.67856, 0.688843, 0.696021, 0.702127, 0.713161, 0.721638, 0.730596, 0.737482, 0.751255, 0.776727, 0.807007");
-            values ( \
-              "-0.00321483, -0.083816, -0.20219, -0.235988, -0.268236, -0.303241, -0.321949, -0.331162, -0.32805, -0.307173, -0.303285, -0.282516, -0.179444, -0.124081, -0.0941139, -0.0736961, -0.0467267, -0.0325596, -0.0221914, -0.0164618, -0.00889893, -0.00256745, -0.00055563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.642236, 0.663234, 0.691762, 0.702772, 0.714262, 0.730575, 0.752372, 0.770675, 0.788976, 0.79831, 0.808967, 0.871506, 0.899484, 0.922212, 0.95764, 0.99934, 1.00135");
-            values ( \
-              "-0.0206234, -0.120008, -0.288278, -0.330668, -0.360871, -0.388058, -0.403308, -0.403256, -0.390234, -0.37735, -0.354653, -0.138914, -0.0808899, -0.0510944, -0.0244503, -0.00996861, -0.00969517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.794539, 0.929595, 0.939416, 0.95251, 0.969842, 1.00295, 1.02684, 1.04342, 1.07657, 1.09258, 1.12335, 1.1422, 1.19782, 1.24704, 1.27399, 1.30478, 1.34876, 1.3732, 1.42127, 1.44856, 1.50314, 1.58649, 1.61367");
-            values ( \
-              "-0.033579, -0.435193, -0.442646, -0.449081, -0.453504, -0.452339, -0.446356, -0.440111, -0.422418, -0.410216, -0.37535, -0.343464, -0.235243, -0.157326, -0.124517, -0.0942904, -0.0627853, -0.0498468, -0.0314166, -0.0241063, -0.0140239, -0.00590747, -0.00478899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.19322, 1.24365, 1.26919, 1.29123, 1.3079, 1.33274, 1.35983, 1.38643, 1.42379, 1.46316, 1.52079, 1.58064, 1.62163, 1.70272, 1.74594, 1.79626, 1.8796, 1.95745, 2.004, 2.06359, 2.10769, 2.15659, 2.22166, 2.2816, 2.36153, 2.42493, 2.49534, 2.57869, 2.66203, 2.74537, 2.82872, 2.9954, 3.16209");
-            values ( \
-              "-0.200976, -0.289002, -0.377188, -0.425523, -0.448444, -0.469255, -0.480237, -0.484235, -0.484105, -0.480416, -0.472248, -0.461585, -0.452883, -0.430246, -0.412828, -0.383726, -0.312265, -0.241858, -0.204642, -0.163258, -0.137363, -0.112863, -0.0862015, -0.0670078, -0.0475402, -0.0360629, -0.0265205, -0.018362, -0.012637, -0.00873533, -0.00598301, -0.0028212, -0.00132477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.857005, 0.865916, 0.868759, 0.873928, 0.886938, 0.896498, 0.900855, 0.90518, 0.909897, 0.916866, 0.921774, 0.925863, 0.931273, 0.935839, 0.941925, 0.951318, 0.955781");
-            values ( \
-              "-0.00730092, -0.0377504, -0.0496973, -0.0770799, -0.124517, -0.148599, -0.152982, -0.150348, -0.127564, -0.0704868, -0.0409425, -0.0249841, -0.0126601, -0.00710312, -0.00328492, -0.00101701, -0.00093463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.885973, 0.895083, 0.899876, 0.905526, 0.91497, 0.925402, 0.930465, 0.938008, 0.942016, 0.9455, 0.951635, 0.955365, 0.967571, 0.97549, 0.981088, 0.985293, 0.988702, 0.992301, 0.997099, 1.00669, 1.02067, 1.05965");
-            values ( \
-              "-0.0156835, -0.0488416, -0.0732355, -0.108699, -0.15837, -0.202994, -0.218519, -0.23456, -0.239077, -0.239877, -0.229865, -0.21297, -0.11505, -0.0665639, -0.0438398, -0.031641, -0.0241969, -0.0182078, -0.0123911, -0.00557229, -0.00168918, -0.000153308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.938297, 0.956337, 0.990777, 1.00246, 1.01309, 1.02324, 1.03329, 1.04443, 1.07512, 1.08484, 1.0967, 1.11541, 1.13611, 1.14071");
-            values ( \
-              "-0.0226423, -0.0731496, -0.26342, -0.300105, -0.319498, -0.329324, -0.32658, -0.302398, -0.125033, -0.085782, -0.0529213, -0.0238862, -0.00957385, -0.00832885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.05676, 1.07583, 1.09415, 1.09957, 1.11022, 1.12242, 1.13849, 1.14936, 1.16071, 1.17905, 1.19739, 1.1994, 1.20628, 1.21665, 1.228, 1.25599, 1.27613, 1.28862, 1.30195, 1.31112, 1.32149, 1.33299, 1.3491, 1.35561, 1.36863, 1.39468, 1.44281, 1.49927");
-            values ( \
-              "-0.0966037, -0.143537, -0.252755, -0.280852, -0.323998, -0.357863, -0.385708, -0.396333, -0.402327, -0.402639, -0.389913, -0.387541, -0.377805, -0.35651, -0.320322, -0.212102, -0.148519, -0.117537, -0.0907101, -0.0756444, -0.0614162, -0.0485576, -0.0347483, -0.0303464, -0.0230902, -0.0131655, -0.00427906, -0.00107189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.25359, 1.27502, 1.29538, 1.30788, 1.32038, 1.33998, 1.35936, 1.3632, 1.36832, 1.37856, 1.39756, 1.43, 1.44222, 1.4565, 1.47113, 1.50039, 1.5096, 1.52803, 1.55103, 1.63307, 1.66693, 1.67694, 1.69159, 1.70834, 1.74182, 1.75721, 1.78219, 1.81549, 1.83994, 1.86379, 1.89559, 1.95919, 2.04253, 2.12587, 2.20922");
-            values ( \
-              "-0.16745, -0.17389, -0.279301, -0.334644, -0.374583, -0.414998, -0.437185, -0.440224, -0.443633, -0.448722, -0.453566, -0.452542, -0.449877, -0.445663, -0.440139, -0.424884, -0.418566, -0.403083, -0.375345, -0.222222, -0.168396, -0.154682, -0.136286, -0.117564, -0.0866955, -0.07523, -0.0595836, -0.0433918, -0.034314, -0.0272743, -0.020015, -0.0105521, -0.00444812, -0.00185828, -0.000786386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.62178, 1.66408, 1.69284, 1.71135, 1.73837, 1.76191, 1.78931, 1.817, 1.85412, 1.95081, 2.01123, 2.05223, 2.13332, 2.17655, 2.22686, 2.3102, 2.38805, 2.4346, 2.49419, 2.53829, 2.58719, 2.65226, 2.7122, 2.79213, 2.85553, 2.92594, 3.00929, 3.09263, 3.17597, 3.25932, 3.426, 3.59269");
-            values ( \
-              "-0.22414, -0.244397, -0.352256, -0.403943, -0.4474, -0.467105, -0.47994, -0.483614, -0.484428, -0.4727, -0.461918, -0.452549, -0.430566, -0.412797, -0.383741, -0.312244, -0.24187, -0.204632, -0.163268, -0.137372, -0.112853, -0.0862103, -0.0670163, -0.0475322, -0.0360713, -0.0265283, -0.0183545, -0.0126447, -0.00872794, -0.0059905, -0.00282849, -0.00133189" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0123174, 0.0123321, 0.0123494, 0.0123644, 0.0123743, 0.0123797", \
-            "0.0146429, 0.0146505, 0.0146621, 0.0146742, 0.0146834, 0.0146889", \
-            "0.0160682, 0.0160708, 0.0160762, 0.0160838, 0.0160909, 0.0160957", \
-            "0.0169173, 0.0169181, 0.0169197, 0.0169229, 0.016927, 0.0169306", \
-            "0.0173771, 0.0173774, 0.0173779, 0.0173788, 0.0173805, 0.0173825", \
-            "0.0176264, 0.0176268, 0.0176274, 0.0176282, 0.017629, 0.01763" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0168333, 0.0168445, 0.0168607, 0.0168762, 0.0168872, 0.0168935", \
-            "0.0190075, 0.0190167, 0.019029, 0.0190414, 0.0190504, 0.0190554", \
-            "0.0205759, 0.0205868, 0.0205993, 0.0206104, 0.0206181, 0.0206224", \
-            "0.0218742, 0.021851, 0.0218258, 0.0218064, 0.0217947, 0.0217886", \
-            "0.0229364, 0.0228203, 0.0226707, 0.0225292, 0.0224298, 0.022369", \
-            "0.0237072, 0.0236053, 0.0233679, 0.0230372, 0.0227804, 0.0226306" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.250445, 0.288712, 0.368574, 0.53642, 0.891781, 1.65922", \
-            "0.256133, 0.29441, 0.374291, 0.542139, 0.89835, 1.66536", \
-            "0.269218, 0.307631, 0.387845, 0.555969, 0.912246, 1.67867", \
-            "0.298004, 0.336513, 0.416801, 0.585134, 0.941609, 1.70864", \
-            "0.359159, 0.398941, 0.480939, 0.650087, 1.00627, 1.77446", \
-            "0.467547, 0.514049, 0.607503, 0.78963, 1.15202, 1.91977" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0313967, 0.0463511, 0.0797928, 0.157086, 0.334074, 0.733449", \
-            "0.031392, 0.0463312, 0.0797846, 0.157127, 0.334064, 0.733419", \
-            "0.0313867, 0.0463369, 0.079825, 0.157107, 0.334059, 0.733462", \
-            "0.0313991, 0.0463447, 0.0797858, 0.157084, 0.334062, 0.733453", \
-            "0.031473, 0.0463827, 0.0798335, 0.157086, 0.334067, 0.733448", \
-            "0.0320927, 0.047029, 0.0802171, 0.157172, 0.334053, 0.733451" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.208672, 0.244756, 0.31834, 0.466576, 0.770309, 1.41074", \
-            "0.212539, 0.248663, 0.32248, 0.470633, 0.774371, 1.41666", \
-            "0.220208, 0.256425, 0.330404, 0.478726, 0.783601, 1.42557", \
-            "0.236628, 0.273501, 0.347991, 0.496835, 0.802074, 1.44444", \
-            "0.26487, 0.30459, 0.383972, 0.536246, 0.842035, 1.48601", \
-            "0.294615, 0.342016, 0.434416, 0.606641, 0.927356, 1.57462" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.033287, 0.0481046, 0.0759446, 0.131293, 0.251032, 0.524619", \
-            "0.0334365, 0.0482243, 0.0762268, 0.131588, 0.251326, 0.524559", \
-            "0.0334338, 0.0482482, 0.0762943, 0.131539, 0.25128, 0.524452", \
-            "0.0334549, 0.0482495, 0.0761934, 0.131554, 0.251127, 0.524454", \
-            "0.0335686, 0.0485027, 0.0762524, 0.131518, 0.251326, 0.524433", \
-            "0.0343958, 0.0493269, 0.0773468, 0.132135, 0.251285, 0.524382" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.227054, 0.228181, 0.230984, 0.239266, 0.242624, 0.24697, 0.252395, 0.257317, 0.262069, 0.266812, 0.271577, 0.276709, 0.279576, 0.28419, 0.288143, 0.291714, 0.294488, 0.298929, 0.30317, 0.308825, 0.31354, 0.320942, 0.330811, 0.34694, 0.34707");
-            values ( \
-              "0.0205909, 0.0233421, 0.0337638, 0.0797008, 0.095673, 0.112992, 0.128776, 0.136944, 0.139512, 0.136109, 0.122145, 0.0920852, 0.0770894, 0.0574451, 0.0447215, 0.0354257, 0.0296355, 0.0219343, 0.0165407, 0.0114437, 0.00830229, 0.00517447, 0.0029116, 0.00104237, 0.00103952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.259261, 0.271213, 0.272746, 0.274278, 0.275811, 0.277279, 0.278747, 0.280215, 0.281683, 0.283151, 0.284618, 0.286334, 0.28805, 0.289766, 0.29248, 0.295118, 0.296852, 0.298585, 0.300318, 0.302052, 0.303785, 0.305518, 0.307413, 0.308225, 0.309362, 0.310975, 0.312263, 0.314451, 0.31518, 0.321013, 0.326302, 0.328728, 0.332249, 0.334941, 0.336459, 0.339496, 0.342758, 0.344819, 0.346881, 0.348942, 0.352003, 0.355064, 0.356876, 0.358688, 0.3605, 0.364661, 0.368062, 0.372088, 0.374102, 0.377377");
-            values ( \
-              "0.133752, 0.14909, 0.157089, 0.16463, 0.17171, 0.177683, 0.183167, 0.187934, 0.192363, 0.196454, 0.200207, 0.204166, 0.20742, 0.20997, 0.21084, 0.21103, 0.210803, 0.210298, 0.209514, 0.208216, 0.206546, 0.204503, 0.20182, 0.199805, 0.196415, 0.19083, 0.1858, 0.175993, 0.172588, 0.142916, 0.117393, 0.106361, 0.0928852, 0.0834597, 0.0784789, 0.0692433, 0.0601882, 0.0547678, 0.0502184, 0.0459619, 0.0402897, 0.0350487, 0.0321495, 0.0294849, 0.0270549, 0.0225337, 0.0193741, 0.0161589, 0.0147442, 0.012703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.312123, 0.323591, 0.326611, 0.328862, 0.331113, 0.333122, 0.335131, 0.337141, 0.33915, 0.342014, 0.344878, 0.347743, 0.350607, 0.353471, 0.356335, 0.35818, 0.35941, 0.36064, 0.361634, 0.36362, 0.366591, 0.370545, 0.374499, 0.378425, 0.381866, 0.383357, 0.386341, 0.389576, 0.392811, 0.395981, 0.39915, 0.402319, 0.405488, 0.41928, 0.427235, 0.432222, 0.438165, 0.445255, 0.45298, 0.45821, 0.462153, 0.466095, 0.471368, 0.47981, 0.484565, 0.48615, 0.492489, 0.498828, 0.506999, 0.514698");
-            values ( \
-              "0.163653, 0.164356, 0.186022, 0.199954, 0.212715, 0.223118, 0.232589, 0.241127, 0.248733, 0.256576, 0.263462, 0.269391, 0.274363, 0.278377, 0.281434, 0.28281, 0.283472, 0.283903, 0.283921, 0.283792, 0.28319, 0.281604, 0.279075, 0.275625, 0.271718, 0.26969, 0.265063, 0.258835, 0.251947, 0.244559, 0.236537, 0.227881, 0.218591, 0.172176, 0.14684, 0.131929, 0.116416, 0.0996654, 0.0829749, 0.0729091, 0.0664547, 0.0604268, 0.0532155, 0.0424888, 0.0373846, 0.0358251, 0.0305489, 0.0259023, 0.0209398, 0.0166834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.412494, 0.429287, 0.442067, 0.456693, 0.466383, 0.480268, 0.491709, 0.502263, 0.524562, 0.547879, 0.571493, 0.590759, 0.64933, 0.687236, 0.721155, 0.756715, 0.775366, 0.801791, 0.850671, 0.892845, 0.938944");
-            values ( \
-              "0.0454738, 0.130436, 0.215407, 0.28567, 0.312589, 0.332718, 0.336917, 0.336196, 0.325321, 0.307219, 0.282313, 0.254439, 0.15439, 0.10205, 0.0677886, 0.042914, 0.033429, 0.0233447, 0.0118565, 0.00652175, 0.00377056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.651163, 0.676983, 0.692866, 0.713419, 0.726602, 0.741668, 0.760612, 0.782977, 0.805928, 0.853187, 0.903131, 0.953849, 0.995363, 1.15223, 1.20035, 1.24011, 1.271, 1.32374, 1.38581, 1.44137, 1.4996, 1.54429, 1.63367, 1.74307, 1.7612");
-            values ( \
-              "0.176909, 0.221275, 0.293606, 0.346911, 0.362082, 0.369362, 0.370261, 0.365646, 0.358595, 0.341346, 0.320587, 0.29431, 0.265653, 0.139835, 0.108411, 0.0867436, 0.0723853, 0.0525386, 0.0355529, 0.0248007, 0.0169719, 0.0126329, 0.00688773, 0.00324036, 0.00303304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.12774, 1.1702, 1.20989, 1.22834, 1.24559, 1.26053, 1.28452, 1.31236, 1.35701, 1.4564, 1.55669, 1.67017, 1.77957, 1.84493, 1.92285, 2.19939, 2.30097, 2.39474, 2.45644, 2.58997, 2.65074, 2.76014, 2.85809, 2.98839, 3.09779, 3.20719, 3.42598, 3.64478, 3.97297");
-            values ( \
-              "0.136397, 0.213098, 0.336038, 0.364239, 0.377486, 0.383111, 0.386002, 0.385194, 0.38039, 0.365556, 0.348527, 0.326584, 0.300494, 0.280595, 0.253076, 0.149346, 0.11715, 0.0922216, 0.0782552, 0.0539767, 0.045345, 0.0329436, 0.0246038, 0.0166481, 0.0119682, 0.00856142, 0.00439723, 0.00226664, 0.00087004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.246753, 0.253384, 0.25881, 0.266509, 0.271932, 0.276853, 0.281605, 0.286347, 0.291111, 0.29919, 0.303849, 0.307729, 0.31538, 0.322182, 0.326317, 0.334698, 0.346581, 0.359256, 0.368067");
-            values ( \
-              "0.0165223, 0.0487642, 0.0798468, 0.113004, 0.128838, 0.136937, 0.139555, 0.136095, 0.122177, 0.076707, 0.0570139, 0.044567, 0.0269282, 0.0172516, 0.0129992, 0.0074808, 0.00355273, 0.00175849, 0.00118548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.270303, 0.277373, 0.293799, 0.298372, 0.305964, 0.313011, 0.319938, 0.328019, 0.331897, 0.344739, 0.353272, 0.364053, 0.370531, 0.378819, 0.392911, 0.404214, 0.413402, 0.431777, 0.436873");
-            values ( \
-              "0.0288284, 0.059825, 0.163914, 0.18294, 0.204123, 0.212477, 0.212136, 0.199516, 0.186456, 0.123098, 0.0880901, 0.0561332, 0.0422259, 0.0291611, 0.0152745, 0.00915448, 0.00602714, 0.00256887, 0.00235719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.324198, 0.346734, 0.358715, 0.364489, 0.376037, 0.382808, 0.388182, 0.398334, 0.409784, 0.417737, 0.440889, 0.457983, 0.475399, 0.485845, 0.499418, 0.514817, 0.528297, 0.55285, 0.573923, 0.592272");
-            values ( \
-              "0.0407954, 0.187596, 0.246006, 0.263168, 0.281513, 0.283937, 0.283075, 0.275823, 0.259256, 0.240942, 0.16517, 0.116292, 0.0779053, 0.0604204, 0.0428759, 0.0287149, 0.020109, 0.0103427, 0.00584174, 0.00393379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.447946, 0.470482, 0.476519, 0.480984, 0.483217, 0.485449, 0.488793, 0.492136, 0.495479, 0.498822, 0.501524, 0.502875, 0.506928, 0.508279, 0.513143, 0.518006, 0.521128, 0.522169, 0.526332, 0.530495, 0.533989, 0.544472, 0.555692, 0.566913, 0.569555, 0.576603, 0.583313, 0.589687, 0.598847, 0.610793, 0.616765, 0.621385, 0.649104, 0.670246, 0.673913, 0.681247, 0.690566, 0.701869, 0.713376, 0.725735, 0.732797, 0.739859, 0.75004, 0.765918, 0.777313, 0.791127, 0.802294, 0.815417, 0.821978, 0.832227");
-            values ( \
-              "0.249543, 0.259884, 0.287407, 0.299854, 0.305379, 0.31044, 0.317042, 0.322672, 0.327329, 0.331012, 0.333359, 0.334415, 0.336052, 0.33641, 0.336556, 0.336216, 0.335741, 0.335538, 0.33405, 0.332269, 0.330407, 0.324158, 0.316371, 0.307446, 0.305562, 0.298635, 0.291084, 0.283164, 0.270924, 0.253442, 0.244056, 0.236423, 0.18751, 0.152078, 0.146573, 0.135922, 0.123078, 0.108534, 0.0949878, 0.0813261, 0.0747843, 0.0686257, 0.0605617, 0.0490166, 0.0418641, 0.0350471, 0.0302716, 0.0253914, 0.0232237, 0.0201829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.651641, 0.710763, 0.725444, 0.736618, 0.754528, 0.768643, 0.781915, 0.804016, 0.827232, 0.865753, 0.924432, 0.974956, 1.02432, 1.10993, 1.15441, 1.20524, 1.234, 1.26534, 1.30712, 1.35867, 1.38767, 1.44568, 1.48627, 1.54891, 1.63244, 1.74184, 1.77202");
-            values ( \
-              "0.00469182, 0.280986, 0.328815, 0.350077, 0.366947, 0.370541, 0.370261, 0.36572, 0.358599, 0.344659, 0.320592, 0.294438, 0.259733, 0.188591, 0.153738, 0.118457, 0.101279, 0.0847759, 0.0662189, 0.0482758, 0.0402517, 0.0276783, 0.0212712, 0.0140968, 0.00802534, 0.00376786, 0.0032213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.13606, 1.23081, 1.24946, 1.27247, 1.28147, 1.29946, 1.3256, 1.36354, 1.46474, 1.58124, 1.66183, 1.74739, 1.80961, 1.91901, 2.14751, 2.21841, 2.32134, 2.41655, 2.47953, 2.61087, 2.6705, 2.75262, 2.86202, 2.99942, 3.10274, 3.21214, 3.43094, 3.64973, 3.97792");
-            values ( \
-              "0.0564463, 0.336041, 0.364375, 0.380514, 0.383189, 0.385728, 0.385623, 0.382135, 0.367644, 0.347791, 0.332557, 0.314046, 0.297861, 0.26225, 0.175087, 0.149991, 0.117278, 0.0919869, 0.0777904, 0.0539799, 0.0454881, 0.0358549, 0.0258898, 0.0171807, 0.0125834, 0.00900578, 0.00462571, 0.00238422, 0.000910941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.290452, 0.291985, 0.294673, 0.296999, 0.303915, 0.31053, 0.31595, 0.320868, 0.325619, 0.330361, 0.335124, 0.340057, 0.343246, 0.347928, 0.351768, 0.354968, 0.359235, 0.363892, 0.366279, 0.370385, 0.376408, 0.378725, 0.381067, 0.390431, 0.402916, 0.411872");
-            values ( \
-              "0.0204287, 0.0243039, 0.0344925, 0.046604, 0.085551, 0.112818, 0.12912, 0.136768, 0.139745, 0.135961, 0.122317, 0.0932547, 0.0764807, 0.0567627, 0.0445117, 0.0362258, 0.0271962, 0.0199621, 0.017174, 0.0129344, 0.00880864, 0.00745693, 0.00642166, 0.00357283, 0.00181033, 0.00119937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.323208, 0.334202, 0.336048, 0.338224, 0.339872, 0.341792, 0.343467, 0.345536, 0.34743, 0.349149, 0.350009, 0.351776, 0.353544, 0.355311, 0.357079, 0.35881, 0.360542, 0.362273, 0.364004, 0.365736, 0.367467, 0.369199, 0.371094, 0.372077, 0.373387, 0.37467, 0.375953, 0.378132, 0.378858, 0.381763, 0.384669, 0.390127, 0.392757, 0.395042, 0.397327, 0.400294, 0.404888, 0.406515, 0.408141, 0.411394, 0.414647, 0.419115, 0.42288, 0.425389, 0.427899, 0.430166, 0.432434, 0.434701, 0.436968, 0.441107");
-            values ( \
-              "0.143057, 0.144718, 0.154917, 0.165724, 0.173173, 0.181057, 0.187149, 0.193889, 0.199397, 0.203849, 0.205879, 0.207365, 0.208559, 0.209463, 0.210075, 0.210393, 0.210431, 0.21019, 0.20967, 0.208464, 0.206815, 0.204725, 0.201922, 0.199408, 0.195381, 0.190847, 0.185823, 0.176036, 0.17264, 0.15761, 0.143044, 0.116717, 0.104954, 0.09614, 0.087865, 0.0781484, 0.0640112, 0.059611, 0.0555071, 0.0484156, 0.0420539, 0.0345055, 0.028677, 0.0256818, 0.0229487, 0.0207659, 0.0187562, 0.0169196, 0.0152559, 0.0126223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.361774, 0.371571, 0.39213, 0.401623, 0.409113, 0.421193, 0.432871, 0.445579, 0.457105, 0.50421, 0.52264, 0.548156, 0.571857, 0.603024, 0.608051");
-            values ( \
-              "0.0246962, 0.0477631, 0.190705, 0.23844, 0.262756, 0.281581, 0.283429, 0.273118, 0.253884, 0.112298, 0.0732797, 0.0386485, 0.0206441, 0.00891867, 0.00804063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.477996, 0.493722, 0.506453, 0.521059, 0.530888, 0.544622, 0.55987, 0.566624, 0.577581, 0.588908, 0.612226, 0.624069, 0.637305, 0.661086, 0.69419, 0.717158, 0.743837, 0.773617, 0.795009, 0.815897, 0.827637, 0.851117, 0.870287, 0.889862, 0.915961, 0.968159, 1.04526, 1.1388");
-            values ( \
-              "0.0567053, 0.131171, 0.215807, 0.285868, 0.313093, 0.332751, 0.337266, 0.336283, 0.33166, 0.325253, 0.30718, 0.295747, 0.280443, 0.244785, 0.186306, 0.148898, 0.111628, 0.0785784, 0.0600625, 0.0459037, 0.0393081, 0.0286573, 0.022049, 0.0168524, 0.0117143, 0.00547564, 0.00166192, 0.000375447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.718757, 0.749706, 0.765053, 0.780687, 0.797495, 0.812173, 0.825973, 0.848228, 0.90957, 0.968486, 1.01902, 1.06818, 1.19816, 1.24859, 1.31012, 1.3529, 1.40215, 1.48525, 1.53001, 1.59885, 1.69063, 1.80003, 1.83104");
-            values ( \
-              "0.186873, 0.258496, 0.316651, 0.350302, 0.366387, 0.370521, 0.370268, 0.365697, 0.344764, 0.320592, 0.294434, 0.259891, 0.153961, 0.118905, 0.0844209, 0.0655296, 0.0484479, 0.0285064, 0.0213226, 0.0135593, 0.00727329, 0.00341517, 0.00304077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.19604, 1.23419, 1.26174, 1.27436, 1.29929, 1.32258, 1.34094, 1.36193, 1.42168, 1.4702, 1.62488, 1.73478, 1.84418, 1.98748, 2.26402, 2.36549, 2.45947, 2.5213, 2.65461, 2.71527, 2.82466, 2.92268, 3.05312, 3.16252, 3.27192, 3.49071, 3.70951, 4.0377");
-            values ( \
-              "0.180919, 0.207911, 0.303503, 0.33463, 0.370084, 0.382735, 0.385466, 0.385925, 0.380345, 0.373489, 0.347818, 0.326581, 0.300503, 0.253079, 0.14935, 0.117179, 0.0921948, 0.0782096, 0.053979, 0.045357, 0.0329574, 0.0246047, 0.0166407, 0.0119674, 0.00855647, 0.00439354, 0.0022636, 0.00087226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.387488, 0.388928, 0.391655, 0.393932, 0.399449, 0.403697, 0.407448, 0.412873, 0.417796, 0.422549, 0.427292, 0.432057, 0.438562, 0.440556, 0.445091, 0.448788, 0.451737, 0.454954, 0.460821, 0.463797, 0.469748, 0.476491, 0.481118, 0.490371, 0.504694, 0.509484");
-            values ( \
-              "0.0208175, 0.0246787, 0.0343546, 0.04637, 0.0780632, 0.0982628, 0.11298, 0.128742, 0.136935, 0.13949, 0.136102, 0.122135, 0.0846715, 0.0746505, 0.0559272, 0.0442893, 0.036642, 0.0294995, 0.019952, 0.0165365, 0.0109462, 0.00704434, 0.00543779, 0.00291587, 0.00141118, 0.00115201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.410802, 0.418953, 0.43466, 0.439547, 0.447145, 0.454196, 0.461125, 0.469202, 0.473079, 0.485928, 0.494453, 0.505246, 0.511735, 0.520002, 0.534092, 0.545417, 0.554626, 0.570471");
-            values ( \
-              "0.0276207, 0.0616131, 0.162157, 0.182651, 0.204061, 0.212352, 0.212158, 0.199508, 0.186467, 0.123093, 0.088117, 0.0561175, 0.0421998, 0.0291653, 0.0152759, 0.0091459, 0.00601967, 0.00304033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.461603, 0.468052, 0.47406, 0.48943, 0.49799, 0.506047, 0.51811, 0.529774, 0.54142, 0.546232, 0.554001, 0.560387, 0.582549, 0.601106, 0.61144, 0.619533, 0.630325, 0.63846, 0.645052, 0.653841, 0.668753, 0.686081, 0.699912, 0.727575, 0.731842");
-            values ( \
-              "0.0307721, 0.0473539, 0.0851434, 0.194319, 0.237164, 0.263298, 0.281994, 0.283474, 0.27449, 0.268045, 0.253913, 0.238014, 0.164859, 0.112293, 0.0887564, 0.0732815, 0.0561677, 0.0457537, 0.0386471, 0.030731, 0.020643, 0.0129932, 0.00892008, 0.00408708, 0.00378658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.573964, 0.590947, 0.603728, 0.618353, 0.628015, 0.641927, 0.653368, 0.663921, 0.68622, 0.709536, 0.733149, 0.752415, 0.810985, 0.849093, 0.882257, 0.918688, 0.937227, 0.963565, 1.01205, 1.05414, 1.10028");
-            values ( \
-              "0.0435536, 0.130386, 0.21541, 0.285679, 0.312562, 0.33272, 0.336939, 0.336192, 0.325314, 0.307212, 0.282325, 0.254449, 0.154399, 0.101837, 0.0682715, 0.0427287, 0.0333443, 0.0233021, 0.0119082, 0.00654935, 0.00378809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.795161, 0.83049, 0.847054, 0.862674, 0.878152, 0.895761, 0.910029, 0.923444, 0.945531, 1.00713, 1.06596, 1.11649, 1.16572, 1.29574, 1.34632, 1.40733, 1.44976, 1.49983, 1.52799, 1.58433, 1.6276, 1.69423, 1.78308, 1.89248, 2.11127");
-            values ( \
-              "0.0163332, 0.170924, 0.257655, 0.316862, 0.350153, 0.366755, 0.370545, 0.370221, 0.365751, 0.344753, 0.320569, 0.294457, 0.259833, 0.15388, 0.118745, 0.0845483, 0.0657754, 0.0483863, 0.0405589, 0.0282073, 0.0213039, 0.0137483, 0.0075332, 0.00353734, 0.000800518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.29545, 1.33272, 1.36406, 1.38929, 1.40745, 1.42314, 1.44779, 1.4755, 1.53386, 1.61902, 1.72287, 1.83283, 1.94222, 2.00736, 2.08547, 2.36202, 2.46349, 2.55746, 2.61928, 2.7526, 2.81326, 2.92266, 3.02067, 3.1511, 3.2605, 3.36989, 3.58869, 3.80748, 4.13568");
-            values ( \
-              "0.185999, 0.211977, 0.316579, 0.362013, 0.377106, 0.382972, 0.386118, 0.385038, 0.378478, 0.365655, 0.34782, 0.326573, 0.300489, 0.280653, 0.253081, 0.149349, 0.117179, 0.092197, 0.0782126, 0.0539795, 0.0453569, 0.032957, 0.0246051, 0.0166415, 0.0119678, 0.00855685, 0.00439373, 0.0022637, 0.000872168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.597451, 0.61243, 0.619069, 0.625684, 0.629462, 0.634227, 0.638977, 0.643766, 0.651936, 0.660447, 0.666728, 0.675467, 0.685858, 0.70249, 0.712326");
-            values ( \
-              "0.0037152, 0.0841206, 0.11219, 0.13051, 0.13632, 0.138921, 0.135542, 0.121832, 0.0761579, 0.0442808, 0.0294402, 0.0164846, 0.00830335, 0.00293842, 0.00184256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.624243, 0.641307, 0.647581, 0.652446, 0.660054, 0.667113, 0.674048, 0.680977, 0.682125, 0.686004, 0.698866, 0.707384, 0.718198, 0.724704, 0.732945, 0.737969, 0.747039, 0.758403, 0.76765, 0.786143, 0.796053");
-            values ( \
-              "0.0121387, 0.127414, 0.162042, 0.182527, 0.203725, 0.212213, 0.211888, 0.202364, 0.199388, 0.186394, 0.123046, 0.0881232, 0.0560783, 0.0421442, 0.0291613, 0.0232147, 0.0152721, 0.00912855, 0.00600078, 0.00253861, 0.00185078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.677024, 0.688231, 0.704007, 0.712729, 0.720726, 0.732811, 0.744485, 0.756142, 0.768723, 0.81583, 0.834265, 0.859782, 0.883484, 0.914663, 0.929721");
-            values ( \
-              "0.0297991, 0.0808548, 0.192862, 0.236665, 0.26269, 0.281669, 0.283263, 0.27438, 0.253848, 0.112295, 0.0732721, 0.0386455, 0.0206419, 0.00891546, 0.00628678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.789708, 0.806518, 0.819298, 0.833925, 0.843647, 0.8575, 0.868939, 0.879495, 0.901793, 0.92511, 0.948723, 0.96799, 1.02656, 1.06475, 1.09715, 1.1346, 1.15302, 1.17926, 1.22711, 1.26859, 1.31564");
-            values ( \
-              "0.0453363, 0.130405, 0.215384, 0.28566, 0.312673, 0.332706, 0.336926, 0.336186, 0.325311, 0.30721, 0.282323, 0.254447, 0.154398, 0.101752, 0.0688568, 0.0425366, 0.0332475, 0.0232616, 0.0119966, 0.00665723, 0.00379402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.00195, 1.09346, 1.11109, 1.12542, 1.13883, 1.16059, 1.22192, 1.28135, 1.33192, 1.38061, 1.51038, 1.55996, 1.62455, 1.66949, 1.71376, 1.78842, 1.82841, 1.92381, 2.03285, 2.13187");
-            values ( \
-              "0.0130836, 0.348482, 0.366185, 0.370244, 0.37015, 0.365782, 0.344944, 0.320604, 0.294397, 0.260222, 0.154435, 0.119865, 0.0836579, 0.0640644, 0.0488224, 0.0303709, 0.0234452, 0.0125072, 0.00590422, 0.00306978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.50675, 1.54901, 1.58871, 1.60739, 1.62451, 1.63935, 1.66327, 1.69113, 1.73583, 1.83522, 1.93553, 2.04898, 2.15838, 2.22382, 2.30166, 2.57821, 2.67968, 2.77366, 2.83549, 2.96879, 3.02945, 3.13884, 3.23686, 3.3673, 3.4767, 3.58609, 3.80489, 4.02368, 4.35188");
-            values ( \
-              "0.137993, 0.213089, 0.336032, 0.364516, 0.377524, 0.383126, 0.385985, 0.385213, 0.380405, 0.365543, 0.348537, 0.326587, 0.300497, 0.280572, 0.253076, 0.149347, 0.117184, 0.0921985, 0.0782066, 0.0539767, 0.0453607, 0.0329551, 0.024608, 0.0166437, 0.0119649, 0.0085592, 0.00439613, 0.00226611, 0.000869699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.03727, 1.04122, 1.04368, 1.05312, 1.0601, 1.06495, 1.06729, 1.07081, 1.0733, 1.07565, 1.08047, 1.08452, 1.09361, 1.09642, 1.10326, 1.10846, 1.11306, 1.11864, 1.12264, 1.12842, 1.13513, 1.14039, 1.1509, 1.16312");
-            values ( \
-              "0.0155631, 0.0239799, 0.0326875, 0.0790657, 0.107818, 0.122635, 0.127825, 0.133392, 0.135654, 0.136346, 0.133869, 0.123219, 0.0750548, 0.0629537, 0.0407851, 0.0291025, 0.0214343, 0.0148549, 0.0114089, 0.00782676, 0.00515385, 0.00374624, 0.00202194, 0.00115612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.0793, 1.09068, 1.09372, 1.09675, 1.09844, 1.10014, 1.10183, 1.10352, 1.10522, 1.10794, 1.11, 1.11206, 1.11514, 1.11667, 1.11718, 1.11821, 1.12025, 1.12214, 1.12387, 1.12587, 1.12653, 1.12723, 1.12861, 1.13069, 1.13207, 1.13346, 1.13484, 1.13716, 1.14629, 1.14904, 1.15087, 1.15271, 1.15451, 1.15632, 1.15813, 1.15993, 1.16444, 1.16747, 1.16968, 1.17189, 1.17482, 1.17775, 1.17949, 1.18122, 1.18296, 1.18733, 1.1909, 1.19513, 1.19725, 1.20092");
-            values ( \
-              "0.125566, 0.132882, 0.149278, 0.164037, 0.170812, 0.177079, 0.182718, 0.187931, 0.192716, 0.199516, 0.203064, 0.205653, 0.208996, 0.209637, 0.209765, 0.209809, 0.209556, 0.208919, 0.207499, 0.205164, 0.204219, 0.202961, 0.200083, 0.194859, 0.190772, 0.186201, 0.181146, 0.171307, 0.125816, 0.112873, 0.10516, 0.0978797, 0.0912157, 0.0849149, 0.0789772, 0.0734027, 0.0606922, 0.053, 0.0483073, 0.0439371, 0.038748, 0.0339073, 0.0312033, 0.0287037, 0.0264088, 0.0218052, 0.0186138, 0.0153901, 0.0139809, 0.0118404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.14754, 1.16192, 1.16454, 1.16804, 1.17022, 1.17241, 1.17459, 1.17726, 1.17993, 1.1826, 1.18527, 1.18794, 1.19061, 1.19215, 1.19444, 1.19521, 1.19828, 1.20261, 1.20387, 1.20514, 1.20893, 1.21064, 1.21404, 1.21575, 1.21619, 1.21841, 1.22114, 1.22459, 1.22824, 1.23145, 1.23466, 1.24036, 1.24956, 1.25687, 1.2597, 1.26728, 1.27133, 1.27537, 1.28058, 1.2885, 1.29394, 1.29939, 1.30483, 1.3129, 1.31944, 1.32599, 1.33193, 1.33944, 1.3432, 1.34978");
-            values ( \
-              "0.169943, 0.183485, 0.198953, 0.217389, 0.22764, 0.236912, 0.245205, 0.252906, 0.259699, 0.265363, 0.270267, 0.274409, 0.277791, 0.279388, 0.280748, 0.28107, 0.281414, 0.281071, 0.280789, 0.280178, 0.277893, 0.276647, 0.273743, 0.272086, 0.271337, 0.269168, 0.265357, 0.259661, 0.252306, 0.24459, 0.236123, 0.219008, 0.189577, 0.164664, 0.156321, 0.132898, 0.122163, 0.112005, 0.100017, 0.0826918, 0.0723783, 0.0636769, 0.0557818, 0.0455594, 0.03797, 0.0321829, 0.0276503, 0.0227231, 0.0205669, 0.0172411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.25865, 1.27913, 1.29178, 1.30109, 1.30638, 1.31694, 1.32996, 1.34544, 1.35199, 1.36296, 1.37429, 1.39677, 1.40944, 1.4227, 1.44682, 1.48724, 1.51805, 1.53822, 1.55333, 1.5689, 1.59578, 1.60811, 1.63278, 1.65394, 1.68266, 1.72095, 1.79221, 1.87535");
-            values ( \
-              "0.010928, 0.133259, 0.215895, 0.264352, 0.285283, 0.314346, 0.332172, 0.337108, 0.335938, 0.331577, 0.325012, 0.307841, 0.295678, 0.280375, 0.244172, 0.173551, 0.126459, 0.100715, 0.084229, 0.0695642, 0.0493482, 0.0419861, 0.0301435, 0.0225874, 0.0152088, 0.00883552, 0.00298317, 0.000817928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.502, 1.52454, 1.54624, 1.55977, 1.57207, 1.58952, 1.60387, 1.61736, 1.63935, 1.70032, 1.75987, 1.81044, 1.85902, 1.98874, 2.03811, 2.10344, 2.14889, 2.19196, 2.26458, 2.30616, 2.40508, 2.51448, 2.62095");
-            values ( \
-              "0.12093, 0.172701, 0.281216, 0.326022, 0.350267, 0.366721, 0.370631, 0.370235, 0.365839, 0.345072, 0.320526, 0.29448, 0.260305, 0.154552, 0.120103, 0.0834816, 0.0637192, 0.0489179, 0.0308393, 0.0235598, 0.0122774, 0.00577785, 0.00279648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("2.00048, 2.04483, 2.06742, 2.08531, 2.10287, 2.11807, 2.14255, 2.17049, 2.22825, 2.31391, 2.41779, 2.52775, 2.63715, 2.70228, 2.78039, 2.94829, 3.05694, 3.15841, 3.25238, 3.31421, 3.44752, 3.50818, 3.61757, 3.71559, 3.84602, 3.95542, 4.06482, 4.28361, 4.50241, 4.8306");
-            values ( \
-              "0.247012, 0.275278, 0.336194, 0.363515, 0.377467, 0.383018, 0.386124, 0.385043, 0.378554, 0.365663, 0.347821, 0.326573, 0.300489, 0.280655, 0.253081, 0.188494, 0.149349, 0.11718, 0.0921966, 0.078211, 0.0539794, 0.0453577, 0.0329573, 0.0246054, 0.0166415, 0.0119674, 0.00855693, 0.00439385, 0.00226385, 0.000871913" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.012524, 0.0125434, 0.012565, 0.0125826, 0.0125939, 0.0125999", \
-            "0.0167146, 0.0167341, 0.0167595, 0.0167832, 0.0167996, 0.0168089", \
-            "0.0198075, 0.019822, 0.0198448, 0.0198705, 0.0198911, 0.0199036", \
-            "0.0215513, 0.0215588, 0.0215723, 0.0215911, 0.0216094, 0.0216223", \
-            "0.0224195, 0.0224062, 0.0223909, 0.0223803, 0.0223776, 0.0223795", \
-            "0.0229151, 0.022886, 0.0228398, 0.022783, 0.0227349, 0.0227061" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0206298, 0.0206495, 0.0206766, 0.0207026, 0.0207209, 0.0207312", \
-            "0.023032, 0.0230317, 0.0230407, 0.0230573, 0.0230726, 0.0230822", \
-            "0.0218858, 0.0218195, 0.0217376, 0.0216615, 0.0216094, 0.0215805", \
-            "0.0206138, 0.0204908, 0.0203197, 0.0201525, 0.0200244, 0.0199435", \
-            "0.0206169, 0.0203439, 0.0200042, 0.0196668, 0.0194078, 0.0192551", \
-            "0.0201774, 0.0202063, 0.0203456, 0.0199706, 0.0194564, 0.0191471" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.180336, 0.187361, 0.195331, 0.202913, 0.209198, 0.21279, 0.219098, 0.222606, 0.225472, 0.230655, 0.233219, 0.236991, 0.245524, 0.249872, 0.256615, 0.259312, 0.26384, 0.269878, 0.280128, 0.280362");
-            values ( \
-              "-0.0153397, -0.0400303, -0.0765958, -0.0974883, -0.110731, -0.116463, -0.123723, -0.126116, -0.12688, -0.125135, -0.119813, -0.10556, -0.0554596, -0.0359142, -0.0170697, -0.012548, -0.00743803, -0.0036529, -0.00108348, -0.00107084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.199151, 0.206868, 0.207896, 0.214186, 0.219086, 0.221218, 0.225483, 0.231844, 0.239493, 0.240807, 0.243436, 0.249023, 0.251078, 0.256799, 0.263081, 0.264349, 0.266883, 0.271831, 0.279353, 0.281203, 0.291023, 0.293699, 0.296501, 0.300148, 0.303083, 0.306686, 0.311792, 0.314183, 0.317573, 0.322094, 0.326344");
-            values ( \
-              "-0.0308506, -0.0544377, -0.0551313, -0.0855803, -0.113161, -0.119579, -0.136646, -0.153661, -0.171296, -0.172619, -0.17739, -0.184707, -0.187066, -0.192679, -0.196607, -0.197165, -0.197132, -0.193571, -0.169546, -0.1598, -0.0981182, -0.0835244, -0.0700584, -0.0548621, -0.044828, -0.034825, -0.0238489, -0.0199871, -0.0155226, -0.011129, -0.00844674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.236626, 0.273779, 0.280958, 0.290471, 0.299399, 0.317732, 0.328502, 0.337172, 0.34161, 0.350487, 0.353374, 0.359147, 0.366407, 0.383198, 0.389711, 0.402768, 0.414092, 0.425375, 0.431803, 0.444659, 0.467873, 0.467918");
-            values ( \
-              "-0.00393407, -0.173361, -0.196181, -0.217686, -0.23409, -0.263589, -0.276855, -0.28265, -0.283574, -0.277656, -0.272947, -0.257436, -0.224808, -0.136698, -0.108858, -0.0663725, -0.0423613, -0.0266219, -0.0202475, -0.0117448, -0.00395759, -0.00395319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.325571, 0.36571, 0.376375, 0.391926, 0.41225, 0.422524, 0.435969, 0.45751, 0.46347, 0.475392, 0.483236, 0.493696, 0.498186, 0.507166, 0.519156, 0.540439, 0.561477, 0.584168, 0.59898, 0.611568, 0.621962, 0.634334, 0.651823, 0.670197, 0.6843, 0.712507, 0.766764, 0.831482");
-            values ( \
-              "-0.00660986, -0.175168, -0.209208, -0.247149, -0.289904, -0.309253, -0.330529, -0.354337, -0.358669, -0.364484, -0.365965, -0.364553, -0.362553, -0.355622, -0.338166, -0.275006, -0.200373, -0.134767, -0.102123, -0.0800459, -0.0651901, -0.0507342, -0.0354583, -0.0242653, -0.0179888, -0.00984271, -0.00263293, -0.000546568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.52492, 0.553732, 0.580703, 0.624079, 0.640044, 0.662436, 0.685379, 0.703988, 0.743223, 0.781848, 0.821229, 0.843661, 0.863134, 0.902079, 0.934724, 0.956636, 0.984528, 1.0058, 1.02768, 1.05691, 1.08339, 1.11869, 1.14838, 1.18855, 1.24211, 1.32865, 1.41519, 1.50172");
-            values ( \
-              "-0.0833317, -0.14636, -0.218009, -0.312835, -0.343418, -0.377488, -0.401548, -0.41511, -0.428718, -0.427577, -0.412037, -0.395048, -0.372562, -0.30376, -0.240815, -0.202901, -0.161008, -0.134074, -0.110474, -0.0846459, -0.0662485, -0.0474185, -0.0356683, -0.0242055, -0.0142407, -0.00578176, -0.00233613, -0.000950426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.954983, 1.02228, 1.0936, 1.12502, 1.18224, 1.20623, 1.25422, 1.27858, 1.34122, 1.40394, 1.4584, 1.5061, 1.5911, 1.63415, 1.76685, 1.84378, 1.89096, 1.96141, 2.02419, 2.07802, 2.13557, 2.21771, 2.26985, 2.35907, 2.44561, 2.61868, 2.96483");
-            values ( \
-              "-0.212609, -0.240138, -0.370797, -0.41095, -0.452637, -0.461377, -0.469479, -0.470063, -0.465706, -0.455895, -0.443484, -0.428997, -0.387776, -0.354233, -0.234295, -0.176329, -0.146893, -0.110767, -0.085466, -0.0681847, -0.0533, -0.0373285, -0.0297196, -0.0200818, -0.0137012, -0.00632616, -0.00135398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.198305, 0.202248, 0.203391, 0.208042, 0.21188, 0.220742, 0.227073, 0.232787, 0.238171, 0.243398, 0.248619, 0.253829, 0.262737, 0.267523, 0.273444, 0.280143, 0.28556, 0.29408");
-            values ( \
-              "-0.0247438, -0.0331928, -0.0335589, -0.0514901, -0.0708928, -0.0967539, -0.110644, -0.118719, -0.124531, -0.126581, -0.124902, -0.110694, -0.0595087, -0.0371507, -0.0194974, -0.0090304, -0.0047924, -0.001864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.217336, 0.227983, 0.236273, 0.243039, 0.249159, 0.256817, 0.266941, 0.274179, 0.280069, 0.285128, 0.289252, 0.2968, 0.308034, 0.313944, 0.32056, 0.324162, 0.331095, 0.339557, 0.348584, 0.364354, 0.364919");
-            values ( \
-              "-0.0182363, -0.0682216, -0.112568, -0.137232, -0.153524, -0.170733, -0.184751, -0.191987, -0.195924, -0.196493, -0.192854, -0.169514, -0.100576, -0.0699642, -0.0448349, -0.0346869, -0.0208488, -0.0110537, -0.00551918, -0.00147449, -0.00145744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.249499, 0.306523, 0.317137, 0.335767, 0.346354, 0.351155, 0.35969, 0.363956, 0.37148, 0.383367, 0.401117, 0.409283, 0.421009, 0.434659, 0.447579, 0.456293, 0.473722, 0.48784");
-            values ( \
-              "-0.00437654, -0.212371, -0.232818, -0.26285, -0.275901, -0.279913, -0.282969, -0.281618, -0.272518, -0.231154, -0.138001, -0.103618, -0.0664683, -0.0384804, -0.0225382, -0.0156169, -0.00722659, -0.00450985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.348445, 0.367077, 0.379075, 0.390615, 0.403695, 0.430079, 0.438968, 0.45151, 0.458855, 0.46752, 0.475548, 0.491604, 0.496096, 0.505082, 0.51633, 0.536644, 0.538948, 0.548167, 0.575224, 0.588929, 0.598395, 0.608113, 0.621071, 0.632892, 0.646839, 0.665436, 0.677476, 0.69602, 0.720745, 0.770195, 0.830614");
-            values ( \
-              "-0.0516039, -0.106926, -0.15682, -0.196956, -0.231111, -0.287262, -0.304382, -0.325153, -0.335367, -0.345542, -0.353034, -0.362953, -0.364272, -0.365282, -0.362017, -0.339381, -0.334846, -0.310473, -0.215021, -0.171055, -0.144698, -0.12109, -0.0946435, -0.0751557, -0.0569227, -0.0388742, -0.0303397, -0.0206251, -0.0121547, -0.00383421, -0.000852047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.545349, 0.569756, 0.591699, 0.640292, 0.653844, 0.668501, 0.680216, 0.702755, 0.721931, 0.761227, 0.799895, 0.838563, 0.849553, 0.861275, 0.88121, 0.92108, 0.973659, 1.00971, 1.02463, 1.05448, 1.08297, 1.09509, 1.11933, 1.16049, 1.17478, 1.20752, 1.24493, 1.31976, 1.4063, 1.49284");
-            values ( \
-              "-0.13245, -0.138663, -0.20035, -0.306337, -0.333714, -0.358785, -0.375403, -0.399954, -0.41401, -0.428352, -0.427179, -0.412389, -0.405104, -0.395394, -0.372493, -0.301956, -0.204648, -0.1516, -0.133206, -0.102076, -0.0786757, -0.0703103, -0.0560251, -0.0377346, -0.0329164, -0.0240017, -0.0166477, -0.00774949, -0.00314443, -0.00126319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.969083, 1.02386, 1.11381, 1.14197, 1.19221, 1.22349, 1.2652, 1.31753, 1.36178, 1.44107, 1.48641, 1.52653, 1.60679, 1.63918, 1.8014, 1.87756, 1.94979, 2.00792, 2.06145, 2.12266, 2.20426, 2.27202, 2.37596, 2.46249, 2.63557, 2.68774");
-            values ( \
-              "-0.196556, -0.209746, -0.369593, -0.407046, -0.447284, -0.460189, -0.468758, -0.469659, -0.46556, -0.452725, -0.441473, -0.429003, -0.390833, -0.367146, -0.222795, -0.167529, -0.126131, -0.0995155, -0.0796711, -0.0615308, -0.0432497, -0.0322275, -0.0204147, -0.0138857, -0.00639754, -0.00563647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.236887, 0.24317, 0.251517, 0.259091, 0.265406, 0.271124, 0.276507, 0.281734, 0.286957, 0.292167, 0.305882, 0.311697, 0.318551, 0.324095, 0.331246");
-            values ( \
-              "-0.0189887, -0.0386847, -0.0749948, -0.0970618, -0.110486, -0.118906, -0.124383, -0.126686, -0.124761, -0.110756, -0.0371005, -0.0196818, -0.00897717, -0.00466854, -0.00228419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.251471, 0.283579, 0.287712, 0.29586, 0.298393, 0.308971, 0.312746, 0.320328, 0.323968, 0.327827, 0.335381, 0.34661, 0.352547, 0.359131, 0.362739, 0.36968, 0.373643, 0.378171, 0.387228, 0.402579, 0.421433, 0.445787");
-            values ( \
-              "-0.0020094, -0.142861, -0.153842, -0.171179, -0.175225, -0.188353, -0.191895, -0.196374, -0.196277, -0.192753, -0.16942, -0.100617, -0.0698963, -0.0448332, -0.0347404, -0.0208846, -0.0155429, -0.0110713, -0.00545392, -0.00157621, -0.000429851, -0.000205271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.291874, 0.336322, 0.342388, 0.353175, 0.367896, 0.374527, 0.386727, 0.389821, 0.396008, 0.398474, 0.403406, 0.410267, 0.42217, 0.43987, 0.448203, 0.458893, 0.46538, 0.471128, 0.482442, 0.488899, 0.501814, 0.526224, 0.555297");
-            values ( \
-              "-0.0057166, -0.191205, -0.206234, -0.227632, -0.252622, -0.262637, -0.277033, -0.279555, -0.282575, -0.282737, -0.281039, -0.272322, -0.231045, -0.138193, -0.103133, -0.0688757, -0.0533792, -0.0423432, -0.0265576, -0.020283, -0.0116391, -0.00374552, -0.00095007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.39769, 0.4168, 0.422159, 0.427775, 0.434391, 0.44193, 0.448695, 0.4692, 0.478358, 0.484689, 0.49102, 0.497241, 0.503461, 0.505535, 0.512396, 0.519257, 0.523832, 0.528406, 0.53528, 0.539879, 0.54573, 0.54768, 0.549628, 0.555471, 0.559366, 0.563261, 0.567156, 0.571052, 0.576503, 0.579197, 0.584584, 0.590278, 0.593277, 0.599666, 0.610161, 0.612802, 0.620723, 0.630823, 0.637612, 0.644457, 0.651302, 0.658147, 0.666236, 0.67326, 0.677587, 0.683357, 0.689127, 0.698587, 0.708046, 0.716215");
-            values ( \
-              "-0.142776, -0.152452, -0.173867, -0.191708, -0.210521, -0.229483, -0.244525, -0.288086, -0.305066, -0.315729, -0.325821, -0.334952, -0.343002, -0.345453, -0.351578, -0.356597, -0.359399, -0.361797, -0.364641, -0.364813, -0.364444, -0.364176, -0.363475, -0.360455, -0.357675, -0.354283, -0.350279, -0.345661, -0.336356, -0.329796, -0.315519, -0.298753, -0.289227, -0.267349, -0.228272, -0.21921, -0.193234, -0.162976, -0.143532, -0.126191, -0.111508, -0.0981173, -0.0841046, -0.0727051, -0.0661702, -0.0591861, -0.0528013, -0.0437609, -0.0360714, -0.0304502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.551464, 0.713514, 0.734102, 0.762094, 0.801344, 0.840009, 0.87867, 0.889722, 0.90151, 0.92132, 0.96094, 1.01412, 1.04981, 1.06451, 1.09391, 1.12304, 1.13542, 1.16017, 1.20084, 1.21502, 1.24704, 1.28364, 1.35684, 1.44337, 1.52991");
-            values ( \
-              "-0.0103682, -0.3665, -0.391786, -0.41425, -0.428493, -0.4272, -0.412437, -0.405075, -0.395294, -0.372499, -0.302447, -0.204063, -0.151601, -0.133471, -0.102714, -0.0787087, -0.0701703, -0.055639, -0.0376495, -0.0328809, -0.0241416, -0.0168833, -0.00800469, -0.00324898, -0.00130461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.00723, 1.0569, 1.15382, 1.18437, 1.2161, 1.24249, 1.2842, 1.32259, 1.38283, 1.43935, 1.48245, 1.56371, 1.60564, 1.65036, 1.67051, 1.7998, 1.85171, 1.91954, 1.98013, 2.02621, 2.0858, 2.13565, 2.19412, 2.27698, 2.36406, 2.43091, 2.51745, 2.69052, 2.8636, 3.03667");
-            values ( \
-              "-0.196347, -0.197887, -0.369087, -0.408959, -0.437066, -0.452053, -0.465488, -0.46964, -0.467945, -0.460399, -0.452057, -0.430094, -0.413291, -0.388296, -0.373781, -0.25753, -0.214403, -0.166151, -0.130996, -0.108741, -0.0849657, -0.0689285, -0.0537146, -0.037462, -0.0256373, -0.0190957, -0.0129807, -0.00597724, -0.00274395, -0.00125755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.321887, 0.32715, 0.334904, 0.343768, 0.3501, 0.355818, 0.361204, 0.366434, 0.371659, 0.376872, 0.391421, 0.396405, 0.403264, 0.408812, 0.409607");
-            values ( \
-              "-0.0258497, -0.036466, -0.0707595, -0.0967085, -0.110611, -0.118643, -0.12449, -0.126464, -0.124853, -0.110575, -0.0339503, -0.0196229, -0.00902946, -0.00460872, -0.0043521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.33941, 0.360624, 0.365861, 0.373018, 0.380345, 0.385285, 0.398036, 0.40414, 0.408571, 0.413122, 0.420673, 0.431839, 0.439315, 0.445992, 0.454163, 0.462605, 0.472253, 0.483206");
-            values ( \
-              "-0.00506969, -0.114975, -0.133822, -0.153538, -0.170139, -0.177784, -0.191705, -0.196003, -0.196522, -0.192701, -0.16947, -0.10096, -0.0634085, -0.0401545, -0.0221531, -0.0118316, -0.00551328, -0.00271892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.390442, 0.401242, 0.405549, 0.407339, 0.410919, 0.41271, 0.415413, 0.418117, 0.41992, 0.423525, 0.429114, 0.433063, 0.44213, 0.453467, 0.45521, 0.460441, 0.462917, 0.467868, 0.473785, 0.477226, 0.480801, 0.484376, 0.487298, 0.490221, 0.493144, 0.496227, 0.497194, 0.498483, 0.500417, 0.502351, 0.50364, 0.506218, 0.509291, 0.5139, 0.517645, 0.524271, 0.528885, 0.531712, 0.533671, 0.536175, 0.539052, 0.543928, 0.546636, 0.549344, 0.553986, 0.557727, 0.55992, 0.562114, 0.564308, 0.567773");
-            values ( \
-              "-0.102213, -0.108448, -0.131118, -0.139431, -0.154769, -0.161795, -0.171585, -0.180222, -0.185533, -0.195347, -0.208114, -0.216709, -0.233168, -0.252665, -0.255352, -0.262891, -0.266059, -0.27213, -0.278985, -0.283151, -0.28186, -0.280244, -0.278681, -0.276902, -0.274905, -0.272504, -0.270543, -0.267389, -0.261477, -0.254694, -0.249718, -0.238715, -0.223773, -0.198355, -0.178437, -0.144811, -0.123626, -0.111376, -0.103816, -0.0949208, -0.0855108, -0.0708654, -0.0633459, -0.0565647, -0.0472688, -0.0407785, -0.0373458, -0.0341585, -0.0312166, -0.0270461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.474885, 0.492914, 0.508386, 0.519913, 0.535108, 0.548847, 0.564355, 0.576928, 0.583697, 0.592557, 0.600766, 0.617185, 0.629567, 0.641543, 0.661856, 0.664169, 0.673422, 0.700362, 0.713989, 0.723606, 0.733486, 0.746661, 0.758125, 0.771647, 0.789677, 0.802631, 0.822536, 0.849075, 0.900342, 0.960706");
-            values ( \
-              "-0.0529453, -0.110812, -0.173683, -0.209944, -0.245896, -0.274676, -0.305054, -0.325544, -0.335001, -0.345491, -0.353105, -0.363162, -0.365341, -0.362049, -0.339379, -0.334832, -0.310347, -0.215284, -0.171516, -0.144711, -0.120735, -0.0939589, -0.0751287, -0.0573922, -0.0396736, -0.0303872, -0.0200733, -0.0113523, -0.00339304, -0.000756735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.680419, 0.723233, 0.784048, 0.807023, 0.830112, 0.848704, 0.885428, 0.903376, 0.931849, 0.965875, 1.00555, 1.02217, 1.09837, 1.13616, 1.17693, 1.2033, 1.25141, 1.28918, 1.3295, 1.36054, 1.42262, 1.50509");
-            values ( \
-              "-0.157643, -0.213709, -0.340788, -0.37605, -0.400814, -0.414342, -0.428003, -0.429355, -0.425735, -0.411705, -0.375798, -0.350298, -0.207571, -0.151613, -0.105943, -0.0833151, -0.0531551, -0.0370119, -0.0251199, -0.0185581, -0.00995616, -0.00431159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.09396, 1.1434, 1.24084, 1.26983, 1.30311, 1.32961, 1.37133, 1.40971, 1.46914, 1.52811, 1.56957, 1.65087, 1.69243, 1.73676, 1.759, 1.90161, 1.9491, 2.01046, 2.10544, 2.16995, 2.22853, 2.30664, 2.37194, 2.46031, 2.54685, 2.71993, 2.8183");
-            values ( \
-              "-0.19589, -0.196992, -0.369015, -0.407019, -0.436903, -0.451982, -0.465461, -0.469609, -0.46801, -0.46013, -0.452034, -0.430095, -0.413443, -0.388776, -0.372725, -0.244825, -0.206539, -0.163777, -0.11231, -0.0860202, -0.0672604, -0.0480826, -0.0362089, -0.024605, -0.0167608, -0.00773355, -0.00535908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.499026, 0.513324, 0.52257, 0.528949, 0.534703, 0.540115, 0.545364, 0.550335, 0.554028, 0.569822, 0.577229, 0.583044, 0.587925, 0.592848");
-            values ( \
-              "-0.011122, -0.068474, -0.0958177, -0.109739, -0.118066, -0.123909, -0.126145, -0.124718, -0.116913, -0.0359733, -0.0158679, -0.00815352, -0.00463052, -0.00300578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.521517, 0.541632, 0.548554, 0.556458, 0.563607, 0.570125, 0.575453, 0.579754, 0.58735, 0.591116, 0.594901, 0.602471, 0.613762, 0.619598, 0.62634, 0.629931, 0.636852, 0.645244, 0.654196, 0.667713");
-            values ( \
-              "-0.0111832, -0.111047, -0.136239, -0.157005, -0.171076, -0.180768, -0.1869, -0.190916, -0.19546, -0.195451, -0.191987, -0.169013, -0.100252, -0.0701408, -0.0445845, -0.0345662, -0.0208189, -0.0111201, -0.00555774, -0.00205681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.564261, 0.609935, 0.619463, 0.639865, 0.646865, 0.659073, 0.663794, 0.67082, 0.682518, 0.687527, 0.692696, 0.710711, 0.718919, 0.730406, 0.741995, 0.750776, 0.760022, 0.767129, 0.781343, 0.807743, 0.83912");
-            values ( \
-              "-0.00692903, -0.195559, -0.216663, -0.251936, -0.262294, -0.276718, -0.280461, -0.282556, -0.272791, -0.260152, -0.239509, -0.144967, -0.109146, -0.0709688, -0.0448421, -0.0312503, -0.0213041, -0.0157962, -0.0085327, -0.00245166, -0.000565312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.655972, 0.7062, 0.716822, 0.745378, 0.754559, 0.769214, 0.780262, 0.790775, 0.811331, 0.831639, 0.851939, 0.854129, 0.862888, 0.89148, 0.915621, 0.927163, 0.942553, 0.96319, 0.980199, 0.992686, 1.01107, 1.03558, 1.08461, 1.14341");
-            values ( \
-              "-0.004313, -0.199302, -0.227353, -0.288242, -0.305755, -0.329348, -0.343281, -0.353402, -0.364695, -0.362427, -0.339396, -0.335116, -0.3122, -0.211563, -0.139511, -0.112677, -0.0837406, -0.0556042, -0.03925, -0.0303521, -0.020703, -0.0122593, -0.00391511, -0.000920116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.849329, 0.906216, 0.984968, 1.01943, 1.0386, 1.0779, 1.11656, 1.15523, 1.17796, 1.19788, 1.32638, 1.37102, 1.43615, 1.49148, 1.56131, 1.62745");
-            values ( \
-              "-0.037373, -0.195709, -0.358659, -0.40007, -0.413948, -0.428413, -0.427093, -0.412442, -0.395373, -0.37248, -0.151601, -0.102205, -0.0559496, -0.0329116, -0.0166979, -0.00879958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.28688, 1.33673, 1.43306, 1.46184, 1.49521, 1.52178, 1.56349, 1.60187, 1.66089, 1.7207, 1.76173, 1.84303, 1.8845, 1.92873, 1.95151, 2.09292, 2.20242, 2.29783, 2.3622, 2.42048, 2.49819, 2.5639, 2.65284, 2.73938, 2.91245, 2.98453");
-            values ( \
-              "-0.197777, -0.19911, -0.369351, -0.407099, -0.436973, -0.452124, -0.465495, -0.469671, -0.468029, -0.460037, -0.452059, -0.430072, -0.413486, -0.3889, -0.372449, -0.24554, -0.163901, -0.112203, -0.0859851, -0.0673187, -0.0482088, -0.0362412, -0.0245654, -0.0167322, -0.00771988, -0.00598307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.859822, 0.864938, 0.865689, 0.869565, 0.876567, 0.884619, 0.891224, 0.894965, 0.901495, 0.90509, 0.908045, 0.913199, 0.915797, 0.919892, 0.927951, 0.932573, 0.93833, 0.941149, 0.945546, 0.951408, 0.960869, 0.965012");
-            values ( \
-              "-0.0198275, -0.0294168, -0.0292295, -0.0406777, -0.0698355, -0.0923384, -0.106085, -0.11213, -0.119935, -0.122608, -0.123673, -0.122464, -0.117824, -0.1034, -0.0574337, -0.0365626, -0.019613, -0.0142802, -0.00864814, -0.00433498, -0.00143363, -0.00109845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.890568, 0.899874, 0.902257, 0.903448, 0.906793, 0.910137, 0.913247, 0.914801, 0.91791, 0.919465, 0.921943, 0.924422, 0.926724, 0.929027, 0.93133, 0.933632, 0.936983, 0.938987, 0.942176, 0.945269, 0.947264, 0.950157, 0.953109, 0.956061, 0.95743, 0.958799, 0.959712, 0.961537, 0.96253, 0.963522, 0.964515, 0.965507, 0.967425, 0.969344, 0.971262, 0.97318, 0.973772, 0.974956, 0.978507, 0.982058, 0.985924, 0.987889, 0.990836, 0.993131, 0.996346, 0.998884, 1.00142, 1.00396, 1.00632, 1.00823");
-            values ( \
-              "-0.022174, -0.0543163, -0.0634657, -0.06857, -0.0854631, -0.101619, -0.114132, -0.119913, -0.130522, -0.135351, -0.141671, -0.147706, -0.153059, -0.158167, -0.16303, -0.167648, -0.172893, -0.175783, -0.179964, -0.183578, -0.185655, -0.188283, -0.190545, -0.192383, -0.192644, -0.192713, -0.192653, -0.19228, -0.191331, -0.190157, -0.188758, -0.187135, -0.183361, -0.178747, -0.173294, -0.167002, -0.164568, -0.158057, -0.136463, -0.114166, -0.0914368, -0.0810222, -0.0675537, -0.058293, -0.0463459, -0.0387151, -0.0325299, -0.0271477, -0.0229411, -0.0197268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.95001, 0.962901, 0.9806, 0.992591, 1.00198, 1.00845, 1.02824, 1.04235, 1.04634, 1.05424, 1.06608, 1.07001, 1.07407, 1.07934, 1.08984, 1.10264, 1.11486, 1.12186, 1.12987, 1.13826, 1.15113, 1.16829, 1.19461, 1.23257");
-            values ( \
-              "-0.0291609, -0.0730395, -0.15234, -0.18946, -0.210665, -0.222769, -0.255541, -0.273155, -0.276465, -0.279745, -0.270571, -0.261596, -0.247805, -0.223133, -0.167011, -0.108642, -0.0686754, -0.0521886, -0.0377155, -0.0267096, -0.0155689, -0.00733399, -0.00212134, -0.000694727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.05462, 1.11899, 1.12963, 1.15705, 1.17586, 1.19392, 1.21456, 1.22357, 1.23483, 1.24503, 1.25587, 1.27725, 1.29819, 1.321, 1.3484, 1.37114, 1.38864, 1.40706, 1.4212, 1.44947, 1.48283");
-            values ( \
-              "-0.00583075, -0.222314, -0.246019, -0.301944, -0.331942, -0.351737, -0.363532, -0.364498, -0.361257, -0.353287, -0.337307, -0.27457, -0.200292, -0.134625, -0.0799406, -0.0507683, -0.0354226, -0.0242148, -0.017997, -0.00978424, -0.00534909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.2854, 1.31394, 1.37505, 1.41511, 1.45679, 1.49608, 1.53475, 1.57341, 1.59621, 1.61606, 1.74456, 1.78888, 1.85468, 1.90973, 1.97884, 2.04644");
-            values ( \
-              "-0.151353, -0.170538, -0.306983, -0.375848, -0.414165, -0.4284, -0.427178, -0.412381, -0.395309, -0.372481, -0.151599, -0.102504, -0.0557652, -0.0328931, -0.0168074, -0.00866004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.71162, 1.76799, 1.85419, 1.88487, 1.93334, 1.94303, 1.9624, 1.99336, 2.02304, 2.08239, 2.10213, 2.18291, 2.2642, 2.30571, 2.34999, 2.37253, 2.51446, 2.56213, 2.62367, 2.67073, 2.7189, 2.78333, 2.84174, 2.91962, 2.98515, 3.07385, 3.16039, 3.24693, 3.33347, 3.50654, 3.67962");
-            values ( \
-              "-0.21077, -0.21464, -0.369416, -0.409243, -0.447723, -0.452374, -0.459806, -0.466843, -0.469639, -0.467896, -0.465782, -0.452119, -0.430008, -0.413472, -0.388843, -0.372564, -0.245237, -0.206767, -0.16385, -0.136271, -0.112247, -0.0860025, -0.0672961, -0.0481536, -0.0362297, -0.0245845, -0.0167432, -0.011379, -0.00772431, -0.00355072, -0.00163005" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0122829, 0.012297, 0.0123135, 0.0123277, 0.012337, 0.0123421", \
-            "0.0146008, 0.0146087, 0.0146201, 0.0146319, 0.0146406, 0.0146457", \
-            "0.0160441, 0.0160474, 0.0160533, 0.0160611, 0.0160681, 0.0160727", \
-            "0.0169177, 0.0169187, 0.0169209, 0.0169245, 0.016929, 0.0169326", \
-            "0.017392, 0.0173924, 0.0173931, 0.0173944, 0.0173965, 0.0173988", \
-            "0.0176519, 0.0176526, 0.0176536, 0.0176549, 0.0176562, 0.0176577" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0167478, 0.0167602, 0.0167773, 0.0167937, 0.0168052, 0.0168118", \
-            "0.0190102, 0.0190203, 0.0190342, 0.019048, 0.0190581, 0.0190638", \
-            "0.0206867, 0.0207005, 0.0207172, 0.0207325, 0.0207434, 0.0207495", \
-            "0.0218324, 0.0217982, 0.0217566, 0.0217207, 0.0216984, 0.0216869", \
-            "0.0227075, 0.0225836, 0.0224216, 0.0222674, 0.0221575, 0.0220905", \
-            "0.0234943, 0.0233514, 0.0230733, 0.0227252, 0.0224462, 0.0222807" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.118453, 0.132925, 0.160233, 0.21526, 0.335271, 0.603347", \
-            "0.122463, 0.136982, 0.164124, 0.219062, 0.338937, 0.606861", \
-            "0.131511, 0.145993, 0.173167, 0.22812, 0.347887, 0.615656", \
-            "0.152339, 0.16678, 0.193953, 0.249186, 0.368893, 0.636486", \
-            "0.180061, 0.194966, 0.222562, 0.278285, 0.398591, 0.6661", \
-            "0.202492, 0.218463, 0.247063, 0.303016, 0.423212, 0.691661" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.034512, 0.0493605, 0.0821439, 0.157589, 0.331782, 0.725839", \
-            "0.0344121, 0.0492569, 0.0819487, 0.157237, 0.331506, 0.725568", \
-            "0.0343297, 0.0491093, 0.0817806, 0.15701, 0.331201, 0.725187", \
-            "0.0345555, 0.0492767, 0.0818267, 0.156975, 0.331042, 0.724979", \
-            "0.0367838, 0.0513519, 0.0838756, 0.158686, 0.331895, 0.725011", \
-            "0.0423909, 0.056334, 0.0871988, 0.160309, 0.333503, 0.726833" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.122847, 0.137388, 0.163961, 0.212985, 0.309276, 0.514342", \
-            "0.128738, 0.143291, 0.169918, 0.218777, 0.315028, 0.520014", \
-            "0.142029, 0.156629, 0.183286, 0.232237, 0.328349, 0.533285", \
-            "0.169168, 0.183967, 0.210752, 0.26002, 0.356175, 0.561178", \
-            "0.214078, 0.229053, 0.257466, 0.309727, 0.408002, 0.613455", \
-            "0.287723, 0.304206, 0.333566, 0.387712, 0.491022, 0.701285" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0306543, 0.04416, 0.0703326, 0.12352, 0.240858, 0.512786", \
-            "0.0306396, 0.0441343, 0.0703205, 0.123508, 0.240847, 0.512761", \
-            "0.0305996, 0.0440883, 0.0702872, 0.123397, 0.240825, 0.512781", \
-            "0.0307378, 0.0441468, 0.0703376, 0.123458, 0.240874, 0.512761", \
-            "0.0323245, 0.0461236, 0.0742437, 0.128256, 0.243495, 0.513357", \
-            "0.0382087, 0.0513655, 0.0778266, 0.133822, 0.253403, 0.51959" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0897494, 0.0978288, 0.105198, 0.112916, 0.11912, 0.124679, 0.129955, 0.135188, 0.140417, 0.14996, 0.159082, 0.168713, 0.176665, 0.182762, 0.190687, 0.209815, 0.212479");
-            values ( \
-              "0.0255631, 0.0371865, 0.0712422, 0.0980576, 0.113336, 0.122426, 0.125948, 0.124762, 0.114241, 0.0689271, 0.0395245, 0.021391, 0.0127288, 0.00852099, 0.00516845, 0.0016455, 0.00150298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0, 0.00334245, 0.0234979, 0.0786044, 0.0926778, 0.120795, 0.131787, 0.144339, 0.156849, 0.184626, 0.205435, 0.219285, 0.235936, 0.241588");
-            values ( \
-              "0.000349198, 0.00855515, 1e-22, 2e-22, 0.0256706, 0.163469, 0.190029, 0.198133, 0.179445, 0.0689759, 0.0280322, 0.0148578, 0.0069618, 0.00613542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.000615536, 0.0020968, 0.00972774, 0.0236793, 0.0242454, 0.028314, 0.0356974, 0.0544583, 0.079128, 0.0967196, 0.117614, 0.125175, 0.135109, 0.147973, 0.160184, 0.172395, 0.185152, 0.233559, 0.258225, 0.278775, 0.302139, 0.328783, 0.336305");
-            values ( \
-              "1e-22, 0.00907465, 0.0117393, 0.00597811, 1e-22, 0.000380418, 0.00430664, 1e-22, 2e-22, 0.045105, 0.177867, 0.212934, 0.245081, 0.267252, 0.272099, 0.266538, 0.249454, 0.11001, 0.0615737, 0.0364779, 0.0195854, 0.00948592, 0.00811858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0956108, 0.115941, 0.125254, 0.134187, 0.148495, 0.158464, 0.176558, 0.189626, 0.203407, 0.226015, 0.251847, 0.277423, 0.316207, 0.345914, 0.382366, 0.403478, 0.431607, 0.448232, 0.477154, 0.510017, 0.536195, 0.58855, 0.623306");
-            values ( \
-              "0.113924, 0.188707, 0.23996, 0.275797, 0.311935, 0.324241, 0.332195, 0.329744, 0.323213, 0.307674, 0.280976, 0.242589, 0.174435, 0.128501, 0.0843194, 0.064841, 0.0450612, 0.0361039, 0.0242737, 0.0154079, 0.0106462, 0.00491344, 0.00338167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0951695, 0.115085, 0.135034, 0.150233, 0.160096, 0.177648, 0.191726, 0.205093, 0.227315, 0.250144, 0.292166, 0.326183, 0.346667, 0.387634, 0.425348, 0.460752, 0.548539, 0.588236, 0.63788, 0.689261, 0.717738, 0.75463, 0.778367, 0.810015, 0.868374, 0.902977, 0.955132, 1.01979, 1.10171, 1.26557, 1.3475");
-            values ( \
-              "0.139646, 0.192564, 0.297049, 0.339695, 0.354982, 0.369065, 0.372316, 0.372057, 0.367855, 0.360976, 0.345783, 0.331828, 0.322844, 0.30182, 0.277167, 0.249423, 0.175554, 0.145075, 0.111798, 0.0835024, 0.0706367, 0.0562828, 0.0485493, 0.0398351, 0.0271376, 0.021621, 0.0152858, 0.00996448, 0.00557477, 0.00175263, 0.00108662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.11035, 0.180264, 0.211133, 0.272114, 0.36957, 0.576679, 0.690714, 0.814084, 1.18447, 1.40066, 1.53805, 1.76811, 1.99441, 2.23316");
-            values ( \
-              "0.322889, 0.389048, 0.397127, 0.392503, 0.376521, 0.337803, 0.311949, 0.274142, 0.135457, 0.0775483, 0.052715, 0.0267106, 0.013583, 0.00781269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.107627, 0.115959, 0.123244, 0.130932, 0.137115, 0.142656, 0.147916, 0.153132, 0.158345, 0.167859, 0.176981, 0.186599, 0.194525, 0.200613, 0.208518, 0.227596, 0.230121");
-            values ( \
-              "0.0234484, 0.0377501, 0.0715107, 0.0983368, 0.113765, 0.122758, 0.126409, 0.125083, 0.114593, 0.0690941, 0.0395865, 0.021424, 0.0127582, 0.00854399, 0.00518607, 0.00165467, 0.00151805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("1.81705e-05, 0.00468567, 0.0255166, 0.0509896, 0.0517943, 0.0956527, 0.112897, 0.139002, 0.147401, 0.155061, 0.162506, 0.174954, 0.202682, 0.223438, 0.237277, 0.253922, 0.254333");
-            values ( \
-              "1e-22, 0.00382646, 0.000656729, 0.00278434, 1e-22, 2e-22, 0.0328823, 0.163183, 0.186659, 0.196176, 0.199221, 0.179993, 0.069128, 0.0281278, 0.0149056, 0.00697285, 0.00691233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.110116, 0.122913, 0.127487, 0.137522, 0.143783, 0.152426, 0.165239, 0.177415, 0.189592, 0.202319, 0.209656, 0.216466, 0.238224, 0.253458, 0.262612, 0.273368, 0.285391, 0.290611, 0.298996, 0.307478, 0.319331, 0.33275, 0.350643, 0.386428, 0.431142");
-            values ( \
-              "0.0684479, 0.103564, 0.134951, 0.191669, 0.218783, 0.246057, 0.267901, 0.272975, 0.267123, 0.250057, 0.233076, 0.212953, 0.143529, 0.103374, 0.0837641, 0.0646325, 0.0477485, 0.041781, 0.0336025, 0.0268598, 0.0195347, 0.0135998, 0.00829958, 0.00286952, 0.000719904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.109077, 0.123542, 0.137061, 0.146945, 0.152152, 0.162566, 0.176369, 0.193783, 0.19874, 0.208654, 0.221178, 0.244528, 0.255455, 0.267943, 0.291517, 0.340207, 0.353134, 0.378987, 0.400291, 0.415097, 0.441324, 0.453054, 0.471102, 0.496874, 0.530256, 0.574766, 0.651342, 0.733268");
-            values ( \
-              "0.0868519, 0.117176, 0.208103, 0.257149, 0.276858, 0.305021, 0.325371, 0.332864, 0.332603, 0.329982, 0.324088, 0.307484, 0.297303, 0.283375, 0.248586, 0.163843, 0.143638, 0.107826, 0.0839002, 0.069887, 0.0499083, 0.0427606, 0.0335735, 0.0235585, 0.0148062, 0.00777229, 0.00230718, 0.000620086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.10923, 0.133438, 0.145144, 0.153304, 0.16804, 0.178287, 0.196146, 0.210008, 0.223175, 0.245346, 0.268139, 0.314968, 0.336227, 0.364472, 0.388166, 0.417985, 0.472595, 0.550351, 0.582808, 0.617248, 0.660934, 0.694421, 0.739072, 0.771589, 0.797461, 0.831957, 0.893011, 0.920916, 0.96252, 1.01799, 1.09992, 1.18185, 1.26377, 1.42763");
-            values ( \
-              "0.0793425, 0.193919, 0.263187, 0.29797, 0.339803, 0.355852, 0.370229, 0.373148, 0.372971, 0.368496, 0.361679, 0.3443, 0.335582, 0.323136, 0.311528, 0.294488, 0.254608, 0.188737, 0.162644, 0.137129, 0.108585, 0.0899049, 0.0690427, 0.0565867, 0.0481721, 0.0387083, 0.0259601, 0.0216213, 0.0164201, 0.0113112, 0.00643297, 0.00365689, 0.00206723, 0.000662119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.124273, 0.156435, 0.16857, 0.181983, 0.199997, 0.21519, 0.229449, 0.256369, 0.289546, 0.388082, 0.487692, 0.59634, 0.688235, 0.750043, 0.83197, 1.07898, 1.20233, 1.30492, 1.34623, 1.41854, 1.50047, 1.5559, 1.63395, 1.70789, 1.78982, 1.9305, 2.01242, 2.09435, 2.17628, 2.34013, 2.58591, 2.83169");
-            values ( \
-              "0.278513, 0.317787, 0.352709, 0.375023, 0.390978, 0.395898, 0.398008, 0.396943, 0.393197, 0.376765, 0.358694, 0.337572, 0.317134, 0.300363, 0.274169, 0.178856, 0.135501, 0.104981, 0.0944801, 0.0775814, 0.0619521, 0.0527587, 0.042074, 0.0339585, 0.0264454, 0.0172413, 0.0135352, 0.0103973, 0.00818883, 0.00494958, 0.00221356, 0.00111535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.146077, 0.152131, 0.168057, 0.176416, 0.182521, 0.186696, 0.189922, 0.192967, 0.198177, 0.207654, 0.216213, 0.226427, 0.234332, 0.248339, 0.267455, 0.268641");
-            values ( \
-              "0.0177422, 0.0255885, 0.0895655, 0.112735, 0.12284, 0.126156, 0.126611, 0.125099, 0.114682, 0.0692716, 0.0410887, 0.0214195, 0.0127753, 0.00518435, 0.00164998, 0.00162559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.142528, 0.154523, 0.168732, 0.178954, 0.187311, 0.194942, 0.202365, 0.210025, 0.214997, 0.232061, 0.242728, 0.254093, 0.267374, 0.280818, 0.29692, 0.31479");
-            values ( \
-              "0.00596886, 0.0430307, 0.123144, 0.16439, 0.18749, 0.196822, 0.199725, 0.192116, 0.179603, 0.104526, 0.0685373, 0.0422253, 0.0232967, 0.0125354, 0.0060069, 0.0026429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.142183, 0.158479, 0.167756, 0.174299, 0.177641, 0.184326, 0.192444, 0.205212, 0.217357, 0.229501, 0.242211, 0.249623, 0.278212, 0.283476, 0.294004, 0.302282, 0.311178, 0.323038, 0.331285, 0.335932, 0.345198, 0.358049, 0.363505, 0.374418, 0.396244, 0.435004, 0.480903");
-            values ( \
-              "0.00554017, 0.0752985, 0.137798, 0.176585, 0.193439, 0.222135, 0.247051, 0.268761, 0.27353, 0.267726, 0.250457, 0.23318, 0.14326, 0.12838, 0.101805, 0.0841434, 0.0679622, 0.0504896, 0.0408964, 0.0362515, 0.0283924, 0.0201047, 0.0173631, 0.0129112, 0.00701498, 0.00217754, 0.000521685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.15398, 0.16963, 0.177433, 0.187288, 0.192352, 0.20248, 0.216459, 0.233467, 0.238772, 0.249383, 0.26117, 0.283709, 0.295317, 0.307698, 0.319821, 0.333675, 0.376015, 0.405736, 0.429162, 0.453888, 0.474781, 0.493857, 0.519291, 0.539044, 0.56086, 0.589948, 0.648125, 0.683278");
-            values ( \
-              "0.12234, 0.162465, 0.212042, 0.260088, 0.278871, 0.305948, 0.326338, 0.333549, 0.333251, 0.330313, 0.324627, 0.308595, 0.297793, 0.283941, 0.2673, 0.244996, 0.170698, 0.125257, 0.0957965, 0.0708565, 0.0542345, 0.042228, 0.0299281, 0.0228119, 0.0168619, 0.0111753, 0.0046802, 0.00320195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.153543, 0.173762, 0.186282, 0.193293, 0.207313, 0.218179, 0.236323, 0.249989, 0.263029, 0.28514, 0.307882, 0.375967, 0.426766, 0.457566, 0.512083, 0.622044, 0.656567, 0.700339, 0.733994, 0.778869, 0.811108, 0.836773, 0.870992, 0.932279, 0.960288, 1.00219, 1.05807, 1.14, 1.22192, 1.30385, 1.4677");
-            values ( \
-              "0.127869, 0.199334, 0.27077, 0.299785, 0.339426, 0.356806, 0.370996, 0.373883, 0.373492, 0.369102, 0.362108, 0.335914, 0.312332, 0.294726, 0.254799, 0.162908, 0.137289, 0.108657, 0.0898719, 0.0689114, 0.0565734, 0.0482209, 0.038813, 0.0259899, 0.0216305, 0.0163933, 0.0112587, 0.00640359, 0.00363817, 0.00205777, 0.0006594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.166775, 0.221907, 0.23985, 0.269236, 0.329311, 0.426975, 0.633015, 0.750183, 0.850056, 1.24541, 1.44777, 1.59877, 1.76621, 2.03089, 2.29315");
-            values ( \
-              "0.298349, 0.376919, 0.392022, 0.39868, 0.393629, 0.377176, 0.33826, 0.311524, 0.281539, 0.134336, 0.0797883, 0.0522468, 0.0320598, 0.0143967, 0.00707428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.228128, 0.240041, 0.253783, 0.259802, 0.266059, 0.271635, 0.276912, 0.282138, 0.28736, 0.296919, 0.305994, 0.315599, 0.323576, 0.329657, 0.337519, 0.35135");
-            values ( \
-              "0.0022062, 0.0207488, 0.0760379, 0.0968133, 0.112767, 0.122346, 0.125987, 0.125056, 0.114362, 0.0688838, 0.0395882, 0.0214419, 0.0127311, 0.00852912, 0.00519225, 0.00262272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.228119, 0.242323, 0.255786, 0.260666, 0.267766, 0.276196, 0.283864, 0.291309, 0.29882, 0.301175, 0.303687, 0.306898, 0.31332, 0.320299, 0.324635, 0.33141, 0.337704, 0.341958, 0.347508, 0.352099, 0.357829, 0.365999, 0.369894, 0.375454, 0.382867, 0.397694, 0.415913");
-            values ( \
-              "0.0044346, 0.0369628, 0.110781, 0.134806, 0.162893, 0.185997, 0.196429, 0.198971, 0.192232, 0.187342, 0.180309, 0.168154, 0.137946, 0.107466, 0.0909751, 0.069329, 0.0533519, 0.0444091, 0.0347349, 0.0282826, 0.0217999, 0.0149387, 0.0125061, 0.00970509, 0.0069273, 0.0034862, 0.00173023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.228196, 0.246412, 0.261078, 0.275555, 0.281174, 0.292412, 0.297057, 0.306112, 0.311836, 0.318267, 0.330498, 0.337644, 0.345104, 0.36984, 0.388378, 0.4081, 0.417554, 0.429615, 0.450277, 0.463057, 0.477664, 0.506876, 0.524579");
-            values ( \
-              "0.0028588, 0.0694552, 0.162847, 0.229502, 0.246002, 0.266406, 0.270446, 0.272933, 0.271378, 0.267137, 0.251203, 0.235017, 0.213176, 0.135075, 0.089556, 0.0554612, 0.043619, 0.0318596, 0.0182878, 0.0129552, 0.0086733, 0.00375222, 0.00261408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.228638, 0.252528, 0.266329, 0.274885, 0.281439, 0.294546, 0.305601, 0.322886, 0.327942, 0.338054, 0.350335, 0.372861, 0.38453, 0.396977, 0.408205, 0.421038, 0.469437, 0.482854, 0.508594, 0.528389, 0.542059, 0.56384, 0.582889, 0.608289, 0.628066, 0.649901, 0.679014, 0.73724, 0.818031, 0.899958");
-            values ( \
-              "0.0121054, 0.111411, 0.206889, 0.251108, 0.276989, 0.311085, 0.325968, 0.333537, 0.333276, 0.330578, 0.324731, 0.308727, 0.297851, 0.283885, 0.268523, 0.248097, 0.16359, 0.142645, 0.107096, 0.0848204, 0.0716778, 0.05424, 0.0422461, 0.0299566, 0.0228227, 0.0168654, 0.0111725, 0.00467432, 0.00126316, 0.000344206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.248751, 0.270453, 0.285768, 0.29274, 0.306015, 0.315143, 0.325576, 0.346441, 0.363625, 0.386536, 0.417999, 0.491088, 0.523095, 0.546667, 0.59381, 0.69684, 0.776977, 0.825437, 0.857119, 0.909773, 0.97133, 1.0258, 1.08156, 1.12413, 1.20606, 1.28799, 1.36991, 1.53377");
-            values ( \
-              "0.228462, 0.240477, 0.308526, 0.328852, 0.354058, 0.363851, 0.37022, 0.374037, 0.371709, 0.365637, 0.35472, 0.324591, 0.30862, 0.294807, 0.260779, 0.174115, 0.116159, 0.0885804, 0.0735563, 0.0533093, 0.0360876, 0.0252411, 0.0174883, 0.0131701, 0.00749284, 0.00426563, 0.00240685, 0.000768506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.254115, 0.288719, 0.31052, 0.327818, 0.357937, 0.417064, 0.499259, 0.693177, 0.842524, 0.938669, 1.33378, 1.53632, 1.68711, 1.85432, 2.11957, 2.40403");
-            values ( \
-              "0.295096, 0.329077, 0.375066, 0.390969, 0.398659, 0.393936, 0.380218, 0.344112, 0.310618, 0.281573, 0.134376, 0.079767, 0.0522589, 0.0320892, 0.0143846, 0.00644874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.393766, 0.411841, 0.413699, 0.420358, 0.436489, 0.443398, 0.449436, 0.455081, 0.460617, 0.466146, 0.478577, 0.485203, 0.495242, 0.506798, 0.520158, 0.531315, 0.540363");
-            values ( \
-              "0.000167292, 0.0143416, 0.0173959, 0.0337806, 0.0865162, 0.103361, 0.11355, 0.118504, 0.118599, 0.110147, 0.0575517, 0.0388015, 0.0207348, 0.00982946, 0.00428512, 0.00221094, 0.00138797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.408296, 0.420411, 0.438174, 0.445174, 0.454209, 0.462326, 0.470099, 0.477872, 0.485671, 0.494043, 0.501537, 0.509106, 0.514371, 0.523193, 0.528552, 0.534976, 0.543542, 0.552297, 0.55503, 0.563919, 0.571948, 0.584813, 0.604712, 0.640253");
-            values ( \
-              "0.0322341, 0.0454556, 0.124553, 0.150422, 0.174738, 0.187078, 0.190961, 0.18627, 0.167562, 0.130254, 0.0994131, 0.0743539, 0.0600818, 0.0413044, 0.0326813, 0.0245385, 0.0166741, 0.0106417, 0.00908634, 0.00614983, 0.0044495, 0.00263459, 0.0011797, 0.000238704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.000620156, 0.162126, 0.275856, 0.38732, 0.416154, 0.443607, 0.459755, 0.473205, 0.485799, 0.498313, 0.512175, 0.565247, 0.583758, 0.606185, 0.629571, 0.654109, 0.657127");
-            values ( \
-              "1e-22, 4.13142e-05, 0.00121443, 1e-22, 0.0350826, 0.171835, 0.232008, 0.257622, 0.265154, 0.261332, 0.244332, 0.0976959, 0.0633874, 0.0359744, 0.019336, 0.00994831, 0.00935891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.406096, 0.427357, 0.442781, 0.449073, 0.458954, 0.473593, 0.484078, 0.502457, 0.506972, 0.516001, 0.529765, 0.550185, 0.577365, 0.594238, 0.651488, 0.662998, 0.686018, 0.702607, 0.725955, 0.745176, 0.761103, 0.779526, 0.80558, 0.822235, 0.853212, 0.894515, 0.971599, 1.05353");
-            values ( \
-              "0.0418271, 0.0969809, 0.189618, 0.222125, 0.262789, 0.301755, 0.31663, 0.326663, 0.326672, 0.325172, 0.319584, 0.306478, 0.28179, 0.258699, 0.160627, 0.142586, 0.110706, 0.091135, 0.0683933, 0.0535615, 0.043484, 0.0338571, 0.0237724, 0.0187854, 0.0121558, 0.0067781, 0.00191419, 0.000585501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.40556, 0.437847, 0.459763, 0.473622, 0.485803, 0.503629, 0.518038, 0.531521, 0.576971, 0.604771, 0.66223, 0.69586, 0.726821, 0.781322, 0.891221, 0.969532, 1.04812, 1.10592, 1.14009, 1.2014, 1.27137, 1.32729, 1.40922, 1.49114, 1.51548");
-            values ( \
-              "0.0413648, 0.163564, 0.280531, 0.323799, 0.346294, 0.362991, 0.367471, 0.367906, 0.359606, 0.351373, 0.328557, 0.312494, 0.294782, 0.254883, 0.162978, 0.108686, 0.068903, 0.0482387, 0.0388391, 0.0260014, 0.0163945, 0.0112537, 0.00640108, 0.00363527, 0.00334064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.433294, 0.478776, 0.489464, 0.504953, 0.522023, 0.537789, 0.578306, 0.597609, 0.632344, 0.81191, 0.941131, 1.02306, 1.1192, 1.19128, 1.38922, 1.51427, 1.59581, 1.64768, 1.71684, 1.79877, 1.85058, 1.96972, 2.03476, 2.15052, 2.3001, 2.46396, 2.62781, 2.87359, 3.11937");
-            values ( \
-              "0.300692, 0.346752, 0.365729, 0.381579, 0.390051, 0.392662, 0.393666, 0.392504, 0.387871, 0.356, 0.330051, 0.310558, 0.281517, 0.25536, 0.178285, 0.134447, 0.109884, 0.096088, 0.0798186, 0.0636094, 0.0549256, 0.0388588, 0.0320585, 0.0226409, 0.0143493, 0.00865977, 0.0052108, 0.00242041, 0.00112988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.73909, 0.754328, 0.762839, 0.768985, 0.783587, 0.79661, 0.803883, 0.810476, 0.816754, 0.823032, 0.826694, 0.836564, 0.843692, 0.848671, 0.85306, 0.861492, 0.867972, 0.876602, 0.883582, 0.897542, 0.906948");
-            values ( \
-              "0.00781382, 0.00939747, 0.0175588, 0.0275428, 0.0601077, 0.0845505, 0.0957271, 0.103053, 0.105788, 0.101145, 0.0899291, 0.0532357, 0.035521, 0.0264192, 0.0202069, 0.01197, 0.00797374, 0.00476521, 0.00319138, 0.00150454, 0.0010829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0, 0.707155, 0.749015, 0.756398, 0.770179, 0.808369, 0.817618, 0.826173, 0.834562, 0.842939, 0.869069, 0.884896, 0.898654, 0.908229, 0.926753, 0.934502");
-            values ( \
-              "1e-22, 2e-22, 0.00919246, 0.0163954, 0.0418143, 0.150436, 0.167447, 0.175606, 0.175148, 0.160443, 0.066692, 0.0345314, 0.0187893, 0.0122407, 0.00532735, 0.00414315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.000156497, 0.331811, 0.577592, 0.608436, 0.707679, 0.755541, 0.759693, 0.773955, 0.81402, 0.828829, 0.8422, 0.855231, 0.868237, 0.876924, 0.906237, 0.925805, 0.938905, 0.958914, 0.973116, 0.985753, 1.00123, 1.02187, 1.0454");
-            values ( \
-              "1e-22, 1.98924e-05, 0.000524437, 9.47964e-05, 1e-22, 0.0198066, 0.0260701, 0.0615693, 0.205388, 0.239226, 0.2527, 0.253619, 0.241957, 0.225125, 0.138806, 0.090914, 0.0668881, 0.0405683, 0.0280429, 0.0200292, 0.0132275, 0.00748543, 0.00432229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.755539, 0.783237, 0.808353, 0.82268, 0.840438, 0.850682, 0.864064, 0.885271, 0.898937, 0.910983, 0.935011, 0.953627, 1.0118, 1.05021, 1.08499, 1.11851, 1.13712, 1.16323, 1.19024, 1.22588, 1.29464");
-            values ( \
-              "0.0651666, 0.104368, 0.21257, 0.263057, 0.301446, 0.312814, 0.319533, 0.317894, 0.311216, 0.303166, 0.280511, 0.254774, 0.156319, 0.102945, 0.0676927, 0.0439526, 0.0342908, 0.023995, 0.0165427, 0.00969115, 0.00386059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.755671, 0.78735, 0.813695, 0.823105, 0.837584, 0.85022, 0.867068, 0.888545, 0.911372, 0.934134, 0.967632, 1.00538, 1.03121, 1.08183, 1.10329, 1.12311, 1.14587, 1.22243, 1.25175, 1.29711, 1.33966, 1.38287, 1.42002, 1.45837, 1.47794, 1.51038, 1.56616, 1.58894, 1.6345, 1.71643, 1.79835, 1.88028, 2.04414");
-            values ( \
-              "0.08202, 0.126819, 0.24854, 0.283694, 0.322574, 0.343684, 0.359183, 0.365464, 0.363833, 0.358145, 0.347079, 0.332398, 0.321225, 0.295165, 0.281173, 0.266699, 0.248622, 0.18376, 0.161123, 0.128478, 0.101991, 0.0795351, 0.0637599, 0.0502163, 0.0444862, 0.036086, 0.02512, 0.0215538, 0.0159868, 0.00906508, 0.00521391, 0.00289522, 0.000903783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.755658, 0.807517, 0.827343, 0.843357, 0.854662, 0.867582, 0.887608, 0.894189, 0.907351, 0.933675, 0.970853, 1.02588, 1.273, 1.34274, 1.417, 1.49893, 1.55007, 1.74585, 1.80419, 1.86912, 1.95105, 2.01321, 2.08545, 2.16738, 2.22265, 2.30154, 2.37515, 2.45708, 2.51529, 2.59722, 2.67915, 2.76107, 2.843, 3.00686, 3.25264, 3.49842");
-            values ( \
-              "0.0597666, 0.226209, 0.306557, 0.347597, 0.365531, 0.378753, 0.389259, 0.390811, 0.392467, 0.39216, 0.387964, 0.379282, 0.335363, 0.320157, 0.300654, 0.274162, 0.255264, 0.179043, 0.157756, 0.135677, 0.11085, 0.0943714, 0.0776802, 0.0618543, 0.0528488, 0.042053, 0.0338481, 0.0264782, 0.0222233, 0.0173105, 0.0134732, 0.0104619, 0.00812708, 0.004889, 0.00227072, 0.00105988" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0127135, 0.0127405, 0.0127719, 0.0127981, 0.0128146, 0.0128235", \
-            "0.015882, 0.0159022, 0.0159316, 0.0159622, 0.0159852, 0.0159984", \
-            "0.0179225, 0.0179287, 0.0179422, 0.0179623, 0.0179821, 0.0179957", \
-            "0.0192096, 0.0192083, 0.019208, 0.0192119, 0.0192202, 0.0192287", \
-            "0.0200948, 0.0200935, 0.0200912, 0.0201048, 0.0201046, 0.0201069", \
-            "0.0207491, 0.0207483, 0.0207468, 0.0207442, 0.020741, 0.0207388" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0188584, 0.0188765, 0.0189113, 0.0189535, 0.0189878, 0.0190085", \
-            "0.0197965, 0.0197806, 0.0197716, 0.0197793, 0.019797, 0.019813", \
-            "0.0196325, 0.0196143, 0.0195847, 0.019556, 0.019542, 0.0195402", \
-            "0.0193291, 0.0193255, 0.019314, 0.0192892, 0.0192601, 0.0192409", \
-            "0.019787, 0.0198228, 0.0198468, 0.0198407, 0.0198182, 0.0197985", \
-            "0.019819, 0.0198143, 0.0198125, 0.0199751, 0.0200802, 0.0201188" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.100512, 0.104251, 0.107442, 0.112041, 0.118686, 0.124365, 0.129567, 0.1345, 0.13931, 0.144116, 0.148946, 0.156923, 0.16219, 0.166537, 0.169859, 0.173939, 0.17719, 0.183692, 0.188499");
-            values ( \
-              "-0.0371718, -0.0479255, -0.0611199, -0.0873531, -0.108886, -0.121974, -0.130143, -0.135391, -0.137418, -0.134492, -0.117998, -0.0655426, -0.0382534, -0.0234671, -0.0158973, -0.00982451, -0.00661984, -0.00301139, -0.00198735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.100192, 0.107083, 0.11148, 0.119022, 0.126264, 0.13429, 0.141753, 0.148863, 0.155811, 0.162754, 0.166655, 0.170438, 0.181174, 0.188031, 0.194586, 0.202507, 0.21006, 0.218691, 0.232904, 0.235926");
-            values ( \
-              "-0.000455992, -0.0849179, -0.115963, -0.151778, -0.174227, -0.191338, -0.202709, -0.210177, -0.213062, -0.206435, -0.194091, -0.174402, -0.100858, -0.0645734, -0.0406827, -0.0225496, -0.0126786, -0.0063972, -0.00195912, -0.00182269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.101435, 0.110855, 0.114757, 0.122083, 0.13173, 0.13996, 0.151418, 0.164283, 0.173783, 0.178937, 0.186445, 0.197415, 0.201713, 0.205653, 0.230892, 0.244521, 0.255675, 0.264422, 0.273828, 0.281089, 0.295609, 0.304563");
-            values ( \
-              "-0.00653107, -0.13346, -0.162069, -0.197835, -0.229166, -0.248754, -0.270728, -0.289181, -0.297977, -0.300449, -0.300028, -0.285246, -0.272443, -0.255475, -0.119828, -0.0715053, -0.0456788, -0.031744, -0.0214071, -0.0157195, -0.00831677, -0.00627165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.100723, 0.115621, 0.121451, 0.132142, 0.151184, 0.164288, 0.1797, 0.19566, 0.205216, 0.224486, 0.243755, 0.26311, 0.269917, 0.277696, 0.307142, 0.325421, 0.342624, 0.355113, 0.365456, 0.377743, 0.395122, 0.413396, 0.427424, 0.455481, 0.506351, 0.566684");
-            values ( \
-              "-0.0146926, -0.183618, -0.216788, -0.257285, -0.306627, -0.332932, -0.35705, -0.373915, -0.380352, -0.385738, -0.378072, -0.350278, -0.33252, -0.306296, -0.196982, -0.142399, -0.102919, -0.080567, -0.0655586, -0.0510766, -0.0356316, -0.024368, -0.0181281, -0.00986261, -0.00295266, -0.000651627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.112763, 0.141848, 0.166744, 0.182841, 0.201509, 0.22289, 0.246702, 0.283707, 0.320707, 0.357839, 0.375151, 0.393617, 0.412813, 0.47209, 0.523054, 0.577285, 0.627429, 0.687081, 0.743176, 0.807285, 0.86508");
-            values ( \
-              "-0.267665, -0.300832, -0.361212, -0.390275, -0.414488, -0.432914, -0.44469, -0.44948, -0.44257, -0.423618, -0.409557, -0.388391, -0.357542, -0.240041, -0.157763, -0.097327, -0.0610699, -0.0344564, -0.0199618, -0.0104564, -0.00598719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.112966, 0.138106, 0.170622, 0.18771, 0.214994, 0.239956, 0.268078, 0.293755, 0.332196, 0.369928, 0.446776, 0.525776, 0.608346, 0.639594, 0.697698, 0.764643, 0.839534, 0.87951, 0.946455, 1.00765, 1.04542, 1.11237, 1.16898, 1.2056, 1.25581, 1.32275, 1.40918, 1.47612, 1.54307, 1.61001, 1.7439, 1.8778, 2.07863");
-            values ( \
-              "-0.292785, -0.297164, -0.381844, -0.412147, -0.446513, -0.466668, -0.478963, -0.485521, -0.487948, -0.486933, -0.47713, -0.461121, -0.436448, -0.423002, -0.388729, -0.329583, -0.259025, -0.224936, -0.174787, -0.137463, -0.118081, -0.0893906, -0.0704531, -0.0602957, -0.0485407, -0.0362712, -0.0248253, -0.0184322, -0.0137047, -0.0101462, -0.00556835, -0.00304986, -0.0012491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.118929, 0.123991, 0.130247, 0.134825, 0.144092, 0.149288, 0.15422, 0.159027, 0.16383, 0.168659, 0.176679, 0.181936, 0.186198, 0.189537, 0.193695, 0.197018, 0.203663, 0.213669, 0.225225");
-            values ( \
-              "-0.00621326, -0.0456508, -0.0816062, -0.0986664, -0.122199, -0.130074, -0.135571, -0.1374, -0.13463, -0.117986, -0.0652699, -0.0381221, -0.0235992, -0.0159596, -0.00976363, -0.00652792, -0.002908, -0.000864213, -0.000753436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.118808, 0.131344, 0.136967, 0.142354, 0.152426, 0.161606, 0.168719, 0.175662, 0.182602, 0.186549, 0.190284, 0.201019, 0.207872, 0.214433, 0.222353, 0.229891, 0.238506, 0.252727, 0.256205");
-            values ( \
-              "-0.00176272, -0.115392, -0.14405, -0.163741, -0.188404, -0.202789, -0.210275, -0.213153, -0.206486, -0.193946, -0.174438, -0.100865, -0.0645897, -0.0406727, -0.022544, -0.0126934, -0.00640888, -0.00196414, -0.00180692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.118162, 0.142175, 0.145623, 0.158186, 0.170065, 0.177325, 0.184183, 0.195373, 0.206366, 0.217353, 0.221262, 0.225367, 0.250656, 0.264342, 0.275686, 0.284388, 0.293624, 0.300734, 0.314953, 0.325063");
-            values ( \
-              "-0.0173291, -0.198096, -0.210833, -0.245215, -0.268703, -0.280345, -0.289404, -0.299115, -0.300332, -0.285182, -0.27381, -0.256482, -0.120461, -0.0717452, -0.0454821, -0.0316648, -0.0215074, -0.015896, -0.00853015, -0.00615872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.118406, 0.14197, 0.152012, 0.170069, 0.191842, 0.205174, 0.222953, 0.236694, 0.244228, 0.259297, 0.263457, 0.271776, 0.283724, 0.304319, 0.320233, 0.342059, 0.354563, 0.368853, 0.389243, 0.400565, 0.422806, 0.435419, 0.460644, 0.505647, 0.560527");
-            values ( \
-              "-0.0158437, -0.221133, -0.258292, -0.305065, -0.346316, -0.36404, -0.379304, -0.384822, -0.385529, -0.380937, -0.377763, -0.36915, -0.347913, -0.280527, -0.220286, -0.150936, -0.119694, -0.0907927, -0.0605199, -0.0480484, -0.0302954, -0.0232676, -0.0135268, -0.00481983, -0.00124946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.131818, 0.152537, 0.174199, 0.1865, 0.202837, 0.228374, 0.241886, 0.266434, 0.303436, 0.340432, 0.377564, 0.394905, 0.413401, 0.432427, 0.492487, 0.528437, 0.567423, 0.595433, 0.629547, 0.648901, 0.687609, 0.715122, 0.757487, 0.813973, 0.880918, 1.01481");
-            values ( \
-              "-0.272053, -0.273607, -0.334568, -0.361438, -0.390925, -0.421996, -0.432448, -0.444806, -0.44945, -0.442632, -0.423568, -0.409533, -0.38831, -0.357746, -0.238797, -0.178204, -0.127176, -0.0987538, -0.0720447, -0.0600744, -0.0414182, -0.0317819, -0.0210503, -0.0119681, -0.00604838, -0.0015347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.140541, 0.190527, 0.207837, 0.227912, 0.24886, 0.276792, 0.313667, 0.352258, 0.389803, 0.446929, 0.505391, 0.54565, 0.612595, 0.659462, 0.71757, 0.784515, 0.859403, 0.903316, 0.970261, 1.00569, 1.05773, 1.12468, 1.19828, 1.25, 1.31695, 1.39322, 1.43919, 1.50613, 1.57308, 1.70697, 1.84086, 2.04169");
-            values ( \
-              "-0.359814, -0.381937, -0.412908, -0.439915, -0.459091, -0.474992, -0.48557, -0.488178, -0.486843, -0.479964, -0.469666, -0.460999, -0.44176, -0.423105, -0.388636, -0.32967, -0.259083, -0.22168, -0.172195, -0.149968, -0.121738, -0.092283, -0.0676916, -0.0542411, -0.0405363, -0.0290694, -0.023736, -0.0176505, -0.0130919, -0.00719453, -0.00394502, -0.0016086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.164588, 0.165994, 0.168092, 0.173496, 0.182586, 0.18826, 0.193454, 0.198378, 0.203176, 0.207972, 0.21279, 0.226612, 0.233396, 0.23836, 0.242462, 0.243289");
-            values ( \
-              "-0.0380442, -0.0420967, -0.0437037, -0.0764461, -0.108932, -0.122095, -0.1304, -0.135658, -0.137785, -0.134754, -0.11827, -0.0358386, -0.01647, -0.00916638, -0.00550914, -0.00516026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.166327, 0.171096, 0.175527, 0.178283, 0.186511, 0.196693, 0.201698, 0.212898, 0.219833, 0.226765, 0.230797, 0.234443, 0.245171, 0.252017, 0.258587, 0.266502, 0.274015, 0.282601, 0.296805, 0.299911");
-            values ( \
-              "-0.0390085, -0.0842769, -0.11511, -0.131044, -0.163562, -0.188766, -0.197341, -0.210602, -0.213345, -0.206721, -0.19373, -0.174541, -0.100904, -0.0646348, -0.0406723, -0.0225479, -0.0127147, -0.00643825, -0.00197155, -0.00183013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.166549, 0.174975, 0.181348, 0.189849, 0.195962, 0.204097, 0.216687, 0.226064, 0.233035, 0.23956, 0.250547, 0.261532, 0.265459, 0.269557, 0.294843, 0.308527, 0.319861, 0.328565, 0.337808, 0.344924, 0.359155, 0.370371");
-            values ( \
-              "-0.0231154, -0.133213, -0.174605, -0.21053, -0.229593, -0.249192, -0.272945, -0.286785, -0.294404, -0.299119, -0.300416, -0.28514, -0.273761, -0.256442, -0.120435, -0.0717341, -0.0454941, -0.0316688, -0.0215036, -0.0158913, -0.0085209, -0.0058929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.166785, 0.179721, 0.190135, 0.204341, 0.228229, 0.244516, 0.259058, 0.269146, 0.28841, 0.307672, 0.321462, 0.327933, 0.338343, 0.38477, 0.404829, 0.43055, 0.446794, 0.460608, 0.479275, 0.504164, 0.539825");
-            values ( \
-              "-0.0438974, -0.184397, -0.236248, -0.28115, -0.333548, -0.358401, -0.373599, -0.380596, -0.385738, -0.378182, -0.361078, -0.348029, -0.317585, -0.154679, -0.106313, -0.0639588, -0.0458816, -0.0344598, -0.0233381, -0.0136843, -0.00678488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.16729, 0.187608, 0.205801, 0.230622, 0.247079, 0.266464, 0.284459, 0.310542, 0.347509, 0.384498, 0.408679, 0.422739, 0.450857, 0.463785, 0.485114, 0.536013, 0.58577, 0.621295, 0.653998, 0.690963, 0.714675, 0.760261, 0.78531, 0.835407, 0.902352, 0.962344");
-            values ( \
-              "-0.0868545, -0.238859, -0.302088, -0.362118, -0.39132, -0.416171, -0.431701, -0.444814, -0.449618, -0.442536, -0.431632, -0.42276, -0.396708, -0.379181, -0.341358, -0.239803, -0.159322, -0.116596, -0.0864273, -0.0612323, -0.0488841, -0.0315047, -0.0247016, -0.0150259, -0.00761126, -0.00423372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.179489, 0.210709, 0.234636, 0.252513, 0.272252, 0.29247, 0.319428, 0.351349, 0.370651, 0.396387, 0.433796, 0.495003, 0.542159, 0.589724, 0.656669, 0.703546, 0.761647, 0.828592, 0.903478, 0.945773, 1.01272, 1.05036, 1.10529, 1.17223, 1.23795, 1.28325, 1.3502, 1.39919, 1.49961, 1.56656, 1.6335, 1.76739, 1.90128, 2.10212");
-            values ( \
-              "-0.319354, -0.324443, -0.382423, -0.414191, -0.440422, -0.458879, -0.474483, -0.484388, -0.486988, -0.488044, -0.486674, -0.479377, -0.47114, -0.460961, -0.441793, -0.423129, -0.388619, -0.329675, -0.259088, -0.222994, -0.173282, -0.149619, -0.120029, -0.0909562, -0.0689761, -0.0568354, -0.0425034, -0.034334, -0.0220786, -0.016408, -0.0121723, -0.00668863, -0.00366846, -0.00149124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.258559, 0.271006, 0.277839, 0.283591, 0.288824, 0.293765, 0.29857, 0.303372, 0.308188, 0.321366, 0.325773, 0.333065, 0.33741");
-            values ( \
-              "-0.0240979, -0.0840901, -0.107029, -0.120841, -0.129789, -0.135335, -0.137741, -0.134797, -0.118318, -0.0384583, -0.0234292, -0.00990028, -0.00679891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.257549, 0.269414, 0.273021, 0.27648, 0.282057, 0.285827, 0.293367, 0.297123, 0.301369, 0.307259, 0.310894, 0.31538, 0.322288, 0.327336, 0.340383, 0.344943, 0.349236, 0.353018, 0.358062, 0.361606, 0.365723, 0.371212, 0.382191, 0.396889, 0.438229");
-            values ( \
-              "-0.00153076, -0.101092, -0.123546, -0.139867, -0.161331, -0.172484, -0.190105, -0.196615, -0.202852, -0.209427, -0.212087, -0.213342, -0.206753, -0.189349, -0.102836, -0.0770561, -0.057529, -0.0440246, -0.030357, -0.0232619, -0.0170325, -0.0111509, -0.00456968, -0.00137512, -0.000174232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.259062, 0.276782, 0.285452, 0.298492, 0.312422, 0.324133, 0.328589, 0.335311, 0.346302, 0.357292, 0.361209, 0.365312, 0.390602, 0.404289, 0.415629, 0.424332, 0.43357, 0.440681, 0.454902, 0.460122");
-            values ( \
-              "-0.0133451, -0.172577, -0.209555, -0.246512, -0.272969, -0.289489, -0.293863, -0.299176, -0.300131, -0.285241, -0.273732, -0.256426, -0.120439, -0.0717422, -0.0454814, -0.0316706, -0.0215118, -0.0158923, -0.00853317, -0.00730627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.264445, 0.275406, 0.282008, 0.293616, 0.310731, 0.324299, 0.347882, 0.358639, 0.365199, 0.37832, 0.384478, 0.394034, 0.403725, 0.423113, 0.429386, 0.436556, 0.466933, 0.485372, 0.502643, 0.515082, 0.525327, 0.537638, 0.555035, 0.573375, 0.587462, 0.615636, 0.666523, 0.726902");
-            values ( \
-              "-0.0904006, -0.178968, -0.216342, -0.261112, -0.306058, -0.333365, -0.366878, -0.376375, -0.380491, -0.38522, -0.385539, -0.383665, -0.377738, -0.350042, -0.333801, -0.310108, -0.197433, -0.142339, -0.102746, -0.0805085, -0.0656401, -0.0511196, -0.0356467, -0.0243446, -0.0180902, -0.00981372, -0.00293812, -0.000646039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.270576, 0.293348, 0.324469, 0.348654, 0.365401, 0.386263, 0.400333, 0.418571, 0.443368, 0.472854, 0.495451, 0.518624, 0.55306, 0.573177, 0.665607, 0.711822, 0.73009, 0.770084, 0.812907, 0.844922, 0.909471, 0.958057, 1.01811");
-            values ( \
-              "-0.236151, -0.27625, -0.357938, -0.399433, -0.419105, -0.4354, -0.442363, -0.447551, -0.449219, -0.444518, -0.43611, -0.422616, -0.388733, -0.356391, -0.18254, -0.122322, -0.103763, -0.0717356, -0.0478441, -0.0351406, -0.0187335, -0.0115539, -0.00643213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.283768, 0.350413, 0.370622, 0.384172, 0.402219, 0.420805, 0.453849, 0.492384, 0.530001, 0.586213, 0.645583, 0.685849, 0.752794, 0.799665, 0.85777, 0.922677, 1.02547, 1.06771, 1.12445, 1.16359, 1.21343, 1.26967, 1.32923, 1.38825, 1.43255, 1.49375, 1.54007, 1.60182, 1.66876, 1.73571, 1.8696, 2.00349, 2.20432");
-            values ( \
-              "-0.374596, -0.416909, -0.443053, -0.455552, -0.467679, -0.476792, -0.485208, -0.48839, -0.486562, -0.480328, -0.469881, -0.460767, -0.441972, -0.423292, -0.388462, -0.331769, -0.236511, -0.202811, -0.16309, -0.139756, -0.114338, -0.0904484, -0.0704117, -0.054712, -0.0452511, -0.0345765, -0.0282369, -0.0215526, -0.0159581, -0.0118936, -0.0065478, -0.00360343, -0.00142566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.448349, 0.453799, 0.471992, 0.483849, 0.48902, 0.494009, 0.498991, 0.50395, 0.517232, 0.522993, 0.52921, 0.534174, 0.543059, 0.549745");
-            values ( \
-              "-0.0215752, -0.0304433, -0.0967798, -0.122928, -0.130155, -0.133029, -0.131002, -0.115066, -0.0384171, -0.0202612, -0.00978007, -0.00536192, -0.00170408, -0.000857848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.45337, 0.459705, 0.461856, 0.463595, 0.466202, 0.467909, 0.470422, 0.475154, 0.478706, 0.48187, 0.485434, 0.488247, 0.490881, 0.494274, 0.496931, 0.498852, 0.504302, 0.507522, 0.509516, 0.511509, 0.513309, 0.515108, 0.516907, 0.518828, 0.520523, 0.521492, 0.524398, 0.526803, 0.534947, 0.539378, 0.54137, 0.543884, 0.545883, 0.548064, 0.549188, 0.550311, 0.552073, 0.553835, 0.561604");
-            values ( \
-              "-0.067662, -0.0682392, -0.0795305, -0.0903824, -0.105103, -0.113539, -0.124726, -0.142904, -0.155297, -0.165331, -0.175172, -0.181289, -0.186473, -0.192376, -0.196294, -0.198551, -0.203128, -0.20365, -0.203396, -0.202715, -0.201732, -0.200401, -0.198721, -0.196501, -0.19217, -0.189031, -0.178064, -0.167107, -0.115479, -0.0889533, -0.0788207, -0.0673349, -0.0590692, -0.0512469, -0.0451167, -0.0367003, -0.0351507, -0.0329866, -0.019544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.445073, 0.48577, 0.491047, 0.502241, 0.508329, 0.514739, 0.520648, 0.532558, 0.54429, 0.550159, 0.556028, 0.559873, 0.569486, 0.580015, 0.592804, 0.605021, 0.612058, 0.620099, 0.628338, 0.640934, 0.657729, 0.674104");
-            values ( \
-              "-0.00371742, -0.214297, -0.22929, -0.252569, -0.261337, -0.26779, -0.273102, -0.279969, -0.281419, -0.277442, -0.269794, -0.260761, -0.222314, -0.166744, -0.108761, -0.0688507, -0.0522704, -0.0377411, -0.0269008, -0.0158742, -0.00760809, -0.00421928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.453952, 0.469007, 0.475608, 0.487315, 0.495916, 0.503513, 0.51085, 0.520997, 0.534439, 0.550061, 0.567056, 0.581859, 0.590574, 0.602194, 0.609477, 0.617113, 0.625241, 0.641497, 0.661916, 0.676344, 0.685708, 0.69567, 0.708952, 0.720052, 0.733157, 0.750629, 0.760791, 0.77126, 0.785218, 0.813135, 0.862672, 0.921282");
-            values ( \
-              "-0.0443928, -0.151769, -0.190606, -0.243478, -0.269954, -0.286924, -0.299692, -0.314057, -0.330589, -0.346441, -0.361283, -0.370232, -0.372151, -0.36997, -0.365216, -0.35691, -0.342982, -0.294893, -0.219458, -0.172094, -0.145604, -0.121107, -0.093861, -0.0754778, -0.0580592, -0.0405402, -0.0328555, -0.026437, -0.0197197, -0.0107752, -0.00336665, -0.000787604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.453785, 0.478833, 0.489413, 0.505244, 0.533091, 0.568548, 0.581736, 0.595188, 0.607975, 0.627199, 0.645562, 0.682933, 0.7204, 0.735071, 0.748169, 0.76246, 0.791042, 0.84925, 0.880817, 0.908748, 0.929338, 0.956, 0.97807, 1.00454, 1.04199, 1.08158, 1.112, 1.17285, 1.23979, 1.30674, 1.37368");
-            values ( \
-              "-0.0465626, -0.21763, -0.264614, -0.308009, -0.354397, -0.400525, -0.415058, -0.426382, -0.434127, -0.441294, -0.443997, -0.439774, -0.422254, -0.410923, -0.397662, -0.378701, -0.326807, -0.213847, -0.164437, -0.12896, -0.107219, -0.0839411, -0.0683588, -0.0532128, -0.0370894, -0.0253088, -0.0187959, -0.0101881, -0.00514983, -0.00259746, -0.0013083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.474442, 0.52462, 0.575374, 0.592197, 0.609104, 0.633462, 0.655901, 0.694771, 0.732442, 0.788812, 0.848219, 0.888483, 0.955428, 1.00232, 1.06041, 1.12736, 1.20224, 1.24615, 1.3131, 1.34854, 1.4006, 1.46754, 1.5411, 1.59279, 1.65973, 1.73609, 1.78212, 1.84907, 1.91601, 2.0499, 2.18379, 2.38463");
-            values ( \
-              "-0.330669, -0.353118, -0.427366, -0.446083, -0.459976, -0.473314, -0.480532, -0.485835, -0.485479, -0.479754, -0.4696, -0.460821, -0.441829, -0.423168, -0.388557, -0.329734, -0.259131, -0.221642, -0.172244, -0.149937, -0.121702, -0.0923025, -0.0677189, -0.0542359, -0.040566, -0.0290498, -0.0237427, -0.0176283, -0.013102, -0.00720655, -0.00395792, -0.0015941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.839184, 0.849293, 0.85481, 0.857112, 0.871146, 0.882839, 0.889625, 0.895793, 0.901598, 0.907272, 0.913198, 0.922466, 0.928777, 0.934627, 0.940938, 0.9462, 0.955924, 0.957802");
-            values ( \
-              "-0.0108546, -0.0189477, -0.0275662, -0.0319723, -0.0677036, -0.0911146, -0.102196, -0.110328, -0.115303, -0.116052, -0.104137, -0.0558549, -0.0302608, -0.0160779, -0.00800612, -0.00444861, -0.00150447, -0.0013449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.842096, 0.849194, 0.853393, 0.853888, 0.854879, 0.856861, 0.860572, 0.862822, 0.86732, 0.872141, 0.880605, 0.885056, 0.893958, 0.89526, 0.897864, 0.90307, 0.90421, 0.906489, 0.911047, 0.91245, 0.915256, 0.920297, 0.928097, 0.935849, 0.936444, 0.937634, 0.940013, 0.943104, 0.946959, 0.947777, 0.949413, 0.952599, 0.956747, 0.958005, 0.960521, 0.965553, 0.969409, 0.970871, 0.973794, 0.97964, 0.991002");
-            values ( \
-              "-0.0205586, -0.0303165, -0.036621, -0.0382081, -0.040492, -0.0449613, -0.0549229, -0.0623866, -0.0786005, -0.0949016, -0.119803, -0.13187, -0.152999, -0.155848, -0.160885, -0.170218, -0.172244, -0.175865, -0.18215, -0.18378, -0.186663, -0.1901, -0.187824, -0.164943, -0.162658, -0.156432, -0.142518, -0.123515, -0.100636, -0.0961566, -0.087391, -0.0720577, -0.0550887, -0.050744, -0.0427494, -0.0300754, -0.0227319, -0.0205041, -0.0164902, -0.0106603, -0.0042864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.845983, 0.856837, 0.860552, 0.867981, 0.883932, 0.893711, 0.901824, 0.915088, 0.92439, 0.929477, 0.939651, 0.946055, 0.953821, 0.964528, 0.969024, 0.97392, 0.979663, 0.990501, 0.997112, 1.00363, 1.01232, 1.01721, 1.02342, 1.0317, 1.0401, 1.04439, 1.05296, 1.0701, 1.08036");
-            values ( \
-              "-0.0340038, -0.0584792, -0.0688315, -0.0951233, -0.156463, -0.187737, -0.209231, -0.239077, -0.254747, -0.261717, -0.271515, -0.274509, -0.274334, -0.264256, -0.255031, -0.240308, -0.215995, -0.162128, -0.131893, -0.105935, -0.0774892, -0.0645769, -0.0509341, -0.036732, -0.0262129, -0.0220327, -0.015477, -0.00741657, -0.005391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.843878, 0.884599, 0.902304, 0.914772, 0.927132, 0.934, 0.943157, 0.952584, 0.963867, 0.974376, 0.985159, 0.995571, 1.01431, 1.02129, 1.02958, 1.03834, 1.05584, 1.08455, 1.09755, 1.10687, 1.11743, 1.13139, 1.1441, 1.16104, 1.17458, 1.18953, 1.20945, 1.22844, 1.25354, 1.27782, 1.30644, 1.36368");
-            values ( \
-              "-0.0026077, -0.176964, -0.237435, -0.271646, -0.297733, -0.309773, -0.322453, -0.331828, -0.339673, -0.344633, -0.34855, -0.350906, -0.349867, -0.346407, -0.339449, -0.327766, -0.28367, -0.18788, -0.150696, -0.127647, -0.105026, -0.0804621, -0.0627687, -0.0446094, -0.0338856, -0.0249407, -0.0164026, -0.0111971, -0.00663137, -0.00400373, -0.00219899, -0.000579665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.848298, 0.897852, 0.917636, 0.932317, 0.952359, 0.984171, 1.01682, 1.0262, 1.03871, 1.05952, 1.09863, 1.13774, 1.15777, 1.18052, 1.26625, 1.30719, 1.34308, 1.37427, 1.40211, 1.43924, 1.46682, 1.49761, 1.53866, 1.6056, 1.67255, 1.80644");
-            values ( \
-              "-0.0241304, -0.237911, -0.297268, -0.32986, -0.359746, -0.38719, -0.408513, -0.413111, -0.417873, -0.423043, -0.422858, -0.409281, -0.395351, -0.370708, -0.217523, -0.155396, -0.113483, -0.0853833, -0.0659132, -0.0462517, -0.0354892, -0.0263584, -0.0175941, -0.00893074, -0.004504, -0.00114004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.852003, 0.902945, 0.927595, 0.94833, 1.00239, 1.04672, 1.07023, 1.11074, 1.1497, 1.27086, 1.32204, 1.34847, 1.39163, 1.45857, 1.48122, 1.52652, 1.64165, 1.70859, 1.75006, 1.817, 1.88395, 1.96702, 2.02331, 2.09026, 2.15214, 2.25508, 2.38897, 2.52286, 2.65675, 2.85759");
-            values ( \
-              "-0.0543202, -0.261296, -0.33058, -0.367865, -0.421024, -0.44994, -0.459298, -0.468331, -0.470684, -0.463879, -0.455492, -0.449114, -0.435664, -0.403819, -0.388394, -0.349958, -0.242567, -0.189589, -0.161585, -0.123786, -0.0938843, -0.0661663, -0.051958, -0.0388176, -0.0296365, -0.0188131, -0.0103631, -0.00569328, -0.00312363, -0.00126286" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0125307, 0.0125573, 0.0125903, 0.0126198, 0.0126398, 0.012651", \
-            "0.0146922, 0.0147031, 0.0147221, 0.0147449, 0.0147642, 0.0147764", \
-            "0.0158851, 0.0158867, 0.0158915, 0.0159014, 0.0159138, 0.0159237", \
-            "0.0165403, 0.0165412, 0.0165413, 0.0165431, 0.0165478, 0.0165538", \
-            "0.016866, 0.0168658, 0.0168657, 0.0168657, 0.0168663, 0.0168682", \
-            "0.0170378, 0.0170375, 0.017037, 0.0170362, 0.0170355, 0.0170352" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0168238, 0.016825, 0.0168406, 0.0168595, 0.0168762, 0.0168873", \
-            "0.0178982, 0.0178961, 0.0178949, 0.0178971, 0.0179004, 0.0179031", \
-            "0.0184626, 0.0184589, 0.0184528, 0.0184456, 0.018441, 0.0184389", \
-            "0.0190269, 0.0190083, 0.0189979, 0.0189776, 0.0189609, 0.0189501", \
-            "0.0197781, 0.0197762, 0.0197698, 0.0197578, 0.0197427, 0.0197291", \
-            "0.0218826, 0.0218621, 0.0219599, 0.0220753, 0.0221286, 0.0221484" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0884063, 0.102645, 0.129919, 0.185256, 0.30561, 0.573826", \
-            "0.0927951, 0.106958, 0.134061, 0.189249, 0.309493, 0.577663", \
-            "0.101041, 0.115088, 0.142004, 0.197006, 0.31708, 0.585132", \
-            "0.112969, 0.127787, 0.155431, 0.210581, 0.330515, 0.598432", \
-            "0.122922, 0.138829, 0.167735, 0.224296, 0.345499, 0.613401", \
-            "0.119937, 0.137264, 0.168686, 0.226735, 0.34807, 0.617702" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0315539, 0.0462413, 0.0790552, 0.154913, 0.32954, 0.723855", \
-            "0.0315881, 0.0462804, 0.079073, 0.154921, 0.329544, 0.723855", \
-            "0.0317151, 0.046394, 0.0791342, 0.154947, 0.329551, 0.723856", \
-            "0.0343069, 0.0488999, 0.0809426, 0.155612, 0.329606, 0.723855", \
-            "0.0377629, 0.0527451, 0.0844881, 0.158774, 0.331455, 0.724009", \
-            "0.0444032, 0.0596044, 0.0909496, 0.162446, 0.333292, 0.726246" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.134576, 0.149848, 0.176955, 0.226168, 0.322534, 0.527963", \
-            "0.137485, 0.152722, 0.179872, 0.229197, 0.325504, 0.530797", \
-            "0.147294, 0.162464, 0.189586, 0.239013, 0.335381, 0.540682", \
-            "0.174811, 0.189784, 0.216716, 0.266029, 0.362456, 0.5677", \
-            "0.233278, 0.248664, 0.276187, 0.326235, 0.422991, 0.628338", \
-            "0.325743, 0.344175, 0.374047, 0.424805, 0.5234, 0.731283" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0341574, 0.0465459, 0.071617, 0.124079, 0.241501, 0.513759", \
-            "0.0340592, 0.0465451, 0.0715191, 0.124088, 0.241308, 0.513629", \
-            "0.0339786, 0.0463583, 0.0713715, 0.124012, 0.241236, 0.513284", \
-            "0.0337237, 0.0463411, 0.0713677, 0.123925, 0.241285, 0.513544", \
-            "0.0375542, 0.0495395, 0.0739861, 0.125878, 0.242471, 0.51377", \
-            "0.0472316, 0.0589743, 0.0808404, 0.130451, 0.247476, 0.51763" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0686029, 0.0715986, 0.0746757, 0.077073, 0.0816747, 0.0848533, 0.0902055, 0.0951475, 0.0999487, 0.104772, 0.108166, 0.109909, 0.11769, 0.120209, 0.125207, 0.130022, 0.133133, 0.137285, 0.14124, 0.145336, 0.151427, 0.159383, 0.16579, 0.178604, 0.19832, 0.22333");
-            values ( \
-              "0.0326903, 0.0549361, 0.0729354, 0.08609, 0.105479, 0.115783, 0.129214, 0.135689, 0.137787, 0.13404, 0.125776, 0.118574, 0.0762246, 0.0649832, 0.047126, 0.0347659, 0.0283617, 0.0215542, 0.0165964, 0.0126428, 0.00845697, 0.00513998, 0.00348534, 0.00167086, 0.00060597, 0.000223516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0713345, 0.0749993, 0.0789935, 0.0842487, 0.0898259, 0.0927592, 0.0986257, 0.103374, 0.107231, 0.114175, 0.121119, 0.122343, 0.126248, 0.129081, 0.139045, 0.143122, 0.147651, 0.153542, 0.158338, 0.164732, 0.169352, 0.173253, 0.178454, 0.18744, 0.191873, 0.198773, 0.207972, 0.226371, 0.253199, 0.286889");
-            values ( \
-              "0.0642652, 0.096244, 0.124542, 0.154376, 0.178561, 0.188324, 0.202779, 0.209489, 0.212023, 0.211158, 0.201628, 0.198578, 0.185678, 0.172998, 0.122952, 0.105108, 0.0877676, 0.0688008, 0.0561318, 0.042386, 0.0344906, 0.0289341, 0.0228387, 0.0150787, 0.0123385, 0.00904267, 0.00598291, 0.002587, 0.000769728, 0.000187798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0723921, 0.0794903, 0.0849991, 0.0932479, 0.0982768, 0.106326, 0.118166, 0.129719, 0.141258, 0.143956, 0.156067, 0.16765, 0.18894, 0.201287, 0.211101, 0.226362, 0.236979, 0.246734, 0.259741, 0.2702, 0.281879, 0.297451, 0.328595, 0.371499, 0.424783");
-            values ( \
-              "0.0400386, 0.145643, 0.185307, 0.229428, 0.249051, 0.270534, 0.28522, 0.285501, 0.276403, 0.272942, 0.250464, 0.215846, 0.145131, 0.111158, 0.0886868, 0.0612866, 0.0468323, 0.0364217, 0.0258215, 0.0195379, 0.0142962, 0.00935814, 0.00384178, 0.00103067, 0.000188377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0739224, 0.0853016, 0.0939236, 0.101183, 0.107902, 0.119934, 0.130388, 0.147168, 0.161464, 0.173836, 0.195918, 0.209087, 0.221536, 0.246433, 0.285302, 0.31119, 0.34686, 0.369292, 0.392999, 0.421681, 0.447919, 0.475483, 0.496637, 0.538947, 0.586444");
-            values ( \
-              "0.0609656, 0.198525, 0.253466, 0.286176, 0.308214, 0.332246, 0.341583, 0.34371, 0.338074, 0.330449, 0.312552, 0.299159, 0.283994, 0.244964, 0.175275, 0.134335, 0.0892266, 0.067666, 0.0498831, 0.0340634, 0.0237695, 0.0162471, 0.0120751, 0.00654401, 0.00373918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0802396, 0.0938318, 0.104157, 0.110407, 0.122905, 0.133636, 0.150919, 0.169099, 0.193364, 0.221544, 0.266208, 0.317232, 0.367286, 0.388975, 0.40848, 0.506772, 0.564136, 0.611478, 0.650179, 0.680156, 0.733143, 0.756087, 0.794471, 0.848875, 0.9045, 0.946961, 1.02747, 1.10797, 1.18848, 1.34949");
-            values ( \
-              "0.226207, 0.260651, 0.311056, 0.332662, 0.360384, 0.373356, 0.381462, 0.381594, 0.375481, 0.365306, 0.347608, 0.324851, 0.297727, 0.283055, 0.268333, 0.18543, 0.140954, 0.109531, 0.0880473, 0.0737968, 0.0533739, 0.0462307, 0.0361775, 0.0253422, 0.0175623, 0.0132148, 0.00759773, 0.00434772, 0.00248344, 0.000807171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.085297, 0.107747, 0.119234, 0.137974, 0.155382, 0.174949, 0.202832, 0.236789, 0.374698, 0.476979, 0.557486, 0.641066, 0.702621, 0.783127, 0.835452, 1.03385, 1.09775, 1.15944, 1.2399, 1.2903, 1.35749, 1.438, 1.49357, 1.54882, 1.6056, 1.6734, 1.79908, 1.87958, 1.96009, 2.1211, 2.28211, 2.44313, 2.76515");
-            values ( \
-              "0.328678, 0.331886, 0.364562, 0.391775, 0.401543, 0.404639, 0.402602, 0.397164, 0.372151, 0.352797, 0.336416, 0.317504, 0.301127, 0.274794, 0.255613, 0.178261, 0.154868, 0.134242, 0.109835, 0.0964071, 0.0806708, 0.0644117, 0.0550207, 0.0469202, 0.039874, 0.0324874, 0.022231, 0.0175109, 0.0135487, 0.00820045, 0.00493571, 0.00295386, 0.00103081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0870353, 0.095355, 0.0999332, 0.10314, 0.108501, 0.11345, 0.118257, 0.123057, 0.126458, 0.128226, 0.136011, 0.13853, 0.143529, 0.148349, 0.15146, 0.155612, 0.159567, 0.163664, 0.169756, 0.177712, 0.184119, 0.196934, 0.216378, 0.241007");
-            values ( \
-              "0.0381144, 0.0857946, 0.105072, 0.115683, 0.128906, 0.135621, 0.137522, 0.134004, 0.125772, 0.118497, 0.0761973, 0.064969, 0.0471123, 0.0347507, 0.0283554, 0.0215466, 0.0165924, 0.0126444, 0.00845391, 0.00513747, 0.00348801, 0.00166848, 0.000618252, 0.000227384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.0880401, 0.0931991, 0.0972024, 0.102466, 0.106802, 0.111, 0.118467, 0.125501, 0.132449, 0.139392, 0.140619, 0.144515, 0.157319, 0.165918, 0.176618, 0.183022, 0.191523, 0.196712, 0.205708, 0.21706, 0.226278, 0.244715, 0.256203");
-            values ( \
-              "0.0182566, 0.0957827, 0.124155, 0.154062, 0.173493, 0.188203, 0.205376, 0.212151, 0.211083, 0.201695, 0.198554, 0.185689, 0.122948, 0.0877844, 0.0561208, 0.0423551, 0.0289429, 0.0228553, 0.0150851, 0.0090393, 0.0059736, 0.00257966, 0.00179922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0898053, 0.0975596, 0.105009, 0.111323, 0.117792, 0.124406, 0.136254, 0.14781, 0.159353, 0.170624, 0.179173, 0.207641, 0.224123, 0.239479, 0.250103, 0.261373, 0.280543, 0.292562, 0.311031, 0.335656, 0.362021");
-            values ( \
-              "0.0244594, 0.145512, 0.196882, 0.229317, 0.253617, 0.270389, 0.285081, 0.285432, 0.276318, 0.258467, 0.236889, 0.143322, 0.0997298, 0.0693175, 0.053157, 0.0398628, 0.0240497, 0.0174591, 0.0106145, 0.00533815, 0.00289624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0904999, 0.103253, 0.112918, 0.124849, 0.132147, 0.138064, 0.148335, 0.164853, 0.17993, 0.191783, 0.213876, 0.226904, 0.239485, 0.264647, 0.303235, 0.330761, 0.371298, 0.410941, 0.440291, 0.466251, 0.513024, 0.553516, 0.587478");
-            values ( \
-              "0.0328363, 0.198658, 0.25927, 0.30587, 0.323168, 0.332498, 0.341485, 0.343771, 0.337825, 0.330363, 0.312588, 0.299293, 0.283987, 0.244501, 0.175306, 0.131985, 0.0824968, 0.0498879, 0.033763, 0.0236471, 0.0123477, 0.00688205, 0.00475896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0977433, 0.122195, 0.140552, 0.151537, 0.168547, 0.186506, 0.212248, 0.281433, 0.335132, 0.377532, 0.448688, 0.555972, 0.626531, 0.689913, 0.758799, 0.829443, 0.875213, 0.966856, 1.03906, 1.11957, 1.14789");
-            values ( \
-              "0.21164, 0.311838, 0.360129, 0.373266, 0.381528, 0.381533, 0.375045, 0.348592, 0.324819, 0.302399, 0.250121, 0.160399, 0.111304, 0.0774754, 0.0508763, 0.0324789, 0.0240164, 0.0130795, 0.00793893, 0.00458664, 0.00414491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.10472, 0.139672, 0.159849, 0.167944, 0.17854, 0.19766, 0.210703, 0.228094, 0.25109, 0.392487, 0.494769, 0.575276, 0.658856, 0.720411, 0.800918, 0.853242, 1.05165, 1.11554, 1.17723, 1.2577, 1.30809, 1.37528, 1.45579, 1.51136, 1.56661, 1.61585, 1.68645, 1.76695, 1.81673, 1.89724, 1.97774, 2.13876, 2.29977, 2.46078, 2.78281");
-            values ( \
-              "0.337668, 0.370636, 0.39534, 0.399673, 0.402947, 0.404389, 0.403516, 0.401472, 0.397763, 0.372083, 0.352733, 0.336479, 0.317563, 0.301067, 0.274853, 0.255554, 0.178204, 0.154924, 0.134185, 0.10989, 0.0964615, 0.0806168, 0.0644659, 0.055073, 0.0469711, 0.0407178, 0.033002, 0.0259489, 0.0222897, 0.017468, 0.0136038, 0.00825305, 0.00498676, 0.00300382, 0.0010794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.125252, 0.128548, 0.131754, 0.138878, 0.142122, 0.147524, 0.152499, 0.157326, 0.162145, 0.165191, 0.16732, 0.175991, 0.183423, 0.188339, 0.192323, 0.195967, 0.200462, 0.206456, 0.214871, 0.220978, 0.233192, 0.251415, 0.252256");
-            values ( \
-              "0.0273982, 0.052207, 0.0707529, 0.103772, 0.114618, 0.128106, 0.135014, 0.137047, 0.133663, 0.126707, 0.118369, 0.0721025, 0.0449196, 0.0328294, 0.0252571, 0.019876, 0.0147623, 0.00990284, 0.00580308, 0.0039876, 0.0019457, 0.000747583, 0.000736976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.124895, 0.133669, 0.135896, 0.140349, 0.146773, 0.14988, 0.156094, 0.159971, 0.164443, 0.171411, 0.178379, 0.179561, 0.183464, 0.186346, 0.19632, 0.200312, 0.204875, 0.210804, 0.215664, 0.222144, 0.226672, 0.2305, 0.235603, 0.24468, 0.249156, 0.256181, 0.265547, 0.284279, 0.310506, 0.343467");
-            values ( \
-              "0.00478677, 0.105341, 0.120912, 0.147198, 0.176063, 0.186717, 0.202068, 0.207799, 0.211238, 0.210512, 0.201245, 0.198296, 0.185593, 0.172798, 0.122864, 0.105401, 0.0879124, 0.0688319, 0.0560113, 0.042137, 0.0344333, 0.0289839, 0.0229837, 0.0151112, 0.0123421, 0.00899515, 0.00590727, 0.00251361, 0.000775815, 0.000196273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.125812, 0.142109, 0.150089, 0.158067, 0.163216, 0.173514, 0.178241, 0.184543, 0.186627, 0.190796, 0.19836, 0.210162, 0.214118, 0.21864, 0.236617, 0.247429, 0.254159, 0.266802, 0.27426, 0.279312, 0.289416, 0.297079, 0.305796, 0.314593, 0.327016, 0.34185, 0.361628, 0.399246, 0.443324");
-            values ( \
-              "0.0165142, 0.185523, 0.227263, 0.257692, 0.269177, 0.283912, 0.285767, 0.285413, 0.284846, 0.282495, 0.275641, 0.257026, 0.247606, 0.235024, 0.174274, 0.140606, 0.121727, 0.0912604, 0.076539, 0.0676925, 0.0525668, 0.0432478, 0.0345101, 0.0273827, 0.0196458, 0.0131992, 0.00766466, 0.00251522, 0.00065921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.131716, 0.141637, 0.151348, 0.1589, 0.164327, 0.175182, 0.186873, 0.203024, 0.219191, 0.230341, 0.252452, 0.265268, 0.278048, 0.303354, 0.34197, 0.371603, 0.408028, 0.429167, 0.457213, 0.473801, 0.502743, 0.535568, 0.561711, 0.613997, 0.645555");
-            values ( \
-              "0.101343, 0.196403, 0.257006, 0.28995, 0.307354, 0.329936, 0.341282, 0.343614, 0.337295, 0.330354, 0.312488, 0.299493, 0.28397, 0.244245, 0.175019, 0.128742, 0.0843553, 0.0648137, 0.0450805, 0.0361418, 0.0242999, 0.0154405, 0.010678, 0.00493361, 0.00352619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.138927, 0.156253, 0.166645, 0.17988, 0.189887, 0.206028, 0.219889, 0.23343, 0.255161, 0.277802, 0.322577, 0.373491, 0.423496, 0.445521, 0.464895, 0.563028, 0.620885, 0.667857, 0.706023, 0.735516, 0.789399, 0.812795, 0.85102, 0.905301, 0.960479, 1.00255, 1.08305, 1.16356, 1.24407, 1.40508");
-            values ( \
-              "0.287512, 0.292663, 0.332184, 0.361577, 0.373112, 0.381271, 0.381909, 0.379791, 0.373546, 0.365388, 0.347428, 0.324841, 0.297758, 0.282846, 0.268207, 0.185433, 0.140603, 0.109462, 0.0882618, 0.0741975, 0.0533748, 0.0460995, 0.0361104, 0.0253151, 0.0175957, 0.0132755, 0.00763298, 0.00436807, 0.00249509, 0.000810985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.146583, 0.194071, 0.210521, 0.23207, 0.240318, 0.256815, 0.288852, 0.480231, 0.613559, 0.69714, 0.758695, 0.839202, 0.891526, 1.08993, 1.15383, 1.21551, 1.31278, 1.41357, 1.49407, 1.56806, 1.6049, 1.67857, 1.74013, 1.82064, 1.9083, 1.98881, 2.06931, 2.23033, 2.39134, 2.63286, 2.87438");
-            values ( \
-              "0.38869, 0.392056, 0.401246, 0.404523, 0.404295, 0.402731, 0.397963, 0.362804, 0.336527, 0.31761, 0.301021, 0.274898, 0.25551, 0.178167, 0.154959, 0.134149, 0.105272, 0.0805863, 0.0644963, 0.0522555, 0.0469956, 0.0378536, 0.031537, 0.0247428, 0.0189655, 0.014821, 0.0115556, 0.00700721, 0.00423688, 0.001988, 0.00092942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.200464, 0.202022, 0.204417, 0.208779, 0.213462, 0.220728, 0.226811, 0.232328, 0.237579, 0.242791, 0.247999, 0.253788, 0.257591, 0.262662, 0.26647, 0.271741, 0.276384, 0.282107, 0.286105, 0.291854, 0.294497, 0.299782, 0.310352, 0.325103");
-            values ( \
-              "0.0267447, 0.0310417, 0.0394401, 0.0602475, 0.0790558, 0.100814, 0.114674, 0.123067, 0.126531, 0.125165, 0.114824, 0.0854905, 0.0679458, 0.0498211, 0.0397108, 0.0285958, 0.0212724, 0.0147641, 0.0114182, 0.00791708, 0.00672257, 0.00487912, 0.00261544, 0.00125492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.201852, 0.208103, 0.21543, 0.224976, 0.229014, 0.237255, 0.244841, 0.248909, 0.254557, 0.259204, 0.262897, 0.267117, 0.279563, 0.289235, 0.299787, 0.307314, 0.317681, 0.331196, 0.3483, 0.367848, 0.37249");
-            values ( \
-              "0.0183283, 0.0757017, 0.114703, 0.154749, 0.168254, 0.188594, 0.197997, 0.199661, 0.197419, 0.193074, 0.185791, 0.171753, 0.114111, 0.0781834, 0.0504052, 0.0361633, 0.0227407, 0.0122957, 0.00571682, 0.00235457, 0.00218561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.201885, 0.212851, 0.219941, 0.224708, 0.228951, 0.237388, 0.243271, 0.248921, 0.259994, 0.267888, 0.273891, 0.279905, 0.291977, 0.298972, 0.306423, 0.320985, 0.331225, 0.339175, 0.349645, 0.357877, 0.368853, 0.376695, 0.383068, 0.391565, 0.406656, 0.413671, 0.424246, 0.438347, 0.466548, 0.508648, 0.560278");
-            values ( \
-              "0.00163688, 0.118498, 0.159603, 0.184816, 0.204443, 0.236113, 0.251563, 0.262225, 0.272792, 0.275825, 0.274763, 0.270824, 0.25448, 0.237739, 0.214938, 0.165354, 0.134822, 0.113432, 0.0892753, 0.073388, 0.0559033, 0.0458305, 0.0389064, 0.0311602, 0.0208164, 0.0172716, 0.0130132, 0.00887325, 0.00400088, 0.00111117, 0.000214593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.209357, 0.23285, 0.248903, 0.267967, 0.275663, 0.289944, 0.308174, 0.319763, 0.335057, 0.358309, 0.377614, 0.423578, 0.452021, 0.490813, 0.531252, 0.561173, 0.586891, 0.620012, 0.664173, 0.716867");
-            values ( \
-              "0.127028, 0.244716, 0.299844, 0.330451, 0.336143, 0.338309, 0.331499, 0.323795, 0.311179, 0.285983, 0.256884, 0.175244, 0.130644, 0.083319, 0.0498919, 0.0335082, 0.0235462, 0.0148636, 0.00784824, 0.003985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.219456, 0.246587, 0.257901, 0.270837, 0.281615, 0.297583, 0.314893, 0.336721, 0.359303, 0.405767, 0.454992, 0.504857, 0.556797, 0.658923, 0.741511, 0.782884, 0.81138, 0.87076, 0.897529, 0.951066, 0.992205, 1.03757, 1.09805, 1.17856, 1.25906, 1.33957, 1.50058");
-            values ( \
-              "0.296739, 0.312927, 0.340746, 0.363358, 0.373456, 0.379277, 0.378556, 0.373125, 0.365217, 0.346675, 0.324829, 0.297853, 0.259921, 0.173653, 0.114177, 0.0905978, 0.0767215, 0.0534022, 0.045115, 0.0319693, 0.0243972, 0.0180815, 0.0120423, 0.00689895, 0.00396388, 0.00224552, 0.000722503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.225717, 0.274974, 0.28817, 0.305387, 0.321629, 0.346535, 0.376936, 0.592669, 0.722919, 0.803426, 0.900733, 0.972793, 1.17327, 1.32156, 1.39311, 1.46107, 1.52534, 1.63172, 1.68347, 1.76398, 1.84448, 1.97061, 2.12435, 2.28537, 2.44638, 2.6879, 2.92942");
-            values ( \
-              "0.369483, 0.383179, 0.395259, 0.401967, 0.40324, 0.401409, 0.39672, 0.356889, 0.330516, 0.311254, 0.281782, 0.255581, 0.177449, 0.126352, 0.105566, 0.0883208, 0.0741863, 0.0549984, 0.0473626, 0.037411, 0.0294089, 0.0201049, 0.0125433, 0.00761436, 0.00460884, 0.00215095, 0.00101643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.357565, 0.365618, 0.367891, 0.370873, 0.373607, 0.376341, 0.379319, 0.381237, 0.383154, 0.385356, 0.386906, 0.388536, 0.389351, 0.390793, 0.392236, 0.395918, 0.398149, 0.399151, 0.400154, 0.401105, 0.402056, 0.403006, 0.403957, 0.405411, 0.406865, 0.408319, 0.409957, 0.410325, 0.41106, 0.412531, 0.414737, 0.417099, 0.417912, 0.420352, 0.421701, 0.42305, 0.424399, 0.425748, 0.430087, 0.432655, 0.435222, 0.43779, 0.441528, 0.443025, 0.444223, 0.447375, 0.449941, 0.452972, 0.454488, 0.457041");
-            values ( \
-              "0.0431415, 0.0468083, 0.0551985, 0.0654281, 0.0739064, 0.0818455, 0.0898807, 0.0944084, 0.098431, 0.102371, 0.104685, 0.106696, 0.107549, 0.108722, 0.109748, 0.11156, 0.112611, 0.112696, 0.112653, 0.112493, 0.112218, 0.111827, 0.111321, 0.110278, 0.108941, 0.107308, 0.105054, 0.104064, 0.101662, 0.0961685, 0.0869591, 0.0757757, 0.0723225, 0.0628069, 0.0582406, 0.0539603, 0.0499658, 0.0462573, 0.0356486, 0.0305439, 0.0262182, 0.0224302, 0.0177222, 0.0160292, 0.0147979, 0.0122364, 0.0104764, 0.00871191, 0.00794362, 0.00681186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.361856, 0.373038, 0.378848, 0.381358, 0.383036, 0.385563, 0.387541, 0.389858, 0.391516, 0.393083, 0.396747, 0.399678, 0.401908, 0.403921, 0.405934, 0.407695, 0.409457, 0.411219, 0.412981, 0.415095, 0.417209, 0.419323, 0.42038, 0.422012, 0.422828, 0.42446, 0.425276, 0.426579, 0.427881, 0.430487, 0.434819, 0.440706, 0.444816, 0.448103, 0.450853, 0.454161, 0.455815, 0.458443, 0.461491, 0.463707, 0.465923, 0.468911, 0.473622, 0.475345, 0.477068, 0.481355, 0.484836, 0.48894, 0.490992, 0.494315");
-            values ( \
-              "0.0899534, 0.0955318, 0.120645, 0.130052, 0.135767, 0.143494, 0.148639, 0.153707, 0.156977, 0.159782, 0.166096, 0.17087, 0.17433, 0.176793, 0.178946, 0.180574, 0.181964, 0.183116, 0.184029, 0.184225, 0.183947, 0.183205, 0.182661, 0.181227, 0.180096, 0.177256, 0.175547, 0.172055, 0.168313, 0.160077, 0.142591, 0.119423, 0.102237, 0.0907007, 0.0817871, 0.0719527, 0.0673993, 0.0606144, 0.0530903, 0.0485332, 0.0442737, 0.0391036, 0.0316419, 0.0291997, 0.0269466, 0.0224327, 0.0192939, 0.016113, 0.014714, 0.0127035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.350814, 0.389442, 0.403704, 0.412551, 0.417419, 0.427155, 0.436325, 0.442785, 0.455421, 0.458252, 0.467959, 0.495811, 0.514015, 0.52963, 0.553815, 0.576435, 0.608049, 0.633233");
-            values ( \
-              "0.00122192, 0.186967, 0.225824, 0.244566, 0.251935, 0.260655, 0.262097, 0.259644, 0.24644, 0.241211, 0.217453, 0.132085, 0.0885161, 0.0610259, 0.0320545, 0.0178485, 0.0078128, 0.00422956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.362179, 0.386329, 0.408119, 0.427552, 0.435014, 0.444177, 0.452945, 0.47048, 0.48603, 0.499244, 0.523047, 0.541991, 0.597669, 0.637996, 0.673025, 0.706535, 0.725224, 0.75149, 0.800388, 0.842865, 0.890999");
-            values ( \
-              "0.0706977, 0.194736, 0.270419, 0.311272, 0.319213, 0.324715, 0.326504, 0.323249, 0.314951, 0.305263, 0.281681, 0.254814, 0.160058, 0.10321, 0.067468, 0.0438545, 0.0340194, 0.0238559, 0.0119791, 0.00658677, 0.00361786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.372007, 0.391387, 0.41401, 0.433059, 0.449046, 0.469527, 0.47866, 0.496927, 0.523935, 0.589135, 0.645756, 0.67376, 0.72823, 0.838417, 0.916259, 0.993971, 1.05259, 1.08719, 1.14792, 1.21671, 1.27152, 1.42286");
-            values ( \
-              "0.211972, 0.225125, 0.306314, 0.346434, 0.362705, 0.369795, 0.369826, 0.36709, 0.359356, 0.33757, 0.311979, 0.295596, 0.255228, 0.162721, 0.108708, 0.0692708, 0.0482574, 0.0387446, 0.0260321, 0.016533, 0.0114309, 0.00421627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.386084, 0.437632, 0.45528, 0.471098, 0.485714, 0.511317, 0.556718, 0.580389, 0.594285, 0.618491, 0.780109, 0.860616, 0.944208, 1.00576, 1.08627, 1.1386, 1.337, 1.4009, 1.46259, 1.55985, 1.66064, 1.74114, 1.81514, 1.85198, 1.92565, 1.98721, 2.06772, 2.15536, 2.23587, 2.31638, 2.47739, 2.6384, 2.87992, 3.12144");
-            values ( \
-              "0.351858, 0.367266, 0.385139, 0.392305, 0.394834, 0.394607, 0.388537, 0.387547, 0.386152, 0.382603, 0.35269, 0.336517, 0.3176, 0.301024, 0.274891, 0.255513, 0.178169, 0.154954, 0.134151, 0.105274, 0.0805884, 0.0644951, 0.0522547, 0.0469954, 0.0378513, 0.0315376, 0.0247408, 0.0189645, 0.0148234, 0.0115542, 0.00700546, 0.00423476, 0.00199084, 0.000926518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.678031, 0.692187, 0.693409, 0.695398, 0.697387, 0.699377, 0.701366, 0.704779, 0.709898, 0.713553, 0.721155, 0.722895, 0.724635, 0.726375, 0.728115, 0.729781, 0.731447, 0.733113, 0.734779, 0.736442, 0.738105, 0.739768, 0.741431, 0.741489, 0.742519, 0.743206, 0.745037, 0.747785, 0.749206, 0.752239, 0.754872, 0.756917, 0.75925, 0.760416, 0.763189, 0.764992, 0.767692, 0.769783, 0.772166, 0.773357, 0.77564, 0.777922, 0.780339, 0.781548, 0.782756, 0.784567, 0.786377, 0.788188, 0.789999, 0.793702");
-            values ( \
-              "0.0404959, 0.0443442, 0.0471696, 0.0511993, 0.0550663, 0.0587703, 0.0623116, 0.0680076, 0.0759884, 0.0813766, 0.0918572, 0.0939253, 0.0957988, 0.0974775, 0.0989615, 0.0989141, 0.0987578, 0.0984924, 0.0981181, 0.0976355, 0.0970444, 0.0963445, 0.095536, 0.0954356, 0.0932607, 0.0916462, 0.0863422, 0.0771707, 0.0719721, 0.0603823, 0.0522666, 0.0466501, 0.0409599, 0.0383997, 0.032762, 0.0293876, 0.0253147, 0.0225433, 0.0196735, 0.0183239, 0.0159142, 0.0138213, 0.0120728, 0.0112705, 0.0105161, 0.00951119, 0.00859001, 0.00775258, 0.00699888, 0.00569302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.680113, 0.696306, 0.69984, 0.703373, 0.708085, 0.711687, 0.715428, 0.720416, 0.725403, 0.727162, 0.729888, 0.732789, 0.73453, 0.73627, 0.73801, 0.739751, 0.741491, 0.743232, 0.744838, 0.747516, 0.749658, 0.75084, 0.752023, 0.754388, 0.756308, 0.758228, 0.760148, 0.762068, 0.763818, 0.767317, 0.775067, 0.776588, 0.778109, 0.780137, 0.783179, 0.785207, 0.787234, 0.789262, 0.793222, 0.796327, 0.799982, 0.80279, 0.80756, 0.810416, 0.813272, 0.81775, 0.821407, 0.825736, 0.827901, 0.831471");
-            values ( \
-              "0.0654273, 0.0711538, 0.0808716, 0.0902523, 0.102246, 0.111022, 0.119778, 0.130847, 0.141403, 0.144951, 0.149915, 0.154594, 0.156781, 0.158685, 0.160306, 0.161644, 0.1627, 0.163472, 0.16355, 0.163412, 0.163059, 0.162574, 0.161964, 0.160367, 0.158701, 0.156704, 0.154376, 0.151716, 0.148194, 0.137749, 0.11103, 0.105255, 0.0999617, 0.0933133, 0.0839688, 0.078158, 0.0726821, 0.0675413, 0.0582228, 0.0512631, 0.0444991, 0.0398388, 0.0326671, 0.0287665, 0.025323, 0.0210516, 0.0180687, 0.0150392, 0.0137091, 0.0117687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.681182, 0.697068, 0.716071, 0.720329, 0.72562, 0.728782, 0.733121, 0.73664, 0.740159, 0.743527, 0.744649, 0.746633, 0.748616, 0.75576, 0.760522, 0.766462, 0.767922, 0.770112, 0.773033, 0.774493, 0.776246, 0.777998, 0.779167, 0.781504, 0.783797, 0.78609, 0.788384, 0.790677, 0.794159, 0.797641, 0.801123, 0.804604, 0.805661, 0.808831, 0.825976, 0.831499, 0.834958, 0.841877, 0.846817, 0.855662, 0.861532, 0.867403, 0.873273, 0.8817, 0.884884, 0.887431, 0.892036, 0.89664, 0.906254, 0.914713");
-            values ( \
-              "0.0853642, 0.0866314, 0.149463, 0.163027, 0.179135, 0.188314, 0.199464, 0.206884, 0.213115, 0.217947, 0.219339, 0.221453, 0.223385, 0.229165, 0.232823, 0.237154, 0.23796, 0.238954, 0.239876, 0.240165, 0.24007, 0.239794, 0.239509, 0.238697, 0.237072, 0.235123, 0.23285, 0.230254, 0.225693, 0.220386, 0.214333, 0.207534, 0.205025, 0.195925, 0.14509, 0.130077, 0.121349, 0.105055, 0.0944475, 0.0766921, 0.0667587, 0.0581091, 0.0503646, 0.0406292, 0.0372641, 0.0347711, 0.031001, 0.0275582, 0.0215452, 0.0168385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.673192, 0.697134, 0.728754, 0.740793, 0.745503, 0.762088, 0.777027, 0.786953, 0.804729, 0.810739, 0.822543, 0.834717, 0.858936, 0.876329, 0.934037, 0.946628, 0.971809, 1.0008, 1.02422, 1.0412, 1.05302, 1.07666, 1.09798, 1.12889, 1.17011, 1.23903, 1.23938");
-            values ( \
-              "0.0275485, 0.0957807, 0.212501, 0.246457, 0.255771, 0.281723, 0.300759, 0.308149, 0.312517, 0.311785, 0.30809, 0.301453, 0.28027, 0.25663, 0.158772, 0.139502, 0.105481, 0.074714, 0.0555443, 0.0445771, 0.0381344, 0.0277061, 0.0207073, 0.0134877, 0.00746241, 0.00246319, 0.00245695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.687537, 0.711749, 0.735888, 0.747526, 0.772424, 0.781299, 0.796047, 0.813219, 0.836411, 0.859066, 0.892736, 0.906213, 0.95593, 1.00642, 1.028, 1.04773, 1.17091, 1.22755, 1.26423, 1.30337, 1.35556, 1.3804, 1.42182, 1.47704, 1.51254, 1.56456, 1.63392, 1.71442, 1.79493, 1.95594");
-            values ( \
-              "0.116392, 0.156928, 0.25027, 0.279273, 0.326339, 0.338827, 0.352742, 0.36057, 0.362241, 0.358253, 0.347851, 0.342819, 0.322029, 0.295645, 0.281469, 0.266945, 0.165416, 0.124474, 0.102237, 0.0817795, 0.0596866, 0.0511721, 0.0393867, 0.0274889, 0.0217785, 0.0154189, 0.00961504, 0.00551382, 0.0031495, 0.00102332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.709094, 0.754021, 0.788998, 0.8053, 0.819904, 0.847992, 0.877706, 0.914974, 1.0131, 1.24471, 1.30337, 1.34266, 1.40317, 1.47528, 1.67577, 1.74356, 1.82407, 1.8956, 1.96355, 2.02782, 2.13421, 2.18599, 2.2665, 2.347, 2.4731, 2.62682, 2.78783, 2.94884, 3.19036, 3.43188");
-            values ( \
-              "0.300487, 0.30331, 0.366042, 0.380338, 0.387378, 0.392412, 0.391101, 0.386121, 0.369228, 0.32513, 0.311831, 0.301021, 0.281778, 0.255597, 0.177455, 0.152894, 0.126356, 0.105574, 0.0883327, 0.0741801, 0.0549907, 0.0473666, 0.0374012, 0.029414, 0.0200995, 0.0125389, 0.00760964, 0.00460401, 0.00215639, 0.00101181" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0131712, 0.0132154, 0.0132681, 0.0133142, 0.0133446, 0.0133611", \
-            "0.0169459, 0.0169916, 0.0170575, 0.0171258, 0.0171775, 0.0172083", \
-            "0.0194382, 0.0194605, 0.0195024, 0.0195607, 0.0196162, 0.0196539", \
-            "0.0209696, 0.0209687, 0.0209729, 0.0209902, 0.0210187, 0.0210467", \
-            "0.0219816, 0.0219794, 0.0219748, 0.0219705, 0.0219729, 0.0219825", \
-            "0.0225646, 0.0225632, 0.0225607, 0.0225569, 0.0225527, 0.0225518" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0199949, 0.0200387, 0.0201072, 0.0201799, 0.0202338, 0.0202648", \
-            "0.0215015, 0.0214771, 0.0214526, 0.0214478, 0.0214518, 0.0214534", \
-            "0.0210251, 0.020986, 0.0209223, 0.0208496, 0.0207881, 0.0207506", \
-            "0.0206549, 0.0206486, 0.0206274, 0.0205842, 0.0205427, 0.0204968", \
-            "0.0214033, 0.0215677, 0.02171, 0.0217716, 0.0217894, 0.0217812", \
-            "0.0208669, 0.0208692, 0.0208721, 0.0209722, 0.021195, 0.0212503" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0976172, 0.100061, 0.104468, 0.10578, 0.108403, 0.111432, 0.112454, 0.114497, 0.118584, 0.119046, 0.121819, 0.125316, 0.127829, 0.131762, 0.134726, 0.14076, 0.146257, 0.151456, 0.156567, 0.161672, 0.162595, 0.163826, 0.170393, 0.172354, 0.174935, 0.177273, 0.180391, 0.18305, 0.187083, 0.192462, 0.20083");
-            values ( \
-              "-0.0130262, -0.0152316, -0.0226534, -0.0231762, -0.0271758, -0.0347182, -0.0376829, -0.0446463, -0.0607295, -0.0616749, -0.0702524, -0.0801401, -0.0865283, -0.0963064, -0.102845, -0.11494, -0.123381, -0.128597, -0.128208, -0.113958, -0.109737, -0.102505, -0.0607586, -0.0503066, -0.0383435, -0.0297033, -0.020893, -0.0151924, -0.00938309, -0.00492819, -0.00170041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.100548, 0.111567, 0.115276, 0.125447, 0.136847, 0.144954, 0.153972, 0.159703, 0.164851, 0.168556, 0.175649, 0.178653, 0.183411, 0.199316, 0.206018, 0.214435, 0.224186, 0.232723, 0.234166");
-            values ( \
-              "-0.0238851, -0.0499307, -0.0624171, -0.108406, -0.148425, -0.171584, -0.191432, -0.200598, -0.206171, -0.208158, -0.203408, -0.195183, -0.173014, -0.0725681, -0.0456127, -0.024453, -0.0116152, -0.00589342, -0.00548212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0971808, 0.117668, 0.135749, 0.151838, 0.165096, 0.177084, 0.188407, 0.199495, 0.210572, 0.214148, 0.217963, 0.246345, 0.258308, 0.270426, 0.281567, 0.287911, 0.300597, 0.31664");
-            values ( \
-              "-0.00146348, -0.0876632, -0.174802, -0.231871, -0.264714, -0.284886, -0.296279, -0.298256, -0.28371, -0.273702, -0.258543, -0.110291, -0.0697377, -0.0427947, -0.0268859, -0.0205676, -0.0118549, -0.00645758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0942236, 0.167313, 0.177079, 0.198485, 0.209727, 0.218382, 0.235692, 0.249379, 0.257069, 0.272449, 0.277389, 0.287269, 0.311275, 0.334394, 0.354293, 0.367886, 0.380179, 0.39657, 0.41023, 0.428674, 0.453266, 0.499858, 0.55432");
-            values ( \
-              "-0.0139418, -0.305443, -0.327372, -0.361436, -0.372638, -0.378691, -0.384163, -0.381415, -0.376458, -0.357063, -0.346952, -0.318683, -0.22926, -0.154407, -0.106434, -0.081654, -0.0639157, -0.0456374, -0.0344633, -0.0234639, -0.0137773, -0.00473566, -0.00121774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.113689, 0.132231, 0.148375, 0.163769, 0.179352, 0.20125, 0.221631, 0.250013, 0.25983, 0.278556, 0.296865, 0.333803, 0.35047, 0.372266, 0.396966, 0.413448, 0.446412, 0.493667, 0.538453, 0.570659, 0.6042, 0.640414, 0.664261, 0.697466, 0.710126, 0.735446, 0.786086, 0.853514, 0.916766");
-            values ( \
-              "-0.176886, -0.179983, -0.259924, -0.314727, -0.355397, -0.395883, -0.420103, -0.439934, -0.443485, -0.447611, -0.448041, -0.441241, -0.434273, -0.421497, -0.399607, -0.378167, -0.317724, -0.225419, -0.155408, -0.117101, -0.0861808, -0.0614831, -0.0490328, -0.0355991, -0.0315179, -0.0246407, -0.0149081, -0.00750567, -0.00400346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.114538, 0.167456, 0.205326, 0.257041, 0.307189, 0.383512, 0.46055, 0.539733, 0.653106, 0.711987, 0.957752, 1.06798, 1.19359, 1.31711, 1.49305, 1.62535");
-            values ( \
-              "-0.154653, -0.335748, -0.418147, -0.468871, -0.485277, -0.486422, -0.476557, -0.460641, -0.422056, -0.388642, -0.17658, -0.114455, -0.0672535, -0.039339, -0.0184194, -0.011106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.112153, 0.127629, 0.135904, 0.144641, 0.151522, 0.159979, 0.162995, 0.168187, 0.173288, 0.178384, 0.191635, 0.197092, 0.203773, 0.209142, 0.21391");
-            values ( \
-              "-0.00105739, -0.0331647, -0.0627083, -0.0871061, -0.103347, -0.119335, -0.123693, -0.128792, -0.128462, -0.114052, -0.0384166, -0.0208592, -0.00942675, -0.0049037, -0.00312032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.117164, 0.126379, 0.126877, 0.127873, 0.129865, 0.132748, 0.142571, 0.153924, 0.162997, 0.169757, 0.173499, 0.178435, 0.185573, 0.192711, 0.199835, 0.210783, 0.216483, 0.222991, 0.226487, 0.233217, 0.24136, 0.250046, 0.252707");
-            values ( \
-              "-0.0240912, -0.0457837, -0.0453954, -0.0476338, -0.0540789, -0.0644351, -0.109259, -0.149112, -0.174601, -0.189504, -0.196174, -0.203083, -0.208608, -0.203499, -0.176441, -0.103475, -0.0720468, -0.0459805, -0.035551, -0.0214112, -0.0114521, -0.00581174, -0.00505287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.118496, 0.132194, 0.149524, 0.169114, 0.182348, 0.194307, 0.205615, 0.21669, 0.227754, 0.231367, 0.263507, 0.275466, 0.287589, 0.29872, 0.317727, 0.327549");
-            values ( \
-              "-0.0329472, -0.0764209, -0.16105, -0.232128, -0.265601, -0.284956, -0.296976, -0.298266, -0.284248, -0.273788, -0.110337, -0.0697612, -0.0427952, -0.0268916, -0.0118653, -0.00855848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.122829, 0.13896, 0.153125, 0.163471, 0.169248, 0.180802, 0.194118, 0.215478, 0.235399, 0.254742, 0.274063, 0.294436, 0.308039, 0.337561, 0.355841, 0.373036, 0.385533, 0.395884, 0.408166, 0.425537, 0.443785, 0.457791, 0.485805, 0.536455, 0.596506");
-            values ( \
-              "-0.0642022, -0.118815, -0.194389, -0.239495, -0.261237, -0.296566, -0.328074, -0.361886, -0.379373, -0.384639, -0.377256, -0.347271, -0.305941, -0.196854, -0.142368, -0.102944, -0.0805854, -0.0655677, -0.0510923, -0.0356463, -0.0243878, -0.0181498, -0.00988069, -0.00297607, -0.000662852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.122896, 0.17074, 0.186323, 0.196512, 0.21689, 0.238723, 0.268714, 0.293314, 0.31392, 0.350844, 0.383358, 0.401067, 0.42468, 0.44211, 0.502433, 0.523702, 0.550883, 0.593178, 0.622445, 0.649252, 0.684996, 0.713929, 0.745996, 0.788753, 0.85618, 0.923607, 1.05846");
-            values ( \
-              "-0.0654704, -0.280974, -0.331153, -0.355895, -0.39418, -0.420454, -0.441113, -0.447708, -0.448296, -0.441537, -0.425654, -0.412435, -0.386591, -0.358998, -0.240261, -0.202936, -0.161618, -0.111391, -0.0851962, -0.0663796, -0.0472093, -0.0357361, -0.0262084, -0.017195, -0.00867191, -0.00435366, -0.00109212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.143054, 0.176823, 0.200455, 0.220005, 0.245164, 0.272922, 0.299598, 0.323978, 0.362445, 0.400263, 0.46149, 0.508845, 0.556433, 0.623861, 0.669754, 0.728655, 0.796082, 0.909736, 1.00299, 1.08093, 1.14835, 1.17103, 1.21638, 1.27031, 1.33773, 1.40007, 1.50389, 1.63875, 1.7736, 1.8094");
-            values ( \
-              "-0.303459, -0.311081, -0.377236, -0.413586, -0.446571, -0.467266, -0.479524, -0.4843, -0.487727, -0.485455, -0.478807, -0.470517, -0.459755, -0.441194, -0.423041, -0.387813, -0.329332, -0.225306, -0.158211, -0.11582, -0.0877422, -0.0795556, -0.0658382, -0.0520252, -0.0389835, -0.0295288, -0.0186397, -0.0101859, -0.00553625, -0.0048605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.156516, 0.161189, 0.169693, 0.176778, 0.181927, 0.192303, 0.198282, 0.203753, 0.208929, 0.214021, 0.219107, 0.227809, 0.232347, 0.237807, 0.240456, 0.244474, 0.24983, 0.258687, 0.261062");
-            values ( \
-              "-0.0153003, -0.0215641, -0.034919, -0.0630492, -0.0782893, -0.103805, -0.115511, -0.124114, -0.129014, -0.128736, -0.114156, -0.0609325, -0.0384485, -0.0208574, -0.0152486, -0.0094424, -0.00491334, -0.00159495, -0.00136442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.159255, 0.167726, 0.169864, 0.173227, 0.183124, 0.194393, 0.202476, 0.211413, 0.217187, 0.222122, 0.225947, 0.23302, 0.236109, 0.24077, 0.25104, 0.256854, 0.263203, 0.266723, 0.271705, 0.277344, 0.281752, 0.290567, 0.303875");
-            values ( \
-              "-0.0275896, -0.04651, -0.0529681, -0.0649866, -0.110068, -0.149691, -0.172738, -0.192258, -0.201423, -0.206722, -0.208736, -0.203866, -0.19527, -0.173277, -0.103664, -0.0716823, -0.0461455, -0.035727, -0.0245764, -0.0160206, -0.0114038, -0.00564704, -0.00202277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.16143, 0.17297, 0.193517, 0.203516, 0.209464, 0.22136, 0.230075, 0.243556, 0.250486, 0.256922, 0.267937, 0.271971, 0.276043, 0.297179, 0.303852, 0.314993, 0.326281, 0.334984, 0.344244, 0.351376, 0.36564, 0.391013, 0.421172");
-            values ( \
-              "-0.0356985, -0.0784798, -0.177, -0.214956, -0.233238, -0.263114, -0.27902, -0.295189, -0.298909, -0.29852, -0.284179, -0.27252, -0.255529, -0.139328, -0.10981, -0.0717606, -0.0455788, -0.0317236, -0.0215129, -0.0158743, -0.00849352, -0.00254862, -0.000616052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.161346, 0.204118, 0.221688, 0.234776, 0.256111, 0.276012, 0.295344, 0.314654, 0.334048, 0.340872, 0.348671, 0.378146, 0.396418, 0.41361, 0.426108, 0.436461, 0.448742, 0.466111, 0.484356, 0.49836, 0.526367, 0.577026, 0.637088");
-            values ( \
-              "-0.035844, -0.240659, -0.298066, -0.328482, -0.362405, -0.379492, -0.384961, -0.377268, -0.349534, -0.331881, -0.305811, -0.196847, -0.142381, -0.102958, -0.0805929, -0.0655686, -0.0510922, -0.0356479, -0.02439, -0.0181518, -0.0098831, -0.00297547, -0.000662631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.161242, 0.205041, 0.224438, 0.237187, 0.260213, 0.27932, 0.309078, 0.334363, 0.354499, 0.391408, 0.407866, 0.424585, 0.440329, 0.461321, 0.471022, 0.490426, 0.544671, 0.576528, 0.593815, 0.621744, 0.653663, 0.671623, 0.692875, 0.718003, 0.753076, 0.767491, 0.796322, 0.853984, 0.921411, 0.988838, 1.12369");
-            values ( \
-              "-0.0396786, -0.257258, -0.324881, -0.356687, -0.398724, -0.420782, -0.44124, -0.447933, -0.448438, -0.441632, -0.434672, -0.425307, -0.413621, -0.391767, -0.378334, -0.344583, -0.237136, -0.183292, -0.158297, -0.124016, -0.0927874, -0.0786243, -0.0644725, -0.0508124, -0.0362316, -0.031539, -0.0238174, -0.0133829, -0.00673189, -0.00338274, -0.000851291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.181424, 0.241602, 0.280327, 0.321476, 0.355371, 0.384337, 0.44122, 0.518272, 0.59726, 0.666622, 0.707105, 0.769712, 0.968946, 1.04912, 1.15033, 1.21003, 1.28658, 1.40541, 1.58087, 1.76786");
-            values ( \
-              "-0.245309, -0.378474, -0.441023, -0.471079, -0.483599, -0.487174, -0.486155, -0.476285, -0.46042, -0.44063, -0.424733, -0.388193, -0.210573, -0.154919, -0.102799, -0.080104, -0.0578069, -0.034448, -0.0158344, -0.00810315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.253187, 0.259707, 0.261946, 0.281025, 0.293716, 0.299143, 0.304298, 0.309365, 0.314426, 0.32764, 0.333109, 0.339734, 0.345056, 0.353559, 0.360598");
-            values ( \
-              "-0.0200832, -0.0227236, -0.0273393, -0.088578, -0.116559, -0.124837, -0.129815, -0.129213, -0.114599, -0.0385345, -0.0208549, -0.00948119, -0.0049471, -0.00170234, -0.00127768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.253337, 0.267757, 0.283351, 0.298738, 0.3067, 0.314088, 0.321208, 0.328318, 0.335428, 0.35203, 0.358588, 0.367025, 0.376876, 0.385508, 0.397681");
-            values ( \
-              "-0.0184618, -0.0592801, -0.128837, -0.176008, -0.19284, -0.204164, -0.209193, -0.204166, -0.176661, -0.0723163, -0.0458918, -0.0245444, -0.0115441, -0.00581882, -0.00239196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.260238, 0.273617, 0.28534, 0.295941, 0.30479, 0.317966, 0.329891, 0.341178, 0.352236, 0.363284, 0.366955, 0.37087, 0.39261, 0.399019, 0.410977, 0.423109, 0.434229, 0.440554, 0.453204, 0.476604, 0.503922");
-            values ( \
-              "-0.0699226, -0.100757, -0.16239, -0.20549, -0.233531, -0.266378, -0.285739, -0.297369, -0.298735, -0.284407, -0.273808, -0.257959, -0.138788, -0.110384, -0.0697872, -0.0427877, -0.0268973, -0.0205932, -0.0118843, -0.00398949, -0.0010986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.265166, 0.279653, 0.29187, 0.305258, 0.320175, 0.330008, 0.349673, 0.361279, 0.371178, 0.390489, 0.4098, 0.429195, 0.436018, 0.443815, 0.473293, 0.491565, 0.508757, 0.521255, 0.531608, 0.543888, 0.561257, 0.579503, 0.593507, 0.621516, 0.672152, 0.732191");
-            values ( \
-              "-0.136158, -0.144838, -0.209199, -0.263136, -0.307072, -0.329023, -0.36058, -0.372575, -0.379484, -0.384943, -0.377263, -0.349523, -0.331879, -0.305822, -0.19685, -0.142382, -0.102959, -0.0805936, -0.0655698, -0.0510934, -0.0356478, -0.0243897, -0.0181515, -0.00988324, -0.00297709, -0.000664013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.274879, 0.300743, 0.332289, 0.354687, 0.374371, 0.403639, 0.430341, 0.449525, 0.486423, 0.503307, 0.524868, 0.549079, 0.56602, 0.677903, 0.730887, 0.783355, 0.846262, 0.913121, 0.97102, 1.00651");
-            values ( \
-              "-0.253912, -0.260733, -0.357403, -0.398181, -0.421092, -0.441118, -0.448104, -0.448526, -0.441638, -0.434495, -0.421774, -0.400343, -0.378349, -0.173873, -0.109301, -0.0673501, -0.0368986, -0.0192752, -0.0107888, -0.00796128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.284146, 0.326996, 0.336602, 0.355813, 0.381211, 0.410858, 0.436501, 0.459972, 0.498409, 0.536229, 0.598094, 0.643039, 0.692375, 0.759802, 0.805659, 0.864572, 1.04965, 1.11708, 1.15299, 1.206, 1.27342, 1.30053, 1.34352, 1.39265, 1.46008, 1.50449, 1.5422, 1.59249, 1.65991, 1.72734, 1.79477, 1.8622, 1.99705, 2.19933");
-            values ( \
-              "-0.346336, -0.35459, -0.378355, -0.414237, -0.446942, -0.468939, -0.479895, -0.484667, -0.487713, -0.485722, -0.478655, -0.470808, -0.459962, -0.441127, -0.422991, -0.387975, -0.222133, -0.172512, -0.149782, -0.121111, -0.091747, -0.0817874, -0.0683006, -0.0552591, -0.0413065, -0.0339551, -0.0287937, -0.0231394, -0.017095, -0.0127205, -0.0093535, -0.00697485, -0.00382321, -0.00149758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.444332, 0.45752, 0.465488, 0.47183, 0.487327, 0.502211, 0.508251, 0.513829, 0.519233, 0.525384, 0.533747, 0.539837, 0.545553, 0.552416, 0.558335, 0.564545, 0.573076, 0.596197");
-            values ( \
-              "-0.00643046, -0.0134612, -0.0229141, -0.0354044, -0.0726819, -0.103119, -0.113819, -0.120776, -0.122362, -0.106758, -0.058253, -0.031526, -0.0165587, -0.00748023, -0.00370137, -0.00184234, -0.000815548, -0.000626579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.425673, 0.448845, 0.460147, 0.466205, 0.472788, 0.507336, 0.515994, 0.523835, 0.531277, 0.538672, 0.546062, 0.566227, 0.572901, 0.580319, 0.589597");
-            values ( \
-              "-0.00331109, -0.00918586, -0.0239892, -0.0352395, -0.0505871, -0.159191, -0.180483, -0.194146, -0.200553, -0.197034, -0.171516, -0.0585184, -0.0366826, -0.0211856, -0.0105769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.447835, 0.467889, 0.472732, 0.481794, 0.495265, 0.513236, 0.527168, 0.539653, 0.550259, 0.562823, 0.570619, 0.574362, 0.580226, 0.610314, 0.622336, 0.634438, 0.645683, 0.652109, 0.664963, 0.679264");
-            values ( \
-              "-0.015713, -0.0500077, -0.0634568, -0.0937382, -0.150656, -0.217812, -0.254535, -0.274049, -0.286087, -0.289478, -0.284095, -0.277498, -0.260606, -0.109589, -0.0693592, -0.0427019, -0.0267651, -0.0204225, -0.0117078, -0.00697396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.444962, 0.477237, 0.485867, 0.513109, 0.523348, 0.537, 0.543513, 0.550038, 0.567813, 0.581043, 0.58511, 0.589759, 0.599056, 0.605588, 0.613131, 0.620766, 0.636037, 0.641209, 0.651552, 0.685095, 0.698252, 0.708183, 0.718337, 0.731886, 0.744059, 0.760291, 0.774124, 0.792805, 0.817714, 0.864533, 0.919113");
-            values ( \
-              "-0.00318855, -0.0882703, -0.121442, -0.243351, -0.278335, -0.311701, -0.32402, -0.334534, -0.358408, -0.371121, -0.37379, -0.376261, -0.379194, -0.379475, -0.377802, -0.373572, -0.355555, -0.345446, -0.316269, -0.194561, -0.154452, -0.128746, -0.106216, -0.0815098, -0.0639583, -0.0458978, -0.0344723, -0.0233437, -0.0136721, -0.00461927, -0.00123222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.442334, 0.550084, 0.573538, 0.584872, 0.600032, 0.623538, 0.65712, 0.668345, 0.683116, 0.698069, 0.727977, 0.736415, 0.753292, 0.777546, 0.819683, 0.859665, 0.893964, 0.908595, 0.931119, 0.961152, 0.978432, 0.997933, 1.0236, 1.05921, 1.07422, 1.10423, 1.16425, 1.23168, 1.29911, 1.36653, 1.43396");
-            values ( \
-              "-0.0023706, -0.360328, -0.398349, -0.412406, -0.426434, -0.439937, -0.446663, -0.446252, -0.444153, -0.440235, -0.426716, -0.421204, -0.407488, -0.37819, -0.299364, -0.222323, -0.167452, -0.147693, -0.121124, -0.0921875, -0.0786046, -0.0655269, -0.0513716, -0.0364535, -0.0315398, -0.0235527, -0.0128955, -0.0064941, -0.00325443, -0.00163458, -0.000814905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.484364, 0.523806, 0.54994, 0.566992, 0.591223, 0.612822, 0.643346, 0.670746, 0.709431, 0.747225, 0.805782, 0.861074, 0.903372, 0.970799, 1.01666, 1.07557, 1.143, 1.21718, 1.26065, 1.32808, 1.364, 1.417, 1.48443, 1.55452, 1.60365, 1.67108, 1.71549, 1.8035, 1.87093, 1.93835, 2.07321, 2.20806, 2.41034");
-            values ( \
-              "-0.265149, -0.305673, -0.372584, -0.404446, -0.438679, -0.458575, -0.475454, -0.482965, -0.486633, -0.485386, -0.47882, -0.469229, -0.460034, -0.440992, -0.422871, -0.388079, -0.329115, -0.259328, -0.222208, -0.172437, -0.149831, -0.121154, -0.0917017, -0.0682708, -0.05529, -0.0412782, -0.033984, -0.0231146, -0.0171177, -0.0126969, -0.00695242, -0.00380178, -0.00151759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.84328, 0.855909, 0.864154, 0.875486, 0.881189, 0.89059, 0.90709, 0.926119, 0.940867, 0.94757, 0.954256, 0.970151, 0.975667, 0.983175, 0.989305, 0.99925, 1.00166");
-            values ( \
-              "-0.00262166, -0.00561794, -0.00857163, -0.015049, -0.019835, -0.0317128, -0.0570262, -0.0809448, -0.0963671, -0.0997651, -0.0940798, -0.0311636, -0.0177972, -0.00800954, -0.00412765, -0.00146178, -0.0013595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.844162, 0.859959, 0.871027, 0.872985, 0.8769, 0.884473, 0.887928, 0.894837, 0.90181, 0.919169, 0.932083, 0.942875, 0.952409, 0.961097, 0.969447, 0.977768, 0.978877, 0.980354, 0.988465, 0.990754, 0.992203, 0.995103, 0.998939, 1.00206, 1.00571, 1.01089, 1.01273, 1.01442, 1.01668, 1.0212, 1.03023, 1.03609");
-            values ( \
-              "-0.00462105, -0.0110993, -0.0190472, -0.0212631, -0.0249823, -0.034075, -0.0393319, -0.0521741, -0.067747, -0.101592, -0.125983, -0.14613, -0.162611, -0.174712, -0.178272, -0.15971, -0.155391, -0.147964, -0.101806, -0.0896404, -0.0823849, -0.0690533, -0.0539382, -0.0437542, -0.0339741, -0.0234077, -0.0204879, -0.0181144, -0.0153517, -0.0109803, -0.0055022, -0.00400746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.865542, 0.89349, 0.930447, 0.955397, 0.969462, 0.982097, 0.994096, 1.0061, 1.01815, 1.03617, 1.04401, 1.05606, 1.0626, 1.07132, 1.07854, 1.08965, 1.10447, 1.12138");
-            values ( \
-              "-0.0322956, -0.0611572, -0.152921, -0.218114, -0.250595, -0.270365, -0.278427, -0.268831, -0.228626, -0.136588, -0.103992, -0.0662134, -0.051237, -0.0359535, -0.0267319, -0.016815, -0.00887942, -0.0047389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.83801, 0.888053, 0.893324, 0.899348, 0.913754, 0.937383, 0.968118, 0.986297, 0.991697, 1.0025, 1.01287, 1.03315, 1.05331, 1.07429, 1.07926, 1.08589, 1.118, 1.13089, 1.13929, 1.15417, 1.16675, 1.1771, 1.18951, 1.20705, 1.21907, 1.22793, 1.2373, 1.25122, 1.27364, 1.29356");
-            values ( \
-              "-0.00351299, -0.058649, -0.0695221, -0.0829724, -0.121114, -0.191876, -0.287156, -0.327461, -0.336026, -0.3499, -0.359292, -0.368459, -0.363995, -0.337951, -0.32661, -0.307469, -0.194998, -0.156211, -0.134362, -0.10179, -0.0797808, -0.0650651, -0.0506927, -0.035364, -0.0274876, -0.0228241, -0.0187953, -0.0140991, -0.00877994, -0.00608872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.835697, 0.889006, 0.90019, 0.920574, 0.969905, 0.986804, 1.00293, 1.03642, 1.05512, 1.09016, 1.11292, 1.13151, 1.17073, 1.19774, 1.22271, 1.30022, 1.33155, 1.38082, 1.42893, 1.45551, 1.49305, 1.56356, 1.62487, 1.68972");
-            values ( \
-              "-0.000519822, -0.0671218, -0.092621, -0.148503, -0.316073, -0.357141, -0.384682, -0.418528, -0.428202, -0.435832, -0.434295, -0.430421, -0.412745, -0.390301, -0.356869, -0.213076, -0.164557, -0.106775, -0.0685249, -0.0533037, -0.0371264, -0.0187266, -0.0100956, -0.00527018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.90118, 0.944015, 0.973503, 0.991093, 1.02125, 1.04563, 1.07588, 1.10289, 1.14253, 1.26275, 1.30737, 1.33923, 1.40297, 1.46031, 1.51161, 1.57903, 1.65315, 1.69656, 1.76399, 1.80005, 1.85329, 1.92072, 1.99019, 2.03885, 2.10628, 2.15124, 2.24076, 2.30819, 2.37561, 2.51047, 2.64532, 2.8476");
-            values ( \
-              "-0.232591, -0.235416, -0.337825, -0.381208, -0.42634, -0.447367, -0.464442, -0.471115, -0.476206, -0.470057, -0.464622, -0.458617, -0.441786, -0.419032, -0.387979, -0.329035, -0.259341, -0.222292, -0.17249, -0.149812, -0.121023, -0.0915897, -0.0683661, -0.0554899, -0.0414217, -0.0340285, -0.0229843, -0.0170269, -0.0126234, -0.00691063, -0.00377752, -0.00151219" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0120175, 0.0120405, 0.0120654, 0.0120864, 0.0121007, 0.0121085", \
-            "0.0142339, 0.0142522, 0.0142789, 0.0143003, 0.0143169, 0.0143269", \
-            "0.0155987, 0.0156111, 0.0156315, 0.0156559, 0.0156731, 0.0156844", \
-            "0.0164516, 0.016458, 0.0164699, 0.0164872, 0.0165044, 0.0165154", \
-            "0.0169388, 0.0169409, 0.0169454, 0.0169538, 0.0169653, 0.016975", \
-            "0.017205, 0.0172055, 0.0172067, 0.0172093, 0.0172141, 0.0172204" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0168904, 0.0169296, 0.0169845, 0.0170378, 0.0170771, 0.0171", \
-            "0.0188999, 0.018935, 0.0189904, 0.019061, 0.0191186, 0.0191543", \
-            "0.0202815, 0.0202949, 0.020323, 0.0203688, 0.020424, 0.0204643", \
-            "0.0211396, 0.0211297, 0.0211199, 0.0211211, 0.02114, 0.0211666", \
-            "0.0218784, 0.0218737, 0.0218566, 0.0218297, 0.0218089, 0.0218055", \
-            "0.0227693, 0.0228182, 0.0228963, 0.0229439, 0.0229613, 0.0229582" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.258474, 0.299256, 0.382923, 0.556424, 0.919425, 1.69741", \
-            "0.26451, 0.30522, 0.389145, 0.562803, 0.926158, 1.70415", \
-            "0.277708, 0.318554, 0.402388, 0.576592, 0.940463, 1.71776", \
-            "0.305882, 0.346764, 0.430947, 0.605409, 0.969887, 1.7481", \
-            "0.36358, 0.406371, 0.492419, 0.669398, 1.03503, 1.813", \
-            "0.4563, 0.507574, 0.608951, 0.80346, 1.17775, 1.95845" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.034129, 0.0491275, 0.0823032, 0.158398, 0.333296, 0.728835", \
-            "0.0341319, 0.049094, 0.082332, 0.158383, 0.333295, 0.728859", \
-            "0.0341321, 0.0491205, 0.0823498, 0.158393, 0.333298, 0.728846", \
-            "0.0341247, 0.049129, 0.082287, 0.158387, 0.333287, 0.728882", \
-            "0.0342641, 0.0491958, 0.0822992, 0.158397, 0.333276, 0.728867", \
-            "0.0347154, 0.0500035, 0.083578, 0.158438, 0.333316, 0.728854" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.20614, 0.238612, 0.30487, 0.440662, 0.722899, 1.32435", \
-            "0.210256, 0.242853, 0.309236, 0.44522, 0.727835, 1.3288", \
-            "0.218364, 0.251249, 0.31816, 0.454439, 0.737185, 1.33851", \
-            "0.233371, 0.267866, 0.336975, 0.474463, 0.757659, 1.3597", \
-            "0.256002, 0.294871, 0.370899, 0.5159, 0.802788, 1.40549", \
-            "0.273227, 0.320663, 0.41252, 0.582785, 0.893661, 1.50423" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0298731, 0.0416199, 0.0662049, 0.119802, 0.241433, 0.519581", \
-            "0.0298845, 0.0416061, 0.0662138, 0.119727, 0.24116, 0.519598", \
-            "0.0298944, 0.0416223, 0.066189, 0.119777, 0.241198, 0.519586", \
-            "0.0299828, 0.0416773, 0.0662015, 0.119741, 0.241379, 0.519575", \
-            "0.0295533, 0.0416986, 0.0663215, 0.119798, 0.241367, 0.519571", \
-            "0.0294946, 0.0412792, 0.0662168, 0.120211, 0.241383, 0.519587" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.227711, 0.234881, 0.253186, 0.259293, 0.26478, 0.269994, 0.275172, 0.280346, 0.28972, 0.297195, 0.304427, 0.312093, 0.322228, 0.336126, 0.348494, 0.35272");
-            values ( \
-              "0.0128979, 0.0276015, 0.0994826, 0.115144, 0.123864, 0.127502, 0.125863, 0.115242, 0.0699144, 0.0442013, 0.0280361, 0.0170227, 0.00872322, 0.00364977, 0.00173861, 0.00158465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.255552, 0.264012, 0.275219, 0.281582, 0.28744, 0.295774, 0.303404, 0.31083, 0.318338, 0.323641, 0.341931, 0.352793, 0.362728, 0.368343, 0.375119, 0.384153, 0.389071, 0.39692, 0.407384, 0.428313, 0.428676");
-            values ( \
-              "0.0171053, 0.0524097, 0.113399, 0.143364, 0.165215, 0.187358, 0.19714, 0.199171, 0.192061, 0.178713, 0.0992118, 0.0643391, 0.0420704, 0.0328329, 0.0241969, 0.0159388, 0.0127362, 0.00889931, 0.00549659, 0.00201711, 0.00199731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.307334, 0.316902, 0.341717, 0.353363, 0.36439, 0.370328, 0.382581, 0.394831, 0.407645, 0.459085, 0.477383, 0.499932, 0.521305, 0.554157, 0.564837");
-            values ( \
-              "0.0246305, 0.0466921, 0.187439, 0.233823, 0.259015, 0.266419, 0.271219, 0.265421, 0.248543, 0.103002, 0.067121, 0.0379424, 0.0214843, 0.00877434, 0.00693811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.423507, 0.445028, 0.458852, 0.467154, 0.474394, 0.488808, 0.499047, 0.516911, 0.521723, 0.531347, 0.544405, 0.567971, 0.578672, 0.590901, 0.606414, 0.670373, 0.684996, 0.707385, 0.725139, 0.738862, 0.759329, 0.776402, 0.794464, 0.820161, 0.831267, 0.853479, 0.897902, 0.969333, 1.05402");
-            values ( \
-              "0.0184906, 0.118729, 0.200658, 0.241879, 0.270139, 0.307219, 0.320709, 0.328952, 0.328766, 0.326536, 0.320851, 0.30497, 0.295443, 0.282436, 0.261534, 0.153769, 0.131908, 0.10257, 0.0830958, 0.0701397, 0.0539813, 0.0431539, 0.0338571, 0.0237669, 0.0203818, 0.0149215, 0.00780637, 0.00253421, 0.000619272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.638991, 0.760699, 0.78009, 0.788376, 0.804948, 0.833909, 0.881197, 0.931038, 0.981437, 1.03017, 1.13433, 1.20848, 1.2713, 1.31487, 1.36024, 1.4364, 1.48578, 1.56069, 1.66056, 1.76924");
-            values ( \
-              "0.0174859, 0.360415, 0.366572, 0.366934, 0.364964, 0.358028, 0.341816, 0.321474, 0.295471, 0.261309, 0.174767, 0.120738, 0.0849964, 0.0655494, 0.0495299, 0.0303714, 0.0219657, 0.0133135, 0.00663228, 0.00306717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.16256, 1.25112, 1.2743, 1.29868, 1.32121, 1.34695, 1.41871, 1.5767, 1.70953, 1.81945, 1.93539, 2.1583, 2.32955, 2.43738, 2.62067, 2.75453, 2.86446, 3.10983, 3.42029");
-            values ( \
-              "0.0889987, 0.335585, 0.368163, 0.38229, 0.386295, 0.386705, 0.379459, 0.354066, 0.328465, 0.301986, 0.264176, 0.17824, 0.120134, 0.091083, 0.0549271, 0.0372147, 0.0266783, 0.0126902, 0.00495729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.246283, 0.255157, 0.265633, 0.273209, 0.27932, 0.284803, 0.290018, 0.295195, 0.30037, 0.309745, 0.31722, 0.324449, 0.332119, 0.342254, 0.35615, 0.368516, 0.370156");
-            values ( \
-              "0.00112664, 0.0284349, 0.0726636, 0.0994791, 0.115119, 0.123873, 0.127477, 0.125879, 0.115221, 0.0699112, 0.0442012, 0.0280396, 0.0170195, 0.00872146, 0.00365078, 0.00173813, 0.00167837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.274266, 0.303736, 0.307402, 0.314734, 0.321647, 0.326745, 0.330768, 0.33815, 0.341732, 0.345429, 0.360201, 0.371089, 0.380248, 0.392133, 0.399551, 0.406073, 0.41531, 0.427627, 0.442886");
-            values ( \
-              "0.00839134, 0.152183, 0.165444, 0.18515, 0.195668, 0.198868, 0.198761, 0.192288, 0.184256, 0.171901, 0.105667, 0.0687856, 0.0467787, 0.0276098, 0.0196678, 0.0145794, 0.00954988, 0.00538766, 0.00293505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.327704, 0.341292, 0.368285, 0.377178, 0.390041, 0.402278, 0.414514, 0.426795, 0.434837, 0.463535, 0.479481, 0.496326, 0.516698, 0.530705, 0.558999, 0.579884, 0.614999");
-            values ( \
-              "0.0243679, 0.0692035, 0.21709, 0.245291, 0.266674, 0.271547, 0.265397, 0.249696, 0.231585, 0.142792, 0.101352, 0.0683406, 0.0409336, 0.0283627, 0.0132103, 0.00735634, 0.00262862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.46576, 0.489079, 0.495154, 0.498192, 0.50123, 0.504267, 0.507305, 0.510426, 0.513548, 0.516669, 0.519791, 0.524079, 0.528367, 0.532655, 0.536943, 0.54523, 0.553518, 0.559334, 0.56515, 0.57656, 0.588717, 0.590213, 0.594698, 0.602174, 0.608155, 0.613171, 0.617898, 0.621922, 0.62727, 0.633047, 0.642355, 0.66097, 0.687481, 0.696704, 0.705926, 0.718223, 0.724371, 0.743538, 0.750035, 0.756532, 0.767818, 0.779103, 0.7857, 0.795596, 0.798894, 0.805491, 0.818685, 0.825282, 0.829918, 0.850687");
-            values ( \
-              "0.24909, 0.24925, 0.274018, 0.283669, 0.292262, 0.299794, 0.306268, 0.31093, 0.315065, 0.318674, 0.321755, 0.325123, 0.327501, 0.328888, 0.329286, 0.327744, 0.325471, 0.322903, 0.319987, 0.312164, 0.304763, 0.3037, 0.299702, 0.292399, 0.286126, 0.28048, 0.274351, 0.268717, 0.260828, 0.251723, 0.236388, 0.203834, 0.158764, 0.144567, 0.131214, 0.114723, 0.107041, 0.0848005, 0.0784988, 0.072537, 0.0632358, 0.0544676, 0.049589, 0.0433648, 0.0414607, 0.0381119, 0.0320306, 0.0292982, 0.0275878, 0.020459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.660865, 0.78131, 0.800638, 0.808985, 0.854517, 0.901805, 0.951634, 1.00201, 1.05124, 1.18033, 1.23066, 1.29026, 1.33158, 1.38214, 1.46708, 1.56843, 1.65013, 1.76005, 1.7738");
-            values ( \
-              "0.021396, 0.36047, 0.366556, 0.366968, 0.358054, 0.341844, 0.321506, 0.295467, 0.260953, 0.15506, 0.119714, 0.0858107, 0.0671262, 0.0491321, 0.0284107, 0.0145208, 0.00828534, 0.00379051, 0.00360248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.18433, 1.23164, 1.25021, 1.2674, 1.279, 1.29448, 1.31716, 1.33171, 1.35112, 1.38463, 1.41828, 1.5171, 1.61688, 1.72963, 1.83955, 1.8966, 1.9618, 2.18014, 2.29358, 2.3822, 2.44473, 2.51689, 2.61206, 2.64078, 2.69822, 2.80815, 2.90342, 3.03006, 3.13998, 3.2499, 3.35983, 3.46975, 3.6896, 3.90944");
-            values ( \
-              "0.0997618, 0.213707, 0.281063, 0.327554, 0.348853, 0.367577, 0.381417, 0.384964, 0.386753, 0.385652, 0.382145, 0.367658, 0.350457, 0.328489, 0.301989, 0.284591, 0.261863, 0.177668, 0.137801, 0.110849, 0.0943093, 0.0776676, 0.0595583, 0.0548745, 0.0465234, 0.0336034, 0.025254, 0.0171892, 0.0122297, 0.00870564, 0.00616406, 0.00438344, 0.00220363, 0.00110933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.291734, 0.299159, 0.317194, 0.323305, 0.32879, 0.334005, 0.339182, 0.344357, 0.35373, 0.361206, 0.368438, 0.376104, 0.38624, 0.400139, 0.412509, 0.417791");
-            values ( \
-              "0.012896, 0.0284689, 0.0993689, 0.11521, 0.123775, 0.127571, 0.125786, 0.11531, 0.0699187, 0.0442053, 0.0280371, 0.0170206, 0.00872118, 0.00365054, 0.00173707, 0.00154466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.320251, 0.328127, 0.339699, 0.344911, 0.351535, 0.359868, 0.367496, 0.374921, 0.382335, 0.387738, 0.40602, 0.416882, 0.426818, 0.432433, 0.439208, 0.448242, 0.453161, 0.461009, 0.471474, 0.492404, 0.492717");
-            values ( \
-              "0.0220177, 0.0526495, 0.11542, 0.140057, 0.165343, 0.187353, 0.197222, 0.199144, 0.192243, 0.178698, 0.0992111, 0.0643418, 0.0420684, 0.0328311, 0.0241951, 0.0159406, 0.0127378, 0.00890071, 0.00549464, 0.00201856, 0.00200145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.372357, 0.38725, 0.396395, 0.405451, 0.412312, 0.421352, 0.428235, 0.434183, 0.446446, 0.458707, 0.471523, 0.478879, 0.485744, 0.505001, 0.520031, 0.531967, 0.54461, 0.555268, 0.56505, 0.578093, 0.588222, 0.599451, 0.614423, 0.644368, 0.662922");
-            values ( \
-              "0.00779519, 0.0806623, 0.136572, 0.186173, 0.216543, 0.244908, 0.258659, 0.266112, 0.271054, 0.265276, 0.24846, 0.231915, 0.212068, 0.150818, 0.110025, 0.0838549, 0.0618261, 0.0472761, 0.0367562, 0.0260077, 0.0198209, 0.0146349, 0.00968383, 0.00405743, 0.00271657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.488005, 0.509937, 0.5238, 0.533427, 0.539357, 0.551216, 0.564001, 0.581202, 0.586683, 0.597647, 0.609368, 0.632189, 0.643409, 0.655377, 0.674152, 0.731684, 0.743957, 0.768502, 0.787853, 0.800973, 0.823384, 0.843008, 0.869174, 0.887947, 0.908743, 0.936471, 0.991926, 1.06877, 1.16271");
-            values ( \
-              "0.0163894, 0.118255, 0.200335, 0.247506, 0.269946, 0.302157, 0.320559, 0.328917, 0.328619, 0.326131, 0.320743, 0.305618, 0.295654, 0.283007, 0.257304, 0.159502, 0.14064, 0.10716, 0.0853678, 0.0726699, 0.0545831, 0.042191, 0.0295783, 0.0228366, 0.0171043, 0.0115394, 0.00502929, 0.00145244, 0.000286719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.715091, 0.808084, 0.827163, 0.845914, 0.854178, 0.870706, 0.899714, 0.938425, 0.996842, 1.04724, 1.09593, 1.20011, 1.2741, 1.33729, 1.38114, 1.42589, 1.50101, 1.55148, 1.62795, 1.72991, 1.83677");
-            values ( \
-              "0.0149679, 0.340816, 0.360695, 0.366623, 0.366818, 0.365043, 0.357939, 0.344956, 0.321393, 0.295548, 0.26135, 0.174789, 0.120859, 0.0849016, 0.0653657, 0.0495777, 0.0306109, 0.0219824, 0.0131822, 0.00646264, 0.00304616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.20964, 1.27418, 1.30338, 1.31537, 1.33934, 1.36287, 1.36646, 1.38801, 1.41315, 1.4527, 1.48272, 1.56158, 1.66492, 1.77414, 1.88407, 1.93818, 2.00003, 2.22293, 2.28428, 2.39421, 2.46518, 2.57084, 2.68529, 2.73558, 2.81917, 2.92909, 3.06872, 3.17439, 3.28431, 3.50416, 3.72401, 3.94385");
-            values ( \
-              "0.0317397, 0.198047, 0.301548, 0.330439, 0.36707, 0.381196, 0.382704, 0.38629, 0.3864, 0.383435, 0.379606, 0.367707, 0.34986, 0.328542, 0.301907, 0.285574, 0.264104, 0.178289, 0.15604, 0.120176, 0.100389, 0.0756778, 0.054883, 0.0474771, 0.0371698, 0.0267195, 0.0175058, 0.0126548, 0.00898017, 0.00451396, 0.00226086, 0.00112966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.38662, 0.397048, 0.405994, 0.413558, 0.419665, 0.425147, 0.43036, 0.435537, 0.440712, 0.450083, 0.457559, 0.464794, 0.472455, 0.482591, 0.496492, 0.508862, 0.511928");
-            values ( \
-              "1.47986e-05, 0.0343621, 0.0727947, 0.0995019, 0.115221, 0.123842, 0.127548, 0.125833, 0.115281, 0.0699263, 0.0442079, 0.0280337, 0.0170254, 0.00872375, 0.00365006, 0.00173767, 0.00162591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.415275, 0.41928, 0.423122, 0.437868, 0.447968, 0.456302, 0.463933, 0.471359, 0.478774, 0.484175, 0.50246, 0.513322, 0.523259, 0.535646, 0.549599, 0.567928, 0.577374");
-            values ( \
-              "0.0216884, 0.031215, 0.0452486, 0.123728, 0.165393, 0.187163, 0.197303, 0.199003, 0.19233, 0.178698, 0.0992154, 0.0643382, 0.0420688, 0.0242007, 0.0127362, 0.00549314, 0.00392391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.484099, 0.499417, 0.502112, 0.505775, 0.50809, 0.510406, 0.512722, 0.514136, 0.51838, 0.521209, 0.524039, 0.526868, 0.529697, 0.531972, 0.534247, 0.535404, 0.53772, 0.541158, 0.543438, 0.545718, 0.547998, 0.550278, 0.552558, 0.554119, 0.557226, 0.560317, 0.561862, 0.563513, 0.566814, 0.571714, 0.574963, 0.578213, 0.582743, 0.597177, 0.603354, 0.608591, 0.613828, 0.619065, 0.628925, 0.632932, 0.634935, 0.640945, 0.646476, 0.655475, 0.660676, 0.662409, 0.665877, 0.672811, 0.678599, 0.689039");
-            values ( \
-              "0.161635, 0.173116, 0.187162, 0.20442, 0.214239, 0.223211, 0.231336, 0.235308, 0.245982, 0.251971, 0.257192, 0.261644, 0.265328, 0.267697, 0.269354, 0.269733, 0.270283, 0.270585, 0.270447, 0.27003, 0.269336, 0.268366, 0.267119, 0.266052, 0.263391, 0.259857, 0.257772, 0.255165, 0.249441, 0.239684, 0.232385, 0.224423, 0.212077, 0.165382, 0.146166, 0.130917, 0.117417, 0.104861, 0.0835651, 0.0755592, 0.0721225, 0.0624855, 0.0547245, 0.0429998, 0.037467, 0.0357768, 0.0328057, 0.0274327, 0.0236044, 0.0176189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.584012, 0.636141, 0.650678, 0.660782, 0.678477, 0.693401, 0.706132, 0.729698, 0.752531, 0.768694, 0.848233, 0.885654, 0.907228, 0.934157, 0.979397, 1.01285, 1.03964, 1.09324, 1.10875");
-            values ( \
-              "0.0104404, 0.270312, 0.307609, 0.320778, 0.329004, 0.326478, 0.320841, 0.304978, 0.282554, 0.260703, 0.129762, 0.0843138, 0.0644467, 0.0454743, 0.0245597, 0.0154293, 0.0105395, 0.00473339, 0.00406056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.825192, 0.856474, 0.879026, 0.89476, 0.905627, 0.924757, 0.938719, 0.951651, 0.974218, 0.997195, 1.03621, 1.0943, 1.14469, 1.19366, 1.32264, 1.37251, 1.43376, 1.47625, 1.52414, 1.55095, 1.60457, 1.64945, 1.71781, 1.80895, 1.91887, 1.95224");
-            values ( \
-              "0.0462638, 0.165194, 0.271946, 0.321076, 0.341952, 0.361154, 0.366134, 0.366858, 0.36404, 0.357875, 0.344881, 0.321374, 0.295588, 0.261134, 0.155319, 0.120242, 0.0853926, 0.0663099, 0.049336, 0.0416562, 0.029403, 0.021902, 0.01388, 0.00738468, 0.00337529, 0.00296892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.34472, 1.38441, 1.39728, 1.41428, 1.43731, 1.46201, 1.47135, 1.4838, 1.50871, 1.54826, 1.58743, 1.63965, 1.70136, 1.76352, 1.86788, 1.94006, 1.99063, 2.09177, 2.31827, 2.37294, 2.48229, 2.54517, 2.61332, 2.70419, 2.76705, 2.81264, 2.87343, 2.98336, 3.08502, 3.13547, 3.23637, 3.34629, 3.45621, 3.56614, 3.78598, 4.11575");
-            values ( \
-              "0.241125, 0.249012, 0.292197, 0.334709, 0.367501, 0.382223, 0.384489, 0.386139, 0.386734, 0.383675, 0.378679, 0.37096, 0.360788, 0.349774, 0.32955, 0.31313, 0.299648, 0.266697, 0.179513, 0.1596, 0.12334, 0.105316, 0.0880953, 0.0687485, 0.0575617, 0.0505322, 0.0423876, 0.0305361, 0.0224838, 0.0193214, 0.0141472, 0.0100874, 0.0071387, 0.00508599, 0.00255952, 0.000893119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.595665, 0.603339, 0.621773, 0.627934, 0.633449, 0.638684, 0.643877, 0.649066, 0.658495, 0.667609, 0.677156, 0.684969, 0.698598, 0.713789");
-            values ( \
-              "0.0118802, 0.0273626, 0.0984877, 0.114368, 0.123298, 0.127062, 0.125573, 0.115053, 0.0696588, 0.0398568, 0.0216215, 0.0129578, 0.00537594, 0.00238466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.625299, 0.632223, 0.634441, 0.647959, 0.658114, 0.666476, 0.674123, 0.681559, 0.688983, 0.691781, 0.697163, 0.708718, 0.71606, 0.726754, 0.733079, 0.741622, 0.746779, 0.7556, 0.766343, 0.775001, 0.792316, 0.795977");
-            values ( \
-              "0.0212766, 0.0414511, 0.051115, 0.122644, 0.16461, 0.186789, 0.196918, 0.198879, 0.192139, 0.186241, 0.167985, 0.115124, 0.0870006, 0.0562389, 0.0427929, 0.0293081, 0.0232007, 0.0154356, 0.00945469, 0.00635544, 0.00281825, 0.00252961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.697315, 0.714349, 0.717166, 0.720921, 0.724677, 0.726555, 0.730246, 0.732059, 0.733873, 0.737499, 0.741126, 0.743075, 0.745024, 0.746972, 0.753226, 0.758361, 0.761412, 0.767765, 0.770124, 0.774169, 0.778213, 0.780381, 0.783633, 0.786885, 0.791221, 0.79339, 0.794618, 0.804309, 0.811262, 0.816676, 0.820736, 0.82615, 0.831563, 0.837098, 0.842674, 0.844539, 0.84827, 0.852001, 0.854919, 0.857836, 0.860754, 0.863672, 0.866696, 0.871253, 0.873857, 0.876461, 0.878616, 0.88508, 0.894052, 0.901635");
-            values ( \
-              "0.179712, 0.186148, 0.200409, 0.215614, 0.228509, 0.234538, 0.245576, 0.249588, 0.253239, 0.259085, 0.264232, 0.266709, 0.268847, 0.270646, 0.269621, 0.268334, 0.267379, 0.264981, 0.262651, 0.257651, 0.251767, 0.247987, 0.24085, 0.23307, 0.221696, 0.21558, 0.211931, 0.180414, 0.158451, 0.141795, 0.130195, 0.116301, 0.103427, 0.09144, 0.0797789, 0.076072, 0.0697357, 0.063796, 0.0594374, 0.0553148, 0.0514285, 0.0477783, 0.044244, 0.0391235, 0.0364261, 0.033919, 0.0321226, 0.0271695, 0.0214868, 0.0171664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.795612, 0.861929, 0.875621, 0.892619, 0.898283, 0.90951, 0.920969, 0.943794, 0.966868, 0.985996, 1.04364, 1.08183, 1.10837, 1.12978, 1.14571, 1.17147, 1.19709, 1.22933, 1.27232, 1.33999");
-            values ( \
-              "0.0171575, 0.299928, 0.320534, 0.328805, 0.328628, 0.325983, 0.320773, 0.305575, 0.283132, 0.256923, 0.158943, 0.105037, 0.0765516, 0.0583827, 0.0474821, 0.0336541, 0.0236447, 0.0150726, 0.0080657, 0.00289698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.04471, 1.0724, 1.08942, 1.10577, 1.12147, 1.13999, 1.15942, 1.16748, 1.18359, 1.21299, 1.26028, 1.31012, 1.36052, 1.38324, 1.4092, 1.51338, 1.58735, 1.61768, 1.65059, 1.69446, 1.73915, 1.76415, 1.81415, 1.86474, 1.89029, 1.94139, 2.04359, 2.15351, 2.26343, 2.37336");
-            values ( \
-              "0.0737435, 0.165271, 0.249685, 0.308832, 0.342034, 0.361106, 0.366785, 0.367158, 0.365104, 0.35811, 0.341857, 0.321503, 0.295453, 0.280696, 0.261362, 0.17479, 0.120871, 0.102423, 0.0848895, 0.0653466, 0.0495821, 0.0423565, 0.0306372, 0.0219833, 0.0185738, 0.0131664, 0.00644447, 0.00293843, 0.00134715, 0.000604969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.5227, 1.58789, 1.6152, 1.6292, 1.6534, 1.68036, 1.69421, 1.71086, 1.74364, 1.8249, 1.97521, 2.08796, 2.19788, 2.25494, 2.32014, 2.53852, 2.65199, 2.74056, 2.80301, 2.87519, 2.99912, 3.05659, 3.16651, 3.26176, 3.38838, 3.4983, 3.60822, 3.82807, 4.04791, 4.26776");
-            values ( \
-              "0.0308885, 0.196673, 0.295133, 0.330185, 0.367178, 0.382729, 0.385454, 0.386581, 0.385601, 0.375569, 0.350507, 0.328492, 0.301983, 0.284592, 0.261854, 0.177652, 0.137779, 0.110839, 0.0943186, 0.0776757, 0.0548764, 0.0465176, 0.0336028, 0.0252509, 0.017188, 0.0122323, 0.00870411, 0.00438173, 0.00220184, 0.00110751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.02199, 1.02872, 1.0471, 1.05334, 1.05894, 1.06425, 1.06951, 1.07478, 1.08433, 1.09348, 1.10312, 1.11104, 1.12496, 1.14302");
-            values ( \
-              "0.0146861, 0.0290502, 0.0971122, 0.11285, 0.121517, 0.125296, 0.123743, 0.113683, 0.0689251, 0.0395041, 0.0214061, 0.0127748, 0.00522516, 0.00182172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.06998, 1.08309, 1.08475, 1.08806, 1.08994, 1.09182, 1.09371, 1.09559, 1.09748, 1.09936, 1.10132, 1.10305, 1.1053, 1.10671, 1.10812, 1.10954, 1.11095, 1.11237, 1.11378, 1.11569, 1.11663, 1.11757, 1.11851, 1.11945, 1.12041, 1.12235, 1.12331, 1.12524, 1.12716, 1.12909, 1.13101, 1.13158, 1.13609, 1.14185, 1.14424, 1.14663, 1.15044, 1.15426, 1.15979, 1.16293, 1.16607, 1.1692, 1.175, 1.17597, 1.17792, 1.17987, 1.18181, 1.1857, 1.18899, 1.19494");
-            values ( \
-              "0.127185, 0.128288, 0.135564, 0.149143, 0.155587, 0.161597, 0.167171, 0.172309, 0.177012, 0.18128, 0.185095, 0.187967, 0.191064, 0.192493, 0.193612, 0.194207, 0.194635, 0.194894, 0.194984, 0.19484, 0.19451, 0.194008, 0.193335, 0.192491, 0.191285, 0.188413, 0.186746, 0.182967, 0.178578, 0.173578, 0.167967, 0.165907, 0.145545, 0.118735, 0.108861, 0.0996415, 0.0864122, 0.0745353, 0.0592596, 0.0518499, 0.0455368, 0.0398407, 0.0307271, 0.0292852, 0.026784, 0.0244891, 0.022524, 0.0189664, 0.0164009, 0.0123776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.12822, 1.13912, 1.17029, 1.17884, 1.19196, 1.20442, 1.21889, 1.22989, 1.23992, 1.2655, 1.28362, 1.29858, 1.32061, 1.34014, 1.36868, 1.39167, 1.41138");
-            values ( \
-              "0.0226018, 0.05603, 0.213967, 0.239978, 0.261747, 0.266843, 0.259201, 0.244731, 0.222507, 0.144452, 0.0982447, 0.0694674, 0.0400628, 0.0239597, 0.0110896, 0.00579763, 0.00374644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.27524, 1.28846, 1.30223, 1.31221, 1.31781, 1.32903, 1.34252, 1.35965, 1.3652, 1.37628, 1.38789, 1.41072, 1.4219, 1.43383, 1.45277, 1.51035, 1.52279, 1.54766, 1.56576, 1.57803, 1.60153, 1.61092, 1.62578, 1.64264, 1.66595, 1.69278, 1.72855, 1.79848, 1.87948");
-            values ( \
-              "0.102367, 0.120956, 0.200297, 0.248409, 0.269383, 0.300257, 0.320257, 0.328733, 0.328447, 0.325983, 0.320638, 0.305554, 0.295624, 0.283045, 0.257127, 0.159292, 0.140206, 0.106392, 0.0860163, 0.0740282, 0.0548538, 0.0485507, 0.0398881, 0.0317721, 0.0230415, 0.0158533, 0.00948221, 0.00317862, 0.000842745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.49086, 1.61624, 1.63024, 1.64314, 1.66573, 1.6887, 1.73597, 1.78582, 1.83623, 1.8847, 1.98899, 2.06239, 2.12698, 2.21429, 2.28557, 2.32632, 2.42221, 2.53179, 2.59449");
-            values ( \
-              "0.0108623, 0.360493, 0.365492, 0.366725, 0.363758, 0.357962, 0.341821, 0.321486, 0.295435, 0.261503, 0.174866, 0.121306, 0.0845492, 0.0497549, 0.0315132, 0.0241368, 0.0127143, 0.00587546, 0.00405084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("2.04206, 2.09603, 2.12968, 2.15429, 2.16709, 2.18415, 2.20914, 2.25482, 2.35366, 2.4639, 2.56618, 2.67611, 2.73315, 2.79834, 3.01665, 3.13007, 3.21873, 3.28132, 3.35347, 3.47734, 3.53475, 3.64468, 3.73996, 3.86663, 3.97655, 4.08647, 4.30632, 4.52616, 4.74601");
-            values ( \
-              "0.24381, 0.305933, 0.366003, 0.381462, 0.384672, 0.386641, 0.386319, 0.382261, 0.367714, 0.348548, 0.328487, 0.301991, 0.284591, 0.261869, 0.177683, 0.13782, 0.110856, 0.0943, 0.0776612, 0.0548736, 0.0465273, 0.0336046, 0.0252557, 0.0171892, 0.0122281, 0.00870606, 0.00438408, 0.00220438, 0.00111013" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0126229, 0.0126691, 0.0127217, 0.0127652, 0.0127928, 0.0128075", \
-            "0.0159492, 0.0160023, 0.0160702, 0.0161331, 0.016177, 0.0162018", \
-            "0.0180727, 0.0181138, 0.0181736, 0.0182372, 0.0182866, 0.0183163", \
-            "0.0192635, 0.0192825, 0.0193159, 0.0193591, 0.0193978, 0.0194246", \
-            "0.019994, 0.0199681, 0.0199426, 0.0199289, 0.0199306, 0.0199383", \
-            "0.0204693, 0.0204243, 0.0203606, 0.0202854, 0.0202232, 0.0201888" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0189523, 0.0190119, 0.019086, 0.0191521, 0.0191949, 0.0192176", \
-            "0.0201431, 0.0201579, 0.0201735, 0.0201847, 0.0201927, 0.0201916", \
-            "0.0196612, 0.0195361, 0.0193826, 0.0192451, 0.0191528, 0.0191012", \
-            "0.0193349, 0.0190811, 0.0187702, 0.0184977, 0.0183066, 0.0181947", \
-            "0.0198658, 0.019479, 0.0189041, 0.0183789, 0.0180139, 0.0178166", \
-            "0.0178632, 0.0180066, 0.0183989, 0.0187336, 0.0181945, 0.0177986" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.177688, 0.184862, 0.187304, 0.199001, 0.20884, 0.212932, 0.217711, 0.222244, 0.226744, 0.231234, 0.241446, 0.244349, 0.247813, 0.253278, 0.257881, 0.264019, 0.268794");
-            values ( \
-              "-0.0203545, -0.0335417, -0.0417939, -0.0905875, -0.122011, -0.132495, -0.141929, -0.147059, -0.144647, -0.126309, -0.0519433, -0.0373523, -0.0246617, -0.012449, -0.00693106, -0.00337973, -0.00212325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.197471, 0.204628, 0.222751, 0.236435, 0.243526, 0.250122, 0.256506, 0.26288, 0.264854, 0.270021, 0.280172, 0.28688, 0.292791, 0.300403, 0.308261, 0.317241, 0.327421");
-            values ( \
-              "-0.0311155, -0.0510635, -0.144069, -0.197996, -0.216131, -0.228676, -0.232336, -0.224113, -0.216738, -0.185926, -0.105937, -0.0667778, -0.0431564, -0.0239168, -0.0128431, -0.00612586, -0.00302771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.235397, 0.247987, 0.268283, 0.283031, 0.295085, 0.305998, 0.316378, 0.326621, 0.337869, 0.341916, 0.369976, 0.382003, 0.39685, 0.4054, 0.419344, 0.436516");
-            values ( \
-              "-0.0314369, -0.073208, -0.187825, -0.254938, -0.291489, -0.311884, -0.322331, -0.321061, -0.29866, -0.281928, -0.119498, -0.0746979, -0.0403926, -0.0280927, -0.0153594, -0.0072322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.310938, 0.334436, 0.339379, 0.365982, 0.382785, 0.395178, 0.412977, 0.420064, 0.434253, 0.448164, 0.462079, 0.471579, 0.490488, 0.534202, 0.551153, 0.569586, 0.582014, 0.60456, 0.621903, 0.640166, 0.682241, 0.69829");
-            values ( \
-              "-0.0174167, -0.0803322, -0.0999009, -0.244825, -0.315108, -0.348636, -0.3795, -0.387069, -0.396386, -0.398673, -0.39417, -0.386537, -0.355329, -0.197902, -0.14624, -0.103158, -0.080769, -0.0512169, -0.0357206, -0.0244118, -0.00986288, -0.00769726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.490993, 0.530785, 0.566162, 0.581829, 0.594647, 0.61024, 0.623071, 0.642618, 0.660555, 0.693162, 0.705677, 0.719929, 0.734489, 0.763609, 0.772997, 0.791774, 0.814418, 0.856945, 0.896258, 0.929943, 0.942316, 0.955161, 0.972287, 1.00607, 1.02757, 1.04262, 1.06647, 1.0997, 1.11233, 1.13759, 1.18811, 1.27276, 1.3574, 1.44205");
-            values ( \
-              "-0.0240367, -0.142262, -0.308306, -0.361092, -0.390949, -0.416237, -0.430181, -0.443468, -0.449241, -0.450334, -0.448141, -0.444485, -0.439372, -0.424835, -0.418469, -0.402882, -0.375512, -0.29758, -0.222735, -0.168852, -0.151972, -0.135958, -0.117042, -0.0858656, -0.0703669, -0.0613092, -0.0487393, -0.0355831, -0.0313306, -0.0247039, -0.0148197, -0.00629292, -0.00245528, -0.00115539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.870332, 1.00806, 1.04767, 1.0752, 1.10138, 1.13951, 1.2359, 1.29573, 1.41763, 1.46053, 1.51098, 1.66968, 1.77748, 1.87156, 1.99528, 2.13851, 2.29456, 2.48144");
-            values ( \
-              "-0.0354953, -0.421345, -0.463717, -0.476829, -0.48155, -0.483181, -0.472801, -0.462388, -0.431186, -0.413641, -0.384345, -0.244096, -0.163763, -0.112672, -0.0672224, -0.0361777, -0.0182182, -0.0090999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.19295, 0.205, 0.216237, 0.225585, 0.235581, 0.240115, 0.244611, 0.249102, 0.259405, 0.265641, 0.271162, 0.275867, 0.282141, 0.291762, 0.308569");
-            values ( \
-              "-0.000709483, -0.0412734, -0.0884197, -0.118802, -0.141944, -0.147033, -0.144855, -0.125968, -0.0515548, -0.0248883, -0.012515, -0.0069145, -0.0031892, -0.000923603, -0.00067025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.215181, 0.222921, 0.225302, 0.229529, 0.236611, 0.246654, 0.247757, 0.251286, 0.254739, 0.261852, 0.268442, 0.274827, 0.281202, 0.283142, 0.285144, 0.288341, 0.298494, 0.305209, 0.311103, 0.315517, 0.318717, 0.322098, 0.326606, 0.335622, 0.339203");
-            values ( \
-              "-0.0302073, -0.0516203, -0.0614811, -0.0832758, -0.124126, -0.166123, -0.172289, -0.185734, -0.197356, -0.216522, -0.228268, -0.23267, -0.223786, -0.216866, -0.20733, -0.185923, -0.105943, -0.0667467, -0.0431914, -0.0307311, -0.0239357, -0.0183546, -0.0128161, -0.00609837, -0.00500936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.249108, 0.264405, 0.26682, 0.271649, 0.284111, 0.293307, 0.301939, 0.313998, 0.324914, 0.335295, 0.345538, 0.355775, 0.356786, 0.36083, 0.379982, 0.388867, 0.394075, 0.400913, 0.407297, 0.415809, 0.424321, 0.42895, 0.438208, 0.447384");
-            values ( \
-              "-0.0219311, -0.0650666, -0.0732598, -0.0970836, -0.171737, -0.219538, -0.254617, -0.291646, -0.311607, -0.322523, -0.320816, -0.301967, -0.298639, -0.28194, -0.164791, -0.119621, -0.0980204, -0.0747223, -0.0576333, -0.0403217, -0.0280899, -0.0230414, -0.0153968, -0.011038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.342301, 0.358567, 0.389556, 0.399483, 0.413051, 0.430261, 0.433193, 0.439059, 0.45079, 0.460127, 0.470665, 0.489191, 0.497458, 0.505992, 0.515916, 0.555048, 0.569009, 0.585449, 0.599084, 0.611569, 0.628215, 0.641544, 0.656313, 0.676005, 0.71539, 0.767933, 0.832831");
-            values ( \
-              "-0.0755708, -0.105935, -0.272791, -0.311617, -0.348909, -0.378931, -0.382198, -0.388185, -0.395821, -0.398529, -0.39806, -0.387025, -0.376526, -0.360816, -0.333393, -0.187796, -0.146185, -0.107149, -0.0819841, -0.0638519, -0.0453458, -0.0343984, -0.025273, -0.0166113, -0.00685893, -0.00192696, -0.000370237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.532419, 0.567715, 0.588392, 0.60081, 0.620452, 0.642036, 0.661829, 0.679453, 0.710192, 0.728239, 0.753359, 0.790668, 0.808933, 0.828416, 0.843193, 0.916455, 0.951263, 0.984297, 1.01092, 1.06158, 1.09758, 1.14603, 1.17878, 1.24427, 1.32493");
-            values ( \
-              "-0.141564, -0.229518, -0.323297, -0.362211, -0.404767, -0.430641, -0.44438, -0.449255, -0.450921, -0.447614, -0.439272, -0.419715, -0.404546, -0.382474, -0.35983, -0.219965, -0.165172, -0.124057, -0.0976211, -0.0610764, -0.0433188, -0.0271815, -0.0197494, -0.0101986, -0.00450655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.886594, 0.951368, 0.993211, 1.01206, 1.02722, 1.03973, 1.06474, 1.09201, 1.11913, 1.15643, 1.19601, 1.2521, 1.31349, 1.35443, 1.4354, 1.47829, 1.52874, 1.61339, 1.68745, 1.73479, 1.79526, 1.84087, 1.88933, 1.95399, 2.01305, 2.09181, 2.15628, 2.22768, 2.31233, 2.39697, 2.48162, 2.56626, 2.73555, 2.90484");
-            values ( \
-              "-0.0398927, -0.189035, -0.342323, -0.393852, -0.422547, -0.439847, -0.462941, -0.475989, -0.481618, -0.482911, -0.480098, -0.472811, -0.462177, -0.453578, -0.430982, -0.41365, -0.384339, -0.31135, -0.244092, -0.205973, -0.16376, -0.13693, -0.112674, -0.0861793, -0.0672202, -0.0479208, -0.0361756, -0.0264812, -0.0182202, -0.0124617, -0.00855998, -0.00582642, -0.00271293, -0.00125775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.231721, 0.243924, 0.255183, 0.264525, 0.274525, 0.27906, 0.283557, 0.288048, 0.298364, 0.304584, 0.310113, 0.314832, 0.321124, 0.330657, 0.348402");
-            values ( \
-              "-0.000299278, -0.0412088, -0.0883727, -0.118725, -0.141884, -0.147021, -0.144808, -0.125972, -0.0514672, -0.0248868, -0.0124961, -0.00688529, -0.00318997, -0.000917821, -0.000655676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.251332, 0.262019, 0.267417, 0.268661, 0.275761, 0.285856, 0.293885, 0.300981, 0.307581, 0.313966, 0.320405, 0.324243, 0.336518, 0.344358, 0.350244, 0.357859, 0.365767, 0.374804, 0.388659, 0.389162");
-            values ( \
-              "-0.00242244, -0.0525591, -0.0743128, -0.0842829, -0.122702, -0.168505, -0.197504, -0.216517, -0.228038, -0.23272, -0.223472, -0.207537, -0.11372, -0.0667337, -0.043214, -0.0239513, -0.0127883, -0.00609053, -0.00185519, -0.00183303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.289679, 0.306222, 0.32641, 0.341138, 0.353199, 0.364096, 0.374474, 0.384715, 0.395962, 0.40001, 0.428074, 0.440095, 0.454929, 0.463488, 0.477443, 0.49396");
-            values ( \
-              "-0.00532025, -0.0742956, -0.188388, -0.255197, -0.291579, -0.312005, -0.322348, -0.321125, -0.298692, -0.281945, -0.119474, -0.0746966, -0.0404162, -0.0280993, -0.0153559, -0.00754058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.385291, 0.404251, 0.429553, 0.440366, 0.453045, 0.470512, 0.478556, 0.492139, 0.497856, 0.509289, 0.518848, 0.529422, 0.548316, 0.555346, 0.563137, 0.592049, 0.608994, 0.627423, 0.639853, 0.65014, 0.662401, 0.679743, 0.698001, 0.712021, 0.740061, 0.791072, 0.8516");
-            values ( \
-              "-0.093321, -0.135014, -0.272814, -0.31453, -0.348849, -0.379238, -0.38772, -0.396424, -0.398115, -0.398362, -0.394821, -0.386509, -0.355422, -0.335493, -0.307698, -0.197887, -0.146242, -0.103168, -0.0807739, -0.0657537, -0.0512173, -0.0357222, -0.0244157, -0.0181558, -0.00986774, -0.00293354, -0.000639042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.543784, 0.659352, 0.680127, 0.694478, 0.719607, 0.744261, 0.774731, 0.793527, 0.830911, 0.848037, 0.866305, 0.887893, 0.961227, 0.999856, 1.04123, 1.06795, 1.11656, 1.15412, 1.19384, 1.28542, 1.34471");
-            values ( \
-              "-0.00592481, -0.402045, -0.42865, -0.439808, -0.449356, -0.450805, -0.445587, -0.439413, -0.419379, -0.40554, -0.385448, -0.351856, -0.212055, -0.153776, -0.106832, -0.0836771, -0.0530977, -0.037003, -0.0252411, -0.0101477, -0.00598476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.945493, 0.992618, 1.03396, 1.05157, 1.06788, 1.08031, 1.10454, 1.13225, 1.15965, 1.19713, 1.23652, 1.29273, 1.354, 1.39494, 1.47591, 1.5188, 1.56925, 1.6539, 1.72796, 1.7753, 1.83577, 1.88138, 1.92984, 1.99449, 2.05356, 2.13232, 2.19679, 2.26819, 2.35283, 2.43748, 2.52213, 2.60677, 2.77606, 2.94535");
-            values ( \
-              "-0.122825, -0.191774, -0.344862, -0.393055, -0.423651, -0.440658, -0.462819, -0.476149, -0.481724, -0.482973, -0.480106, -0.472809, -0.462185, -0.453575, -0.430988, -0.413649, -0.384341, -0.311349, -0.244093, -0.205973, -0.163761, -0.136931, -0.112674, -0.0861803, -0.0672213, -0.0479206, -0.0361766, -0.0264821, -0.0182198, -0.0124625, -0.00855949, -0.00582705, -0.00271352, -0.0012583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.316761, 0.328053, 0.334076, 0.347752, 0.352989, 0.357782, 0.362328, 0.366832, 0.371332, 0.381675, 0.387869, 0.393416, 0.398164, 0.404494, 0.413654");
-            values ( \
-              "-0.0094915, -0.044531, -0.0719622, -0.11824, -0.131922, -0.141561, -0.14669, -0.14462, -0.125774, -0.0513561, -0.0249343, -0.0125128, -0.00688603, -0.00312914, -0.00102592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.336995, 0.346668, 0.357432, 0.366642, 0.378686, 0.38579, 0.392394, 0.398785, 0.405166, 0.409088, 0.421346, 0.429183, 0.435078, 0.442694, 0.450587, 0.459607, 0.469603");
-            values ( \
-              "-0.0110832, -0.0496906, -0.106757, -0.150843, -0.19738, -0.216021, -0.228223, -0.232308, -0.223771, -0.207327, -0.113707, -0.066724, -0.043178, -0.0239263, -0.0128128, -0.00609107, -0.00304227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.377899, 0.3935, 0.420025, 0.428453, 0.440504, 0.451416, 0.461796, 0.472039, 0.483287, 0.487336, 0.515399, 0.527423, 0.54226, 0.550816, 0.564768, 0.57022");
-            values ( \
-              "-0.0248605, -0.0742981, -0.220756, -0.254863, -0.291723, -0.311698, -0.322519, -0.32086, -0.29864, -0.281914, -0.119478, -0.0746964, -0.0404097, -0.0280979, -0.0153577, -0.0127775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.462261, 0.486907, 0.51261, 0.528267, 0.541314, 0.557927, 0.568496, 0.580367, 0.598944, 0.617518, 0.620857, 0.630754, 0.637574, 0.647813, 0.681106, 0.694053, 0.713933, 0.739699, 0.756017, 0.769679, 0.788141, 0.812758, 0.858189");
-            values ( \
-              "-0.0132124, -0.106541, -0.248024, -0.313347, -0.349029, -0.378079, -0.389127, -0.396442, -0.398269, -0.387011, -0.383192, -0.368094, -0.352947, -0.320941, -0.195282, -0.155156, -0.106768, -0.0640565, -0.0458237, -0.034508, -0.0234513, -0.013811, -0.00493488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.632841, 0.747404, 0.768092, 0.776405, 0.787489, 0.808305, 0.840531, 0.85416, 0.868042, 0.882226, 0.910595, 0.920731, 0.940336, 0.962152, 1.00472, 1.04381, 1.0773, 1.08783, 1.10328, 1.12093, 1.15405, 1.16764, 1.18928, 1.21347, 1.24706, 1.26004, 1.28601, 1.33794, 1.42259, 1.50724, 1.59188");
-            values ( \
-              "-0.00621401, -0.400885, -0.428078, -0.435146, -0.442209, -0.44937, -0.450454, -0.448065, -0.444352, -0.439444, -0.425254, -0.418534, -0.402018, -0.375575, -0.297427, -0.222958, -0.16948, -0.154985, -0.1356, -0.115998, -0.085774, -0.0756653, -0.0618317, -0.0491855, -0.0356087, -0.0314371, -0.024437, -0.0146045, -0.00605921, -0.00250172, -0.00103133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.01127, 1.08249, 1.12599, 1.14126, 1.15682, 1.16959, 1.19452, 1.22164, 1.24889, 1.28593, 1.32575, 1.38137, 1.44323, 1.48417, 1.56514, 1.60803, 1.65849, 1.74313, 1.81719, 1.86453, 1.92499, 1.97061, 2.01907, 2.08372, 2.14279, 2.22155, 2.28602, 2.35741, 2.44206, 2.52671, 2.61135, 2.696, 2.86529, 3.03458");
-            values ( \
-              "-0.0128058, -0.19549, -0.35439, -0.394602, -0.423803, -0.441066, -0.463656, -0.476286, -0.481857, -0.482971, -0.48017, -0.472863, -0.462152, -0.453614, -0.430955, -0.413653, -0.38434, -0.311352, -0.244093, -0.205975, -0.163761, -0.136931, -0.112676, -0.0861798, -0.0672209, -0.047922, -0.0361761, -0.0264817, -0.0182209, -0.0124618, -0.00856041, -0.0058263, -0.00271274, -0.00125753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.491752, 0.499341, 0.510563, 0.521213, 0.531057, 0.535568, 0.540042, 0.544899, 0.552176, 0.558181, 0.564408, 0.568452, 0.573844, 0.582218, 0.585738");
-            values ( \
-              "-0.014147, -0.0355983, -0.0852167, -0.121332, -0.143496, -0.147725, -0.145526, -0.124383, -0.0686207, -0.0352092, -0.0164182, -0.00987603, -0.00491864, -0.00167904, -0.00159537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.51549, 0.524456, 0.529142, 0.544311, 0.550192, 0.556202, 0.563326, 0.569982, 0.5764, 0.582818, 0.586519, 0.589989, 0.599054, 0.606922, 0.612807, 0.620443, 0.628404, 0.637503, 0.645162");
-            values ( \
-              "-0.0268952, -0.0498941, -0.071478, -0.151737, -0.176376, -0.196869, -0.215158, -0.226602, -0.231214, -0.222451, -0.207694, -0.185162, -0.113412, -0.0665321, -0.0431306, -0.0238937, -0.0127304, -0.00602594, -0.00371246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.563479, 0.580909, 0.597825, 0.606615, 0.612594, 0.624676, 0.63561, 0.64601, 0.656272, 0.666527, 0.667531, 0.671546, 0.691249, 0.699419, 0.704318, 0.711547, 0.718353, 0.727428, 0.735187, 0.739451, 0.747977, 0.765031, 0.790039, 0.820432");
-            values ( \
-              "-0.013666, -0.0922158, -0.187892, -0.230962, -0.254518, -0.290807, -0.31129, -0.321654, -0.320547, -0.30131, -0.298293, -0.281822, -0.16188, -0.120551, -0.100025, -0.0751143, -0.0569503, -0.0388794, -0.0279686, -0.023307, -0.0160968, -0.00743534, -0.00221987, -0.000496262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.659539, 0.678641, 0.703892, 0.720708, 0.733325, 0.750845, 0.769373, 0.778613, 0.790979, 0.809526, 0.826111, 0.836692, 0.888367, 0.902453, 0.930287, 0.965111, 1.00332, 1.02098");
-            values ( \
-              "-0.0490374, -0.10552, -0.243545, -0.314198, -0.348497, -0.378924, -0.394787, -0.398016, -0.397886, -0.38688, -0.361193, -0.331871, -0.148805, -0.114272, -0.0659723, -0.0321653, -0.0143347, -0.0105943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.857147, 0.88822, 0.89935, 0.912781, 0.92547, 0.942677, 0.963128, 0.973638, 0.987652, 1.00406, 1.03687, 1.04874, 1.0632, 1.07798, 1.10752, 1.11648, 1.13441, 1.1579, 1.20038, 1.23993, 1.2738, 1.28373, 1.29826, 1.31486, 1.34806, 1.36409, 1.39015, 1.42488, 1.4474, 1.46939, 1.4987, 1.55734, 1.64198, 1.72663, 1.81127");
-            values ( \
-              "-0.154469, -0.208571, -0.263965, -0.321887, -0.362829, -0.400203, -0.427786, -0.436525, -0.444331, -0.4495, -0.450309, -0.448264, -0.44462, -0.439394, -0.424602, -0.418491, -0.403709, -0.37554, -0.297658, -0.222331, -0.168414, -0.154792, -0.136522, -0.117902, -0.0871668, -0.0751731, -0.0589223, -0.0422994, -0.0340642, -0.0275614, -0.0207314, -0.0115177, -0.00478442, -0.00196102, -0.000821478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.20231, 1.36619, 1.39069, 1.41773, 1.4455, 1.4826, 1.52235, 1.57773, 1.63983, 1.68077, 1.76175, 1.80464, 1.85509, 1.93974, 2.01379, 2.06113, 2.1216, 2.16722, 2.21567, 2.28033, 2.33939, 2.41815, 2.48262, 2.56985, 2.6545, 2.73914, 2.82379, 2.90844, 3.07773, 3.24702");
-            values ( \
-              "-0.0111296, -0.441178, -0.463346, -0.476189, -0.481835, -0.483019, -0.480144, -0.472941, -0.462193, -0.453575, -0.430994, -0.413651, -0.384341, -0.311351, -0.244094, -0.205975, -0.163761, -0.136931, -0.112675, -0.0861802, -0.0672214, -0.0479221, -0.0361766, -0.0246914, -0.0169836, -0.0116101, -0.00797565, -0.00542575, -0.00252536, -0.00117009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.83988, 0.85122, 0.862131, 0.871234, 0.881062, 0.885561, 0.890024, 0.894483, 0.90473, 0.911012, 0.916472, 0.921078, 0.927218, 0.936557, 0.945856");
-            values ( \
-              "-0.00253677, -0.0428335, -0.0910401, -0.121269, -0.143723, -0.148253, -0.145708, -0.126394, -0.0519687, -0.0249622, -0.0126511, -0.0070834, -0.00326128, -0.00100954, -0.000841197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.87737, 0.884281, 0.886717, 0.897424, 0.908568, 0.912606, 0.920161, 0.925526, 0.92868, 0.935035, 0.941389, 0.943827, 0.948554, 0.958533, 0.963814, 0.96991, 0.973277, 0.978047, 0.983381, 0.987538, 0.995851, 1.00492");
-            values ( \
-              "-0.0311728, -0.0539021, -0.0649438, -0.128384, -0.177349, -0.192242, -0.214111, -0.224915, -0.229342, -0.233133, -0.22369, -0.214648, -0.185649, -0.107025, -0.0749169, -0.0480931, -0.0372529, -0.025696, -0.0169135, -0.012148, -0.00616062, -0.00336614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.941118, 0.954038, 0.958568, 0.972421, 0.9823, 0.987526, 0.99798, 1.00244, 1.01035, 1.01427, 1.02074, 1.03102, 1.04131, 1.04234, 1.04648, 1.05199, 1.06286, 1.06994, 1.07352, 1.08022, 1.08688, 1.09249, 1.0984, 1.10683, 1.11549, 1.1221, 1.13533, 1.14472");
-            values ( \
-              "-0.0341698, -0.0812965, -0.106764, -0.191527, -0.238384, -0.258344, -0.288997, -0.298609, -0.311626, -0.316201, -0.32096, -0.319596, -0.300165, -0.297155, -0.280265, -0.249042, -0.18036, -0.141114, -0.12385, -0.0960073, -0.0737392, -0.0587297, -0.0459843, -0.0321683, -0.0222549, -0.0167326, -0.00930857, -0.00685147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.05383, 1.07941, 1.10441, 1.11954, 1.13283, 1.15299, 1.17197, 1.19062, 1.20928, 1.22189, 1.22857, 1.24226, 1.27219, 1.28931, 1.30784, 1.32025, 1.34277, 1.36015, 1.37848, 1.39257, 1.42073, 1.47148, 1.5317");
-            values ( \
-              "-0.00322759, -0.112253, -0.251963, -0.313847, -0.349418, -0.381347, -0.394929, -0.396443, -0.385331, -0.368068, -0.353676, -0.31057, -0.197849, -0.145947, -0.102854, -0.0806104, -0.0511781, -0.0356943, -0.0243713, -0.0180966, -0.00982078, -0.0029418, -0.000655717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.27254, 1.29889, 1.33238, 1.34893, 1.36316, 1.39011, 1.40962, 1.42758, 1.45951, 1.49347, 1.51741, 1.54002, 1.57892, 1.59698, 1.65959, 1.69419, 1.74713, 1.77516, 1.79968, 1.82567, 1.86279, 1.90084, 1.9299, 1.98803, 2.07267, 2.09242");
-            values ( \
-              "-0.100193, -0.150886, -0.306765, -0.362314, -0.394583, -0.430445, -0.443491, -0.449253, -0.450307, -0.442299, -0.432134, -0.41843, -0.379347, -0.350118, -0.229006, -0.17279, -0.108928, -0.0843176, -0.0671702, -0.0525676, -0.0367889, -0.0255017, -0.0192158, -0.0107337, -0.00445163, -0.00402183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.63502, 1.70992, 1.75128, 1.76822, 1.78494, 1.79765, 1.82201, 1.84929, 1.877, 1.91406, 1.95387, 2.00928, 2.032, 2.11229, 2.19612, 2.23615, 2.28661, 2.37125, 2.44532, 2.49265, 2.55312, 2.59874, 2.64719, 2.71185, 2.77091, 2.84967, 2.91414, 3.00137, 3.08602, 3.17066, 3.25531, 3.33995, 3.50924, 3.67853");
-            values ( \
-              "-0.00680294, -0.191215, -0.344789, -0.391089, -0.423279, -0.440389, -0.463011, -0.475854, -0.481856, -0.482829, -0.480243, -0.472792, -0.469378, -0.453696, -0.429964, -0.41366, -0.384335, -0.311356, -0.24409, -0.205976, -0.163758, -0.136929, -0.112678, -0.086178, -0.0672192, -0.0479238, -0.0361746, -0.0246897, -0.0169856, -0.0116084, -0.00797756, -0.005424, -0.00252362, -0.00116837" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0121383, 0.0121643, 0.012195, 0.012221, 0.0122379, 0.0122471", \
-            "0.0142472, 0.014263, 0.0142861, 0.01431, 0.0143279, 0.0143386", \
-            "0.0154487, 0.0154547, 0.0154658, 0.0154809, 0.0154948, 0.0155042", \
-            "0.0161466, 0.0161482, 0.0161517, 0.016158, 0.016166, 0.0161728", \
-            "0.0165253, 0.0165261, 0.0165271, 0.0165289, 0.0165318, 0.0165357", \
-            "0.0167337, 0.0167344, 0.0167353, 0.0167366, 0.016738, 0.0167397" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0167236, 0.0167428, 0.0167671, 0.0167893, 0.0168066, 0.0168166", \
-            "0.0182353, 0.0182498, 0.0182672, 0.0182823, 0.0182913, 0.018297", \
-            "0.019183, 0.0191997, 0.0192176, 0.0192314, 0.0192392, 0.0192426", \
-            "0.0198402, 0.0198029, 0.0197628, 0.0197306, 0.0197112, 0.0197001", \
-            "0.0207642, 0.0205903, 0.020376, 0.0201828, 0.0200511, 0.0199736", \
-            "0.0201898, 0.0208614, 0.0210417, 0.020666, 0.0203391, 0.0201535" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.258474, 0.299256, 0.382923, 0.556424, 0.919425, 1.69741", \
-            "0.26451, 0.30522, 0.389145, 0.562803, 0.926158, 1.70415", \
-            "0.277708, 0.318554, 0.402388, 0.576592, 0.940463, 1.71776", \
-            "0.305882, 0.346764, 0.430947, 0.605409, 0.969887, 1.7481", \
-            "0.36358, 0.406371, 0.492419, 0.669398, 1.03503, 1.813", \
-            "0.4563, 0.507574, 0.608951, 0.80346, 1.17775, 1.95845" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.034129, 0.0491275, 0.0823032, 0.158398, 0.333296, 0.728835", \
-            "0.0341319, 0.049094, 0.082332, 0.158383, 0.333295, 0.728859", \
-            "0.0341321, 0.0491205, 0.0823498, 0.158393, 0.333298, 0.728846", \
-            "0.0341247, 0.049129, 0.082287, 0.158387, 0.333287, 0.728882", \
-            "0.0342641, 0.0491958, 0.0822992, 0.158397, 0.333276, 0.728867", \
-            "0.0347154, 0.0500035, 0.083578, 0.158438, 0.333316, 0.728854" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.199652, 0.230688, 0.294142, 0.423698, 0.694732, 1.27038", \
-            "0.20424, 0.235237, 0.298895, 0.428729, 0.699187, 1.27619", \
-            "0.214217, 0.245404, 0.309237, 0.439229, 0.709486, 1.28704", \
-            "0.235769, 0.267691, 0.332318, 0.462815, 0.733363, 1.31035", \
-            "0.271264, 0.307795, 0.379254, 0.51495, 0.786566, 1.36332", \
-            "0.305769, 0.351436, 0.44064, 0.60533, 0.902945, 1.4823" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0280137, 0.0396192, 0.064302, 0.118287, 0.240319, 0.519958", \
-            "0.027999, 0.0396175, 0.0643142, 0.118157, 0.240609, 0.519956", \
-            "0.0279906, 0.0395883, 0.0642909, 0.118148, 0.24061, 0.519944", \
-            "0.0280185, 0.0396259, 0.0642824, 0.118151, 0.240613, 0.519958", \
-            "0.0277098, 0.039709, 0.0643864, 0.118131, 0.240384, 0.519959", \
-            "0.0279931, 0.0397863, 0.0644887, 0.11838, 0.240405, 0.519944" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.227711, 0.234881, 0.253186, 0.259293, 0.26478, 0.269994, 0.275172, 0.280346, 0.28972, 0.297195, 0.304427, 0.312093, 0.322228, 0.336126, 0.348494, 0.35272");
-            values ( \
-              "0.0128979, 0.0276015, 0.0994826, 0.115144, 0.123864, 0.127502, 0.125863, 0.115242, 0.0699144, 0.0442013, 0.0280361, 0.0170227, 0.00872322, 0.00364977, 0.00173861, 0.00158465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.255552, 0.264012, 0.275219, 0.281582, 0.28744, 0.295774, 0.303404, 0.31083, 0.318338, 0.323641, 0.341931, 0.352793, 0.362728, 0.368343, 0.375119, 0.384153, 0.389071, 0.39692, 0.407384, 0.428313, 0.428676");
-            values ( \
-              "0.0171053, 0.0524097, 0.113399, 0.143364, 0.165215, 0.187358, 0.19714, 0.199171, 0.192061, 0.178713, 0.0992118, 0.0643391, 0.0420704, 0.0328329, 0.0241969, 0.0159388, 0.0127362, 0.00889931, 0.00549659, 0.00201711, 0.00199731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.307334, 0.316902, 0.341717, 0.353363, 0.36439, 0.370328, 0.382581, 0.394831, 0.407645, 0.459085, 0.477383, 0.499932, 0.521305, 0.554157, 0.564837");
-            values ( \
-              "0.0246305, 0.0466921, 0.187439, 0.233823, 0.259015, 0.266419, 0.271219, 0.265421, 0.248543, 0.103002, 0.067121, 0.0379424, 0.0214843, 0.00877434, 0.00693811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.423507, 0.445028, 0.458852, 0.467154, 0.474394, 0.488808, 0.499047, 0.516911, 0.521723, 0.531347, 0.544405, 0.567971, 0.578672, 0.590901, 0.606414, 0.670373, 0.684996, 0.707385, 0.725139, 0.738862, 0.759329, 0.776402, 0.794464, 0.820161, 0.831267, 0.853479, 0.897902, 0.969333, 1.05402");
-            values ( \
-              "0.0184906, 0.118729, 0.200658, 0.241879, 0.270139, 0.307219, 0.320709, 0.328952, 0.328766, 0.326536, 0.320851, 0.30497, 0.295443, 0.282436, 0.261534, 0.153769, 0.131908, 0.10257, 0.0830958, 0.0701397, 0.0539813, 0.0431539, 0.0338571, 0.0237669, 0.0203818, 0.0149215, 0.00780637, 0.00253421, 0.000619272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.638991, 0.760699, 0.78009, 0.788376, 0.804948, 0.833909, 0.881197, 0.931038, 0.981437, 1.03017, 1.13433, 1.20848, 1.2713, 1.31487, 1.36024, 1.4364, 1.48578, 1.56069, 1.66056, 1.76924");
-            values ( \
-              "0.0174859, 0.360415, 0.366572, 0.366934, 0.364964, 0.358028, 0.341816, 0.321474, 0.295471, 0.261309, 0.174767, 0.120738, 0.0849964, 0.0655494, 0.0495299, 0.0303714, 0.0219657, 0.0133135, 0.00663228, 0.00306717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.16256, 1.25112, 1.2743, 1.29868, 1.32121, 1.34695, 1.41871, 1.5767, 1.70953, 1.81945, 1.93539, 2.1583, 2.32955, 2.43738, 2.62067, 2.75453, 2.86446, 3.10983, 3.42029");
-            values ( \
-              "0.0889987, 0.335585, 0.368163, 0.38229, 0.386295, 0.386705, 0.379459, 0.354066, 0.328465, 0.301986, 0.264176, 0.17824, 0.120134, 0.091083, 0.0549271, 0.0372147, 0.0266783, 0.0126902, 0.00495729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.246283, 0.255157, 0.265633, 0.273209, 0.27932, 0.284803, 0.290018, 0.295195, 0.30037, 0.309745, 0.31722, 0.324449, 0.332119, 0.342254, 0.35615, 0.368516, 0.370156");
-            values ( \
-              "0.00112664, 0.0284349, 0.0726636, 0.0994791, 0.115119, 0.123873, 0.127477, 0.125879, 0.115221, 0.0699112, 0.0442012, 0.0280396, 0.0170195, 0.00872146, 0.00365078, 0.00173813, 0.00167837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.274266, 0.303736, 0.307402, 0.314734, 0.321647, 0.326745, 0.330768, 0.33815, 0.341732, 0.345429, 0.360201, 0.371089, 0.380248, 0.392133, 0.399551, 0.406073, 0.41531, 0.427627, 0.442886");
-            values ( \
-              "0.00839134, 0.152183, 0.165444, 0.18515, 0.195668, 0.198868, 0.198761, 0.192288, 0.184256, 0.171901, 0.105667, 0.0687856, 0.0467787, 0.0276098, 0.0196678, 0.0145794, 0.00954988, 0.00538766, 0.00293505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.327704, 0.341292, 0.368285, 0.377178, 0.390041, 0.402278, 0.414514, 0.426795, 0.434837, 0.463535, 0.479481, 0.496326, 0.516698, 0.530705, 0.558999, 0.579884, 0.614999");
-            values ( \
-              "0.0243679, 0.0692035, 0.21709, 0.245291, 0.266674, 0.271547, 0.265397, 0.249696, 0.231585, 0.142792, 0.101352, 0.0683406, 0.0409336, 0.0283627, 0.0132103, 0.00735634, 0.00262862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.46576, 0.489079, 0.495154, 0.498192, 0.50123, 0.504267, 0.507305, 0.510426, 0.513548, 0.516669, 0.519791, 0.524079, 0.528367, 0.532655, 0.536943, 0.54523, 0.553518, 0.559334, 0.56515, 0.57656, 0.588717, 0.590213, 0.594698, 0.602174, 0.608155, 0.613171, 0.617898, 0.621922, 0.62727, 0.633047, 0.642355, 0.66097, 0.687481, 0.696704, 0.705926, 0.718223, 0.724371, 0.743538, 0.750035, 0.756532, 0.767818, 0.779103, 0.7857, 0.795596, 0.798894, 0.805491, 0.818685, 0.825282, 0.829918, 0.850687");
-            values ( \
-              "0.24909, 0.24925, 0.274018, 0.283669, 0.292262, 0.299794, 0.306268, 0.31093, 0.315065, 0.318674, 0.321755, 0.325123, 0.327501, 0.328888, 0.329286, 0.327744, 0.325471, 0.322903, 0.319987, 0.312164, 0.304763, 0.3037, 0.299702, 0.292399, 0.286126, 0.28048, 0.274351, 0.268717, 0.260828, 0.251723, 0.236388, 0.203834, 0.158764, 0.144567, 0.131214, 0.114723, 0.107041, 0.0848005, 0.0784988, 0.072537, 0.0632358, 0.0544676, 0.049589, 0.0433648, 0.0414607, 0.0381119, 0.0320306, 0.0292982, 0.0275878, 0.020459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.660865, 0.78131, 0.800638, 0.808985, 0.854517, 0.901805, 0.951634, 1.00201, 1.05124, 1.18033, 1.23066, 1.29026, 1.33158, 1.38214, 1.46708, 1.56843, 1.65013, 1.76005, 1.7738");
-            values ( \
-              "0.021396, 0.36047, 0.366556, 0.366968, 0.358054, 0.341844, 0.321506, 0.295467, 0.260953, 0.15506, 0.119714, 0.0858107, 0.0671262, 0.0491321, 0.0284107, 0.0145208, 0.00828534, 0.00379051, 0.00360248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.18433, 1.23164, 1.25021, 1.2674, 1.279, 1.29448, 1.31716, 1.33171, 1.35112, 1.38463, 1.41828, 1.5171, 1.61688, 1.72963, 1.83955, 1.8966, 1.9618, 2.18014, 2.29358, 2.3822, 2.44473, 2.51689, 2.61206, 2.64078, 2.69822, 2.80815, 2.90342, 3.03006, 3.13998, 3.2499, 3.35983, 3.46975, 3.6896, 3.90944");
-            values ( \
-              "0.0997618, 0.213707, 0.281063, 0.327554, 0.348853, 0.367577, 0.381417, 0.384964, 0.386753, 0.385652, 0.382145, 0.367658, 0.350457, 0.328489, 0.301989, 0.284591, 0.261863, 0.177668, 0.137801, 0.110849, 0.0943093, 0.0776676, 0.0595583, 0.0548745, 0.0465234, 0.0336034, 0.025254, 0.0171892, 0.0122297, 0.00870564, 0.00616406, 0.00438344, 0.00220363, 0.00110933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.291734, 0.299159, 0.317194, 0.323305, 0.32879, 0.334005, 0.339182, 0.344357, 0.35373, 0.361206, 0.368438, 0.376104, 0.38624, 0.400139, 0.412509, 0.417791");
-            values ( \
-              "0.012896, 0.0284689, 0.0993689, 0.11521, 0.123775, 0.127571, 0.125786, 0.11531, 0.0699187, 0.0442053, 0.0280371, 0.0170206, 0.00872118, 0.00365054, 0.00173707, 0.00154466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.320251, 0.328127, 0.339699, 0.344911, 0.351535, 0.359868, 0.367496, 0.374921, 0.382335, 0.387738, 0.40602, 0.416882, 0.426818, 0.432433, 0.439208, 0.448242, 0.453161, 0.461009, 0.471474, 0.492404, 0.492717");
-            values ( \
-              "0.0220177, 0.0526495, 0.11542, 0.140057, 0.165343, 0.187353, 0.197222, 0.199144, 0.192243, 0.178698, 0.0992111, 0.0643418, 0.0420684, 0.0328311, 0.0241951, 0.0159406, 0.0127378, 0.00890071, 0.00549464, 0.00201856, 0.00200145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.372357, 0.38725, 0.396395, 0.405451, 0.412312, 0.421352, 0.428235, 0.434183, 0.446446, 0.458707, 0.471523, 0.478879, 0.485744, 0.505001, 0.520031, 0.531967, 0.54461, 0.555268, 0.56505, 0.578093, 0.588222, 0.599451, 0.614423, 0.644368, 0.662922");
-            values ( \
-              "0.00779519, 0.0806623, 0.136572, 0.186173, 0.216543, 0.244908, 0.258659, 0.266112, 0.271054, 0.265276, 0.24846, 0.231915, 0.212068, 0.150818, 0.110025, 0.0838549, 0.0618261, 0.0472761, 0.0367562, 0.0260077, 0.0198209, 0.0146349, 0.00968383, 0.00405743, 0.00271657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.488005, 0.509937, 0.5238, 0.533427, 0.539357, 0.551216, 0.564001, 0.581202, 0.586683, 0.597647, 0.609368, 0.632189, 0.643409, 0.655377, 0.674152, 0.731684, 0.743957, 0.768502, 0.787853, 0.800973, 0.823384, 0.843008, 0.869174, 0.887947, 0.908743, 0.936471, 0.991926, 1.06877, 1.16271");
-            values ( \
-              "0.0163894, 0.118255, 0.200335, 0.247506, 0.269946, 0.302157, 0.320559, 0.328917, 0.328619, 0.326131, 0.320743, 0.305618, 0.295654, 0.283007, 0.257304, 0.159502, 0.14064, 0.10716, 0.0853678, 0.0726699, 0.0545831, 0.042191, 0.0295783, 0.0228366, 0.0171043, 0.0115394, 0.00502929, 0.00145244, 0.000286719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.715091, 0.808084, 0.827163, 0.845914, 0.854178, 0.870706, 0.899714, 0.938425, 0.996842, 1.04724, 1.09593, 1.20011, 1.2741, 1.33729, 1.38114, 1.42589, 1.50101, 1.55148, 1.62795, 1.72991, 1.83677");
-            values ( \
-              "0.0149679, 0.340816, 0.360695, 0.366623, 0.366818, 0.365043, 0.357939, 0.344956, 0.321393, 0.295548, 0.26135, 0.174789, 0.120859, 0.0849016, 0.0653657, 0.0495777, 0.0306109, 0.0219824, 0.0131822, 0.00646264, 0.00304616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.20964, 1.27418, 1.30338, 1.31537, 1.33934, 1.36287, 1.36646, 1.38801, 1.41315, 1.4527, 1.48272, 1.56158, 1.66492, 1.77414, 1.88407, 1.93818, 2.00003, 2.22293, 2.28428, 2.39421, 2.46518, 2.57084, 2.68529, 2.73558, 2.81917, 2.92909, 3.06872, 3.17439, 3.28431, 3.50416, 3.72401, 3.94385");
-            values ( \
-              "0.0317397, 0.198047, 0.301548, 0.330439, 0.36707, 0.381196, 0.382704, 0.38629, 0.3864, 0.383435, 0.379606, 0.367707, 0.34986, 0.328542, 0.301907, 0.285574, 0.264104, 0.178289, 0.15604, 0.120176, 0.100389, 0.0756778, 0.054883, 0.0474771, 0.0371698, 0.0267195, 0.0175058, 0.0126548, 0.00898017, 0.00451396, 0.00226086, 0.00112966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.38662, 0.397048, 0.405994, 0.413558, 0.419665, 0.425147, 0.43036, 0.435537, 0.440712, 0.450083, 0.457559, 0.464794, 0.472455, 0.482591, 0.496492, 0.508862, 0.511928");
-            values ( \
-              "1.47986e-05, 0.0343621, 0.0727947, 0.0995019, 0.115221, 0.123842, 0.127548, 0.125833, 0.115281, 0.0699263, 0.0442079, 0.0280337, 0.0170254, 0.00872375, 0.00365006, 0.00173767, 0.00162591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.415275, 0.41928, 0.423122, 0.437868, 0.447968, 0.456302, 0.463933, 0.471359, 0.478774, 0.484175, 0.50246, 0.513322, 0.523259, 0.535646, 0.549599, 0.567928, 0.577374");
-            values ( \
-              "0.0216884, 0.031215, 0.0452486, 0.123728, 0.165393, 0.187163, 0.197303, 0.199003, 0.19233, 0.178698, 0.0992154, 0.0643382, 0.0420688, 0.0242007, 0.0127362, 0.00549314, 0.00392391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.484099, 0.499417, 0.502112, 0.505775, 0.50809, 0.510406, 0.512722, 0.514136, 0.51838, 0.521209, 0.524039, 0.526868, 0.529697, 0.531972, 0.534247, 0.535404, 0.53772, 0.541158, 0.543438, 0.545718, 0.547998, 0.550278, 0.552558, 0.554119, 0.557226, 0.560317, 0.561862, 0.563513, 0.566814, 0.571714, 0.574963, 0.578213, 0.582743, 0.597177, 0.603354, 0.608591, 0.613828, 0.619065, 0.628925, 0.632932, 0.634935, 0.640945, 0.646476, 0.655475, 0.660676, 0.662409, 0.665877, 0.672811, 0.678599, 0.689039");
-            values ( \
-              "0.161635, 0.173116, 0.187162, 0.20442, 0.214239, 0.223211, 0.231336, 0.235308, 0.245982, 0.251971, 0.257192, 0.261644, 0.265328, 0.267697, 0.269354, 0.269733, 0.270283, 0.270585, 0.270447, 0.27003, 0.269336, 0.268366, 0.267119, 0.266052, 0.263391, 0.259857, 0.257772, 0.255165, 0.249441, 0.239684, 0.232385, 0.224423, 0.212077, 0.165382, 0.146166, 0.130917, 0.117417, 0.104861, 0.0835651, 0.0755592, 0.0721225, 0.0624855, 0.0547245, 0.0429998, 0.037467, 0.0357768, 0.0328057, 0.0274327, 0.0236044, 0.0176189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.584012, 0.636141, 0.650678, 0.660782, 0.678477, 0.693401, 0.706132, 0.729698, 0.752531, 0.768694, 0.848233, 0.885654, 0.907228, 0.934157, 0.979397, 1.01285, 1.03964, 1.09324, 1.10875");
-            values ( \
-              "0.0104404, 0.270312, 0.307609, 0.320778, 0.329004, 0.326478, 0.320841, 0.304978, 0.282554, 0.260703, 0.129762, 0.0843138, 0.0644467, 0.0454743, 0.0245597, 0.0154293, 0.0105395, 0.00473339, 0.00406056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.825192, 0.856474, 0.879026, 0.89476, 0.905627, 0.924757, 0.938719, 0.951651, 0.974218, 0.997195, 1.03621, 1.0943, 1.14469, 1.19366, 1.32264, 1.37251, 1.43376, 1.47625, 1.52414, 1.55095, 1.60457, 1.64945, 1.71781, 1.80895, 1.91887, 1.95224");
-            values ( \
-              "0.0462638, 0.165194, 0.271946, 0.321076, 0.341952, 0.361154, 0.366134, 0.366858, 0.36404, 0.357875, 0.344881, 0.321374, 0.295588, 0.261134, 0.155319, 0.120242, 0.0853926, 0.0663099, 0.049336, 0.0416562, 0.029403, 0.021902, 0.01388, 0.00738468, 0.00337529, 0.00296892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.34472, 1.38441, 1.39728, 1.41428, 1.43731, 1.46201, 1.47135, 1.4838, 1.50871, 1.54826, 1.58743, 1.63965, 1.70136, 1.76352, 1.86788, 1.94006, 1.99063, 2.09177, 2.31827, 2.37294, 2.48229, 2.54517, 2.61332, 2.70419, 2.76705, 2.81264, 2.87343, 2.98336, 3.08502, 3.13547, 3.23637, 3.34629, 3.45621, 3.56614, 3.78598, 4.11575");
-            values ( \
-              "0.241125, 0.249012, 0.292197, 0.334709, 0.367501, 0.382223, 0.384489, 0.386139, 0.386734, 0.383675, 0.378679, 0.37096, 0.360788, 0.349774, 0.32955, 0.31313, 0.299648, 0.266697, 0.179513, 0.1596, 0.12334, 0.105316, 0.0880953, 0.0687485, 0.0575617, 0.0505322, 0.0423876, 0.0305361, 0.0224838, 0.0193214, 0.0141472, 0.0100874, 0.0071387, 0.00508599, 0.00255952, 0.000893119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.595665, 0.603339, 0.621773, 0.627934, 0.633449, 0.638684, 0.643877, 0.649066, 0.658495, 0.667609, 0.677156, 0.684969, 0.698598, 0.713789");
-            values ( \
-              "0.0118802, 0.0273626, 0.0984877, 0.114368, 0.123298, 0.127062, 0.125573, 0.115053, 0.0696588, 0.0398568, 0.0216215, 0.0129578, 0.00537594, 0.00238466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.625299, 0.632223, 0.634441, 0.647959, 0.658114, 0.666476, 0.674123, 0.681559, 0.688983, 0.691781, 0.697163, 0.708718, 0.71606, 0.726754, 0.733079, 0.741622, 0.746779, 0.7556, 0.766343, 0.775001, 0.792316, 0.795977");
-            values ( \
-              "0.0212766, 0.0414511, 0.051115, 0.122644, 0.16461, 0.186789, 0.196918, 0.198879, 0.192139, 0.186241, 0.167985, 0.115124, 0.0870006, 0.0562389, 0.0427929, 0.0293081, 0.0232007, 0.0154356, 0.00945469, 0.00635544, 0.00281825, 0.00252961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.697315, 0.714349, 0.717166, 0.720921, 0.724677, 0.726555, 0.730246, 0.732059, 0.733873, 0.737499, 0.741126, 0.743075, 0.745024, 0.746972, 0.753226, 0.758361, 0.761412, 0.767765, 0.770124, 0.774169, 0.778213, 0.780381, 0.783633, 0.786885, 0.791221, 0.79339, 0.794618, 0.804309, 0.811262, 0.816676, 0.820736, 0.82615, 0.831563, 0.837098, 0.842674, 0.844539, 0.84827, 0.852001, 0.854919, 0.857836, 0.860754, 0.863672, 0.866696, 0.871253, 0.873857, 0.876461, 0.878616, 0.88508, 0.894052, 0.901635");
-            values ( \
-              "0.179712, 0.186148, 0.200409, 0.215614, 0.228509, 0.234538, 0.245576, 0.249588, 0.253239, 0.259085, 0.264232, 0.266709, 0.268847, 0.270646, 0.269621, 0.268334, 0.267379, 0.264981, 0.262651, 0.257651, 0.251767, 0.247987, 0.24085, 0.23307, 0.221696, 0.21558, 0.211931, 0.180414, 0.158451, 0.141795, 0.130195, 0.116301, 0.103427, 0.09144, 0.0797789, 0.076072, 0.0697357, 0.063796, 0.0594374, 0.0553148, 0.0514285, 0.0477783, 0.044244, 0.0391235, 0.0364261, 0.033919, 0.0321226, 0.0271695, 0.0214868, 0.0171664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.795612, 0.861929, 0.875621, 0.892619, 0.898283, 0.90951, 0.920969, 0.943794, 0.966868, 0.985996, 1.04364, 1.08183, 1.10837, 1.12978, 1.14571, 1.17147, 1.19709, 1.22933, 1.27232, 1.33999");
-            values ( \
-              "0.0171575, 0.299928, 0.320534, 0.328805, 0.328628, 0.325983, 0.320773, 0.305575, 0.283132, 0.256923, 0.158943, 0.105037, 0.0765516, 0.0583827, 0.0474821, 0.0336541, 0.0236447, 0.0150726, 0.0080657, 0.00289698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.04471, 1.0724, 1.08942, 1.10577, 1.12147, 1.13999, 1.15942, 1.16748, 1.18359, 1.21299, 1.26028, 1.31012, 1.36052, 1.38324, 1.4092, 1.51338, 1.58735, 1.61768, 1.65059, 1.69446, 1.73915, 1.76415, 1.81415, 1.86474, 1.89029, 1.94139, 2.04359, 2.15351, 2.26343, 2.37336");
-            values ( \
-              "0.0737435, 0.165271, 0.249685, 0.308832, 0.342034, 0.361106, 0.366785, 0.367158, 0.365104, 0.35811, 0.341857, 0.321503, 0.295453, 0.280696, 0.261362, 0.17479, 0.120871, 0.102423, 0.0848895, 0.0653466, 0.0495821, 0.0423565, 0.0306372, 0.0219833, 0.0185738, 0.0131664, 0.00644447, 0.00293843, 0.00134715, 0.000604969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.5227, 1.58789, 1.6152, 1.6292, 1.6534, 1.68036, 1.69421, 1.71086, 1.74364, 1.8249, 1.97521, 2.08796, 2.19788, 2.25494, 2.32014, 2.53852, 2.65199, 2.74056, 2.80301, 2.87519, 2.99912, 3.05659, 3.16651, 3.26176, 3.38838, 3.4983, 3.60822, 3.82807, 4.04791, 4.26776");
-            values ( \
-              "0.0308885, 0.196673, 0.295133, 0.330185, 0.367178, 0.382729, 0.385454, 0.386581, 0.385601, 0.375569, 0.350507, 0.328492, 0.301983, 0.284592, 0.261854, 0.177652, 0.137779, 0.110839, 0.0943186, 0.0776757, 0.0548764, 0.0465176, 0.0336028, 0.0252509, 0.017188, 0.0122323, 0.00870411, 0.00438173, 0.00220184, 0.00110751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.02199, 1.02872, 1.0471, 1.05334, 1.05894, 1.06425, 1.06951, 1.07478, 1.08433, 1.09348, 1.10312, 1.11104, 1.12496, 1.14302");
-            values ( \
-              "0.0146861, 0.0290502, 0.0971122, 0.11285, 0.121517, 0.125296, 0.123743, 0.113683, 0.0689251, 0.0395041, 0.0214061, 0.0127748, 0.00522516, 0.00182172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.06998, 1.08309, 1.08475, 1.08806, 1.08994, 1.09182, 1.09371, 1.09559, 1.09748, 1.09936, 1.10132, 1.10305, 1.1053, 1.10671, 1.10812, 1.10954, 1.11095, 1.11237, 1.11378, 1.11569, 1.11663, 1.11757, 1.11851, 1.11945, 1.12041, 1.12235, 1.12331, 1.12524, 1.12716, 1.12909, 1.13101, 1.13158, 1.13609, 1.14185, 1.14424, 1.14663, 1.15044, 1.15426, 1.15979, 1.16293, 1.16607, 1.1692, 1.175, 1.17597, 1.17792, 1.17987, 1.18181, 1.1857, 1.18899, 1.19494");
-            values ( \
-              "0.127185, 0.128288, 0.135564, 0.149143, 0.155587, 0.161597, 0.167171, 0.172309, 0.177012, 0.18128, 0.185095, 0.187967, 0.191064, 0.192493, 0.193612, 0.194207, 0.194635, 0.194894, 0.194984, 0.19484, 0.19451, 0.194008, 0.193335, 0.192491, 0.191285, 0.188413, 0.186746, 0.182967, 0.178578, 0.173578, 0.167967, 0.165907, 0.145545, 0.118735, 0.108861, 0.0996415, 0.0864122, 0.0745353, 0.0592596, 0.0518499, 0.0455368, 0.0398407, 0.0307271, 0.0292852, 0.026784, 0.0244891, 0.022524, 0.0189664, 0.0164009, 0.0123776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.12822, 1.13912, 1.17029, 1.17884, 1.19196, 1.20442, 1.21889, 1.22989, 1.23992, 1.2655, 1.28362, 1.29858, 1.32061, 1.34014, 1.36868, 1.39167, 1.41138");
-            values ( \
-              "0.0226018, 0.05603, 0.213967, 0.239978, 0.261747, 0.266843, 0.259201, 0.244731, 0.222507, 0.144452, 0.0982447, 0.0694674, 0.0400628, 0.0239597, 0.0110896, 0.00579763, 0.00374644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.27524, 1.28846, 1.30223, 1.31221, 1.31781, 1.32903, 1.34252, 1.35965, 1.3652, 1.37628, 1.38789, 1.41072, 1.4219, 1.43383, 1.45277, 1.51035, 1.52279, 1.54766, 1.56576, 1.57803, 1.60153, 1.61092, 1.62578, 1.64264, 1.66595, 1.69278, 1.72855, 1.79848, 1.87948");
-            values ( \
-              "0.102367, 0.120956, 0.200297, 0.248409, 0.269383, 0.300257, 0.320257, 0.328733, 0.328447, 0.325983, 0.320638, 0.305554, 0.295624, 0.283045, 0.257127, 0.159292, 0.140206, 0.106392, 0.0860163, 0.0740282, 0.0548538, 0.0485507, 0.0398881, 0.0317721, 0.0230415, 0.0158533, 0.00948221, 0.00317862, 0.000842745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.49086, 1.61624, 1.63024, 1.64314, 1.66573, 1.6887, 1.73597, 1.78582, 1.83623, 1.8847, 1.98899, 2.06239, 2.12698, 2.21429, 2.28557, 2.32632, 2.42221, 2.53179, 2.59449");
-            values ( \
-              "0.0108623, 0.360493, 0.365492, 0.366725, 0.363758, 0.357962, 0.341821, 0.321486, 0.295435, 0.261503, 0.174866, 0.121306, 0.0845492, 0.0497549, 0.0315132, 0.0241368, 0.0127143, 0.00587546, 0.00405084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("2.04206, 2.09603, 2.12968, 2.15429, 2.16709, 2.18415, 2.20914, 2.25482, 2.35366, 2.4639, 2.56618, 2.67611, 2.73315, 2.79834, 3.01665, 3.13007, 3.21873, 3.28132, 3.35347, 3.47734, 3.53475, 3.64468, 3.73996, 3.86663, 3.97655, 4.08647, 4.30632, 4.52616, 4.74601");
-            values ( \
-              "0.24381, 0.305933, 0.366003, 0.381462, 0.384672, 0.386641, 0.386319, 0.382261, 0.367714, 0.348548, 0.328487, 0.301991, 0.284591, 0.261869, 0.177683, 0.13782, 0.110856, 0.0943, 0.0776612, 0.0548736, 0.0465273, 0.0336046, 0.0252557, 0.0171892, 0.0122281, 0.00870606, 0.00438408, 0.00220438, 0.00111013" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.012669, 0.0127194, 0.0127791, 0.0128306, 0.0128642, 0.0128825", \
-            "0.0159057, 0.0159693, 0.0160571, 0.0161459, 0.0162117, 0.0162503", \
-            "0.0179772, 0.0180304, 0.0181173, 0.0182216, 0.018312, 0.0183702", \
-            "0.0192416, 0.0192565, 0.0192923, 0.0193547, 0.0194249, 0.0194786", \
-            "0.0200989, 0.020068, 0.02003, 0.0200028, 0.0200023, 0.0200177", \
-            "0.0207611, 0.0207107, 0.0206235, 0.0205043, 0.0203934, 0.0203265" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0189135, 0.0189572, 0.019028, 0.0191041, 0.019161, 0.0191941", \
-            "0.0200709, 0.0200413, 0.0200196, 0.020015, 0.0200194, 0.0200243", \
-            "0.0197952, 0.0196756, 0.0195082, 0.0193411, 0.0192114, 0.0191338", \
-            "0.0194119, 0.0192532, 0.0190217, 0.0187619, 0.0185366, 0.0183862", \
-            "0.0196006, 0.0194069, 0.0190627, 0.0186647, 0.0183061, 0.0180737", \
-            "0.0169633, 0.0171958, 0.0178678, 0.0188996, 0.0184811, 0.0181061" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.175378, 0.180398, 0.193044, 0.201962, 0.206801, 0.211259, 0.215538, 0.21981, 0.224471, 0.233678, 0.23838, 0.24492, 0.252098, 0.254319");
-            values ( \
-              "-0.0249492, -0.0347587, -0.0959851, -0.128686, -0.142133, -0.151283, -0.155679, -0.151875, -0.128558, -0.0553581, -0.032134, -0.0140205, -0.00551029, -0.00457462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.193991, 0.200339, 0.211003, 0.215799, 0.221862, 0.229358, 0.236042, 0.242309, 0.248411, 0.254505, 0.257717, 0.273206, 0.279602, 0.287257, 0.292745, 0.296238, 0.303224, 0.312178");
-            values ( \
-              "-0.0350504, -0.0576012, -0.126673, -0.152591, -0.181234, -0.210994, -0.228403, -0.239918, -0.242291, -0.23183, -0.217193, -0.0955177, -0.0604597, -0.0336815, -0.0218548, -0.016547, -0.00935073, -0.00505684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.226526, 0.239068, 0.241964, 0.246458, 0.259535, 0.265007, 0.270172, 0.274121, 0.280433, 0.285599, 0.296137, 0.306204, 0.31619, 0.327304, 0.331831, 0.347875, 0.358156, 0.365333, 0.371437, 0.376477, 0.382469, 0.390944, 0.399903, 0.40679, 0.417847");
-            values ( \
-              "-0.026704, -0.0706762, -0.0841246, -0.110886, -0.199583, -0.228921, -0.253382, -0.268754, -0.289751, -0.303002, -0.322634, -0.331158, -0.328622, -0.303487, -0.282604, -0.179501, -0.124103, -0.0941266, -0.0737094, -0.0599984, -0.046732, -0.0325655, -0.0221941, -0.0164594, -0.0103904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.305622, 0.327458, 0.334423, 0.344767, 0.350099, 0.354464, 0.359447, 0.362248, 0.36785, 0.373546, 0.379242, 0.383551, 0.38786, 0.392169, 0.396478, 0.398856, 0.402424, 0.40837, 0.413127, 0.417399, 0.421671, 0.428378, 0.43568, 0.440252, 0.449396, 0.454296, 0.455608, 0.460201, 0.464138, 0.469076, 0.474014, 0.48389, 0.488828, 0.4946, 0.504556, 0.508531, 0.512505, 0.520455, 0.530593, 0.539127, 0.542753, 0.550004, 0.557255, 0.56516, 0.575755, 0.583615, 0.590779, 0.599869, 0.604413, 0.611283");
-            values ( \
-              "-0.0215839, -0.113647, -0.155187, -0.223996, -0.256245, -0.279219, -0.302127, -0.313516, -0.33323, -0.347864, -0.360715, -0.368949, -0.376365, -0.382964, -0.388744, -0.391585, -0.394901, -0.399111, -0.401811, -0.403795, -0.403982, -0.403272, -0.401152, -0.398805, -0.393182, -0.389658, -0.388208, -0.381764, -0.374819, -0.36313, -0.350089, -0.319345, -0.3024, -0.281264, -0.241263, -0.226463, -0.21224, -0.185528, -0.154909, -0.131355, -0.122743, -0.107072, -0.0931603, -0.0797966, -0.0636031, -0.0544762, -0.0472096, -0.0392143, -0.0356906, -0.0309319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.493101, 0.555896, 0.574801, 0.594223, 0.607228, 0.633011, 0.658397, 0.669485, 0.706564, 0.743858, 0.761726, 0.780786, 0.797972, 0.859884, 0.907833, 0.949799, 0.979337, 1.00659, 1.04292, 1.07149, 1.10324, 1.14558, 1.22974, 1.26095");
-            values ( \
-              "-0.155961, -0.375097, -0.414257, -0.437006, -0.44577, -0.453607, -0.453463, -0.451636, -0.440139, -0.419555, -0.404815, -0.383339, -0.356837, -0.237237, -0.160588, -0.111225, -0.0849644, -0.065995, -0.0467293, -0.0355267, -0.0261616, -0.0172656, -0.00722223, -0.00565554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.837105, 0.956398, 1.0217, 1.08587, 1.18508, 1.28264, 1.40815, 1.45736, 1.72425, 1.81804, 1.94225, 2.08559, 2.24088, 2.34119");
-            values ( \
-              "-0.112876, -0.430572, -0.480231, -0.48407, -0.47181, -0.452815, -0.412251, -0.383668, -0.163488, -0.112656, -0.0671178, -0.0361247, -0.0182614, -0.0133456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.191314, 0.202011, 0.207953, 0.214814, 0.225161, 0.229617, 0.233895, 0.238165, 0.242825, 0.249674, 0.254518, 0.259642, 0.263272, 0.270562, 0.279304, 0.291779");
-            values ( \
-              "-0.000836091, -0.0487105, -0.0811849, -0.109317, -0.142227, -0.151349, -0.155716, -0.151944, -0.128675, -0.0714663, -0.0417336, -0.0222996, -0.014109, -0.00551179, -0.00176983, -0.00128561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.211131, 0.219972, 0.234464, 0.240526, 0.248021, 0.254705, 0.260972, 0.267073, 0.273166, 0.276374, 0.291398, 0.298054, 0.305594, 0.311419, 0.321312, 0.324669");
-            values ( \
-              "-0.0325595, -0.0630885, -0.152471, -0.181353, -0.210894, -0.228518, -0.239831, -0.242397, -0.231753, -0.217225, -0.0986199, -0.0613781, -0.0346098, -0.0218581, -0.00982532, -0.00811625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.245283, 0.260592, 0.278944, 0.292775, 0.304278, 0.314794, 0.324861, 0.334845, 0.345959, 0.376806, 0.390086, 0.401118, 0.418548, 0.432862");
-            values ( \
-              "-0.0265792, -0.0836635, -0.203097, -0.268916, -0.30365, -0.322498, -0.331489, -0.328462, -0.303507, -0.124115, -0.0737156, -0.0467371, -0.0221984, -0.0129842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.33186, 0.346177, 0.360204, 0.3752, 0.384839, 0.397777, 0.413984, 0.424148, 0.435918, 0.454228, 0.472535, 0.474622, 0.481778, 0.491319, 0.499714, 0.533998, 0.552074, 0.571221, 0.585931, 0.602217, 0.621551, 0.62824, 0.643873, 0.661739, 0.697471, 0.724631");
-            values ( \
-              "-0.0917654, -0.114452, -0.202706, -0.286568, -0.325036, -0.360309, -0.387587, -0.397194, -0.403118, -0.403173, -0.390178, -0.387734, -0.377469, -0.357758, -0.332661, -0.201969, -0.146307, -0.101747, -0.0760984, -0.0547629, -0.0366418, -0.0318841, -0.0230039, -0.0157336, -0.00711512, -0.00454222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.498663, 0.525687, 0.548736, 0.5623, 0.573945, 0.592674, 0.612951, 0.622038, 0.632424, 0.651217, 0.684213, 0.694791, 0.708896, 0.724792, 0.756583, 0.776663, 0.80471, 0.887806, 0.922774, 0.931034, 0.956742, 0.984164, 1.00645, 1.03182, 1.06565, 1.09218, 1.11928, 1.15541, 1.22767, 1.31184, 1.396");
-            values ( \
-              "-0.0882681, -0.156494, -0.275349, -0.335847, -0.373076, -0.412826, -0.43677, -0.443409, -0.448604, -0.453461, -0.452429, -0.450144, -0.446089, -0.440151, -0.423276, -0.407806, -0.375376, -0.220412, -0.165344, -0.154129, -0.1232, -0.09625, -0.0784572, -0.0619479, -0.0448877, -0.0348141, -0.0268199, -0.018829, -0.00904089, -0.00375565, -0.00156868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.877451, 0.924797, 0.942734, 0.962704, 0.988078, 0.998577, 1.01957, 1.04379, 1.06659, 1.10502, 1.14334, 1.22142, 1.25844, 1.30182, 1.38286, 1.42669, 1.4765, 1.56066, 1.63614, 1.68323, 1.74344, 1.78854, 1.83719, 1.90203, 1.96144, 2.04065, 2.10478, 2.17587, 2.26003, 2.34419, 2.42836, 2.51252, 2.68085, 2.84918");
-            values ( \
-              "-0.24533, -0.292123, -0.356091, -0.409188, -0.448256, -0.458274, -0.472599, -0.480728, -0.484134, -0.483869, -0.480484, -0.468904, -0.461958, -0.452973, -0.430169, -0.412569, -0.383683, -0.311458, -0.243127, -0.205365, -0.163469, -0.136993, -0.112675, -0.0861332, -0.0671059, -0.0477656, -0.0361152, -0.0264798, -0.0182695, -0.0125219, -0.00862724, -0.00588233, -0.00275132, -0.00128074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.232919, 0.242663, 0.247108, 0.255738, 0.261246, 0.266079, 0.270534, 0.27481, 0.27908, 0.28374, 0.290597, 0.295449, 0.300454, 0.304184, 0.311678, 0.319893, 0.322033");
-            values ( \
-              "-0.00396724, -0.0478782, -0.0734027, -0.109188, -0.128995, -0.142114, -0.151531, -0.155623, -0.152078, -0.128725, -0.0714375, -0.0416263, -0.0226096, -0.014129, -0.00537961, -0.00186054, -0.00160258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.253872, 0.259889, 0.261297, 0.262002, 0.26341, 0.264115, 0.265362, 0.266609, 0.269104, 0.271393, 0.273617, 0.27654, 0.278001, 0.281407, 0.284813, 0.288219, 0.290232, 0.292914, 0.294903, 0.295565, 0.298216, 0.301837, 0.303292, 0.304814, 0.30585, 0.306886, 0.307923, 0.309442, 0.31096, 0.312479, 0.314123, 0.314624, 0.315376, 0.316378, 0.317881, 0.320221, 0.321, 0.323735, 0.326469, 0.330973, 0.333223, 0.335474, 0.337724, 0.339636, 0.341203, 0.343292, 0.345381, 0.34747, 0.350005, 0.352031");
-            values ( \
-              "-0.0384933, -0.0592307, -0.0657856, -0.0695371, -0.077988, -0.0826874, -0.0921378, -0.100952, -0.117281, -0.130795, -0.143387, -0.159125, -0.166651, -0.181871, -0.195798, -0.208432, -0.214981, -0.222822, -0.227497, -0.228937, -0.23379, -0.239926, -0.240723, -0.241009, -0.240893, -0.240528, -0.239915, -0.238568, -0.236687, -0.234273, -0.231035, -0.229276, -0.226094, -0.221037, -0.212073, -0.194943, -0.18892, -0.165114, -0.142276, -0.106613, -0.0915997, -0.0785083, -0.0668586, -0.0580921, -0.0512321, -0.0434652, -0.0372428, -0.0317377, -0.0261185, -0.021971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.288422, 0.301504, 0.319704, 0.328136, 0.333505, 0.344996, 0.355512, 0.365576, 0.375558, 0.386671, 0.391216, 0.417515, 0.430795, 0.441827, 0.450301, 0.459256, 0.479908, 0.482214");
-            values ( \
-              "-0.0154128, -0.0852802, -0.204042, -0.247759, -0.269283, -0.303739, -0.32271, -0.331497, -0.328596, -0.303545, -0.282533, -0.12412, -0.0737179, -0.046738, -0.0325694, -0.0221992, -0.00890363, -0.00833471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.362097, 0.384751, 0.410249, 0.428517, 0.439752, 0.456545, 0.464965, 0.476191, 0.488205, 0.496158, 0.512065, 0.530679, 0.542124, 0.569707, 0.592372, 0.616412, 0.641906, 0.672296, 0.690732, 0.715314, 0.724694");
-            values ( \
-              "-0.0201735, -0.0980837, -0.251463, -0.330789, -0.360293, -0.388367, -0.396371, -0.402598, -0.404428, -0.402856, -0.392705, -0.36382, -0.330979, -0.224428, -0.150938, -0.095551, -0.0574099, -0.0305736, -0.0207677, -0.0122335, -0.0106343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.549271, 0.579125, 0.589659, 0.602706, 0.614977, 0.632831, 0.652761, 0.660457, 0.670719, 0.692329, 0.724869, 0.736795, 0.751172, 0.765901, 0.795358, 0.804378, 0.822416, 0.845807, 0.92793, 0.961877, 0.971749, 1.00268, 1.03568, 1.05233, 1.07938, 1.11545, 1.14306, 1.18376, 1.23804, 1.3222, 1.40636, 1.49053");
-            values ( \
-              "-0.161931, -0.218557, -0.273341, -0.332183, -0.372277, -0.410734, -0.435398, -0.441391, -0.447215, -0.453378, -0.452473, -0.449889, -0.445661, -0.44013, -0.42475, -0.418571, -0.403456, -0.375355, -0.222074, -0.168149, -0.154636, -0.11801, -0.0874348, -0.0750035, -0.0582496, -0.0412737, -0.0316711, -0.0213516, -0.0124362, -0.00519563, -0.00215178, -0.000900659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.917113, 0.972202, 0.984563, 1.00325, 1.01817, 1.02981, 1.05308, 1.08126, 1.10835, 1.1468, 1.18508, 1.24464, 1.30022, 1.34356, 1.42461, 1.46842, 1.51824, 1.6024, 1.67788, 1.72498, 1.78518, 1.83028, 1.87893, 1.94377, 2.00318, 2.08239, 2.14652, 2.21761, 2.30177, 2.38593, 2.4701, 2.55426, 2.72259, 2.89092");
-            values ( \
-              "-0.208783, -0.313798, -0.357089, -0.406805, -0.433002, -0.448242, -0.46801, -0.480084, -0.484107, -0.484087, -0.480341, -0.471997, -0.462087, -0.452839, -0.430302, -0.412562, -0.383692, -0.311449, -0.243131, -0.20536, -0.163474, -0.136998, -0.112671, -0.0861378, -0.0671102, -0.0477618, -0.0361194, -0.0264838, -0.0182661, -0.0125256, -0.00862378, -0.00588592, -0.0027548, -0.00128413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.323702, 0.333823, 0.338603, 0.347482, 0.350997, 0.355836, 0.360301, 0.364585, 0.368861, 0.373522, 0.379771, 0.382827, 0.387388, 0.390259, 0.393976, 0.396466, 0.401445, 0.409976, 0.412335");
-            values ( \
-              "-0.0110418, -0.0545688, -0.0826685, -0.116577, -0.128514, -0.142106, -0.151022, -0.15568, -0.151705, -0.128525, -0.0759052, -0.0548153, -0.0322544, -0.0225153, -0.0140843, -0.0103482, -0.00536173, -0.0018127, -0.00152228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.342381, 0.350768, 0.351578, 0.353198, 0.362725, 0.369151, 0.372015, 0.3795, 0.386185, 0.392467, 0.398567, 0.404767, 0.407799, 0.411033, 0.420039, 0.423226, 0.425388, 0.429591, 0.434051, 0.437054, 0.442918, 0.446254, 0.452925, 0.456441");
-            values ( \
-              "-0.0315466, -0.0597601, -0.0634859, -0.0722305, -0.135739, -0.166392, -0.182447, -0.210751, -0.228839, -0.239071, -0.242753, -0.230959, -0.217554, -0.195221, -0.119, -0.0964186, -0.0829971, -0.0612032, -0.0437615, -0.0347138, -0.0218616, -0.0167518, -0.00973794, -0.00795212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.378747, 0.392818, 0.410959, 0.419166, 0.424748, 0.436252, 0.446749, 0.456812, 0.466793, 0.477906, 0.482454, 0.508749, 0.522029, 0.533061, 0.541535, 0.55049, 0.571142, 0.573397");
-            values ( \
-              "-0.00765527, -0.0859653, -0.204325, -0.24693, -0.269428, -0.303835, -0.32277, -0.331511, -0.328626, -0.303554, -0.282524, -0.124121, -0.0737185, -0.0467379, -0.0325697, -0.0221994, -0.00890391, -0.00834523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.455093, 0.478137, 0.503591, 0.51888, 0.53126, 0.547329, 0.557902, 0.569398, 0.587706, 0.608101, 0.624808, 0.633125, 0.667547, 0.685551, 0.704651, 0.719402, 0.735768, 0.761726, 0.795058, 0.829649");
-            values ( \
-              "-0.0203033, -0.107261, -0.261305, -0.326981, -0.360259, -0.387484, -0.397453, -0.403111, -0.403218, -0.387744, -0.357738, -0.332896, -0.201721, -0.146309, -0.101839, -0.0761067, -0.0546791, -0.0318781, -0.0157874, -0.00740415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.634708, 0.657629, 0.694072, 0.725475, 0.749358, 0.764633, 0.783763, 0.81659, 0.857346, 0.887359, 0.912758, 0.937255, 1.05381, 1.09295, 1.15564, 1.21777, 1.29293, 1.35907, 1.3829");
-            values ( \
-              "-0.136499, -0.152133, -0.331753, -0.412737, -0.439571, -0.448254, -0.453342, -0.452393, -0.440116, -0.424415, -0.404532, -0.375345, -0.167454, -0.119268, -0.0671836, -0.037144, -0.0179377, -0.00919201, -0.00811559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.984498, 1.03621, 1.07662, 1.0898, 1.10487, 1.12103, 1.14603, 1.17318, 1.19964, 1.2372, 1.27638, 1.33446, 1.39387, 1.43486, 1.51595, 1.55916, 1.60949, 1.69365, 1.76929, 1.81635, 1.87651, 1.92154, 1.9702, 2.03507, 2.09451, 2.17377, 2.23785, 2.30889, 2.39305, 2.47722, 2.56138, 2.64554, 2.81387, 2.9822");
-            values ( \
-              "-0.08008, -0.206629, -0.357659, -0.394539, -0.424967, -0.447439, -0.46877, -0.479972, -0.484067, -0.484022, -0.480386, -0.472168, -0.461579, -0.452879, -0.430242, -0.412827, -0.383724, -0.311503, -0.243037, -0.20531, -0.163457, -0.137023, -0.112686, -0.0861409, -0.0671015, -0.0477474, -0.0361141, -0.0264854, -0.0182696, -0.0125257, -0.00862615, -0.00588527, -0.00275382, -0.001283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.510274, 0.517541, 0.527173, 0.53704, 0.546237, 0.551316, 0.552979, 0.554691, 0.558118, 0.566141, 0.570961, 0.576323, 0.57974, 0.586596, 0.594897, 0.60471, 0.634184");
-            values ( \
-              "-0.0131901, -0.0421893, -0.0929264, -0.13052, -0.152788, -0.157107, -0.155867, -0.152254, -0.137086, -0.0717401, -0.0419188, -0.0217759, -0.014157, -0.00587, -0.00195548, -0.000688559, -0.000179451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.530458, 0.543833, 0.553061, 0.562337, 0.568666, 0.576542, 0.582835, 0.588952, 0.595062, 0.598198, 0.601435, 0.613626, 0.620003, 0.624532, 0.627521, 0.633355, 0.636659, 0.643267, 0.656114, 0.659079");
-            values ( \
-              "-0.0011136, -0.0741247, -0.134271, -0.180858, -0.206028, -0.228036, -0.238859, -0.242007, -0.231004, -0.217216, -0.194977, -0.0964513, -0.0611991, -0.0435549, -0.0345817, -0.0218346, -0.0167858, -0.00980616, -0.00321948, -0.00276939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.578987, 0.585504, 0.591717, 0.594163, 0.608555, 0.613305, 0.61813, 0.622444, 0.631072, 0.633953, 0.639458, 0.644477, 0.654573, 0.664573, 0.674572, 0.675698, 0.680201, 0.693462, 0.700149, 0.706257, 0.709357, 0.715558, 0.721417, 0.728326, 0.737538, 0.743066, 0.75162, 0.763026, 0.779063");
-            values ( \
-              "-0.0409861, -0.0656396, -0.0942358, -0.108718, -0.203772, -0.228745, -0.251382, -0.268541, -0.295603, -0.302709, -0.313974, -0.321825, -0.33068, -0.328006, -0.306806, -0.303145, -0.282504, -0.197151, -0.156992, -0.125634, -0.111719, -0.0876476, -0.0692338, -0.0520869, -0.035218, -0.0278256, -0.0192528, -0.0116404, -0.0062231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.668103, 0.682493, 0.705657, 0.711105, 0.72177, 0.733625, 0.749736, 0.760139, 0.771743, 0.790049, 0.808353, 0.817673, 0.827294, 0.834645, 0.870793, 0.88918, 0.906439, 0.918799, 0.941254, 0.958625, 0.976991, 0.991106, 1.01934, 1.05917");
-            values ( \
-              "-0.0859203, -0.119294, -0.260294, -0.288139, -0.328992, -0.360992, -0.387445, -0.397285, -0.403392, -0.403041, -0.390341, -0.377342, -0.357355, -0.335673, -0.198603, -0.14287, -0.102934, -0.0806891, -0.0512554, -0.0357278, -0.0243673, -0.0180853, -0.00978973, -0.00446171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.816793, 0.927516, 0.947182, 0.959018, 0.973849, 0.988105, 1.02461, 1.06168, 1.09895, 1.11723, 1.13672, 1.15147, 1.22486, 1.26774, 1.31926, 1.37016, 1.40634, 1.4545, 1.48706, 1.55217, 1.61957");
-            values ( \
-              "-0.00787118, -0.408853, -0.434527, -0.443409, -0.450094, -0.453428, -0.451649, -0.440181, -0.419491, -0.404445, -0.382231, -0.359623, -0.219697, -0.154048, -0.0976217, -0.0609663, -0.0431784, -0.027181, -0.0197902, -0.0102692, -0.00547422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.19114, 1.31367, 1.34835, 1.37621, 1.40383, 1.44138, 1.53832, 1.63905, 1.72014, 1.76337, 1.81369, 1.97349, 2.0807, 2.1744, 2.29871, 2.44205, 2.59724, 2.78716");
-            values ( \
-              "-0.0958621, -0.431974, -0.467341, -0.47978, -0.483916, -0.484164, -0.472354, -0.452763, -0.430353, -0.412815, -0.383729, -0.243041, -0.163459, -0.112685, -0.0671034, -0.0361159, -0.0182683, -0.00895538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.875848, 0.885845, 0.891903, 0.903811, 0.907394, 0.912757, 0.915442, 0.917922, 0.922167, 0.923691, 0.92682, 0.936446, 0.942673, 0.94803, 0.952522, 0.958511, 0.964474");
-            values ( \
-              "-0.00709474, -0.0467511, -0.0802329, -0.126609, -0.137405, -0.149599, -0.153524, -0.155368, -0.151777, -0.146155, -0.12847, -0.053059, -0.0253181, -0.0128965, -0.00729465, -0.00337286, -0.00188326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.908223, 0.916847, 0.932959, 0.939062, 0.946607, 0.953322, 0.959613, 0.965736, 0.971852, 0.975032, 0.991198, 0.997169, 1.00327, 1.00797, 1.01317, 1.01721, 1.0253, 1.02534");
-            values ( \
-              "-0.0256371, -0.0529536, -0.1505, -0.17964, -0.209882, -0.227564, -0.239008, -0.241489, -0.231055, -0.216787, -0.0914968, -0.0596319, -0.0375606, -0.025944, -0.0171974, -0.0124457, -0.0063999, -0.00638543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.971645, 0.984607, 1.00261, 1.01094, 1.01643, 1.02794, 1.03847, 1.04859, 1.05861, 1.06976, 1.07428, 1.10067, 1.11396, 1.12501, 1.13349, 1.14246, 1.16313, 1.16745");
-            values ( \
-              "-0.0145892, -0.0870251, -0.203917, -0.246942, -0.26898, -0.303025, -0.321514, -0.330191, -0.327314, -0.302612, -0.282034, -0.123971, -0.0736374, -0.0466827, -0.0325296, -0.02217, -0.0088893, -0.00780909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.08404, 1.10535, 1.12614, 1.13422, 1.14548, 1.15683, 1.17321, 1.18295, 1.19502, 1.21335, 1.22114, 1.23193, 1.24376, 1.25171, 1.26763, 1.28839, 1.31136, 1.32952, 1.3422, 1.35287, 1.36505, 1.38235, 1.40038, 1.4142, 1.44184, 1.47647");
-            values ( \
-              "-0.0209095, -0.117642, -0.244311, -0.286127, -0.329728, -0.359898, -0.387167, -0.396424, -0.402783, -0.402752, -0.398861, -0.388986, -0.371589, -0.353806, -0.299866, -0.219231, -0.14638, -0.103812, -0.0808994, -0.0653538, -0.0509984, -0.0356084, -0.0244604, -0.0182806, -0.0100293, -0.0052615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.29132, 1.31265, 1.33506, 1.35032, 1.3602, 1.37836, 1.39685, 1.40765, 1.42205, 1.43742, 1.46815, 1.48548, 1.51098, 1.54821, 1.56746, 1.588, 1.5966, 1.60808, 1.66098, 1.69827, 1.71434, 1.74284, 1.77541, 1.79253, 1.81276, 1.83832, 1.87386, 1.88869, 1.91837, 1.97772, 2.06188, 2.14605, 2.23021");
-            values ( \
-              "-0.146948, -0.163391, -0.27815, -0.343385, -0.373936, -0.412236, -0.434912, -0.443049, -0.449908, -0.453377, -0.452693, -0.448882, -0.440137, -0.419572, -0.403545, -0.379539, -0.366586, -0.346682, -0.24336, -0.180516, -0.157662, -0.123047, -0.0916435, -0.078309, -0.0648889, -0.0509726, -0.0362357, -0.0314221, -0.0235624, -0.0130246, -0.0054397, -0.00225068, -0.000947168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.65971, 1.75122, 1.76599, 1.80063, 1.82814, 1.85606, 1.89328, 1.99003, 2.09127, 2.17236, 2.21558, 2.2659, 2.4257, 2.53292, 2.62661, 2.69148, 2.75092, 2.83018, 2.89426, 2.96529, 3.04946, 3.13362, 3.30195, 3.30502");
-            values ( \
-              "-0.166899, -0.407613, -0.43327, -0.46793, -0.479949, -0.484086, -0.484212, -0.472415, -0.45279, -0.430335, -0.41282, -0.38373, -0.243042, -0.16346, -0.112685, -0.0861434, -0.067104, -0.0477462, -0.0361163, -0.0264874, -0.018268, -0.0125275, -0.00588694, -0.00584494" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0121383, 0.0121643, 0.012195, 0.012221, 0.0122379, 0.0122471", \
-            "0.0142472, 0.014263, 0.0142861, 0.01431, 0.0143279, 0.0143386", \
-            "0.0154487, 0.0154547, 0.0154658, 0.0154809, 0.0154948, 0.0155042", \
-            "0.0161466, 0.0161482, 0.0161517, 0.016158, 0.016166, 0.0161728", \
-            "0.0165253, 0.0165261, 0.0165271, 0.0165289, 0.0165318, 0.0165357", \
-            "0.0167337, 0.0167344, 0.0167353, 0.0167366, 0.016738, 0.0167397" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0167236, 0.0167428, 0.0167671, 0.0167893, 0.0168066, 0.0168166", \
-            "0.0182353, 0.0182498, 0.0182672, 0.0182823, 0.0182913, 0.018297", \
-            "0.019183, 0.0191997, 0.0192176, 0.0192314, 0.0192392, 0.0192426", \
-            "0.0198402, 0.0198029, 0.0197628, 0.0197306, 0.0197112, 0.0197001", \
-            "0.0207642, 0.0205903, 0.020376, 0.0201828, 0.0200511, 0.0199736", \
-            "0.0201898, 0.0208614, 0.0210417, 0.020666, 0.0203391, 0.0201535" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.23467, 0.273994, 0.355318, 0.524325, 0.880629, 1.6468", \
-            "0.23878, 0.278194, 0.359775, 0.529271, 0.886161, 1.65315", \
-            "0.24979, 0.289317, 0.371262, 0.541261, 0.898237, 1.66583", \
-            "0.277457, 0.316823, 0.398694, 0.568794, 0.926385, 1.69402", \
-            "0.340009, 0.380387, 0.462508, 0.633137, 0.989981, 1.75743", \
-            "0.446707, 0.495337, 0.592359, 0.777891, 1.13951, 1.90412" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0314493, 0.0463716, 0.0798787, 0.157101, 0.334066, 0.733447", \
-            "0.0314768, 0.0463783, 0.0798547, 0.157098, 0.334059, 0.733445", \
-            "0.031465, 0.0464091, 0.0798758, 0.157095, 0.334068, 0.733444", \
-            "0.031503, 0.0464033, 0.0798401, 0.157102, 0.334061, 0.733443", \
-            "0.0318102, 0.0465816, 0.0799484, 0.157164, 0.334067, 0.733445", \
-            "0.0326474, 0.0474406, 0.0806915, 0.157334, 0.334083, 0.733444" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.20614, 0.238612, 0.30487, 0.440662, 0.722899, 1.32435", \
-            "0.210256, 0.242853, 0.309236, 0.44522, 0.727835, 1.3288", \
-            "0.218364, 0.251249, 0.31816, 0.454439, 0.737185, 1.33851", \
-            "0.233371, 0.267866, 0.336975, 0.474463, 0.757659, 1.3597", \
-            "0.256002, 0.294871, 0.370899, 0.5159, 0.802788, 1.40549", \
-            "0.273227, 0.320663, 0.41252, 0.582785, 0.893661, 1.50423" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0298731, 0.0416199, 0.0662049, 0.119802, 0.241433, 0.519581", \
-            "0.0298845, 0.0416061, 0.0662138, 0.119727, 0.24116, 0.519598", \
-            "0.0298944, 0.0416223, 0.066189, 0.119777, 0.241198, 0.519586", \
-            "0.0299828, 0.0416773, 0.0662015, 0.119741, 0.241379, 0.519575", \
-            "0.0295533, 0.0416986, 0.0663215, 0.119798, 0.241367, 0.519571", \
-            "0.0294946, 0.0412792, 0.0662168, 0.120211, 0.241383, 0.519587" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.209564, 0.222246, 0.231041, 0.235977, 0.239502, 0.241419, 0.246179, 0.250929, 0.255711, 0.263835, 0.272363, 0.279792, 0.286905, 0.297034, 0.311037, 0.323504, 0.325314");
-            values ( \
-              "0.00372871, 0.0734857, 0.112369, 0.12716, 0.133925, 0.136457, 0.139027, 0.135643, 0.121875, 0.0764044, 0.0443766, 0.0273068, 0.0170614, 0.0087231, 0.00364092, 0.00174578, 0.00167941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.233449, 0.258969, 0.263953, 0.271555, 0.278611, 0.285545, 0.292472, 0.293627, 0.297506, 0.31036, 0.318888, 0.329687, 0.33618, 0.344447, 0.349487, 0.358543, 0.369883, 0.379105, 0.39755, 0.406418");
-            values ( \
-              "0.00159441, 0.161504, 0.182808, 0.203708, 0.212384, 0.211825, 0.202474, 0.199386, 0.186371, 0.123044, 0.088094, 0.056092, 0.0421812, 0.0291506, 0.0231914, 0.0152648, 0.00913368, 0.00601244, 0.00254829, 0.00193106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.302728, 0.319732, 0.321855, 0.323978, 0.326102, 0.328797, 0.331491, 0.334186, 0.336881, 0.339575, 0.34227, 0.344258, 0.346246, 0.348504, 0.350763, 0.353002, 0.355242, 0.357481, 0.35972, 0.36196, 0.365629, 0.368489, 0.369919, 0.372779, 0.376141, 0.379503, 0.382671, 0.385839, 0.389008, 0.392176, 0.393552, 0.400435, 0.406005, 0.41392, 0.41888, 0.424834, 0.426819, 0.431938, 0.439681, 0.444932, 0.44887, 0.452809, 0.45808, 0.466515, 0.471262, 0.472845, 0.479175, 0.485505, 0.493692, 0.501407");
-            values ( \
-              "0.219887, 0.22102, 0.231078, 0.240106, 0.248103, 0.255758, 0.262488, 0.268191, 0.273037, 0.277025, 0.280157, 0.281894, 0.28302, 0.283183, 0.283059, 0.282651, 0.281961, 0.280984, 0.279723, 0.278175, 0.274976, 0.271729, 0.269785, 0.265318, 0.258901, 0.251777, 0.244415, 0.236426, 0.227809, 0.218564, 0.214245, 0.190577, 0.172064, 0.146866, 0.132029, 0.116479, 0.111573, 0.0996954, 0.0829657, 0.0728696, 0.0664231, 0.0604027, 0.0531995, 0.042485, 0.0373873, 0.0358297, 0.0305604, 0.0259188, 0.0209447, 0.0166792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.406145, 0.417446, 0.430049, 0.444591, 0.458274, 0.468125, 0.483767, 0.501086, 0.512413, 0.534883, 0.547593, 0.560809, 0.584649, 0.637698, 0.675199, 0.709458, 0.744388, 0.763113, 0.789594, 0.839097, 0.882132, 0.923352");
-            values ( \
-              "0.127956, 0.133351, 0.216597, 0.286105, 0.321386, 0.332518, 0.33757, 0.331906, 0.325055, 0.30806, 0.295709, 0.280456, 0.24467, 0.153663, 0.101983, 0.0674472, 0.0430081, 0.0335097, 0.0233462, 0.0117881, 0.00636422, 0.00399117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.618293, 0.689296, 0.704328, 0.721353, 0.735926, 0.749627, 0.771919, 0.833572, 0.892144, 0.942662, 0.992128, 1.12226, 1.17327, 1.23271, 1.27404, 1.32664, 1.41546, 1.51385, 1.59351, 1.70254, 1.73163");
-            values ( \
-              "0.00593837, 0.318421, 0.350173, 0.366459, 0.37046, 0.370251, 0.365651, 0.34461, 0.320609, 0.294424, 0.259659, 0.153634, 0.118251, 0.0849407, 0.0665395, 0.048197, 0.0273037, 0.0143567, 0.00840128, 0.00395536, 0.00354736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.07785, 1.22113, 1.24405, 1.27608, 1.30576, 1.39362, 1.54482, 1.65826, 1.76729, 1.91096, 2.18805, 2.28964, 2.44305, 2.57806, 2.74862, 2.84643, 3.08511, 3.4122, 3.44371");
-            values ( \
-              "0.00544391, 0.367758, 0.381766, 0.385913, 0.384744, 0.373611, 0.348521, 0.326584, 0.3006, 0.253068, 0.149155, 0.116982, 0.0785721, 0.0539779, 0.0329072, 0.0245848, 0.0119987, 0.00442158, 0.00421695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.228319, 0.233665, 0.248982, 0.254439, 0.259387, 0.264142, 0.268898, 0.27367, 0.281639, 0.290226, 0.296741, 0.305209, 0.318136, 0.333457, 0.344674");
-            values ( \
-              "0.0189937, 0.037164, 0.111937, 0.128632, 0.136227, 0.139462, 0.135607, 0.122204, 0.0772633, 0.0446776, 0.0292476, 0.0166987, 0.00711858, 0.00273531, 0.00225984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.254134, 0.260186, 0.27724, 0.282105, 0.28971, 0.296768, 0.303703, 0.311781, 0.31566, 0.328519, 0.337041, 0.34785, 0.354351, 0.362602, 0.376698, 0.388053, 0.397292, 0.415769, 0.422713");
-            values ( \
-              "0.0286843, 0.0547072, 0.162135, 0.182577, 0.20379, 0.212223, 0.211918, 0.199386, 0.186384, 0.123044, 0.0881098, 0.0560831, 0.042156, 0.0291575, 0.0152696, 0.0091303, 0.00600382, 0.00254224, 0.00225702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.305337, 0.318828, 0.326852, 0.332327, 0.335895, 0.34303, 0.349704, 0.361791, 0.373474, 0.385586, 0.393627, 0.397719, 0.404106, 0.411695, 0.426275, 0.436714, 0.444828, 0.455169, 0.463269, 0.474068, 0.482197, 0.488784, 0.497567, 0.512489, 0.51945, 0.529837, 0.543686, 0.571384, 0.61275, 0.663314");
-            values ( \
-              "0.00402368, 0.0936023, 0.152862, 0.18896, 0.20942, 0.241805, 0.2626, 0.281482, 0.283212, 0.273732, 0.261877, 0.253802, 0.237937, 0.214284, 0.164825, 0.13387, 0.112295, 0.088746, 0.073264, 0.0561453, 0.0457426, 0.0386427, 0.030734, 0.0206395, 0.0171499, 0.0129851, 0.00891142, 0.00407862, 0.00117311, 0.000257068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.41505, 0.426207, 0.449545, 0.464094, 0.477681, 0.487619, 0.503132, 0.520577, 0.531904, 0.56708, 0.604117, 0.656978, 0.694577, 0.729216, 0.763674, 0.809006, 0.858903, 0.902306, 0.912388");
-            values ( \
-              "0.0340006, 0.0671899, 0.216867, 0.286392, 0.321176, 0.332656, 0.337494, 0.331841, 0.325116, 0.295724, 0.244716, 0.153994, 0.102111, 0.0672217, 0.0431246, 0.0233704, 0.011736, 0.00630004, 0.00572579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.649077, 0.711733, 0.723712, 0.742153, 0.755984, 0.769001, 0.790961, 0.852741, 0.911513, 0.962043, 1.01133, 1.14138, 1.19207, 1.25268, 1.29482, 1.34554, 1.43116, 1.5381, 1.62458, 1.73362, 1.75822");
-            values ( \
-              "0.0702481, 0.32682, 0.350424, 0.367153, 0.370776, 0.370137, 0.365914, 0.344846, 0.320477, 0.294553, 0.259784, 0.153813, 0.118622, 0.0846529, 0.0659701, 0.0483396, 0.027973, 0.0139039, 0.00774654, 0.00364994, 0.00333195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.14105, 1.17822, 1.20926, 1.2354, 1.25324, 1.26865, 1.29323, 1.32103, 1.37912, 1.46453, 1.56838, 1.67834, 1.78737, 1.85505, 1.93099, 2.20808, 2.30967, 2.40224, 2.46307, 2.59808, 2.65961, 2.76865, 2.86644, 2.99607, 3.10511, 3.21414, 3.4322, 3.65027, 3.97736");
-            values ( \
-              "0.18714, 0.211924, 0.315736, 0.362672, 0.37724, 0.382924, 0.386116, 0.385015, 0.378526, 0.36567, 0.34782, 0.326572, 0.300589, 0.279935, 0.253073, 0.149158, 0.116983, 0.0923732, 0.0785757, 0.0539803, 0.045244, 0.0329087, 0.0245852, 0.0166684, 0.0120001, 0.00859044, 0.00442113, 0.00228301, 0.000881635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.269255, 0.282203, 0.288565, 0.291032, 0.295965, 0.297503, 0.299528, 0.30142, 0.305204, 0.306183, 0.308141, 0.310934, 0.31572, 0.322196, 0.324296, 0.328898, 0.332508, 0.335292, 0.338554, 0.344496, 0.347542, 0.353632, 0.35977, 0.37075, 0.382441, 0.393317");
-            values ( \
-              "0.00262915, 0.0732472, 0.103134, 0.112159, 0.127077, 0.130321, 0.133839, 0.136397, 0.13889, 0.138989, 0.138399, 0.135619, 0.12181, 0.084642, 0.0741626, 0.0553647, 0.0440634, 0.0368569, 0.0296165, 0.0199445, 0.0164291, 0.010801, 0.00723977, 0.00361265, 0.00193323, 0.00112818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.295561, 0.302566, 0.318827, 0.324076, 0.331693, 0.338757, 0.345696, 0.353771, 0.357649, 0.37052, 0.379032, 0.389947, 0.4003, 0.406189, 0.42027, 0.429196, 0.441096, 0.460186");
-            values ( \
-              "0.0267807, 0.0563921, 0.159804, 0.182268, 0.203504, 0.212096, 0.211765, 0.199335, 0.186371, 0.123025, 0.0881413, 0.0558822, 0.0353663, 0.0271411, 0.0142457, 0.00949715, 0.00549898, 0.00239928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.345899, 0.359824, 0.373457, 0.387057, 0.392386, 0.403042, 0.411774, 0.416133, 0.42485, 0.440394, 0.487744, 0.505799, 0.524235, 0.533749, 0.557326, 0.572245, 0.592138, 0.604922");
-            values ( \
-              "0.024167, 0.0778015, 0.17752, 0.246029, 0.261945, 0.28, 0.283501, 0.282646, 0.277024, 0.253694, 0.111828, 0.0735171, 0.0464629, 0.0363909, 0.0194714, 0.0130357, 0.00761688, 0.00580229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.448993, 0.520179, 0.530021, 0.545783, 0.552055, 0.562994, 0.574304, 0.596774, 0.609481, 0.622699, 0.646525, 0.679321, 0.699458, 0.713423, 0.73702, 0.756733, 0.771511, 0.790556, 0.806151, 0.82492, 0.851434, 0.879546, 0.901175, 0.944433, 1.02159, 1.11326");
-            values ( \
-              "0.00280835, 0.321414, 0.332686, 0.337514, 0.336174, 0.331851, 0.325121, 0.308012, 0.295723, 0.280452, 0.244701, 0.186767, 0.153867, 0.132965, 0.102063, 0.0808005, 0.06731, 0.0527869, 0.0430802, 0.0335472, 0.0233616, 0.0158863, 0.0117569, 0.00632538, 0.00191202, 0.000447759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.691858, 0.718767, 0.740647, 0.75534, 0.766561, 0.784755, 0.798741, 0.81188, 0.833883, 0.904461, 0.9544, 1.00493, 1.05422, 1.18427, 1.23495, 1.29557, 1.33772, 1.38843, 1.47404, 1.51613, 1.58103, 1.66755, 1.77659, 1.99465");
-            values ( \
-              "0.0761467, 0.169783, 0.279708, 0.328245, 0.349592, 0.367031, 0.370374, 0.370295, 0.365643, 0.341422, 0.320656, 0.29437, 0.259791, 0.153819, 0.118616, 0.0846455, 0.0659705, 0.0483359, 0.0279728, 0.0212878, 0.0138978, 0.00774539, 0.00364512, 0.000827706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.18585, 1.22165, 1.25246, 1.27944, 1.29698, 1.31214, 1.3366, 1.36444, 1.42273, 1.50803, 1.60822, 1.72183, 1.83086, 1.89854, 1.97448, 2.25157, 2.35313, 2.44575, 2.50661, 2.64157, 2.70308, 2.81211, 2.90992, 3.03958, 3.14862, 3.25765, 3.47571, 3.69377, 4.02087");
-            values ( \
-              "0.202931, 0.211256, 0.314891, 0.363322, 0.377315, 0.382967, 0.386068, 0.38507, 0.378474, 0.365634, 0.348466, 0.326574, 0.300588, 0.279936, 0.253071, 0.149157, 0.116992, 0.0923686, 0.078564, 0.0539796, 0.0452482, 0.0329106, 0.0245868, 0.016668, 0.0119987, 0.00859057, 0.0044215, 0.0022835, 0.000880964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.364621, 0.377907, 0.384341, 0.386805, 0.392262, 0.395333, 0.397213, 0.400973, 0.404003, 0.406738, 0.411529, 0.418006, 0.420114, 0.422324, 0.426676, 0.430175, 0.435092, 0.439106, 0.442772, 0.446867, 0.452889, 0.460628, 0.466662, 0.47872, 0.49704, 0.519977");
-            values ( \
-              "0.000810454, 0.0726056, 0.102777, 0.111955, 0.127863, 0.13371, 0.136133, 0.138777, 0.138287, 0.135423, 0.121797, 0.0845405, 0.0741719, 0.0644684, 0.0487391, 0.0390757, 0.0283267, 0.0216658, 0.0169886, 0.0129791, 0.00868072, 0.00531997, 0.00372454, 0.00177811, 0.000682858, 0.000195055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.389275, 0.41499, 0.419704, 0.427318, 0.43438, 0.441319, 0.448251, 0.449398, 0.453277, 0.466144, 0.474662, 0.485483, 0.491995, 0.500229, 0.505249, 0.514327, 0.525707, 0.534968, 0.553491, 0.562296");
-            values ( \
-              "0.00274385, 0.162586, 0.18243, 0.203557, 0.212133, 0.211764, 0.202308, 0.199319, 0.186345, 0.123017, 0.0881167, 0.0560592, 0.0421235, 0.0291549, 0.0232174, 0.0152669, 0.00911908, 0.00599351, 0.00253023, 0.00192256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.442469, 0.450828, 0.47108, 0.480668, 0.488082, 0.500181, 0.511843, 0.523955, 0.536084, 0.583194, 0.601631, 0.627149, 0.650855, 0.682046, 0.688204");
-            values ( \
-              "0.0287924, 0.0512199, 0.191225, 0.239028, 0.262707, 0.28162, 0.283265, 0.273779, 0.253828, 0.112288, 0.0732647, 0.0386399, 0.0206374, 0.00891257, 0.0078379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.548646, 0.615862, 0.625702, 0.641447, 0.647739, 0.658679, 0.669989, 0.69246, 0.705166, 0.718386, 0.742219, 0.77497, 0.795206, 0.80924, 0.83274, 0.852304, 0.867123, 0.886215, 0.901898, 0.920647, 0.947145, 0.975199, 0.996776, 1.03993, 1.11706, 1.20867");
-            values ( \
-              "0.0220158, 0.321391, 0.332581, 0.337519, 0.336116, 0.331852, 0.32509, 0.308017, 0.295712, 0.280452, 0.244682, 0.186835, 0.153772, 0.132779, 0.102026, 0.0809153, 0.0673735, 0.0528061, 0.0430463, 0.03353, 0.0233541, 0.0158941, 0.0117718, 0.00634312, 0.00191876, 0.000449569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.787042, 0.8154, 0.837118, 0.851159, 0.86296, 0.881333, 0.895206, 0.908257, 0.930178, 0.99203, 1.05077, 1.1013, 1.15062, 1.28068, 1.33142, 1.39183, 1.43384, 1.48488, 1.51359, 1.57103, 1.61254, 1.67655, 1.76191, 1.87094, 2.08901");
-            values ( \
-              "0.0605829, 0.17274, 0.281372, 0.32706, 0.350312, 0.367041, 0.370751, 0.37009, 0.365934, 0.344849, 0.320464, 0.294566, 0.259762, 0.153783, 0.118561, 0.0847013, 0.0660641, 0.0483165, 0.0403551, 0.0278614, 0.0212846, 0.0139779, 0.00785111, 0.00369903, 0.000841752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.28463, 1.32606, 1.34936, 1.37515, 1.39313, 1.40865, 1.43322, 1.46091, 1.51966, 1.60453, 1.70476, 1.81834, 1.92737, 1.99505, 2.07099, 2.34808, 2.44963, 2.54226, 2.60314, 2.73808, 2.79957, 2.9086, 3.00642, 3.1361, 3.24513, 3.35417, 3.57223, 3.79029, 4.11739");
-            values ( \
-              "0.204374, 0.240479, 0.315672, 0.362328, 0.377044, 0.383003, 0.386037, 0.385118, 0.378382, 0.365596, 0.3485, 0.326575, 0.300588, 0.279936, 0.253071, 0.149156, 0.116995, 0.0923663, 0.0785587, 0.0539793, 0.0452498, 0.0329116, 0.0245872, 0.0166676, 0.0119981, 0.00859042, 0.00442149, 0.00228356, 0.000880812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.577576, 0.583646, 0.599671, 0.605231, 0.610253, 0.61506, 0.619869, 0.624677, 0.632809, 0.641369, 0.6489, 0.655931, 0.666117, 0.680297, 0.691545");
-            values ( \
-              "0.016679, 0.033885, 0.109623, 0.126221, 0.134778, 0.13791, 0.134771, 0.121358, 0.0762775, 0.0442735, 0.0271102, 0.0170351, 0.00868579, 0.00360794, 0.00290427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.60475, 0.612615, 0.624502, 0.633694, 0.640506, 0.643076, 0.648456, 0.65371, 0.658817, 0.662523, 0.669715, 0.68237, 0.693754, 0.70315, 0.709956, 0.717486, 0.72273, 0.733127, 0.739184, 0.751299, 0.773075, 0.778877");
-            values ( \
-              "0.014775, 0.059934, 0.1369, 0.18046, 0.200305, 0.205061, 0.210856, 0.211573, 0.207539, 0.201088, 0.176578, 0.113574, 0.0717771, 0.0481537, 0.0355637, 0.0253646, 0.0199787, 0.0124252, 0.00943356, 0.00541454, 0.00193149, 0.00163362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.66034, 0.683581, 0.696803, 0.702203, 0.713003, 0.721495, 0.725986, 0.734967, 0.743509, 0.750268, 0.797609, 0.815727, 0.837321, 0.856325, 0.882218, 0.902179, 0.916175");
-            values ( \
-              "0.0313945, 0.180105, 0.245426, 0.261688, 0.279621, 0.283152, 0.28232, 0.276519, 0.265939, 0.253556, 0.11182, 0.0734847, 0.0429027, 0.0261071, 0.0130543, 0.00755062, 0.00564523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.760018, 0.777414, 0.816905, 0.828231, 0.840553, 0.855869, 0.862597, 0.884894, 0.920009, 0.957367, 1.02838, 1.06409, 1.10635, 1.14305, 1.19338, 1.23201, 1.27106");
-            values ( \
-              "0.0217995, 0.0561622, 0.283758, 0.314836, 0.332026, 0.336844, 0.336059, 0.325186, 0.295769, 0.244292, 0.126837, 0.0840585, 0.0493598, 0.0302711, 0.0151783, 0.00877213, 0.00558769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.0071, 1.02937, 1.05123, 1.06511, 1.07712, 1.09496, 1.10912, 1.12243, 1.14441, 1.20607, 1.26495, 1.31549, 1.36467, 1.49467, 1.54516, 1.60649, 1.64913, 1.6987, 1.78235, 1.82653, 1.89451, 1.98514, 2.09418, 2.13112");
-            values ( \
-              "0.126336, 0.170714, 0.280136, 0.326129, 0.349834, 0.366706, 0.370523, 0.370134, 0.365812, 0.344812, 0.320518, 0.294502, 0.259865, 0.153927, 0.118842, 0.0844706, 0.0656243, 0.0484235, 0.0283886, 0.0213151, 0.0136331, 0.00737347, 0.00347251, 0.00301818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.50015, 1.54235, 1.57189, 1.5955, 1.62021, 1.63719, 1.6566, 1.68767, 1.76761, 1.92229, 2.0322, 2.14123, 2.2849, 2.56198, 2.66353, 2.81708, 2.95198, 3.1225, 3.22033, 3.35002, 3.45905, 3.78615, 3.86946");
-            values ( \
-              "0.215306, 0.249632, 0.335751, 0.369391, 0.382939, 0.385517, 0.386031, 0.383936, 0.373521, 0.347825, 0.326585, 0.300602, 0.25307, 0.149158, 0.116998, 0.0785537, 0.0539796, 0.032913, 0.0245875, 0.016667, 0.011998, 0.00442121, 0.00388015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.02153, 1.02913, 1.03271, 1.03621, 1.03881, 1.04011, 1.04271, 1.04432, 1.04555, 1.04689, 1.04792, 1.04839, 1.04982, 1.05077, 1.05172, 1.05268, 1.05363, 1.05448, 1.0559, 1.05704, 1.05836, 1.05968, 1.06091, 1.06214, 1.06337, 1.06461, 1.06489, 1.06547, 1.07051, 1.07348, 1.07416, 1.07619, 1.0786, 1.07981, 1.08101, 1.08394, 1.08478, 1.08645, 1.08869, 1.08981, 1.09093, 1.09378, 1.09495, 1.0967, 1.09728, 1.0993, 1.10132, 1.10278, 1.10571, 1.10967");
-            values ( \
-              "0.0630305, 0.0650553, 0.0808567, 0.095124, 0.104215, 0.108419, 0.116143, 0.120449, 0.123361, 0.126181, 0.12812, 0.128861, 0.130811, 0.131884, 0.132775, 0.133484, 0.134012, 0.13407, 0.133924, 0.133588, 0.132296, 0.130424, 0.128148, 0.125365, 0.122074, 0.118277, 0.117317, 0.114732, 0.0868544, 0.0715502, 0.0686759, 0.0606227, 0.0521817, 0.0483972, 0.0449034, 0.0371344, 0.0351159, 0.0314829, 0.0273173, 0.0254176, 0.0236399, 0.0195907, 0.0180407, 0.0159404, 0.0153002, 0.0134711, 0.0118327, 0.010794, 0.00895524, 0.00696029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.04848, 1.08102, 1.08895, 1.09625, 1.10335, 1.11045, 1.11436, 1.13274, 1.14316, 1.15421, 1.16858, 1.17754, 1.18897, 1.21493");
-            values ( \
-              "0.00793255, 0.173195, 0.196211, 0.206435, 0.2076, 0.199154, 0.188303, 0.103778, 0.0680975, 0.0423072, 0.022231, 0.0147352, 0.0088052, 0.00281403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.11861, 1.13074, 1.15652, 1.16415, 1.1765, 1.18831, 1.20024, 1.21275, 1.26762, 1.2872, 1.30609, 1.32924, 1.35467, 1.37488");
-            values ( \
-              "0.0305052, 0.0807256, 0.231065, 0.256275, 0.277187, 0.280189, 0.271996, 0.252363, 0.0943367, 0.0592512, 0.0367263, 0.0199476, 0.0101109, 0.00736461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.25378, 1.26644, 1.27942, 1.29425, 1.30475, 1.31799, 1.33368, 1.35108, 1.36242, 1.38493, 1.39749, 1.41086, 1.4365, 1.47549, 1.50543, 1.54211, 1.56338, 1.59189, 1.60879, 1.63802, 1.67167, 1.69854, 1.75227, 1.79463");
-            values ( \
-              "0.104325, 0.129023, 0.21135, 0.282232, 0.311947, 0.33082, 0.336398, 0.331174, 0.324664, 0.307664, 0.295613, 0.28024, 0.241525, 0.1734, 0.127568, 0.0836145, 0.0642466, 0.0445029, 0.0355713, 0.0238714, 0.0150441, 0.0103349, 0.0047198, 0.00294083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.49657, 1.51956, 1.54345, 1.5584, 1.57292, 1.58842, 1.60466, 1.62701, 1.64998, 1.69724, 1.74719, 1.79783, 1.83966, 1.99706, 2.04459, 2.0835, 2.11362, 2.16779, 2.23032, 2.28569, 2.38724, 2.47534, 2.58438, 2.5996");
-            values ( \
-              "0.203862, 0.214216, 0.315699, 0.348657, 0.363762, 0.369955, 0.370116, 0.365664, 0.358525, 0.341294, 0.320539, 0.294403, 0.265465, 0.139293, 0.108305, 0.0870782, 0.0730075, 0.0525406, 0.0354493, 0.0247588, 0.0127267, 0.00700311, 0.00330362, 0.00312575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.98232, 2.07532, 2.10239, 2.12106, 2.1424, 2.23491, 2.40205, 2.51202, 2.62105, 2.76466, 3.04175, 3.14331, 3.29681, 3.43175, 3.60228, 3.7001, 3.9388, 4.26589, 4.30121");
-            values ( \
-              "0.209071, 0.369748, 0.383655, 0.385835, 0.385842, 0.375344, 0.347822, 0.326573, 0.300589, 0.253073, 0.149158, 0.116994, 0.0785614, 0.0539802, 0.0329119, 0.0245869, 0.0119988, 0.00442111, 0.00419177" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0126229, 0.0126691, 0.0127217, 0.0127652, 0.0127928, 0.0128075", \
-            "0.0159492, 0.0160023, 0.0160702, 0.0161331, 0.016177, 0.0162018", \
-            "0.0180727, 0.0181138, 0.0181736, 0.0182372, 0.0182866, 0.0183163", \
-            "0.0192635, 0.0192825, 0.0193159, 0.0193591, 0.0193978, 0.0194246", \
-            "0.019994, 0.0199681, 0.0199426, 0.0199289, 0.0199306, 0.0199383", \
-            "0.0204693, 0.0204243, 0.0203606, 0.0202854, 0.0202232, 0.0201888" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0189523, 0.0190119, 0.019086, 0.0191521, 0.0191949, 0.0192176", \
-            "0.0201431, 0.0201579, 0.0201735, 0.0201847, 0.0201927, 0.0201916", \
-            "0.0196612, 0.0195361, 0.0193826, 0.0192451, 0.0191528, 0.0191012", \
-            "0.0193349, 0.0190811, 0.0187702, 0.0184977, 0.0183066, 0.0181947", \
-            "0.0198658, 0.019479, 0.0189041, 0.0183789, 0.0180139, 0.0178166", \
-            "0.0178632, 0.0180066, 0.0183989, 0.0187336, 0.0181945, 0.0177986" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.177688, 0.184862, 0.187304, 0.199001, 0.20884, 0.212932, 0.217711, 0.222244, 0.226744, 0.231234, 0.241446, 0.244349, 0.247813, 0.253278, 0.257881, 0.264019, 0.268794");
-            values ( \
-              "-0.0203545, -0.0335417, -0.0417939, -0.0905875, -0.122011, -0.132495, -0.141929, -0.147059, -0.144647, -0.126309, -0.0519433, -0.0373523, -0.0246617, -0.012449, -0.00693106, -0.00337973, -0.00212325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.197471, 0.204628, 0.222751, 0.236435, 0.243526, 0.250122, 0.256506, 0.26288, 0.264854, 0.270021, 0.280172, 0.28688, 0.292791, 0.300403, 0.308261, 0.317241, 0.327421");
-            values ( \
-              "-0.0311155, -0.0510635, -0.144069, -0.197996, -0.216131, -0.228676, -0.232336, -0.224113, -0.216738, -0.185926, -0.105937, -0.0667778, -0.0431564, -0.0239168, -0.0128431, -0.00612586, -0.00302771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.235397, 0.247987, 0.268283, 0.283031, 0.295085, 0.305998, 0.316378, 0.326621, 0.337869, 0.341916, 0.369976, 0.382003, 0.39685, 0.4054, 0.419344, 0.436516");
-            values ( \
-              "-0.0314369, -0.073208, -0.187825, -0.254938, -0.291489, -0.311884, -0.322331, -0.321061, -0.29866, -0.281928, -0.119498, -0.0746979, -0.0403926, -0.0280927, -0.0153594, -0.0072322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.310938, 0.334436, 0.339379, 0.365982, 0.382785, 0.395178, 0.412977, 0.420064, 0.434253, 0.448164, 0.462079, 0.471579, 0.490488, 0.534202, 0.551153, 0.569586, 0.582014, 0.60456, 0.621903, 0.640166, 0.682241, 0.69829");
-            values ( \
-              "-0.0174167, -0.0803322, -0.0999009, -0.244825, -0.315108, -0.348636, -0.3795, -0.387069, -0.396386, -0.398673, -0.39417, -0.386537, -0.355329, -0.197902, -0.14624, -0.103158, -0.080769, -0.0512169, -0.0357206, -0.0244118, -0.00986288, -0.00769726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.490993, 0.530785, 0.566162, 0.581829, 0.594647, 0.61024, 0.623071, 0.642618, 0.660555, 0.693162, 0.705677, 0.719929, 0.734489, 0.763609, 0.772997, 0.791774, 0.814418, 0.856945, 0.896258, 0.929943, 0.942316, 0.955161, 0.972287, 1.00607, 1.02757, 1.04262, 1.06647, 1.0997, 1.11233, 1.13759, 1.18811, 1.27276, 1.3574, 1.44205");
-            values ( \
-              "-0.0240367, -0.142262, -0.308306, -0.361092, -0.390949, -0.416237, -0.430181, -0.443468, -0.449241, -0.450334, -0.448141, -0.444485, -0.439372, -0.424835, -0.418469, -0.402882, -0.375512, -0.29758, -0.222735, -0.168852, -0.151972, -0.135958, -0.117042, -0.0858656, -0.0703669, -0.0613092, -0.0487393, -0.0355831, -0.0313306, -0.0247039, -0.0148197, -0.00629292, -0.00245528, -0.00115539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.870332, 1.00806, 1.04767, 1.0752, 1.10138, 1.13951, 1.2359, 1.29573, 1.41763, 1.46053, 1.51098, 1.66968, 1.77748, 1.87156, 1.99528, 2.13851, 2.29456, 2.48144");
-            values ( \
-              "-0.0354953, -0.421345, -0.463717, -0.476829, -0.48155, -0.483181, -0.472801, -0.462388, -0.431186, -0.413641, -0.384345, -0.244096, -0.163763, -0.112672, -0.0672224, -0.0361777, -0.0182182, -0.0090999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.19295, 0.205, 0.216237, 0.225585, 0.235581, 0.240115, 0.244611, 0.249102, 0.259405, 0.265641, 0.271162, 0.275867, 0.282141, 0.291762, 0.308569");
-            values ( \
-              "-0.000709483, -0.0412734, -0.0884197, -0.118802, -0.141944, -0.147033, -0.144855, -0.125968, -0.0515548, -0.0248883, -0.012515, -0.0069145, -0.0031892, -0.000923603, -0.00067025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.215181, 0.222921, 0.225302, 0.229529, 0.236611, 0.246654, 0.247757, 0.251286, 0.254739, 0.261852, 0.268442, 0.274827, 0.281202, 0.283142, 0.285144, 0.288341, 0.298494, 0.305209, 0.311103, 0.315517, 0.318717, 0.322098, 0.326606, 0.335622, 0.339203");
-            values ( \
-              "-0.0302073, -0.0516203, -0.0614811, -0.0832758, -0.124126, -0.166123, -0.172289, -0.185734, -0.197356, -0.216522, -0.228268, -0.23267, -0.223786, -0.216866, -0.20733, -0.185923, -0.105943, -0.0667467, -0.0431914, -0.0307311, -0.0239357, -0.0183546, -0.0128161, -0.00609837, -0.00500936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.249108, 0.264405, 0.26682, 0.271649, 0.284111, 0.293307, 0.301939, 0.313998, 0.324914, 0.335295, 0.345538, 0.355775, 0.356786, 0.36083, 0.379982, 0.388867, 0.394075, 0.400913, 0.407297, 0.415809, 0.424321, 0.42895, 0.438208, 0.447384");
-            values ( \
-              "-0.0219311, -0.0650666, -0.0732598, -0.0970836, -0.171737, -0.219538, -0.254617, -0.291646, -0.311607, -0.322523, -0.320816, -0.301967, -0.298639, -0.28194, -0.164791, -0.119621, -0.0980204, -0.0747223, -0.0576333, -0.0403217, -0.0280899, -0.0230414, -0.0153968, -0.011038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.342301, 0.358567, 0.389556, 0.399483, 0.413051, 0.430261, 0.433193, 0.439059, 0.45079, 0.460127, 0.470665, 0.489191, 0.497458, 0.505992, 0.515916, 0.555048, 0.569009, 0.585449, 0.599084, 0.611569, 0.628215, 0.641544, 0.656313, 0.676005, 0.71539, 0.767933, 0.832831");
-            values ( \
-              "-0.0755708, -0.105935, -0.272791, -0.311617, -0.348909, -0.378931, -0.382198, -0.388185, -0.395821, -0.398529, -0.39806, -0.387025, -0.376526, -0.360816, -0.333393, -0.187796, -0.146185, -0.107149, -0.0819841, -0.0638519, -0.0453458, -0.0343984, -0.025273, -0.0166113, -0.00685893, -0.00192696, -0.000370237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.532419, 0.567715, 0.588392, 0.60081, 0.620452, 0.642036, 0.661829, 0.679453, 0.710192, 0.728239, 0.753359, 0.790668, 0.808933, 0.828416, 0.843193, 0.916455, 0.951263, 0.984297, 1.01092, 1.06158, 1.09758, 1.14603, 1.17878, 1.24427, 1.32493");
-            values ( \
-              "-0.141564, -0.229518, -0.323297, -0.362211, -0.404767, -0.430641, -0.44438, -0.449255, -0.450921, -0.447614, -0.439272, -0.419715, -0.404546, -0.382474, -0.35983, -0.219965, -0.165172, -0.124057, -0.0976211, -0.0610764, -0.0433188, -0.0271815, -0.0197494, -0.0101986, -0.00450655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.886594, 0.951368, 0.993211, 1.01206, 1.02722, 1.03973, 1.06474, 1.09201, 1.11913, 1.15643, 1.19601, 1.2521, 1.31349, 1.35443, 1.4354, 1.47829, 1.52874, 1.61339, 1.68745, 1.73479, 1.79526, 1.84087, 1.88933, 1.95399, 2.01305, 2.09181, 2.15628, 2.22768, 2.31233, 2.39697, 2.48162, 2.56626, 2.73555, 2.90484");
-            values ( \
-              "-0.0398927, -0.189035, -0.342323, -0.393852, -0.422547, -0.439847, -0.462941, -0.475989, -0.481618, -0.482911, -0.480098, -0.472811, -0.462177, -0.453578, -0.430982, -0.41365, -0.384339, -0.31135, -0.244092, -0.205973, -0.16376, -0.13693, -0.112674, -0.0861793, -0.0672202, -0.0479208, -0.0361756, -0.0264812, -0.0182202, -0.0124617, -0.00855998, -0.00582642, -0.00271293, -0.00125775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.231721, 0.243924, 0.255183, 0.264525, 0.274525, 0.27906, 0.283557, 0.288048, 0.298364, 0.304584, 0.310113, 0.314832, 0.321124, 0.330657, 0.348402");
-            values ( \
-              "-0.000299278, -0.0412088, -0.0883727, -0.118725, -0.141884, -0.147021, -0.144808, -0.125972, -0.0514672, -0.0248868, -0.0124961, -0.00688529, -0.00318997, -0.000917821, -0.000655676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.251332, 0.262019, 0.267417, 0.268661, 0.275761, 0.285856, 0.293885, 0.300981, 0.307581, 0.313966, 0.320405, 0.324243, 0.336518, 0.344358, 0.350244, 0.357859, 0.365767, 0.374804, 0.388659, 0.389162");
-            values ( \
-              "-0.00242244, -0.0525591, -0.0743128, -0.0842829, -0.122702, -0.168505, -0.197504, -0.216517, -0.228038, -0.23272, -0.223472, -0.207537, -0.11372, -0.0667337, -0.043214, -0.0239513, -0.0127883, -0.00609053, -0.00185519, -0.00183303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.289679, 0.306222, 0.32641, 0.341138, 0.353199, 0.364096, 0.374474, 0.384715, 0.395962, 0.40001, 0.428074, 0.440095, 0.454929, 0.463488, 0.477443, 0.49396");
-            values ( \
-              "-0.00532025, -0.0742956, -0.188388, -0.255197, -0.291579, -0.312005, -0.322348, -0.321125, -0.298692, -0.281945, -0.119474, -0.0746966, -0.0404162, -0.0280993, -0.0153559, -0.00754058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.385291, 0.404251, 0.429553, 0.440366, 0.453045, 0.470512, 0.478556, 0.492139, 0.497856, 0.509289, 0.518848, 0.529422, 0.548316, 0.555346, 0.563137, 0.592049, 0.608994, 0.627423, 0.639853, 0.65014, 0.662401, 0.679743, 0.698001, 0.712021, 0.740061, 0.791072, 0.8516");
-            values ( \
-              "-0.093321, -0.135014, -0.272814, -0.31453, -0.348849, -0.379238, -0.38772, -0.396424, -0.398115, -0.398362, -0.394821, -0.386509, -0.355422, -0.335493, -0.307698, -0.197887, -0.146242, -0.103168, -0.0807739, -0.0657537, -0.0512173, -0.0357222, -0.0244157, -0.0181558, -0.00986774, -0.00293354, -0.000639042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.543784, 0.659352, 0.680127, 0.694478, 0.719607, 0.744261, 0.774731, 0.793527, 0.830911, 0.848037, 0.866305, 0.887893, 0.961227, 0.999856, 1.04123, 1.06795, 1.11656, 1.15412, 1.19384, 1.28542, 1.34471");
-            values ( \
-              "-0.00592481, -0.402045, -0.42865, -0.439808, -0.449356, -0.450805, -0.445587, -0.439413, -0.419379, -0.40554, -0.385448, -0.351856, -0.212055, -0.153776, -0.106832, -0.0836771, -0.0530977, -0.037003, -0.0252411, -0.0101477, -0.00598476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.945493, 0.992618, 1.03396, 1.05157, 1.06788, 1.08031, 1.10454, 1.13225, 1.15965, 1.19713, 1.23652, 1.29273, 1.354, 1.39494, 1.47591, 1.5188, 1.56925, 1.6539, 1.72796, 1.7753, 1.83577, 1.88138, 1.92984, 1.99449, 2.05356, 2.13232, 2.19679, 2.26819, 2.35283, 2.43748, 2.52213, 2.60677, 2.77606, 2.94535");
-            values ( \
-              "-0.122825, -0.191774, -0.344862, -0.393055, -0.423651, -0.440658, -0.462819, -0.476149, -0.481724, -0.482973, -0.480106, -0.472809, -0.462185, -0.453575, -0.430988, -0.413649, -0.384341, -0.311349, -0.244093, -0.205973, -0.163761, -0.136931, -0.112674, -0.0861803, -0.0672213, -0.0479206, -0.0361766, -0.0264821, -0.0182198, -0.0124625, -0.00855949, -0.00582705, -0.00271352, -0.0012583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.316761, 0.328053, 0.334076, 0.347752, 0.352989, 0.357782, 0.362328, 0.366832, 0.371332, 0.381675, 0.387869, 0.393416, 0.398164, 0.404494, 0.413654");
-            values ( \
-              "-0.0094915, -0.044531, -0.0719622, -0.11824, -0.131922, -0.141561, -0.14669, -0.14462, -0.125774, -0.0513561, -0.0249343, -0.0125128, -0.00688603, -0.00312914, -0.00102592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.336995, 0.346668, 0.357432, 0.366642, 0.378686, 0.38579, 0.392394, 0.398785, 0.405166, 0.409088, 0.421346, 0.429183, 0.435078, 0.442694, 0.450587, 0.459607, 0.469603");
-            values ( \
-              "-0.0110832, -0.0496906, -0.106757, -0.150843, -0.19738, -0.216021, -0.228223, -0.232308, -0.223771, -0.207327, -0.113707, -0.066724, -0.043178, -0.0239263, -0.0128128, -0.00609107, -0.00304227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.377899, 0.3935, 0.420025, 0.428453, 0.440504, 0.451416, 0.461796, 0.472039, 0.483287, 0.487336, 0.515399, 0.527423, 0.54226, 0.550816, 0.564768, 0.57022");
-            values ( \
-              "-0.0248605, -0.0742981, -0.220756, -0.254863, -0.291723, -0.311698, -0.322519, -0.32086, -0.29864, -0.281914, -0.119478, -0.0746964, -0.0404097, -0.0280979, -0.0153577, -0.0127775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.462261, 0.486907, 0.51261, 0.528267, 0.541314, 0.557927, 0.568496, 0.580367, 0.598944, 0.617518, 0.620857, 0.630754, 0.637574, 0.647813, 0.681106, 0.694053, 0.713933, 0.739699, 0.756017, 0.769679, 0.788141, 0.812758, 0.858189");
-            values ( \
-              "-0.0132124, -0.106541, -0.248024, -0.313347, -0.349029, -0.378079, -0.389127, -0.396442, -0.398269, -0.387011, -0.383192, -0.368094, -0.352947, -0.320941, -0.195282, -0.155156, -0.106768, -0.0640565, -0.0458237, -0.034508, -0.0234513, -0.013811, -0.00493488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.632841, 0.747404, 0.768092, 0.776405, 0.787489, 0.808305, 0.840531, 0.85416, 0.868042, 0.882226, 0.910595, 0.920731, 0.940336, 0.962152, 1.00472, 1.04381, 1.0773, 1.08783, 1.10328, 1.12093, 1.15405, 1.16764, 1.18928, 1.21347, 1.24706, 1.26004, 1.28601, 1.33794, 1.42259, 1.50724, 1.59188");
-            values ( \
-              "-0.00621401, -0.400885, -0.428078, -0.435146, -0.442209, -0.44937, -0.450454, -0.448065, -0.444352, -0.439444, -0.425254, -0.418534, -0.402018, -0.375575, -0.297427, -0.222958, -0.16948, -0.154985, -0.1356, -0.115998, -0.085774, -0.0756653, -0.0618317, -0.0491855, -0.0356087, -0.0314371, -0.024437, -0.0146045, -0.00605921, -0.00250172, -0.00103133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.01127, 1.08249, 1.12599, 1.14126, 1.15682, 1.16959, 1.19452, 1.22164, 1.24889, 1.28593, 1.32575, 1.38137, 1.44323, 1.48417, 1.56514, 1.60803, 1.65849, 1.74313, 1.81719, 1.86453, 1.92499, 1.97061, 2.01907, 2.08372, 2.14279, 2.22155, 2.28602, 2.35741, 2.44206, 2.52671, 2.61135, 2.696, 2.86529, 3.03458");
-            values ( \
-              "-0.0128058, -0.19549, -0.35439, -0.394602, -0.423803, -0.441066, -0.463656, -0.476286, -0.481857, -0.482971, -0.48017, -0.472863, -0.462152, -0.453614, -0.430955, -0.413653, -0.38434, -0.311352, -0.244093, -0.205975, -0.163761, -0.136931, -0.112676, -0.0861798, -0.0672209, -0.047922, -0.0361761, -0.0264817, -0.0182209, -0.0124618, -0.00856041, -0.0058263, -0.00271274, -0.00125753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.491752, 0.499341, 0.510563, 0.521213, 0.531057, 0.535568, 0.540042, 0.544899, 0.552176, 0.558181, 0.564408, 0.568452, 0.573844, 0.582218, 0.585738");
-            values ( \
-              "-0.014147, -0.0355983, -0.0852167, -0.121332, -0.143496, -0.147725, -0.145526, -0.124383, -0.0686207, -0.0352092, -0.0164182, -0.00987603, -0.00491864, -0.00167904, -0.00159537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.51549, 0.524456, 0.529142, 0.544311, 0.550192, 0.556202, 0.563326, 0.569982, 0.5764, 0.582818, 0.586519, 0.589989, 0.599054, 0.606922, 0.612807, 0.620443, 0.628404, 0.637503, 0.645162");
-            values ( \
-              "-0.0268952, -0.0498941, -0.071478, -0.151737, -0.176376, -0.196869, -0.215158, -0.226602, -0.231214, -0.222451, -0.207694, -0.185162, -0.113412, -0.0665321, -0.0431306, -0.0238937, -0.0127304, -0.00602594, -0.00371246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.563479, 0.580909, 0.597825, 0.606615, 0.612594, 0.624676, 0.63561, 0.64601, 0.656272, 0.666527, 0.667531, 0.671546, 0.691249, 0.699419, 0.704318, 0.711547, 0.718353, 0.727428, 0.735187, 0.739451, 0.747977, 0.765031, 0.790039, 0.820432");
-            values ( \
-              "-0.013666, -0.0922158, -0.187892, -0.230962, -0.254518, -0.290807, -0.31129, -0.321654, -0.320547, -0.30131, -0.298293, -0.281822, -0.16188, -0.120551, -0.100025, -0.0751143, -0.0569503, -0.0388794, -0.0279686, -0.023307, -0.0160968, -0.00743534, -0.00221987, -0.000496262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.659539, 0.678641, 0.703892, 0.720708, 0.733325, 0.750845, 0.769373, 0.778613, 0.790979, 0.809526, 0.826111, 0.836692, 0.888367, 0.902453, 0.930287, 0.965111, 1.00332, 1.02098");
-            values ( \
-              "-0.0490374, -0.10552, -0.243545, -0.314198, -0.348497, -0.378924, -0.394787, -0.398016, -0.397886, -0.38688, -0.361193, -0.331871, -0.148805, -0.114272, -0.0659723, -0.0321653, -0.0143347, -0.0105943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.857147, 0.88822, 0.89935, 0.912781, 0.92547, 0.942677, 0.963128, 0.973638, 0.987652, 1.00406, 1.03687, 1.04874, 1.0632, 1.07798, 1.10752, 1.11648, 1.13441, 1.1579, 1.20038, 1.23993, 1.2738, 1.28373, 1.29826, 1.31486, 1.34806, 1.36409, 1.39015, 1.42488, 1.4474, 1.46939, 1.4987, 1.55734, 1.64198, 1.72663, 1.81127");
-            values ( \
-              "-0.154469, -0.208571, -0.263965, -0.321887, -0.362829, -0.400203, -0.427786, -0.436525, -0.444331, -0.4495, -0.450309, -0.448264, -0.44462, -0.439394, -0.424602, -0.418491, -0.403709, -0.37554, -0.297658, -0.222331, -0.168414, -0.154792, -0.136522, -0.117902, -0.0871668, -0.0751731, -0.0589223, -0.0422994, -0.0340642, -0.0275614, -0.0207314, -0.0115177, -0.00478442, -0.00196102, -0.000821478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.20231, 1.36619, 1.39069, 1.41773, 1.4455, 1.4826, 1.52235, 1.57773, 1.63983, 1.68077, 1.76175, 1.80464, 1.85509, 1.93974, 2.01379, 2.06113, 2.1216, 2.16722, 2.21567, 2.28033, 2.33939, 2.41815, 2.48262, 2.56985, 2.6545, 2.73914, 2.82379, 2.90844, 3.07773, 3.24702");
-            values ( \
-              "-0.0111296, -0.441178, -0.463346, -0.476189, -0.481835, -0.483019, -0.480144, -0.472941, -0.462193, -0.453575, -0.430994, -0.413651, -0.384341, -0.311351, -0.244094, -0.205975, -0.163761, -0.136931, -0.112675, -0.0861802, -0.0672214, -0.0479221, -0.0361766, -0.0246914, -0.0169836, -0.0116101, -0.00797565, -0.00542575, -0.00252536, -0.00117009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.83988, 0.85122, 0.862131, 0.871234, 0.881062, 0.885561, 0.890024, 0.894483, 0.90473, 0.911012, 0.916472, 0.921078, 0.927218, 0.936557, 0.945856");
-            values ( \
-              "-0.00253677, -0.0428335, -0.0910401, -0.121269, -0.143723, -0.148253, -0.145708, -0.126394, -0.0519687, -0.0249622, -0.0126511, -0.0070834, -0.00326128, -0.00100954, -0.000841197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.87737, 0.884281, 0.886717, 0.897424, 0.908568, 0.912606, 0.920161, 0.925526, 0.92868, 0.935035, 0.941389, 0.943827, 0.948554, 0.958533, 0.963814, 0.96991, 0.973277, 0.978047, 0.983381, 0.987538, 0.995851, 1.00492");
-            values ( \
-              "-0.0311728, -0.0539021, -0.0649438, -0.128384, -0.177349, -0.192242, -0.214111, -0.224915, -0.229342, -0.233133, -0.22369, -0.214648, -0.185649, -0.107025, -0.0749169, -0.0480931, -0.0372529, -0.025696, -0.0169135, -0.012148, -0.00616062, -0.00336614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.941118, 0.954038, 0.958568, 0.972421, 0.9823, 0.987526, 0.99798, 1.00244, 1.01035, 1.01427, 1.02074, 1.03102, 1.04131, 1.04234, 1.04648, 1.05199, 1.06286, 1.06994, 1.07352, 1.08022, 1.08688, 1.09249, 1.0984, 1.10683, 1.11549, 1.1221, 1.13533, 1.14472");
-            values ( \
-              "-0.0341698, -0.0812965, -0.106764, -0.191527, -0.238384, -0.258344, -0.288997, -0.298609, -0.311626, -0.316201, -0.32096, -0.319596, -0.300165, -0.297155, -0.280265, -0.249042, -0.18036, -0.141114, -0.12385, -0.0960073, -0.0737392, -0.0587297, -0.0459843, -0.0321683, -0.0222549, -0.0167326, -0.00930857, -0.00685147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.05383, 1.07941, 1.10441, 1.11954, 1.13283, 1.15299, 1.17197, 1.19062, 1.20928, 1.22189, 1.22857, 1.24226, 1.27219, 1.28931, 1.30784, 1.32025, 1.34277, 1.36015, 1.37848, 1.39257, 1.42073, 1.47148, 1.5317");
-            values ( \
-              "-0.00322759, -0.112253, -0.251963, -0.313847, -0.349418, -0.381347, -0.394929, -0.396443, -0.385331, -0.368068, -0.353676, -0.31057, -0.197849, -0.145947, -0.102854, -0.0806104, -0.0511781, -0.0356943, -0.0243713, -0.0180966, -0.00982078, -0.0029418, -0.000655717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.27254, 1.29889, 1.33238, 1.34893, 1.36316, 1.39011, 1.40962, 1.42758, 1.45951, 1.49347, 1.51741, 1.54002, 1.57892, 1.59698, 1.65959, 1.69419, 1.74713, 1.77516, 1.79968, 1.82567, 1.86279, 1.90084, 1.9299, 1.98803, 2.07267, 2.09242");
-            values ( \
-              "-0.100193, -0.150886, -0.306765, -0.362314, -0.394583, -0.430445, -0.443491, -0.449253, -0.450307, -0.442299, -0.432134, -0.41843, -0.379347, -0.350118, -0.229006, -0.17279, -0.108928, -0.0843176, -0.0671702, -0.0525676, -0.0367889, -0.0255017, -0.0192158, -0.0107337, -0.00445163, -0.00402183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.63502, 1.70992, 1.75128, 1.76822, 1.78494, 1.79765, 1.82201, 1.84929, 1.877, 1.91406, 1.95387, 2.00928, 2.032, 2.11229, 2.19612, 2.23615, 2.28661, 2.37125, 2.44532, 2.49265, 2.55312, 2.59874, 2.64719, 2.71185, 2.77091, 2.84967, 2.91414, 3.00137, 3.08602, 3.17066, 3.25531, 3.33995, 3.50924, 3.67853");
-            values ( \
-              "-0.00680294, -0.191215, -0.344789, -0.391089, -0.423279, -0.440389, -0.463011, -0.475854, -0.481856, -0.482829, -0.480243, -0.472792, -0.469378, -0.453696, -0.429964, -0.41366, -0.384335, -0.311356, -0.24409, -0.205976, -0.163758, -0.136929, -0.112678, -0.086178, -0.0672192, -0.0479238, -0.0361746, -0.0246897, -0.0169856, -0.0116084, -0.00797756, -0.005424, -0.00252362, -0.00116837" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0120549, 0.0120951, 0.0121436, 0.0121862, 0.0122146, 0.0122302", \
-            "0.0142267, 0.0142571, 0.0143015, 0.0143483, 0.014384, 0.0144055", \
-            "0.0155504, 0.0155641, 0.0155892, 0.0156228, 0.0156543, 0.0156758", \
-            "0.0163785, 0.0163806, 0.0163839, 0.0163943, 0.0164101, 0.0164245", \
-            "0.0168693, 0.0168626, 0.0168521, 0.0168408, 0.0168348, 0.0168348", \
-            "0.0171418, 0.0171325, 0.0171165, 0.0170947, 0.017073, 0.0170588" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0168115, 0.0168478, 0.0168987, 0.0169525, 0.0169912, 0.0170134", \
-            "0.0186862, 0.0186889, 0.0187009, 0.0187215, 0.0187403, 0.0187522", \
-            "0.0200205, 0.0199772, 0.0199228, 0.0198742, 0.0198426, 0.0198256", \
-            "0.0210662, 0.0209686, 0.0208368, 0.0207019, 0.0205967, 0.0205329", \
-            "0.0220711, 0.0218848, 0.021628, 0.0213685, 0.0211692, 0.021043", \
-            "0.0210398, 0.0219345, 0.0223088, 0.0220089, 0.0216312, 0.0213946" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.115952, 0.130512, 0.157977, 0.213266, 0.333557, 0.601846", \
-            "0.119391, 0.133999, 0.161399, 0.216822, 0.337151, 0.605461", \
-            "0.127315, 0.141917, 0.169391, 0.224902, 0.345307, 0.613665", \
-            "0.144359, 0.159013, 0.186809, 0.242573, 0.363096, 0.631452", \
-            "0.168652, 0.183688, 0.211933, 0.268308, 0.390022, 0.658675", \
-            "0.188382, 0.204758, 0.234063, 0.290584, 0.411649, 0.682147" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0348798, 0.0498064, 0.082619, 0.158153, 0.332286, 0.72624", \
-            "0.034859, 0.0498124, 0.0826856, 0.158183, 0.332297, 0.726256", \
-            "0.0348786, 0.0498253, 0.0827074, 0.1582, 0.332336, 0.726275", \
-            "0.0354715, 0.0503938, 0.0832416, 0.158538, 0.332535, 0.726352", \
-            "0.0375284, 0.052299, 0.0846982, 0.160365, 0.334171, 0.726921", \
-            "0.0430599, 0.0571581, 0.0879305, 0.161156, 0.335187, 0.729758" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.124152, 0.136561, 0.159316, 0.203156, 0.29505, 0.498745", \
-            "0.130391, 0.142847, 0.165654, 0.209513, 0.301359, 0.505004", \
-            "0.144048, 0.15657, 0.179528, 0.223467, 0.315332, 0.518978", \
-            "0.171963, 0.184678, 0.208, 0.252438, 0.344576, 0.548306", \
-            "0.222221, 0.236129, 0.261016, 0.307072, 0.400177, 0.604149", \
-            "0.302857, 0.319028, 0.347212, 0.396852, 0.49257, 0.696753" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0273357, 0.0386199, 0.0624841, 0.114751, 0.234752, 0.510863", \
-            "0.0273592, 0.0386523, 0.0624839, 0.11479, 0.234872, 0.510899", \
-            "0.0273711, 0.0386839, 0.0625084, 0.114759, 0.234945, 0.510896", \
-            "0.0276905, 0.0389421, 0.0626749, 0.114858, 0.234939, 0.510875", \
-            "0.0310571, 0.0424172, 0.066021, 0.117137, 0.235693, 0.511077", \
-            "0.0383231, 0.050544, 0.0739481, 0.12414, 0.240578, 0.51276" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0810633, 0.0915925, 0.102476, 0.110313, 0.1166, 0.12223, 0.12757, 0.132861, 0.138149, 0.147804, 0.156888, 0.166523, 0.174575, 0.180668, 0.188496, 0.203254");
-            values ( \
-              "0.00490141, 0.0245702, 0.0698138, 0.0965533, 0.112006, 0.120831, 0.124583, 0.123359, 0.113346, 0.0683492, 0.0393973, 0.0213783, 0.0126682, 0.00849445, 0.005191, 0.00244502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0810771, 0.0932869, 0.107845, 0.118211, 0.126716, 0.13448, 0.142021, 0.149625, 0.154365, 0.157788, 0.170987, 0.182266, 0.189538, 0.195426, 0.20547, 0.212662, 0.218622, 0.224987, 0.233473, 0.250446, 0.265786");
-            values ( \
-              "0.00610993, 0.0420237, 0.119824, 0.161703, 0.183747, 0.194016, 0.19635, 0.189962, 0.179046, 0.166746, 0.107998, 0.0694296, 0.0514119, 0.0398285, 0.0254924, 0.0183518, 0.0139823, 0.0104674, 0.00709747, 0.00322539, 0.00188069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0814575, 0.0964864, 0.106515, 0.116792, 0.130909, 0.138408, 0.144876, 0.157203, 0.169528, 0.182361, 0.189413, 0.196604, 0.210987, 0.230211, 0.242926, 0.255946, 0.266471, 0.27593, 0.288542, 0.299295, 0.313904, 0.333383, 0.372341, 0.418909");
-            values ( \
-              "0.0216057, 0.0660417, 0.131458, 0.189095, 0.240542, 0.25633, 0.264536, 0.269849, 0.264422, 0.247989, 0.232347, 0.211763, 0.164985, 0.111541, 0.0835635, 0.0610474, 0.0468377, 0.0367339, 0.0263246, 0.0197482, 0.0133228, 0.0077688, 0.00240097, 0.000558666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0814662, 0.102212, 0.116108, 0.122025, 0.131463, 0.146007, 0.155986, 0.174574, 0.186699, 0.201247, 0.22398, 0.235672, 0.248145, 0.26024, 0.274063, 0.316478, 0.345444, 0.365153, 0.379535, 0.395143, 0.42199, 0.434339, 0.459038, 0.479557, 0.507057, 0.543723, 0.617055, 0.698979");
-            values ( \
-              "0.0303424, 0.111908, 0.203774, 0.234705, 0.272684, 0.308939, 0.321508, 0.329729, 0.327799, 0.321587, 0.306297, 0.295787, 0.282284, 0.266112, 0.244311, 0.170652, 0.126459, 0.101168, 0.0853058, 0.0703979, 0.0499067, 0.0424178, 0.0303912, 0.0229319, 0.0156583, 0.00927274, 0.00294313, 0.000784803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0972944, 0.117462, 0.132441, 0.147539, 0.157761, 0.176651, 0.195572, 0.218203, 0.248324, 0.293787, 0.342228, 0.379473, 0.398625, 0.436928, 0.560128, 0.593114, 0.640361, 0.678808, 0.708547, 0.762154, 0.823778, 0.878231, 0.933842, 0.976285, 1.05821, 1.14013, 1.22205, 1.3859");
-            values ( \
-              "0.211963, 0.222307, 0.293435, 0.335696, 0.351858, 0.366972, 0.370528, 0.367874, 0.359878, 0.343732, 0.323615, 0.305192, 0.29397, 0.267211, 0.165214, 0.140513, 0.109335, 0.0880341, 0.0739148, 0.0532766, 0.0360565, 0.0252564, 0.017515, 0.0131904, 0.00751741, 0.00426868, 0.00241943, 0.000776325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.111173, 0.161452, 0.179822, 0.195088, 0.209356, 0.23718, 0.270201, 0.368599, 0.46846, 0.577059, 0.66914, 0.730981, 0.812905, 0.864083, 1.06005, 1.18345, 1.26537, 1.32717, 1.39952, 1.48145, 1.53696, 1.61621, 1.68969, 1.77162, 1.82955, 1.91147, 1.99339, 2.07532, 2.15724, 2.32109, 2.56686, 2.81263");
-            values ( \
-              "0.366075, 0.371094, 0.387282, 0.392926, 0.395062, 0.394891, 0.391369, 0.375817, 0.358052, 0.337145, 0.316785, 0.300333, 0.273949, 0.255081, 0.178923, 0.135571, 0.110792, 0.0944236, 0.0776875, 0.0618866, 0.0528203, 0.0419849, 0.0338331, 0.0264437, 0.0222402, 0.0172989, 0.0134912, 0.0104494, 0.00814501, 0.0049063, 0.00225542, 0.00107611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.100608, 0.108255, 0.109698, 0.119813, 0.127631, 0.135437, 0.139523, 0.141562, 0.144859, 0.150165, 0.155452, 0.165185, 0.174181, 0.183787, 0.191934, 0.19801, 0.205744, 0.211944, 0.224345, 0.231051");
-            values ( \
-              "0.0108712, 0.0234244, 0.0272829, 0.0700921, 0.0966622, 0.114824, 0.120672, 0.122709, 0.124422, 0.123292, 0.113215, 0.0679918, 0.0394089, 0.0214261, 0.0126226, 0.00847316, 0.00520946, 0.00356427, 0.00171336, 0.00133966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.100661, 0.110851, 0.115589, 0.123044, 0.12993, 0.135693, 0.144199, 0.151964, 0.159506, 0.167035, 0.1694, 0.171841, 0.175276, 0.182145, 0.18846, 0.192393, 0.199728, 0.207115, 0.2131, 0.219305, 0.222761, 0.228025, 0.235528, 0.244938, 0.257484, 0.281365, 0.30977");
-            values ( \
-              "0.0152995, 0.0423801, 0.0668392, 0.109006, 0.140769, 0.161683, 0.183784, 0.193956, 0.196328, 0.190018, 0.185455, 0.17904, 0.166725, 0.135136, 0.10805, 0.0930905, 0.069499, 0.0512242, 0.039504, 0.0300377, 0.0257217, 0.0202559, 0.0143554, 0.00934817, 0.00523891, 0.00166219, 0.000476065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.100729, 0.114193, 0.127077, 0.133199, 0.140114, 0.148016, 0.156855, 0.162206, 0.172909, 0.177847, 0.186836, 0.191643, 0.19969, 0.212686, 0.23075, 0.24761, 0.260297, 0.273292, 0.283833, 0.293327, 0.305986, 0.316694, 0.331239, 0.350633, 0.38942, 0.435954");
-            values ( \
-              "0.0289114, 0.0689071, 0.151332, 0.184241, 0.21419, 0.239473, 0.257481, 0.26399, 0.269424, 0.268876, 0.263973, 0.259269, 0.247642, 0.216016, 0.157932, 0.111437, 0.0835426, 0.0610737, 0.0468523, 0.0367154, 0.0262677, 0.0197416, 0.0133444, 0.00779042, 0.00243214, 0.000558228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.100759, 0.119836, 0.133578, 0.148886, 0.15966, 0.173406, 0.191521, 0.205013, 0.218652, 0.242168, 0.265254, 0.279397, 0.359778, 0.398931, 0.439427, 0.469362, 0.495058, 0.528123, 0.57221, 0.615656");
-            values ( \
-              "0.0402316, 0.11451, 0.204976, 0.27314, 0.301708, 0.321613, 0.329619, 0.327445, 0.32155, 0.305589, 0.282619, 0.263525, 0.1308, 0.0833036, 0.0499032, 0.0335134, 0.0235526, 0.0148734, 0.00785872, 0.00474794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.115878, 0.140309, 0.150005, 0.165148, 0.175305, 0.194173, 0.213114, 0.235746, 0.26587, 0.334766, 0.397092, 0.416178, 0.454349, 0.577507, 0.610253, 0.657816, 0.696722, 0.726875, 0.768277, 0.80259, 0.841089, 0.895647, 0.951642, 0.994421, 1.07634, 1.15827, 1.24019, 1.40404");
-            values ( \
-              "0.208244, 0.252177, 0.293962, 0.33604, 0.351937, 0.366973, 0.370487, 0.367831, 0.359863, 0.334357, 0.305148, 0.293964, 0.267308, 0.16535, 0.140811, 0.109393, 0.0878506, 0.0735732, 0.0571785, 0.0461685, 0.0361135, 0.0252794, 0.0174864, 0.0131388, 0.00748769, 0.00425177, 0.00240977, 0.000773193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.128081, 0.179033, 0.197801, 0.212859, 0.226938, 0.254807, 0.287809, 0.387346, 0.469204, 0.604165, 0.685043, 0.748579, 0.830503, 0.881686, 1.07766, 1.20105, 1.28298, 1.34476, 1.41712, 1.49905, 1.55456, 1.63382, 1.7073, 1.78923, 1.84715, 1.92908, 2.011, 2.09292, 2.17485, 2.25677, 2.33869, 2.42062, 2.58446, 2.83023");
-            values ( \
-              "0.356462, 0.371036, 0.387547, 0.392878, 0.395079, 0.394803, 0.391397, 0.375664, 0.361172, 0.335243, 0.317243, 0.300287, 0.273993, 0.255038, 0.178888, 0.135537, 0.110823, 0.0944529, 0.0776604, 0.0619149, 0.0528034, 0.0419656, 0.0338514, 0.0264249, 0.0222571, 0.0172827, 0.0135066, 0.0104345, 0.00815939, 0.0062706, 0.00492007, 0.00375578, 0.0022426, 0.00108792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.142021, 0.148277, 0.161686, 0.166391, 0.172665, 0.17829, 0.183633, 0.188927, 0.194218, 0.203867, 0.21297, 0.222615, 0.230656, 0.236755, 0.244605, 0.25091, 0.26352, 0.268287");
-            values ( \
-              "0.0231951, 0.0271126, 0.0819871, 0.0967818, 0.112205, 0.120755, 0.124579, 0.123197, 0.113311, 0.0683692, 0.0393727, 0.0213583, 0.0126687, 0.00849182, 0.00518311, 0.00352452, 0.0016747, 0.00141635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.141893, 0.149835, 0.16408, 0.174406, 0.182905, 0.190672, 0.198218, 0.20575, 0.210655, 0.213998, 0.227325, 0.231448, 0.240614, 0.249562, 0.256204, 0.263575, 0.272786, 0.27728, 0.284402, 0.293898, 0.312889, 0.325524");
-            values ( \
-              "0.0292793, 0.0447057, 0.120653, 0.161978, 0.183725, 0.193939, 0.196158, 0.189926, 0.178654, 0.16662, 0.107455, 0.0918803, 0.0637612, 0.043655, 0.0325981, 0.0234102, 0.0153114, 0.012486, 0.00903629, 0.00586439, 0.00242095, 0.00162153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.141584, 0.153243, 0.167777, 0.182233, 0.187989, 0.199501, 0.203834, 0.208592, 0.21327, 0.225548, 0.231392, 0.238413, 0.251444, 0.273386, 0.289112, 0.311171, 0.32224, 0.332695, 0.346634, 0.356042, 0.380837, 0.409175, 0.432675");
-            values ( \
-              "0.0406354, 0.072347, 0.163643, 0.22682, 0.242951, 0.262976, 0.266636, 0.268828, 0.269322, 0.263892, 0.258027, 0.247502, 0.215903, 0.146324, 0.104738, 0.0623761, 0.0472277, 0.0360962, 0.0249525, 0.0193972, 0.0099124, 0.00440712, 0.00264529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.141576, 0.159362, 0.172718, 0.17973, 0.187912, 0.2022, 0.212389, 0.231287, 0.242501, 0.257667, 0.28119, 0.292192, 0.304765, 0.328055, 0.377016, 0.414666, 0.430438, 0.453726, 0.473075, 0.489206, 0.507598, 0.533628, 0.560438, 0.580936, 0.62193, 0.703854, 0.785777");
-            values ( \
-              "0.0447869, 0.121158, 0.207496, 0.24254, 0.273963, 0.308886, 0.321618, 0.329587, 0.327787, 0.321478, 0.305507, 0.295595, 0.281978, 0.248345, 0.163887, 0.109456, 0.0911584, 0.0685306, 0.0534917, 0.0433176, 0.0338688, 0.0236916, 0.0163617, 0.0122709, 0.00678665, 0.00180267, 0.000481759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.153226, 0.18935, 0.204297, 0.214598, 0.233453, 0.259955, 0.305176, 0.355351, 0.401901, 0.436141, 0.45551, 0.494248, 0.600707, 0.651352, 0.697577, 0.734559, 0.762978, 0.819028, 0.881454, 0.935568, 1.00564, 1.08756, 1.16948, 1.24462");
-            values ( \
-              "0.168144, 0.294752, 0.336581, 0.351681, 0.367256, 0.370312, 0.360004, 0.341871, 0.322315, 0.305268, 0.293959, 0.266855, 0.178184, 0.139556, 0.109142, 0.0886218, 0.0750107, 0.0532784, 0.0358728, 0.0251845, 0.0158335, 0.00903952, 0.00513889, 0.00309716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.162878, 0.218123, 0.23764, 0.265973, 0.293403, 0.326626, 0.426841, 0.643223, 0.72411, 0.869566, 1.24012, 1.38383, 1.45619, 1.59363, 1.67289, 1.82829, 2.05007, 2.36575");
-            values ( \
-              "0.303075, 0.372174, 0.388281, 0.395067, 0.394794, 0.391346, 0.375544, 0.335223, 0.317229, 0.273987, 0.135526, 0.0944672, 0.077645, 0.0527816, 0.0419445, 0.026404, 0.0135277, 0.00525599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.223006, 0.23041, 0.235795, 0.243047, 0.252379, 0.255773, 0.26067, 0.263359, 0.268805, 0.274177, 0.279548, 0.289425, 0.292608, 0.299115, 0.303524, 0.308008, 0.312208, 0.317807, 0.325507, 0.330847, 0.341527, 0.359402, 0.376315");
-            values ( \
-              "0.0161047, 0.019308, 0.0367525, 0.0671116, 0.0966617, 0.105153, 0.114619, 0.118309, 0.122518, 0.121586, 0.112147, 0.0672444, 0.0555312, 0.0374795, 0.0284565, 0.0213586, 0.0163017, 0.0113033, 0.00689693, 0.00493635, 0.00256389, 0.000930686, 0.000661312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.223389, 0.233205, 0.248869, 0.254317, 0.261721, 0.266706, 0.270296, 0.277281, 0.280211, 0.283436, 0.289885, 0.293506, 0.298333, 0.312891, 0.324133, 0.334798, 0.344994, 0.350775, 0.359013, 0.368547, 0.376024, 0.390977, 0.417412, 0.44967");
-            values ( \
-              "0.0222343, 0.0376584, 0.116559, 0.139478, 0.164997, 0.177526, 0.184212, 0.192062, 0.193406, 0.193603, 0.189574, 0.183544, 0.169645, 0.106364, 0.0686631, 0.0439942, 0.0280865, 0.0216333, 0.0148372, 0.00963759, 0.00686422, 0.00346194, 0.000987364, 0.000254999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.224037, 0.237412, 0.248888, 0.254555, 0.258859, 0.260843, 0.26481, 0.273252, 0.286396, 0.298832, 0.31127, 0.323678, 0.325105, 0.327007, 0.330812, 0.338449, 0.353306, 0.35607, 0.363286, 0.370982, 0.381985, 0.385702, 0.390772, 0.397531, 0.408474, 0.411594, 0.417835, 0.430315, 0.441224, 0.446165, 0.456048, 0.475814, 0.515345, 0.561973");
-            values ( \
-              "0.0318173, 0.0666741, 0.13728, 0.167709, 0.188726, 0.197481, 0.213297, 0.239249, 0.261888, 0.267664, 0.262738, 0.247677, 0.24497, 0.241202, 0.232518, 0.211153, 0.163236, 0.155646, 0.134468, 0.114193, 0.0891752, 0.0818185, 0.0725489, 0.0615475, 0.0467449, 0.0431872, 0.0367661, 0.0264559, 0.0197671, 0.0173211, 0.0132621, 0.00767548, 0.00232177, 0.000542794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.224629, 0.24343, 0.257589, 0.262771, 0.273142, 0.281761, 0.29794, 0.315706, 0.320674, 0.330609, 0.343394, 0.36623, 0.377373, 0.389259, 0.398013, 0.408471, 0.466195, 0.479082, 0.504507, 0.52011, 0.530649, 0.551727, 0.568776, 0.577998, 0.595923, 0.620734, 0.631055, 0.651697, 0.69298, 0.770541, 0.852464");
-            values ( \
-              "0.0476197, 0.109408, 0.199631, 0.226869, 0.269247, 0.29353, 0.319444, 0.32798, 0.327899, 0.325905, 0.320532, 0.305558, 0.295751, 0.283209, 0.272133, 0.256837, 0.158571, 0.138854, 0.104591, 0.0870894, 0.0766032, 0.0587096, 0.0470784, 0.0416903, 0.0327741, 0.0233177, 0.0202263, 0.0151685, 0.00836832, 0.0024425, 0.000649352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.225222, 0.264425, 0.283017, 0.299268, 0.306496, 0.314757, 0.330604, 0.345794, 0.368236, 0.391141, 0.43679, 0.481368, 0.520314, 0.584032, 0.69068, 0.762978, 0.830396, 0.87723, 0.915315, 0.973324, 1.0245, 1.08661, 1.16853, 1.25046, 1.32156");
-            values ( \
-              "0.0451657, 0.24444, 0.315796, 0.348206, 0.356202, 0.362364, 0.368224, 0.368883, 0.365526, 0.35923, 0.343415, 0.325117, 0.306209, 0.263948, 0.174981, 0.122038, 0.0837109, 0.0632541, 0.0499792, 0.0345555, 0.0247404, 0.0164079, 0.00936064, 0.00533297, 0.00331918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.252547, 0.303289, 0.322691, 0.342612, 0.369021, 0.446087, 0.61144, 0.7179, 0.831591, 0.955244, 1.32576, 1.46948, 1.54184, 1.67927, 1.75851, 1.91392, 2.13571, 2.46279");
-            values ( \
-              "0.361907, 0.368522, 0.386042, 0.392916, 0.394542, 0.386159, 0.357855, 0.337404, 0.311737, 0.273943, 0.135558, 0.0944303, 0.0776791, 0.052822, 0.0419884, 0.026446, 0.0134873, 0.0049168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.38746, 0.399693, 0.402216, 0.40694, 0.415479, 0.424721, 0.431814, 0.438017, 0.443788, 0.449434, 0.455073, 0.465131, 0.473728, 0.477831, 0.483301, 0.490919, 0.4974, 0.501212, 0.507377, 0.515596, 0.532035, 0.53634");
-            values ( \
-              "0.00716347, 0.0142776, 0.0185792, 0.0296021, 0.057644, 0.08423, 0.10062, 0.110845, 0.116058, 0.116461, 0.108608, 0.0659107, 0.0397829, 0.0310069, 0.0219742, 0.0135758, 0.00892952, 0.00703738, 0.00482101, 0.00295524, 0.00115638, 0.00100414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("4.32686e-05, 0.157115, 0.228278, 0.246717, 0.271201, 0.361089, 0.394528, 0.401428, 0.408754, 0.43328, 0.442612, 0.450925, 0.458849, 0.466775, 0.474685, 0.490154, 0.500643, 0.509828, 0.521813, 0.529473, 0.538853, 0.556542, 0.563621, 0.571315");
-            values ( \
-              "1e-22, 3.83403e-05, 0.000535134, 1e-22, 0.000589691, 1e-22, 0.00956915, 0.0235996, 0.046699, 0.145145, 0.170064, 0.183151, 0.187651, 0.18363, 0.165675, 0.100785, 0.0672437, 0.0459278, 0.0273128, 0.0192506, 0.0125841, 0.00550413, 0.00395026, 0.00311583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.384544, 0.407223, 0.431582, 0.447985, 0.461611, 0.474345, 0.486987, 0.499623, 0.502755, 0.513493, 0.541397, 0.554809, 0.571625, 0.583247, 0.594265, 0.616879, 0.632705, 0.645229, 0.670279, 0.68313");
-            values ( \
-              "0.00976195, 0.048339, 0.169024, 0.228585, 0.254663, 0.262338, 0.258853, 0.244483, 0.238815, 0.212323, 0.128406, 0.0963864, 0.0650215, 0.0487958, 0.036937, 0.0203759, 0.0133799, 0.00954249, 0.00474271, 0.00371741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.402977, 0.422491, 0.442656, 0.457784, 0.47318, 0.492024, 0.49629, 0.504821, 0.519313, 0.542435, 0.568778, 0.597441, 0.661956, 0.700908, 0.741619, 0.778063, 0.799042, 0.827167, 0.864667, 0.923415");
-            values ( \
-              "0.0972532, 0.136617, 0.239653, 0.287576, 0.313389, 0.323454, 0.323564, 0.322059, 0.316383, 0.301399, 0.276343, 0.235362, 0.130258, 0.0833149, 0.0498438, 0.0306322, 0.0229772, 0.0155589, 0.00910321, 0.00416525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.399844, 0.426939, 0.443725, 0.459487, 0.475314, 0.494458, 0.514986, 0.549933, 0.596392, 0.652264, 0.690415, 0.718678, 0.773592, 0.884438, 0.962138, 1.03925, 1.09881, 1.13396, 1.19438, 1.26271, 1.31703, 1.39895, 1.48087, 1.50027");
-            values ( \
-              "0.0784513, 0.165747, 0.255729, 0.311393, 0.343003, 0.360326, 0.364605, 0.359085, 0.346569, 0.32654, 0.309202, 0.293449, 0.253969, 0.162015, 0.108446, 0.0694158, 0.0480948, 0.0384886, 0.0259228, 0.0165272, 0.0114779, 0.00652731, 0.00371109, 0.00347107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.423802, 0.498002, 0.513713, 0.550347, 0.618016, 0.705699, 0.8252, 0.988336, 1.13362, 1.50425, 1.6479, 1.72028, 1.85775, 1.93706, 2.09245, 2.31418, 2.62135");
-            values ( \
-              "0.310335, 0.380237, 0.386626, 0.389015, 0.384611, 0.372024, 0.350994, 0.317018, 0.273895, 0.135567, 0.0944204, 0.0777023, 0.0528315, 0.0419887, 0.0264516, 0.0134782, 0.00543022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.718996, 0.740497, 0.74466, 0.750493, 0.752533, 0.756613, 0.764867, 0.77029, 0.782216, 0.789615, 0.796331, 0.802737, 0.809146, 0.810644, 0.812191, 0.81943, 0.824957, 0.830576, 0.834157, 0.839128, 0.845276, 0.849396, 0.855342, 0.858072, 0.863533, 0.874455, 0.89301, 0.915763, 0.945829");
-            values ( \
-              "0.00198347, 0.0102167, 0.0136765, 0.0209419, 0.024179, 0.0322397, 0.0512262, 0.0621657, 0.0833299, 0.0941022, 0.100892, 0.103723, 0.0993699, 0.0956341, 0.09104, 0.0635932, 0.0465324, 0.0340716, 0.0275788, 0.0204565, 0.0140521, 0.0108856, 0.00753945, 0.00639648, 0.00463011, 0.00247515, 0.000957393, 0.000403402, 0.000145602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.718755, 0.744168, 0.747925, 0.75779, 0.78366, 0.794554, 0.803973, 0.812686, 0.821214, 0.829729, 0.844989, 0.857753, 0.867675, 0.881594, 0.894132, 0.911538, 0.930103, 0.934417");
-            values ( \
-              "0.00320634, 0.0199181, 0.0257464, 0.0475283, 0.12169, 0.147779, 0.164328, 0.172497, 0.172575, 0.158628, 0.10014, 0.0619896, 0.041213, 0.0225464, 0.0128538, 0.00593718, 0.00258056, 0.00241559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.718796, 0.750598, 0.752568, 0.763886, 0.794511, 0.800333, 0.811978, 0.821935, 0.828828, 0.84199, 0.855149, 0.862837, 0.869998, 0.89632, 0.914341, 0.929808, 0.94045, 0.95006, 0.962873, 0.973477, 0.987772, 1.00683, 1.04495, 1.04544");
-            values ( \
-              "0.00284782, 0.0385607, 0.0432257, 0.0770974, 0.185456, 0.202988, 0.229797, 0.244091, 0.249568, 0.250936, 0.240284, 0.226193, 0.207832, 0.130168, 0.0879001, 0.0609111, 0.0467021, 0.0365541, 0.026117, 0.0197105, 0.0134476, 0.00796854, 0.00256551, 0.00254467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.745359, 0.767213, 0.799845, 0.816865, 0.827464, 0.848662, 0.856796, 0.874572, 0.892344, 0.910636, 0.923891, 0.949819, 0.98876, 1.01898, 1.05501, 1.0757, 1.10488, 1.12206, 1.15048, 1.18207, 1.20701, 1.24288, 1.28494, 1.30243");
-            values ( \
-              "0.0867945, 0.0977348, 0.229401, 0.27876, 0.298092, 0.31704, 0.31852, 0.315383, 0.306194, 0.291731, 0.277749, 0.240405, 0.173335, 0.127345, 0.0842387, 0.0652748, 0.0448738, 0.0357401, 0.0242537, 0.0157133, 0.011085, 0.00653643, 0.00365554, 0.00317332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.735499, 0.775086, 0.800864, 0.811968, 0.829243, 0.853811, 0.876328, 0.899263, 0.922091, 0.957571, 0.993468, 1.01967, 1.07058, 1.09232, 1.1122, 1.23389, 1.29093, 1.32368, 1.36173, 1.40522, 1.43204, 1.45147, 1.47738, 1.5292, 1.57742, 1.60185, 1.65071, 1.73263, 1.81455, 1.89648, 2.06032");
-            values ( \
-              "0.0318115, 0.135378, 0.24759, 0.28652, 0.327901, 0.357323, 0.364005, 0.362654, 0.356611, 0.344893, 0.330711, 0.31915, 0.293434, 0.279408, 0.26513, 0.166328, 0.125629, 0.105269, 0.0849015, 0.0655219, 0.0556379, 0.0493133, 0.0418734, 0.0299714, 0.0218648, 0.0186037, 0.013428, 0.00765093, 0.00435169, 0.00246152, 0.000787952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0, 0.347909, 0.499262, 0.580525, 0.607848, 0.705032, 0.735024, 0.758711, 0.809867, 0.83247, 0.851206, 0.873717, 0.898611, 0.927652, 0.994166, 1.26424, 1.34142, 1.40776, 1.48968, 1.79584, 1.94258, 2.07653, 2.15846, 2.21414, 2.29369, 2.36708, 2.449, 2.58864, 2.67056, 2.83441, 2.99826, 3.24403, 3.4898");
-            values ( \
-              "1.54542e-07, 0.000124829, 0.000906112, 0.00252908, 0.00250175, 0.000216011, 0.0195409, 0.0754872, 0.289753, 0.348082, 0.373475, 0.387295, 0.391247, 0.390118, 0.380423, 0.332975, 0.31691, 0.299827, 0.273675, 0.157488, 0.110727, 0.0777207, 0.0618875, 0.0528259, 0.0419573, 0.0337943, 0.0264393, 0.0173133, 0.0134755, 0.00812926, 0.00489059, 0.00227126, 0.00106024" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00975317, 0.00976713, 0.00978389, 0.00979752, 0.00980621, 0.00981098", \
-            "0.0118035, 0.0118115, 0.0118238, 0.0118373, 0.0118475, 0.0118534", \
-            "0.0129993, 0.0130013, 0.0130059, 0.0130134, 0.0130214, 0.0130268", \
-            "0.0136808, 0.0136807, 0.013681, 0.0136828, 0.0136863, 0.0136901", \
-            "0.0140533, 0.0140522, 0.0140504, 0.0140484, 0.0140474, 0.0140478", \
-            "0.0142987, 0.0142985, 0.0142971, 0.0142953, 0.0142928, 0.0142909" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0141978, 0.0142054, 0.0142213, 0.0142423, 0.0142596, 0.0142703", \
-            "0.0148709, 0.0148643, 0.0148607, 0.0148652, 0.0148746, 0.0148829", \
-            "0.0147585, 0.0147497, 0.0147354, 0.0147206, 0.0147124, 0.0147103", \
-            "0.0146476, 0.0146438, 0.0146347, 0.014618, 0.0145987, 0.0145854", \
-            "0.0152103, 0.0152482, 0.0152787, 0.0152998, 0.0152965, 0.0152872", \
-            "0.0154281, 0.0154248, 0.0154236, 0.0155385, 0.0156303, 0.0156617" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.10293, 0.104273, 0.106711, 0.11482, 0.126555, 0.131253, 0.135609, 0.139807, 0.143998, 0.14862, 0.157672, 0.162457, 0.168934, 0.175917, 0.183615, 0.185018");
-            values ( \
-              "-0.0335976, -0.0373247, -0.0399182, -0.0875692, -0.133287, -0.145921, -0.154512, -0.158346, -0.153981, -0.129902, -0.0563226, -0.0321653, -0.0141771, -0.00573383, -0.00216507, -0.00195025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.100287, 0.119759, 0.12716, 0.13548, 0.141958, 0.148077, 0.15406, 0.160037, 0.161899, 0.16696, 0.179111, 0.185484, 0.193159, 0.20019, 0.204984, 0.214573, 0.218066");
-            values ( \
-              "-0.00109721, -0.149831, -0.187067, -0.218316, -0.235042, -0.244785, -0.246864, -0.234749, -0.226911, -0.191952, -0.0927224, -0.0583866, -0.0323458, -0.0185134, -0.0125741, -0.00562611, -0.00463416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.100273, 0.1264, 0.133447, 0.140044, 0.15096, 0.161071, 0.170861, 0.180639, 0.191602, 0.196507, 0.211927, 0.222111, 0.235322, 0.246307, 0.254743, 0.263655, 0.284207, 0.298475");
-            values ( \
-              "-0.00883132, -0.225658, -0.260848, -0.286569, -0.316855, -0.333465, -0.340179, -0.335293, -0.307712, -0.283025, -0.180524, -0.124801, -0.0740701, -0.0469429, -0.0327144, -0.0223042, -0.00895402, -0.00533706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.099217, 0.127132, 0.139734, 0.151758, 0.160478, 0.17911, 0.183996, 0.193768, 0.203326, 0.214607, 0.232361, 0.2451, 0.252107, 0.266122, 0.291129, 0.310433, 0.330379, 0.344852, 0.360755, 0.380194, 0.386903, 0.402765, 0.420893, 0.45715, 0.483386");
-            values ( \
-              "-0.0049124, -0.259524, -0.322728, -0.362888, -0.382866, -0.40883, -0.412548, -0.4169, -0.417736, -0.414639, -0.399442, -0.378034, -0.360427, -0.310293, -0.210839, -0.149152, -0.101907, -0.076438, -0.0553454, -0.0368759, -0.0320599, -0.022984, -0.0156084, -0.00693853, -0.00445335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.111324, 0.124216, 0.140444, 0.16197, 0.177984, 0.195171, 0.207959, 0.234867, 0.270214, 0.306407, 0.344083, 0.361815, 0.390118, 0.493985, 0.551469, 0.599743, 0.663756, 0.734163, 0.795382, 0.816738");
-            values ( \
-              "-0.254573, -0.258815, -0.348359, -0.41454, -0.441407, -0.458804, -0.465381, -0.469796, -0.464282, -0.450463, -0.426831, -0.410638, -0.37207, -0.177422, -0.10712, -0.0685598, -0.0371492, -0.0187391, -0.0101152, -0.0085138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.111253, 0.149057, 0.172296, 0.186799, 0.204942, 0.229092, 0.254824, 0.27975, 0.316896, 0.420916, 0.510052, 0.546973, 0.59253, 0.658839, 0.682044, 0.728454, 0.841612, 0.907921, 0.950473, 1.02691, 1.09322, 1.15653, 1.2003, 1.26661, 1.31797, 1.42463, 1.49094, 1.55724, 1.68986, 1.82248, 2.02141");
-            values ( \
-              "-0.234275, -0.392259, -0.449282, -0.46967, -0.485243, -0.495511, -0.499128, -0.49896, -0.495745, -0.479814, -0.461162, -0.451333, -0.436343, -0.404384, -0.388546, -0.349029, -0.243337, -0.190671, -0.161843, -0.119273, -0.0906113, -0.0694087, -0.0575685, -0.0431788, -0.0345168, -0.02159, -0.0160879, -0.0119684, -0.00661361, -0.00364792, -0.00149556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.122824, 0.125704, 0.127088, 0.129538, 0.130913, 0.133663, 0.135231, 0.138369, 0.141702, 0.146997, 0.151696, 0.156055, 0.160255, 0.164449, 0.169073, 0.169912, 0.174344, 0.17585, 0.178007, 0.180626, 0.181411, 0.182983, 0.186125, 0.188299, 0.18919, 0.190974, 0.194541, 0.200674");
-            values ( \
-              "-0.03192, -0.039412, -0.040847, -0.0541733, -0.0626602, -0.0802746, -0.0869751, -0.101578, -0.114416, -0.133275, -0.145667, -0.15451, -0.158164, -0.154, -0.129894, -0.1231, -0.0840506, -0.0720811, -0.0570915, -0.0422513, -0.0384402, -0.0318537, -0.0214595, -0.0163456, -0.0145568, -0.0116497, -0.00732696, -0.00348806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.125201, 0.129466, 0.13915, 0.148569, 0.155731, 0.162218, 0.168339, 0.174324, 0.180302, 0.182159, 0.187227, 0.199379, 0.205752, 0.213426, 0.22046, 0.225256, 0.234848, 0.237254");
-            values ( \
-              "-0.0587287, -0.0748363, -0.14509, -0.192111, -0.218104, -0.235052, -0.244592, -0.246889, -0.23459, -0.226887, -0.191923, -0.0927137, -0.0583824, -0.0323491, -0.0185109, -0.0125718, -0.00562277, -0.0049404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.126387, 0.133404, 0.139746, 0.147694, 0.153714, 0.160332, 0.171246, 0.181358, 0.191148, 0.200925, 0.21189, 0.216796, 0.232216, 0.2424, 0.255611, 0.266596, 0.275031, 0.283943, 0.290793, 0.304493, 0.321666");
-            values ( \
-              "-0.0583146, -0.129525, -0.181753, -0.231438, -0.260742, -0.286773, -0.316745, -0.333587, -0.340045, -0.335392, -0.307702, -0.283006, -0.180521, -0.124799, -0.0740702, -0.0469423, -0.0327152, -0.0223055, -0.0165494, -0.00895564, -0.00460013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.125934, 0.137665, 0.141696, 0.151089, 0.160055, 0.168375, 0.180823, 0.196614, 0.19943, 0.205063, 0.217265, 0.235034, 0.252749, 0.264407, 0.272449, 0.288533, 0.308341, 0.322843, 0.33144, 0.350018, 0.362309, 0.372341, 0.384598, 0.401906, 0.420253, 0.434361, 0.462575, 0.513187, 0.573308");
-            values ( \
-              "-0.0643356, -0.187277, -0.220496, -0.280825, -0.322874, -0.352074, -0.383119, -0.406234, -0.408903, -0.412949, -0.417644, -0.414759, -0.399451, -0.380259, -0.360451, -0.301942, -0.222403, -0.172617, -0.147456, -0.10339, -0.081035, -0.066226, -0.0515195, -0.0359038, -0.0244569, -0.0181299, -0.00979346, -0.00292821, -0.00064158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.125666, 0.144758, 0.155207, 0.160965, 0.172479, 0.182506, 0.199662, 0.217213, 0.225425, 0.236375, 0.255384, 0.286147, 0.290762, 0.318446, 0.343881, 0.364575, 0.402701, 0.411096, 0.475566, 0.512287, 0.527918, 0.55544, 0.586895, 0.601857, 0.627611, 0.652465, 0.687264, 0.701403, 0.729682, 0.78624, 0.852549, 0.918858, 1.05148");
-            values ( \
-              "-0.0872826, -0.259293, -0.321609, -0.348273, -0.388807, -0.414489, -0.443225, -0.459722, -0.464063, -0.467553, -0.469772, -0.465404, -0.464274, -0.454319, -0.441184, -0.426695, -0.385072, -0.371553, -0.243821, -0.180881, -0.15846, -0.124405, -0.0935401, -0.0813884, -0.06407, -0.0505223, -0.0361948, -0.0314959, -0.0239972, -0.0135632, -0.00697987, -0.00347715, -0.000860732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.139048, 0.17789, 0.200921, 0.219983, 0.236479, 0.258474, 0.289925, 0.321032, 0.375046, 0.451649, 0.530594, 0.606403, 0.652283, 0.702414, 0.768723, 0.844508, 0.888889, 0.955198, 1.00736, 1.04152, 1.10783, 1.14413, 1.18474, 1.23888, 1.30519, 1.37615, 1.41825, 1.48456, 1.55086, 1.68348, 1.8161, 2.08134");
-            values ( \
-              "-0.368935, -0.416857, -0.461585, -0.481929, -0.491177, -0.497037, -0.499577, -0.497591, -0.490844, -0.478065, -0.461288, -0.438923, -0.419294, -0.388701, -0.330238, -0.258789, -0.221118, -0.17205, -0.140178, -0.12227, -0.092858, -0.0797541, -0.0671536, -0.0533179, -0.039873, -0.0293355, -0.024292, -0.0181893, -0.0134585, -0.00742074, -0.00407435, -0.0012053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.167512, 0.169051, 0.171318, 0.179473, 0.19124, 0.195946, 0.200308, 0.20451, 0.208705, 0.21291, 0.220109, 0.225671, 0.23038, 0.235236, 0.238808, 0.245952, 0.255102, 0.265404");
-            values ( \
-              "-0.033127, -0.0373724, -0.0398516, -0.0872645, -0.133011, -0.145748, -0.154309, -0.158225, -0.15384, -0.1323, -0.072032, -0.0384045, -0.0214398, -0.011674, -0.00729189, -0.00291708, -0.000904377, -0.000747674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.169272, 0.174449, 0.183957, 0.193262, 0.200438, 0.206927, 0.213053, 0.219042, 0.225024, 0.226871, 0.23195, 0.244106, 0.25048, 0.258151, 0.265192, 0.269993, 0.276022");
-            values ( \
-              "-0.0560125, -0.0776344, -0.145458, -0.191719, -0.217904, -0.234796, -0.244472, -0.246701, -0.234538, -0.226846, -0.191868, -0.0926918, -0.0583691, -0.0323535, -0.0185068, -0.0125619, -0.00820018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.163675, 0.192815, 0.19527, 0.205016, 0.21594, 0.226056, 0.23585, 0.245632, 0.255373, 0.256597, 0.261492, 0.276926, 0.287112, 0.29425, 0.300323, 0.305346, 0.311309, 0.319745, 0.328658, 0.335509, 0.349212, 0.373956, 0.403337");
-            values ( \
-              "-8.20989e-07, -0.233108, -0.245885, -0.286321, -0.316725, -0.33328, -0.340083, -0.335153, -0.312054, -0.307641, -0.283035, -0.180507, -0.12479, -0.0946058, -0.0740627, -0.0602621, -0.0469415, -0.0327109, -0.0223013, -0.016549, -0.00895183, -0.00268269, -0.000607612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.169238, 0.184238, 0.193177, 0.204771, 0.216147, 0.225506, 0.241894, 0.248639, 0.261995, 0.270718, 0.279647, 0.297405, 0.303546, 0.310064, 0.317143, 0.331303, 0.355954, 0.375455, 0.386555, 0.395558, 0.409904, 0.425562, 0.445155, 0.451902, 0.467999, 0.486395, 0.523189, 0.576272, 0.641404");
-            values ( \
-              "-0.0381456, -0.203236, -0.265942, -0.322879, -0.361174, -0.3829, -0.406887, -0.412303, -0.417546, -0.417393, -0.414582, -0.399373, -0.390407, -0.37815, -0.360398, -0.309698, -0.211608, -0.149193, -0.121014, -0.101627, -0.0764178, -0.0556108, -0.036935, -0.0320839, -0.0228897, -0.0154506, -0.00677824, -0.00186936, -0.000341828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.167946, 0.189481, 0.200267, 0.216482, 0.227204, 0.243196, 0.260987, 0.271947, 0.286159, 0.300071, 0.335427, 0.371614, 0.407988, 0.42752, 0.450013, 0.533529, 0.567634, 0.618525, 0.649801, 0.684214, 0.740858, 0.775287, 0.814635, 0.880944, 0.942615");
-            values ( \
-              "-0.0472397, -0.259906, -0.323785, -0.386912, -0.414513, -0.441796, -0.459042, -0.464761, -0.468622, -0.469647, -0.464149, -0.450342, -0.427846, -0.410095, -0.380809, -0.219775, -0.165467, -0.105573, -0.0791555, -0.0572891, -0.0331678, -0.0237628, -0.0161248, -0.0082273, -0.00446286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.175698, 0.209064, 0.230179, 0.248063, 0.270084, 0.283966, 0.302475, 0.334934, 0.364779, 0.419614, 0.496243, 0.562552, 0.600433, 0.650942, 0.697143, 0.746999, 0.813308, 0.88909, 0.933468, 0.999778, 1.03487, 1.08611, 1.15242, 1.2293, 1.28342, 1.34973, 1.42075, 1.52919, 1.66181, 1.79443, 1.92704, 2.12597");
-            values ( \
-              "-0.217339, -0.374262, -0.435838, -0.465515, -0.485515, -0.492211, -0.497147, -0.499377, -0.497493, -0.490675, -0.477899, -0.464195, -0.454634, -0.438798, -0.419271, -0.388586, -0.330349, -0.258881, -0.221033, -0.172142, -0.150055, -0.122196, -0.0929215, -0.0672174, -0.0532676, -0.0399379, -0.0292791, -0.0181318, -0.0100386, -0.00554236, -0.00305471, -0.00125618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.259906, 0.273062, 0.281859, 0.287266, 0.292043, 0.296452, 0.300691, 0.304923, 0.309562, 0.31886, 0.323357, 0.329936, 0.337469, 0.340567");
-            values ( \
-              "-0.00227171, -0.0735088, -0.111593, -0.130778, -0.143854, -0.152874, -0.15697, -0.153019, -0.129352, -0.0548595, -0.0323851, -0.0141791, -0.00535925, -0.00402932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.260435, 0.280359, 0.289152, 0.29642, 0.302971, 0.309135, 0.315152, 0.321163, 0.322915, 0.328097, 0.340277, 0.346656, 0.354287, 0.361391, 0.366234, 0.375918, 0.379685");
-            values ( \
-              "-0.00355085, -0.144, -0.188427, -0.215763, -0.233002, -0.243252, -0.245644, -0.233899, -0.226682, -0.191527, -0.0925587, -0.0582943, -0.0324307, -0.0184731, -0.0124924, -0.00555313, -0.00449723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.266753, 0.280552, 0.285567, 0.295034, 0.301529, 0.312518, 0.322671, 0.33249, 0.342263, 0.352033, 0.353284, 0.358288, 0.373623, 0.383789, 0.390925, 0.397007, 0.408004, 0.41644, 0.425345, 0.432189, 0.445878, 0.470604, 0.49996");
-            values ( \
-              "-0.0646849, -0.174528, -0.208353, -0.258591, -0.284024, -0.315378, -0.332221, -0.339443, -0.33465, -0.31183, -0.307285, -0.282111, -0.180344, -0.124789, -0.0946232, -0.0740605, -0.046922, -0.0327023, -0.0223045, -0.016556, -0.00896372, -0.00268891, -0.000611337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.266906, 0.279107, 0.284196, 0.289132, 0.301839, 0.311863, 0.322676, 0.339194, 0.352455, 0.359165, 0.372583, 0.385466, 0.395007, 0.413294, 0.420907, 0.456086, 0.473409, 0.492098, 0.504246, 0.514089, 0.526391, 0.543733, 0.56221, 0.576432, 0.604874, 0.655545, 0.715791");
-            values ( \
-              "-0.059721, -0.182431, -0.222911, -0.256509, -0.320949, -0.355757, -0.382095, -0.406415, -0.415383, -0.417177, -0.416202, -0.408767, -0.39852, -0.363504, -0.33926, -0.201172, -0.147155, -0.102965, -0.080925, -0.0663899, -0.0516055, -0.0359353, -0.0244122, -0.0180544, -0.00969887, -0.00289619, -0.000630341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.276533, 0.297995, 0.312977, 0.324592, 0.341249, 0.35915, 0.367916, 0.379604, 0.397516, 0.432903, 0.455195, 0.469065, 0.496806, 0.506735, 0.525951, 0.547462, 0.629628, 0.664242, 0.698774, 0.72668, 0.747737, 0.771693, 0.79597, 0.830194, 0.843728, 0.870794, 0.924928, 0.991237, 1.05755, 1.19016");
-            values ( \
-              "-0.291296, -0.323576, -0.383035, -0.413748, -0.442178, -0.459291, -0.463971, -0.467696, -0.469607, -0.464179, -0.456492, -0.450263, -0.434179, -0.426718, -0.409017, -0.380742, -0.22213, -0.166603, -0.123255, -0.0956497, -0.0787527, -0.062934, -0.0499977, -0.0359179, -0.0315472, -0.0242226, -0.0141393, -0.00718766, -0.00367047, -0.000953444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.2859, 0.344733, 0.36766, 0.394481, 0.418727, 0.442528, 0.479645, 0.583629, 0.672768, 0.709669, 0.755247, 0.821556, 0.844762, 0.891174, 1.00433, 1.07064, 1.11319, 1.18963, 1.25594, 1.31925, 1.36301, 1.42932, 1.48068, 1.58737, 1.65368, 1.71999, 1.8526, 1.98522, 2.18415");
-            values ( \
-              "-0.426279, -0.463772, -0.485103, -0.495869, -0.499076, -0.498839, -0.495742, -0.479838, -0.461179, -0.451311, -0.436362, -0.404355, -0.388569, -0.348998, -0.243315, -0.190696, -0.16182, -0.119291, -0.0905892, -0.0693954, -0.0575849, -0.0431669, -0.0345307, -0.0215758, -0.0160981, -0.0119556, -0.00660166, -0.00363662, -0.00150583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.453546, 0.463994, 0.466869, 0.472583, 0.486801, 0.497251, 0.50196, 0.506607, 0.511607, 0.519001, 0.522841, 0.52662, 0.52868, 0.532956, 0.535585, 0.540842, 0.551535, 0.59695");
-            values ( \
-              "-0.00532408, -0.0348668, -0.0447091, -0.0700837, -0.113716, -0.136618, -0.141621, -0.140805, -0.121355, -0.0672324, -0.0448396, -0.0291156, -0.0227853, -0.0135093, -0.00976858, -0.00504647, -0.00124491, -0.000177149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.455765, 0.467573, 0.478667, 0.491181, 0.497185, 0.504463, 0.511213, 0.517717, 0.524211, 0.526606, 0.5314, 0.540382, 0.546724, 0.553618, 0.561415, 0.567369, 0.575306, 0.588307, 0.590483");
-            values ( \
-              "-0.00844418, -0.0640453, -0.122443, -0.172417, -0.192301, -0.211403, -0.223663, -0.228874, -0.221045, -0.212643, -0.184362, -0.113784, -0.0746649, -0.045035, -0.0247907, -0.015575, -0.00821958, -0.00278026, -0.00262417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.454089, 0.495923, 0.502878, 0.514887, 0.525783, 0.536136, 0.546344, 0.550197, 0.556871, 0.561328, 0.578843, 0.59006, 0.601702, 0.615624, 0.631439, 0.643571, 0.662259");
-            values ( \
-              "-0.00336516, -0.230545, -0.256677, -0.291859, -0.312476, -0.323308, -0.322329, -0.317338, -0.301971, -0.284279, -0.176204, -0.117687, -0.0745141, -0.0418808, -0.0212635, -0.0124811, -0.00570975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.462878, 0.475209, 0.488045, 0.495514, 0.503484, 0.517053, 0.526059, 0.544071, 0.550197, 0.559468, 0.57274, 0.582237, 0.600299, 0.609007, 0.617996, 0.631832, 0.659894, 0.678486, 0.697867, 0.713284, 0.732143, 0.749493, 0.767985, 0.782219, 0.810686, 0.843703");
-            values ( \
-              "-0.0681758, -0.132586, -0.21489, -0.254142, -0.289914, -0.336438, -0.359383, -0.390195, -0.396778, -0.403724, -0.408732, -0.408052, -0.395763, -0.383317, -0.364299, -0.31835, -0.207774, -0.148891, -0.102888, -0.0757567, -0.0516032, -0.0359335, -0.0244063, -0.0180445, -0.00969059, -0.00526093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.468025, 0.505362, 0.516235, 0.528622, 0.547832, 0.5613, 0.574922, 0.584726, 0.603563, 0.633731, 0.639158, 0.671717, 0.682611, 0.713065, 0.74492, 0.77185, 0.828495, 0.861901, 0.876933, 0.901205, 0.928945, 0.953781, 0.983301, 1.02266, 1.04906, 1.08976, 1.14403, 1.21033, 1.27664, 1.40926");
-            values ( \
-              "-0.130019, -0.315416, -0.355581, -0.390943, -0.427489, -0.443653, -0.455195, -0.460526, -0.465517, -0.463968, -0.462716, -0.451493, -0.44619, -0.426554, -0.393721, -0.348696, -0.235384, -0.179247, -0.157668, -0.127524, -0.0992126, -0.0789081, -0.0598268, -0.0409865, -0.0317847, -0.0213959, -0.0124361, -0.00634018, -0.00321392, -0.000823651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.478808, 0.514292, 0.537512, 0.560808, 0.585571, 0.606683, 0.637208, 0.648822, 0.672049, 0.723652, 0.780769, 0.838899, 0.87916, 0.945469, 0.994162, 1.05104, 1.11735, 1.19322, 1.23766, 1.30397, 1.33892, 1.38993, 1.45623, 1.53375, 1.58836, 1.65467, 1.72446, 1.83205, 1.96467, 2.09728, 2.2299, 2.42883");
-            values ( \
-              "-0.296329, -0.361172, -0.425086, -0.462007, -0.484127, -0.49326, -0.497712, -0.497744, -0.49652, -0.490508, -0.481359, -0.470242, -0.461142, -0.442133, -0.422665, -0.388622, -0.330396, -0.258842, -0.220944, -0.172074, -0.150074, -0.12233, -0.0930308, -0.0671177, -0.0530698, -0.0397929, -0.0293248, -0.0182283, -0.0100912, -0.00557035, -0.00306899, -0.00126576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.848003, 0.862554, 0.870922, 0.889576, 0.904499, 0.910698, 0.916469, 0.922066, 0.928367, 0.942312, 0.946139, 0.949667, 0.954207, 0.957863, 0.965174, 0.975646, 0.980553");
-            values ( \
-              "-0.000295292, -0.0177314, -0.0301262, -0.0737834, -0.101194, -0.110253, -0.116544, -0.118159, -0.104138, -0.0336672, -0.02228, -0.0149386, -0.00889838, -0.00583667, -0.00248662, -0.000835645, -0.000775767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.852982, 0.856978, 0.863835, 0.872775, 0.892123, 0.899811, 0.910161, 0.919064, 0.927159, 0.934785, 0.942296, 0.949795, 0.960536, 0.966048, 0.970079, 0.973775, 0.978704, 0.983969, 0.986898, 0.992757, 1.00447, 1.01137");
-            values ( \
-              "-0.0164679, -0.0195137, -0.0281001, -0.0464256, -0.107481, -0.128867, -0.155206, -0.174506, -0.188421, -0.196583, -0.195111, -0.170837, -0.10371, -0.0739142, -0.0564098, -0.0436089, -0.0305297, -0.0206617, -0.0165323, -0.0106044, -0.0041087, -0.00282204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.859734, 0.8711, 0.88035, 0.906598, 0.916586, 0.930888, 0.943477, 0.955154, 0.966452, 0.977732, 0.981929, 0.985889, 1.01111, 1.02471, 1.03582, 1.04453, 1.05385, 1.06102, 1.07538, 1.0852");
-            values ( \
-              "-0.0324336, -0.0556188, -0.0838649, -0.180638, -0.211535, -0.249104, -0.273715, -0.289346, -0.294523, -0.282404, -0.270664, -0.254332, -0.120103, -0.071747, -0.0458688, -0.0319109, -0.0215939, -0.0159064, -0.00848003, -0.00618266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.860112, 0.872711, 0.881244, 0.917565, 0.943909, 0.965828, 0.985818, 1.0051, 1.02435, 1.04355, 1.05704, 1.08716, 1.10554, 1.12277, 1.13516, 1.15764, 1.18623, 1.20725, 1.22679, 1.25123");
-            values ( \
-              "-0.0401047, -0.069824, -0.0970269, -0.240043, -0.316113, -0.357447, -0.379381, -0.387463, -0.380782, -0.352438, -0.311343, -0.198166, -0.142794, -0.103032, -0.0807485, -0.0512957, -0.0283211, -0.0181939, -0.0121969, -0.00826096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.88203, 0.907795, 0.946191, 0.96659, 0.98883, 1.02388, 1.04403, 1.0634, 1.09991, 1.11976, 1.13828, 1.17534, 1.29285, 1.33844, 1.40009, 1.46467, 1.53209, 1.5984, 1.61556");
-            values ( \
-              "-0.210311, -0.218484, -0.34625, -0.390161, -0.422, -0.448106, -0.452744, -0.452985, -0.444409, -0.434995, -0.423065, -0.384741, -0.172222, -0.115611, -0.0654115, -0.0352029, -0.0182244, -0.0093113, -0.00812561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.881726, 0.912855, 0.948996, 0.972783, 0.994223, 1.02783, 1.06075, 1.09598, 1.14829, 1.26657, 1.33372, 1.3727, 1.41577, 1.47641, 1.54272, 1.61864, 1.66311, 1.72942, 1.76432, 1.81524, 1.88154, 1.95929, 2.01408, 2.08039, 2.14973, 2.25701, 2.38963, 2.52225, 2.65486, 2.85379");
-            values ( \
-              "-0.231567, -0.244773, -0.364676, -0.41589, -0.447163, -0.475361, -0.48712, -0.490025, -0.486628, -0.468719, -0.453276, -0.441353, -0.424332, -0.388596, -0.330407, -0.258822, -0.220903, -0.172049, -0.150077, -0.122376, -0.093071, -0.0670815, -0.0529973, -0.0397406, -0.0293415, -0.0182638, -0.0101105, -0.00558065, -0.00307428, -0.00126923" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0100207, 0.0100354, 0.0100536, 0.0100703, 0.0100817, 0.0100881", \
-            "0.0116475, 0.0116535, 0.0116637, 0.0116759, 0.0116862, 0.0116928", \
-            "0.0125162, 0.0125171, 0.0125198, 0.0125251, 0.0125317, 0.0125368", \
-            "0.012987, 0.0129871, 0.0129873, 0.0129883, 0.0129907, 0.0129938", \
-            "0.0132106, 0.0132105, 0.0132103, 0.0132101, 0.0132103, 0.0132112", \
-            "0.0133187, 0.0133184, 0.013318, 0.0133174, 0.0133167, 0.0133163" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0133446, 0.0133379, 0.0133444, 0.0133514, 0.0133585, 0.013364", \
-            "0.0139881, 0.0139838, 0.0139797, 0.0139778, 0.0139773, 0.0139777", \
-            "0.0140488, 0.0140413, 0.014031, 0.014021, 0.0140142, 0.0140109", \
-            "0.0138865, 0.0138784, 0.0138648, 0.0138473, 0.0138306, 0.0138197", \
-            "0.0139666, 0.0139646, 0.0139599, 0.0139511, 0.0139391, 0.0139272", \
-            "0.0144836, 0.0144804, 0.0145319, 0.0145681, 0.0145829, 0.0145816" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & ~B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0965145, 0.109783, 0.135855, 0.190484, 0.310669, 0.578858", \
-            "0.101191, 0.114395, 0.140393, 0.194896, 0.315028, 0.583191", \
-            "0.110011, 0.123232, 0.149234, 0.203657, 0.323738, 0.591868", \
-            "0.126394, 0.139858, 0.166076, 0.220628, 0.340735, 0.60886", \
-            "0.145899, 0.160088, 0.186918, 0.241909, 0.362437, 0.630675", \
-            "0.154821, 0.170836, 0.19907, 0.254702, 0.375094, 0.643618" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0301458, 0.0447464, 0.0778035, 0.154465, 0.32974, 0.724051", \
-            "0.0301517, 0.0447439, 0.0778195, 0.154474, 0.329744, 0.724052", \
-            "0.0301533, 0.0447396, 0.0777862, 0.154489, 0.329752, 0.724056", \
-            "0.0310456, 0.0454822, 0.0783297, 0.154652, 0.329794, 0.724071", \
-            "0.0341265, 0.0481868, 0.0802126, 0.155757, 0.330244, 0.724173", \
-            "0.0407691, 0.0543654, 0.0848313, 0.15775, 0.330853, 0.72472" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.159174, 0.174366, 0.201342, 0.250407, 0.346336, 0.55158", \
-            "0.164047, 0.179279, 0.206283, 0.255235, 0.351394, 0.556623", \
-            "0.176348, 0.191526, 0.218502, 0.267715, 0.363895, 0.569155", \
-            "0.203982, 0.219188, 0.246283, 0.295657, 0.392114, 0.597397", \
-            "0.258013, 0.274075, 0.302305, 0.352826, 0.449787, 0.655013", \
-            "0.350163, 0.368289, 0.398971, 0.452566, 0.552717, 0.760422" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0344033, 0.0469837, 0.0719508, 0.124681, 0.242105, 0.514108", \
-            "0.0344163, 0.0469666, 0.0720175, 0.124738, 0.242108, 0.514115", \
-            "0.0344342, 0.0469849, 0.0720853, 0.124699, 0.242082, 0.514111", \
-            "0.0345541, 0.0471159, 0.0720987, 0.124651, 0.242085, 0.514111", \
-            "0.0379032, 0.0504875, 0.0751122, 0.126797, 0.243006, 0.51433", \
-            "0.0445685, 0.057736, 0.0830382, 0.134069, 0.249294, 0.51781" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.076984, 0.0937776, 0.0988318, 0.103501, 0.108048, 0.112589, 0.115786, 0.126799, 0.133583, 0.139659, 0.148418, 0.15859, 0.174724, 0.183764");
-            values ( \
-              "0.0333226, 0.122658, 0.136731, 0.143756, 0.14499, 0.14052, 0.130938, 0.0702223, 0.0452326, 0.0303345, 0.0168351, 0.00852797, 0.00305882, 0.00199162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0843392, 0.0948895, 0.0968937, 0.0979217, 0.0999777, 0.101005, 0.102779, 0.104552, 0.106326, 0.1081, 0.109778, 0.111457, 0.113135, 0.114814, 0.116481, 0.118149, 0.119816, 0.121484, 0.12315, 0.124817, 0.126484, 0.128369, 0.129241, 0.130549, 0.132294, 0.13491, 0.135987, 0.141378, 0.1433, 0.148358, 0.149926, 0.152016, 0.154728, 0.15806, 0.159727, 0.162304, 0.16359, 0.165518, 0.166161, 0.168219, 0.170277, 0.171626, 0.176406, 0.177874, 0.180076, 0.184339, 0.187781, 0.19182, 0.19384, 0.197223");
-            values ( \
-              "0.168265, 0.173721, 0.183941, 0.188714, 0.197301, 0.201116, 0.206792, 0.211494, 0.215222, 0.217976, 0.218782, 0.219316, 0.219581, 0.219574, 0.219299, 0.218758, 0.217949, 0.216873, 0.215007, 0.21278, 0.210191, 0.206826, 0.204403, 0.199837, 0.192993, 0.181346, 0.176014, 0.146781, 0.136866, 0.112122, 0.10533, 0.0970488, 0.0870428, 0.0758829, 0.0707735, 0.0634133, 0.0598392, 0.054845, 0.0532781, 0.0488125, 0.0446365, 0.0421389, 0.0338499, 0.0315033, 0.0282635, 0.0233956, 0.0200503, 0.0166842, 0.0152048, 0.0130145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0725398, 0.103825, 0.10897, 0.11328, 0.1219, 0.124677, 0.130231, 0.135896, 0.14714, 0.155271, 0.168324, 0.191078, 0.205685, 0.21626, 0.22483, 0.236257, 0.249295, 0.257085, 0.2726, 0.290882, 0.305705, 0.335351, 0.353541");
-            values ( \
-              "0.00345152, 0.254585, 0.272056, 0.282238, 0.292908, 0.294164, 0.294153, 0.291613, 0.280363, 0.267096, 0.233889, 0.156599, 0.114725, 0.0900405, 0.0733901, 0.0552218, 0.039561, 0.0322578, 0.0212432, 0.01297, 0.0086208, 0.00365291, 0.00250594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0830324, 0.0926661, 0.10183, 0.11416, 0.124402, 0.13596, 0.150362, 0.167802, 0.178956, 0.20098, 0.214683, 0.226656, 0.250602, 0.290519, 0.32165, 0.345973, 0.371044, 0.391655, 0.410156, 0.434824, 0.455217, 0.47759, 0.50742, 0.567081, 0.647791, 0.728501");
-            values ( \
-              "0.0986892, 0.207892, 0.272101, 0.321583, 0.341093, 0.34928, 0.348266, 0.339095, 0.331198, 0.312442, 0.298355, 0.283738, 0.246547, 0.175269, 0.126905, 0.0960381, 0.0706816, 0.0542657, 0.0425523, 0.0304575, 0.0230021, 0.0168575, 0.0110322, 0.00449025, 0.00119997, 0.000336333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0911158, 0.111569, 0.116351, 0.125914, 0.138918, 0.153594, 0.167847, 0.18208, 0.203729, 0.226455, 0.271296, 0.322289, 0.372357, 0.394225, 0.413669, 0.511845, 0.569305, 0.616489, 0.65496, 0.684734, 0.737978, 0.761062, 0.799355, 0.853677, 0.909193, 0.951568, 1.03228, 1.11299, 1.1937, 1.35512");
-            values ( \
-              "0.319357, 0.330882, 0.346461, 0.367326, 0.380872, 0.385049, 0.383814, 0.380275, 0.373172, 0.364685, 0.346897, 0.324521, 0.297605, 0.282871, 0.268241, 0.185568, 0.140997, 0.109634, 0.0882232, 0.0740177, 0.0534249, 0.0462232, 0.0361786, 0.0253427, 0.0175665, 0.0132211, 0.00758606, 0.00433352, 0.00247217, 0.000803157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.0995018, 0.0995218, 0.482001, 0.590541, 0.646147, 0.707703, 0.788413, 0.840528, 1.03837, 1.10125, 1.16347, 1.24418, 1.29652, 1.36632, 1.44703, 1.49019, 1.54893, 1.60399, 1.6737, 1.75441, 1.80396, 1.88467, 1.96538, 2.04609, 2.1268, 2.28822, 2.53035, 2.77248");
-            values ( \
-              "1e-22, 0.422684, 0.352569, 0.330453, 0.317507, 0.301047, 0.274809, 0.255594, 0.178442, 0.155533, 0.134509, 0.110135, 0.0961907, 0.0797324, 0.0637584, 0.0563785, 0.0476744, 0.0405232, 0.0330325, 0.0258479, 0.0223297, 0.0173772, 0.0136359, 0.0105467, 0.0082893, 0.00503116, 0.00228809, 0.00114464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0953682, 0.0995041, 0.104925, 0.109345, 0.117393, 0.122062, 0.12661, 0.131152, 0.134349, 0.145362, 0.15215, 0.158235, 0.166988, 0.177163, 0.184094, 0.193335, 0.209397, 0.213672");
-            values ( \
-              "0.0103357, 0.0531385, 0.089023, 0.110959, 0.136803, 0.143649, 0.145017, 0.140421, 0.130913, 0.0702251, 0.045227, 0.0303164, 0.0168293, 0.00852215, 0.00546255, 0.00305462, 0.00115191, 0.00105277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.095554, 0.10623, 0.111299, 0.117015, 0.123177, 0.126461, 0.133186, 0.139858, 0.146748, 0.153265, 0.166492, 0.175854, 0.1846, 0.197327, 0.208733, 0.226989, 0.24188, 0.250005");
-            values ( \
-              "0.00974695, 0.127894, 0.16161, 0.18966, 0.209211, 0.215614, 0.221512, 0.218909, 0.206969, 0.181764, 0.113925, 0.0778197, 0.0536078, 0.0303017, 0.0179076, 0.0077367, 0.00384997, 0.00294912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0982072, 0.105989, 0.111716, 0.116166, 0.125324, 0.13168, 0.143106, 0.154342, 0.16557, 0.173708, 0.178048, 0.186727, 0.209503, 0.224091, 0.234678, 0.243271, 0.254728, 0.267709, 0.275467, 0.290983, 0.3094, 0.32434, 0.354218, 0.374217");
-            values ( \
-              "0.0215326, 0.147713, 0.195615, 0.224784, 0.26594, 0.28225, 0.294542, 0.291971, 0.280588, 0.267164, 0.257862, 0.233977, 0.15659, 0.114766, 0.0900483, 0.0733575, 0.055156, 0.0395698, 0.0322941, 0.0212669, 0.0129372, 0.00857185, 0.00360427, 0.00235649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0985497, 0.111068, 0.120234, 0.126567, 0.132572, 0.143849, 0.154364, 0.168659, 0.186209, 0.21939, 0.233092, 0.245066, 0.269015, 0.308932, 0.340075, 0.364388, 0.389456, 0.41007, 0.428578, 0.453255, 0.473641, 0.496009, 0.525832, 0.585479, 0.665425, 0.746135");
-            values ( \
-              "0.02804, 0.207728, 0.271956, 0.302173, 0.321403, 0.34255, 0.349077, 0.348402, 0.339192, 0.312543, 0.298337, 0.283731, 0.24653, 0.175265, 0.126886, 0.0960351, 0.0706832, 0.0542641, 0.0425473, 0.0304507, 0.0229988, 0.0168566, 0.0110333, 0.00449184, 0.00122055, 0.000341767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.10621, 0.118907, 0.128177, 0.134659, 0.14697, 0.157185, 0.172028, 0.186203, 0.22201, 0.289578, 0.340572, 0.390641, 0.412506, 0.431952, 0.53013, 0.587585, 0.634773, 0.673249, 0.703028, 0.756265, 0.779346, 0.81764, 0.871965, 0.927486, 0.969866, 1.05058, 1.13129, 1.212, 1.37342");
-            values ( \
-              "0.245212, 0.276158, 0.32461, 0.34718, 0.371635, 0.381078, 0.384941, 0.383934, 0.373276, 0.346992, 0.32453, 0.297589, 0.282869, 0.26824, 0.185568, 0.140999, 0.109634, 0.0882202, 0.0740134, 0.0534243, 0.0462236, 0.0361787, 0.0253423, 0.0175656, 0.0132202, 0.00758545, 0.00433331, 0.00247199, 0.000803094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.114124, 0.191661, 0.235076, 0.397778, 0.58084, 0.664277, 0.806543, 1.1816, 1.31465, 1.38444, 1.51671, 1.69376, 1.82215, 1.98357, 2.30641, 2.32522");
-            values ( \
-              "0.390357, 0.405503, 0.40062, 0.371855, 0.336246, 0.317422, 0.274723, 0.134597, 0.0961009, 0.0798252, 0.0550059, 0.0327482, 0.0222314, 0.0135387, 0.00493551, 0.00478456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.13609, 0.139479, 0.144702, 0.149466, 0.152212, 0.157262, 0.161931, 0.16648, 0.171023, 0.17423, 0.181911, 0.185255, 0.188163, 0.192033, 0.198089, 0.202585, 0.206889, 0.212627, 0.217072, 0.223965, 0.233155, 0.246269");
-            values ( \
-              "0.0367878, 0.0541641, 0.0890294, 0.11235, 0.122721, 0.136892, 0.143595, 0.145004, 0.140333, 0.130824, 0.08686, 0.0701261, 0.0581365, 0.0451955, 0.0303568, 0.0224212, 0.0168016, 0.0113997, 0.00850552, 0.00546687, 0.00306708, 0.00150375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.133334, 0.151032, 0.156084, 0.159127, 0.165215, 0.16818, 0.172133, 0.174472, 0.179552, 0.184641, 0.186457, 0.189975, 0.194068, 0.201992, 0.212597, 0.218288, 0.22282, 0.228862, 0.235264, 0.244006, 0.252048, 0.257601, 0.268254, 0.282459, 0.299441");
-            values ( \
-              "0.00429885, 0.161736, 0.187532, 0.198495, 0.214388, 0.218424, 0.2212, 0.221493, 0.218725, 0.211025, 0.206642, 0.195108, 0.176112, 0.133133, 0.0881361, 0.0695481, 0.0572745, 0.0437817, 0.0328294, 0.0219953, 0.0151893, 0.0117359, 0.00719411, 0.00374507, 0.00189234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.13879, 0.14579, 0.151489, 0.159002, 0.169094, 0.176221, 0.182835, 0.194063, 0.20613, 0.213469, 0.226426, 0.249251, 0.2638, 0.28316, 0.303833, 0.322467, 0.347942, 0.367496, 0.393547");
-            values ( \
-              "0.0389618, 0.149149, 0.197475, 0.241483, 0.278628, 0.290235, 0.29408, 0.292097, 0.279305, 0.267042, 0.234059, 0.15656, 0.114844, 0.0731211, 0.0434743, 0.0266113, 0.0133821, 0.00776383, 0.00408609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.138276, 0.150821, 0.159086, 0.16526, 0.172273, 0.18352, 0.194054, 0.204725, 0.215253, 0.233928, 0.255882, 0.268278, 0.284747, 0.30991, 0.346591, 0.360153, 0.375653, 0.398085, 0.416718, 0.431182, 0.450609, 0.466698, 0.485024, 0.510967, 0.537702, 0.558143, 0.599026, 0.675177, 0.755887");
-            values ( \
-              "0.0259756, 0.209284, 0.268805, 0.298494, 0.321899, 0.342566, 0.349202, 0.349183, 0.345175, 0.33362, 0.315287, 0.303132, 0.283808, 0.244382, 0.178697, 0.156365, 0.132685, 0.103054, 0.0824234, 0.0689544, 0.0536359, 0.0434116, 0.0340251, 0.0237174, 0.0163568, 0.0123508, 0.00674659, 0.00206993, 0.000497784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.145063, 0.168267, 0.174295, 0.186349, 0.196807, 0.211573, 0.225785, 0.239982, 0.261631, 0.329202, 0.380197, 0.430268, 0.452132, 0.471579, 0.569759, 0.627214, 0.674405, 0.712884, 0.742666, 0.7959, 0.81898, 0.857276, 0.911603, 0.96713, 1.00952, 1.09023, 1.17094, 1.25165, 1.41307");
-            values ( \
-              "0.212407, 0.327067, 0.347594, 0.371464, 0.381125, 0.38497, 0.383906, 0.380176, 0.373229, 0.346945, 0.324523, 0.297581, 0.282864, 0.268235, 0.185564, 0.140997, 0.109632, 0.0882171, 0.0740098, 0.0534226, 0.0462225, 0.0361776, 0.0253413, 0.0175644, 0.0132189, 0.00758489, 0.00433309, 0.00247196, 0.000803174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.152711, 0.200801, 0.215663, 0.231312, 0.27477, 0.43748, 0.620542, 0.70398, 0.846245, 1.22131, 1.31946, 1.42414, 1.57321, 1.67393, 1.8199, 1.92533, 2.08676, 2.3667");
-            values ( \
-              "0.374715, 0.398965, 0.404232, 0.405456, 0.400558, 0.37179, 0.336307, 0.317485, 0.274786, 0.134536, 0.105333, 0.0797687, 0.0524844, 0.0391351, 0.0252958, 0.0183633, 0.01117, 0.00502568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.215023, 0.225197, 0.232695, 0.235934, 0.241273, 0.246131, 0.24889, 0.250822, 0.255188, 0.257559, 0.260269, 0.268928, 0.276309, 0.281709, 0.286155, 0.291904, 0.295858, 0.304227, 0.313174, 0.3234, 0.340915");
-            values ( \
-              "0.000469913, 0.063816, 0.102406, 0.115033, 0.130597, 0.138689, 0.140703, 0.140629, 0.137509, 0.13244, 0.122213, 0.0739772, 0.045978, 0.0324537, 0.024111, 0.0164558, 0.012643, 0.00729441, 0.00417263, 0.00223494, 0.00081065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.215342, 0.234717, 0.239704, 0.243335, 0.248958, 0.254132, 0.257573, 0.260986, 0.264349, 0.271143, 0.274006, 0.279002, 0.290666, 0.301541, 0.312805, 0.318576, 0.32368, 0.32922, 0.337203, 0.346019, 0.352865, 0.366557, 0.377449");
-            values ( \
-              "0.00278819, 0.148916, 0.174908, 0.189587, 0.205926, 0.214071, 0.216408, 0.217297, 0.21547, 0.205697, 0.196931, 0.175135, 0.115819, 0.0744348, 0.0458807, 0.035413, 0.0281075, 0.0218056, 0.0150582, 0.0100646, 0.00735812, 0.00389561, 0.00267652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.215395, 0.240057, 0.243059, 0.248889, 0.255943, 0.26015, 0.267577, 0.273337, 0.27892, 0.290273, 0.302761, 0.312887, 0.337411, 0.355821, 0.376687, 0.394095, 0.403632, 0.417101, 0.442457, 0.464512, 0.483691");
-            values ( \
-              "0.00144029, 0.211984, 0.228934, 0.254679, 0.27392, 0.282041, 0.289739, 0.290996, 0.28927, 0.279119, 0.25721, 0.229141, 0.146686, 0.0978095, 0.0592573, 0.0379604, 0.0295317, 0.0205593, 0.0103384, 0.00556119, 0.00366932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.215103, 0.248845, 0.2582, 0.266516, 0.277053, 0.282688, 0.290202, 0.300233, 0.320229, 0.333007, 0.345049, 0.368355, 0.378329, 0.387447, 0.433588, 0.461358, 0.483292, 0.501181, 0.514976, 0.535335, 0.541013, 0.552368, 0.570476, 0.596252, 0.607443, 0.629824, 0.674587, 0.746717, 0.827427");
-            values ( \
-              "0.0107275, 0.284302, 0.316712, 0.334545, 0.34542, 0.347306, 0.347289, 0.344196, 0.332293, 0.322121, 0.311347, 0.285693, 0.271565, 0.257027, 0.17536, 0.131776, 0.102791, 0.0830566, 0.0699953, 0.0539014, 0.0500624, 0.0430967, 0.0337855, 0.02369, 0.0202962, 0.0148283, 0.00773112, 0.00249071, 0.000676293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.226393, 0.2426, 0.248798, 0.25753, 0.266345, 0.274357, 0.281612, 0.29612, 0.310585, 0.324922, 0.346599, 0.36932, 0.419134, 0.465169, 0.51524, 0.537122, 0.556563, 0.578932, 0.65474, 0.679374, 0.712219, 0.759395, 0.797852, 0.827614, 0.869339, 0.88089, 0.903991, 0.942282, 0.996606, 1.02034, 1.05212, 1.09449, 1.1752, 1.25591, 1.33662, 1.41733, 1.49804");
-            values ( \
-              "0.146016, 0.262255, 0.299099, 0.334043, 0.357906, 0.37103, 0.377974, 0.383707, 0.383189, 0.379935, 0.373006, 0.364601, 0.344763, 0.324488, 0.297575, 0.28284, 0.268216, 0.250042, 0.185557, 0.165694, 0.140976, 0.109624, 0.0882231, 0.0740243, 0.0573866, 0.0534201, 0.0462146, 0.0361727, 0.0253388, 0.021678, 0.0175649, 0.0132206, 0.00758627, 0.00433403, 0.00247274, 0.00140773, 0.000803629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.235429, 0.285816, 0.300459, 0.316314, 0.358352, 0.52262, 0.705684, 0.789125, 0.931392, 1.30647, 1.40462, 1.50926, 1.65837, 1.75917, 1.90511, 2.01042, 2.17184, 2.45129");
-            values ( \
-              "0.342766, 0.396589, 0.403295, 0.40489, 0.400611, 0.371698, 0.336373, 0.317545, 0.274843, 0.134495, 0.105302, 0.0797483, 0.0524713, 0.0391168, 0.0252839, 0.0183618, 0.0111683, 0.00503584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.377798, 0.382154, 0.384822, 0.390582, 0.396206, 0.404104, 0.410373, 0.415901, 0.4211, 0.426225, 0.431344, 0.437828, 0.444002, 0.448149, 0.452015, 0.459253, 0.463161, 0.467217, 0.473191, 0.480453, 0.486212, 0.497728, 0.502162");
-            values ( \
-              "0.0118739, 0.0195254, 0.0262593, 0.0466971, 0.0698594, 0.0960474, 0.113514, 0.123422, 0.128594, 0.127474, 0.116861, 0.0831507, 0.0570177, 0.0440964, 0.0346102, 0.0216706, 0.0168098, 0.0129033, 0.00874723, 0.00557383, 0.00393804, 0.00200431, 0.00169653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.377759, 0.387875, 0.41227, 0.420501, 0.428015, 0.435248, 0.442484, 0.447354, 0.463793, 0.47473, 0.48495, 0.495258, 0.508864, 0.524359, 0.54062");
-            values ( \
-              "0.019695, 0.0480781, 0.165796, 0.189949, 0.201648, 0.20444, 0.197329, 0.18408, 0.108216, 0.0698025, 0.0451583, 0.0285424, 0.0153288, 0.00759243, 0.00463749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.395529, 0.41392, 0.416236, 0.418552, 0.420868, 0.423498, 0.426128, 0.428758, 0.431388, 0.434018, 0.436648, 0.438525, 0.440402, 0.442279, 0.448312, 0.453266, 0.456208, 0.462093, 0.463635, 0.465294, 0.468613, 0.470834, 0.473377, 0.477193, 0.479736, 0.48228, 0.484824, 0.488617, 0.498265, 0.509449, 0.514011, 0.518574, 0.521615, 0.527698, 0.534286, 0.537939, 0.541593, 0.545978, 0.548171, 0.550363, 0.553633, 0.556065, 0.560093, 0.567205, 0.57127, 0.575334, 0.578886, 0.585989, 0.589541, 0.594912");
-            values ( \
-              "0.200041, 0.209163, 0.219909, 0.229843, 0.238964, 0.24707, 0.254299, 0.260273, 0.26562, 0.270338, 0.274429, 0.276964, 0.279126, 0.280915, 0.279321, 0.277572, 0.276344, 0.273468, 0.271755, 0.26966, 0.264779, 0.260831, 0.255705, 0.247122, 0.240805, 0.23401, 0.226739, 0.215003, 0.182331, 0.145886, 0.132571, 0.120308, 0.112633, 0.0983744, 0.0844229, 0.0773001, 0.0708044, 0.0639096, 0.0587852, 0.0525428, 0.0503972, 0.0483815, 0.0443585, 0.036474, 0.0329309, 0.0296675, 0.0270922, 0.022487, 0.0204572, 0.0177147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.397549, 0.416251, 0.4195, 0.421444, 0.427278, 0.430646, 0.434014, 0.437382, 0.44075, 0.445647, 0.448095, 0.450544, 0.454541, 0.458539, 0.462537, 0.466535, 0.472349, 0.480468, 0.483174, 0.488772, 0.49993, 0.505491, 0.519161, 0.529362, 0.539077, 0.542316, 0.544391, 0.546466, 0.550616, 0.55408, 0.557543, 0.560687, 0.56383, 0.606425, 0.621377, 0.63464, 0.647903, 0.665647, 0.672341, 0.677697, 0.683052, 0.688408, 0.699119, 0.713492, 0.724671, 0.73026, 0.734496, 0.747205, 0.765069, 0.780352");
-            values ( \
-              "0.231288, 0.244174, 0.261653, 0.270646, 0.294021, 0.304479, 0.313518, 0.321137, 0.327337, 0.333111, 0.335404, 0.3373, 0.338523, 0.33937, 0.339842, 0.339938, 0.339407, 0.336103, 0.334747, 0.331418, 0.323881, 0.319677, 0.308477, 0.297878, 0.286429, 0.282332, 0.278296, 0.273833, 0.263625, 0.261164, 0.257907, 0.254263, 0.249964, 0.174088, 0.149879, 0.130395, 0.112687, 0.0912906, 0.0840389, 0.0786734, 0.0737714, 0.0690937, 0.0604116, 0.0500971, 0.0428833, 0.0396854, 0.0375725, 0.0317467, 0.0249867, 0.0197942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.391645, 0.429025, 0.438805, 0.451157, 0.463506, 0.474721, 0.493695, 0.503037, 0.550009, 0.604131, 0.637442, 0.678931, 0.71449, 0.751126, 0.838824, 0.884864, 0.932108, 0.969415, 0.998259, 1.05325, 1.07721, 1.1152, 1.1693, 1.23963, 1.32034, 1.40105, 1.48176, 1.64318");
-            values ( \
-              "0.124784, 0.315419, 0.345115, 0.366164, 0.376077, 0.379098, 0.378323, 0.376083, 0.360146, 0.33906, 0.324306, 0.302665, 0.279109, 0.250076, 0.175947, 0.140724, 0.109432, 0.0886209, 0.0747879, 0.0534196, 0.0459566, 0.0360432, 0.0252812, 0.0158444, 0.0091111, 0.00521002, 0.00297509, 0.000967576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.407744, 0.447776, 0.457342, 0.472011, 0.488263, 0.503875, 0.528484, 0.597506, 0.695035, 0.849562, 0.905942, 0.986652, 1.08379, 1.15584, 1.35578, 1.50331, 1.57598, 1.64545, 1.70917, 1.81463, 1.86413, 1.94484, 2.02555, 2.15457, 2.31064, 2.47206, 2.63348, 2.87561, 3.11774");
-            values ( \
-              "0.3685, 0.375127, 0.388144, 0.397918, 0.402023, 0.401757, 0.399626, 0.388823, 0.371593, 0.34219, 0.330387, 0.311141, 0.281777, 0.255613, 0.177689, 0.126758, 0.105603, 0.0879845, 0.0740008, 0.0549898, 0.0476607, 0.0376252, 0.0295569, 0.0200294, 0.0124062, 0.00752283, 0.00454991, 0.00212087, 0.00100415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.697758, 0.714086, 0.717341, 0.723003, 0.731044, 0.738207, 0.748005, 0.756445, 0.762795, 0.768878, 0.77495, 0.777339, 0.786282, 0.790897, 0.794086, 0.797751, 0.802638, 0.810301, 0.816023, 0.820806, 0.826401, 0.833862, 0.848783, 0.864464");
-            values ( \
-              "0.00113014, 0.0149224, 0.019684, 0.0305291, 0.0513424, 0.0673242, 0.0863172, 0.0996537, 0.106656, 0.109282, 0.10395, 0.0963056, 0.0603452, 0.0461325, 0.0384729, 0.0309408, 0.0229392, 0.0143077, 0.0100112, 0.0074854, 0.00538391, 0.00351486, 0.00156995, 0.000815704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.701973, 0.714166, 0.717994, 0.72326, 0.751537, 0.761744, 0.770621, 0.778867, 0.78697, 0.795062, 0.809057, 0.820489, 0.831596, 0.84586, 0.859651, 0.876087, 0.888678");
-            values ( \
-              "0.00981936, 0.0225862, 0.0300472, 0.0429685, 0.130658, 0.157414, 0.173765, 0.182213, 0.180881, 0.164709, 0.10575, 0.0681712, 0.0431064, 0.0230908, 0.0124258, 0.00599274, 0.00369359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.694915, 0.721795, 0.767715, 0.781915, 0.794808, 0.807422, 0.822355, 0.867295, 0.886494, 0.90718, 0.919002, 0.934449, 0.952344, 0.985454");
-            values ( \
-              "0.00948765, 0.04426, 0.215115, 0.248482, 0.261648, 0.261284, 0.243724, 0.113755, 0.0731219, 0.04367, 0.0321574, 0.0212806, 0.0131777, 0.00607602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.729836, 0.75329, 0.760248, 0.768547, 0.772462, 0.776376, 0.780291, 0.784205, 0.786791, 0.791963, 0.794549, 0.799721, 0.804893, 0.810065, 0.815237, 0.817467, 0.820813, 0.82416, 0.830852, 0.835427, 0.840002, 0.849153, 0.858303, 0.863342, 0.865862, 0.87342, 0.880855, 0.888289, 0.894645, 0.901002, 0.913715, 0.916649, 0.934255, 0.946232, 0.961301, 0.965731, 0.969785, 0.981947, 0.997423, 1.00788, 1.01846, 1.02905, 1.03963, 1.05504, 1.06725, 1.07539, 1.08353, 1.09796, 1.11239, 1.12463");
-            values ( \
-              "0.166499, 0.183361, 0.215756, 0.25047, 0.264562, 0.277192, 0.288358, 0.298062, 0.302561, 0.310524, 0.313987, 0.319878, 0.324388, 0.327517, 0.329265, 0.329329, 0.329195, 0.328763, 0.327085, 0.325223, 0.323083, 0.317967, 0.311738, 0.307815, 0.305583, 0.298133, 0.289505, 0.280176, 0.271644, 0.2626, 0.242974, 0.238084, 0.206435, 0.185474, 0.159704, 0.152385, 0.146256, 0.128748, 0.108549, 0.0955123, 0.083862, 0.0739265, 0.064876, 0.0532839, 0.0447975, 0.0403393, 0.0362392, 0.0299409, 0.0245914, 0.020752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.723255, 0.747561, 0.770095, 0.784988, 0.796564, 0.81696, 0.835864, 0.854101, 0.877166, 0.905979, 0.980738, 1.0135, 1.03635, 1.08207, 1.18566, 1.24794, 1.29019, 1.32803, 1.37994, 1.40642, 1.45081, 1.51001, 1.58003, 1.63554, 1.71625, 1.79696, 1.84429");
-            values ( \
-              "0.105613, 0.166597, 0.271311, 0.321117, 0.345873, 0.368052, 0.373325, 0.371625, 0.365618, 0.355553, 0.324666, 0.308327, 0.294904, 0.262047, 0.175016, 0.128748, 0.102367, 0.0823062, 0.0601597, 0.051041, 0.0385257, 0.0261565, 0.0164699, 0.0113194, 0.00649023, 0.0037041, 0.00311469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.728045, 0.781241, 0.79179, 0.801282, 0.819589, 0.83498, 0.849069, 0.872565, 0.901413, 0.990332, 1.18695, 1.27244, 1.31361, 1.38081, 1.44973, 1.50197, 1.69979, 1.76265, 1.8249, 1.92309, 1.95805, 2.02798, 2.10869, 2.17678, 2.27703, 2.34247, 2.42318, 2.52955, 2.61026, 2.69097, 2.85239, 3.01381, 3.25594, 3.49807");
-            values ( \
-              "0.119874, 0.319053, 0.348711, 0.367101, 0.388367, 0.39567, 0.398391, 0.398144, 0.394928, 0.380004, 0.343488, 0.325659, 0.315891, 0.297445, 0.274781, 0.25551, 0.178419, 0.155532, 0.134501, 0.105299, 0.0961531, 0.079695, 0.0637039, 0.0524833, 0.0391914, 0.0322817, 0.0253187, 0.018329, 0.0143113, 0.0111498, 0.00675251, 0.00407894, 0.0019146, 0.00089381" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0104511, 0.0104785, 0.0105113, 0.0105399, 0.0105587, 0.0105689", \
-            "0.013343, 0.0133681, 0.013405, 0.0134437, 0.0134731, 0.0134906", \
-            "0.0151981, 0.0152092, 0.0152305, 0.0152604, 0.015289, 0.0153092", \
-            "0.0162394, 0.0162375, 0.0162377, 0.0162448, 0.0162584, 0.0162717", \
-            "0.0168253, 0.0168224, 0.0168181, 0.0168137, 0.016813, 0.016817", \
-            "0.0171792, 0.0171783, 0.0171766, 0.0171736, 0.01717, 0.0171686" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0156048, 0.0156203, 0.0156502, 0.0156874, 0.0157158, 0.0157322", \
-            "0.0163404, 0.0163118, 0.0162826, 0.016257, 0.0162532, 0.016253", \
-            "0.0153536, 0.0153244, 0.0152801, 0.0152301, 0.0151908, 0.0151657", \
-            "0.0145318, 0.0145238, 0.0145052, 0.0144721, 0.0144402, 0.0144173", \
-            "0.014533, 0.0146208, 0.0146702, 0.0146914, 0.0146845, 0.0146704", \
-            "0.0140038, 0.0140072, 0.0140208, 0.0141362, 0.0142701, 0.0142967" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.118065, 0.133202, 0.146539, 0.159087, 0.165149, 0.170688, 0.17592, 0.18106, 0.186194, 0.19949, 0.204939, 0.211657, 0.217052, 0.22396");
-            values ( \
-              "-0.000883937, -0.0279708, -0.0709781, -0.102034, -0.114079, -0.122567, -0.127799, -0.127573, -0.113454, -0.0383318, -0.0208391, -0.00943078, -0.00486427, -0.00232148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.12234, 0.131976, 0.133434, 0.13635, 0.141484, 0.147649, 0.149776, 0.15403, 0.161354, 0.170563, 0.178661, 0.18615, 0.193351, 0.200541, 0.207703, 0.218654, 0.224459, 0.228007, 0.230854, 0.234375, 0.23936, 0.244982, 0.249374, 0.258157, 0.260841");
-            values ( \
-              "-0.0188347, -0.0400868, -0.0421277, -0.0501093, -0.0691041, -0.0987762, -0.105761, -0.122632, -0.146247, -0.172899, -0.18972, -0.201868, -0.206884, -0.202671, -0.175388, -0.103425, -0.0716214, -0.0562482, -0.0460337, -0.0356483, -0.0245452, -0.0160348, -0.0114299, -0.00568773, -0.00495368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.125232, 0.137835, 0.159708, 0.17604, 0.189408, 0.197028, 0.210296, 0.217924, 0.22397, 0.235052, 0.238959, 0.243111, 0.264409, 0.270916, 0.282172, 0.293561, 0.30225, 0.311428, 0.318486, 0.332602, 0.357934, 0.388013");
-            values ( \
-              "-0.0351265, -0.0694137, -0.17186, -0.229697, -0.26274, -0.276592, -0.292864, -0.297091, -0.296662, -0.282901, -0.271849, -0.254971, -0.138897, -0.110205, -0.0717885, -0.0454479, -0.0316663, -0.02156, -0.0159649, -0.00860851, -0.00259107, -0.000629622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.12992, 0.14701, 0.159003, 0.175651, 0.187352, 0.200909, 0.222475, 0.242508, 0.261948, 0.281364, 0.301778, 0.307461, 0.315038, 0.344925, 0.363264, 0.380484, 0.392977, 0.403307, 0.415598, 0.432976, 0.451229, 0.465237, 0.493255, 0.543924, 0.603993");
-            values ( \
-              "-0.0943812, -0.122232, -0.185474, -0.255469, -0.291937, -0.324517, -0.359274, -0.377288, -0.382985, -0.375969, -0.346436, -0.331643, -0.306756, -0.196809, -0.142262, -0.102858, -0.0805428, -0.065571, -0.0510927, -0.0356499, -0.0243932, -0.0181548, -0.00988538, -0.00297627, -0.000662619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.138551, 0.162872, 0.174029, 0.188757, 0.202481, 0.224669, 0.245143, 0.273355, 0.283516, 0.302315, 0.320657, 0.357933, 0.365197, 0.372946, 0.388442, 0.396254, 0.411876, 0.437412, 0.519795, 0.554341, 0.56836, 0.589917, 0.61866, 0.638292, 0.660546, 0.685371, 0.720143, 0.73423, 0.762404, 0.818752, 0.886827, 0.954902, 1.02298, 1.09105");
-            values ( \
-              "-0.202194, -0.212802, -0.264025, -0.314378, -0.35092, -0.392416, -0.417873, -0.437809, -0.442141, -0.446155, -0.447189, -0.44031, -0.437656, -0.434341, -0.426075, -0.420972, -0.408384, -0.377966, -0.22186, -0.166756, -0.147854, -0.122323, -0.0942614, -0.0786617, -0.063902, -0.0504937, -0.0361249, -0.0315321, -0.0239819, -0.0136539, -0.00683192, -0.00339729, -0.00169644, -0.000836909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.139036, 0.171379, 0.189695, 0.206227, 0.228082, 0.251367, 0.264836, 0.304097, 0.330554, 0.369186, 0.407041, 0.466939, 0.518505, 0.563357, 0.631432, 0.675545, 0.735673, 0.917861, 1.01039, 1.08274, 1.15081, 1.18771, 1.24556, 1.31363, 1.36927, 1.42149, 1.55764, 1.69379, 1.82994, 2.10224");
-            values ( \
-              "-0.202215, -0.256227, -0.32842, -0.369379, -0.414547, -0.443749, -0.455632, -0.477049, -0.482681, -0.486787, -0.484527, -0.478581, -0.469674, -0.45929, -0.440855, -0.423495, -0.387617, -0.224373, -0.157949, -0.118301, -0.0894803, -0.0764038, -0.059726, -0.0446528, -0.0348552, -0.027726, -0.0151212, -0.00819523, -0.00441505, -0.00124464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.140166, 0.151856, 0.155502, 0.165407, 0.171084, 0.178068, 0.184132, 0.189673, 0.194907, 0.200048, 0.205183, 0.213934, 0.218481, 0.223929, 0.226601, 0.230649, 0.236047, 0.244891, 0.246841");
-            values ( \
-              "-0.0122415, -0.0273578, -0.0371554, -0.0706364, -0.0858518, -0.101992, -0.114027, -0.122529, -0.127761, -0.127541, -0.113435, -0.0606323, -0.0383222, -0.0208433, -0.0152436, -0.0094271, -0.00486506, -0.00161117, -0.00141718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.139783, 0.155242, 0.172702, 0.189089, 0.197183, 0.204669, 0.211869, 0.219058, 0.226219, 0.242983, 0.249364, 0.257873, 0.267899, 0.276693, 0.283874");
-            values ( \
-              "-0.00497273, -0.0514165, -0.123312, -0.173012, -0.18978, -0.20193, -0.206906, -0.202705, -0.17539, -0.0715991, -0.0460424, -0.0245383, -0.0114327, -0.00566729, -0.00371116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.141978, 0.156819, 0.17485, 0.186409, 0.195033, 0.208421, 0.220483, 0.23187, 0.243009, 0.254138, 0.257593, 0.261279, 0.289975, 0.301947, 0.314055, 0.32521, 0.331564, 0.344272, 0.353762");
-            values ( \
-              "-0.0189729, -0.0698005, -0.155413, -0.201784, -0.229054, -0.262975, -0.28286, -0.295032, -0.296759, -0.282988, -0.273287, -0.259063, -0.11016, -0.0696773, -0.0428028, -0.0268858, -0.0205643, -0.0118445, -0.00865726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.152322, 0.170832, 0.177562, 0.194319, 0.205542, 0.219638, 0.241231, 0.261273, 0.280721, 0.300146, 0.320561, 0.326226, 0.333779, 0.363705, 0.382052, 0.399274, 0.411766, 0.422092, 0.434385, 0.451764, 0.470018, 0.484029, 0.51205, 0.562722, 0.622794");
-            values ( \
-              "-0.130956, -0.147351, -0.183794, -0.254563, -0.289814, -0.324086, -0.358967, -0.377113, -0.382836, -0.375896, -0.34638, -0.331656, -0.306888, -0.19682, -0.142256, -0.10285, -0.0805391, -0.0655727, -0.0510937, -0.0356498, -0.024392, -0.0181537, -0.00988351, -0.00297589, -0.000662161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.153048, 0.174312, 0.189631, 0.206016, 0.221453, 0.244061, 0.264108, 0.292601, 0.302482, 0.321278, 0.339623, 0.376656, 0.384198, 0.391982, 0.407552, 0.41522, 0.430558, 0.45638, 0.49847, 0.53882, 0.573427, 0.587288, 0.608597, 0.637009, 0.657239, 0.680198, 0.704804, 0.73936, 0.753217, 0.780931, 0.836359, 0.904434, 0.972509, 1.04058, 1.10866");
-            values ( \
-              "-0.164372, -0.173256, -0.249826, -0.309716, -0.350697, -0.393422, -0.417591, -0.438246, -0.44189, -0.446403, -0.446958, -0.440572, -0.43764, -0.434303, -0.425986, -0.420967, -0.408645, -0.377963, -0.299385, -0.221762, -0.166586, -0.147906, -0.122639, -0.0947993, -0.0786777, -0.0634925, -0.0502746, -0.0360403, -0.0315313, -0.0240874, -0.0138508, -0.00692848, -0.00344807, -0.00171925, -0.000850738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.153559, 0.210827, 0.245501, 0.270595, 0.301553, 0.326397, 0.349775, 0.388368, 0.426267, 0.485279, 0.5395, 0.582583, 0.650658, 0.694772, 0.754899, 0.939019, 1.00709, 1.09779, 1.16587, 1.23154, 1.27742, 1.3455, 1.39311, 1.49079, 1.55887, 1.69502, 1.76309, 1.82906");
-            values ( \
-              "-0.141867, -0.333395, -0.410784, -0.443367, -0.466536, -0.47783, -0.482836, -0.486561, -0.4847, -0.478512, -0.469157, -0.459448, -0.440692, -0.423349, -0.38776, -0.222898, -0.17277, -0.120393, -0.0909846, -0.0690121, -0.0566001, -0.0422312, -0.0342014, -0.0223611, -0.0164357, -0.00892943, -0.00667227, -0.0056481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.180457, 0.19159, 0.194496, 0.198377, 0.20822, 0.220967, 0.227034, 0.232578, 0.237815, 0.242959, 0.248096, 0.261396, 0.266844, 0.273567, 0.278966, 0.287843, 0.291567");
-            values ( \
-              "-0.000674542, -0.0228891, -0.0269641, -0.0369617, -0.0703953, -0.101957, -0.11394, -0.122487, -0.127686, -0.127502, -0.113389, -0.0383076, -0.0208515, -0.00941835, -0.00487033, -0.00159623, -0.00138865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.18223, 0.197488, 0.202483, 0.210658, 0.228077, 0.240191, 0.247679, 0.254884, 0.262079, 0.269242, 0.285997, 0.292401, 0.302685, 0.310913, 0.31969, 0.330539");
-            values ( \
-              "-0.0041799, -0.0491719, -0.0666531, -0.104132, -0.16193, -0.189961, -0.201445, -0.207061, -0.20233, -0.175563, -0.071596, -0.0460508, -0.0214207, -0.011403, -0.00572532, -0.00270765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.188519, 0.199552, 0.218585, 0.227939, 0.238097, 0.251503, 0.263586, 0.274983, 0.28613, 0.297266, 0.300713, 0.304391, 0.333111, 0.345085, 0.357193, 0.36835, 0.374704, 0.387413, 0.395029");
-            values ( \
-              "-0.0518906, -0.0675294, -0.15886, -0.19617, -0.228035, -0.263065, -0.282124, -0.295215, -0.296203, -0.283212, -0.273207, -0.259058, -0.110144, -0.0696684, -0.0427966, -0.0268814, -0.0205651, -0.0118417, -0.00928565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.18827, 0.205861, 0.221105, 0.237758, 0.249219, 0.26302, 0.284581, 0.304626, 0.324069, 0.343489, 0.363905, 0.369586, 0.37716, 0.407053, 0.425394, 0.442614, 0.455108, 0.465437, 0.477729, 0.495108, 0.51336, 0.527368, 0.555385, 0.594922");
-            values ( \
-              "-0.0615102, -0.106275, -0.185658, -0.255535, -0.291176, -0.32451, -0.359152, -0.377257, -0.38289, -0.375954, -0.346394, -0.331618, -0.30676, -0.196806, -0.142258, -0.102856, -0.0805411, -0.0655701, -0.0510923, -0.0356495, -0.0243929, -0.0181552, -0.00988532, -0.00449452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.199157, 0.222556, 0.230445, 0.238612, 0.253726, 0.264902, 0.287253, 0.307528, 0.337632, 0.345903, 0.362443, 0.383038, 0.420079, 0.434718, 0.446454, 0.458629, 0.478101, 0.499789, 0.581353, 0.61504, 0.631295, 0.656379, 0.689825, 0.713111, 0.72946, 0.762159, 0.795257, 0.811492, 0.843964, 0.908906, 0.976981, 1.04506, 1.11313");
-            values ( \
-              "-0.180135, -0.2001, -0.239244, -0.272757, -0.323136, -0.351047, -0.393383, -0.417691, -0.439166, -0.441962, -0.446186, -0.446971, -0.440618, -0.434623, -0.428637, -0.420966, -0.404692, -0.37795, -0.223276, -0.169145, -0.147142, -0.117938, -0.0868832, -0.0700094, -0.0600386, -0.0439301, -0.0319453, -0.0272999, -0.019876, -0.0103077, -0.00514643, -0.00256068, -0.00127463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.197905, 0.253759, 0.289401, 0.313998, 0.339824, 0.364315, 0.393174, 0.431839, 0.469659, 0.528396, 0.583448, 0.694053, 0.738168, 0.798295, 0.982416, 1.05049, 1.14119, 1.20926, 1.27494, 1.32082, 1.43651, 1.53418, 1.60226, 1.80648, 1.84895");
-            values ( \
-              "-0.149759, -0.332524, -0.411899, -0.44351, -0.463618, -0.47598, -0.482936, -0.486568, -0.484735, -0.478524, -0.469021, -0.440667, -0.423326, -0.387776, -0.222911, -0.172756, -0.120404, -0.0909745, -0.0690025, -0.0566076, -0.0342097, -0.0223538, -0.0164442, -0.00666474, -0.00600725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.274255, 0.292104, 0.300759, 0.316761, 0.32285, 0.328412, 0.333678, 0.338836, 0.343986, 0.357303, 0.362747, 0.369487, 0.3749, 0.382987");
-            values ( \
-              "-0.000186116, -0.0297667, -0.0609626, -0.101602, -0.113507, -0.121985, -0.127367, -0.127146, -0.113222, -0.0382485, -0.0208643, -0.00939157, -0.00487165, -0.00183105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.279956, 0.293323, 0.298723, 0.31128, 0.328029, 0.336155, 0.343664, 0.350882, 0.358089, 0.365263, 0.376214, 0.382055, 0.388411, 0.396933, 0.402577, 0.406987, 0.415806, 0.430359, 0.431366");
-            values ( \
-              "-0.0184656, -0.0485465, -0.0677872, -0.121329, -0.17229, -0.189108, -0.201389, -0.206402, -0.202343, -0.175116, -0.1034, -0.0714675, -0.0460612, -0.0245397, -0.0160094, -0.0114048, -0.00565313, -0.00169207, -0.00165451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.283419, 0.295501, 0.31747, 0.333831, 0.347235, 0.359323, 0.370723, 0.381874, 0.393015, 0.396437, 0.400087, 0.428867, 0.440842, 0.452946, 0.464108, 0.470467, 0.483184, 0.49811");
-            values ( \
-              "-0.0396574, -0.0693798, -0.170974, -0.22889, -0.262301, -0.282742, -0.294479, -0.296692, -0.282574, -0.273212, -0.259227, -0.110126, -0.0696606, -0.0428048, -0.0268837, -0.0205574, -0.0118381, -0.00682681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.283254, 0.30252, 0.317436, 0.332458, 0.343341, 0.355778, 0.365997, 0.38074, 0.400742, 0.420183, 0.439606, 0.460026, 0.473277, 0.503179, 0.521522, 0.538742, 0.551237, 0.573859, 0.591238, 0.60949, 0.623499, 0.651516, 0.689368");
-            values ( \
-              "-0.0430286, -0.110203, -0.187746, -0.251037, -0.286091, -0.317452, -0.337504, -0.359255, -0.377041, -0.382942, -0.37574, -0.346356, -0.306739, -0.196786, -0.142249, -0.102852, -0.0805373, -0.0510906, -0.0356479, -0.0243921, -0.018155, -0.00988504, -0.00472385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.298545, 0.329379, 0.346817, 0.361346, 0.383558, 0.403898, 0.432793, 0.442246, 0.461027, 0.479377, 0.516403, 0.524087, 0.532018, 0.54788, 0.554865, 0.568835, 0.596138, 0.678877, 0.713794, 0.726849, 0.746891, 0.773614, 0.796878, 0.823421, 0.858812, 0.88325, 0.908324, 0.941755, 1.00862, 1.07669, 1.14477, 1.21284");
-            values ( \
-              "-0.184574, -0.252525, -0.314763, -0.352044, -0.393916, -0.417946, -0.438822, -0.441953, -0.446624, -0.446898, -0.440682, -0.43758, -0.434159, -0.425617, -0.421024, -0.409967, -0.377952, -0.221251, -0.165728, -0.148164, -0.124253, -0.0975923, -0.0787761, -0.0614587, -0.0438097, -0.0346307, -0.0271828, -0.0195971, -0.00995476, -0.00496581, -0.00247398, -0.00122807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.298795, 0.365397, 0.410475, 0.465133, 0.489597, 0.528184, 0.566066, 0.643164, 0.722396, 0.834594, 0.894718, 1.1421, 1.25075, 1.37682, 1.50083, 1.67531, 1.79452");
-            values ( \
-              "-0.198776, -0.372268, -0.443263, -0.477228, -0.483612, -0.48608, -0.485256, -0.475675, -0.459911, -0.422921, -0.388145, -0.175752, -0.114279, -0.0672667, -0.039317, -0.0183516, -0.0118944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.471115, 0.483861, 0.491362, 0.497362, 0.509196, 0.520079, 0.532978, 0.538699, 0.54427, 0.550048, 0.559099, 0.564481, 0.569204, 0.576477, 0.582345, 0.591526, 0.60253, 0.616492, 0.667698");
-            values ( \
-              "-0.00618684, -0.0143859, -0.0244561, -0.0375448, -0.0683714, -0.0908352, -0.111596, -0.117272, -0.118479, -0.106204, -0.0574026, -0.0339071, -0.0202997, -0.0088349, -0.00438971, -0.00148099, -0.000544249, -0.000287301, -9.48348e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.470471, 0.48429, 0.488415, 0.491657, 0.495979, 0.498942, 0.50894, 0.517085, 0.522133, 0.53236, 0.541206, 0.549305, 0.555851, 0.559257, 0.563798, 0.566015, 0.568973, 0.572627, 0.583744, 0.590428, 0.593687, 0.597787, 0.603254, 0.605757, 0.608469, 0.612084, 0.619316, 0.632292");
-            values ( \
-              "-0.00534116, -0.0239982, -0.0302226, -0.0367576, -0.0468898, -0.0556289, -0.0913866, -0.11624, -0.130799, -0.156779, -0.175183, -0.187573, -0.193767, -0.195137, -0.19313, -0.18958, -0.181993, -0.166524, -0.0983067, -0.0647057, -0.0519514, -0.0390031, -0.0261182, -0.0217242, -0.0177536, -0.0134975, -0.00773508, -0.00280633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.482711, 0.494756, 0.505466, 0.519223, 0.526824, 0.538264, 0.550103, 0.565429, 0.574921, 0.582173, 0.588838, 0.600297, 0.606461, 0.613387, 0.630045, 0.636524, 0.648571, 0.655026, 0.66073, 0.671947, 0.678338, 0.69112, 0.71465, 0.742128");
-            values ( \
-              "-0.052869, -0.0565375, -0.0926798, -0.149281, -0.17576, -0.211211, -0.240516, -0.268882, -0.280858, -0.28659, -0.288193, -0.276785, -0.259223, -0.226539, -0.137536, -0.109464, -0.0693028, -0.0536878, -0.0426118, -0.0267603, -0.0204517, -0.011776, -0.00394317, -0.00109466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.486581, 0.50326, 0.520254, 0.538449, 0.550113, 0.565191, 0.574716, 0.587612, 0.608159, 0.627887, 0.64756, 0.668094, 0.673289, 0.680216, 0.711239, 0.729754, 0.747045, 0.759512, 0.769769, 0.782089, 0.799493, 0.817804, 0.831863, 0.85998, 0.910662, 0.970762");
-            values ( \
-              "-0.082556, -0.0944465, -0.166907, -0.237803, -0.272038, -0.308502, -0.327665, -0.348311, -0.369811, -0.377949, -0.372546, -0.344519, -0.331572, -0.309815, -0.196914, -0.142048, -0.10263, -0.080432, -0.0655917, -0.0510948, -0.0356418, -0.024364, -0.0181184, -0.00984468, -0.00296578, -0.000659122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.490547, 0.515295, 0.539503, 0.549906, 0.565959, 0.582877, 0.598135, 0.61095, 0.63153, 0.649819, 0.687287, 0.724747, 0.76212, 0.777286, 0.790217, 0.804327, 0.825707, 0.876607, 0.906545, 0.926487, 0.962417, 0.994897, 1.03135, 1.05519, 1.10104, 1.12634, 1.17694, 1.24502, 1.31309, 1.44924");
-            values ( \
-              "-0.115305, -0.152077, -0.254908, -0.288789, -0.332275, -0.368876, -0.393993, -0.410024, -0.428145, -0.437924, -0.445219, -0.439329, -0.421233, -0.409403, -0.396283, -0.377695, -0.340454, -0.239681, -0.188471, -0.159276, -0.116201, -0.0863406, -0.0614832, -0.0490272, -0.0315199, -0.0246561, -0.0149159, -0.00746359, -0.00371648, -0.000917504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.51153, 0.554954, 0.580502, 0.598086, 0.617114, 0.651131, 0.684655, 0.697103, 0.722, 0.767266, 0.812224, 0.85089, 0.918965, 0.952076, 0.996224, 1.04631, 1.10246, 1.17053, 1.24366, 1.28653, 1.3546, 1.39114, 1.44544, 1.51351, 1.57896, 1.62468, 1.69276, 1.74056, 1.78265, 1.83878, 1.90686, 1.97493, 2.11108, 2.24723, 2.45146");
-            values ( \
-              "-0.300119, -0.310894, -0.376983, -0.409703, -0.43548, -0.464524, -0.478541, -0.481384, -0.484518, -0.484798, -0.480584, -0.475134, -0.462163, -0.454115, -0.441063, -0.421263, -0.38789, -0.328398, -0.259669, -0.223028, -0.172686, -0.149694, -0.120412, -0.090879, -0.0689976, -0.0567183, -0.0422358, -0.0342633, -0.028503, -0.0222755, -0.0164444, -0.0121616, -0.00661951, -0.00359841, -0.00142195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.878713, 0.897515, 0.905604, 0.909567, 0.917492, 0.933129, 0.950763, 0.964686, 0.971123, 0.977548, 0.989504, 0.995098, 1.00155, 1.00603, 1.012, 1.02176, 1.03339, 1.04816, 1.10397");
-            values ( \
-              "-0.00249003, -0.0114598, -0.0176888, -0.0219955, -0.0340288, -0.0619165, -0.0866209, -0.100939, -0.103152, -0.0959796, -0.0450911, -0.0265984, -0.0135257, -0.00831213, -0.00424239, -0.00140855, -0.000524255, -0.000284731, -0.000100378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.881753, 0.903132, 0.915743, 0.944363, 0.966815, 0.976099, 0.984767, 0.993217, 1.00165, 1.00298, 1.01597, 1.0244, 1.0304, 1.03562, 1.04127, 1.04566, 1.05443, 1.06963, 1.08779, 1.14333");
-            values ( \
-              "-0.00519489, -0.0244236, -0.0431272, -0.10916, -0.151422, -0.164966, -0.173608, -0.174735, -0.156172, -0.151171, -0.0831293, -0.0494085, -0.0329732, -0.0228041, -0.0151964, -0.0110258, -0.00568737, -0.0017105, -0.000524702, -0.000141898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.834484, 0.891855, 0.900699, 0.915315, 0.923638, 0.953235, 0.963004, 0.979664, 0.994024, 1.00716, 1.01974, 1.0323, 1.04482, 1.06194, 1.07367, 1.08332, 1.09629, 1.10564, 1.1107, 1.12082, 1.14105, 1.14256");
-            values ( \
-              "-0.000516113, -0.013786, -0.0255774, -0.0517426, -0.0718117, -0.154509, -0.179109, -0.216512, -0.241719, -0.258383, -0.266135, -0.259224, -0.222156, -0.139468, -0.0933431, -0.0651283, -0.0390706, -0.0267322, -0.0217521, -0.0142108, -0.00582985, -0.00559442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.891819, 0.917679, 0.975621, 0.993059, 1.01767, 1.03975, 1.06068, 1.08133, 1.10403, 1.11231, 1.16417, 1.19466, 1.21605, 1.24471, 1.25991, 1.28225, 1.29863");
-            values ( \
-              "-0.0470374, -0.0671353, -0.237571, -0.278201, -0.321859, -0.346177, -0.358548, -0.356871, -0.331325, -0.311076, -0.143722, -0.0810004, -0.0530015, -0.0300039, -0.0218736, -0.01365, -0.0104589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.907079, 0.937801, 0.976219, 0.995089, 1.02006, 1.04253, 1.08264, 1.10963, 1.12227, 1.14148, 1.1606, 1.19908, 1.21582, 1.23128, 1.2545, 1.3329, 1.35969, 1.38883, 1.40941, 1.43605, 1.45802, 1.48453, 1.52201, 1.56162, 1.59206, 1.65293, 1.72101, 1.85716");
-            values ( \
-              "-0.115497, -0.131183, -0.255641, -0.304328, -0.354347, -0.385856, -0.420095, -0.429573, -0.431459, -0.431645, -0.428692, -0.41317, -0.40114, -0.386337, -0.353845, -0.206735, -0.165519, -0.12866, -0.107028, -0.0838629, -0.068385, -0.053224, -0.0371062, -0.0253177, -0.0187996, -0.0101883, -0.00508401, -0.00125774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.906911, 0.957387, 0.984421, 0.999141, 1.02697, 1.04899, 1.09066, 1.13232, 1.17267, 1.21063, 1.25094, 1.28882, 1.32675, 1.36852, 1.43659, 1.48118, 1.54106, 1.60914, 1.68232, 1.7252, 1.79328, 1.82981, 1.88408, 1.95215, 2.01768, 2.06346, 2.13153, 2.17927, 2.22129, 2.27731, 2.34538, 2.41346, 2.54961, 2.68576, 2.88998");
-            values ( \
-              "-0.0925889, -0.20116, -0.286724, -0.324685, -0.380463, -0.412015, -0.450106, -0.468228, -0.474743, -0.475279, -0.474189, -0.471478, -0.466332, -0.458338, -0.439952, -0.422666, -0.387742, -0.328374, -0.259633, -0.222995, -0.17267, -0.149688, -0.120423, -0.0908892, -0.0689832, -0.0566937, -0.0422168, -0.0342586, -0.0285094, -0.0222899, -0.0164565, -0.0121693, -0.00662333, -0.0036001, -0.00142378" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00920993, 0.00922179, 0.00923671, 0.00924874, 0.00925559, 0.00925943", \
-            "0.0107427, 0.0107527, 0.0107671, 0.0107824, 0.0107909, 0.0107954", \
-            "0.0116695, 0.0116765, 0.011688, 0.0117022, 0.011714, 0.0117193", \
-            "0.0122331, 0.0122368, 0.0122435, 0.0122534, 0.0122638, 0.0122706", \
-            "0.0125391, 0.0125405, 0.0125432, 0.012548, 0.0125544, 0.0125606", \
-            "0.0127086, 0.0127091, 0.01271, 0.0127117, 0.0127146, 0.0127183" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0129246, 0.0129484, 0.0129809, 0.0130115, 0.0130326, 0.013045", \
-            "0.0144664, 0.0144886, 0.0145222, 0.0145593, 0.0145914, 0.0146121", \
-            "0.0153946, 0.0154066, 0.0154284, 0.0154592, 0.0154898, 0.0155152", \
-            "0.0159134, 0.0159083, 0.0159059, 0.0159126, 0.0159288, 0.0159476", \
-            "0.0164216, 0.0164142, 0.0164004, 0.0163813, 0.0163671, 0.0163636", \
-            "0.0169449, 0.0169787, 0.0170056, 0.0170177, 0.0170136, 0.0170027" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.226761, 0.267224, 0.350996, 0.526027, 0.898778, 1.709", \
-            "0.23136, 0.271918, 0.355904, 0.531318, 0.904136, 1.71457", \
-            "0.24325, 0.283764, 0.367838, 0.54346, 0.917123, 1.72722", \
-            "0.27257, 0.312862, 0.396613, 0.571782, 0.94536, 1.75572", \
-            "0.338281, 0.379567, 0.463656, 0.637769, 1.00972, 1.81966", \
-            "0.450739, 0.501279, 0.601181, 0.789208, 1.16059, 1.96649" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0344816, 0.0494159, 0.0824583, 0.158243, 0.33272, 0.7289", \
-            "0.0344739, 0.0493882, 0.0824317, 0.158239, 0.332716, 0.728948", \
-            "0.0344836, 0.0494268, 0.0824303, 0.158239, 0.332705, 0.728929", \
-            "0.0344955, 0.0494061, 0.0824775, 0.158183, 0.332683, 0.72875", \
-            "0.0347409, 0.0495591, 0.0824706, 0.15824, 0.332748, 0.728903", \
-            "0.0363493, 0.0509892, 0.0833644, 0.158354, 0.3327, 0.728905" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.202407, 0.23833, 0.311704, 0.459475, 0.763247, 1.40492", \
-            "0.207075, 0.242993, 0.316524, 0.464504, 0.767916, 1.41054", \
-            "0.217455, 0.25345, 0.32706, 0.475422, 0.779122, 1.42152", \
-            "0.240551, 0.27687, 0.350648, 0.498999, 0.802793, 1.44508", \
-            "0.279403, 0.321161, 0.40171, 0.553069, 0.856519, 1.49887", \
-            "0.318575, 0.369994, 0.470341, 0.652469, 0.975531, 1.61834" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0329238, 0.0474683, 0.0754523, 0.131023, 0.251022, 0.524365", \
-            "0.0329171, 0.0474767, 0.0754896, 0.131088, 0.250763, 0.524549", \
-            "0.032922, 0.0474774, 0.0754861, 0.130926, 0.250969, 0.524542", \
-            "0.032938, 0.0474771, 0.0754528, 0.13087, 0.250946, 0.524561", \
-            "0.0331539, 0.0478038, 0.0755387, 0.130995, 0.250939, 0.524356", \
-            "0.0342063, 0.0490059, 0.0767902, 0.131592, 0.250993, 0.52454" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.195404, 0.20413, 0.213342, 0.221167, 0.228998, 0.234669, 0.238264, 0.243475, 0.248687, 0.25832, 0.265673, 0.27267, 0.280973, 0.291288, 0.299264, 0.305674, 0.318496, 0.327449");
-            values ( \
-              "0.00751772, 0.03372, 0.0702157, 0.0966706, 0.115779, 0.123901, 0.126232, 0.125327, 0.114975, 0.0684521, 0.0435025, 0.0280141, 0.0163634, 0.00836217, 0.00510968, 0.00348572, 0.00167999, 0.00137996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.226582, 0.235092, 0.250257, 0.263393, 0.271112, 0.278583, 0.28604, 0.289762, 0.319311, 0.328091, 0.34055, 0.354777, 0.371438, 0.381499");
-            values ( \
-              "0.0604606, 0.0725555, 0.144395, 0.184347, 0.195552, 0.198552, 0.192437, 0.184173, 0.0673578, 0.0464207, 0.0267299, 0.0140036, 0.00662079, 0.00458321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.277589, 0.289321, 0.308588, 0.319753, 0.324824, 0.334965, 0.343809, 0.35022, 0.362448, 0.375203, 0.388129, 0.409982, 0.425689, 0.447783, 0.469352, 0.4928, 0.517704, 0.546166, 0.55382");
-            values ( \
-              "0.0323657, 0.0800165, 0.178763, 0.223558, 0.238634, 0.259455, 0.268288, 0.270328, 0.266201, 0.249793, 0.217409, 0.146726, 0.104758, 0.0621775, 0.035948, 0.0193181, 0.00987946, 0.00441511, 0.0038246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.400841, 0.422187, 0.442773, 0.45803, 0.468423, 0.489208, 0.49578, 0.514031, 0.533007, 0.54638, 0.56249, 0.587944, 0.624643, 0.656315, 0.693269, 0.714802, 0.7422, 0.758404, 0.787761, 0.82121, 0.847959, 0.901456, 0.940479");
-            values ( \
-              "0.121309, 0.164032, 0.253569, 0.296792, 0.313772, 0.328003, 0.328045, 0.322432, 0.31044, 0.298922, 0.281265, 0.24275, 0.177951, 0.128665, 0.0840105, 0.0642406, 0.0451454, 0.0363613, 0.0243682, 0.0153697, 0.0105017, 0.00479208, 0.00307854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.635757, 0.665122, 0.704225, 0.720575, 0.740585, 0.764826, 0.774327, 0.786994, 0.813531, 0.848821, 0.884145, 0.910246, 0.960664, 1.00198, 1.12525, 1.15794, 1.20571, 1.24487, 1.27525, 1.31644, 1.35052, 1.38912, 1.44377, 1.49992, 1.54284, 1.62867, 1.7388, 1.84893, 1.95907");
-            values ( \
-              "0.0847186, 0.140644, 0.281375, 0.321624, 0.350683, 0.363915, 0.365045, 0.364628, 0.359316, 0.347794, 0.333849, 0.322439, 0.296015, 0.267115, 0.165165, 0.140762, 0.109248, 0.0876557, 0.073328, 0.0570877, 0.0461816, 0.0361117, 0.0252773, 0.0174708, 0.0131146, 0.00726503, 0.00332936, 0.00152495, 0.000695964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.18103, 1.24498, 1.27893, 1.30566, 1.3224, 1.34472, 1.37723, 1.40902, 1.45638, 1.50801, 1.59998, 1.72047, 1.80953, 1.89578, 1.97137, 2.18324, 2.24337, 2.34911, 2.40833, 2.49652, 2.63203, 2.69354, 2.80368, 2.93032, 2.99708, 3.10721, 3.21735, 3.43761, 3.65787, 3.98827");
-            values ( \
-              "0.213131, 0.267353, 0.335851, 0.364674, 0.37389, 0.380273, 0.382349, 0.380591, 0.375068, 0.367595, 0.352081, 0.328686, 0.307777, 0.281885, 0.254699, 0.17298, 0.151446, 0.117578, 0.101135, 0.0800199, 0.0547745, 0.0459091, 0.0331356, 0.0226487, 0.0185229, 0.0131768, 0.00939468, 0.0047376, 0.0023874, 0.000830858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.213486, 0.223034, 0.231906, 0.239729, 0.247628, 0.252815, 0.25682, 0.260751, 0.26455, 0.267465, 0.276826, 0.284158, 0.291519, 0.299326, 0.309754, 0.317977, 0.324614, 0.337886, 0.345548");
-            values ( \
-              "0.00530626, 0.0349592, 0.0702824, 0.0967114, 0.115893, 0.123511, 0.126283, 0.126012, 0.121713, 0.113643, 0.0687837, 0.043744, 0.0275718, 0.016493, 0.00832104, 0.00497304, 0.003493, 0.00151723, 0.00127468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.24521, 0.254432, 0.263329, 0.270003, 0.273741, 0.281217, 0.284266, 0.289951, 0.293187, 0.297397, 0.30482, 0.307864, 0.310292, 0.312992, 0.324958, 0.328542, 0.335711, 0.340848, 0.347995, 0.353705, 0.35855, 0.364304, 0.372556, 0.382051, 0.389488, 0.404361, 0.428884, 0.45872");
-            values ( \
-              "0.0555376, 0.075023, 0.119263, 0.147842, 0.161194, 0.182059, 0.187997, 0.195453, 0.197616, 0.198251, 0.192448, 0.186009, 0.17877, 0.168307, 0.113111, 0.098741, 0.0741754, 0.0601647, 0.0443175, 0.0344565, 0.0277709, 0.0214114, 0.0146941, 0.00960061, 0.00688243, 0.00352707, 0.00115057, 0.000323832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.296242, 0.308291, 0.328273, 0.338005, 0.343761, 0.355274, 0.359976, 0.366246, 0.369157, 0.374978, 0.381382, 0.393679, 0.4004, 0.408255, 0.422945, 0.441106, 0.451715, 0.460032, 0.471122, 0.485168, 0.493553, 0.508751, 0.526432, 0.540625, 0.56901, 0.591085");
-            values ( \
-              "0.0290474, 0.0803127, 0.182504, 0.221639, 0.238954, 0.26161, 0.266521, 0.269951, 0.27047, 0.269614, 0.266016, 0.250792, 0.235872, 0.21307, 0.164323, 0.113269, 0.0890345, 0.073135, 0.0556312, 0.0389404, 0.031304, 0.0208727, 0.0130257, 0.00886147, 0.0039698, 0.00245663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.410772, 0.430068, 0.452188, 0.46217, 0.476656, 0.487845, 0.508257, 0.510794, 0.515867, 0.524583, 0.533429, 0.551119, 0.568339, 0.581888, 0.607452, 0.646225, 0.676059, 0.696643, 0.712412, 0.733437, 0.76184, 0.778617, 0.807435, 0.839962, 0.865807, 0.917498, 0.955607");
-            values ( \
-              "0.0428837, 0.110052, 0.213399, 0.253578, 0.294888, 0.313876, 0.32777, 0.328045, 0.327855, 0.32598, 0.322341, 0.311438, 0.296459, 0.28117, 0.242619, 0.174283, 0.128204, 0.101574, 0.0842426, 0.0648942, 0.0449771, 0.0359898, 0.0242559, 0.0154875, 0.0107638, 0.00502293, 0.00328233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.654935, 0.684492, 0.710764, 0.727541, 0.739984, 0.761141, 0.785097, 0.792192, 0.80165, 0.817198, 0.832957, 0.864475, 0.929669, 0.9801, 1.02136, 1.14462, 1.17724, 1.2251, 1.2644, 1.29491, 1.33593, 1.36983, 1.40847, 1.46315, 1.51942, 1.56244, 1.64847, 1.7586, 1.97887");
-            values ( \
-              "0.0834496, 0.140441, 0.238103, 0.292392, 0.321456, 0.351692, 0.364093, 0.364985, 0.364983, 0.362952, 0.359199, 0.349089, 0.322426, 0.296018, 0.267152, 0.165209, 0.140848, 0.109269, 0.0876, 0.073226, 0.0570667, 0.0462148, 0.0361292, 0.0252839, 0.0174621, 0.0130992, 0.00724547, 0.00332046, 0.000694165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.18543, 1.22842, 1.27575, 1.29974, 1.32809, 1.3464, 1.36732, 1.39815, 1.42844, 1.47702, 1.62048, 1.73992, 1.83475, 1.90379, 1.99077, 2.20283, 2.36892, 2.51524, 2.65151, 2.71342, 2.82355, 2.94986, 3.12652, 3.23666, 3.45692, 3.57211");
-            values ( \
-              "0.170729, 0.174248, 0.294086, 0.337816, 0.366906, 0.375754, 0.380693, 0.382336, 0.380513, 0.374965, 0.351946, 0.328733, 0.306201, 0.285621, 0.254791, 0.172907, 0.117447, 0.08022, 0.0548066, 0.0458261, 0.0331283, 0.0226755, 0.0132139, 0.00937005, 0.00471071, 0.00348109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.25653, 0.265514, 0.274612, 0.282438, 0.290772, 0.294258, 0.299537, 0.304751, 0.309961, 0.319591, 0.326945, 0.333945, 0.342243, 0.352559, 0.366947, 0.379771, 0.386037");
-            values ( \
-              "0.0063545, 0.0340738, 0.0703317, 0.096723, 0.116763, 0.122026, 0.126359, 0.125279, 0.115077, 0.0684647, 0.0435081, 0.0280115, 0.0163654, 0.00836261, 0.00348557, 0.00167938, 0.00146941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.28413, 0.294542, 0.305925, 0.316356, 0.324865, 0.332585, 0.340058, 0.347516, 0.351212, 0.354966, 0.367873, 0.379387, 0.389078, 0.395842, 0.402138, 0.410533, 0.416367, 0.43249, 0.450917, 0.46364");
-            values ( \
-              "0.0214615, 0.0624731, 0.11892, 0.161058, 0.184268, 0.195506, 0.198512, 0.192414, 0.184231, 0.171332, 0.111986, 0.0711274, 0.0474238, 0.0352051, 0.0265908, 0.018137, 0.0139296, 0.00674489, 0.00290738, 0.00189998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.345721, 0.357958, 0.370927, 0.386414, 0.397891, 0.402695, 0.411806, 0.417218, 0.424034, 0.436778, 0.450912, 0.483725, 0.502698, 0.513818, 0.527804, 0.551385, 0.583373, 0.611873, 0.612671");
-            values ( \
-              "0.103632, 0.116377, 0.1825, 0.238933, 0.261613, 0.266606, 0.270496, 0.269732, 0.266043, 0.249897, 0.213054, 0.113348, 0.0731089, 0.0555661, 0.0389559, 0.0208823, 0.00883667, 0.00394558, 0.0038912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.453806, 0.473082, 0.495295, 0.505151, 0.519661, 0.530824, 0.551309, 0.553773, 0.5587, 0.567488, 0.576407, 0.594244, 0.611025, 0.624866, 0.650417, 0.686932, 0.718997, 0.739606, 0.755419, 0.776503, 0.80479, 0.8215, 0.850382, 0.87004, 0.908963, 0.960861, 0.998716");
-            values ( \
-              "0.0428614, 0.110264, 0.214074, 0.253609, 0.295015, 0.31386, 0.327831, 0.328023, 0.327917, 0.325975, 0.322383, 0.311297, 0.296714, 0.281211, 0.242603, 0.17808, 0.128221, 0.101631, 0.0842523, 0.0647811, 0.0450341, 0.0359945, 0.0243069, 0.0184857, 0.0106945, 0.00503476, 0.00327571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.703724, 0.728348, 0.760953, 0.768314, 0.783734, 0.803401, 0.828099, 0.837301, 0.84957, 0.874108, 0.912835, 0.973406, 1.02377, 1.0653, 1.18863, 1.22161, 1.26899, 1.30759, 1.33746, 1.37936, 1.41414, 1.45258, 1.5071, 1.56278, 1.60528, 1.69029, 1.80042, 1.91055, 2.02068");
-            values ( \
-              "0.127065, 0.141604, 0.260211, 0.2836, 0.32152, 0.350169, 0.364067, 0.365085, 0.364708, 0.360009, 0.347327, 0.322467, 0.296023, 0.266996, 0.165, 0.140395, 0.10918, 0.0878803, 0.0737477, 0.0571716, 0.046044, 0.036042, 0.0252485, 0.0175055, 0.0131782, 0.00734438, 0.00336641, 0.00154147, 0.000703953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.22889, 1.27184, 1.31921, 1.34315, 1.37161, 1.38972, 1.41041, 1.44145, 1.47194, 1.52048, 1.6638, 1.78341, 1.87612, 1.9515, 2.03428, 2.24627, 2.4123, 2.50046, 2.55895, 2.69499, 2.75678, 2.86691, 2.99332, 3.17005, 3.28018, 3.50045, 3.72071, 4.05111");
-            values ( \
-              "0.171256, 0.17403, 0.293908, 0.337594, 0.3669, 0.375666, 0.380623, 0.382334, 0.380514, 0.374964, 0.351974, 0.328731, 0.306769, 0.284238, 0.254772, 0.17292, 0.117473, 0.0937111, 0.0801718, 0.0548079, 0.0458399, 0.0331412, 0.0226782, 0.0132135, 0.00936681, 0.00470818, 0.0023573, 0.000861854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.354303, 0.361363, 0.372123, 0.379952, 0.38776, 0.391784, 0.393623, 0.397056, 0.402271, 0.407485, 0.417123, 0.424475, 0.431462, 0.435749, 0.439779, 0.443929, 0.450093, 0.458063, 0.464468, 0.47728, 0.488297");
-            values ( \
-              "0.00836109, 0.0287628, 0.0700649, 0.0965036, 0.115745, 0.122001, 0.123923, 0.126246, 0.125211, 0.115015, 0.0684234, 0.0434939, 0.0280269, 0.0212378, 0.0163571, 0.012482, 0.00836086, 0.00511111, 0.00348694, 0.00168239, 0.00107992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.380736, 0.389468, 0.403254, 0.41434, 0.417952, 0.422205, 0.429945, 0.437416, 0.444878, 0.448526, 0.452232, 0.462452, 0.467535, 0.472059, 0.477947, 0.4826, 0.488805, 0.493739, 0.498502, 0.504275, 0.51254, 0.522095, 0.529585, 0.544563, 0.562486");
-            values ( \
-              "0.0150924, 0.0521804, 0.119797, 0.163284, 0.173977, 0.183876, 0.195579, 0.198256, 0.192529, 0.184317, 0.171701, 0.123891, 0.102688, 0.0861197, 0.0678681, 0.0559103, 0.0427646, 0.0344162, 0.0278344, 0.0214484, 0.0147039, 0.00957928, 0.00685902, 0.00349092, 0.00177621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.441697, 0.457405, 0.467669, 0.471628, 0.475639, 0.483417, 0.496537, 0.508858, 0.521122, 0.533851, 0.540417, 0.547986, 0.56269, 0.57283, 0.580681, 0.591391, 0.599807, 0.611027, 0.618636, 0.624812, 0.633046, 0.648384, 0.655486, 0.666315, 0.680754, 0.709631, 0.751355, 0.802629");
-            values ( \
-              "0.0801326, 0.129578, 0.181271, 0.198459, 0.214293, 0.238752, 0.263668, 0.270741, 0.266448, 0.250059, 0.235225, 0.213078, 0.164259, 0.134516, 0.113621, 0.0891065, 0.0730224, 0.0553572, 0.0456869, 0.0390055, 0.0314815, 0.0209115, 0.0173165, 0.0129629, 0.00875801, 0.00386337, 0.00108183, 0.000206156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.550885, 0.569573, 0.592653, 0.60161, 0.616745, 0.627282, 0.648114, 0.650234, 0.662953, 0.672866, 0.692692, 0.703524, 0.717965, 0.741604, 0.79148, 0.826733, 0.856752, 0.877673, 0.899149, 0.911183, 0.935253, 0.959228, 0.995569, 1.04402, 1.09399");
-            values ( \
-              "0.0477057, 0.110306, 0.218191, 0.25369, 0.296459, 0.313898, 0.327932, 0.328106, 0.326356, 0.322357, 0.309883, 0.300608, 0.285307, 0.251267, 0.164525, 0.11291, 0.0792681, 0.0610571, 0.0461922, 0.0394747, 0.0284359, 0.020463, 0.0123069, 0.00611949, 0.00326782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.809911, 0.84476, 0.863637, 0.880168, 0.900184, 0.92452, 0.933681, 0.945894, 0.970321, 1.01186, 1.06981, 1.12001, 1.14431, 1.17209, 1.27464, 1.30282, 1.35741, 1.39929, 1.42815, 1.47391, 1.5138, 1.56699, 1.60877, 1.65479, 1.71615, 1.82628, 1.93641, 2.04654, 2.15668");
-            values ( \
-              "0.176583, 0.215951, 0.281334, 0.322144, 0.350872, 0.364132, 0.365153, 0.364742, 0.360081, 0.346388, 0.322445, 0.296152, 0.279909, 0.258862, 0.17311, 0.1515, 0.113924, 0.0902097, 0.0762985, 0.0577419, 0.0450352, 0.0320489, 0.0243363, 0.0179657, 0.0119377, 0.00545617, 0.00254111, 0.00111777, 0.000553606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.32595, 1.36868, 1.41605, 1.44111, 1.4682, 1.48804, 1.51072, 1.53998, 1.56876, 1.61732, 1.66779, 1.76766, 1.8183, 1.89579, 1.98969, 2.04642, 2.11126, 2.32734, 2.43932, 2.53115, 2.59871, 2.66972, 2.7639, 2.79184, 2.84772, 2.95786, 3.05427, 3.09731, 3.18338, 3.29352, 3.40365, 3.51378, 3.62391, 3.84418, 4.06444");
-            values ( \
-              "0.173146, 0.173971, 0.293828, 0.339223, 0.366607, 0.376127, 0.381075, 0.382256, 0.380495, 0.374957, 0.367546, 0.350711, 0.341228, 0.325369, 0.302152, 0.284826, 0.262161, 0.178728, 0.139209, 0.111165, 0.0933554, 0.0771185, 0.0593068, 0.0547716, 0.0466541, 0.0336981, 0.0252474, 0.0221638, 0.0170659, 0.0121435, 0.00864272, 0.00612229, 0.00435284, 0.00218962, 0.00110351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.570434, 0.572957, 0.575994, 0.579133, 0.588163, 0.598653, 0.602483, 0.605483, 0.608114, 0.613439, 0.618684, 0.623928, 0.633665, 0.636812, 0.640971, 0.647791, 0.652196, 0.656359, 0.66191, 0.669808, 0.675307, 0.686305, 0.702922, 0.703721");
-            values ( \
-              "0.0156536, 0.0189971, 0.024776, 0.0338555, 0.0689351, 0.102147, 0.111308, 0.117039, 0.120901, 0.125432, 0.124579, 0.114588, 0.0679452, 0.0560137, 0.0433576, 0.0282644, 0.0212627, 0.0162433, 0.0112992, 0.00683498, 0.00487051, 0.00252345, 0.0010261, 0.00101099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.601345, 0.609528, 0.623979, 0.630828, 0.639384, 0.647133, 0.654627, 0.662106, 0.665466, 0.670245, 0.683683, 0.694678, 0.701711, 0.714449, 0.72632, 0.733483, 0.744884, 0.760085, 0.774644");
-            values ( \
-              "0.0523198, 0.0651078, 0.134159, 0.160044, 0.183449, 0.194886, 0.198015, 0.19209, 0.184877, 0.16809, 0.107038, 0.069185, 0.0516369, 0.02952, 0.0172323, 0.0124744, 0.00747838, 0.00375945, 0.00223515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.656269, 0.66539, 0.685445, 0.700966, 0.712325, 0.717526, 0.726377, 0.738612, 0.751367, 0.757844, 0.79823, 0.817334, 0.828538, 0.842357, 0.865931, 0.88383, 0.898237, 0.927051, 0.932908");
-            values ( \
-              "0.0643494, 0.080126, 0.182019, 0.238611, 0.261144, 0.266578, 0.27033, 0.265973, 0.249847, 0.235318, 0.113565, 0.0730318, 0.0553888, 0.0389963, 0.0209066, 0.0129713, 0.00877125, 0.00387721, 0.00348497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.767277, 0.786164, 0.808427, 0.818234, 0.832515, 0.843907, 0.864237, 0.866856, 0.872093, 0.880728, 0.889491, 0.907017, 0.924731, 0.937952, 0.96353, 1.00229, 1.03217, 1.05272, 1.06844, 1.0894, 1.1053, 1.11794, 1.13479, 1.16354, 1.19594, 1.22167, 1.27313, 1.31589");
-            values ( \
-              "0.0458831, 0.110367, 0.21421, 0.253647, 0.294412, 0.313905, 0.327708, 0.328064, 0.327787, 0.325977, 0.322296, 0.311587, 0.29616, 0.281128, 0.242626, 0.174255, 0.128164, 0.101529, 0.0842518, 0.0650008, 0.0529831, 0.0449351, 0.0359609, 0.0242217, 0.0154844, 0.0108167, 0.00503547, 0.003091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.01476, 1.03957, 1.07589, 1.1007, 1.11222, 1.13336, 1.14231, 1.1602, 1.18787, 1.23494, 1.28459, 1.33502, 1.37629, 1.53216, 1.61932, 1.70215, 1.76339, 1.81807, 1.91736, 2.0034, 2.07288");
-            values ( \
-              "0.125441, 0.141197, 0.272969, 0.33189, 0.347409, 0.361953, 0.364213, 0.364578, 0.35939, 0.343325, 0.322482, 0.295967, 0.267152, 0.140848, 0.0875992, 0.0532204, 0.0361295, 0.0252833, 0.0130993, 0.00724481, 0.00476902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.54443, 1.60835, 1.63059, 1.65651, 1.68574, 1.71913, 1.75186, 1.78347, 1.8272, 1.88247, 1.98572, 2.09487, 2.205, 2.25768, 2.31789, 2.54241, 2.60117, 2.70891, 2.82996, 2.89648, 3.00681, 3.05381, 3.13875, 3.24888, 3.31151, 3.39436, 3.50449, 3.61463, 3.83489, 4.05516, 4.27542");
-            values ( \
-              "0.156062, 0.238816, 0.293101, 0.339921, 0.368419, 0.380708, 0.382461, 0.380612, 0.375541, 0.3676, 0.350086, 0.328741, 0.302057, 0.285989, 0.265183, 0.17861, 0.157303, 0.12188, 0.0893424, 0.0746698, 0.0547908, 0.0478351, 0.0373404, 0.0268219, 0.022216, 0.0172781, 0.0123316, 0.00874197, 0.00439368, 0.00220105, 0.00110044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.01258, 1.02135, 1.035, 1.04035, 1.04721, 1.05319, 1.05875, 1.0642, 1.06964, 1.07845, 1.08188, 1.08819, 1.09644, 1.10399, 1.10956, 1.11446, 1.12188, 1.13179, 1.14871, 1.15884");
-            values ( \
-              "0.019477, 0.028048, 0.0716867, 0.0872492, 0.104265, 0.114943, 0.120353, 0.120633, 0.111739, 0.0711391, 0.0578004, 0.0394665, 0.0234878, 0.0144885, 0.0101011, 0.00741652, 0.00471406, 0.00262945, 0.00104935, 0.000855595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.0472, 1.05778, 1.06292, 1.08059, 1.08445, 1.09216, 1.09606, 1.10153, 1.10922, 1.11693, 1.12463, 1.13931, 1.1437, 1.1502, 1.15591, 1.16038, 1.16636, 1.17169, 1.17752, 1.18599, 1.19616, 1.2042, 1.2159, 1.24118, 1.24375");
-            values ( \
-              "0.0189414, 0.044257, 0.0633842, 0.136498, 0.150132, 0.172275, 0.180439, 0.188469, 0.193, 0.188453, 0.168935, 0.103715, 0.0876114, 0.06751, 0.0533205, 0.0440205, 0.0338552, 0.0267211, 0.020553, 0.0139798, 0.00888451, 0.00620883, 0.00371323, 0.00169424, 0.00160936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.12106, 1.13272, 1.15455, 1.17075, 1.1842, 1.19673, 1.20914, 1.2159, 1.22195, 1.23614, 1.25952, 1.27903, 1.29749, 1.31313, 1.33675, 1.35447, 1.36869, 1.39713, 1.40619");
-            values ( \
-              "0.0419931, 0.070984, 0.171706, 0.231282, 0.25835, 0.267177, 0.263938, 0.257358, 0.248458, 0.212264, 0.138549, 0.0902019, 0.0579426, 0.0389556, 0.0208681, 0.0130109, 0.00886582, 0.00394801, 0.00333298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.25875, 1.285, 1.30211, 1.31253, 1.33135, 1.33811, 1.35093, 1.37181, 1.38461, 1.39709, 1.4208, 1.43957, 1.49776, 1.53597, 1.57012, 1.60468, 1.62324, 1.64942, 1.69745, 1.7391, 1.78395");
-            values ( \
-              "0.102559, 0.178587, 0.25085, 0.282772, 0.316348, 0.322056, 0.326831, 0.322999, 0.315867, 0.306725, 0.282944, 0.255871, 0.155856, 0.102608, 0.0679084, 0.0434777, 0.0339318, 0.0237145, 0.0121772, 0.00667019, 0.00391794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.5004, 1.52977, 1.56443, 1.57877, 1.59929, 1.62344, 1.63161, 1.64251, 1.66429, 1.68635, 1.71871, 1.76613, 1.79987, 1.82199, 1.86623, 1.97123, 2.0436, 2.11117, 2.1581, 2.19612, 2.25414, 2.30539, 2.3676, 2.45054, 2.56067, 2.78094");
-            values ( \
-              "0.125794, 0.164461, 0.287651, 0.321991, 0.351291, 0.364144, 0.365082, 0.364903, 0.361201, 0.354797, 0.343303, 0.323536, 0.306835, 0.293933, 0.262459, 0.174761, 0.121884, 0.0835881, 0.0631554, 0.0499407, 0.0345445, 0.024733, 0.0163969, 0.00928493, 0.00428092, 0.000901348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("2.02064, 2.07623, 2.11009, 2.13314, 2.1597, 2.17597, 2.19767, 2.23068, 2.26294, 2.31017, 2.45321, 2.5744, 2.66205, 2.75251, 2.82531, 3.03715, 3.09725, 3.20303, 3.26225, 3.35048, 3.48595, 3.54745, 3.65758, 3.78424, 3.85101, 3.96114, 4.07127, 4.29154, 4.5118, 4.8422");
-            values ( \
-              "0.152251, 0.208341, 0.293247, 0.335743, 0.364579, 0.373618, 0.379897, 0.382363, 0.380486, 0.375126, 0.352259, 0.328734, 0.308097, 0.280899, 0.254731, 0.172962, 0.151483, 0.117557, 0.101146, 0.0800227, 0.0547842, 0.0459023, 0.0331467, 0.0226569, 0.0185148, 0.013184, 0.00938726, 0.0047307, 0.00238096, 0.000836658" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00998812, 0.0100154, 0.0100482, 0.0100769, 0.0100957, 0.0101059", \
-            "0.0123183, 0.0123448, 0.0123823, 0.0124212, 0.0124504, 0.0124676", \
-            "0.0137459, 0.0137609, 0.013787, 0.0138205, 0.0138506, 0.0138704", \
-            "0.0145684, 0.0145641, 0.0145629, 0.0145697, 0.014582, 0.0145935", \
-            "0.0150343, 0.0150115, 0.0149792, 0.0149473, 0.0149277, 0.0149201", \
-            "0.0153108, 0.0152866, 0.0152456, 0.0151888, 0.0151302, 0.0150894" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0146074, 0.0146111, 0.014626, 0.0146474, 0.0146654, 0.0146764", \
-            "0.0153349, 0.0152929, 0.0152438, 0.0152022, 0.015175, 0.0151602", \
-            "0.0147816, 0.0147135, 0.0146175, 0.0145162, 0.0144371, 0.0143892", \
-            "0.0143851, 0.0142847, 0.0141455, 0.0140001, 0.0138846, 0.0138108", \
-            "0.0147036, 0.0144651, 0.0141974, 0.0139243, 0.0137227, 0.0135972", \
-            "0.01505, 0.0150835, 0.0149953, 0.0143, 0.0138659, 0.0136245" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.175151, 0.179765, 0.189399, 0.19687, 0.203091, 0.208718, 0.214014, 0.219159, 0.2243, 0.229433, 0.243806, 0.248199, 0.255662, 0.261265");
-            values ( \
-              "-0.0261085, -0.0339709, -0.0759006, -0.0986246, -0.112092, -0.12101, -0.126245, -0.128835, -0.126497, -0.112344, -0.0343929, -0.0213612, -0.00892605, -0.00470023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.192428, 0.212629, 0.21915, 0.233388, 0.24221, 0.249906, 0.256223, 0.25959, 0.264079, 0.268767, 0.272745, 0.290631, 0.29774, 0.30577, 0.312669, 0.320552, 0.323326");
-            values ( \
-              "-0.0068421, -0.114437, -0.139112, -0.174664, -0.187095, -0.195039, -0.19905, -0.199422, -0.196245, -0.185323, -0.167891, -0.064061, -0.0392639, -0.0218096, -0.0130043, -0.00707436, -0.00613058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.235475, 0.241144, 0.246788, 0.248361, 0.264704, 0.275119, 0.284653, 0.29396, 0.305575, 0.311611, 0.323684, 0.335342, 0.347004, 0.350679, 0.354474, 0.376896, 0.383311, 0.395447, 0.402224, 0.409968, 0.416397, 0.422969, 0.431733, 0.448031");
-            values ( \
-              "-0.0385521, -0.0556294, -0.0797482, -0.0837898, -0.164907, -0.198506, -0.220457, -0.237852, -0.257383, -0.265913, -0.279959, -0.284822, -0.274266, -0.265259, -0.252024, -0.136591, -0.109111, -0.0690367, -0.0528769, -0.0386118, -0.0296216, -0.0225464, -0.0155703, -0.007768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.320214, 0.355586, 0.366596, 0.378355, 0.391841, 0.416416, 0.429133, 0.448021, 0.455877, 0.466351, 0.471133, 0.480698, 0.49127, 0.511547, 0.513891, 0.523267, 0.549783, 0.573269, 0.583709, 0.597629, 0.607858, 0.61991, 0.63598, 0.647965, 0.6602, 0.676512, 0.709137, 0.744357");
-            values ( \
-              "-0.0158919, -0.160431, -0.200299, -0.232619, -0.263079, -0.311814, -0.331488, -0.352724, -0.35866, -0.36399, -0.365408, -0.366036, -0.362675, -0.339684, -0.335071, -0.310055, -0.216236, -0.144773, -0.119528, -0.0916362, -0.0750423, -0.0590469, -0.0425541, -0.0332528, -0.0258194, -0.0183329, -0.00899576, -0.00468348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.522748, 0.547115, 0.568204, 0.606406, 0.634245, 0.655344, 0.678172, 0.696866, 0.736096, 0.774728, 0.813366, 0.836644, 0.856029, 0.8948, 0.927921, 0.949728, 0.977503, 0.998578, 1.02051, 1.04979, 1.07637, 1.11181, 1.14136, 1.18133, 1.23462, 1.32211, 1.40959, 1.49708");
-            values ( \
-              "-0.126738, -0.144775, -0.205688, -0.291187, -0.346854, -0.377746, -0.401991, -0.414973, -0.428929, -0.427255, -0.412556, -0.394902, -0.372487, -0.30409, -0.240254, -0.202583, -0.160901, -0.134221, -0.110552, -0.0846673, -0.0662009, -0.0473238, -0.0356423, -0.0242353, -0.0143017, -0.00573939, -0.00229511, -0.000921442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.95401, 1.02272, 1.08182, 1.10118, 1.12699, 1.16997, 1.19023, 1.21723, 1.2567, 1.31785, 1.33579, 1.37167, 1.41656, 1.50063, 1.54011, 1.58524, 1.60321, 1.62718, 1.75396, 1.83325, 1.86474, 1.91757, 1.97794, 2.03498, 2.10276, 2.19025, 2.24662, 2.33288, 2.42037, 2.50786, 2.59534, 2.77031, 2.94528");
-            values ( \
-              "-0.228884, -0.251988, -0.362115, -0.390289, -0.4189, -0.449859, -0.458282, -0.465218, -0.469878, -0.46768, -0.465908, -0.460511, -0.452211, -0.429043, -0.413121, -0.387773, -0.374859, -0.355255, -0.240249, -0.179554, -0.159098, -0.129215, -0.101058, -0.0797465, -0.0598819, -0.0409916, -0.0320944, -0.0219928, -0.0148951, -0.010083, -0.0068044, -0.00309711, -0.00140683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.191833, 0.205505, 0.212564, 0.221637, 0.227263, 0.232559, 0.237703, 0.242837, 0.247972, 0.257715, 0.264632, 0.270196, 0.276837, 0.284426, 0.294552, 0.297407");
-            values ( \
-              "-0.00536688, -0.0661139, -0.0909675, -0.112346, -0.120823, -0.126471, -0.128648, -0.126713, -0.112172, -0.0551164, -0.0269704, -0.0143573, -0.00657468, -0.00267352, -0.000813227, -0.000787498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.210299, 0.231265, 0.237676, 0.251799, 0.260808, 0.266868, 0.275935, 0.283339, 0.287743, 0.291356, 0.309208, 0.316378, 0.324399, 0.331166, 0.3389, 0.343025");
-            values ( \
-              "-0.00222193, -0.114631, -0.138867, -0.174328, -0.187097, -0.193505, -0.199459, -0.195598, -0.184148, -0.167931, -0.0641742, -0.0391606, -0.0217563, -0.0131244, -0.00720644, -0.00577329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.253011, 0.261908, 0.266988, 0.283198, 0.294418, 0.303442, 0.312357, 0.324391, 0.330421, 0.3425, 0.354162, 0.365828, 0.369459, 0.373206, 0.378945, 0.389308, 0.402142, 0.414278, 0.42104, 0.428768, 0.435216, 0.441808, 0.450597, 0.463247");
-            values ( \
-              "-0.0336783, -0.0630782, -0.0830606, -0.162697, -0.199202, -0.219982, -0.236757, -0.257075, -0.265893, -0.279708, -0.284854, -0.274088, -0.265331, -0.252361, -0.22494, -0.168409, -0.109087, -0.0690222, -0.0529061, -0.0386522, -0.0296375, -0.0225423, -0.0155421, -0.00950704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.335436, 0.385099, 0.396199, 0.424361, 0.447953, 0.467026, 0.482227, 0.489897, 0.505237, 0.510107, 0.519845, 0.531068, 0.552371, 0.573349, 0.59609, 0.623485, 0.646231, 0.663725, 0.682115, 0.696232, 0.724467, 0.759618");
-            values ( \
-              "-0.00125314, -0.199779, -0.230533, -0.291812, -0.33159, -0.352992, -0.362838, -0.365468, -0.364946, -0.362641, -0.354953, -0.338161, -0.275111, -0.200353, -0.134737, -0.0800113, -0.0507615, -0.0354475, -0.024245, -0.0179982, -0.00981595, -0.00509216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.529802, 0.581458, 0.592877, 0.636655, 0.652847, 0.67435, 0.697215, 0.715889, 0.752816, 0.769019, 0.787537, 0.805894, 0.83037, 0.848751, 0.869758, 0.885418, 0.957449, 0.992691, 1.02857, 1.05761, 1.07708, 1.09912, 1.12416, 1.15919, 1.17345, 1.20197, 1.259, 1.34648, 1.36929");
-            values ( \
-              "-0.043085, -0.190043, -0.21864, -0.315004, -0.34575, -0.377672, -0.401727, -0.41499, -0.428344, -0.429529, -0.428165, -0.423853, -0.413239, -0.400704, -0.379261, -0.356459, -0.22109, -0.165739, -0.121542, -0.0935563, -0.0782691, -0.063782, -0.0503631, -0.035989, -0.0313895, -0.0238133, -0.0135007, -0.00542593, -0.00457423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.964572, 1.01764, 1.09502, 1.1333, 1.19625, 1.22317, 1.2693, 1.29024, 1.35534, 1.43465, 1.47911, 1.5201, 1.60208, 1.66584, 1.76021, 1.87523, 1.96908, 2.03668, 2.09518, 2.17316, 2.23859, 2.32715, 2.41463, 2.5896, 2.69203");
-            values ( \
-              "-0.194636, -0.211296, -0.352215, -0.405928, -0.453128, -0.462438, -0.469649, -0.47014, -0.465713, -0.452519, -0.441674, -0.428996, -0.389707, -0.338357, -0.251797, -0.164988, -0.113737, -0.0860151, -0.0672836, -0.0481181, -0.0362234, -0.0245963, -0.0166757, -0.00762535, -0.0051951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.231281, 0.252453, 0.256645, 0.262868, 0.26849, 0.273787, 0.278931, 0.284066, 0.289201, 0.298946, 0.305856, 0.311421, 0.318081, 0.325693, 0.335503, 0.336759");
-            values ( \
-              "-0.000164521, -0.0870136, -0.0983594, -0.112364, -0.120787, -0.126465, -0.128649, -0.126689, -0.112199, -0.0551843, -0.0269201, -0.0142996, -0.00662412, -0.00259999, -0.00090567, -0.000893819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.258541, 0.266034, 0.267296, 0.269064, 0.271337, 0.272473, 0.274245, 0.276016, 0.277197, 0.279559, 0.28243, 0.285301, 0.287305, 0.289309, 0.291313, 0.293317, 0.29662, 0.299098, 0.302239, 0.309927, 0.311334, 0.31274, 0.31621, 0.319219, 0.321072, 0.322924, 0.324776, 0.326635, 0.328052, 0.329468, 0.330413, 0.331357, 0.332775, 0.334671, 0.33988, 0.341894, 0.344299, 0.345696, 0.346449, 0.347956, 0.349702, 0.351689, 0.353861, 0.35504, 0.356219, 0.358576, 0.359755, 0.360934, 0.363977, 0.366905");
-            values ( \
-              "-0.0764699, -0.0780999, -0.0857328, -0.095738, -0.107501, -0.112928, -0.120746, -0.128039, -0.132608, -0.141046, -0.149149, -0.156618, -0.161457, -0.165987, -0.170209, -0.174122, -0.179528, -0.183149, -0.187136, -0.195294, -0.196886, -0.198616, -0.198228, -0.197578, -0.197033, -0.196378, -0.195612, -0.191353, -0.18701, -0.182055, -0.17841, -0.174492, -0.167966, -0.156541, -0.12269, -0.109991, -0.0952831, -0.0872826, -0.0834199, -0.0760292, -0.0681661, -0.0597853, -0.0510625, -0.0468776, -0.0430246, -0.0365993, -0.0336706, -0.0309312, -0.0248516, -0.01953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.294534, 0.302932, 0.308363, 0.324419, 0.33604, 0.344793, 0.352844, 0.365767, 0.371771, 0.383858, 0.395511, 0.407177, 0.410814, 0.414568, 0.420293, 0.430657, 0.44349, 0.455626, 0.46239, 0.47012, 0.476566, 0.483155, 0.49194, 0.505341");
-            values ( \
-              "-0.0343457, -0.0618754, -0.0831797, -0.162138, -0.19991, -0.219978, -0.235205, -0.257116, -0.265914, -0.279721, -0.284867, -0.274091, -0.265317, -0.25231, -0.224942, -0.168403, -0.10909, -0.0690234, -0.0529022, -0.0386451, -0.0296358, -0.0225437, -0.0155456, -0.00914993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.372419, 0.447264, 0.453897, 0.477564, 0.489823, 0.5087, 0.516516, 0.526937, 0.541482, 0.551913, 0.574531, 0.583926, 0.633896, 0.658461, 0.680314, 0.708425, 0.737789, 0.771346, 0.775825");
-            values ( \
-              "-0.00376947, -0.252262, -0.266711, -0.313099, -0.331927, -0.353029, -0.358897, -0.364189, -0.366195, -0.362792, -0.335136, -0.310027, -0.144788, -0.0912777, -0.0593145, -0.0333699, -0.0180811, -0.00867588, -0.00814358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.588201, 0.623143, 0.677843, 0.693277, 0.716042, 0.738973, 0.75757, 0.793806, 0.814909, 0.835309, 0.873961, 0.899176, 0.916696, 0.951738, 0.994573, 1.04149, 1.06518, 1.09225, 1.11865, 1.15, 1.19179, 1.21469, 1.25012, 1.29736, 1.38484, 1.47233, 1.55981");
-            values ( \
-              "-0.150574, -0.19028, -0.313789, -0.343428, -0.377582, -0.401712, -0.414942, -0.42819, -0.429443, -0.427063, -0.412344, -0.393014, -0.372432, -0.311324, -0.229409, -0.156345, -0.12739, -0.099965, -0.0785195, -0.0586262, -0.0392919, -0.0315518, -0.0224063, -0.014047, -0.00565408, -0.00224436, -0.000917429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.00594, 1.06027, 1.13683, 1.1755, 1.22448, 1.23819, 1.26562, 1.31154, 1.3316, 1.39728, 1.49824, 1.56204, 1.64347, 1.67242, 1.84136, 1.91423, 1.98091, 2.02524, 2.12897, 2.18832, 2.27183, 2.36148, 2.43059, 2.51807, 2.69304, 2.73406");
-            values ( \
-              "-0.189513, -0.212417, -0.351945, -0.406164, -0.446342, -0.45309, -0.46258, -0.469638, -0.470118, -0.465699, -0.447548, -0.428994, -0.390062, -0.368971, -0.219262, -0.166825, -0.1284, -0.107301, -0.0696623, -0.0540794, -0.0376171, -0.0254567, -0.0187634, -0.0127045, -0.00580041, -0.00526139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.326425, 0.331635, 0.338817, 0.347924, 0.354151, 0.359776, 0.365076, 0.370223, 0.375359, 0.380497, 0.390245, 0.397151, 0.402718, 0.409394, 0.417023, 0.423885");
-            values ( \
-              "-0.0263943, -0.0372453, -0.0699437, -0.0982001, -0.112387, -0.120633, -0.126494, -0.128506, -0.12673, -0.112077, -0.0550946, -0.0269856, -0.0143603, -0.00655781, -0.00264546, -0.00138677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.34418, 0.364201, 0.370654, 0.37682, 0.384686, 0.393758, 0.399698, 0.404942, 0.408886, 0.416288, 0.421032, 0.424308, 0.435372, 0.442129, 0.449361, 0.457373, 0.464013, 0.471601, 0.481911");
-            values ( \
-              "-0.00810157, -0.114575, -0.13896, -0.156003, -0.174191, -0.18708, -0.193371, -0.197584, -0.199437, -0.195593, -0.182929, -0.167932, -0.0983862, -0.0642937, -0.0390705, -0.0217168, -0.0132269, -0.00735149, -0.00367902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.389417, 0.399801, 0.416089, 0.426612, 0.43607, 0.45699, 0.463029, 0.475102, 0.486761, 0.498422, 0.502097, 0.50589, 0.528314, 0.53473, 0.546866, 0.553642, 0.561386, 0.574388, 0.583153, 0.600682, 0.626433, 0.657702");
-            values ( \
-              "-0.0429293, -0.0839708, -0.164747, -0.198667, -0.220407, -0.25733, -0.265958, -0.279908, -0.284868, -0.274216, -0.265259, -0.252034, -0.13659, -0.10911, -0.0690353, -0.0528785, -0.0386119, -0.0225471, -0.0155686, -0.00717935, -0.00214851, -0.000507595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.483504, 0.49676, 0.511929, 0.524331, 0.550703, 0.568543, 0.581509, 0.599961, 0.609048, 0.628015, 0.635807, 0.643596, 0.659174, 0.664598, 0.675359, 0.708807, 0.725677, 0.746183, 0.759877, 0.777216, 0.802691, 0.834402, 0.868228, 0.897526");
-            values ( \
-              "-0.107837, -0.11479, -0.177963, -0.217192, -0.277779, -0.312047, -0.331813, -0.352789, -0.359522, -0.366226, -0.365778, -0.362734, -0.347632, -0.338295, -0.310593, -0.193679, -0.144366, -0.0984043, -0.0753681, -0.0532917, -0.0315394, -0.0162547, -0.00774707, -0.00465991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.669376, 0.699545, 0.712447, 0.726579, 0.770044, 0.785689, 0.80804, 0.830948, 0.849575, 0.885794, 0.906978, 0.927306, 0.965956, 0.991195, 1.00869, 1.04369, 1.08664, 1.11816, 1.13352, 1.157, 1.17732, 1.20406, 1.22588, 1.25256, 1.29024, 1.33021, 1.36094, 1.42241, 1.5099, 1.59738");
-            values ( \
-              "-0.0760295, -0.143236, -0.183851, -0.219298, -0.314348, -0.344405, -0.377647, -0.401861, -0.414957, -0.428291, -0.429437, -0.427111, -0.412324, -0.392993, -0.372436, -0.311414, -0.229275, -0.177905, -0.156299, -0.127581, -0.106452, -0.0834455, -0.0681995, -0.0530419, -0.0369681, -0.0251745, -0.0186541, -0.0100754, -0.00403563, -0.00161564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.06114, 1.14686, 1.23567, 1.25278, 1.2756, 1.31941, 1.35066, 1.39233, 1.40981, 1.44477, 1.48895, 1.56824, 1.59019, 1.65372, 1.73394, 1.76642, 1.9285, 2.00473, 2.07706, 2.13529, 2.18865, 2.24965, 2.33098, 2.39917, 2.50375, 2.59123, 2.67872, 2.7662, 2.94118, 3.11615");
-            values ( \
-              "-0.0278125, -0.202495, -0.363005, -0.388053, -0.414664, -0.447762, -0.460475, -0.468659, -0.469698, -0.469519, -0.465646, -0.452581, -0.447474, -0.428988, -0.390827, -0.367079, -0.222853, -0.167544, -0.126084, -0.0994424, -0.0796701, -0.0615848, -0.0433379, -0.0322321, -0.020359, -0.013791, -0.00932491, -0.00629957, -0.00286925, -0.00130568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.514513, 0.519668, 0.527783, 0.537285, 0.543597, 0.550282, 0.5546, 0.559772, 0.564919, 0.567776, 0.58399, 0.591464, 0.596907, 0.601458, 0.610561, 0.614215");
-            values ( \
-              "-0.0235392, -0.0318091, -0.067288, -0.0966671, -0.111118, -0.121187, -0.125527, -0.127844, -0.125783, -0.119683, -0.0363597, -0.0158353, -0.00846282, -0.0049697, -0.00164937, -0.00128501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.539602, 0.547444, 0.547734, 0.548316, 0.549478, 0.550354, 0.551231, 0.552107, 0.554436, 0.556298, 0.558622, 0.560946, 0.562608, 0.56427, 0.565932, 0.567594, 0.570595, 0.571596, 0.573596, 0.577597, 0.580067, 0.581006, 0.584852, 0.586424, 0.588698, 0.593248, 0.59978, 0.602884, 0.605779, 0.60764, 0.611362, 0.611578, 0.613301, 0.614778, 0.615271, 0.617339, 0.619407, 0.624101, 0.631072, 0.632778, 0.633915, 0.636189, 0.637326, 0.63919, 0.641802, 0.644456, 0.645783, 0.648437, 0.649764, 0.653482");
-            values ( \
-              "-0.0196642, -0.0553082, -0.0558017, -0.0576275, -0.0623104, -0.0714438, -0.0737724, -0.0769591, -0.0890474, -0.10007, -0.110741, -0.120556, -0.127017, -0.133062, -0.138692, -0.143906, -0.15218, -0.154755, -0.15936, -0.168013, -0.172982, -0.174691, -0.180744, -0.182924, -0.185806, -0.190837, -0.197681, -0.19729, -0.196688, -0.19618, -0.19488, -0.1942, -0.190395, -0.185872, -0.184133, -0.175061, -0.164944, -0.137477, -0.0943328, -0.0849829, -0.0793184, -0.0687619, -0.0638699, -0.0564622, -0.0466277, -0.038652, -0.0352819, -0.0292238, -0.0265357, -0.0200655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.588559, 0.610521, 0.617256, 0.628855, 0.637629, 0.64585, 0.664627, 0.676723, 0.688386, 0.700059, 0.703667, 0.707391, 0.713181, 0.723543, 0.736386, 0.748524, 0.755282, 0.763006, 0.776062, 0.784862, 0.802461, 0.82818, 0.859426");
-            values ( \
-              "-0.0161202, -0.132042, -0.162546, -0.199805, -0.219808, -0.235308, -0.265713, -0.279506, -0.284684, -0.273929, -0.265272, -0.25245, -0.224865, -0.168382, -0.10906, -0.0690079, -0.0529016, -0.0386616, -0.0225315, -0.0155321, -0.00714201, -0.0021398, -0.00050926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.671076, 0.734568, 0.736806, 0.762678, 0.774049, 0.786233, 0.80771, 0.820626, 0.828141, 0.843171, 0.848339, 0.858489, 0.869296, 0.890608, 0.911557, 0.926342, 0.934319, 0.949145, 0.961711, 0.972063, 0.984445, 1.00194, 1.02034, 1.03447, 1.06272, 1.11382, 1.17438");
-            values ( \
-              "-0.00102599, -0.231228, -0.236741, -0.292466, -0.313326, -0.332026, -0.355193, -0.363139, -0.365629, -0.365194, -0.362742, -0.354619, -0.338217, -0.275105, -0.200402, -0.155688, -0.134736, -0.10208, -0.0799921, -0.0651953, -0.050782, -0.0354364, -0.0242281, -0.0180056, -0.00979508, -0.00294251, -0.00063737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.866373, 0.925056, 0.974938, 0.990198, 1.0123, 1.03521, 1.05387, 1.08999, 1.11185, 1.1316, 1.17025, 1.19545, 1.21298, 1.24804, 1.29083, 1.33777, 1.36153, 1.38868, 1.41494, 1.44612, 1.4877, 1.51096, 1.54694, 1.59492, 1.6824, 1.76989, 1.85737");
-            values ( \
-              "-0.0347281, -0.205012, -0.315855, -0.344677, -0.377809, -0.401709, -0.415122, -0.428137, -0.429501, -0.427027, -0.412399, -0.393027, -0.37243, -0.311291, -0.229456, -0.15636, -0.127321, -0.0998295, -0.078513, -0.0587142, -0.0394399, -0.0315598, -0.0222915, -0.0138684, -0.00558236, -0.00221513, -0.000906207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.30365, 1.36289, 1.43927, 1.45909, 1.48552, 1.52838, 1.5463, 1.5702, 1.61435, 1.67249, 1.69341, 1.7742, 1.8555, 1.89696, 1.94119, 1.96401, 2.10534, 2.15316, 2.21488, 2.26222, 2.31032, 2.37468, 2.43295, 2.51063, 2.57636, 2.66534, 2.75283, 2.84032, 2.9278, 3.10277, 3.27774");
-            values ( \
-              "-0.197145, -0.222106, -0.3615, -0.39039, -0.419704, -0.450097, -0.457614, -0.464295, -0.469846, -0.468008, -0.465823, -0.452134, -0.429973, -0.413483, -0.388895, -0.372419, -0.245588, -0.206962, -0.16391, -0.136168, -0.112191, -0.0859839, -0.067324, -0.0482149, -0.0362453, -0.0245648, -0.0166564, -0.011272, -0.00761712, -0.00347055, -0.00157902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.882593, 0.908632, 0.915218, 0.921104, 0.926606, 0.931923, 0.937208, 0.94249, 0.956286, 0.962104, 0.969101, 0.974776, 0.978842");
-            values ( \
-              "-0.00890003, -0.0922885, -0.106854, -0.115815, -0.122127, -0.124756, -0.123442, -0.109771, -0.0368482, -0.0195764, -0.00881747, -0.00450326, -0.00319004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.914228, 0.927311, 0.946939, 0.95817, 0.96867, 0.974348, 0.984528, 0.989386, 0.993713, 1.00133, 1.01863, 1.02517, 1.03583, 1.04428");
-            values ( \
-              "-0.0197009, -0.0486138, -0.131027, -0.160791, -0.178742, -0.185613, -0.194001, -0.19476, -0.191203, -0.168404, -0.0692323, -0.0447038, -0.0206156, -0.0110433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.983388, 0.996971, 0.99994, 1.0049, 1.01759, 1.0302, 1.03901, 1.04551, 1.05133, 1.05354, 1.0667, 1.07899, 1.08622, 1.09083, 1.10004, 1.10268, 1.10794, 1.11578, 1.12607, 1.13264, 1.1392, 1.14793, 1.15137, 1.15789, 1.16366, 1.17179, 1.17506, 1.18159, 1.19465, 1.19864");
-            values ( \
-              "-0.0272698, -0.0637475, -0.0729535, -0.0943299, -0.152602, -0.192662, -0.212374, -0.22588, -0.235493, -0.239859, -0.260708, -0.275169, -0.280121, -0.281031, -0.275454, -0.27101, -0.25789, -0.2237, -0.168477, -0.136098, -0.108302, -0.0782372, -0.0685093, -0.0530794, -0.0420426, -0.0301236, -0.0262875, -0.0200482, -0.0114101, -0.0101075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.10378, 1.15895, 1.17973, 1.20049, 1.21001, 1.22306, 1.2387, 1.24672, 1.26037, 1.27055, 1.28063, 1.30098, 1.30328, 1.31246, 1.3538, 1.36587, 1.3816, 1.39417, 1.40443, 1.41684, 1.43436, 1.45284, 1.46703, 1.49541, 1.5465, 1.6071");
-            values ( \
-              "-0.00570882, -0.208093, -0.257264, -0.300078, -0.316648, -0.335583, -0.35198, -0.357889, -0.363859, -0.364622, -0.361483, -0.338861, -0.334401, -0.310273, -0.169508, -0.136752, -0.101938, -0.0798862, -0.0652399, -0.0508059, -0.03543, -0.0241882, -0.017965, -0.0097364, -0.00292284, -0.000649928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.31785, 1.37492, 1.42661, 1.44203, 1.46411, 1.48704, 1.5057, 1.54187, 1.5635, 1.58345, 1.62211, 1.64726, 1.66484, 1.70001, 1.74253, 1.78956, 1.81378, 1.84145, 1.86686, 1.89701, 1.93721, 1.96271, 2.00206, 2.05453, 2.14201, 2.2295, 2.31698");
-            values ( \
-              "-0.0334753, -0.200053, -0.315164, -0.34443, -0.377473, -0.401542, -0.414906, -0.428081, -0.429404, -0.426993, -0.412339, -0.393055, -0.372416, -0.311078, -0.229753, -0.156462, -0.126893, -0.0990088, -0.078478, -0.0592607, -0.0403563, -0.0316079, -0.0216029, -0.0128273, -0.00516099, -0.00204651, -0.000838198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.75474, 1.80577, 1.88031, 1.92947, 1.97547, 2.00915, 2.05405, 2.09227, 2.1458, 2.22505, 2.27162, 2.31057, 2.38845, 2.42784, 2.55853, 2.66763, 2.7626, 2.82711, 2.88571, 2.96384, 3.02912, 3.11746, 3.20495, 3.37992, 3.46163");
-            values ( \
-              "-0.197128, -0.207343, -0.342873, -0.411862, -0.447565, -0.461124, -0.469173, -0.469882, -0.465759, -0.452573, -0.441117, -0.428995, -0.392304, -0.363297, -0.245037, -0.163776, -0.112309, -0.0860275, -0.0672628, -0.048071, -0.0362129, -0.0246126, -0.0166854, -0.00762935, -0.00621687" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0094806, 0.00949921, 0.00952175, 0.00954168, 0.00955497, 0.00956228", \
-            "0.0110687, 0.0110812, 0.0110992, 0.0111178, 0.0111321, 0.0111407", \
-            "0.0120107, 0.0120153, 0.012024, 0.0120359, 0.0120469, 0.0120543", \
-            "0.012579, 0.0125774, 0.0125768, 0.0125782, 0.0125819, 0.0125858", \
-            "0.01288, 0.012876, 0.012872, 0.0128651, 0.0128602, 0.0128582", \
-            "0.0130566, 0.0130511, 0.0130419, 0.0130297, 0.0130177, 0.0130093" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0130769, 0.0130878, 0.0131019, 0.0131147, 0.0131262, 0.0131333", \
-            "0.0143952, 0.0143863, 0.0143783, 0.0143731, 0.0143698, 0.0143703", \
-            "0.0152295, 0.0151944, 0.0151513, 0.015113, 0.0150879, 0.0150743", \
-            "0.0159141, 0.0158513, 0.0157706, 0.0156938, 0.0156351, 0.0155982", \
-            "0.0165436, 0.0164299, 0.0162688, 0.0161161, 0.016006, 0.0159386", \
-            "0.0169932, 0.0168853, 0.0166993, 0.016453, 0.0162369, 0.0161039" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.239298, 0.28044, 0.364907, 0.539396, 0.903436, 1.68098", \
-            "0.243979, 0.285205, 0.369952, 0.544761, 0.909201, 1.6868", \
-            "0.255684, 0.296888, 0.381781, 0.556817, 0.921521, 1.69841", \
-            "0.284535, 0.325369, 0.409985, 0.585099, 0.949978, 1.72747", \
-            "0.34846, 0.389592, 0.474524, 0.650382, 1.01469, 1.79096", \
-            "0.457543, 0.507508, 0.605652, 0.794612, 1.16362, 1.93902" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0342001, 0.0492125, 0.0823143, 0.158362, 0.33332, 0.728834", \
-            "0.034249, 0.049206, 0.0823234, 0.158381, 0.333311, 0.728953", \
-            "0.0342257, 0.049214, 0.0823159, 0.158379, 0.333309, 0.728954", \
-            "0.0342522, 0.0492086, 0.0823356, 0.15837, 0.333308, 0.72887", \
-            "0.034321, 0.0493104, 0.0823932, 0.158399, 0.333249, 0.728935", \
-            "0.0341331, 0.0488929, 0.0820201, 0.158483, 0.333304, 0.728872" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.202407, 0.23833, 0.311704, 0.459475, 0.763247, 1.40492", \
-            "0.207075, 0.242993, 0.316524, 0.464504, 0.767916, 1.41054", \
-            "0.217455, 0.25345, 0.32706, 0.475422, 0.779122, 1.42152", \
-            "0.240551, 0.27687, 0.350648, 0.498999, 0.802793, 1.44508", \
-            "0.279403, 0.321161, 0.40171, 0.553069, 0.856519, 1.49887", \
-            "0.318575, 0.369994, 0.470341, 0.652469, 0.975531, 1.61834" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0329238, 0.0474683, 0.0754523, 0.131023, 0.251022, 0.524365", \
-            "0.0329171, 0.0474767, 0.0754896, 0.131088, 0.250763, 0.524549", \
-            "0.032922, 0.0474774, 0.0754861, 0.130926, 0.250969, 0.524542", \
-            "0.032938, 0.0474771, 0.0754528, 0.13087, 0.250946, 0.524561", \
-            "0.0331539, 0.0478038, 0.0755387, 0.130995, 0.250939, 0.524356", \
-            "0.0342063, 0.0490059, 0.0767902, 0.131592, 0.250993, 0.52454" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.208165, 0.211331, 0.214532, 0.215744, 0.21817, 0.220952, 0.226401, 0.234948, 0.237772, 0.240197, 0.245048, 0.247026, 0.24901, 0.25093, 0.254771, 0.256102, 0.258708, 0.261546, 0.268294, 0.270777, 0.273474, 0.27809, 0.282582, 0.285564, 0.289495, 0.292912, 0.297071, 0.303123, 0.306249, 0.310992, 0.317279, 0.329852, 0.339195");
-            values ( \
-              "0.0121244, 0.016458, 0.024316, 0.0280103, 0.0367164, 0.0481174, 0.0723457, 0.101418, 0.108983, 0.114341, 0.122651, 0.124789, 0.126139, 0.127093, 0.126448, 0.1255, 0.121668, 0.113643, 0.0806894, 0.0696035, 0.0588587, 0.0443957, 0.0334753, 0.0278088, 0.0214005, 0.0171105, 0.0131491, 0.00868575, 0.00709864, 0.00524523, 0.00368588, 0.00162156, 0.00115524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.235561, 0.242661, 0.249386, 0.258486, 0.264396, 0.268658, 0.27702, 0.284679, 0.292118, 0.299545, 0.30232, 0.307724, 0.319273, 0.326608, 0.332567, 0.337324, 0.343667, 0.352173, 0.357308, 0.366148, 0.376925, 0.385616, 0.402999, 0.40506");
-            values ( \
-              "0.0211797, 0.0407772, 0.0733651, 0.122468, 0.148776, 0.16452, 0.186538, 0.196934, 0.198744, 0.192193, 0.186268, 0.167971, 0.115146, 0.0870378, 0.068508, 0.0562248, 0.0427365, 0.0293271, 0.0232297, 0.0154495, 0.00944996, 0.00633492, 0.00280596, 0.00264384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.292013, 0.305295, 0.320818, 0.324166, 0.33086, 0.339081, 0.351936, 0.364169, 0.376402, 0.388681, 0.396747, 0.403436, 0.425457, 0.441547, 0.449513, 0.458024, 0.469372, 0.478739, 0.484004, 0.492927, 0.505397, 0.520549, 0.540752, 0.577138, 0.619739");
-            values ( \
-              "0.0151621, 0.0840236, 0.175006, 0.192035, 0.220084, 0.245544, 0.266774, 0.271609, 0.265427, 0.249721, 0.231537, 0.212123, 0.142694, 0.100943, 0.0841177, 0.0686559, 0.0517617, 0.0407756, 0.0355708, 0.0281164, 0.0201102, 0.013337, 0.00757848, 0.00252723, 0.000662238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.411949, 0.457499, 0.46768, 0.482117, 0.499018, 0.504755, 0.527433, 0.552492, 0.57339, 0.592264, 0.649819, 0.686934, 0.718137, 0.76151, 0.806423, 0.852869, 0.90595, 0.922334");
-            values ( \
-              "0.0536881, 0.271384, 0.298972, 0.321262, 0.328832, 0.328958, 0.320964, 0.303732, 0.283053, 0.257232, 0.159386, 0.106704, 0.0734855, 0.0419379, 0.0226979, 0.0118171, 0.00535898, 0.00454508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.661959, 0.688041, 0.699503, 0.726033, 0.74596, 0.764702, 0.772042, 0.786722, 0.814086, 0.864796, 0.914691, 0.968367, 1.02311, 1.16578, 1.24583, 1.29202, 1.3308, 1.37962, 1.4381, 1.51933, 1.57785, 1.68732, 1.73294");
-            values ( \
-              "0.192221, 0.22338, 0.273649, 0.342372, 0.361889, 0.367031, 0.367041, 0.365475, 0.358983, 0.341725, 0.321383, 0.293429, 0.254055, 0.1387, 0.0896166, 0.0681836, 0.0537564, 0.0395033, 0.0269458, 0.0157473, 0.0106079, 0.00487927, 0.00407453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.15462, 1.19387, 1.22553, 1.24972, 1.26836, 1.28441, 1.30996, 1.33863, 1.38071, 1.47956, 1.6426, 1.69214, 1.79122, 1.84779, 1.92321, 2.17231, 2.23751, 2.33977, 2.46686, 2.56534, 2.61605, 2.68879, 2.76454, 2.86936, 2.98695, 3.09642, 3.20589, 3.42483, 3.86271");
-            values ( \
-              "0.164124, 0.213739, 0.317024, 0.359797, 0.375803, 0.382619, 0.386557, 0.38619, 0.382102, 0.367639, 0.338543, 0.328451, 0.304947, 0.288248, 0.2622, 0.166731, 0.143932, 0.112178, 0.0803685, 0.0611017, 0.0529117, 0.0428737, 0.0342783, 0.0250182, 0.0175076, 0.01248, 0.00889372, 0.00449087, 0.0011422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.225061, 0.235356, 0.244905, 0.252569, 0.258726, 0.264237, 0.26947, 0.27466, 0.279848, 0.287651, 0.292498, 0.30076, 0.307936, 0.311653, 0.315745, 0.321751, 0.329378, 0.335484, 0.347695, 0.359644");
-            values ( \
-              "0.00131756, 0.0313418, 0.0714872, 0.0985077, 0.114507, 0.123302, 0.127172, 0.125562, 0.115131, 0.0768698, 0.0571803, 0.0343447, 0.0216197, 0.0169646, 0.0129614, 0.0087161, 0.00537436, 0.00368624, 0.00176907, 0.00103972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.255559, 0.287341, 0.295708, 0.303356, 0.310794, 0.31822, 0.32355, 0.340674, 0.351241, 0.362351, 0.370845, 0.38482, 0.40431, 0.418899");
-            values ( \
-              "0.0215694, 0.164427, 0.186798, 0.196793, 0.198914, 0.192063, 0.178851, 0.104, 0.0685012, 0.0427313, 0.0293214, 0.0154425, 0.00633722, 0.00336611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.309401, 0.340379, 0.349528, 0.358366, 0.371225, 0.38346, 0.395695, 0.409585, 0.460712, 0.477451, 0.49792, 0.511978, 0.524561, 0.540074, 0.560758, 0.572305");
-            values ( \
-              "0.00489943, 0.176326, 0.217669, 0.245294, 0.266827, 0.271473, 0.265512, 0.246437, 0.101237, 0.068433, 0.0408909, 0.0282946, 0.0201779, 0.0132503, 0.00742151, 0.00585269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.429195, 0.447577, 0.468832, 0.476587, 0.49101, 0.501199, 0.519014, 0.533557, 0.54654, 0.569339, 0.593068, 0.608349, 0.623728, 0.672544, 0.70954, 0.740854, 0.761427, 0.778609, 0.796646, 0.833403, 0.855565, 0.899888, 0.97111, 1.05553");
-            values ( \
-              "0.0374201, 0.123232, 0.240802, 0.270874, 0.307775, 0.320872, 0.329151, 0.326654, 0.320816, 0.305646, 0.282398, 0.261824, 0.236927, 0.15371, 0.102548, 0.0702677, 0.0540059, 0.0431119, 0.0338374, 0.020383, 0.0149314, 0.00782536, 0.00254931, 0.000628008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.679486, 0.706435, 0.719519, 0.734258, 0.746035, 0.765889, 0.784751, 0.792041, 0.806621, 0.833914, 0.859312, 0.932603, 0.963412, 0.988402, 1.03838, 1.14706, 1.22998, 1.29934, 1.37457, 1.41302, 1.46745, 1.56592, 1.6512, 1.74263");
-            values ( \
-              "0.160347, 0.215713, 0.273766, 0.319769, 0.342383, 0.361907, 0.367015, 0.36708, 0.365472, 0.359058, 0.350866, 0.322364, 0.307576, 0.293402, 0.257825, 0.167522, 0.109564, 0.073615, 0.0463295, 0.0362302, 0.025375, 0.0131841, 0.00731798, 0.00401133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.17104, 1.21391, 1.23163, 1.26247, 1.28037, 1.30431, 1.32988, 1.35864, 1.39414, 1.49039, 1.60273, 1.70741, 1.77496, 1.82983, 1.9393, 2.17445, 2.357, 2.51217, 2.62327, 2.75556, 2.86503, 2.95472, 3.11894, 3.22841, 3.44735, 3.54801");
-            values ( \
-              "0.131644, 0.214236, 0.278481, 0.350496, 0.370582, 0.382891, 0.386721, 0.386157, 0.382877, 0.369097, 0.349795, 0.329445, 0.314187, 0.29965, 0.263694, 0.173197, 0.112896, 0.0749529, 0.054858, 0.0373208, 0.0269043, 0.0205048, 0.0123788, 0.0088275, 0.00445862, 0.00369477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.268429, 0.277991, 0.287482, 0.295133, 0.3031, 0.306776, 0.31201, 0.317201, 0.32239, 0.331817, 0.339273, 0.346402, 0.354198, 0.364294, 0.37802, 0.390225, 0.39389");
-            values ( \
-              "0.00318194, 0.0319709, 0.071985, 0.0988035, 0.117865, 0.123388, 0.127027, 0.125654, 0.115012, 0.0696657, 0.0441254, 0.0281713, 0.0169628, 0.00871694, 0.00368686, 0.00177144, 0.0016346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.296739, 0.303179, 0.3058, 0.319685, 0.329859, 0.338229, 0.345879, 0.353318, 0.360745, 0.363507, 0.368924, 0.38047, 0.387801, 0.398531, 0.404885, 0.413368, 0.41849, 0.427342, 0.438141, 0.446854, 0.464279, 0.466462");
-            values ( \
-              "0.0209952, 0.0386654, 0.0491634, 0.122313, 0.164407, 0.186673, 0.19682, 0.19883, 0.192103, 0.186302, 0.167975, 0.115168, 0.0870686, 0.0562054, 0.0427104, 0.0293291, 0.0232553, 0.0154487, 0.00943841, 0.00632897, 0.00279014, 0.00262005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.357072, 0.366923, 0.382654, 0.392087, 0.400719, 0.413574, 0.425808, 0.43804, 0.451933, 0.458382, 0.465075, 0.48709, 0.503155, 0.519695, 0.531088, 0.540352, 0.554508, 0.567006, 0.582244, 0.602561, 0.63896, 0.681602");
-            values ( \
-              "0.050002, 0.0837942, 0.176227, 0.218683, 0.245382, 0.266914, 0.271464, 0.265554, 0.246439, 0.231553, 0.212113, 0.14271, 0.101012, 0.0686015, 0.0516621, 0.0408025, 0.0281584, 0.0201271, 0.013317, 0.00754028, 0.00251413, 0.000656798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.473094, 0.490676, 0.500792, 0.511037, 0.519658, 0.534185, 0.544266, 0.561945, 0.566927, 0.576889, 0.589604, 0.612407, 0.623844, 0.636043, 0.651934, 0.666796, 0.696465, 0.715514, 0.730071, 0.752555, 0.770447, 0.784294, 0.804589, 0.821489, 0.839591, 0.86532, 0.876465, 0.898755, 0.943335, 1.01459, 1.09909");
-            values ( \
-              "0.0442842, 0.123681, 0.183901, 0.23703, 0.270954, 0.308031, 0.320883, 0.329156, 0.328769, 0.326572, 0.320802, 0.305648, 0.295464, 0.282503, 0.261043, 0.236913, 0.184703, 0.153857, 0.132083, 0.102604, 0.0829848, 0.0699363, 0.0539392, 0.0432195, 0.0338923, 0.02378, 0.0203836, 0.0149046, 0.00777954, 0.00253281, 0.00062266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.722076, 0.749918, 0.762674, 0.777483, 0.789191, 0.809011, 0.827867, 0.835198, 0.849861, 0.877129, 0.902131, 0.975753, 1.00668, 1.03156, 1.08132, 1.18765, 1.27253, 1.34483, 1.4164, 1.45532, 1.51012, 1.56729, 1.61112, 1.69876, 1.79746");
-            values ( \
-              "0.151981, 0.217222, 0.273697, 0.319887, 0.342357, 0.361865, 0.367009, 0.367068, 0.365458, 0.359034, 0.350984, 0.322369, 0.307518, 0.293401, 0.257997, 0.169548, 0.109933, 0.0725862, 0.0467224, 0.03643, 0.0254564, 0.0174537, 0.0130024, 0.00709254, 0.00363155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.20328, 1.29772, 1.32245, 1.34888, 1.36931, 1.39266, 1.46974, 1.59414, 1.75194, 1.82075, 1.98185, 2.20514, 2.3753, 2.55441, 2.71734, 2.91068, 3.05253, 3.15991, 3.40695");
-            values ( \
-              "0.0761996, 0.330622, 0.367581, 0.382529, 0.385862, 0.386475, 0.37879, 0.359229, 0.329497, 0.313893, 0.264439, 0.178375, 0.120574, 0.0754852, 0.047571, 0.0267838, 0.0174325, 0.0125449, 0.00648316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.365897, 0.369826, 0.373642, 0.392047, 0.400308, 0.403703, 0.408944, 0.414138, 0.41933, 0.428769, 0.437871, 0.447416, 0.455234, 0.468852, 0.487132, 0.488922");
-            values ( \
-              "0.0118836, 0.0175907, 0.0276876, 0.098594, 0.118239, 0.123226, 0.126974, 0.125556, 0.115012, 0.0696087, 0.0398627, 0.0216282, 0.0129558, 0.00538034, 0.00177585, 0.00173268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.393337, 0.398081, 0.401787, 0.404799, 0.416361, 0.422932, 0.426546, 0.433776, 0.437166, 0.442571, 0.444337, 0.447172, 0.449978, 0.45559, 0.457485, 0.461276, 0.465583, 0.473954, 0.479714, 0.484205, 0.490375, 0.495471, 0.499396, 0.505792, 0.511435, 0.518959, 0.525367, 0.528389, 0.534432, 0.546518, 0.566178");
-            values ( \
-              "0.0209243, 0.0320958, 0.0463523, 0.0608438, 0.122348, 0.151319, 0.164378, 0.18413, 0.190351, 0.196649, 0.197752, 0.19869, 0.198434, 0.194484, 0.191583, 0.183335, 0.167977, 0.128677, 0.104667, 0.0880441, 0.0686915, 0.0556519, 0.0469813, 0.0354903, 0.0275862, 0.0195021, 0.0145845, 0.0126487, 0.00963938, 0.00545058, 0.00228578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.448958, 0.458764, 0.47916, 0.489275, 0.497475, 0.510341, 0.522579, 0.534816, 0.548703, 0.599699, 0.616713, 0.636923, 0.650844, 0.679438, 0.700631, 0.704512");
-            values ( \
-              "0.0277491, 0.0571476, 0.174479, 0.219954, 0.245125, 0.266772, 0.271403, 0.265508, 0.246429, 0.101546, 0.0682018, 0.0410142, 0.0284844, 0.0131592, 0.00725967, 0.00674415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.575374, 0.593123, 0.600569, 0.616022, 0.626605, 0.640643, 0.657641, 0.663284, 0.674505, 0.685964, 0.70953, 0.719981, 0.731926, 0.750793, 0.808347, 0.820711, 0.845438, 0.864097, 0.876742, 0.899757, 0.92001, 0.947014, 0.964925, 0.984902, 1.01154, 1.06481, 1.14116, 1.23403");
-            values ( \
-              "0.103369, 0.160066, 0.203138, 0.271216, 0.299896, 0.321112, 0.328893, 0.32887, 0.325988, 0.320898, 0.304944, 0.295683, 0.283047, 0.257229, 0.159391, 0.140402, 0.106737, 0.0857281, 0.0734207, 0.0547333, 0.0419572, 0.0290672, 0.0227084, 0.0172038, 0.0117949, 0.00533184, 0.00155899, 0.000313985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.818528, 0.846622, 0.859294, 0.874115, 0.8858, 0.90561, 0.92446, 0.931808, 0.946505, 0.973768, 0.99857, 1.07236, 1.10335, 1.12817, 1.17782, 1.28295, 1.36829, 1.4435, 1.49029, 1.54147, 1.6027, 1.6725, 1.72829, 1.83776, 1.8942");
-            values ( \
-              "0.149737, 0.217647, 0.273722, 0.319924, 0.342353, 0.361849, 0.367011, 0.367061, 0.365457, 0.35902, 0.351046, 0.322374, 0.307488, 0.293401, 0.258084, 0.170585, 0.110431, 0.0716927, 0.0538457, 0.0389726, 0.026113, 0.0164649, 0.0112884, 0.00521319, 0.00415205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.32192, 1.41166, 1.42968, 1.47059, 1.54989, 1.72043, 1.85291, 1.96238, 2.09066, 2.33043, 2.50167, 2.67321, 2.79853, 3.04669, 3.29596, 3.49764");
-            values ( \
-              "0.198425, 0.360289, 0.375717, 0.386458, 0.380996, 0.353989, 0.328452, 0.302109, 0.259791, 0.167695, 0.111869, 0.0708647, 0.049693, 0.0237833, 0.0110788, 0.00671248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.579879, 0.585905, 0.58775, 0.593362, 0.598923, 0.609642, 0.612868, 0.618411, 0.623658, 0.628858, 0.634054, 0.64352, 0.650945, 0.658027, 0.662118, 0.665868, 0.669947, 0.675938, 0.683505, 0.689555, 0.701656, 0.703953");
-            values ( \
-              "0.0113765, 0.0210811, 0.0259563, 0.0468425, 0.0709942, 0.106189, 0.113737, 0.123001, 0.126787, 0.125501, 0.114958, 0.0694994, 0.0441118, 0.02825, 0.0216603, 0.0169593, 0.0129661, 0.00872912, 0.00540169, 0.00371441, 0.00179351, 0.00165032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.60583, 0.617081, 0.629142, 0.634529, 0.641212, 0.649623, 0.657294, 0.664748, 0.67219, 0.674801, 0.677437, 0.694166, 0.698945, 0.705096, 0.714357, 0.720609, 0.726094, 0.733407, 0.740019, 0.749377, 0.761854, 0.78354, 0.788238");
-            values ( \
-              "0.00300388, 0.0492411, 0.112265, 0.137638, 0.16338, 0.186003, 0.19634, 0.198549, 0.191895, 0.186525, 0.179018, 0.105907, 0.0880972, 0.0688467, 0.0466163, 0.0353908, 0.0276975, 0.0198254, 0.0146353, 0.00953189, 0.0053325, 0.00187902, 0.00164019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.664144, 0.677864, 0.693998, 0.704306, 0.712453, 0.725347, 0.737598, 0.749849, 0.763722, 0.814035, 0.833701, 0.853832, 0.880237, 0.901962, 0.924761");
-            values ( \
-              "0.0273717, 0.0775524, 0.172561, 0.219155, 0.244401, 0.266358, 0.271225, 0.265365, 0.246405, 0.103164, 0.0650193, 0.0390696, 0.0193796, 0.0107248, 0.00573187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.781939, 0.802644, 0.816393, 0.825767, 0.831924, 0.844238, 0.856565, 0.873959, 0.879246, 0.88982, 0.90193, 0.924745, 0.936046, 0.9481, 0.965844, 1.02296, 1.05453, 1.07043, 1.08717, 1.10949, 1.12734, 1.15477, 1.17907, 1.20857, 1.2479, 1.31838, 1.40082");
-            values ( \
-              "0.0223102, 0.120216, 0.201041, 0.246752, 0.270094, 0.303136, 0.320578, 0.328955, 0.328645, 0.326284, 0.320746, 0.30562, 0.295571, 0.282804, 0.258634, 0.161559, 0.115492, 0.096203, 0.078652, 0.0593633, 0.0470821, 0.0326139, 0.0233376, 0.0154642, 0.00875742, 0.00290279, 0.000744261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.03085, 1.05182, 1.07415, 1.10069, 1.12047, 1.13912, 1.1467, 1.16187, 1.18916, 1.23487, 1.28935, 1.33449, 1.39477, 1.5453, 1.61776, 1.6504, 1.70645, 1.78342, 1.82677, 1.8848, 1.96218, 2.07165, 2.122");
-            values ( \
-              "0.148613, 0.166945, 0.273127, 0.342211, 0.36177, 0.366974, 0.367041, 0.365374, 0.358874, 0.343431, 0.321387, 0.298596, 0.256288, 0.135226, 0.0910273, 0.0752021, 0.0534106, 0.0326748, 0.0245951, 0.0167407, 0.00986653, 0.00454248, 0.00371627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.51759, 1.60908, 1.64492, 1.68522, 1.75105, 1.83293, 1.95869, 2.1339, 2.28962, 2.51475, 2.68148, 2.80367, 2.97925, 3.11062, 3.22009, 3.47627, 3.72795");
-            values ( \
-              "0.0935743, 0.330704, 0.375274, 0.386348, 0.382776, 0.371242, 0.349705, 0.313448, 0.265733, 0.179026, 0.122131, 0.0892431, 0.0548912, 0.0374533, 0.0269456, 0.0123497, 0.0062714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.01977, 1.02898, 1.03133, 1.04357, 1.05204, 1.06026, 1.06546, 1.0706, 1.07574, 1.08518, 1.09414, 1.10359, 1.1114, 1.11734, 1.12479, 1.14263, 1.14746");
-            values ( \
-              "0.00442754, 0.0240569, 0.0313403, 0.0795014, 0.107102, 0.123776, 0.128036, 0.126986, 0.116121, 0.0697922, 0.0401896, 0.0218475, 0.0130412, 0.00878996, 0.00546207, 0.00182612, 0.00151349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.05957, 1.0673, 1.08178, 1.09216, 1.09945, 1.10273, 1.10816, 1.11024, 1.11441, 1.11772, 1.12214, 1.12754, 1.13096, 1.14395, 1.15504, 1.16261, 1.16876, 1.17736, 1.18271, 1.19349, 1.19998, 1.21294, 1.23035");
-            values ( \
-              "0.0300145, 0.0460039, 0.118155, 0.16289, 0.184473, 0.191036, 0.198107, 0.199578, 0.200654, 0.199523, 0.194959, 0.182334, 0.169219, 0.109518, 0.0705567, 0.0513611, 0.0392557, 0.0267031, 0.020842, 0.0126462, 0.00943323, 0.0050762, 0.00281551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.12713, 1.13688, 1.14303, 1.16093, 1.16908, 1.17654, 1.1894, 1.20158, 1.21376, 1.22067, 1.22652, 1.23289, 1.25535, 1.27321, 1.28394, 1.29232, 1.30349, 1.31701, 1.32505, 1.34024, 1.35783, 1.37198, 1.40029, 1.40945");
-            values ( \
-              "0.0249844, 0.0542951, 0.0844975, 0.185181, 0.220726, 0.244553, 0.267717, 0.273054, 0.267132, 0.259447, 0.249618, 0.235607, 0.164643, 0.11432, 0.0896702, 0.0735785, 0.0558512, 0.0395719, 0.0320639, 0.0213017, 0.0132416, 0.00895755, 0.00394581, 0.00330597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.25927, 1.27922, 1.29317, 1.30306, 1.30887, 1.32047, 1.33365, 1.35107, 1.35634, 1.3669, 1.37904, 1.40262, 1.41312, 1.42511, 1.4437, 1.50117, 1.51314, 1.53708, 1.55875, 1.57347, 1.59969, 1.61138, 1.62933, 1.65494, 1.68794, 1.73193, 1.80305, 1.88726");
-            values ( \
-              "0.0285603, 0.119338, 0.19837, 0.245888, 0.268204, 0.299987, 0.319911, 0.328413, 0.328416, 0.325951, 0.320681, 0.304829, 0.295544, 0.282868, 0.257476, 0.159834, 0.141405, 0.108568, 0.0841576, 0.0701921, 0.0501371, 0.0429757, 0.0337705, 0.0237301, 0.0149662, 0.00788581, 0.00257304, 0.000637566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.51695, 1.54249, 1.56296, 1.57192, 1.58276, 1.60161, 1.62071, 1.62883, 1.64507, 1.67436, 1.71305, 1.7715, 1.8219, 1.84461, 1.87056, 1.97476, 1.9994, 2.04869, 2.07907, 2.11203, 2.15598, 2.2005, 2.2254, 2.27521, 2.3261, 2.3518, 2.40319, 2.50597, 2.61544, 2.72491, 2.83438");
-            values ( \
-              "0.176265, 0.210911, 0.296059, 0.320774, 0.34153, 0.360822, 0.366752, 0.3669, 0.365112, 0.35795, 0.344941, 0.321396, 0.295522, 0.280693, 0.261358, 0.174793, 0.155646, 0.120905, 0.102424, 0.0848629, 0.0652917, 0.0495957, 0.0423911, 0.0307049, 0.0219888, 0.0185578, 0.0131313, 0.00639669, 0.00292881, 0.00134576, 0.000608407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("2.02531, 2.07618, 2.08856, 2.1118, 2.13556, 2.16224, 2.19273, 2.23565, 2.33449, 2.44473, 2.54702, 2.65649, 2.7167, 2.78552, 3.02788, 3.10224, 3.19658, 3.26789, 3.36297, 3.43609, 3.49411, 3.57147, 3.68094, 3.74249, 3.87331, 3.98278, 4.09225, 4.31119, 4.74907");
-            values ( \
-              "0.265945, 0.306723, 0.335276, 0.367922, 0.381888, 0.386395, 0.386222, 0.382122, 0.367624, 0.348536, 0.328484, 0.302111, 0.283699, 0.259518, 0.166504, 0.140675, 0.111665, 0.0928546, 0.0717374, 0.0584287, 0.0494974, 0.0394957, 0.0284758, 0.0236633, 0.0158917, 0.0113317, 0.00805941, 0.00406394, 0.00102838" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00998812, 0.0100154, 0.0100482, 0.0100769, 0.0100957, 0.0101059", \
-            "0.0123183, 0.0123448, 0.0123823, 0.0124212, 0.0124504, 0.0124676", \
-            "0.0137459, 0.0137609, 0.013787, 0.0138205, 0.0138506, 0.0138704", \
-            "0.0145684, 0.0145641, 0.0145629, 0.0145697, 0.014582, 0.0145935", \
-            "0.0150343, 0.0150115, 0.0149792, 0.0149473, 0.0149277, 0.0149201", \
-            "0.0153108, 0.0152866, 0.0152456, 0.0151888, 0.0151302, 0.0150894" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0146074, 0.0146111, 0.014626, 0.0146474, 0.0146654, 0.0146764", \
-            "0.0153349, 0.0152929, 0.0152438, 0.0152022, 0.015175, 0.0151602", \
-            "0.0147816, 0.0147135, 0.0146175, 0.0145162, 0.0144371, 0.0143892", \
-            "0.0143851, 0.0142847, 0.0141455, 0.0140001, 0.0138846, 0.0138108", \
-            "0.0147036, 0.0144651, 0.0141974, 0.0139243, 0.0137227, 0.0135972", \
-            "0.01505, 0.0150835, 0.0149953, 0.0143, 0.0138659, 0.0136245" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.175151, 0.179765, 0.189399, 0.19687, 0.203091, 0.208718, 0.214014, 0.219159, 0.2243, 0.229433, 0.243806, 0.248199, 0.255662, 0.261265");
-            values ( \
-              "-0.0261085, -0.0339709, -0.0759006, -0.0986246, -0.112092, -0.12101, -0.126245, -0.128835, -0.126497, -0.112344, -0.0343929, -0.0213612, -0.00892605, -0.00470023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.192428, 0.212629, 0.21915, 0.233388, 0.24221, 0.249906, 0.256223, 0.25959, 0.264079, 0.268767, 0.272745, 0.290631, 0.29774, 0.30577, 0.312669, 0.320552, 0.323326");
-            values ( \
-              "-0.0068421, -0.114437, -0.139112, -0.174664, -0.187095, -0.195039, -0.19905, -0.199422, -0.196245, -0.185323, -0.167891, -0.064061, -0.0392639, -0.0218096, -0.0130043, -0.00707436, -0.00613058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.235475, 0.241144, 0.246788, 0.248361, 0.264704, 0.275119, 0.284653, 0.29396, 0.305575, 0.311611, 0.323684, 0.335342, 0.347004, 0.350679, 0.354474, 0.376896, 0.383311, 0.395447, 0.402224, 0.409968, 0.416397, 0.422969, 0.431733, 0.448031");
-            values ( \
-              "-0.0385521, -0.0556294, -0.0797482, -0.0837898, -0.164907, -0.198506, -0.220457, -0.237852, -0.257383, -0.265913, -0.279959, -0.284822, -0.274266, -0.265259, -0.252024, -0.136591, -0.109111, -0.0690367, -0.0528769, -0.0386118, -0.0296216, -0.0225464, -0.0155703, -0.007768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.320214, 0.355586, 0.366596, 0.378355, 0.391841, 0.416416, 0.429133, 0.448021, 0.455877, 0.466351, 0.471133, 0.480698, 0.49127, 0.511547, 0.513891, 0.523267, 0.549783, 0.573269, 0.583709, 0.597629, 0.607858, 0.61991, 0.63598, 0.647965, 0.6602, 0.676512, 0.709137, 0.744357");
-            values ( \
-              "-0.0158919, -0.160431, -0.200299, -0.232619, -0.263079, -0.311814, -0.331488, -0.352724, -0.35866, -0.36399, -0.365408, -0.366036, -0.362675, -0.339684, -0.335071, -0.310055, -0.216236, -0.144773, -0.119528, -0.0916362, -0.0750423, -0.0590469, -0.0425541, -0.0332528, -0.0258194, -0.0183329, -0.00899576, -0.00468348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.522748, 0.547115, 0.568204, 0.606406, 0.634245, 0.655344, 0.678172, 0.696866, 0.736096, 0.774728, 0.813366, 0.836644, 0.856029, 0.8948, 0.927921, 0.949728, 0.977503, 0.998578, 1.02051, 1.04979, 1.07637, 1.11181, 1.14136, 1.18133, 1.23462, 1.32211, 1.40959, 1.49708");
-            values ( \
-              "-0.126738, -0.144775, -0.205688, -0.291187, -0.346854, -0.377746, -0.401991, -0.414973, -0.428929, -0.427255, -0.412556, -0.394902, -0.372487, -0.30409, -0.240254, -0.202583, -0.160901, -0.134221, -0.110552, -0.0846673, -0.0662009, -0.0473238, -0.0356423, -0.0242353, -0.0143017, -0.00573939, -0.00229511, -0.000921442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.95401, 1.02272, 1.08182, 1.10118, 1.12699, 1.16997, 1.19023, 1.21723, 1.2567, 1.31785, 1.33579, 1.37167, 1.41656, 1.50063, 1.54011, 1.58524, 1.60321, 1.62718, 1.75396, 1.83325, 1.86474, 1.91757, 1.97794, 2.03498, 2.10276, 2.19025, 2.24662, 2.33288, 2.42037, 2.50786, 2.59534, 2.77031, 2.94528");
-            values ( \
-              "-0.228884, -0.251988, -0.362115, -0.390289, -0.4189, -0.449859, -0.458282, -0.465218, -0.469878, -0.46768, -0.465908, -0.460511, -0.452211, -0.429043, -0.413121, -0.387773, -0.374859, -0.355255, -0.240249, -0.179554, -0.159098, -0.129215, -0.101058, -0.0797465, -0.0598819, -0.0409916, -0.0320944, -0.0219928, -0.0148951, -0.010083, -0.0068044, -0.00309711, -0.00140683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.191833, 0.205505, 0.212564, 0.221637, 0.227263, 0.232559, 0.237703, 0.242837, 0.247972, 0.257715, 0.264632, 0.270196, 0.276837, 0.284426, 0.294552, 0.297407");
-            values ( \
-              "-0.00536688, -0.0661139, -0.0909675, -0.112346, -0.120823, -0.126471, -0.128648, -0.126713, -0.112172, -0.0551164, -0.0269704, -0.0143573, -0.00657468, -0.00267352, -0.000813227, -0.000787498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.210299, 0.231265, 0.237676, 0.251799, 0.260808, 0.266868, 0.275935, 0.283339, 0.287743, 0.291356, 0.309208, 0.316378, 0.324399, 0.331166, 0.3389, 0.343025");
-            values ( \
-              "-0.00222193, -0.114631, -0.138867, -0.174328, -0.187097, -0.193505, -0.199459, -0.195598, -0.184148, -0.167931, -0.0641742, -0.0391606, -0.0217563, -0.0131244, -0.00720644, -0.00577329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.253011, 0.261908, 0.266988, 0.283198, 0.294418, 0.303442, 0.312357, 0.324391, 0.330421, 0.3425, 0.354162, 0.365828, 0.369459, 0.373206, 0.378945, 0.389308, 0.402142, 0.414278, 0.42104, 0.428768, 0.435216, 0.441808, 0.450597, 0.463247");
-            values ( \
-              "-0.0336783, -0.0630782, -0.0830606, -0.162697, -0.199202, -0.219982, -0.236757, -0.257075, -0.265893, -0.279708, -0.284854, -0.274088, -0.265331, -0.252361, -0.22494, -0.168409, -0.109087, -0.0690222, -0.0529061, -0.0386522, -0.0296375, -0.0225423, -0.0155421, -0.00950704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.335436, 0.385099, 0.396199, 0.424361, 0.447953, 0.467026, 0.482227, 0.489897, 0.505237, 0.510107, 0.519845, 0.531068, 0.552371, 0.573349, 0.59609, 0.623485, 0.646231, 0.663725, 0.682115, 0.696232, 0.724467, 0.759618");
-            values ( \
-              "-0.00125314, -0.199779, -0.230533, -0.291812, -0.33159, -0.352992, -0.362838, -0.365468, -0.364946, -0.362641, -0.354953, -0.338161, -0.275111, -0.200353, -0.134737, -0.0800113, -0.0507615, -0.0354475, -0.024245, -0.0179982, -0.00981595, -0.00509216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.529802, 0.581458, 0.592877, 0.636655, 0.652847, 0.67435, 0.697215, 0.715889, 0.752816, 0.769019, 0.787537, 0.805894, 0.83037, 0.848751, 0.869758, 0.885418, 0.957449, 0.992691, 1.02857, 1.05761, 1.07708, 1.09912, 1.12416, 1.15919, 1.17345, 1.20197, 1.259, 1.34648, 1.36929");
-            values ( \
-              "-0.043085, -0.190043, -0.21864, -0.315004, -0.34575, -0.377672, -0.401727, -0.41499, -0.428344, -0.429529, -0.428165, -0.423853, -0.413239, -0.400704, -0.379261, -0.356459, -0.22109, -0.165739, -0.121542, -0.0935563, -0.0782691, -0.063782, -0.0503631, -0.035989, -0.0313895, -0.0238133, -0.0135007, -0.00542593, -0.00457423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.964572, 1.01764, 1.09502, 1.1333, 1.19625, 1.22317, 1.2693, 1.29024, 1.35534, 1.43465, 1.47911, 1.5201, 1.60208, 1.66584, 1.76021, 1.87523, 1.96908, 2.03668, 2.09518, 2.17316, 2.23859, 2.32715, 2.41463, 2.5896, 2.69203");
-            values ( \
-              "-0.194636, -0.211296, -0.352215, -0.405928, -0.453128, -0.462438, -0.469649, -0.47014, -0.465713, -0.452519, -0.441674, -0.428996, -0.389707, -0.338357, -0.251797, -0.164988, -0.113737, -0.0860151, -0.0672836, -0.0481181, -0.0362234, -0.0245963, -0.0166757, -0.00762535, -0.0051951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.231281, 0.252453, 0.256645, 0.262868, 0.26849, 0.273787, 0.278931, 0.284066, 0.289201, 0.298946, 0.305856, 0.311421, 0.318081, 0.325693, 0.335503, 0.336759");
-            values ( \
-              "-0.000164521, -0.0870136, -0.0983594, -0.112364, -0.120787, -0.126465, -0.128649, -0.126689, -0.112199, -0.0551843, -0.0269201, -0.0142996, -0.00662412, -0.00259999, -0.00090567, -0.000893819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.258541, 0.266034, 0.267296, 0.269064, 0.271337, 0.272473, 0.274245, 0.276016, 0.277197, 0.279559, 0.28243, 0.285301, 0.287305, 0.289309, 0.291313, 0.293317, 0.29662, 0.299098, 0.302239, 0.309927, 0.311334, 0.31274, 0.31621, 0.319219, 0.321072, 0.322924, 0.324776, 0.326635, 0.328052, 0.329468, 0.330413, 0.331357, 0.332775, 0.334671, 0.33988, 0.341894, 0.344299, 0.345696, 0.346449, 0.347956, 0.349702, 0.351689, 0.353861, 0.35504, 0.356219, 0.358576, 0.359755, 0.360934, 0.363977, 0.366905");
-            values ( \
-              "-0.0764699, -0.0780999, -0.0857328, -0.095738, -0.107501, -0.112928, -0.120746, -0.128039, -0.132608, -0.141046, -0.149149, -0.156618, -0.161457, -0.165987, -0.170209, -0.174122, -0.179528, -0.183149, -0.187136, -0.195294, -0.196886, -0.198616, -0.198228, -0.197578, -0.197033, -0.196378, -0.195612, -0.191353, -0.18701, -0.182055, -0.17841, -0.174492, -0.167966, -0.156541, -0.12269, -0.109991, -0.0952831, -0.0872826, -0.0834199, -0.0760292, -0.0681661, -0.0597853, -0.0510625, -0.0468776, -0.0430246, -0.0365993, -0.0336706, -0.0309312, -0.0248516, -0.01953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.294534, 0.302932, 0.308363, 0.324419, 0.33604, 0.344793, 0.352844, 0.365767, 0.371771, 0.383858, 0.395511, 0.407177, 0.410814, 0.414568, 0.420293, 0.430657, 0.44349, 0.455626, 0.46239, 0.47012, 0.476566, 0.483155, 0.49194, 0.505341");
-            values ( \
-              "-0.0343457, -0.0618754, -0.0831797, -0.162138, -0.19991, -0.219978, -0.235205, -0.257116, -0.265914, -0.279721, -0.284867, -0.274091, -0.265317, -0.25231, -0.224942, -0.168403, -0.10909, -0.0690234, -0.0529022, -0.0386451, -0.0296358, -0.0225437, -0.0155456, -0.00914993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.372419, 0.447264, 0.453897, 0.477564, 0.489823, 0.5087, 0.516516, 0.526937, 0.541482, 0.551913, 0.574531, 0.583926, 0.633896, 0.658461, 0.680314, 0.708425, 0.737789, 0.771346, 0.775825");
-            values ( \
-              "-0.00376947, -0.252262, -0.266711, -0.313099, -0.331927, -0.353029, -0.358897, -0.364189, -0.366195, -0.362792, -0.335136, -0.310027, -0.144788, -0.0912777, -0.0593145, -0.0333699, -0.0180811, -0.00867588, -0.00814358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.588201, 0.623143, 0.677843, 0.693277, 0.716042, 0.738973, 0.75757, 0.793806, 0.814909, 0.835309, 0.873961, 0.899176, 0.916696, 0.951738, 0.994573, 1.04149, 1.06518, 1.09225, 1.11865, 1.15, 1.19179, 1.21469, 1.25012, 1.29736, 1.38484, 1.47233, 1.55981");
-            values ( \
-              "-0.150574, -0.19028, -0.313789, -0.343428, -0.377582, -0.401712, -0.414942, -0.42819, -0.429443, -0.427063, -0.412344, -0.393014, -0.372432, -0.311324, -0.229409, -0.156345, -0.12739, -0.099965, -0.0785195, -0.0586262, -0.0392919, -0.0315518, -0.0224063, -0.014047, -0.00565408, -0.00224436, -0.000917429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.00594, 1.06027, 1.13683, 1.1755, 1.22448, 1.23819, 1.26562, 1.31154, 1.3316, 1.39728, 1.49824, 1.56204, 1.64347, 1.67242, 1.84136, 1.91423, 1.98091, 2.02524, 2.12897, 2.18832, 2.27183, 2.36148, 2.43059, 2.51807, 2.69304, 2.73406");
-            values ( \
-              "-0.189513, -0.212417, -0.351945, -0.406164, -0.446342, -0.45309, -0.46258, -0.469638, -0.470118, -0.465699, -0.447548, -0.428994, -0.390062, -0.368971, -0.219262, -0.166825, -0.1284, -0.107301, -0.0696623, -0.0540794, -0.0376171, -0.0254567, -0.0187634, -0.0127045, -0.00580041, -0.00526139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.326425, 0.331635, 0.338817, 0.347924, 0.354151, 0.359776, 0.365076, 0.370223, 0.375359, 0.380497, 0.390245, 0.397151, 0.402718, 0.409394, 0.417023, 0.423885");
-            values ( \
-              "-0.0263943, -0.0372453, -0.0699437, -0.0982001, -0.112387, -0.120633, -0.126494, -0.128506, -0.12673, -0.112077, -0.0550946, -0.0269856, -0.0143603, -0.00655781, -0.00264546, -0.00138677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.34418, 0.364201, 0.370654, 0.37682, 0.384686, 0.393758, 0.399698, 0.404942, 0.408886, 0.416288, 0.421032, 0.424308, 0.435372, 0.442129, 0.449361, 0.457373, 0.464013, 0.471601, 0.481911");
-            values ( \
-              "-0.00810157, -0.114575, -0.13896, -0.156003, -0.174191, -0.18708, -0.193371, -0.197584, -0.199437, -0.195593, -0.182929, -0.167932, -0.0983862, -0.0642937, -0.0390705, -0.0217168, -0.0132269, -0.00735149, -0.00367902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.389417, 0.399801, 0.416089, 0.426612, 0.43607, 0.45699, 0.463029, 0.475102, 0.486761, 0.498422, 0.502097, 0.50589, 0.528314, 0.53473, 0.546866, 0.553642, 0.561386, 0.574388, 0.583153, 0.600682, 0.626433, 0.657702");
-            values ( \
-              "-0.0429293, -0.0839708, -0.164747, -0.198667, -0.220407, -0.25733, -0.265958, -0.279908, -0.284868, -0.274216, -0.265259, -0.252034, -0.13659, -0.10911, -0.0690353, -0.0528785, -0.0386119, -0.0225471, -0.0155686, -0.00717935, -0.00214851, -0.000507595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.483504, 0.49676, 0.511929, 0.524331, 0.550703, 0.568543, 0.581509, 0.599961, 0.609048, 0.628015, 0.635807, 0.643596, 0.659174, 0.664598, 0.675359, 0.708807, 0.725677, 0.746183, 0.759877, 0.777216, 0.802691, 0.834402, 0.868228, 0.897526");
-            values ( \
-              "-0.107837, -0.11479, -0.177963, -0.217192, -0.277779, -0.312047, -0.331813, -0.352789, -0.359522, -0.366226, -0.365778, -0.362734, -0.347632, -0.338295, -0.310593, -0.193679, -0.144366, -0.0984043, -0.0753681, -0.0532917, -0.0315394, -0.0162547, -0.00774707, -0.00465991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.669376, 0.699545, 0.712447, 0.726579, 0.770044, 0.785689, 0.80804, 0.830948, 0.849575, 0.885794, 0.906978, 0.927306, 0.965956, 0.991195, 1.00869, 1.04369, 1.08664, 1.11816, 1.13352, 1.157, 1.17732, 1.20406, 1.22588, 1.25256, 1.29024, 1.33021, 1.36094, 1.42241, 1.5099, 1.59738");
-            values ( \
-              "-0.0760295, -0.143236, -0.183851, -0.219298, -0.314348, -0.344405, -0.377647, -0.401861, -0.414957, -0.428291, -0.429437, -0.427111, -0.412324, -0.392993, -0.372436, -0.311414, -0.229275, -0.177905, -0.156299, -0.127581, -0.106452, -0.0834455, -0.0681995, -0.0530419, -0.0369681, -0.0251745, -0.0186541, -0.0100754, -0.00403563, -0.00161564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.06114, 1.14686, 1.23567, 1.25278, 1.2756, 1.31941, 1.35066, 1.39233, 1.40981, 1.44477, 1.48895, 1.56824, 1.59019, 1.65372, 1.73394, 1.76642, 1.9285, 2.00473, 2.07706, 2.13529, 2.18865, 2.24965, 2.33098, 2.39917, 2.50375, 2.59123, 2.67872, 2.7662, 2.94118, 3.11615");
-            values ( \
-              "-0.0278125, -0.202495, -0.363005, -0.388053, -0.414664, -0.447762, -0.460475, -0.468659, -0.469698, -0.469519, -0.465646, -0.452581, -0.447474, -0.428988, -0.390827, -0.367079, -0.222853, -0.167544, -0.126084, -0.0994424, -0.0796701, -0.0615848, -0.0433379, -0.0322321, -0.020359, -0.013791, -0.00932491, -0.00629957, -0.00286925, -0.00130568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.514513, 0.519668, 0.527783, 0.537285, 0.543597, 0.550282, 0.5546, 0.559772, 0.564919, 0.567776, 0.58399, 0.591464, 0.596907, 0.601458, 0.610561, 0.614215");
-            values ( \
-              "-0.0235392, -0.0318091, -0.067288, -0.0966671, -0.111118, -0.121187, -0.125527, -0.127844, -0.125783, -0.119683, -0.0363597, -0.0158353, -0.00846282, -0.0049697, -0.00164937, -0.00128501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.539602, 0.547444, 0.547734, 0.548316, 0.549478, 0.550354, 0.551231, 0.552107, 0.554436, 0.556298, 0.558622, 0.560946, 0.562608, 0.56427, 0.565932, 0.567594, 0.570595, 0.571596, 0.573596, 0.577597, 0.580067, 0.581006, 0.584852, 0.586424, 0.588698, 0.593248, 0.59978, 0.602884, 0.605779, 0.60764, 0.611362, 0.611578, 0.613301, 0.614778, 0.615271, 0.617339, 0.619407, 0.624101, 0.631072, 0.632778, 0.633915, 0.636189, 0.637326, 0.63919, 0.641802, 0.644456, 0.645783, 0.648437, 0.649764, 0.653482");
-            values ( \
-              "-0.0196642, -0.0553082, -0.0558017, -0.0576275, -0.0623104, -0.0714438, -0.0737724, -0.0769591, -0.0890474, -0.10007, -0.110741, -0.120556, -0.127017, -0.133062, -0.138692, -0.143906, -0.15218, -0.154755, -0.15936, -0.168013, -0.172982, -0.174691, -0.180744, -0.182924, -0.185806, -0.190837, -0.197681, -0.19729, -0.196688, -0.19618, -0.19488, -0.1942, -0.190395, -0.185872, -0.184133, -0.175061, -0.164944, -0.137477, -0.0943328, -0.0849829, -0.0793184, -0.0687619, -0.0638699, -0.0564622, -0.0466277, -0.038652, -0.0352819, -0.0292238, -0.0265357, -0.0200655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.588559, 0.610521, 0.617256, 0.628855, 0.637629, 0.64585, 0.664627, 0.676723, 0.688386, 0.700059, 0.703667, 0.707391, 0.713181, 0.723543, 0.736386, 0.748524, 0.755282, 0.763006, 0.776062, 0.784862, 0.802461, 0.82818, 0.859426");
-            values ( \
-              "-0.0161202, -0.132042, -0.162546, -0.199805, -0.219808, -0.235308, -0.265713, -0.279506, -0.284684, -0.273929, -0.265272, -0.25245, -0.224865, -0.168382, -0.10906, -0.0690079, -0.0529016, -0.0386616, -0.0225315, -0.0155321, -0.00714201, -0.0021398, -0.00050926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.671076, 0.734568, 0.736806, 0.762678, 0.774049, 0.786233, 0.80771, 0.820626, 0.828141, 0.843171, 0.848339, 0.858489, 0.869296, 0.890608, 0.911557, 0.926342, 0.934319, 0.949145, 0.961711, 0.972063, 0.984445, 1.00194, 1.02034, 1.03447, 1.06272, 1.11382, 1.17438");
-            values ( \
-              "-0.00102599, -0.231228, -0.236741, -0.292466, -0.313326, -0.332026, -0.355193, -0.363139, -0.365629, -0.365194, -0.362742, -0.354619, -0.338217, -0.275105, -0.200402, -0.155688, -0.134736, -0.10208, -0.0799921, -0.0651953, -0.050782, -0.0354364, -0.0242281, -0.0180056, -0.00979508, -0.00294251, -0.00063737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.866373, 0.925056, 0.974938, 0.990198, 1.0123, 1.03521, 1.05387, 1.08999, 1.11185, 1.1316, 1.17025, 1.19545, 1.21298, 1.24804, 1.29083, 1.33777, 1.36153, 1.38868, 1.41494, 1.44612, 1.4877, 1.51096, 1.54694, 1.59492, 1.6824, 1.76989, 1.85737");
-            values ( \
-              "-0.0347281, -0.205012, -0.315855, -0.344677, -0.377809, -0.401709, -0.415122, -0.428137, -0.429501, -0.427027, -0.412399, -0.393027, -0.37243, -0.311291, -0.229456, -0.15636, -0.127321, -0.0998295, -0.078513, -0.0587142, -0.0394399, -0.0315598, -0.0222915, -0.0138684, -0.00558236, -0.00221513, -0.000906207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.30365, 1.36289, 1.43927, 1.45909, 1.48552, 1.52838, 1.5463, 1.5702, 1.61435, 1.67249, 1.69341, 1.7742, 1.8555, 1.89696, 1.94119, 1.96401, 2.10534, 2.15316, 2.21488, 2.26222, 2.31032, 2.37468, 2.43295, 2.51063, 2.57636, 2.66534, 2.75283, 2.84032, 2.9278, 3.10277, 3.27774");
-            values ( \
-              "-0.197145, -0.222106, -0.3615, -0.39039, -0.419704, -0.450097, -0.457614, -0.464295, -0.469846, -0.468008, -0.465823, -0.452134, -0.429973, -0.413483, -0.388895, -0.372419, -0.245588, -0.206962, -0.16391, -0.136168, -0.112191, -0.0859839, -0.067324, -0.0482149, -0.0362453, -0.0245648, -0.0166564, -0.011272, -0.00761712, -0.00347055, -0.00157902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.882593, 0.908632, 0.915218, 0.921104, 0.926606, 0.931923, 0.937208, 0.94249, 0.956286, 0.962104, 0.969101, 0.974776, 0.978842");
-            values ( \
-              "-0.00890003, -0.0922885, -0.106854, -0.115815, -0.122127, -0.124756, -0.123442, -0.109771, -0.0368482, -0.0195764, -0.00881747, -0.00450326, -0.00319004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.914228, 0.927311, 0.946939, 0.95817, 0.96867, 0.974348, 0.984528, 0.989386, 0.993713, 1.00133, 1.01863, 1.02517, 1.03583, 1.04428");
-            values ( \
-              "-0.0197009, -0.0486138, -0.131027, -0.160791, -0.178742, -0.185613, -0.194001, -0.19476, -0.191203, -0.168404, -0.0692323, -0.0447038, -0.0206156, -0.0110433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.983388, 0.996971, 0.99994, 1.0049, 1.01759, 1.0302, 1.03901, 1.04551, 1.05133, 1.05354, 1.0667, 1.07899, 1.08622, 1.09083, 1.10004, 1.10268, 1.10794, 1.11578, 1.12607, 1.13264, 1.1392, 1.14793, 1.15137, 1.15789, 1.16366, 1.17179, 1.17506, 1.18159, 1.19465, 1.19864");
-            values ( \
-              "-0.0272698, -0.0637475, -0.0729535, -0.0943299, -0.152602, -0.192662, -0.212374, -0.22588, -0.235493, -0.239859, -0.260708, -0.275169, -0.280121, -0.281031, -0.275454, -0.27101, -0.25789, -0.2237, -0.168477, -0.136098, -0.108302, -0.0782372, -0.0685093, -0.0530794, -0.0420426, -0.0301236, -0.0262875, -0.0200482, -0.0114101, -0.0101075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.10378, 1.15895, 1.17973, 1.20049, 1.21001, 1.22306, 1.2387, 1.24672, 1.26037, 1.27055, 1.28063, 1.30098, 1.30328, 1.31246, 1.3538, 1.36587, 1.3816, 1.39417, 1.40443, 1.41684, 1.43436, 1.45284, 1.46703, 1.49541, 1.5465, 1.6071");
-            values ( \
-              "-0.00570882, -0.208093, -0.257264, -0.300078, -0.316648, -0.335583, -0.35198, -0.357889, -0.363859, -0.364622, -0.361483, -0.338861, -0.334401, -0.310273, -0.169508, -0.136752, -0.101938, -0.0798862, -0.0652399, -0.0508059, -0.03543, -0.0241882, -0.017965, -0.0097364, -0.00292284, -0.000649928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.31785, 1.37492, 1.42661, 1.44203, 1.46411, 1.48704, 1.5057, 1.54187, 1.5635, 1.58345, 1.62211, 1.64726, 1.66484, 1.70001, 1.74253, 1.78956, 1.81378, 1.84145, 1.86686, 1.89701, 1.93721, 1.96271, 2.00206, 2.05453, 2.14201, 2.2295, 2.31698");
-            values ( \
-              "-0.0334753, -0.200053, -0.315164, -0.34443, -0.377473, -0.401542, -0.414906, -0.428081, -0.429404, -0.426993, -0.412339, -0.393055, -0.372416, -0.311078, -0.229753, -0.156462, -0.126893, -0.0990088, -0.078478, -0.0592607, -0.0403563, -0.0316079, -0.0216029, -0.0128273, -0.00516099, -0.00204651, -0.000838198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.75474, 1.80577, 1.88031, 1.92947, 1.97547, 2.00915, 2.05405, 2.09227, 2.1458, 2.22505, 2.27162, 2.31057, 2.38845, 2.42784, 2.55853, 2.66763, 2.7626, 2.82711, 2.88571, 2.96384, 3.02912, 3.11746, 3.20495, 3.37992, 3.46163");
-            values ( \
-              "-0.197128, -0.207343, -0.342873, -0.411862, -0.447565, -0.461124, -0.469173, -0.469882, -0.465759, -0.452573, -0.441117, -0.428995, -0.392304, -0.363297, -0.245037, -0.163776, -0.112309, -0.0860275, -0.0672628, -0.048071, -0.0362129, -0.0246126, -0.0166854, -0.00762935, -0.00621687" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.010012, 0.0100369, 0.0100655, 0.0100894, 0.0101048, 0.0101131", \
-            "0.0117531, 0.0117702, 0.011794, 0.0118174, 0.0118346, 0.0118446", \
-            "0.0127676, 0.012774, 0.012786, 0.0128017, 0.0128155, 0.0128246", \
-            "0.0133789, 0.0133774, 0.0133766, 0.0133787, 0.0133836, 0.0133885", \
-            "0.0137105, 0.013708, 0.0137, 0.0136915, 0.0136854, 0.0136835", \
-            "0.0139116, 0.0139039, 0.0138914, 0.0138753, 0.0138602, 0.0138503" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0137475, 0.0137618, 0.0137804, 0.0137981, 0.0138127, 0.0138206", \
-            "0.0149638, 0.0149523, 0.0149433, 0.014939, 0.0149381, 0.0149402", \
-            "0.0157219, 0.0156749, 0.0156187, 0.0155698, 0.0155384, 0.0155219", \
-            "0.0163839, 0.01629, 0.0161727, 0.016066, 0.0159881, 0.0159419", \
-            "0.0171817, 0.0170251, 0.0168134, 0.0165997, 0.0164523, 0.0163632", \
-            "0.0161329, 0.0168395, 0.0172205, 0.0171082, 0.0168378, 0.0166747" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.226761, 0.267224, 0.350996, 0.526027, 0.898778, 1.709", \
-            "0.23136, 0.271918, 0.355904, 0.531318, 0.904136, 1.71457", \
-            "0.24325, 0.283764, 0.367838, 0.54346, 0.917123, 1.72722", \
-            "0.27257, 0.312862, 0.396613, 0.571782, 0.94536, 1.75572", \
-            "0.338281, 0.379567, 0.463656, 0.637769, 1.00972, 1.81966", \
-            "0.450739, 0.501279, 0.601181, 0.789208, 1.16059, 1.96649" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0344816, 0.0494159, 0.0824583, 0.158243, 0.33272, 0.7289", \
-            "0.0344739, 0.0493882, 0.0824317, 0.158239, 0.332716, 0.728948", \
-            "0.0344836, 0.0494268, 0.0824303, 0.158239, 0.332705, 0.728929", \
-            "0.0344955, 0.0494061, 0.0824775, 0.158183, 0.332683, 0.72875", \
-            "0.0347409, 0.0495591, 0.0824706, 0.15824, 0.332748, 0.728903", \
-            "0.0363493, 0.0509892, 0.0833644, 0.158354, 0.3327, 0.728905" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.197644, 0.230199, 0.29663, 0.432674, 0.715036, 1.31707", \
-            "0.202776, 0.235387, 0.301912, 0.437928, 0.720265, 1.32189", \
-            "0.212964, 0.245819, 0.312597, 0.448828, 0.731397, 1.33332", \
-            "0.233341, 0.267362, 0.33562, 0.472593, 0.755262, 1.35688", \
-            "0.264421, 0.303686, 0.380716, 0.524076, 0.808481, 1.4094", \
-            "0.292292, 0.341373, 0.437223, 0.612221, 0.923527, 1.52816" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0298436, 0.0415567, 0.0661536, 0.119737, 0.241207, 0.519527", \
-            "0.0298335, 0.0415844, 0.0661542, 0.119749, 0.241303, 0.519543", \
-            "0.0298774, 0.0416131, 0.0661987, 0.119717, 0.241285, 0.519546", \
-            "0.0299632, 0.0416437, 0.0662092, 0.119733, 0.241198, 0.519573", \
-            "0.0298799, 0.0417721, 0.0662856, 0.119839, 0.241313, 0.519591", \
-            "0.0301002, 0.0418526, 0.0662577, 0.120008, 0.241446, 0.51956" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.195404, 0.20413, 0.213342, 0.221167, 0.228998, 0.234669, 0.238264, 0.243475, 0.248687, 0.25832, 0.265673, 0.27267, 0.280973, 0.291288, 0.299264, 0.305674, 0.318496, 0.327449");
-            values ( \
-              "0.00751772, 0.03372, 0.0702157, 0.0966706, 0.115779, 0.123901, 0.126232, 0.125327, 0.114975, 0.0684521, 0.0435025, 0.0280141, 0.0163634, 0.00836217, 0.00510968, 0.00348572, 0.00167999, 0.00137996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.226582, 0.235092, 0.250257, 0.263393, 0.271112, 0.278583, 0.28604, 0.289762, 0.319311, 0.328091, 0.34055, 0.354777, 0.371438, 0.381499");
-            values ( \
-              "0.0604606, 0.0725555, 0.144395, 0.184347, 0.195552, 0.198552, 0.192437, 0.184173, 0.0673578, 0.0464207, 0.0267299, 0.0140036, 0.00662079, 0.00458321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.277589, 0.289321, 0.308588, 0.319753, 0.324824, 0.334965, 0.343809, 0.35022, 0.362448, 0.375203, 0.388129, 0.409982, 0.425689, 0.447783, 0.469352, 0.4928, 0.517704, 0.546166, 0.55382");
-            values ( \
-              "0.0323657, 0.0800165, 0.178763, 0.223558, 0.238634, 0.259455, 0.268288, 0.270328, 0.266201, 0.249793, 0.217409, 0.146726, 0.104758, 0.0621775, 0.035948, 0.0193181, 0.00987946, 0.00441511, 0.0038246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.400841, 0.422187, 0.442773, 0.45803, 0.468423, 0.489208, 0.49578, 0.514031, 0.533007, 0.54638, 0.56249, 0.587944, 0.624643, 0.656315, 0.693269, 0.714802, 0.7422, 0.758404, 0.787761, 0.82121, 0.847959, 0.901456, 0.940479");
-            values ( \
-              "0.121309, 0.164032, 0.253569, 0.296792, 0.313772, 0.328003, 0.328045, 0.322432, 0.31044, 0.298922, 0.281265, 0.24275, 0.177951, 0.128665, 0.0840105, 0.0642406, 0.0451454, 0.0363613, 0.0243682, 0.0153697, 0.0105017, 0.00479208, 0.00307854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.635757, 0.665122, 0.704225, 0.720575, 0.740585, 0.764826, 0.774327, 0.786994, 0.813531, 0.848821, 0.884145, 0.910246, 0.960664, 1.00198, 1.12525, 1.15794, 1.20571, 1.24487, 1.27525, 1.31644, 1.35052, 1.38912, 1.44377, 1.49992, 1.54284, 1.62867, 1.7388, 1.84893, 1.95907");
-            values ( \
-              "0.0847186, 0.140644, 0.281375, 0.321624, 0.350683, 0.363915, 0.365045, 0.364628, 0.359316, 0.347794, 0.333849, 0.322439, 0.296015, 0.267115, 0.165165, 0.140762, 0.109248, 0.0876557, 0.073328, 0.0570877, 0.0461816, 0.0361117, 0.0252773, 0.0174708, 0.0131146, 0.00726503, 0.00332936, 0.00152495, 0.000695964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("1.18103, 1.24498, 1.27893, 1.30566, 1.3224, 1.34472, 1.37723, 1.40902, 1.45638, 1.50801, 1.59998, 1.72047, 1.80953, 1.89578, 1.97137, 2.18324, 2.24337, 2.34911, 2.40833, 2.49652, 2.63203, 2.69354, 2.80368, 2.93032, 2.99708, 3.10721, 3.21735, 3.43761, 3.65787, 3.98827");
-            values ( \
-              "0.213131, 0.267353, 0.335851, 0.364674, 0.37389, 0.380273, 0.382349, 0.380591, 0.375068, 0.367595, 0.352081, 0.328686, 0.307777, 0.281885, 0.254699, 0.17298, 0.151446, 0.117578, 0.101135, 0.0800199, 0.0547745, 0.0459091, 0.0331356, 0.0226487, 0.0185229, 0.0131768, 0.00939468, 0.0047376, 0.0023874, 0.000830858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.213486, 0.223034, 0.231906, 0.239729, 0.247628, 0.252815, 0.25682, 0.260751, 0.26455, 0.267465, 0.276826, 0.284158, 0.291519, 0.299326, 0.309754, 0.317977, 0.324614, 0.337886, 0.345548");
-            values ( \
-              "0.00530626, 0.0349592, 0.0702824, 0.0967114, 0.115893, 0.123511, 0.126283, 0.126012, 0.121713, 0.113643, 0.0687837, 0.043744, 0.0275718, 0.016493, 0.00832104, 0.00497304, 0.003493, 0.00151723, 0.00127468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.24521, 0.254432, 0.263329, 0.270003, 0.273741, 0.281217, 0.284266, 0.289951, 0.293187, 0.297397, 0.30482, 0.307864, 0.310292, 0.312992, 0.324958, 0.328542, 0.335711, 0.340848, 0.347995, 0.353705, 0.35855, 0.364304, 0.372556, 0.382051, 0.389488, 0.404361, 0.428884, 0.45872");
-            values ( \
-              "0.0555376, 0.075023, 0.119263, 0.147842, 0.161194, 0.182059, 0.187997, 0.195453, 0.197616, 0.198251, 0.192448, 0.186009, 0.17877, 0.168307, 0.113111, 0.098741, 0.0741754, 0.0601647, 0.0443175, 0.0344565, 0.0277709, 0.0214114, 0.0146941, 0.00960061, 0.00688243, 0.00352707, 0.00115057, 0.000323832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.296242, 0.308291, 0.328273, 0.338005, 0.343761, 0.355274, 0.359976, 0.366246, 0.369157, 0.374978, 0.381382, 0.393679, 0.4004, 0.408255, 0.422945, 0.441106, 0.451715, 0.460032, 0.471122, 0.485168, 0.493553, 0.508751, 0.526432, 0.540625, 0.56901, 0.591085");
-            values ( \
-              "0.0290474, 0.0803127, 0.182504, 0.221639, 0.238954, 0.26161, 0.266521, 0.269951, 0.27047, 0.269614, 0.266016, 0.250792, 0.235872, 0.21307, 0.164323, 0.113269, 0.0890345, 0.073135, 0.0556312, 0.0389404, 0.031304, 0.0208727, 0.0130257, 0.00886147, 0.0039698, 0.00245663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.410772, 0.430068, 0.452188, 0.46217, 0.476656, 0.487845, 0.508257, 0.510794, 0.515867, 0.524583, 0.533429, 0.551119, 0.568339, 0.581888, 0.607452, 0.646225, 0.676059, 0.696643, 0.712412, 0.733437, 0.76184, 0.778617, 0.807435, 0.839962, 0.865807, 0.917498, 0.955607");
-            values ( \
-              "0.0428837, 0.110052, 0.213399, 0.253578, 0.294888, 0.313876, 0.32777, 0.328045, 0.327855, 0.32598, 0.322341, 0.311438, 0.296459, 0.28117, 0.242619, 0.174283, 0.128204, 0.101574, 0.0842426, 0.0648942, 0.0449771, 0.0359898, 0.0242559, 0.0154875, 0.0107638, 0.00502293, 0.00328233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.654935, 0.684492, 0.710764, 0.727541, 0.739984, 0.761141, 0.785097, 0.792192, 0.80165, 0.817198, 0.832957, 0.864475, 0.929669, 0.9801, 1.02136, 1.14462, 1.17724, 1.2251, 1.2644, 1.29491, 1.33593, 1.36983, 1.40847, 1.46315, 1.51942, 1.56244, 1.64847, 1.7586, 1.97887");
-            values ( \
-              "0.0834496, 0.140441, 0.238103, 0.292392, 0.321456, 0.351692, 0.364093, 0.364985, 0.364983, 0.362952, 0.359199, 0.349089, 0.322426, 0.296018, 0.267152, 0.165209, 0.140848, 0.109269, 0.0876, 0.073226, 0.0570667, 0.0462148, 0.0361292, 0.0252839, 0.0174621, 0.0130992, 0.00724547, 0.00332046, 0.000694165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("1.18543, 1.22842, 1.27575, 1.29974, 1.32809, 1.3464, 1.36732, 1.39815, 1.42844, 1.47702, 1.62048, 1.73992, 1.83475, 1.90379, 1.99077, 2.20283, 2.36892, 2.51524, 2.65151, 2.71342, 2.82355, 2.94986, 3.12652, 3.23666, 3.45692, 3.57211");
-            values ( \
-              "0.170729, 0.174248, 0.294086, 0.337816, 0.366906, 0.375754, 0.380693, 0.382336, 0.380513, 0.374965, 0.351946, 0.328733, 0.306201, 0.285621, 0.254791, 0.172907, 0.117447, 0.08022, 0.0548066, 0.0458261, 0.0331283, 0.0226755, 0.0132139, 0.00937005, 0.00471071, 0.00348109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.25653, 0.265514, 0.274612, 0.282438, 0.290772, 0.294258, 0.299537, 0.304751, 0.309961, 0.319591, 0.326945, 0.333945, 0.342243, 0.352559, 0.366947, 0.379771, 0.386037");
-            values ( \
-              "0.0063545, 0.0340738, 0.0703317, 0.096723, 0.116763, 0.122026, 0.126359, 0.125279, 0.115077, 0.0684647, 0.0435081, 0.0280115, 0.0163654, 0.00836261, 0.00348557, 0.00167938, 0.00146941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.28413, 0.294542, 0.305925, 0.316356, 0.324865, 0.332585, 0.340058, 0.347516, 0.351212, 0.354966, 0.367873, 0.379387, 0.389078, 0.395842, 0.402138, 0.410533, 0.416367, 0.43249, 0.450917, 0.46364");
-            values ( \
-              "0.0214615, 0.0624731, 0.11892, 0.161058, 0.184268, 0.195506, 0.198512, 0.192414, 0.184231, 0.171332, 0.111986, 0.0711274, 0.0474238, 0.0352051, 0.0265908, 0.018137, 0.0139296, 0.00674489, 0.00290738, 0.00189998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.345721, 0.357958, 0.370927, 0.386414, 0.397891, 0.402695, 0.411806, 0.417218, 0.424034, 0.436778, 0.450912, 0.483725, 0.502698, 0.513818, 0.527804, 0.551385, 0.583373, 0.611873, 0.612671");
-            values ( \
-              "0.103632, 0.116377, 0.1825, 0.238933, 0.261613, 0.266606, 0.270496, 0.269732, 0.266043, 0.249897, 0.213054, 0.113348, 0.0731089, 0.0555661, 0.0389559, 0.0208823, 0.00883667, 0.00394558, 0.0038912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.453806, 0.473082, 0.495295, 0.505151, 0.519661, 0.530824, 0.551309, 0.553773, 0.5587, 0.567488, 0.576407, 0.594244, 0.611025, 0.624866, 0.650417, 0.686932, 0.718997, 0.739606, 0.755419, 0.776503, 0.80479, 0.8215, 0.850382, 0.87004, 0.908963, 0.960861, 0.998716");
-            values ( \
-              "0.0428614, 0.110264, 0.214074, 0.253609, 0.295015, 0.31386, 0.327831, 0.328023, 0.327917, 0.325975, 0.322383, 0.311297, 0.296714, 0.281211, 0.242603, 0.17808, 0.128221, 0.101631, 0.0842523, 0.0647811, 0.0450341, 0.0359945, 0.0243069, 0.0184857, 0.0106945, 0.00503476, 0.00327571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.703724, 0.728348, 0.760953, 0.768314, 0.783734, 0.803401, 0.828099, 0.837301, 0.84957, 0.874108, 0.912835, 0.973406, 1.02377, 1.0653, 1.18863, 1.22161, 1.26899, 1.30759, 1.33746, 1.37936, 1.41414, 1.45258, 1.5071, 1.56278, 1.60528, 1.69029, 1.80042, 1.91055, 2.02068");
-            values ( \
-              "0.127065, 0.141604, 0.260211, 0.2836, 0.32152, 0.350169, 0.364067, 0.365085, 0.364708, 0.360009, 0.347327, 0.322467, 0.296023, 0.266996, 0.165, 0.140395, 0.10918, 0.0878803, 0.0737477, 0.0571716, 0.046044, 0.036042, 0.0252485, 0.0175055, 0.0131782, 0.00734438, 0.00336641, 0.00154147, 0.000703953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("1.22889, 1.27184, 1.31921, 1.34315, 1.37161, 1.38972, 1.41041, 1.44145, 1.47194, 1.52048, 1.6638, 1.78341, 1.87612, 1.9515, 2.03428, 2.24627, 2.4123, 2.50046, 2.55895, 2.69499, 2.75678, 2.86691, 2.99332, 3.17005, 3.28018, 3.50045, 3.72071, 4.05111");
-            values ( \
-              "0.171256, 0.17403, 0.293908, 0.337594, 0.3669, 0.375666, 0.380623, 0.382334, 0.380514, 0.374964, 0.351974, 0.328731, 0.306769, 0.284238, 0.254772, 0.17292, 0.117473, 0.0937111, 0.0801718, 0.0548079, 0.0458399, 0.0331412, 0.0226782, 0.0132135, 0.00936681, 0.00470818, 0.0023573, 0.000861854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.354303, 0.361363, 0.372123, 0.379952, 0.38776, 0.391784, 0.393623, 0.397056, 0.402271, 0.407485, 0.417123, 0.424475, 0.431462, 0.435749, 0.439779, 0.443929, 0.450093, 0.458063, 0.464468, 0.47728, 0.488297");
-            values ( \
-              "0.00836109, 0.0287628, 0.0700649, 0.0965036, 0.115745, 0.122001, 0.123923, 0.126246, 0.125211, 0.115015, 0.0684234, 0.0434939, 0.0280269, 0.0212378, 0.0163571, 0.012482, 0.00836086, 0.00511111, 0.00348694, 0.00168239, 0.00107992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.380736, 0.389468, 0.403254, 0.41434, 0.417952, 0.422205, 0.429945, 0.437416, 0.444878, 0.448526, 0.452232, 0.462452, 0.467535, 0.472059, 0.477947, 0.4826, 0.488805, 0.493739, 0.498502, 0.504275, 0.51254, 0.522095, 0.529585, 0.544563, 0.562486");
-            values ( \
-              "0.0150924, 0.0521804, 0.119797, 0.163284, 0.173977, 0.183876, 0.195579, 0.198256, 0.192529, 0.184317, 0.171701, 0.123891, 0.102688, 0.0861197, 0.0678681, 0.0559103, 0.0427646, 0.0344162, 0.0278344, 0.0214484, 0.0147039, 0.00957928, 0.00685902, 0.00349092, 0.00177621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.441697, 0.457405, 0.467669, 0.471628, 0.475639, 0.483417, 0.496537, 0.508858, 0.521122, 0.533851, 0.540417, 0.547986, 0.56269, 0.57283, 0.580681, 0.591391, 0.599807, 0.611027, 0.618636, 0.624812, 0.633046, 0.648384, 0.655486, 0.666315, 0.680754, 0.709631, 0.751355, 0.802629");
-            values ( \
-              "0.0801326, 0.129578, 0.181271, 0.198459, 0.214293, 0.238752, 0.263668, 0.270741, 0.266448, 0.250059, 0.235225, 0.213078, 0.164259, 0.134516, 0.113621, 0.0891065, 0.0730224, 0.0553572, 0.0456869, 0.0390055, 0.0314815, 0.0209115, 0.0173165, 0.0129629, 0.00875801, 0.00386337, 0.00108183, 0.000206156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.550885, 0.569573, 0.592653, 0.60161, 0.616745, 0.627282, 0.648114, 0.650234, 0.662953, 0.672866, 0.692692, 0.703524, 0.717965, 0.741604, 0.79148, 0.826733, 0.856752, 0.877673, 0.899149, 0.911183, 0.935253, 0.959228, 0.995569, 1.04402, 1.09399");
-            values ( \
-              "0.0477057, 0.110306, 0.218191, 0.25369, 0.296459, 0.313898, 0.327932, 0.328106, 0.326356, 0.322357, 0.309883, 0.300608, 0.285307, 0.251267, 0.164525, 0.11291, 0.0792681, 0.0610571, 0.0461922, 0.0394747, 0.0284359, 0.020463, 0.0123069, 0.00611949, 0.00326782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.809911, 0.84476, 0.863637, 0.880168, 0.900184, 0.92452, 0.933681, 0.945894, 0.970321, 1.01186, 1.06981, 1.12001, 1.14431, 1.17209, 1.27464, 1.30282, 1.35741, 1.39929, 1.42815, 1.47391, 1.5138, 1.56699, 1.60877, 1.65479, 1.71615, 1.82628, 1.93641, 2.04654, 2.15668");
-            values ( \
-              "0.176583, 0.215951, 0.281334, 0.322144, 0.350872, 0.364132, 0.365153, 0.364742, 0.360081, 0.346388, 0.322445, 0.296152, 0.279909, 0.258862, 0.17311, 0.1515, 0.113924, 0.0902097, 0.0762985, 0.0577419, 0.0450352, 0.0320489, 0.0243363, 0.0179657, 0.0119377, 0.00545617, 0.00254111, 0.00111777, 0.000553606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.32595, 1.36868, 1.41605, 1.44111, 1.4682, 1.48804, 1.51072, 1.53998, 1.56876, 1.61732, 1.66779, 1.76766, 1.8183, 1.89579, 1.98969, 2.04642, 2.11126, 2.32734, 2.43932, 2.53115, 2.59871, 2.66972, 2.7639, 2.79184, 2.84772, 2.95786, 3.05427, 3.09731, 3.18338, 3.29352, 3.40365, 3.51378, 3.62391, 3.84418, 4.06444");
-            values ( \
-              "0.173146, 0.173971, 0.293828, 0.339223, 0.366607, 0.376127, 0.381075, 0.382256, 0.380495, 0.374957, 0.367546, 0.350711, 0.341228, 0.325369, 0.302152, 0.284826, 0.262161, 0.178728, 0.139209, 0.111165, 0.0933554, 0.0771185, 0.0593068, 0.0547716, 0.0466541, 0.0336981, 0.0252474, 0.0221638, 0.0170659, 0.0121435, 0.00864272, 0.00612229, 0.00435284, 0.00218962, 0.00110351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.570434, 0.572957, 0.575994, 0.579133, 0.588163, 0.598653, 0.602483, 0.605483, 0.608114, 0.613439, 0.618684, 0.623928, 0.633665, 0.636812, 0.640971, 0.647791, 0.652196, 0.656359, 0.66191, 0.669808, 0.675307, 0.686305, 0.702922, 0.703721");
-            values ( \
-              "0.0156536, 0.0189971, 0.024776, 0.0338555, 0.0689351, 0.102147, 0.111308, 0.117039, 0.120901, 0.125432, 0.124579, 0.114588, 0.0679452, 0.0560137, 0.0433576, 0.0282644, 0.0212627, 0.0162433, 0.0112992, 0.00683498, 0.00487051, 0.00252345, 0.0010261, 0.00101099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.601345, 0.609528, 0.623979, 0.630828, 0.639384, 0.647133, 0.654627, 0.662106, 0.665466, 0.670245, 0.683683, 0.694678, 0.701711, 0.714449, 0.72632, 0.733483, 0.744884, 0.760085, 0.774644");
-            values ( \
-              "0.0523198, 0.0651078, 0.134159, 0.160044, 0.183449, 0.194886, 0.198015, 0.19209, 0.184877, 0.16809, 0.107038, 0.069185, 0.0516369, 0.02952, 0.0172323, 0.0124744, 0.00747838, 0.00375945, 0.00223515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.656269, 0.66539, 0.685445, 0.700966, 0.712325, 0.717526, 0.726377, 0.738612, 0.751367, 0.757844, 0.79823, 0.817334, 0.828538, 0.842357, 0.865931, 0.88383, 0.898237, 0.927051, 0.932908");
-            values ( \
-              "0.0643494, 0.080126, 0.182019, 0.238611, 0.261144, 0.266578, 0.27033, 0.265973, 0.249847, 0.235318, 0.113565, 0.0730318, 0.0553888, 0.0389963, 0.0209066, 0.0129713, 0.00877125, 0.00387721, 0.00348497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.767277, 0.786164, 0.808427, 0.818234, 0.832515, 0.843907, 0.864237, 0.866856, 0.872093, 0.880728, 0.889491, 0.907017, 0.924731, 0.937952, 0.96353, 1.00229, 1.03217, 1.05272, 1.06844, 1.0894, 1.1053, 1.11794, 1.13479, 1.16354, 1.19594, 1.22167, 1.27313, 1.31589");
-            values ( \
-              "0.0458831, 0.110367, 0.21421, 0.253647, 0.294412, 0.313905, 0.327708, 0.328064, 0.327787, 0.325977, 0.322296, 0.311587, 0.29616, 0.281128, 0.242626, 0.174255, 0.128164, 0.101529, 0.0842518, 0.0650008, 0.0529831, 0.0449351, 0.0359609, 0.0242217, 0.0154844, 0.0108167, 0.00503547, 0.003091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("1.01476, 1.03957, 1.07589, 1.1007, 1.11222, 1.13336, 1.14231, 1.1602, 1.18787, 1.23494, 1.28459, 1.33502, 1.37629, 1.53216, 1.61932, 1.70215, 1.76339, 1.81807, 1.91736, 2.0034, 2.07288");
-            values ( \
-              "0.125441, 0.141197, 0.272969, 0.33189, 0.347409, 0.361953, 0.364213, 0.364578, 0.35939, 0.343325, 0.322482, 0.295967, 0.267152, 0.140848, 0.0875992, 0.0532204, 0.0361295, 0.0252833, 0.0130993, 0.00724481, 0.00476902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.54443, 1.60835, 1.63059, 1.65651, 1.68574, 1.71913, 1.75186, 1.78347, 1.8272, 1.88247, 1.98572, 2.09487, 2.205, 2.25768, 2.31789, 2.54241, 2.60117, 2.70891, 2.82996, 2.89648, 3.00681, 3.05381, 3.13875, 3.24888, 3.31151, 3.39436, 3.50449, 3.61463, 3.83489, 4.05516, 4.27542");
-            values ( \
-              "0.156062, 0.238816, 0.293101, 0.339921, 0.368419, 0.380708, 0.382461, 0.380612, 0.375541, 0.3676, 0.350086, 0.328741, 0.302057, 0.285989, 0.265183, 0.17861, 0.157303, 0.12188, 0.0893424, 0.0746698, 0.0547908, 0.0478351, 0.0373404, 0.0268219, 0.022216, 0.0172781, 0.0123316, 0.00874197, 0.00439368, 0.00220105, 0.00110044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.01258, 1.02135, 1.035, 1.04035, 1.04721, 1.05319, 1.05875, 1.0642, 1.06964, 1.07845, 1.08188, 1.08819, 1.09644, 1.10399, 1.10956, 1.11446, 1.12188, 1.13179, 1.14871, 1.15884");
-            values ( \
-              "0.019477, 0.028048, 0.0716867, 0.0872492, 0.104265, 0.114943, 0.120353, 0.120633, 0.111739, 0.0711391, 0.0578004, 0.0394665, 0.0234878, 0.0144885, 0.0101011, 0.00741652, 0.00471406, 0.00262945, 0.00104935, 0.000855595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("1.0472, 1.05778, 1.06292, 1.08059, 1.08445, 1.09216, 1.09606, 1.10153, 1.10922, 1.11693, 1.12463, 1.13931, 1.1437, 1.1502, 1.15591, 1.16038, 1.16636, 1.17169, 1.17752, 1.18599, 1.19616, 1.2042, 1.2159, 1.24118, 1.24375");
-            values ( \
-              "0.0189414, 0.044257, 0.0633842, 0.136498, 0.150132, 0.172275, 0.180439, 0.188469, 0.193, 0.188453, 0.168935, 0.103715, 0.0876114, 0.06751, 0.0533205, 0.0440205, 0.0338552, 0.0267211, 0.020553, 0.0139798, 0.00888451, 0.00620883, 0.00371323, 0.00169424, 0.00160936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("1.12106, 1.13272, 1.15455, 1.17075, 1.1842, 1.19673, 1.20914, 1.2159, 1.22195, 1.23614, 1.25952, 1.27903, 1.29749, 1.31313, 1.33675, 1.35447, 1.36869, 1.39713, 1.40619");
-            values ( \
-              "0.0419931, 0.070984, 0.171706, 0.231282, 0.25835, 0.267177, 0.263938, 0.257358, 0.248458, 0.212264, 0.138549, 0.0902019, 0.0579426, 0.0389556, 0.0208681, 0.0130109, 0.00886582, 0.00394801, 0.00333298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.25875, 1.285, 1.30211, 1.31253, 1.33135, 1.33811, 1.35093, 1.37181, 1.38461, 1.39709, 1.4208, 1.43957, 1.49776, 1.53597, 1.57012, 1.60468, 1.62324, 1.64942, 1.69745, 1.7391, 1.78395");
-            values ( \
-              "0.102559, 0.178587, 0.25085, 0.282772, 0.316348, 0.322056, 0.326831, 0.322999, 0.315867, 0.306725, 0.282944, 0.255871, 0.155856, 0.102608, 0.0679084, 0.0434777, 0.0339318, 0.0237145, 0.0121772, 0.00667019, 0.00391794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.5004, 1.52977, 1.56443, 1.57877, 1.59929, 1.62344, 1.63161, 1.64251, 1.66429, 1.68635, 1.71871, 1.76613, 1.79987, 1.82199, 1.86623, 1.97123, 2.0436, 2.11117, 2.1581, 2.19612, 2.25414, 2.30539, 2.3676, 2.45054, 2.56067, 2.78094");
-            values ( \
-              "0.125794, 0.164461, 0.287651, 0.321991, 0.351291, 0.364144, 0.365082, 0.364903, 0.361201, 0.354797, 0.343303, 0.323536, 0.306835, 0.293933, 0.262459, 0.174761, 0.121884, 0.0835881, 0.0631554, 0.0499407, 0.0345445, 0.024733, 0.0163969, 0.00928493, 0.00428092, 0.000901348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("2.02064, 2.07623, 2.11009, 2.13314, 2.1597, 2.17597, 2.19767, 2.23068, 2.26294, 2.31017, 2.45321, 2.5744, 2.66205, 2.75251, 2.82531, 3.03715, 3.09725, 3.20303, 3.26225, 3.35048, 3.48595, 3.54745, 3.65758, 3.78424, 3.85101, 3.96114, 4.07127, 4.29154, 4.5118, 4.8422");
-            values ( \
-              "0.152251, 0.208341, 0.293247, 0.335743, 0.364579, 0.373618, 0.379897, 0.382363, 0.380486, 0.375126, 0.352259, 0.328734, 0.308097, 0.280899, 0.254731, 0.172962, 0.151483, 0.117557, 0.101146, 0.0800227, 0.0547842, 0.0459023, 0.0331467, 0.0226569, 0.0185148, 0.013184, 0.00938726, 0.0047307, 0.00238096, 0.000836658" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0105262, 0.0105678, 0.0106147, 0.0106533, 0.0106777, 0.0106908", \
-            "0.0133931, 0.0134398, 0.0135, 0.0135565, 0.013596, 0.0136183", \
-            "0.0153577, 0.0153851, 0.0154291, 0.0154798, 0.0155208, 0.0155459", \
-            "0.0165854, 0.0165757, 0.0165723, 0.0165824, 0.0165998, 0.0166145", \
-            "0.0173082, 0.0172707, 0.0172199, 0.0171732, 0.0171466, 0.0171369", \
-            "0.0176966, 0.0176543, 0.0175951, 0.0175227, 0.0174505, 0.0174018" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0158602, 0.0158818, 0.0159182, 0.0159561, 0.0159857, 0.016001", \
-            "0.0169965, 0.0169336, 0.0168658, 0.0168126, 0.0167785, 0.0167598", \
-            "0.0164434, 0.0163151, 0.0161519, 0.0160002, 0.0158939, 0.0158333", \
-            "0.0158997, 0.0157136, 0.015477, 0.0152549, 0.0150974, 0.0150047", \
-            "0.0156614, 0.0156302, 0.0153953, 0.0150357, 0.0147694, 0.0146143", \
-            "0.0141213, 0.0142162, 0.0144278, 0.015182, 0.0148607, 0.0145672" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.169529, 0.175111, 0.180217, 0.188309, 0.199179, 0.209144, 0.213684, 0.218173, 0.223036, 0.22966, 0.235129, 0.239219, 0.242365, 0.246232, 0.249313, 0.255474, 0.265484, 0.270867");
-            values ( \
-              "-0.0208866, -0.0293352, -0.0476776, -0.0833003, -0.119281, -0.142441, -0.146977, -0.14533, -0.124433, -0.0728233, -0.0403257, -0.0249512, -0.0167394, -0.0103139, -0.0071392, -0.0031363, -0.0010254, -0.000921939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.188507, 0.196318, 0.19883, 0.202951, 0.210041, 0.223994, 0.228158, 0.235247, 0.241835, 0.248211, 0.254642, 0.258577, 0.261713, 0.270742, 0.274096, 0.280602, 0.284468, 0.288887, 0.293204, 0.299952, 0.308949, 0.314412");
-            values ( \
-              "-0.0295232, -0.0510462, -0.061911, -0.0829793, -0.124267, -0.183548, -0.197528, -0.216939, -0.22843, -0.233074, -0.223762, -0.207193, -0.186036, -0.113779, -0.0913696, -0.0575809, -0.0432022, -0.0307023, -0.0219072, -0.012818, -0.00612492, -0.00447683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.221956, 0.23115, 0.240099, 0.243851, 0.260523, 0.275309, 0.287316, 0.298248, 0.308618, 0.318857, 0.329089, 0.334162, 0.353047, 0.362285, 0.36745, 0.37425, 0.380547, 0.388943, 0.39761, 0.402313, 0.41172, 0.424413");
-            values ( \
-              "-0.0228465, -0.0398594, -0.0727291, -0.0909756, -0.189081, -0.25628, -0.291967, -0.311358, -0.323009, -0.320641, -0.302359, -0.281927, -0.166213, -0.11915, -0.0977737, -0.0746439, -0.0577754, -0.0406205, -0.0281108, -0.0229871, -0.015254, -0.00930943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.307422, 0.332093, 0.363445, 0.375013, 0.386899, 0.406081, 0.419501, 0.425941, 0.438821, 0.453747, 0.463245, 0.482146, 0.496913, 0.525856, 0.542806, 0.561238, 0.573666, 0.596211, 0.613554, 0.631818, 0.645844, 0.673897, 0.695112");
-            values ( \
-              "-0.0215166, -0.104592, -0.273411, -0.317542, -0.349188, -0.381729, -0.393398, -0.396559, -0.399068, -0.39446, -0.38654, -0.355543, -0.307885, -0.197917, -0.146248, -0.103162, -0.0807717, -0.051218, -0.035721, -0.0244109, -0.0181498, -0.00986133, -0.00704497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.486425, 0.523025, 0.557358, 0.57385, 0.588021, 0.615057, 0.634572, 0.652519, 0.686516, 0.726442, 0.763793, 0.799904, 0.819407, 0.914994, 0.956096, 0.985802, 1.0163, 1.06811, 1.10247, 1.1549, 1.2248, 1.27186");
-            values ( \
-              "-0.0372405, -0.143659, -0.305186, -0.361439, -0.394196, -0.430595, -0.443572, -0.44953, -0.450192, -0.43943, -0.419573, -0.38458, -0.354355, -0.178636, -0.125434, -0.0959799, -0.0724754, -0.0443679, -0.031901, -0.0191498, -0.00942778, -0.00635772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.903186, 0.984188, 1.01506, 1.0419, 1.06933, 1.09428, 1.13292, 1.17111, 1.23162, 1.32952, 1.41042, 1.45434, 1.50391, 1.6615, 1.77009, 1.86468, 1.92918, 1.98788, 2.06615, 2.13111, 2.21905, 2.30419, 2.38932, 2.54577");
-            values ( \
-              "-0.216709, -0.390449, -0.441988, -0.46565, -0.477284, -0.48215, -0.48299, -0.480312, -0.472108, -0.453692, -0.430958, -0.413223, -0.384284, -0.24497, -0.163909, -0.112547, -0.0861319, -0.0672874, -0.0480788, -0.0362106, -0.0246368, -0.016913, -0.0115309, -0.00586329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.188577, 0.191166, 0.194306, 0.19944, 0.202071, 0.204829, 0.209722, 0.212402, 0.218391, 0.221738, 0.223597, 0.227314, 0.230477, 0.232895, 0.237385, 0.241875, 0.242994, 0.249362, 0.252073, 0.254336, 0.256278, 0.258451, 0.261593, 0.263141, 0.265436, 0.268495, 0.274614, 0.279497");
-            values ( \
-              "-0.0208958, -0.0254581, -0.0288094, -0.0478495, -0.0611722, -0.072731, -0.0907446, -0.0998614, -0.118875, -0.128032, -0.132507, -0.140238, -0.144965, -0.147053, -0.144984, -0.126234, -0.119078, -0.0694172, -0.0521773, -0.0404638, -0.0322552, -0.0248074, -0.0168116, -0.013864, -0.0104115, -0.00709344, -0.00324857, -0.00212142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.204763, 0.215426, 0.226011, 0.235389, 0.247235, 0.254328, 0.260918, 0.267296, 0.273665, 0.277708, 0.293184, 0.300245, 0.307995, 0.312296, 0.319022, 0.327989, 0.334074");
-            values ( \
-              "-0.00498823, -0.050144, -0.107112, -0.152217, -0.197947, -0.216544, -0.228681, -0.23271, -0.224075, -0.206881, -0.0913869, -0.0553149, -0.0306768, -0.0219189, -0.0128545, -0.00613945, -0.0043024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.243036, 0.261834, 0.279404, 0.286033, 0.294125, 0.306162, 0.317063, 0.327435, 0.337671, 0.347901, 0.348916, 0.352976, 0.371832, 0.381108, 0.386269, 0.393065, 0.399352, 0.407735, 0.414062, 0.421129, 0.430552, 0.449397, 0.476309, 0.509515");
-            values ( \
-              "-0.00677135, -0.087026, -0.188117, -0.222518, -0.255231, -0.291967, -0.311998, -0.322712, -0.32108, -0.302045, -0.298773, -0.281945, -0.166376, -0.119094, -0.097749, -0.0746333, -0.0577905, -0.0406578, -0.0310824, -0.0229815, -0.0152427, -0.00642468, -0.00169996, -0.000321229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.335522, 0.351817, 0.358626, 0.369663, 0.377877, 0.382813, 0.387749, 0.390217, 0.392685, 0.396088, 0.402893, 0.406296, 0.410744, 0.415193, 0.419642, 0.425849, 0.432933, 0.436556, 0.44011, 0.445336, 0.452305, 0.45579, 0.463895, 0.468515, 0.473135, 0.477892, 0.482649, 0.487375, 0.496826, 0.502544, 0.504529, 0.506513, 0.512409, 0.51632, 0.523623, 0.530859, 0.53566, 0.545263, 0.551489, 0.557716, 0.565992, 0.571029, 0.578242, 0.58686, 0.596501, 0.603356, 0.612553, 0.619955, 0.628626, 0.63983");
-            values ( \
-              "-0.0737457, -0.107451, -0.142364, -0.206089, -0.250775, -0.276267, -0.297435, -0.306879, -0.315563, -0.325038, -0.342158, -0.349803, -0.358589, -0.366525, -0.373612, -0.382078, -0.389365, -0.392372, -0.394725, -0.397104, -0.398253, -0.398421, -0.397764, -0.396125, -0.393402, -0.389152, -0.383954, -0.377039, -0.362024, -0.352174, -0.347539, -0.341442, -0.321497, -0.307062, -0.27698, -0.248775, -0.230937, -0.197365, -0.177386, -0.158728, -0.135922, -0.123055, -0.107638, -0.0913893, -0.0749461, -0.0647073, -0.0540121, -0.0465837, -0.0390335, -0.0309269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.495188, 0.541911, 0.580709, 0.593092, 0.612577, 0.634365, 0.654202, 0.671822, 0.703927, 0.736526, 0.763882, 0.784218, 0.823162, 0.841966, 0.900524, 0.936201, 0.959804, 0.993286, 1.04231, 1.06885, 1.10639, 1.14604, 1.1765, 1.23743, 1.32256, 1.33477");
-            values ( \
-              "-0.000284041, -0.141348, -0.321359, -0.361196, -0.403569, -0.430526, -0.444039, -0.449368, -0.45062, -0.442776, -0.431035, -0.418591, -0.379288, -0.348733, -0.234851, -0.176, -0.143921, -0.107002, -0.0681691, -0.0530662, -0.0369983, -0.025251, -0.018761, -0.0101715, -0.00419123, -0.0039415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.916408, 0.969237, 0.986944, 1.00506, 1.0209, 1.03307, 1.0574, 1.08619, 1.11231, 1.15098, 1.18915, 1.24975, 1.30234, 1.34756, 1.42846, 1.47244, 1.52197, 1.6071, 1.67954, 1.72725, 1.78814, 1.83446, 1.88273, 1.94723, 2.00593, 2.08419, 2.14916, 2.23711, 2.32224, 2.40737, 2.49251, 2.57764, 2.74791, 2.91818");
-            values ( \
-              "-0.204276, -0.28538, -0.347967, -0.39659, -0.425398, -0.44174, -0.463586, -0.476944, -0.481951, -0.483065, -0.480181, -0.472186, -0.46304, -0.453605, -0.431028, -0.413188, -0.384285, -0.310808, -0.244979, -0.206475, -0.163913, -0.136676, -0.112542, -0.0861345, -0.0672908, -0.0480765, -0.0362138, -0.0246393, -0.0169098, -0.0115336, -0.00790524, -0.00536551, -0.0024859, -0.00114644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.229666, 0.231241, 0.234839, 0.240388, 0.245773, 0.251167, 0.253365, 0.259348, 0.269357, 0.273891, 0.278387, 0.283256, 0.290418, 0.295385, 0.299417, 0.302578, 0.306505, 0.309642, 0.315914, 0.320771");
-            values ( \
-              "-0.0212305, -0.0239826, -0.0277906, -0.0478243, -0.0737564, -0.0913233, -0.100399, -0.119083, -0.142237, -0.146762, -0.145124, -0.124218, -0.0691234, -0.0402461, -0.0249731, -0.0168401, -0.0103293, -0.00698117, -0.00312395, -0.00205634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.248927, 0.257825, 0.274755, 0.288406, 0.295496, 0.30209, 0.308472, 0.314845, 0.318853, 0.334371, 0.341432, 0.349175, 0.360222, 0.369204, 0.37865");
-            values ( \
-              "-0.025712, -0.0564866, -0.143962, -0.197856, -0.216331, -0.228581, -0.23253, -0.224021, -0.207009, -0.0913574, -0.0553097, -0.0306848, -0.0128373, -0.00613127, -0.00327032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.283219, 0.291703, 0.300832, 0.309279, 0.323628, 0.328505, 0.335936, 0.34799, 0.358902, 0.369279, 0.379522, 0.390767, 0.394805, 0.414031, 0.422814, 0.428034, 0.434882, 0.441291, 0.449835, 0.458302, 0.46291, 0.472125, 0.489538");
-            values ( \
-              "-0.0236568, -0.0395984, -0.0730402, -0.117788, -0.200942, -0.224638, -0.255194, -0.291292, -0.312101, -0.322212, -0.321227, -0.298712, -0.28201, -0.16441, -0.119764, -0.0980995, -0.0747491, -0.0575954, -0.0402397, -0.0280859, -0.0230567, -0.0154391, -0.00713216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.37679, 0.393032, 0.41889, 0.434347, 0.44753, 0.465127, 0.482955, 0.49384, 0.505153, 0.523672, 0.539885, 0.551538, 0.601667, 0.626808, 0.650172, 0.667052, 0.688849, 0.733043, 0.740027");
-            values ( \
-              "-0.0762708, -0.105492, -0.248311, -0.312979, -0.348949, -0.379521, -0.394745, -0.398451, -0.398122, -0.387036, -0.362105, -0.329546, -0.151126, -0.0936676, -0.0587402, -0.0414657, -0.0263438, -0.0101521, -0.00918436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.557292, 0.584502, 0.623609, 0.635081, 0.655724, 0.676309, 0.696072, 0.713738, 0.745887, 0.759711, 0.778143, 0.806344, 0.82613, 0.865087, 0.883799, 0.9428, 0.978358, 1.00161, 1.03497, 1.06198, 1.0844, 1.11088, 1.14837, 1.18784, 1.21814, 1.27875, 1.36389, 1.44902, 1.53415");
-            values ( \
-              "-0.097827, -0.145438, -0.325847, -0.362237, -0.405973, -0.430939, -0.444037, -0.449582, -0.450525, -0.448085, -0.44295, -0.430797, -0.418526, -0.379316, -0.348848, -0.234207, -0.175623, -0.144064, -0.107222, -0.0837813, -0.0680583, -0.0530088, -0.0369771, -0.0252867, -0.0188046, -0.0102412, -0.00420951, -0.00172867, -0.000709946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.963752, 1.05992, 1.07592, 1.10181, 1.12975, 1.15515, 1.19379, 1.23198, 1.29144, 1.39039, 1.47422, 1.51473, 1.56475, 1.72248, 1.831, 1.92554, 1.99006, 2.04879, 2.1271, 2.19203, 2.2799, 2.36503, 2.45017, 2.62043, 2.62046");
-            values ( \
-              "-0.213521, -0.420072, -0.441991, -0.465034, -0.477131, -0.482152, -0.482953, -0.48031, -0.472231, -0.453695, -0.429976, -0.413441, -0.384309, -0.244885, -0.163894, -0.112558, -0.0861361, -0.0672811, -0.0480635, -0.0362075, -0.0246421, -0.0169157, -0.0115337, -0.00536441, -0.00536401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.318233, 0.325354, 0.32873, 0.334177, 0.34182, 0.347831, 0.357872, 0.362417, 0.36692, 0.371796, 0.378163, 0.381722, 0.384548, 0.387973, 0.391135, 0.393494, 0.398197, 0.404467, 0.414228, 0.414782");
-            values ( \
-              "-0.019445, -0.0346218, -0.0470042, -0.0732648, -0.0998833, -0.118599, -0.14187, -0.146481, -0.144865, -0.124015, -0.0747071, -0.0516037, -0.0374666, -0.0249028, -0.0168698, -0.0125434, -0.00695026, -0.00315562, -0.00094161, -0.000932752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.339605, 0.346176, 0.348463, 0.350525, 0.351213, 0.353772, 0.356332, 0.360063, 0.364976, 0.366614, 0.370191, 0.373768, 0.377345, 0.38002, 0.382807, 0.385332, 0.387858, 0.390383, 0.391942, 0.393501, 0.394281, 0.39506, 0.396687, 0.398313, 0.399899, 0.401484, 0.40307, 0.404758, 0.405373, 0.406193, 0.407423, 0.408653, 0.409473, 0.411114, 0.411854, 0.41742, 0.420782, 0.423139, 0.424292, 0.425311, 0.42658, 0.428856, 0.431238, 0.432716, 0.434195, 0.435674, 0.438321, 0.439644, 0.440968, 0.443331");
-            values ( \
-              "-0.0392947, -0.0501701, -0.0600341, -0.0699357, -0.0734642, -0.0886276, -0.103111, -0.121944, -0.145468, -0.152988, -0.167885, -0.181652, -0.194289, -0.202673, -0.210494, -0.21644, -0.221417, -0.225923, -0.22847, -0.229802, -0.230261, -0.230583, -0.230799, -0.230436, -0.229524, -0.228062, -0.22605, -0.223293, -0.221305, -0.218213, -0.212452, -0.205971, -0.201251, -0.190852, -0.185589, -0.140079, -0.114334, -0.0979139, -0.0903835, -0.0843672, -0.0774439, -0.0661598, -0.0553006, -0.0490196, -0.0440049, -0.0394032, -0.0323076, -0.0291742, -0.0263171, -0.0218405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.377199, 0.3917, 0.411995, 0.426745, 0.438797, 0.449716, 0.460096, 0.47034, 0.481587, 0.485628, 0.513646, 0.525709, 0.540645, 0.549125, 0.562967, 0.579346");
-            values ( \
-              "-0.0172889, -0.073536, -0.187985, -0.255008, -0.291349, -0.311941, -0.322237, -0.321099, -0.298666, -0.281968, -0.119718, -0.0747387, -0.0402627, -0.0280865, -0.0154265, -0.00762345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.458668, 0.485019, 0.506972, 0.527201, 0.539416, 0.557938, 0.569344, 0.578471, 0.597042, 0.615614, 0.618983, 0.628882, 0.635671, 0.64591, 0.679201, 0.692148, 0.712028, 0.737794, 0.754112, 0.767774, 0.786236, 0.810854, 0.858259");
-            values ( \
-              "-0.00522636, -0.106818, -0.228805, -0.316253, -0.349058, -0.380692, -0.391369, -0.396522, -0.398314, -0.387055, -0.383189, -0.368059, -0.352965, -0.320949, -0.195284, -0.155158, -0.106769, -0.0640562, -0.0458231, -0.0345076, -0.0234508, -0.0138108, -0.00466075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.631725, 0.675639, 0.716038, 0.727017, 0.743217, 0.764573, 0.77576, 0.790676, 0.805754, 0.83591, 0.842523, 0.879688, 0.918209, 0.934969, 0.954122, 0.970747, 1.04114, 1.07643, 1.11399, 1.14449, 1.17991, 1.20493, 1.25714, 1.28823, 1.35042, 1.43556, 1.52069, 1.60582");
-            values ( \
-              "-0.00989268, -0.140783, -0.32493, -0.36153, -0.396618, -0.427558, -0.436788, -0.444288, -0.449633, -0.45016, -0.449955, -0.439716, -0.41857, -0.404844, -0.383259, -0.357681, -0.222813, -0.166803, -0.120392, -0.0913322, -0.0658157, -0.0519682, -0.0314599, -0.0232578, -0.0124625, -0.00514118, -0.00209747, -0.000874297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("1.02692, 1.07983, 1.12068, 1.13721, 1.15403, 1.16689, 1.19235, 1.22058, 1.24618, 1.28485, 1.32303, 1.38206, 1.43936, 1.48145, 1.5624, 1.60558, 1.65579, 1.74092, 1.81359, 1.86124, 1.92207, 1.9683, 2.01659, 2.08111, 2.13986, 2.2182, 2.2831, 2.37094, 2.45608, 2.54121, 2.62634, 2.71148, 2.88174, 3.05201");
-            values ( \
-              "-0.0845598, -0.1957, -0.347035, -0.391897, -0.42393, -0.441183, -0.464183, -0.476836, -0.481949, -0.48291, -0.480239, -0.472306, -0.462332, -0.453663, -0.430917, -0.413528, -0.38432, -0.310874, -0.24485, -0.206405, -0.163888, -0.136709, -0.112562, -0.0861389, -0.0672794, -0.0480563, -0.0362072, -0.0246454, -0.0169163, -0.0115359, -0.00790903, -0.00536595, -0.00248546, -0.0011456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.5023, 0.508947, 0.510216, 0.511486, 0.512332, 0.514025, 0.514542, 0.516095, 0.521107, 0.523724, 0.525227, 0.528232, 0.529735, 0.531036, 0.533638, 0.534939, 0.536129, 0.537318, 0.539698, 0.540826, 0.541954, 0.543082, 0.54421, 0.545328, 0.546446, 0.547565, 0.548683, 0.549103, 0.549523, 0.550362, 0.55109, 0.551817, 0.552544, 0.553272, 0.553526, 0.553611, 0.55429, 0.558348, 0.560838, 0.562116, 0.563394, 0.564033, 0.564992, 0.566269, 0.56722, 0.568171, 0.569193, 0.570215, 0.572406, 0.574446");
-            values ( \
-              "-0.0356526, -0.0407775, -0.0459544, -0.0515092, -0.0554223, -0.0637525, -0.0659045, -0.0721842, -0.090816, -0.100189, -0.105314, -0.115055, -0.119673, -0.123459, -0.13057, -0.133895, -0.136755, -0.13947, -0.144464, -0.14517, -0.145652, -0.14591, -0.145945, -0.145759, -0.145353, -0.144728, -0.143882, -0.140888, -0.137413, -0.129022, -0.130108, -0.130097, -0.128989, -0.126783, -0.125752, -0.125378, -0.120198, -0.0872826, -0.0682921, -0.0597369, -0.052191, -0.0486773, -0.0437586, -0.0376963, -0.033486, -0.0297126, -0.0263517, -0.023291, -0.0178572, -0.0134159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.523264, 0.532762, 0.53637, 0.539876, 0.547081, 0.550295, 0.557053, 0.565128, 0.572276, 0.578889, 0.585292, 0.591685, 0.593565, 0.595505, 0.598836, 0.607882, 0.611246, 0.615733, 0.618311, 0.621616, 0.626022, 0.629239, 0.632638, 0.637169, 0.646232, 0.652562");
-            values ( \
-              "-0.0245233, -0.0476174, -0.0629402, -0.0813198, -0.122402, -0.134982, -0.166776, -0.196429, -0.215575, -0.227698, -0.231954, -0.223379, -0.216656, -0.20763, -0.185622, -0.113615, -0.091224, -0.0666502, -0.0552498, -0.0431832, -0.0307505, -0.023928, -0.0183274, -0.012771, -0.00605859, -0.00415261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.574636, 0.589746, 0.607702, 0.61447, 0.622456, 0.634522, 0.64545, 0.655845, 0.666102, 0.676352, 0.677359, 0.681387, 0.700854, 0.709336, 0.714428, 0.721442, 0.728031, 0.736815, 0.744967, 0.758334, 0.776157, 0.802065, 0.833768");
-            values ( \
-              "-0.0221875, -0.0871994, -0.18791, -0.222521, -0.254634, -0.291214, -0.3113, -0.321988, -0.320519, -0.301559, -0.298365, -0.28181, -0.163118, -0.120132, -0.0989178, -0.0748988, -0.0572941, -0.0396154, -0.028026, -0.0157233, -0.00698586, -0.00197316, -0.000407237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.65647, 0.678738, 0.692003, 0.717219, 0.7348, 0.752812, 0.762081, 0.781064, 0.799641, 0.818221, 0.82155, 0.831448, 0.83828, 0.878185, 0.897997, 0.917032, 0.929097, 0.951118, 0.968583, 0.987315, 1.00175, 1.03063, 1.05029");
-            values ( \
-              "-0.0180658, -0.070529, -0.128213, -0.266466, -0.330398, -0.369107, -0.381699, -0.396341, -0.398124, -0.386926, -0.383114, -0.368037, -0.35288, -0.207791, -0.146244, -0.101966, -0.0803958, -0.0515257, -0.0358444, -0.0242579, -0.0178789, -0.00952846, -0.00697485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.859124, 0.887241, 0.916214, 0.930991, 0.94631, 0.965405, 0.985577, 1.00958, 1.04122, 1.05658, 1.07706, 1.09617, 1.12198, 1.1608, 1.1805, 1.23474, 1.2716, 1.28711, 1.31413, 1.34501, 1.38846, 1.41297, 1.46116, 1.4886, 1.54348, 1.62861, 1.71374, 1.79888");
-            values ( \
-              "-0.135323, -0.1759, -0.31455, -0.36299, -0.397042, -0.424014, -0.440372, -0.449752, -0.450379, -0.447702, -0.442003, -0.433941, -0.41845, -0.379594, -0.347237, -0.24141, -0.179452, -0.157515, -0.124468, -0.0942186, -0.0630149, -0.0499533, -0.0314286, -0.0241067, -0.0139471, -0.00577188, -0.00234298, -0.000991156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("1.19569, 1.39524, 1.42327, 1.44938, 1.48774, 1.52625, 1.58425, 1.60438, 1.68467, 1.7685, 1.80852, 1.85898, 1.94412, 2.01686, 2.0645, 2.1253, 2.17149, 2.2198, 2.28433, 2.3431, 2.42146, 2.48633, 2.57414, 2.65927, 2.7444, 2.82954, 2.91467, 3.08494, 3.25521");
-            values ( \
-              "-0.0221962, -0.463544, -0.476352, -0.481856, -0.482801, -0.480262, -0.4724, -0.469392, -0.453701, -0.429963, -0.41366, -0.384334, -0.310901, -0.244802, -0.206379, -0.163879, -0.136721, -0.11257, -0.0861404, -0.0672749, -0.0480491, -0.0362046, -0.0246475, -0.0169191, -0.0115365, -0.0079108, -0.00536585, -0.00248502, -0.001145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.857915, 0.865144, 0.868286, 0.879608, 0.890344, 0.895615, 0.900418, 0.904963, 0.909462, 0.913957, 0.924851, 0.929585, 0.933017, 0.936354, 0.943513, 0.944191");
-            values ( \
-              "-0.0162771, -0.0270282, -0.0357498, -0.0817163, -0.117116, -0.131368, -0.141524, -0.146803, -0.144849, -0.125921, -0.0482769, -0.0278208, -0.0182888, -0.0119921, -0.00482014, -0.00456855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.888674, 0.899461, 0.901917, 0.904848, 0.90812, 0.913476, 0.917477, 0.924377, 0.927913, 0.934986, 0.936058, 0.938201, 0.942488, 0.944594, 0.947276, 0.949807, 0.954868, 0.956201, 0.958865, 0.962615, 0.969098, 0.978525, 0.983145, 0.986838, 0.990152, 0.991958, 0.995134, 0.999589, 1.00148, 1.00527, 1.01172");
-            values ( \
-              "-0.0168364, -0.0356949, -0.0409604, -0.0521161, -0.0664651, -0.0964473, -0.116501, -0.148839, -0.164589, -0.192046, -0.195629, -0.202341, -0.213914, -0.218641, -0.223765, -0.227628, -0.232019, -0.231986, -0.230461, -0.22288, -0.190575, -0.115714, -0.0854006, -0.0658383, -0.0516631, -0.0451577, -0.035435, -0.0250402, -0.0215724, -0.015999, -0.0097127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.960895, 0.971513, 0.975863, 0.978353, 0.981673, 0.996987, 1.00777, 1.01219, 1.01889, 1.02422, 1.03517, 1.04555, 1.05581, 1.06606, 1.06707, 1.0711, 1.09049, 1.09908, 1.10423, 1.11118, 1.11769, 1.12638, 1.13466, 1.13918, 1.14822, 1.16552");
-            values ( \
-              "-0.0275499, -0.0551495, -0.0696796, -0.0805416, -0.0962894, -0.184301, -0.236638, -0.254349, -0.276569, -0.290774, -0.311639, -0.321822, -0.320701, -0.301367, -0.298345, -0.28176, -0.16351, -0.119988, -0.0985518, -0.0748266, -0.0574077, -0.0398583, -0.0280446, -0.0231175, -0.015604, -0.00722015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("1.08865, 1.10659, 1.11051, 1.11585, 1.11923, 1.1298, 1.1392, 1.14187, 1.1472, 1.14987, 1.15314, 1.15968, 1.16767, 1.17238, 1.17709, 1.18181, 1.18597, 1.19013, 1.19152, 1.19683, 1.20214, 1.20929, 1.21286, 1.22073, 1.22503, 1.22933, 1.23442, 1.23951, 1.24424, 1.25371, 1.25944, 1.26244, 1.26935, 1.27326, 1.28055, 1.28778, 1.29259, 1.30221, 1.30843, 1.31466, 1.32294, 1.32797, 1.3376, 1.34381, 1.35347, 1.36033, 1.36953, 1.37693, 1.38561, 1.39681");
-            values ( \
-              "-0.0665212, -0.0980428, -0.115757, -0.142462, -0.160643, -0.221834, -0.270674, -0.282756, -0.304572, -0.314306, -0.323409, -0.339981, -0.357239, -0.365905, -0.373446, -0.379862, -0.384913, -0.389384, -0.390716, -0.394292, -0.39672, -0.397594, -0.397687, -0.397086, -0.395646, -0.393231, -0.388742, -0.383204, -0.37635, -0.36147, -0.351696, -0.344016, -0.321, -0.306636, -0.276705, -0.248572, -0.230756, -0.197209, -0.177253, -0.158609, -0.13586, -0.123015, -0.102788, -0.0913605, -0.0749104, -0.0646733, -0.053985, -0.0465626, -0.039018, -0.0309164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("1.30212, 1.32871, 1.35294, 1.36638, 1.37904, 1.39758, 1.41899, 1.42803, 1.43835, 1.45772, 1.49096, 1.50158, 1.51574, 1.53166, 1.56349, 1.58357, 1.61161, 1.69457, 1.72942, 1.73781, 1.76394, 1.79182, 1.81323, 1.83758, 1.87004, 1.89813, 1.92669, 1.96478, 2.04095, 2.12609, 2.21122");
-            values ( \
-              "-0.100095, -0.148698, -0.263682, -0.321746, -0.362135, -0.402347, -0.429492, -0.4368, -0.442845, -0.449333, -0.45023, -0.448405, -0.444846, -0.439369, -0.423077, -0.40782, -0.375546, -0.220725, -0.165722, -0.154296, -0.122852, -0.0955561, -0.0784987, -0.0625695, -0.0459353, -0.0350957, -0.0266506, -0.0183358, -0.00842252, -0.00344917, -0.00142762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("1.70844, 1.79261, 1.82241, 1.84799, 1.87562, 1.90165, 1.93969, 1.97848, 2.05661, 2.1369, 2.22073, 2.26076, 2.31122, 2.46909, 2.57753, 2.67203, 2.79533, 2.87368, 2.93856, 3.1115, 3.29228");
-            values ( \
-              "-0.199198, -0.392651, -0.441757, -0.464793, -0.476797, -0.482172, -0.482876, -0.480357, -0.469442, -0.453743, -0.429965, -0.413664, -0.384333, -0.244802, -0.163878, -0.112573, -0.0672738, -0.0480519, -0.0362033, -0.0169212, -0.00874078" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0094806, 0.00949921, 0.00952175, 0.00954168, 0.00955497, 0.00956228", \
-            "0.0110687, 0.0110812, 0.0110992, 0.0111178, 0.0111321, 0.0111407", \
-            "0.0120107, 0.0120153, 0.012024, 0.0120359, 0.0120469, 0.0120543", \
-            "0.012579, 0.0125774, 0.0125768, 0.0125782, 0.0125819, 0.0125858", \
-            "0.01288, 0.012876, 0.012872, 0.0128651, 0.0128602, 0.0128582", \
-            "0.0130566, 0.0130511, 0.0130419, 0.0130297, 0.0130177, 0.0130093" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0130769, 0.0130878, 0.0131019, 0.0131147, 0.0131262, 0.0131333", \
-            "0.0143952, 0.0143863, 0.0143783, 0.0143731, 0.0143698, 0.0143703", \
-            "0.0152295, 0.0151944, 0.0151513, 0.015113, 0.0150879, 0.0150743", \
-            "0.0159141, 0.0158513, 0.0157706, 0.0156938, 0.0156351, 0.0155982", \
-            "0.0165436, 0.0164299, 0.0162688, 0.0161161, 0.016006, 0.0159386", \
-            "0.0169932, 0.0168853, 0.0166993, 0.016453, 0.0162369, 0.0161039" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(A * B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0761176, 0.0897798, 0.116381, 0.172141, 0.295519, 0.571766", \
-            "0.0809319, 0.0945515, 0.121191, 0.176963, 0.300365, 0.576602", \
-            "0.0916552, 0.10524, 0.131874, 0.187732, 0.311203, 0.587477", \
-            "0.109219, 0.123133, 0.150237, 0.206262, 0.329776, 0.606121", \
-            "0.127322, 0.142299, 0.170112, 0.226587, 0.350532, 0.626969", \
-            "0.137388, 0.15479, 0.184749, 0.242215, 0.366086, 0.642959" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0298443, 0.0447692, 0.0788036, 0.15782, 0.338251, 0.744034", \
-            "0.0298577, 0.0447606, 0.0788091, 0.157828, 0.338258, 0.744034", \
-            "0.0300912, 0.0449603, 0.0789069, 0.157841, 0.33832, 0.744034", \
-            "0.0321926, 0.0467795, 0.0800221, 0.158201, 0.338338, 0.744036", \
-            "0.0371338, 0.0507767, 0.0826518, 0.159668, 0.338956, 0.744085", \
-            "0.0463459, 0.0597148, 0.0891968, 0.162484, 0.339674, 0.744846" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.057811, 0.0615053, 0.0664278, 0.0707676, 0.0735983, 0.0785373, 0.0831359, 0.0876375, 0.0921342, 0.0942634, 0.0964613, 0.105893, 0.112921, 0.119605, 0.124762, 0.131024, 0.136666, 0.148759, 0.159437, 0.164032");
-            values ( \
-              "0.010715, 0.0603714, 0.094055, 0.115455, 0.126008, 0.139475, 0.145353, 0.146215, 0.140947, 0.135248, 0.126214, 0.0726111, 0.0461254, 0.0297336, 0.0210208, 0.0137379, 0.00934381, 0.00421975, 0.00211682, 0.00174628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0602567, 0.064545, 0.0681879, 0.0730133, 0.0770224, 0.080919, 0.0879311, 0.0946236, 0.101317, 0.108697, 0.111517, 0.115277, 0.124524, 0.132928, 0.139554, 0.146254, 0.150274, 0.159112, 0.163874, 0.172779, 0.183663, 0.192504, 0.210184, 0.223031");
-            values ( \
-              "0.0320253, 0.105172, 0.135769, 0.167288, 0.187509, 0.201626, 0.217458, 0.221593, 0.218261, 0.20431, 0.19497, 0.178462, 0.129933, 0.0937871, 0.0713213, 0.0536227, 0.0449188, 0.0301566, 0.0242257, 0.0159527, 0.00962723, 0.00636057, 0.00270502, 0.00171231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0611334, 0.0681327, 0.0736593, 0.0810375, 0.0884177, 0.0934432, 0.103494, 0.107763, 0.116244, 0.121822, 0.127945, 0.139752, 0.148852, 0.17226, 0.185785, 0.207355, 0.214842, 0.232438, 0.255403, 0.280383, 0.30208, 0.316889");
-            values ( \
-              "0.0328417, 0.155378, 0.202565, 0.243605, 0.271787, 0.281164, 0.291874, 0.29175, 0.287781, 0.282443, 0.275403, 0.254959, 0.231438, 0.15502, 0.116881, 0.0711211, 0.0592011, 0.0378642, 0.020581, 0.0104669, 0.00568716, 0.00419826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0644905, 0.0783312, 0.0885626, 0.0941084, 0.1052, 0.116188, 0.130641, 0.137806, 0.148673, 0.160112, 0.183627, 0.197059, 0.209013, 0.23292, 0.267251, 0.287889, 0.315256, 0.327075, 0.347569, 0.37099, 0.386955, 0.413669, 0.439049, 0.470899, 0.513364, 0.586875, 0.669039");
-            values ( \
-              "0.150695, 0.252491, 0.302468, 0.31939, 0.337366, 0.343601, 0.341069, 0.338105, 0.331129, 0.323371, 0.303765, 0.29068, 0.277077, 0.242659, 0.183708, 0.1507, 0.112667, 0.0984116, 0.0772339, 0.0575688, 0.0469213, 0.0329491, 0.0233016, 0.0150057, 0.00816125, 0.00258112, 0.000688264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0691124, 0.107869, 0.11868, 0.133481, 0.162826, 0.208403, 0.307051, 0.352676, 0.417082, 0.556828, 0.606866, 0.679777, 0.730616, 0.791596, 0.847022, 0.948963, 1.11149");
-            values ( \
-              "0.281512, 0.365834, 0.37376, 0.377131, 0.371048, 0.354844, 0.315207, 0.292957, 0.249862, 0.14062, 0.1084, 0.07172, 0.0527736, 0.0360268, 0.0251701, 0.0128864, 0.00428342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.0748817, 0.122453, 0.137633, 0.169408, 0.231514, 0.553502, 0.640569, 0.765633, 1.19495, 1.33764, 1.48484, 1.56089, 1.72522, 1.81632, 2.09296, 2.33376");
-            values ( \
-              "0.388483, 0.390864, 0.395618, 0.394193, 0.384103, 0.326599, 0.308464, 0.27497, 0.124352, 0.086771, 0.0580783, 0.0468149, 0.028923, 0.0220148, 0.00941451, 0.00494278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0766819, 0.0802207, 0.0851751, 0.0895119, 0.0923556, 0.0972998, 0.101901, 0.106405, 0.110903, 0.113017, 0.115199, 0.123877, 0.130505, 0.135522, 0.143533, 0.149759, 0.155415, 0.167629, 0.178422, 0.184415");
-            values ( \
-              "0.0140302, 0.0601611, 0.0936434, 0.115104, 0.125935, 0.139274, 0.145367, 0.146079, 0.140996, 0.135281, 0.126349, 0.0763908, 0.0496904, 0.0359478, 0.0210166, 0.0137678, 0.00936103, 0.00418821, 0.00209199, 0.00161204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.0795856, 0.0868208, 0.0916757, 0.096531, 0.0995865, 0.105698, 0.108403, 0.113289, 0.115846, 0.119958, 0.126656, 0.128281, 0.133852, 0.145926, 0.155122, 0.166709, 0.17557, 0.186088, 0.193087, 0.201324, 0.212307, 0.233814, 0.258988");
-            values ( \
-              "0.061754, 0.135917, 0.166731, 0.191031, 0.20138, 0.216041, 0.219121, 0.22137, 0.221007, 0.217779, 0.206318, 0.201421, 0.178833, 0.11732, 0.0811364, 0.0495801, 0.0333208, 0.020556, 0.0148237, 0.0101029, 0.00601126, 0.00205699, 0.000600948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0795259, 0.0868344, 0.0923757, 0.099769, 0.107157, 0.112178, 0.12222, 0.132267, 0.140418, 0.146685, 0.15849, 0.167601, 0.191, 0.204556, 0.226068, 0.233564, 0.251192, 0.274149, 0.299101, 0.320772, 0.33712");
-            values ( \
-              "0.0258537, 0.154625, 0.202137, 0.243381, 0.271624, 0.281119, 0.291752, 0.289266, 0.282713, 0.275255, 0.255101, 0.231409, 0.15502, 0.116805, 0.0711706, 0.0592271, 0.0378515, 0.0205791, 0.0104727, 0.00569582, 0.00404606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0832162, 0.0970911, 0.10726, 0.112849, 0.124027, 0.134929, 0.145767, 0.156543, 0.178862, 0.211133, 0.227741, 0.253535, 0.305959, 0.343602, 0.381666, 0.40898, 0.454706, 0.515456, 0.569481, 0.574408");
-            values ( \
-              "0.150559, 0.252703, 0.302423, 0.319216, 0.337594, 0.34345, 0.342288, 0.337906, 0.323286, 0.295431, 0.277112, 0.239625, 0.151911, 0.101081, 0.0638199, 0.0450005, 0.0243077, 0.0104209, 0.00472515, 0.0045135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0871199, 0.114707, 0.126401, 0.137569, 0.15225, 0.166941, 0.181716, 0.227293, 0.285693, 0.325941, 0.371266, 0.399762, 0.437757, 0.53588, 0.567087, 0.621189, 0.656937, 0.681077, 0.729358, 0.749218, 0.800119, 0.858292, 0.889978, 0.936384, 0.998259, 1.08042, 1.16259, 1.32692");
-            values ( \
-              "0.256561, 0.343201, 0.365195, 0.373936, 0.376957, 0.374843, 0.370882, 0.35468, 0.332031, 0.315209, 0.293118, 0.275885, 0.248488, 0.169863, 0.146744, 0.111036, 0.091241, 0.0794948, 0.0596082, 0.0528241, 0.0384747, 0.0264272, 0.021516, 0.0158694, 0.0104876, 0.00597687, 0.00339862, 0.00109221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.0940861, 0.15665, 0.172635, 0.188433, 0.250368, 0.43584, 0.572528, 0.659595, 0.722937, 0.784659, 0.859051, 1.06336, 1.21397, 1.28717, 1.35666, 1.4217, 1.52921, 1.57992, 1.66208, 1.74425, 1.87279, 2.02983, 2.19416, 2.35848, 2.60498, 2.85147");
-            values ( \
-              "0.38782, 0.395485, 0.39561, 0.394059, 0.384, 0.35163, 0.326466, 0.308329, 0.292714, 0.274836, 0.249724, 0.174334, 0.124487, 0.103956, 0.0869069, 0.0731052, 0.0542861, 0.046951, 0.0370772, 0.0290594, 0.0198612, 0.0123491, 0.00747446, 0.00451374, 0.00206022, 0.000999694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.116978, 0.120786, 0.123968, 0.126336, 0.130784, 0.133758, 0.138795, 0.143449, 0.147988, 0.152521, 0.154311, 0.15583, 0.16512, 0.167617, 0.172458, 0.176902, 0.179932, 0.185184, 0.19151, 0.197135, 0.20379, 0.209038, 0.219535, 0.236608, 0.257599");
-            values ( \
-              "0.0179679, 0.0509645, 0.0730445, 0.0882308, 0.111101, 0.122951, 0.137346, 0.144027, 0.145196, 0.140373, 0.135832, 0.13042, 0.0781952, 0.0666194, 0.0485933, 0.0365425, 0.0298807, 0.0209999, 0.0136705, 0.00931819, 0.00600395, 0.00425863, 0.00216883, 0.000742386, 0.000244166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.119302, 0.123845, 0.127852, 0.132924, 0.136938, 0.141022, 0.148118, 0.154855, 0.161565, 0.168967, 0.175451, 0.187508, 0.196435, 0.201898, 0.208781, 0.215144, 0.221338, 0.229597, 0.234953, 0.249492, 0.266108, 0.280746");
-            values ( \
-              "0.0368145, 0.0926483, 0.126981, 0.161561, 0.182633, 0.19863, 0.215503, 0.220591, 0.217477, 0.20396, 0.178839, 0.117489, 0.0821959, 0.0655178, 0.0486175, 0.0365632, 0.0275896, 0.0187723, 0.0146363, 0.00742292, 0.0033296, 0.00190072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.122738, 0.133577, 0.143367, 0.147855, 0.153651, 0.1648, 0.167597, 0.176559, 0.187964, 0.196406, 0.209246, 0.232568, 0.246395, 0.257721, 0.267392, 0.284733, 0.292906, 0.302371, 0.315772, 0.340445, 0.361854, 0.386568");
-            values ( \
-              "0.115124, 0.194658, 0.250348, 0.266093, 0.279934, 0.290676, 0.29089, 0.287222, 0.275636, 0.26218, 0.231294, 0.155034, 0.116117, 0.0899249, 0.071598, 0.046509, 0.0377106, 0.029427, 0.0205505, 0.01054, 0.00577671, 0.00323999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.125515, 0.132727, 0.141984, 0.149136, 0.154437, 0.16504, 0.176566, 0.187428, 0.198189, 0.216883, 0.242274, 0.254368, 0.269375, 0.295248, 0.334553, 0.347915, 0.364386, 0.385322, 0.401424, 0.422894, 0.438431, 0.450764, 0.467208, 0.496509, 0.50985, 0.529731, 0.556239, 0.609254, 0.689969, 0.772134");
-            values ( \
-              "0.204554, 0.204999, 0.26974, 0.301921, 0.317837, 0.336417, 0.343023, 0.342236, 0.337719, 0.325969, 0.305263, 0.293861, 0.277091, 0.239551, 0.172471, 0.151388, 0.127582, 0.100946, 0.0835564, 0.0642173, 0.0526376, 0.0448641, 0.0361702, 0.0242021, 0.0201628, 0.0153159, 0.0106111, 0.00480555, 0.00135184, 0.000314452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.133172, 0.1793, 0.193404, 0.223448, 0.269027, 0.367674, 0.412502, 0.484288, 0.627738, 0.701661, 0.791258, 0.868763, 0.9718, 1.12478");
-            values ( \
-              "0.346692, 0.373772, 0.376806, 0.370837, 0.354656, 0.315212, 0.293386, 0.244767, 0.133597, 0.0898027, 0.0527736, 0.0323774, 0.016555, 0.00608167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.139441, 0.139461, 0.291836, 0.532026, 0.643946, 0.764599, 0.826321, 0.900713, 1.10502, 1.25563, 1.39832, 1.46336, 1.57088, 1.70374, 1.78591, 1.91445, 2.07149, 2.23582, 2.40014, 2.50889");
-            values ( \
-              "1e-22, 0.417851, 0.384004, 0.341819, 0.320516, 0.292741, 0.274809, 0.249751, 0.174361, 0.124514, 0.0869336, 0.0730786, 0.0542598, 0.037051, 0.0290856, 0.0198359, 0.0123239, 0.00744935, 0.00448876, 0.0037137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.195797, 0.200542, 0.203996, 0.210726, 0.214987, 0.21794, 0.223684, 0.228818, 0.233697, 0.238556, 0.243414, 0.248944, 0.250184, 0.253325, 0.259907, 0.266181, 0.271976, 0.2747, 0.280148, 0.286973, 0.291527, 0.300634, 0.316196, 0.334764, 0.358658");
-            values ( \
-              "0.0253859, 0.0299583, 0.0426215, 0.0755483, 0.0939755, 0.105396, 0.122855, 0.132517, 0.136114, 0.133814, 0.121296, 0.0906661, 0.0816449, 0.0673953, 0.0444393, 0.0299626, 0.0204736, 0.0172695, 0.0118386, 0.00752576, 0.00575174, 0.00306461, 0.00126006, 0.000335455, 0.000203906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.201447, 0.208639, 0.216477, 0.225749, 0.232542, 0.235265, 0.240565, 0.243903, 0.248866, 0.254722, 0.257979, 0.262571, 0.274659, 0.281715, 0.288558, 0.295876, 0.307798, 0.315504, 0.321462, 0.337356, 0.355519, 0.364038");
-            values ( \
-              "0.0816974, 0.0852725, 0.135127, 0.179416, 0.199586, 0.204641, 0.210284, 0.211155, 0.209004, 0.199018, 0.190492, 0.172446, 0.113884, 0.0863734, 0.0651892, 0.0475732, 0.0278648, 0.0195024, 0.0147957, 0.00705279, 0.00292192, 0.00219703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.201348, 0.209689, 0.217011, 0.225686, 0.234367, 0.239187, 0.248826, 0.249672, 0.253061, 0.25654, 0.26287, 0.26604, 0.27238, 0.279449, 0.287249, 0.299955, 0.318084, 0.334543, 0.344841, 0.352767, 0.363333, 0.378206, 0.387026, 0.401604, 0.418101, 0.431169, 0.457305, 0.476694");
-            values ( \
-              "0.051239, 0.106751, 0.162821, 0.216627, 0.253239, 0.266205, 0.280717, 0.279454, 0.281314, 0.282181, 0.281468, 0.279994, 0.274889, 0.26614, 0.252365, 0.218347, 0.158344, 0.112347, 0.0890077, 0.0738532, 0.0569615, 0.0390742, 0.0310142, 0.0210079, 0.0134803, 0.00940182, 0.00447252, 0.00293721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.205481, 0.216138, 0.226735, 0.232735, 0.240072, 0.248888, 0.258122, 0.262875, 0.27238, 0.28107, 0.284755, 0.292127, 0.307165, 0.327483, 0.337231, 0.350229, 0.367855, 0.384807, 0.414624, 0.434453, 0.449604, 0.47171, 0.488889, 0.502072, 0.523698, 0.529772, 0.54192, 0.566216, 0.587382, 0.615698, 0.653453, 0.724993, 0.807157");
-            values ( \
-              "0.106833, 0.170078, 0.245252, 0.276262, 0.303216, 0.32334, 0.33207, 0.335623, 0.338241, 0.336988, 0.335603, 0.332153, 0.322576, 0.306501, 0.297536, 0.283972, 0.26123, 0.234432, 0.183067, 0.151641, 0.129546, 0.101308, 0.0828165, 0.0705223, 0.0536367, 0.0496157, 0.0423378, 0.030585, 0.0229165, 0.0155041, 0.00905694, 0.00298388, 0.000791626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.215, 0.241081, 0.248877, 0.258041, 0.265609, 0.273028, 0.284851, 0.297437, 0.310041, 0.355644, 0.401742, 0.454294, 0.497736, 0.531859, 0.57092, 0.662225, 0.71428, 0.75699, 0.788318, 0.821282, 0.877869, 0.903679, 0.955301, 0.999436, 1.05845, 1.13714, 1.21931, 1.30147, 1.4658");
-            values ( \
-              "0.283835, 0.325278, 0.34573, 0.359103, 0.367249, 0.37182, 0.374282, 0.373278, 0.370247, 0.354578, 0.336952, 0.315208, 0.294131, 0.27339, 0.244731, 0.171463, 0.133643, 0.106805, 0.089778, 0.0742052, 0.0527742, 0.0449939, 0.0323931, 0.0243584, 0.01655, 0.00971344, 0.0055433, 0.00313996, 0.00100456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.222725, 0.303819, 0.342905, 0.618776, 0.730697, 0.85135, 0.987465, 1.34239, 1.48507, 1.65763, 1.7905, 1.87266, 2.0012, 2.15823, 2.42558");
-            values ( \
-              "0.39344, 0.394622, 0.390059, 0.341847, 0.320542, 0.292766, 0.249775, 0.124532, 0.0869467, 0.054249, 0.0370409, 0.0290952, 0.0198285, 0.0123171, 0.00594417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.348856, 0.357887, 0.362545, 0.366251, 0.377057, 0.383273, 0.390498, 0.396712, 0.402401, 0.407917, 0.413421, 0.415808, 0.422074, 0.424302, 0.428759, 0.434835, 0.43913, 0.444087, 0.446967, 0.452726, 0.457466, 0.465047, 0.475154, 0.490125");
-            values ( \
-              "0.0126204, 0.0164393, 0.0235806, 0.0321467, 0.0649868, 0.0818253, 0.0995098, 0.111587, 0.118406, 0.119783, 0.111682, 0.101895, 0.0723925, 0.0634033, 0.0484569, 0.0335943, 0.0256187, 0.0186996, 0.0155321, 0.0106716, 0.00788946, 0.00490844, 0.002639, 0.00115795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.35548, 0.36772, 0.392502, 0.401652, 0.40971, 0.417352, 0.424996, 0.43262, 0.448497, 0.455856, 0.463408, 0.474785, 0.491062, 0.505006, 0.517067, 0.523882");
-            values ( \
-              "0.03193, 0.0492874, 0.146744, 0.174793, 0.189544, 0.194925, 0.190201, 0.170666, 0.0999948, 0.0751246, 0.0550919, 0.0335792, 0.01605, 0.00847471, 0.00486366, 0.00407976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.363161, 0.380553, 0.395615, 0.399748, 0.407531, 0.420648, 0.432943, 0.445239, 0.457493, 0.458998, 0.465019, 0.472217, 0.486611, 0.505689, 0.515822, 0.523421, 0.531229, 0.544595, 0.555484, 0.561368, 0.577087, 0.591881, 0.608788, 0.641126, 0.684298");
-            values ( \
-              "0.0700964, 0.11918, 0.193178, 0.210724, 0.237716, 0.264391, 0.271244, 0.265641, 0.24953, 0.246504, 0.232707, 0.212026, 0.165234, 0.112254, 0.0894474, 0.0749146, 0.062046, 0.0444231, 0.0327525, 0.0278474, 0.0184282, 0.0124794, 0.0078453, 0.00306783, 0.000778147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.367806, 0.389529, 0.399709, 0.408415, 0.421542, 0.432776, 0.449196, 0.45527, 0.466439, 0.477964, 0.500844, 0.527236, 0.548293, 0.592487, 0.61172, 0.637302, 0.660897, 0.676043, 0.695681, 0.712175, 0.730608, 0.75672, 0.783379, 0.803723, 0.84441, 0.920833, 1.003");
-            values ( \
-              "0.0992112, 0.181076, 0.235729, 0.272529, 0.308986, 0.324305, 0.330911, 0.329888, 0.32589, 0.319293, 0.302413, 0.275881, 0.246784, 0.172358, 0.142759, 0.108075, 0.0821673, 0.0682852, 0.0532271, 0.0429759, 0.0336487, 0.0235663, 0.0163437, 0.0123056, 0.00685186, 0.00204887, 0.000539071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.373418, 0.390933, 0.405034, 0.410633, 0.421831, 0.43559, 0.451941, 0.466632, 0.48081, 0.503294, 0.526733, 0.60803, 0.660856, 0.680501, 0.719791, 0.844857, 0.878269, 0.92623, 0.964947, 0.994862, 1.0493, 1.07287, 1.11156, 1.16644, 1.22219, 1.26468, 1.34685, 1.42901, 1.51118, 1.67551");
-            values ( \
-              "0.179406, 0.198418, 0.275215, 0.298452, 0.332607, 0.356073, 0.367333, 0.368904, 0.366958, 0.360767, 0.352726, 0.322661, 0.298507, 0.287615, 0.261881, 0.162922, 0.138701, 0.108025, 0.087131, 0.0732698, 0.0527765, 0.0456155, 0.0357388, 0.0250555, 0.0174198, 0.0131467, 0.00751182, 0.00427365, 0.00242374, 0.000776869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.380822, 0.407143, 0.429111, 0.439623, 0.456924, 0.472579, 0.487563, 0.512205, 0.555023, 0.584077, 0.643759, 0.83825, 0.901918, 0.984082, 1.02266, 1.08497, 1.15874, 1.36299, 1.43133, 1.5135, 1.58685, 1.65654, 1.72151, 1.82891, 1.87937, 1.96154, 2.0437, 2.17258, 2.24769, 2.32986, 2.49419, 2.65852, 2.90501, 3.1515");
-            values ( \
-              "0.270541, 0.292928, 0.360367, 0.375427, 0.387432, 0.390625, 0.390555, 0.388031, 0.380615, 0.377488, 0.367688, 0.332928, 0.320514, 0.30253, 0.2927, 0.274623, 0.249727, 0.174367, 0.150668, 0.124552, 0.103976, 0.0868826, 0.0730607, 0.0542651, 0.0470038, 0.0370821, 0.0291009, 0.0198342, 0.0157919, 0.0123151, 0.00744544, 0.00448777, 0.00207934, 0.000977399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.665171, 0.682605, 0.687592, 0.694346, 0.701966, 0.716655, 0.72799, 0.737969, 0.745278, 0.752182, 0.759016, 0.765841, 0.773791, 0.781347, 0.789748, 0.794482, 0.800795, 0.805739, 0.813414, 0.823646, 0.840831, 0.848451");
-            values ( \
-              "0.00569114, 0.00935713, 0.0114576, 0.0172477, 0.0287154, 0.0565761, 0.0734584, 0.085922, 0.0931943, 0.097087, 0.0949493, 0.076184, 0.0498155, 0.0328766, 0.0201669, 0.0152077, 0.0103571, 0.00769571, 0.00491613, 0.00275808, 0.00112179, 0.000968473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.675331, 0.694834, 0.703395, 0.715863, 0.743476, 0.753564, 0.762707, 0.771526, 0.780321, 0.799536, 0.807958, 0.817418, 0.831585, 0.844088, 0.860986, 0.87901, 0.884091");
-            values ( \
-              "0.0179253, 0.0269728, 0.043255, 0.0749564, 0.136678, 0.154859, 0.165707, 0.168364, 0.156452, 0.0862392, 0.0629753, 0.042991, 0.0233659, 0.0133624, 0.0062967, 0.0027701, 0.00238862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.684355, 0.703697, 0.711082, 0.749902, 0.765536, 0.771766, 0.779373, 0.792684, 0.80599, 0.811613, 0.82083, 0.848826, 0.861714, 0.867579, 0.881647, 0.891592, 0.899881, 0.90929, 0.922578, 0.928313, 0.939782, 0.962722, 0.996918");
-            values ( \
-              "0.0416066, 0.0546762, 0.0747568, 0.191543, 0.228315, 0.23804, 0.24586, 0.249107, 0.239174, 0.22965, 0.207141, 0.125702, 0.0950446, 0.083319, 0.0596485, 0.0465844, 0.0377539, 0.0295828, 0.0208013, 0.0178647, 0.013122, 0.00693175, 0.00264442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.695429, 0.716618, 0.750441, 0.766299, 0.778759, 0.800861, 0.806894, 0.814937, 0.826242, 0.84968, 0.875986, 0.889193, 0.904872, 0.934956, 0.96885, 0.991681, 1.00969, 1.02362, 1.04968, 1.07903, 1.10466, 1.13718, 1.18053, 1.23379, 1.24332");
-            values ( \
-              "0.0880652, 0.100095, 0.220002, 0.268561, 0.294541, 0.315763, 0.316912, 0.316507, 0.313301, 0.299666, 0.274796, 0.25773, 0.233435, 0.1824, 0.13084, 0.10169, 0.0824183, 0.0695826, 0.0500587, 0.0340516, 0.0240498, 0.0153766, 0.00826776, 0.00339485, 0.00313996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.70989, 0.739321, 0.752876, 0.769098, 0.781522, 0.80394, 0.82232, 0.82879, 0.841731, 0.866839, 0.891511, 0.923208, 0.971784, 1.00666, 1.02908, 1.07393, 1.1808, 1.22778, 1.25613, 1.2961, 1.34516, 1.38679, 1.42197, 1.46071, 1.51564, 1.57149, 1.61408, 1.69625, 1.77841, 1.86058, 2.0249");
-            values ( \
-              "0.171375, 0.190499, 0.24399, 0.297784, 0.326636, 0.354273, 0.360937, 0.361148, 0.36018, 0.354375, 0.346314, 0.334851, 0.31529, 0.299174, 0.287088, 0.257563, 0.172748, 0.138123, 0.119646, 0.0963977, 0.0727631, 0.0566999, 0.0456578, 0.0357369, 0.0250742, 0.0174178, 0.0131257, 0.00751017, 0.00426309, 0.00242736, 0.000781873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.721341, 0.801786, 0.819843, 0.836023, 0.8603, 0.922601, 1.13926, 1.34163, 1.5082, 1.86337, 2.0056, 2.1784, 2.39376, 2.52185, 2.67857, 2.92543");
-            values ( \
-              "0.233897, 0.369942, 0.382003, 0.385986, 0.386499, 0.378366, 0.341134, 0.300534, 0.249721, 0.124439, 0.0869851, 0.0542605, 0.0290562, 0.0198452, 0.0123423, 0.00644877" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(A * !B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0777935, 0.0905789, 0.116069, 0.1702, 0.290077, 0.558063", \
-            "0.0826728, 0.0954706, 0.120946, 0.175103, 0.294978, 0.562962", \
-            "0.093523, 0.10624, 0.131688, 0.185896, 0.305824, 0.573831", \
-            "0.111945, 0.124841, 0.150436, 0.204749, 0.324809, 0.592834", \
-            "0.129746, 0.14315, 0.169048, 0.223371, 0.343997, 0.612085", \
-            "0.135471, 0.150329, 0.177664, 0.232488, 0.352603, 0.621219" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0277789, 0.0424377, 0.0760455, 0.153523, 0.329278, 0.723747", \
-            "0.0277757, 0.0424367, 0.0760634, 0.153513, 0.329335, 0.723741", \
-            "0.0277892, 0.0424468, 0.0760368, 0.153494, 0.329281, 0.723741", \
-            "0.028957, 0.043554, 0.0765949, 0.153656, 0.329324, 0.723745", \
-            "0.0320796, 0.0458173, 0.0780832, 0.154526, 0.329738, 0.723758", \
-            "0.0389698, 0.0520677, 0.082335, 0.156386, 0.330458, 0.724466" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0632984, 0.0658763, 0.0713661, 0.0764605, 0.0809261, 0.0851154, 0.0892562, 0.0941184, 0.110863, 0.116222, 0.12493, 0.133486, 0.148463, 0.156016");
-            values ( \
-              "0.0290822, 0.0726804, 0.11529, 0.140442, 0.15365, 0.158662, 0.158177, 0.148579, 0.0563815, 0.0393613, 0.02154, 0.011829, 0.0043113, 0.00284605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0611109, 0.0759392, 0.0831141, 0.0888033, 0.093454, 0.100458, 0.105228, 0.108625, 0.115306, 0.125596, 0.134191, 0.143997, 0.154361, 0.167271, 0.181741, 0.205332");
-            values ( \
-              "0.0126512, 0.185543, 0.220319, 0.233754, 0.237173, 0.233298, 0.224934, 0.214985, 0.185692, 0.127236, 0.0900157, 0.0586829, 0.0366241, 0.0202973, 0.0100165, 0.00396486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0622593, 0.0765449, 0.082074, 0.0856213, 0.0903511, 0.0947559, 0.103566, 0.105567, 0.109569, 0.11639, 0.127621, 0.13268, 0.139609, 0.14649, 0.153286, 0.166879, 0.179263, 0.186729, 0.198603, 0.203223, 0.211139, 0.221625, 0.231222, 0.244018, 0.25411, 0.265276, 0.280164, 0.309941, 0.350467, 0.400476");
-            values ( \
-              "0.00791636, 0.22269, 0.26017, 0.276854, 0.291921, 0.30101, 0.307167, 0.307337, 0.305498, 0.300457, 0.285596, 0.276588, 0.261233, 0.24167, 0.218661, 0.170225, 0.132162, 0.112062, 0.0850345, 0.0760545, 0.0625377, 0.0478375, 0.0372507, 0.0264317, 0.0200928, 0.0148051, 0.00977332, 0.00405825, 0.00111883, 0.000205632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0679017, 0.0783996, 0.0839682, 0.0906032, 0.0954445, 0.105127, 0.116386, 0.123098, 0.137204, 0.158833, 0.181683, 0.197942, 0.206355, 0.219091, 0.234378, 0.26362, 0.29796, 0.324378, 0.336555, 0.349544, 0.370796, 0.38874, 0.412666, 0.433533, 0.461491, 0.498769, 0.567551, 0.647737");
-            values ( \
-              "0.143535, 0.258938, 0.297284, 0.327267, 0.34062, 0.355023, 0.35831, 0.356642, 0.349221, 0.333136, 0.312752, 0.295046, 0.284638, 0.265613, 0.239739, 0.186421, 0.131644, 0.09731, 0.0840196, 0.0717677, 0.0543267, 0.042839, 0.031179, 0.0231096, 0.0155766, 0.00930593, 0.00298733, 0.000980723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0743291, 0.0971767, 0.106862, 0.11893, 0.13268, 0.147048, 0.183199, 0.250709, 0.301679, 0.345975, 0.408722, 0.514504, 0.59482, 0.666485, 0.716595, 0.776719, 0.831331, 0.931891, 1.01208, 1.09226, 1.11754");
-            values ( \
-              "0.35893, 0.365108, 0.381266, 0.389227, 0.389467, 0.386534, 0.374034, 0.347353, 0.324815, 0.301369, 0.255863, 0.166889, 0.110249, 0.0728713, 0.0535691, 0.0365398, 0.0255269, 0.0130585, 0.00750725, 0.0042962, 0.00392859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.0816254, 0.0816454, 0.246462, 0.461176, 0.56972, 0.686846, 0.767032, 0.819607, 0.985889, 1.08298, 1.14406, 1.22383, 1.27334, 1.33936, 1.41954, 1.4768, 1.53413, 1.61057, 1.69076, 1.77949, 1.88799, 2.04836, 2.20873, 2.52947, 2.77003");
-            values ( \
-              "1e-22, 0.436462, 0.392042, 0.352726, 0.330612, 0.301233, 0.275031, 0.25583, 0.190487, 0.154566, 0.134193, 0.109883, 0.0966429, 0.0811914, 0.0647947, 0.0550595, 0.046647, 0.037482, 0.0292733, 0.022359, 0.0160149, 0.00970189, 0.00583299, 0.00204858, 0.00115418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.080903, 0.0866911, 0.0912788, 0.0953349, 0.0993307, 0.10238, 0.107189, 0.109972, 0.112356, 0.11664, 0.122572, 0.131074, 0.136207, 0.145285, 0.157144, 0.170816, 0.177387");
-            values ( \
-              "0.0091629, 0.0902898, 0.121514, 0.140564, 0.152473, 0.157395, 0.15864, 0.155688, 0.150413, 0.131567, 0.0907213, 0.051477, 0.036487, 0.0194555, 0.00850953, 0.00342286, 0.0024758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.0810036, 0.090511, 0.0930261, 0.0948274, 0.0984301, 0.102007, 0.108457, 0.114695, 0.120972, 0.123851, 0.127516, 0.128902, 0.13167, 0.141336, 0.147371, 0.151262, 0.158027, 0.162035, 0.164745, 0.170166, 0.174991, 0.180598, 0.188073, 0.194738, 0.198207, 0.205144, 0.219018, 0.24168, 0.268998");
-            values ( \
-              "0.00149006, 0.153113, 0.173583, 0.185929, 0.206176, 0.22044, 0.234654, 0.236992, 0.231059, 0.22547, 0.215291, 0.2103, 0.198184, 0.143405, 0.113605, 0.0968737, 0.0726288, 0.0610899, 0.0541726, 0.0423789, 0.0339808, 0.0261976, 0.0183977, 0.0134469, 0.0114285, 0.00822672, 0.00420781, 0.00132088, 0.000327157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0807828, 0.0953515, 0.102059, 0.108403, 0.113554, 0.123286, 0.130835, 0.135187, 0.14389, 0.151475, 0.158428, 0.170679, 0.188559, 0.204472, 0.222777, 0.233512, 0.247515, 0.270543, 0.28738, 0.300826, 0.32772, 0.337498");
-            values ( \
-              "0.00298567, 0.223132, 0.266311, 0.290182, 0.300933, 0.307497, 0.30418, 0.30028, 0.289467, 0.27659, 0.261213, 0.224102, 0.161249, 0.114791, 0.0746653, 0.057131, 0.0397898, 0.0213935, 0.013501, 0.00930521, 0.00422487, 0.00349084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0860191, 0.0946281, 0.102874, 0.109321, 0.114346, 0.12434, 0.135287, 0.145632, 0.156111, 0.177742, 0.197593, 0.218567, 0.225254, 0.238035, 0.253274, 0.282521, 0.301948, 0.316838, 0.338528, 0.355467, 0.368474, 0.389701, 0.395674, 0.407619, 0.431511, 0.452413, 0.480419, 0.51776, 0.588349, 0.668534");
-            values ( \
-              "0.107451, 0.235671, 0.297588, 0.326476, 0.340836, 0.355114, 0.358351, 0.35505, 0.34924, 0.33309, 0.315548, 0.293057, 0.284516, 0.265651, 0.239621, 0.18653, 0.154441, 0.131803, 0.10307, 0.0841248, 0.0716158, 0.0544414, 0.0503992, 0.0429684, 0.0310817, 0.0232342, 0.0156872, 0.00917532, 0.0029945, 0.000833734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0926401, 0.114222, 0.125829, 0.137628, 0.151215, 0.180363, 0.271089, 0.32038, 0.364135, 0.43385, 0.573698, 0.647667, 0.679888, 0.735305, 0.810934, 0.854893, 0.91378, 0.992296, 1.07248, 1.12399");
-            values ( \
-              "0.349726, 0.360963, 0.381789, 0.389029, 0.389668, 0.381758, 0.346509, 0.324814, 0.301692, 0.250536, 0.136538, 0.0909776, 0.0752452, 0.053571, 0.0330058, 0.0247393, 0.0167268, 0.00975119, 0.00559906, 0.00462182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.099847, 0.099867, 0.263981, 0.52432, 0.588422, 0.668607, 0.766194, 0.838209, 1.03889, 1.18762, 1.25803, 1.32453, 1.38918, 1.46937, 1.54999, 1.63018, 1.71036, 1.79821, 1.90529, 2.06566, 2.22603, 2.54677, 2.78733");
-            values ( \
-              "1e-22, 0.433807, 0.392424, 0.34396, 0.330442, 0.311471, 0.281996, 0.255705, 0.177459, 0.126137, 0.105647, 0.0887041, 0.0745309, 0.0593827, 0.0470879, 0.0373201, 0.0292462, 0.0224156, 0.0161459, 0.0098079, 0.00592401, 0.0021259, 0.00108899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.123294, 0.126255, 0.131845, 0.136966, 0.141434, 0.145633, 0.149774, 0.15463, 0.171342, 0.176752, 0.185441, 0.193963, 0.209001, 0.216962");
-            values ( \
-              "0.0187945, 0.0698644, 0.114402, 0.14013, 0.153356, 0.158714, 0.158074, 0.148638, 0.0565261, 0.0393277, 0.0215436, 0.0118567, 0.00430055, 0.00276415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.12402, 0.128743, 0.130721, 0.134541, 0.140018, 0.143575, 0.150033, 0.156276, 0.162642, 0.165295, 0.169114, 0.175055, 0.185005, 0.192375, 0.199285, 0.204016, 0.207277, 0.213798, 0.217708, 0.224171, 0.232706, 0.242198, 0.249792, 0.264979, 0.28863, 0.317503");
-            values ( \
-              "0.00709003, 0.117494, 0.139102, 0.172019, 0.205552, 0.220004, 0.234423, 0.23696, 0.230897, 0.225779, 0.215241, 0.188956, 0.132331, 0.0987594, 0.0735953, 0.0600043, 0.0519016, 0.0385364, 0.032215, 0.0238452, 0.0158967, 0.0101827, 0.00710691, 0.00339871, 0.00100888, 0.000226339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.124439, 0.131881, 0.138623, 0.143576, 0.148038, 0.155102, 0.164411, 0.168986, 0.179995, 0.186541, 0.195163, 0.2096, 0.234277, 0.252636, 0.265755, 0.273037, 0.285177, 0.299761, 0.313009, 0.337611, 0.358977, 0.386899");
-            values ( \
-              "0.00363523, 0.173441, 0.235289, 0.265364, 0.283985, 0.300875, 0.307546, 0.306315, 0.296644, 0.287797, 0.272186, 0.232836, 0.147991, 0.098511, 0.0721091, 0.0600884, 0.0440071, 0.02992, 0.0209349, 0.0105954, 0.00580743, 0.00282607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.129367, 0.136225, 0.143459, 0.150647, 0.155955, 0.165751, 0.176899, 0.187247, 0.197722, 0.215824, 0.242163, 0.252019, 0.266819, 0.276764, 0.290024, 0.330517, 0.342726, 0.367144, 0.385676, 0.409649, 0.437221, 0.450258, 0.476334, 0.501912, 0.515725, 0.543351, 0.598603, 0.675089, 0.755274");
-            values ( \
-              "0.195924, 0.234634, 0.291192, 0.325265, 0.340493, 0.355028, 0.358235, 0.355197, 0.349138, 0.335985, 0.312691, 0.302532, 0.284589, 0.27019, 0.248187, 0.175888, 0.155641, 0.119575, 0.0964849, 0.0719004, 0.0503473, 0.0424048, 0.0296395, 0.0207814, 0.0171963, 0.0115337, 0.00508451, 0.00142383, 0.000438052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.129442, 0.143057, 0.151249, 0.157736, 0.167078, 0.177148, 0.1841, 0.193368, 0.207644, 0.25129, 0.296842, 0.345174, 0.39628, 0.415419, 0.453697, 0.559785, 0.609549, 0.6561, 0.693667, 0.722636, 0.777097, 0.800793, 0.838741, 0.892715, 0.91612, 0.962929, 1.04311, 1.1233, 1.20349, 1.36386");
-            values ( \
-              "0.183922, 0.302347, 0.345478, 0.366018, 0.381524, 0.388694, 0.389886, 0.389436, 0.386381, 0.371083, 0.353114, 0.332659, 0.307305, 0.295734, 0.268468, 0.179133, 0.140776, 0.109798, 0.0887936, 0.0747911, 0.0535445, 0.0461874, 0.0361571, 0.0254166, 0.021721, 0.0159347, 0.00912889, 0.00528276, 0.0029673, 0.000942541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.135302, 0.163559, 0.17317, 0.183056, 0.196829, 0.212717, 0.259484, 0.499416, 0.630051, 0.710237, 0.807823, 0.879838, 1.08052, 1.22925, 1.29966, 1.36616, 1.43081, 1.53787, 1.59162, 1.67181, 1.75199, 1.87553, 2.0271, 2.18747, 2.34784, 2.5884, 2.82896");
-            values ( \
-              "0.372394, 0.388943, 0.400646, 0.405883, 0.408092, 0.4073, 0.400371, 0.356864, 0.330509, 0.311405, 0.281931, 0.255769, 0.177522, 0.1262, 0.105709, 0.0887658, 0.0744694, 0.0550808, 0.0471494, 0.0372586, 0.0293077, 0.020177, 0.0126633, 0.00769539, 0.00466186, 0.00218755, 0.00102725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.204401, 0.209603, 0.216936, 0.222784, 0.226616, 0.22966, 0.232081, 0.236408, 0.240734, 0.243885, 0.251763, 0.256716, 0.263546, 0.268064, 0.272739, 0.278708, 0.284345, 0.291285, 0.296794, 0.307811, 0.319642");
-            values ( \
-              "0.00442399, 0.0460267, 0.096678, 0.126824, 0.14023, 0.147394, 0.150782, 0.152305, 0.147004, 0.135985, 0.086017, 0.0621372, 0.0397768, 0.0293269, 0.0213063, 0.0141291, 0.0095901, 0.00604702, 0.00420319, 0.00205661, 0.00110055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.20297, 0.225579, 0.229655, 0.236463, 0.242904, 0.249015, 0.249392, 0.250146, 0.251653, 0.253831, 0.256121, 0.2607, 0.273203, 0.276661, 0.28124, 0.287155, 0.29234, 0.296356, 0.303927, 0.307342, 0.312677, 0.320362, 0.328541, 0.334842, 0.347444, 0.360748");
-            values ( \
-              "0.00178158, 0.187247, 0.206316, 0.225328, 0.230787, 0.226502, 0.224685, 0.222894, 0.220967, 0.216753, 0.211157, 0.194144, 0.12705, 0.110836, 0.0919284, 0.0715654, 0.0571449, 0.047744, 0.0337866, 0.0288584, 0.0224801, 0.015634, 0.0106541, 0.0079063, 0.00432287, 0.00258192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.202922, 0.235245, 0.241566, 0.248941, 0.259237, 0.26362, 0.27123, 0.280667, 0.286969, 0.299272, 0.317075, 0.33316, 0.351225, 0.361757, 0.376158, 0.399197, 0.428731, 0.454884, 0.45993");
-            values ( \
-              "0.0216274, 0.274817, 0.292234, 0.300963, 0.299553, 0.297158, 0.289475, 0.274612, 0.260886, 0.223868, 0.161334, 0.114434, 0.0748798, 0.0575639, 0.0397179, 0.0213588, 0.00945891, 0.00442631, 0.00402577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.210181, 0.221535, 0.227547, 0.23057, 0.236617, 0.242528, 0.248918, 0.257421, 0.261965, 0.26754, 0.274973, 0.284751, 0.306398, 0.32924, 0.352413, 0.371638, 0.417472, 0.445558, 0.467071, 0.484348, 0.497601, 0.518489, 0.524345, 0.536058, 0.553902, 0.579388, 0.590338, 0.612238, 0.656037, 0.727421, 0.807606");
-            values ( \
-              "0.0536285, 0.206346, 0.259294, 0.279933, 0.311687, 0.331704, 0.344881, 0.351255, 0.353762, 0.354591, 0.353124, 0.34852, 0.332988, 0.312639, 0.286674, 0.257599, 0.176055, 0.131755, 0.103192, 0.0839321, 0.0711764, 0.0543858, 0.0503743, 0.0431282, 0.0338839, 0.0238113, 0.020447, 0.015017, 0.00791152, 0.00256278, 0.000688953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.214223, 0.228964, 0.241937, 0.248693, 0.255789, 0.266308, 0.27364, 0.28536, 0.297154, 0.353405, 0.398167, 0.449138, 0.492681, 0.521819, 0.562621, 0.668126, 0.701758, 0.74461, 0.776816, 0.819757, 0.853782, 0.880732, 0.916664, 0.975796, 1.04199, 1.09431, 1.1745, 1.25468, 1.33487, 1.49524");
-            values ( \
-              "0.166307, 0.282638, 0.350139, 0.367546, 0.376463, 0.385831, 0.388231, 0.388024, 0.385469, 0.365175, 0.347261, 0.324823, 0.301815, 0.282568, 0.250523, 0.162108, 0.137029, 0.108915, 0.0907695, 0.070341, 0.0571183, 0.0482634, 0.0383589, 0.0260276, 0.0167878, 0.0117818, 0.00677113, 0.00386845, 0.00221298, 0.000720802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.22391, 0.267182, 0.276305, 0.288469, 0.307271, 0.33289, 0.540896, 0.688968, 0.772889, 0.834433, 0.914619, 0.967194, 1.16584, 1.23057, 1.29165, 1.38792, 1.48694, 1.56713, 1.64349, 1.68172, 1.75817, 1.83835, 1.909, 1.96324, 2.03557, 2.11575, 2.27613, 2.4365, 2.67705, 2.91761");
-            values ( \
-              "0.398559, 0.40165, 0.405806, 0.4076, 0.406467, 0.402674, 0.365484, 0.336591, 0.317662, 0.301099, 0.275165, 0.255695, 0.178223, 0.154702, 0.134056, 0.105417, 0.0810535, 0.0649333, 0.0522243, 0.0467868, 0.0373419, 0.0294132, 0.0237381, 0.0201359, 0.016156, 0.0125952, 0.00764887, 0.00462906, 0.00218985, 0.00101277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.36275, 0.372864, 0.384456, 0.39488, 0.400027, 0.404863, 0.409647, 0.414428, 0.42075, 0.42565, 0.433241, 0.442229, 0.449264, 0.454406, 0.460958, 0.469693, 0.477801");
-            values ( \
-              "0.00716852, 0.0344402, 0.0840523, 0.121515, 0.132949, 0.138043, 0.136206, 0.123021, 0.086282, 0.0634202, 0.0389702, 0.0213958, 0.0132985, 0.00940432, 0.00612163, 0.00347756, 0.00232414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.364412, 0.373883, 0.396758, 0.404461, 0.411462, 0.41829, 0.425105, 0.429359, 0.445114, 0.455221, 0.471524, 0.481878, 0.499007, 0.511855, 0.515294");
-            values ( \
-              "0.0195872, 0.0507147, 0.177588, 0.203875, 0.215094, 0.216024, 0.206538, 0.19282, 0.11437, 0.0756805, 0.0370007, 0.0229868, 0.0103228, 0.00559565, 0.00517401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.370356, 0.379022, 0.393038, 0.402227, 0.409557, 0.42128, 0.432604, 0.443926, 0.450085, 0.456313, 0.468755, 0.486507, 0.494908, 0.512783, 0.528068, 0.538248, 0.554327, 0.559355, 0.573376, 0.585927, 0.60027, 0.628956, 0.640623");
-            values ( \
-              "0.0586482, 0.0933803, 0.193381, 0.244851, 0.2712, 0.290648, 0.291027, 0.280528, 0.27103, 0.258454, 0.222729, 0.161437, 0.135825, 0.0909496, 0.0628311, 0.0485171, 0.0309769, 0.0268491, 0.0185322, 0.0132826, 0.00892089, 0.00386935, 0.00306638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.370592, 0.392621, 0.405097, 0.410209, 0.420433, 0.432407, 0.443214, 0.453652, 0.475483, 0.498456, 0.521775, 0.540824, 0.586995, 0.630772, 0.654431, 0.693806, 0.722714, 0.748476, 0.795116, 0.835514, 0.874804");
-            values ( \
-              "0.0378003, 0.210394, 0.288301, 0.309118, 0.335323, 0.347484, 0.348436, 0.344844, 0.330769, 0.311161, 0.285746, 0.257534, 0.175686, 0.110196, 0.0832461, 0.0503741, 0.0341857, 0.0239236, 0.0124333, 0.00688994, 0.00437247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.379144, 0.395642, 0.405142, 0.412622, 0.424157, 0.435489, 0.448744, 0.463308, 0.478766, 0.523249, 0.594753, 0.61921, 0.656577, 0.699964, 0.732732, 0.821825, 0.872763, 0.91496, 0.946301, 0.978592, 1.03412, 1.05942, 1.11002, 1.15379, 1.21242, 1.29059, 1.37078, 1.45096, 1.61133");
-            values ( \
-              "0.160698, 0.240324, 0.302375, 0.33564, 0.36593, 0.379291, 0.384132, 0.383321, 0.379419, 0.363802, 0.335819, 0.32481, 0.305395, 0.276618, 0.250415, 0.175035, 0.136354, 0.108733, 0.0910667, 0.0752952, 0.0535726, 0.0456956, 0.0329486, 0.0247236, 0.0167452, 0.00978916, 0.00561866, 0.00320594, 0.00104057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.389198, 0.417568, 0.42637, 0.439559, 0.453351, 0.469431, 0.48557, 0.511661, 0.550151, 0.555706, 0.570946, 0.592433, 0.645149, 0.778896, 0.859081, 0.942991, 1.00454, 1.08472, 1.1373, 1.33595, 1.40069, 1.46177, 1.55803, 1.65704, 1.73723, 1.81361, 1.85184, 1.92831, 2.00849, 2.07912, 2.13334, 2.20564, 2.28583, 2.4462, 2.60657, 2.84712, 3.08768");
-            values ( \
-              "0.352407, 0.361639, 0.381917, 0.397474, 0.403297, 0.404427, 0.403185, 0.3996, 0.393302, 0.391781, 0.390235, 0.387005, 0.377598, 0.352598, 0.33658, 0.317652, 0.301099, 0.275155, 0.255694, 0.178222, 0.154695, 0.134056, 0.105418, 0.0810566, 0.0649333, 0.0522248, 0.0467837, 0.0373399, 0.0294093, 0.0237388, 0.0201381, 0.0161567, 0.0125984, 0.00765141, 0.00463122, 0.00218862, 0.0010145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.679944, 0.691923, 0.699816, 0.704901, 0.716854, 0.730805, 0.737449, 0.743477, 0.749223, 0.754969, 0.764391, 0.772438, 0.778845, 0.787798, 0.798326, 0.80536, 0.814738, 0.828314");
-            values ( \
-              "0.00412802, 0.0103975, 0.020397, 0.0303984, 0.0619543, 0.0927114, 0.104771, 0.112642, 0.115552, 0.108985, 0.068304, 0.0426838, 0.0287312, 0.0162344, 0.00825584, 0.00535018, 0.00305259, 0.00150727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.671338, 0.691153, 0.698898, 0.704921, 0.742122, 0.750554, 0.758379, 0.766098, 0.773808, 0.787019, 0.7974, 0.808518, 0.819607, 0.832948, 0.847656, 0.860441, 0.864098");
-            values ( \
-              "0.0051854, 0.0144709, 0.0270683, 0.0421285, 0.165149, 0.183479, 0.191731, 0.189358, 0.170322, 0.110512, 0.0736119, 0.0461866, 0.0281777, 0.0152823, 0.00785157, 0.00432279, 0.0038672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.690833, 0.705416, 0.711884, 0.743315, 0.747727, 0.755228, 0.761115, 0.773474, 0.785639, 0.797804, 0.79914, 0.804483, 0.812264, 0.826841, 0.844804, 0.855334, 0.863515, 0.874421, 0.884089, 0.896278, 0.911104, 0.927694, 0.940914, 0.967354, 1.00739");
-            values ( \
-              "0.0370745, 0.0532669, 0.0769474, 0.211238, 0.227787, 0.249144, 0.261203, 0.272261, 0.269074, 0.253086, 0.250378, 0.237772, 0.214623, 0.165672, 0.114538, 0.0901566, 0.0742712, 0.0567153, 0.044371, 0.0322943, 0.0216729, 0.0138402, 0.00961182, 0.00449295, 0.00128951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.690688, 0.715149, 0.742746, 0.758555, 0.7728, 0.788911, 0.795008, 0.80599, 0.817237, 0.851637, 0.865314, 0.890736, 0.929332, 0.958827, 0.99494, 1.01577, 1.03534, 1.05975, 1.0881, 1.11918, 1.14375, 1.1929, 1.22968");
-            values ( \
-              "0.0220911, 0.0986006, 0.236298, 0.297416, 0.325985, 0.33612, 0.335971, 0.332701, 0.326735, 0.29839, 0.282557, 0.243772, 0.175406, 0.1295, 0.0852901, 0.0657915, 0.0511177, 0.0369524, 0.0250048, 0.0162363, 0.0114586, 0.00554244, 0.00288817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.690787, 0.763394, 0.775355, 0.791691, 0.806139, 0.840807, 0.911317, 0.960745, 1.01073, 1.06288, 1.19276, 1.24488, 1.32732, 1.39685, 1.49081, 1.59308, 1.71223");
-            values ( \
-              "0.011121, 0.332977, 0.357889, 0.373526, 0.376668, 0.370504, 0.344989, 0.323834, 0.297315, 0.259775, 0.152484, 0.11606, 0.0724051, 0.0470939, 0.0255707, 0.0129409, 0.00649119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.722114, 0.75573, 0.76871, 0.779384, 0.795758, 0.811556, 0.826432, 0.848981, 0.879132, 1.0676, 1.20073, 1.25383, 1.30821, 1.40695, 1.479, 1.67972, 1.7483, 1.82849, 1.89886, 1.9653, 2.02997, 2.13708, 2.19091, 2.27109, 2.35128, 2.47471, 2.6262, 2.78657, 2.94694, 3.1875, 3.42805");
-            values ( \
-              "0.288397, 0.318121, 0.359289, 0.378881, 0.394309, 0.399256, 0.40019, 0.398578, 0.394572, 0.361946, 0.336173, 0.324927, 0.311689, 0.281913, 0.255746, 0.177503, 0.152654, 0.126178, 0.105703, 0.0887746, 0.0744752, 0.0550809, 0.0471356, 0.0372515, 0.0292987, 0.0201822, 0.0126713, 0.00770194, 0.00466755, 0.00218422, 0.00103233" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(A * !B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.083574, 0.0977359, 0.124749, 0.176766, 0.277005, 0.486846", \
-            "0.0885482, 0.102737, 0.129812, 0.181895, 0.282188, 0.491961", \
-            "0.101853, 0.116009, 0.143225, 0.195457, 0.29574, 0.505556", \
-            "0.130398, 0.144944, 0.172579, 0.225369, 0.326049, 0.535826", \
-            "0.175068, 0.191962, 0.222514, 0.278603, 0.381361, 0.59146", \
-            "0.244946, 0.264921, 0.301515, 0.36515, 0.474244, 0.68692" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0280536, 0.04218, 0.0713546, 0.128556, 0.24746, 0.52152", \
-            "0.0280543, 0.0421806, 0.071335, 0.128526, 0.247486, 0.521521", \
-            "0.0281072, 0.0422381, 0.0713387, 0.128504, 0.247403, 0.521514", \
-            "0.0302415, 0.0439492, 0.0725961, 0.129281, 0.247927, 0.521627", \
-            "0.0370468, 0.0514267, 0.0801343, 0.135849, 0.251607, 0.522438", \
-            "0.046634, 0.0637257, 0.095352, 0.151625, 0.263135, 0.527145" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0622046, 0.075049, 0.0776324, 0.0859058, 0.0905926, 0.0948382, 0.0986164, 0.10127, 0.103981, 0.108496, 0.116742, 0.122264, 0.127448, 0.133831, 0.138995, 0.149168");
-            values ( \
-              "-0.00116363, -0.100943, -0.112661, -0.136299, -0.143749, -0.147809, -0.148759, -0.14745, -0.142975, -0.124093, -0.064471, -0.0356309, -0.0194362, -0.00892015, -0.00456663, -0.00153569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0657075, 0.0706839, 0.0774404, 0.0844535, 0.0921373, 0.099167, 0.105955, 0.114464, 0.118846, 0.12275, 0.12863, 0.146213, 0.152946, 0.161401, 0.17126, 0.179898, 0.182745");
-            values ( \
-              "-0.0222853, -0.0959132, -0.147189, -0.178649, -0.199942, -0.211576, -0.218381, -0.220537, -0.217006, -0.208964, -0.185287, -0.072432, -0.0453093, -0.0242194, -0.0115275, -0.00569531, -0.00492683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0663966, 0.0732355, 0.0795109, 0.0875803, 0.0965145, 0.105086, 0.1134, 0.12333, 0.136434, 0.147753, 0.155354, 0.159447, 0.167632, 0.189218, 0.198759, 0.210403, 0.225915, 0.239389, 0.247869, 0.263995, 0.268463");
-            values ( \
-              "-0.0556237, -0.137066, -0.185317, -0.224086, -0.250092, -0.266374, -0.277871, -0.286529, -0.290891, -0.288589, -0.280876, -0.273671, -0.247723, -0.136913, -0.0977224, -0.0627274, -0.0335649, -0.0192062, -0.0133798, -0.00663915, -0.00583915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0657009, 0.0768517, 0.0840981, 0.0914702, 0.100924, 0.115544, 0.124975, 0.1353, 0.167953, 0.181838, 0.188278, 0.198362, 0.20839, 0.228606, 0.230935, 0.240248, 0.266798, 0.290135, 0.314023, 0.337077, 0.353708, 0.37645, 0.391796, 0.422487, 0.456157");
-            values ( \
-              "-0.0842396, -0.180551, -0.228022, -0.260212, -0.28688, -0.31409, -0.325865, -0.335385, -0.358582, -0.365113, -0.366767, -0.367171, -0.363763, -0.340866, -0.336217, -0.311187, -0.21663, -0.145196, -0.0926108, -0.0586888, -0.0417539, -0.0260864, -0.0188957, -0.00968924, -0.00518309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0759691, 0.106388, 0.117093, 0.129993, 0.164405, 0.186521, 0.206086, 0.223839, 0.247509, 0.256349, 0.268134, 0.288483, 0.326722, 0.354089, 0.369245, 0.399557, 0.453931, 0.495505, 0.538656, 0.570198, 0.604991, 0.647069, 0.661615, 0.695879, 0.735039, 0.802215, 0.862817");
-            values ( \
-              "-0.310923, -0.314254, -0.335045, -0.352786, -0.388418, -0.408255, -0.421284, -0.429124, -0.434379, -0.434936, -0.43464, -0.431482, -0.415724, -0.393424, -0.374672, -0.32158, -0.217534, -0.154065, -0.105317, -0.078885, -0.0569666, -0.0380051, -0.0330533, -0.0237418, -0.0161625, -0.0081847, -0.00452647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.081586, 0.14365, 0.196161, 0.21724, 0.240086, 0.261174, 0.298405, 0.339444, 0.392295, 0.417968, 0.45745, 0.498422, 0.565598, 0.615819, 0.672907, 0.740084, 0.859674, 0.926851, 0.963078, 1.01627, 1.08344, 1.15478, 1.20472, 1.2719, 1.31602, 1.40266, 1.46984, 1.60419, 1.73855, 2.00725");
-            values ( \
-              "-0.363982, -0.379756, -0.435635, -0.450715, -0.462303, -0.46894, -0.475025, -0.475477, -0.47133, -0.467718, -0.461382, -0.452955, -0.435351, -0.416534, -0.384112, -0.327596, -0.22067, -0.17186, -0.149143, -0.120642, -0.0916681, -0.0679925, -0.0547869, -0.0411117, -0.0337805, -0.0232593, -0.0171377, -0.00939166, -0.00511723, -0.00148048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0836915, 0.0874378, 0.0939599, 0.0997684, 0.105371, 0.111801, 0.11805, 0.120603, 0.122892, 0.127416, 0.13569, 0.141741, 0.147812, 0.155903, 0.167292, 0.167456");
-            values ( \
-              "-0.0107022, -0.0592864, -0.101587, -0.123236, -0.137078, -0.146243, -0.148716, -0.146975, -0.142921, -0.124043, -0.0642021, -0.0333817, -0.0163669, -0.00587334, -0.00129385, -0.00127826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.0815299, 0.0966954, 0.1027, 0.107063, 0.114464, 0.124873, 0.128172, 0.133376, 0.137777, 0.141668, 0.147538, 0.165121, 0.171874, 0.180324, 0.190165, 0.198785, 0.203249");
-            values ( \
-              "-0.00486451, -0.148369, -0.175795, -0.190126, -0.206171, -0.218227, -0.220114, -0.220407, -0.216886, -0.209044, -0.185255, -0.072416, -0.045348, -0.0242819, -0.0114764, -0.00577519, -0.00451417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0820286, 0.0943936, 0.0982004, 0.106468, 0.110688, 0.115717, 0.120023, 0.128294, 0.137181, 0.142205, 0.147357, 0.155297, 0.160959, 0.166614, 0.174237, 0.178307, 0.186446, 0.208095, 0.214409, 0.225894, 0.231875, 0.23794, 0.250411, 0.257985, 0.273133, 0.294995, 0.323628");
-            values ( \
-              "-0.00858217, -0.148412, -0.184115, -0.223022, -0.237241, -0.250182, -0.259036, -0.27256, -0.282562, -0.286311, -0.288945, -0.290786, -0.290577, -0.288494, -0.281009, -0.273583, -0.248052, -0.136723, -0.109829, -0.0715062, -0.0566221, -0.044288, -0.0264743, -0.0193927, -0.0099437, -0.00377586, -0.000910816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0902643, 0.103422, 0.110599, 0.119997, 0.131773, 0.140451, 0.154165, 0.17631, 0.187055, 0.202366, 0.207379, 0.217403, 0.227487, 0.247699, 0.250032, 0.259363, 0.285851, 0.299207, 0.309225, 0.319547, 0.333311, 0.343704, 0.355955, 0.37229, 0.383877, 0.395728, 0.411529, 0.443133, 0.493499, 0.553926");
-            values ( \
-              "-0.22211, -0.230081, -0.260834, -0.287135, -0.30994, -0.321981, -0.335356, -0.351855, -0.358694, -0.365678, -0.366842, -0.367267, -0.363813, -0.34091, -0.336237, -0.311133, -0.21677, -0.173413, -0.145202, -0.120068, -0.0922573, -0.0752638, -0.058941, -0.0421985, -0.033225, -0.0259869, -0.01864, -0.0093543, -0.00285536, -0.000634444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0945487, 0.125454, 0.136391, 0.151627, 0.204995, 0.230981, 0.245135, 0.269413, 0.307583, 0.345775, 0.37244, 0.388257, 0.419891, 0.470957, 0.505947, 0.540471, 0.568368, 0.589731, 0.614017, 0.646398, 0.674576, 0.703233, 0.741443, 0.808619, 0.875796, 1.01015");
-            values ( \
-              "-0.301058, -0.314731, -0.335732, -0.356117, -0.408079, -0.424452, -0.429885, -0.434753, -0.431667, -0.415832, -0.394173, -0.374705, -0.319, -0.220993, -0.165762, -0.122846, -0.0955139, -0.0784962, -0.062598, -0.046002, -0.0351035, -0.0266312, -0.0183127, -0.00928632, -0.0046829, -0.0011858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.100569, 0.155558, 0.197797, 0.215413, 0.236584, 0.259315, 0.280308, 0.317544, 0.358574, 0.411429, 0.437098, 0.517552, 0.584729, 0.634952, 0.69204, 0.759216, 0.878808, 0.945985, 0.999945, 1.03541, 1.10258, 1.17391, 1.22385, 1.29103, 1.33516, 1.42181, 1.48899, 1.55617, 1.69052, 1.82487, 2.0264");
-            values ( \
-              "-0.368592, -0.371624, -0.419397, -0.435732, -0.451041, -0.462284, -0.469094, -0.47493, -0.475598, -0.471214, -0.46783, -0.453065, -0.435231, -0.416412, -0.384224, -0.327474, -0.220785, -0.171742, -0.139139, -0.120753, -0.0915531, -0.067882, -0.0548973, -0.0410023, -0.0338901, -0.0231491, -0.0172455, -0.0127479, -0.00698919, -0.00381861, -0.00158828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.12727, 0.131194, 0.133491, 0.135853, 0.141433, 0.143824, 0.150725, 0.155392, 0.158102, 0.1621, 0.165111, 0.167013, 0.170818, 0.179192, 0.18494, 0.191601, 0.197966, 0.203171, 0.208808, 0.215862, 0.228996");
-            values ( \
-              "-0.0116099, -0.055194, -0.0669491, -0.0883998, -0.114256, -0.122354, -0.138919, -0.145442, -0.147565, -0.148511, -0.14627, -0.142713, -0.12796, -0.0681736, -0.0370725, -0.017006, -0.0077229, -0.00401251, -0.0018576, -0.00081232, -0.000687526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.129292, 0.137598, 0.140862, 0.146497, 0.152015, 0.158567, 0.168994, 0.172297, 0.177565, 0.181797, 0.185807, 0.191705, 0.203705, 0.209295, 0.216007, 0.22447, 0.234352, 0.243011, 0.257583, 0.262834");
-            values ( \
-              "-0.0513161, -0.125981, -0.148167, -0.174154, -0.191772, -0.20568, -0.217947, -0.219805, -0.220182, -0.216856, -0.20889, -0.18502, -0.103137, -0.0722764, -0.0454009, -0.0242911, -0.0114387, -0.00574801, -0.0016953, -0.00150063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.129108, 0.136551, 0.14278, 0.150819, 0.159804, 0.168283, 0.176604, 0.186529, 0.199633, 0.210951, 0.218587, 0.222645, 0.230761, 0.252446, 0.262182, 0.27336, 0.286921, 0.298187, 0.31811, 0.334989");
-            values ( \
-              "-0.0391526, -0.137847, -0.18562, -0.224245, -0.250304, -0.26634, -0.277818, -0.286433, -0.290803, -0.288499, -0.280919, -0.273587, -0.248101, -0.136695, -0.0968776, -0.0632764, -0.036766, -0.0230242, -0.00982357, -0.0051064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.13344, 0.146416, 0.150976, 0.159392, 0.167526, 0.178191, 0.183252, 0.194036, 0.217417, 0.231477, 0.240393, 0.251784, 0.271992, 0.292171, 0.294394, 0.303286, 0.329108, 0.345068, 0.353665, 0.363131, 0.375753, 0.388017, 0.402494, 0.421797, 0.432571, 0.449213, 0.471403, 0.515782, 0.571348");
-            values ( \
-              "-0.184871, -0.219385, -0.247268, -0.274694, -0.294245, -0.313425, -0.320353, -0.331755, -0.349709, -0.3589, -0.363445, -0.367031, -0.364148, -0.340795, -0.336446, -0.312782, -0.220834, -0.169081, -0.145114, -0.121929, -0.0958486, -0.0754054, -0.0564533, -0.0379305, -0.0303665, -0.0214665, -0.0133723, -0.00485925, -0.00124719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.137829, 0.161259, 0.175576, 0.190754, 0.226621, 0.251318, 0.270541, 0.285392, 0.305192, 0.331496, 0.351951, 0.390181, 0.417552, 0.432705, 0.463013, 0.517403, 0.55897, 0.602117, 0.633665, 0.66847, 0.725089, 0.759346, 0.798498, 0.865675, 0.924949");
-            values ( \
-              "-0.289542, -0.293851, -0.327118, -0.350043, -0.387564, -0.409604, -0.422068, -0.428646, -0.433726, -0.43474, -0.431507, -0.415748, -0.393415, -0.374662, -0.321579, -0.21751, -0.154058, -0.105318, -0.0788818, -0.0569585, -0.0330508, -0.0237419, -0.0161638, -0.00818574, -0.00460711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.147274, 0.210891, 0.25899, 0.280172, 0.303346, 0.324714, 0.361705, 0.402972, 0.455638, 0.481495, 0.520975, 0.561949, 0.629126, 0.679351, 0.736439, 0.803615, 0.92321, 0.990387, 1.02662, 1.07981, 1.14698, 1.21832, 1.26826, 1.33544, 1.37957, 1.46622, 1.53339, 1.60057, 1.73492, 1.86928, 2.07081");
-            values ( \
-              "-0.384488, -0.384523, -0.435272, -0.450684, -0.462275, -0.469159, -0.474976, -0.475596, -0.471264, -0.467808, -0.461285, -0.453039, -0.435249, -0.41643, -0.384197, -0.327494, -0.22076, -0.171763, -0.14923, -0.120729, -0.0915757, -0.067904, -0.0548736, -0.0410241, -0.0338668, -0.0231722, -0.0172224, -0.012771, -0.00701239, -0.00384184, -0.00156504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.217443, 0.222636, 0.2236, 0.224563, 0.225925, 0.227287, 0.229057, 0.230826, 0.231163, 0.23285, 0.234874, 0.237049, 0.239224, 0.240666, 0.242109, 0.243552, 0.244994, 0.246019, 0.248068, 0.252275, 0.255025, 0.257431, 0.259144, 0.260745, 0.261774, 0.263833, 0.2644, 0.26525, 0.265994, 0.266916, 0.267377, 0.2679, 0.26947, 0.272458, 0.273455, 0.276431, 0.27712, 0.277809, 0.278499, 0.279188, 0.280567, 0.282448, 0.28356, 0.28445, 0.28534, 0.286187, 0.287035, 0.287882, 0.289133, 0.290929");
-            values ( \
-              "-0.0165412, -0.0339939, -0.0369761, -0.0405559, -0.0470105, -0.0539066, -0.0635234, -0.0738852, -0.0754105, -0.0828587, -0.0914037, -0.099209, -0.106417, -0.110868, -0.115055, -0.11898, -0.122642, -0.124214, -0.127527, -0.134835, -0.138225, -0.140855, -0.140536, -0.140023, -0.139583, -0.138445, -0.137558, -0.135345, -0.133049, -0.129741, -0.127894, -0.125361, -0.117009, -0.0975425, -0.0907486, -0.0696589, -0.0651478, -0.0608701, -0.0569055, -0.053121, -0.0460924, -0.0376059, -0.0328573, -0.029724, -0.0268455, -0.0244398, -0.0221993, -0.0201239, -0.0173898, -0.0139565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.221809, 0.228968, 0.229622, 0.231907, 0.23343, 0.235031, 0.23755, 0.240436, 0.241879, 0.244276, 0.246183, 0.247137, 0.249082, 0.250143, 0.251203, 0.253246, 0.255175, 0.258067, 0.259031, 0.260791, 0.263457, 0.266177, 0.268719, 0.271148, 0.27273, 0.274311, 0.27644, 0.277536, 0.279181, 0.279729, 0.280647, 0.282484, 0.283402, 0.284848, 0.286295, 0.287741, 0.289188, 0.291104, 0.299619, 0.301029, 0.304191, 0.307053, 0.309833, 0.311804, 0.313372, 0.314768, 0.317387, 0.319882, 0.322378, 0.324413");
-            values ( \
-              "-0.0729707, -0.0796872, -0.0840086, -0.101065, -0.111194, -0.120609, -0.133779, -0.146951, -0.152938, -0.161998, -0.16842, -0.171369, -0.18052, -0.181727, -0.183366, -0.18774, -0.192468, -0.198425, -0.2002, -0.203114, -0.20707, -0.210536, -0.212518, -0.213784, -0.214281, -0.214521, -0.214438, -0.213781, -0.212139, -0.211416, -0.209761, -0.205712, -0.203318, -0.199049, -0.194169, -0.188678, -0.182576, -0.172366, -0.11439, -0.105346, -0.0861577, -0.0718965, -0.0597882, -0.0518251, -0.046096, -0.04174, -0.0346854, -0.0289935, -0.0241022, -0.0206846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.210603, 0.254288, 0.264801, 0.273589, 0.285485, 0.291273, 0.299545, 0.308476, 0.314234, 0.320271, 0.331765, 0.356611, 0.368215, 0.380178, 0.388914, 0.397908, 0.41856, 0.428796");
-            values ( \
-              "-0.000599295, -0.228812, -0.255454, -0.270407, -0.282606, -0.285756, -0.287491, -0.285489, -0.280792, -0.271207, -0.231695, -0.109511, -0.0710901, -0.044352, -0.0309902, -0.0213689, -0.00883891, -0.00637657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.210846, 0.256499, 0.266433, 0.277776, 0.285985, 0.296526, 0.329348, 0.343619, 0.349804, 0.359947, 0.370021, 0.390322, 0.392586, 0.401642, 0.435519, 0.452046, 0.468873, 0.482501, 0.494748, 0.511076, 0.524927, 0.543624, 0.568553, 0.615598, 0.670428");
-            values ( \
-              "-0.00392422, -0.260286, -0.288299, -0.310461, -0.321689, -0.332289, -0.355995, -0.363014, -0.364702, -0.365447, -0.362283, -0.339944, -0.335482, -0.311615, -0.193116, -0.1447, -0.105799, -0.0811926, -0.0636915, -0.0456789, -0.0343329, -0.0232731, -0.0136512, -0.00460242, -0.00122759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.2292, 0.24809, 0.257459, 0.269922, 0.280976, 0.294046, 0.325272, 0.348903, 0.373717, 0.386144, 0.412261, 0.450474, 0.488724, 0.514773, 0.531203, 0.564062, 0.612044, 0.656918, 0.688292, 0.721758, 0.762029, 0.795252, 0.819745, 0.843639, 0.875498, 0.939215, 1.00639, 1.14075");
-            values ( \
-              "-0.211787, -0.237622, -0.276608, -0.312759, -0.334701, -0.35267, -0.385255, -0.406829, -0.422884, -0.428116, -0.433898, -0.43129, -0.415535, -0.394648, -0.374595, -0.316557, -0.224274, -0.154811, -0.117657, -0.0867601, -0.0596569, -0.0434634, -0.0343527, -0.0272902, -0.0200066, -0.0105334, -0.00532359, -0.00135276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.243421, 0.303927, 0.336832, 0.361278, 0.386505, 0.414654, 0.44005, 0.471014, 0.501534, 0.55796, 0.579991, 0.619499, 0.660454, 0.727631, 0.77787, 0.834951, 0.902128, 1.02173, 1.08891, 1.12514, 1.17833, 1.2455, 1.31685, 1.36679, 1.43397, 1.4781, 1.56473, 1.63191, 1.69908, 1.83344, 1.96779, 2.16932");
-            values ( \
-              "-0.373026, -0.375475, -0.413152, -0.436482, -0.453796, -0.46592, -0.471928, -0.475156, -0.475205, -0.470714, -0.467693, -0.461265, -0.452975, -0.435265, -0.416445, -0.38415, -0.327522, -0.220717, -0.171795, -0.149191, -0.120691, -0.0916125, -0.0679386, -0.0548334, -0.0410588, -0.033829, -0.0232105, -0.0171864, -0.0128088, -0.00704984, -0.00387907, -0.00152831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.401198, 0.407346, 0.4082, 0.409053, 0.409907, 0.41076, 0.412546, 0.414332, 0.416064, 0.417796, 0.419527, 0.421259, 0.424723, 0.428188, 0.430569, 0.434723, 0.43793, 0.441184, 0.444439, 0.447425, 0.450412, 0.451839, 0.453266, 0.454693, 0.45612, 0.45752, 0.458921, 0.460322, 0.461723, 0.463122, 0.464522, 0.465921, 0.467321, 0.467613, 0.468199, 0.469371, 0.469957, 0.471714, 0.475356, 0.476678, 0.478731, 0.480758, 0.482225, 0.483017, 0.483808, 0.484995, 0.486182, 0.487903, 0.489623, 0.49104");
-            values ( \
-              "-0.0208181, -0.0218857, -0.023177, -0.0246191, -0.026212, -0.0279557, -0.0324113, -0.0372118, -0.0431147, -0.0487287, -0.0540538, -0.05909, -0.0679565, -0.0763513, -0.0818467, -0.0903363, -0.0964629, -0.10175, -0.10654, -0.110499, -0.11404, -0.11493, -0.115567, -0.115953, -0.116088, -0.115975, -0.115619, -0.115021, -0.11418, -0.112591, -0.110558, -0.10808, -0.105157, -0.104252, -0.101795, -0.0962534, -0.0932165, -0.0838876, -0.0636475, -0.0566146, -0.0471558, -0.0388944, -0.0333655, -0.0306369, -0.0281151, -0.0249165, -0.022007, -0.0183488, -0.0152092, -0.0129993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.402668, 0.410816, 0.413883, 0.41552, 0.417157, 0.420117, 0.423078, 0.426609, 0.429735, 0.431685, 0.433634, 0.435583, 0.440086, 0.444588, 0.449091, 0.451508, 0.453807, 0.455623, 0.458104, 0.460585, 0.463893, 0.470548, 0.472858, 0.475168, 0.477045, 0.478923, 0.482678, 0.483077, 0.483476, 0.485073, 0.486186, 0.487613, 0.488327, 0.489127, 0.491527, 0.496328, 0.497928, 0.503072, 0.504248, 0.506602, 0.507778, 0.510131, 0.512678, 0.514697, 0.516043, 0.517389, 0.520082, 0.521428, 0.523491, 0.526671");
-            values ( \
-              "-0.0315458, -0.0405977, -0.049399, -0.054554, -0.0600576, -0.0719086, -0.0849426, -0.0978818, -0.108275, -0.114299, -0.119999, -0.125377, -0.136562, -0.146654, -0.156138, -0.160819, -0.164899, -0.167914, -0.171537, -0.174746, -0.178658, -0.185786, -0.185866, -0.185775, -0.185576, -0.185265, -0.184307, -0.183893, -0.183232, -0.180145, -0.177515, -0.17348, -0.171195, -0.168099, -0.157883, -0.132626, -0.123818, -0.09441, -0.0882138, -0.0768796, -0.071669, -0.0619798, -0.0525912, -0.0454902, -0.0415019, -0.0378171, -0.03154, -0.0287202, -0.0248665, -0.0196174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.402892, 0.416099, 0.417323, 0.420998, 0.425499, 0.430939, 0.434878, 0.43894, 0.443002, 0.447063, 0.456712, 0.462993, 0.471517, 0.476824, 0.48242, 0.486447, 0.488609, 0.495094, 0.497801, 0.500509, 0.503216, 0.505817, 0.510283, 0.512147, 0.515616, 0.518827, 0.520432, 0.522906, 0.526618, 0.527855, 0.530099, 0.536831, 0.542236, 0.547641, 0.550326, 0.551669, 0.553012, 0.554355, 0.556358, 0.557017, 0.559655, 0.564931, 0.568811, 0.573881, 0.575071, 0.578642, 0.582212, 0.586974, 0.590875, 0.597738");
-            values ( \
-              "-0.0300555, -0.0696958, -0.0740828, -0.0883352, -0.108659, -0.131407, -0.146639, -0.160831, -0.17324, -0.184676, -0.208352, -0.220938, -0.235671, -0.243016, -0.249818, -0.254125, -0.256025, -0.260525, -0.262084, -0.263088, -0.263702, -0.263879, -0.263561, -0.262857, -0.260928, -0.258423, -0.256911, -0.253614, -0.24542, -0.242153, -0.234914, -0.210429, -0.187763, -0.162419, -0.129996, -0.130432, -0.129862, -0.128285, -0.124064, -0.122184, -0.111281, -0.093087, -0.0813808, -0.0669648, -0.063725, -0.0554634, -0.0484545, -0.040356, -0.0346783, -0.0259881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.39234, 0.466202, 0.476121, 0.485115, 0.4953, 0.50787, 0.525405, 0.550126, 0.563112, 0.572681, 0.579065, 0.587493, 0.596313, 0.613953, 0.641978, 0.664181, 0.674621, 0.688451, 0.70105, 0.717849, 0.731312, 0.746199, 0.766049, 0.805748, 0.833218");
-            values ( \
-              "-0.00479997, -0.255077, -0.274872, -0.289627, -0.302682, -0.31504, -0.329262, -0.345181, -0.349957, -0.350721, -0.348861, -0.343066, -0.331571, -0.28586, -0.189937, -0.128696, -0.10591, -0.0810881, -0.0632344, -0.0449617, -0.0340943, -0.025057, -0.0164797, -0.00679465, -0.0042522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.419274, 0.44333, 0.463945, 0.487635, 0.504368, 0.548798, 0.575284, 0.595918, 0.617598, 0.654945, 0.67517, 0.695542, 0.735138, 0.75113, 0.828133, 0.855363, 0.905683, 0.953826, 0.9805, 1.01815, 1.05818, 1.08897, 1.15056, 1.21774, 1.35209");
-            values ( \
-              "-0.194537, -0.200973, -0.265207, -0.313749, -0.336177, -0.383677, -0.407804, -0.420038, -0.427102, -0.427919, -0.422559, -0.413017, -0.377024, -0.352315, -0.207188, -0.165345, -0.106433, -0.0683667, -0.0531575, -0.0370307, -0.0251884, -0.0186485, -0.0100485, -0.0050728, -0.00128684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.428155, 0.470354, 0.507089, 0.566019, 0.59056, 0.628065, 0.664237, 0.706998, 0.746243, 0.785703, 0.85288, 0.893007, 0.950103, 1.01728, 1.04093, 1.08822, 1.20089, 1.2675, 1.31106, 1.38957, 1.45675, 1.51575, 1.55637, 1.62354, 1.67817, 1.79526, 1.86244, 1.92962, 2.06397, 2.19832, 2.39985");
-            values ( \
-              "-0.273191, -0.288075, -0.351752, -0.420732, -0.442676, -0.462251, -0.471, -0.473681, -0.471647, -0.467212, -0.455698, -0.446503, -0.429579, -0.399239, -0.384039, -0.345469, -0.242623, -0.190408, -0.161204, -0.118072, -0.0894827, -0.0698841, -0.0588198, -0.0440011, -0.0347155, -0.0207529, -0.0154245, -0.0114275, -0.00627107, -0.00343408, -0.00140226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.78058, 0.794615, 0.803034, 0.805927, 0.827795, 0.837219, 0.845447, 0.852984, 0.860171, 0.867189, 0.874201, 0.875838, 0.88769, 0.893094, 0.899898, 0.90487, 0.908844, 0.916791, 0.926256, 0.936159, 0.936759");
-            values ( \
-              "-0.00614668, -0.0130314, -0.0215057, -0.0251819, -0.0634779, -0.075559, -0.0839135, -0.0895715, -0.0931827, -0.0938019, -0.0871315, -0.0828746, -0.040385, -0.0252811, -0.013141, -0.00801351, -0.00535506, -0.00234828, -0.000955636, -0.000455373, -0.000446992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.785911, 0.799592, 0.803954, 0.811258, 0.828543, 0.845743, 0.862358, 0.868842, 0.880312, 0.887003, 0.892252, 0.901322, 0.926145, 0.938699, 0.946745, 0.953323, 0.964469, 0.978446, 1.05486");
-            values ( \
-              "-0.0146172, -0.0283617, -0.0348333, -0.0479984, -0.0892803, -0.118704, -0.1381, -0.143592, -0.150226, -0.151732, -0.150778, -0.139173, -0.0479843, -0.0218214, -0.0127205, -0.00803961, -0.00354828, -0.00129574, -0.000194897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.791698, 0.806893, 0.821542, 0.83231, 0.846367, 0.860081, 0.86735, 0.881527, 0.894134, 0.902197, 0.909566, 0.917174, 0.924367, 0.931714, 0.938979, 0.94636, 0.954659, 0.975408, 0.98385, 0.995044, 1.00003, 1.00999, 1.01884, 1.02369, 1.03339, 1.05278, 1.08041, 1.11402");
-            values ( \
-              "-0.0360558, -0.0506662, -0.0854039, -0.115691, -0.145891, -0.168532, -0.178706, -0.195146, -0.207225, -0.213902, -0.218992, -0.222985, -0.225265, -0.225482, -0.222214, -0.213477, -0.193769, -0.117179, -0.0899792, -0.0613002, -0.0512391, -0.0352442, -0.0250834, -0.0207637, -0.0141201, -0.00628576, -0.00189246, -0.000521039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.797443, 0.81666, 0.847982, 0.871057, 0.893527, 0.918947, 0.953015, 0.972883, 0.993134, 0.996217, 1.00238, 1.01472, 1.0193, 1.02846, 1.04509, 1.07894, 1.08706, 1.10222, 1.11492, 1.13778, 1.15551, 1.1741, 1.18835, 1.21565, 1.24711, 1.26617, 1.34116");
-            values ( \
-              "-0.0701363, -0.0834934, -0.165335, -0.207975, -0.238914, -0.267792, -0.297031, -0.311734, -0.320938, -0.321217, -0.321191, -0.315674, -0.311431, -0.297249, -0.253041, -0.151859, -0.131919, -0.100205, -0.0787681, -0.0503228, -0.0351258, -0.0240428, -0.0178983, -0.0100119, -0.00520527, -0.00353321, -0.000704845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.799655, 0.831865, 0.85417, 0.871346, 0.889245, 0.91575, 0.974096, 0.999549, 1.01431, 1.04174, 1.08221, 1.12266, 1.16315, 1.17838, 1.24254, 1.288, 1.3301, 1.35967, 1.3869, 1.42319, 1.45188, 1.48373, 1.52621, 1.59338, 1.65509");
-            values ( \
-              "-0.0741259, -0.131344, -0.187403, -0.221124, -0.249711, -0.286198, -0.351867, -0.3771, -0.388914, -0.404627, -0.413188, -0.404166, -0.371719, -0.349831, -0.231191, -0.160204, -0.111009, -0.0848337, -0.0659399, -0.0467308, -0.0355011, -0.0261249, -0.0172264, -0.00873547, -0.00476939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.824832, 0.875507, 0.918578, 0.992485, 1.01747, 1.04883, 1.07372, 1.10217, 1.13375, 1.18834, 1.2473, 1.29485, 1.35453, 1.37898, 1.42182, 1.46968, 1.53686, 1.6565, 1.72368, 1.76008, 1.81352, 1.8807, 1.95159, 2.00118, 2.06836, 2.11299, 2.20093, 2.26811, 2.33529, 2.46964, 2.60399, 2.80552");
-            values ( \
-              "-0.233425, -0.234433, -0.300847, -0.391586, -0.416447, -0.439308, -0.451287, -0.459753, -0.464189, -0.463999, -0.458363, -0.451001, -0.436691, -0.428893, -0.411628, -0.383754, -0.327256, -0.220627, -0.171728, -0.14909, -0.12051, -0.0914566, -0.0679597, -0.0549814, -0.0411423, -0.0338654, -0.0230716, -0.017122, -0.0127263, -0.00699703, -0.00384176, -0.00154353" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(!A * B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0760231, 0.0898881, 0.116195, 0.170475, 0.290039, 0.557755", \
-            "0.0806864, 0.0945366, 0.120858, 0.175157, 0.294734, 0.562453", \
-            "0.0909085, 0.10472, 0.131122, 0.185519, 0.305175, 0.572916", \
-            "0.1076, 0.121881, 0.148748, 0.203377, 0.323092, 0.590898", \
-            "0.123661, 0.13934, 0.167359, 0.222606, 0.342845, 0.610611", \
-            "0.129019, 0.147167, 0.177954, 0.234681, 0.354754, 0.623355" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0310169, 0.0452754, 0.0779087, 0.154042, 0.329058, 0.72351", \
-            "0.0310424, 0.0452976, 0.0779144, 0.15402, 0.32907, 0.72351", \
-            "0.0313944, 0.045556, 0.0780256, 0.154047, 0.329074, 0.72351", \
-            "0.0341887, 0.0478627, 0.0794243, 0.154564, 0.32913, 0.723512", \
-            "0.0405497, 0.0531305, 0.0829455, 0.156504, 0.329942, 0.723579", \
-            "0.0508092, 0.0635979, 0.0913785, 0.160554, 0.331243, 0.724452" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0558309, 0.0595555, 0.0663372, 0.0725996, 0.0779244, 0.082799, 0.0874969, 0.0921859, 0.096889, 0.104932, 0.113366, 0.120962, 0.125211, 0.13097, 0.139595, 0.152206, 0.16723");
-            values ( \
-              "0.0112412, 0.0592219, 0.0930348, 0.115808, 0.130163, 0.13856, 0.141069, 0.138002, 0.123369, 0.0770459, 0.0450398, 0.0271967, 0.0205204, 0.013957, 0.00784108, 0.00346988, 0.00203403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0601259, 0.0651444, 0.0719525, 0.0804399, 0.0877641, 0.0946232, 0.101382, 0.108134, 0.109387, 0.113215, 0.127144, 0.134336, 0.144666, 0.150778, 0.159371, 0.164562, 0.17311, 0.183321, 0.191491, 0.20783, 0.222014");
-            values ( \
-              "0.105285, 0.114656, 0.15363, 0.190736, 0.21031, 0.218039, 0.217094, 0.206772, 0.20322, 0.189171, 0.1182, 0.0887218, 0.0574129, 0.0438325, 0.029758, 0.0234419, 0.0157217, 0.0098088, 0.00670625, 0.0030708, 0.00182322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0615886, 0.0665006, 0.0772129, 0.0867996, 0.0932868, 0.103757, 0.107098, 0.116137, 0.125308, 0.132368, 0.139832, 0.152207, 0.173594, 0.186209, 0.204412, 0.215047, 0.229442, 0.238004, 0.257716, 0.282691, 0.30933, 0.327638");
-            values ( \
-              "0.125454, 0.142896, 0.213827, 0.257873, 0.276101, 0.290992, 0.292449, 0.291042, 0.283179, 0.273394, 0.259036, 0.222702, 0.149559, 0.11392, 0.0746075, 0.0569971, 0.0396054, 0.0313519, 0.0183436, 0.00918094, 0.00445359, 0.00293362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0657491, 0.0813416, 0.0883854, 0.0941379, 0.104512, 0.116238, 0.130631, 0.137454, 0.148093, 0.159198, 0.182039, 0.19458, 0.206697, 0.230931, 0.254571, 0.280547, 0.294223, 0.318916, 0.341125, 0.354936, 0.372845, 0.38468, 0.40046, 0.429917, 0.443376, 0.463755, 0.490926, 0.545268, 0.625502, 0.705736");
-            values ( \
-              "0.214694, 0.258785, 0.293236, 0.313705, 0.336406, 0.347443, 0.348229, 0.346007, 0.340085, 0.332602, 0.312985, 0.29982, 0.284818, 0.246646, 0.203448, 0.158849, 0.137645, 0.10416, 0.0798205, 0.0671405, 0.0532917, 0.0456201, 0.0369308, 0.024599, 0.0204212, 0.0153631, 0.0104346, 0.00463085, 0.00124772, 0.000342306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0681344, 0.0851412, 0.0956993, 0.104684, 0.116621, 0.130161, 0.144508, 0.161716, 0.183297, 0.2523, 0.301531, 0.345813, 0.372634, 0.408395, 0.513879, 0.544678, 0.594466, 0.636861, 0.667176, 0.716682, 0.776557, 0.831382, 0.888909, 0.93305, 1.01328, 1.09352, 1.15946");
-            values ( \
-              "0.285151, 0.293526, 0.337767, 0.360841, 0.377028, 0.384242, 0.384793, 0.381716, 0.374375, 0.347042, 0.325124, 0.301516, 0.283747, 0.25596, 0.16713, 0.143684, 0.110287, 0.0867308, 0.0724817, 0.0534965, 0.0365672, 0.0255216, 0.0174498, 0.0129733, 0.00745982, 0.00427323, 0.00331979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.0763027, 0.138103, 0.153491, 0.168524, 0.227761, 0.408301, 0.541337, 0.625131, 0.686665, 0.766899, 1.01808, 1.1439, 1.2403, 1.33956, 1.41979, 1.49596, 1.60539, 1.74747, 1.83571, 1.99618, 2.15665, 2.31711, 2.47758, 2.79852");
-            values ( \
-              "0.395725, 0.404842, 0.406275, 0.405761, 0.396429, 0.363053, 0.336828, 0.317868, 0.301012, 0.27527, 0.178038, 0.133887, 0.105227, 0.0808343, 0.0649987, 0.0520654, 0.0377842, 0.0246366, 0.0188111, 0.0114182, 0.00687443, 0.00410325, 0.00242096, 0.000787254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0742018, 0.0781255, 0.0833273, 0.0912173, 0.096555, 0.101432, 0.106134, 0.110827, 0.115532, 0.123559, 0.132012, 0.1396, 0.145779, 0.158244, 0.170891, 0.180168");
-            values ( \
-              "0.00763678, 0.0592553, 0.0860343, 0.115516, 0.130034, 0.13836, 0.14104, 0.137858, 0.123409, 0.0771829, 0.0449591, 0.0272735, 0.0182281, 0.00789901, 0.00351612, 0.00263217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.079453, 0.0883652, 0.09496, 0.0990716, 0.106409, 0.11327, 0.120031, 0.126787, 0.128032, 0.131859, 0.144407, 0.15298, 0.163328, 0.169456, 0.178019, 0.183193, 0.191756, 0.201996, 0.210194, 0.226589, 0.236274");
-            values ( \
-              "0.115664, 0.141478, 0.174282, 0.190413, 0.210121, 0.21791, 0.217017, 0.20672, 0.203197, 0.189179, 0.124683, 0.0887536, 0.0573916, 0.0437881, 0.0297665, 0.0234689, 0.0157268, 0.00979901, 0.00669182, 0.00305453, 0.00220617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0791883, 0.0851315, 0.0911686, 0.0990737, 0.105423, 0.111964, 0.122207, 0.126234, 0.130533, 0.134819, 0.143389, 0.152178, 0.158515, 0.170935, 0.180614, 0.192356, 0.205042, 0.215175, 0.222986, 0.2334, 0.241622, 0.248231, 0.257042, 0.271444, 0.287771, 0.300695, 0.326543, 0.367457, 0.417214");
-            values ( \
-              "0.0795647, 0.142169, 0.184598, 0.230429, 0.257389, 0.276054, 0.290629, 0.292327, 0.292348, 0.29084, 0.283937, 0.271623, 0.258825, 0.222707, 0.188163, 0.149452, 0.113678, 0.0900109, 0.0746696, 0.0576166, 0.0467169, 0.0393646, 0.0311877, 0.0211321, 0.0135722, 0.00948922, 0.0045214, 0.00125024, 0.000242486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0846124, 0.0998908, 0.112689, 0.123098, 0.134791, 0.145581, 0.155992, 0.174139, 0.184997, 0.200584, 0.223671, 0.246822, 0.295306, 0.333459, 0.370734, 0.395907, 0.425184, 0.450918, 0.484486, 0.529245, 0.586174");
-            values ( \
-              "0.224606, 0.258609, 0.313551, 0.336581, 0.347303, 0.348834, 0.345795, 0.335293, 0.326835, 0.312921, 0.287081, 0.251097, 0.164974, 0.109065, 0.0694702, 0.0501875, 0.0339153, 0.0238186, 0.014903, 0.0077185, 0.00360893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0875102, 0.109556, 0.123745, 0.137144, 0.146758, 0.159576, 0.180309, 0.224525, 0.300824, 0.35871, 0.40085, 0.565682, 0.652771, 0.735272, 0.796267, 0.850624, 0.949028, 1.08709");
-            values ( \
-              "0.287976, 0.320823, 0.361644, 0.378718, 0.38356, 0.385127, 0.381668, 0.365931, 0.334049, 0.304892, 0.276697, 0.141965, 0.0881308, 0.0534783, 0.036286, 0.0254389, 0.0131861, 0.00556682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.0964885, 0.0965085, 0.246077, 0.479612, 0.559846, 0.643639, 0.705173, 0.785407, 0.837932, 1.03659, 1.10125, 1.16241, 1.25881, 1.35806, 1.4383, 1.49541, 1.55257, 1.62878, 1.70901, 1.78003, 1.83469, 1.90755, 1.98779, 2.14826, 2.30872, 2.54943, 2.79013");
-            values ( \
-              "1e-22, 0.416348, 0.396309, 0.352817, 0.336673, 0.317712, 0.301168, 0.275114, 0.255694, 0.178195, 0.154656, 0.134044, 0.105385, 0.0809918, 0.0648412, 0.0551446, 0.0467668, 0.0373948, 0.0294189, 0.0237556, 0.0201306, 0.0160912, 0.0125798, 0.00764722, 0.00463579, 0.00216433, 0.00102936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.115253, 0.119451, 0.123491, 0.131966, 0.139988, 0.142399, 0.147148, 0.151897, 0.156634, 0.16473, 0.17319, 0.179433, 0.187973, 0.193631, 0.200325, 0.213792, 0.229418, 0.22957");
-            values ( \
-              "0.0459314, 0.0580971, 0.0792367, 0.111669, 0.132614, 0.136417, 0.139677, 0.136887, 0.122831, 0.0767015, 0.0446786, 0.0297517, 0.0169463, 0.011566, 0.00746585, 0.00315076, 0.00122831, 0.00122451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.118436, 0.125491, 0.131095, 0.139862, 0.146968, 0.149343, 0.154217, 0.158999, 0.164401, 0.167956, 0.174994, 0.184631, 0.196985, 0.206152, 0.216622, 0.226572, 0.233935, 0.243167, 0.255477, 0.274107");
-            values ( \
-              "0.0934574, 0.114896, 0.146706, 0.186588, 0.20705, 0.211169, 0.216211, 0.216853, 0.212226, 0.205364, 0.179658, 0.128241, 0.0784004, 0.0531433, 0.0332744, 0.0211011, 0.0149643, 0.00975095, 0.00546066, 0.00236594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.119904, 0.125431, 0.131808, 0.136765, 0.139943, 0.146297, 0.152994, 0.163218, 0.167485, 0.173176, 0.175945, 0.181483, 0.187473, 0.199097, 0.203059, 0.207586, 0.22512, 0.236217, 0.243123, 0.255458, 0.266964, 0.273923, 0.282986, 0.288989, 0.296994, 0.312176, 0.319181, 0.329898, 0.344186, 0.372763, 0.414275, 0.465296");
-            values ( \
-              "0.106116, 0.132263, 0.177563, 0.20838, 0.226033, 0.25394, 0.27409, 0.28943, 0.291505, 0.291375, 0.290334, 0.286505, 0.280123, 0.260233, 0.250247, 0.237007, 0.176267, 0.14121, 0.121682, 0.0917522, 0.0696496, 0.0585644, 0.0464596, 0.0397696, 0.0322102, 0.0213557, 0.0176754, 0.0132017, 0.0088868, 0.00387335, 0.00104776, 0.000188016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.125343, 0.140824, 0.153753, 0.163699, 0.175933, 0.186755, 0.197149, 0.218915, 0.24176, 0.264863, 0.287557, 0.336248, 0.373086, 0.398402, 0.437092, 0.465842, 0.491796, 0.539357, 0.580605, 0.626944");
-            values ( \
-              "0.221769, 0.254781, 0.311806, 0.334753, 0.346858, 0.348542, 0.345663, 0.332501, 0.312892, 0.287027, 0.251837, 0.165336, 0.111027, 0.0822372, 0.050196, 0.0341673, 0.0239048, 0.0122631, 0.00675146, 0.00384598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.130749, 0.155651, 0.16406, 0.175983, 0.183691, 0.193969, 0.212989, 0.221697, 0.265952, 0.32291, 0.361549, 0.405429, 0.433449, 0.470809, 0.580606, 0.637274, 0.66722, 0.702558, 0.749677, 0.776165, 0.806974, 0.843837, 0.895086, 0.957785, 1.03802, 1.11825, 1.19849, 1.35895");
-            values ( \
-              "0.327588, 0.33657, 0.358657, 0.376145, 0.381485, 0.384662, 0.383711, 0.381563, 0.365939, 0.342526, 0.325122, 0.301757, 0.283174, 0.253976, 0.161802, 0.121096, 0.102743, 0.0838801, 0.0631483, 0.0536259, 0.0441819, 0.0348783, 0.0249089, 0.0164239, 0.0094761, 0.00542955, 0.00310993, 0.00101449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.136181, 0.213099, 0.228284, 0.254439, 0.468064, 0.601094, 0.684888, 0.746422, 0.826656, 0.879181, 1.07784, 1.1425, 1.20366, 1.30006, 1.39931, 1.47955, 1.55572, 1.59382, 1.67003, 1.75026, 1.82128, 1.87593, 1.9488, 2.02904, 2.1895, 2.34997, 2.51044, 2.83137");
-            values ( \
-              "0.397707, 0.406351, 0.405602, 0.402054, 0.362918, 0.336695, 0.317735, 0.301145, 0.275137, 0.255671, 0.17817, 0.154681, 0.134019, 0.105359, 0.0809657, 0.0648675, 0.0521959, 0.046795, 0.0373665, 0.0294472, 0.0237272, 0.0201015, 0.0161206, 0.0125505, 0.00761776, 0.00460614, 0.00277673, 0.000999193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.191047, 0.195552, 0.214854, 0.221299, 0.226918, 0.232105, 0.237189, 0.242265, 0.250322, 0.253781, 0.259682, 0.263268, 0.267366, 0.27136, 0.276384, 0.283083, 0.285844, 0.293264, 0.301745, 0.318455, 0.323942");
-            values ( \
-              "0.0275157, 0.0307731, 0.0918717, 0.110907, 0.123035, 0.129213, 0.129062, 0.118325, 0.0748624, 0.0605501, 0.042077, 0.0336502, 0.0258518, 0.020022, 0.0144758, 0.00938348, 0.0078573, 0.00498126, 0.00294378, 0.00108241, 0.00088201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.193126, 0.19945, 0.204122, 0.223526, 0.231791, 0.239218, 0.246358, 0.248936, 0.253587, 0.256374, 0.261431, 0.267308, 0.274965, 0.27967, 0.285738, 0.295018, 0.301119, 0.306455, 0.311894, 0.319745, 0.328106, 0.33455, 0.347436, 0.366434");
-            values ( \
-              "0.0360798, 0.0569072, 0.0759132, 0.164344, 0.191209, 0.204334, 0.207295, 0.205944, 0.198257, 0.192045, 0.172519, 0.142607, 0.107617, 0.0893824, 0.0697333, 0.0469084, 0.0357459, 0.0281078, 0.0219206, 0.0152258, 0.0103701, 0.00770363, 0.00420638, 0.0018618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.19676, 0.205125, 0.211434, 0.223276, 0.232277, 0.237588, 0.248211, 0.248946, 0.249821, 0.253065, 0.256055, 0.260003, 0.264535, 0.270576, 0.273218, 0.2785, 0.285545, 0.298047, 0.307626, 0.319513, 0.332232, 0.342347, 0.350107, 0.360455, 0.368758, 0.375425, 0.384314, 0.398644, 0.405244, 0.414842, 0.42764, 0.453237, 0.493577, 0.542501");
-            values ( \
-              "0.0633642, 0.0940227, 0.128083, 0.198306, 0.23983, 0.257381, 0.278161, 0.279063, 0.27841, 0.280968, 0.282364, 0.283361, 0.282583, 0.278947, 0.276494, 0.269864, 0.257096, 0.221937, 0.188181, 0.149206, 0.113486, 0.0899375, 0.0747136, 0.0577548, 0.0467452, 0.0393314, 0.0310933, 0.0211155, 0.0176692, 0.0136096, 0.00954749, 0.00458854, 0.00129655, 0.000263484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.202758, 0.217344, 0.22434, 0.238401, 0.248785, 0.261403, 0.266397, 0.273577, 0.283006, 0.301427, 0.325428, 0.341292, 0.35239, 0.374587, 0.422778, 0.461738, 0.495069, 0.516723, 0.535646, 0.560876, 0.580565, 0.602253, 0.631172, 0.689009, 0.727497");
-            values ( \
-              "0.131138, 0.18025, 0.226194, 0.294188, 0.322453, 0.337743, 0.341176, 0.343465, 0.342622, 0.334045, 0.314776, 0.298502, 0.2847, 0.250161, 0.164393, 0.107605, 0.07202, 0.0545125, 0.0424858, 0.0301616, 0.0229746, 0.0169878, 0.0112677, 0.00471135, 0.00304543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.210238, 0.235481, 0.248692, 0.265431, 0.273974, 0.285365, 0.307553, 0.351819, 0.40156, 0.44742, 0.491273, 0.519378, 0.560793, 0.636971, 0.695176, 0.741223, 0.779213, 0.808449, 0.862555, 0.924146, 0.978252, 1.04878, 1.12901, 1.20925, 1.28948, 1.44995");
-            values ( \
-              "0.236005, 0.299863, 0.345155, 0.371552, 0.378368, 0.382276, 0.380731, 0.365832, 0.345608, 0.325117, 0.301767, 0.283128, 0.250553, 0.185622, 0.14038, 0.109761, 0.088553, 0.0745288, 0.0535005, 0.0361689, 0.0253642, 0.0158672, 0.00914402, 0.00524477, 0.00299683, 0.000974714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.219355, 0.261199, 0.275844, 0.28601, 0.305171, 0.314413, 0.332897, 0.362687, 0.554191, 0.687241, 0.771035, 0.832569, 0.912803, 0.965329, 1.16399, 1.22865, 1.28981, 1.38621, 1.48546, 1.56569, 1.64186, 1.67997, 1.75618, 1.83641, 1.90743, 1.96208, 2.03494, 2.11518, 2.27564, 2.43611, 2.67681, 2.91751");
-            values ( \
-              "0.37645, 0.380548, 0.396887, 0.402169, 0.405342, 0.405013, 0.403, 0.398227, 0.362918, 0.33669, 0.317729, 0.301149, 0.275131, 0.255676, 0.178176, 0.154673, 0.134025, 0.105367, 0.0809745, 0.0648594, 0.0522057, 0.0467848, 0.0373761, 0.0294367, 0.0237374, 0.0201125, 0.0161102, 0.0125618, 0.007629, 0.00461741, 0.00218305, 0.00101069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.343621, 0.354938, 0.360172, 0.385586, 0.392593, 0.39884, 0.404739, 0.410639, 0.420339, 0.423681, 0.428062, 0.432164, 0.43537, 0.439642, 0.443514, 0.447711, 0.453833, 0.46108, 0.46679, 0.47821, 0.491642");
-            values ( \
-              "0.021691, 0.0256463, 0.0348989, 0.0868904, 0.100406, 0.109286, 0.112947, 0.107175, 0.0667446, 0.0548486, 0.0424207, 0.0332429, 0.027326, 0.0209765, 0.0164946, 0.0126834, 0.00865139, 0.00560008, 0.00399981, 0.00208119, 0.00112084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.343855, 0.35342, 0.359904, 0.366518, 0.37387, 0.397798, 0.406442, 0.414425, 0.422274, 0.430112, 0.443666, 0.454872, 0.462518, 0.468715, 0.474105, 0.482394, 0.489837, 0.49906, 0.511358, 0.529022");
-            values ( \
-              "0.0271685, 0.0343126, 0.0474872, 0.0636462, 0.0846345, 0.160442, 0.179441, 0.188201, 0.186677, 0.168671, 0.108506, 0.0701241, 0.0512297, 0.0392011, 0.0309588, 0.0213594, 0.015225, 0.0100231, 0.00568382, 0.00268566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.351112, 0.36451, 0.374847, 0.403919, 0.4176, 0.430098, 0.442388, 0.455059, 0.466917, 0.494228, 0.512264, 0.527665, 0.554287, 0.573541, 0.601205, 0.630203");
-            values ( \
-              "0.0618975, 0.0704821, 0.104375, 0.223751, 0.257393, 0.269221, 0.267246, 0.251665, 0.221486, 0.134431, 0.0900331, 0.062149, 0.0305986, 0.018315, 0.00870786, 0.00382228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.35871, 0.376812, 0.404702, 0.418263, 0.429812, 0.447941, 0.45222, 0.460777, 0.474484, 0.49685, 0.508631, 0.522452, 0.541913, 0.572856, 0.606139, 0.630999, 0.64538, 0.668072, 0.693453, 0.722817, 0.748514, 0.781943, 0.826515, 0.898006, 0.97824");
-            values ( \
-              "0.104213, 0.122632, 0.25715, 0.30081, 0.321895, 0.334436, 0.334551, 0.333075, 0.326953, 0.31071, 0.29932, 0.283204, 0.254126, 0.198678, 0.143641, 0.109088, 0.0921271, 0.0696548, 0.0502008, 0.0338939, 0.0237856, 0.014905, 0.00777182, 0.00253095, 0.000678973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.369425, 0.39562, 0.407186, 0.420172, 0.432779, 0.450782, 0.464533, 0.477428, 0.499129, 0.522092, 0.599326, 0.618086, 0.655604, 0.698799, 0.731567, 0.820805, 0.871833, 0.913974, 0.94521, 0.977576, 1.03324, 1.05863, 1.1094, 1.15313, 1.21169, 1.28977, 1.37, 1.45023, 1.6107");
-            values ( \
-              "0.17818, 0.22764, 0.28325, 0.328188, 0.35449, 0.372516, 0.376201, 0.375944, 0.37111, 0.363497, 0.333455, 0.324977, 0.305464, 0.276667, 0.250377, 0.174818, 0.136108, 0.108578, 0.0910036, 0.0752236, 0.0534993, 0.0456176, 0.0328676, 0.0246812, 0.0167338, 0.00979684, 0.00562668, 0.00321178, 0.00104327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.379235, 0.416504, 0.436805, 0.453584, 0.469328, 0.483991, 0.507331, 0.536749, 0.558744, 0.596709, 0.644461, 0.777314, 0.857548, 0.941344, 1.00288, 1.08311, 1.13564, 1.3343, 1.39898, 1.46013, 1.55653, 1.65577, 1.736, 1.81219, 1.85031, 1.92654, 2.00677, 2.07777, 2.13239, 2.20522, 2.28545, 2.44592, 2.60639, 2.84709, 3.08779");
-            values ( \
-              "0.279881, 0.328665, 0.375569, 0.392699, 0.399069, 0.400593, 0.399406, 0.395166, 0.391125, 0.386224, 0.377794, 0.352815, 0.336666, 0.317704, 0.301162, 0.275105, 0.25569, 0.178191, 0.15465, 0.13404, 0.105382, 0.0809914, 0.0648461, 0.0522165, 0.0467714, 0.0373832, 0.0294228, 0.0237473, 0.0201228, 0.0161034, 0.0125728, 0.0076389, 0.00462654, 0.00217544, 0.00101838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.653305, 0.669571, 0.678926, 0.683819, 0.693574, 0.715539, 0.728985, 0.737003, 0.744453, 0.751753, 0.759034, 0.770769, 0.777735, 0.78642, 0.798261, 0.803705, 0.812675, 0.824635, 0.831013");
-            values ( \
-              "0.0110652, 0.0115515, 0.0168834, 0.0206667, 0.0312243, 0.0618375, 0.0777891, 0.0854453, 0.0902875, 0.0896827, 0.0732241, 0.0394056, 0.0270159, 0.016469, 0.00828665, 0.00611167, 0.00377425, 0.00205494, 0.00166275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.654781, 0.670387, 0.681221, 0.689097, 0.693475, 0.699213, 0.728966, 0.734359, 0.745348, 0.75514, 0.764385, 0.773631, 0.777351, 0.793032, 0.801681, 0.807106, 0.812893, 0.81836, 0.824659, 0.833057, 0.838054, 0.843369, 0.850457, 0.864632, 0.886583");
-            values ( \
-              "0.0180717, 0.0195927, 0.0286429, 0.0371635, 0.0432732, 0.0537839, 0.113806, 0.124227, 0.143479, 0.156321, 0.16151, 0.152252, 0.140457, 0.0847507, 0.061684, 0.0499211, 0.0394002, 0.0313507, 0.0239548, 0.0165826, 0.0133434, 0.01059, 0.00777468, 0.00416569, 0.00164528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.661154, 0.680417, 0.689008, 0.695763, 0.699898, 0.706629, 0.741094, 0.757923, 0.772426, 0.786022, 0.799623, 0.813208, 0.829693, 0.838204, 0.846724, 0.857845, 0.867141, 0.874307, 0.88462, 0.893238, 0.902555, 0.915788, 0.921505, 0.932939, 0.955807, 0.988175");
-            values ( \
-              "0.0304076, 0.0379912, 0.048081, 0.0584504, 0.0666692, 0.0828239, 0.175456, 0.215891, 0.238371, 0.245925, 0.238728, 0.211772, 0.161383, 0.136918, 0.114733, 0.0895737, 0.0721238, 0.0606287, 0.0468149, 0.0375589, 0.0294615, 0.0206824, 0.0177691, 0.0130264, 0.00688318, 0.00282702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.679621, 0.713636, 0.761291, 0.771079, 0.790655, 0.795594, 0.805473, 0.818868, 0.842101, 0.867618, 0.890905, 0.942406, 0.981161, 1.01346, 1.03331, 1.0497, 1.06778, 1.10433, 1.12628, 1.17018, 1.23585, 1.23671");
-            values ( \
-              "0.0706291, 0.112401, 0.262176, 0.284477, 0.311482, 0.314695, 0.318081, 0.317297, 0.306069, 0.281328, 0.246962, 0.157463, 0.103075, 0.0697993, 0.0541362, 0.043679, 0.0342783, 0.0207371, 0.0152514, 0.0080674, 0.0027804, 0.00276268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.695903, 0.726979, 0.754172, 0.773747, 0.780992, 0.795482, 0.817183, 0.829131, 0.845061, 0.866445, 0.901439, 0.962693, 1.01291, 1.05412, 1.17679, 1.23794, 1.29639, 1.34698, 1.39105, 1.41709, 1.46916, 1.51623, 1.58773, 1.66797, 1.7482, 1.82843, 1.9889");
-            values ( \
-              "0.16275, 0.16322, 0.26197, 0.317305, 0.331656, 0.351853, 0.365461, 0.367148, 0.36621, 0.361319, 0.349562, 0.323714, 0.297122, 0.268068, 0.166082, 0.12172, 0.0880127, 0.0649962, 0.0495149, 0.0419661, 0.0299499, 0.0219858, 0.013636, 0.00783264, 0.00450097, 0.00255827, 0.000826815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.716407, 0.760164, 0.77862, 0.800312, 0.826948, 0.847455, 0.869329, 0.906269, 1.0202, 1.12279, 1.25896, 1.31118, 1.39527, 1.48144, 1.64743, 1.79323, 1.90208, 1.95932, 2.02529, 2.13934, 2.28416, 2.36439, 2.47272, 2.6138, 2.77426, 2.93473, 3.0952, 3.41613");
-            values ( \
-              "0.290433, 0.290442, 0.341064, 0.375841, 0.392887, 0.395819, 0.395221, 0.390718, 0.370911, 0.351863, 0.324674, 0.311862, 0.286874, 0.255926, 0.190305, 0.137853, 0.105157, 0.0909567, 0.0756259, 0.0548248, 0.0358415, 0.0285859, 0.020255, 0.013079, 0.00788459, 0.00471306, 0.00278768, 0.000921302" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(!A * B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0851516, 0.0968146, 0.118678, 0.161978, 0.255043, 0.462718", \
-            "0.0902648, 0.101937, 0.123859, 0.167227, 0.260272, 0.467953", \
-            "0.103649, 0.115319, 0.1373, 0.180768, 0.273851, 0.481527", \
-            "0.133444, 0.145321, 0.167674, 0.211234, 0.304608, 0.512286", \
-            "0.180641, 0.194112, 0.217648, 0.26274, 0.356726, 0.564348", \
-            "0.253092, 0.269907, 0.296988, 0.343761, 0.438098, 0.646654" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0238494, 0.0349248, 0.0589255, 0.112524, 0.236284, 0.517699", \
-            "0.0238548, 0.0349156, 0.0589122, 0.112489, 0.236285, 0.517705", \
-            "0.0239112, 0.0349846, 0.0588996, 0.112499, 0.236202, 0.517711", \
-            "0.0254796, 0.0362386, 0.0595841, 0.112738, 0.236353, 0.517727", \
-            "0.0311368, 0.0411834, 0.0636054, 0.115831, 0.237665, 0.518033", \
-            "0.0407921, 0.0514674, 0.0718622, 0.120136, 0.23951, 0.519539" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.070103, 0.0727767, 0.0771359, 0.0844119, 0.0888764, 0.0929056, 0.0966877, 0.100369, 0.104046, 0.106002, 0.113696, 0.117599, 0.120698, 0.125698, 0.12975, 0.133054, 0.139663, 0.148606, 0.174541");
-            values ( \
-              "-0.0458494, -0.0564148, -0.0948404, -0.137333, -0.156271, -0.169253, -0.177015, -0.179566, -0.170984, -0.160079, -0.0847556, -0.0539199, -0.0366021, -0.0189538, -0.0110695, -0.00711812, -0.00288864, -0.000941315, -0.000167013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.066712, 0.0908594, 0.0958476, 0.0996805, 0.102874, 0.108373, 0.1138, 0.119225, 0.120538, 0.125039, 0.13623, 0.14323, 0.149059, 0.153559, 0.158462, 0.162258, 0.169849, 0.173058");
-            values ( \
-              "-0.0226111, -0.221124, -0.242604, -0.255118, -0.263097, -0.271362, -0.270319, -0.253215, -0.246476, -0.209882, -0.104939, -0.0622369, -0.0392928, -0.0272238, -0.0182377, -0.0133294, -0.00700054, -0.0058195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0682973, 0.0772499, 0.0831256, 0.0875161, 0.0958077, 0.101711, 0.111701, 0.121068, 0.130227, 0.139608, 0.144199, 0.149168, 0.159105, 0.172792, 0.177105, 0.18353, 0.190874, 0.198825, 0.204557, 0.215861, 0.222578, 0.236013, 0.257076");
-            values ( \
-              "-0.00938797, -0.1377, -0.200768, -0.237769, -0.289305, -0.314931, -0.344385, -0.358127, -0.361575, -0.351714, -0.340623, -0.32239, -0.26028, -0.165387, -0.140896, -0.109863, -0.0816121, -0.0586607, -0.0459678, -0.0281695, -0.0209983, -0.0114411, -0.00437457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.07178, 0.0809852, 0.0902648, 0.10189, 0.111002, 0.121239, 0.138929, 0.156191, 0.174336, 0.181927, 0.191401, 0.2095, 0.217611, 0.251837, 0.268939, 0.288648, 0.303326, 0.319632, 0.345491, 0.361002, 0.37873, 0.414184, 0.434336");
-            values ( \
-              "-0.126441, -0.194428, -0.283123, -0.350542, -0.381751, -0.407579, -0.426739, -0.429345, -0.420711, -0.413813, -0.402075, -0.365005, -0.338439, -0.202916, -0.149106, -0.102306, -0.0764262, -0.0548827, -0.0319905, -0.0231111, -0.0158357, -0.00718958, -0.00521191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0722329, 0.0964789, 0.103067, 0.113302, 0.123317, 0.136303, 0.148359, 0.159483, 0.177165, 0.194606, 0.223237, 0.243715, 0.266618, 0.303337, 0.312948, 0.323931, 0.345879, 0.427841, 0.461873, 0.477415, 0.501371, 0.533313, 0.547244, 0.562851, 0.58366, 0.624992, 0.642838, 0.669097, 0.704107, 0.769858, 0.835608, 0.901358, 0.967109");
-            values ( \
-              "-0.150787, -0.336694, -0.373375, -0.41128, -0.436795, -0.455428, -0.465828, -0.469699, -0.472249, -0.469855, -0.463151, -0.455746, -0.445309, -0.423325, -0.415416, -0.405014, -0.377485, -0.222406, -0.168056, -0.147118, -0.119166, -0.0891094, -0.0783729, -0.0677833, -0.0557206, -0.0374258, -0.0315275, -0.0244608, -0.0173575, -0.00892955, -0.00457478, -0.00234365, -0.00119624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.0824652, 0.118473, 0.140494, 0.153397, 0.165297, 0.18609, 0.211128, 0.240231, 0.316281, 0.394079, 0.48972, 0.557945, 0.623696, 0.648546, 0.695786, 0.808399, 0.87415, 0.929644, 0.997732, 1.06348, 1.1028, 1.16423, 1.22998, 1.30204, 1.40135, 1.4671, 1.66435, 1.75756");
-            values ( \
-              "-0.391972, -0.437966, -0.475794, -0.486296, -0.491278, -0.495401, -0.49524, -0.492936, -0.482834, -0.470275, -0.450457, -0.430347, -0.400499, -0.384549, -0.345837, -0.242795, -0.191095, -0.154454, -0.117729, -0.0897595, -0.0761389, -0.0586711, -0.044197, -0.032308, -0.0208855, -0.0155781, -0.00649144, -0.00506675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0880262, 0.0946549, 0.0969208, 0.100382, 0.107873, 0.1119, 0.115682, 0.119364, 0.123041, 0.125006, 0.132576, 0.13733, 0.140736, 0.145392, 0.15073, 0.156829, 0.165265, 0.189209");
-            values ( \
-              "-0.0144838, -0.0819837, -0.101051, -0.121673, -0.156389, -0.169128, -0.177085, -0.179491, -0.171026, -0.160021, -0.0858104, -0.0492751, -0.0320325, -0.017324, -0.00848899, -0.00369127, -0.00122109, -0.000195156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.0857665, 0.109956, 0.116217, 0.12081, 0.12429, 0.127459, 0.132886, 0.138311, 0.139623, 0.144121, 0.155313, 0.162316, 0.168149, 0.172648, 0.177547, 0.18134, 0.188926, 0.191727");
-            values ( \
-              "-0.0227109, -0.221097, -0.247039, -0.260606, -0.267489, -0.271285, -0.270332, -0.253183, -0.246478, -0.209927, -0.104954, -0.0622473, -0.0392807, -0.0272239, -0.018244, -0.0133309, -0.00701088, -0.00597675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0863607, 0.102018, 0.109419, 0.116009, 0.120835, 0.130829, 0.140183, 0.14934, 0.15849, 0.163369, 0.16828, 0.178104, 0.186043, 0.197161, 0.20799, 0.220163, 0.228958, 0.234808, 0.243831, 0.255862, 0.274822");
-            values ( \
-              "-0.0038527, -0.199482, -0.257665, -0.294878, -0.315344, -0.344416, -0.358329, -0.361481, -0.352267, -0.340452, -0.32239, -0.261085, -0.203341, -0.135914, -0.0885938, -0.053414, -0.0365442, -0.0283707, -0.0191208, -0.0111443, -0.00499827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0868524, 0.100257, 0.10864, 0.121054, 0.127031, 0.140379, 0.149602, 0.158056, 0.175318, 0.192583, 0.204075, 0.210558, 0.223525, 0.229798, 0.239779, 0.263187, 0.286367, 0.303557, 0.318204, 0.332181, 0.350817, 0.362002, 0.374726, 0.39169, 0.42562, 0.476287, 0.53773");
-            values ( \
-              "-0.0239619, -0.19634, -0.278226, -0.349604, -0.373043, -0.40659, -0.419343, -0.425967, -0.429083, -0.42156, -0.410554, -0.402047, -0.378133, -0.361605, -0.326669, -0.231565, -0.153919, -0.111106, -0.0831829, -0.0627683, -0.0426392, -0.0337889, -0.025892, -0.0180397, -0.00852211, -0.00253404, -0.000544105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0992182, 0.122281, 0.131441, 0.142538, 0.156027, 0.17868, 0.19636, 0.2138, 0.249262, 0.285816, 0.323908, 0.343241, 0.365079, 0.447828, 0.482704, 0.516555, 0.543891, 0.591585, 0.62545, 0.651831, 0.714731, 0.780482, 0.846232, 0.977733");
-            values ( \
-              "-0.344798, -0.372471, -0.409267, -0.436059, -0.456987, -0.470425, -0.471615, -0.470451, -0.460874, -0.445707, -0.422069, -0.404927, -0.377438, -0.221024, -0.165721, -0.12349, -0.0964729, -0.0620042, -0.044896, -0.0348437, -0.0189, -0.00972779, -0.00498667, -0.00130481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.0990314, 0.120645, 0.13809, 0.160427, 0.184323, 0.204912, 0.230198, 0.259257, 0.335306, 0.437204, 0.49318, 0.539858, 0.576973, 0.642724, 0.667575, 0.714816, 0.827427, 0.893178, 0.937328, 1.01677, 1.08252, 1.1423, 1.18324, 1.24899, 1.30429, 1.42039, 1.48614, 1.55189, 1.68339, 1.81489, 2.01214");
-            values ( \
-              "-0.367721, -0.375533, -0.439819, -0.476992, -0.491432, -0.495252, -0.495359, -0.492816, -0.482722, -0.465824, -0.454139, -0.44214, -0.43029, -0.400539, -0.384507, -0.345866, -0.24281, -0.191083, -0.161432, -0.117721, -0.0897605, -0.0698601, -0.0586857, -0.0441905, -0.0347349, -0.0208711, -0.0155913, -0.0116437, -0.00647636, -0.00359665, -0.00148286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.133021, 0.135765, 0.140309, 0.147641, 0.15213, 0.156173, 0.159964, 0.163652, 0.167335, 0.169242, 0.177354, 0.182431, 0.184529, 0.189524, 0.192776, 0.197113, 0.204809, 0.21348");
-            values ( \
-              "-0.0426127, -0.0539851, -0.0932648, -0.136296, -0.155725, -0.168682, -0.176749, -0.179204, -0.170888, -0.160265, -0.0813606, -0.0445751, -0.034171, -0.0176869, -0.0114751, -0.00637371, -0.00220895, -0.00171443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.133371, 0.141929, 0.145034, 0.149954, 0.154094, 0.160393, 0.166158, 0.171657, 0.177088, 0.182515, 0.183825, 0.188313, 0.199513, 0.206519, 0.212364, 0.216861, 0.22175, 0.225535, 0.233104, 0.236879");
-            values ( \
-              "-0.0379826, -0.134167, -0.161849, -0.196543, -0.219802, -0.246768, -0.262926, -0.271207, -0.270184, -0.253129, -0.246419, -0.210015, -0.105008, -0.0622565, -0.0392636, -0.027207, -0.0182463, -0.0133527, -0.00702507, -0.00563045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.134527, 0.146637, 0.153743, 0.159208, 0.165143, 0.175122, 0.184482, 0.193638, 0.202787, 0.207786, 0.212579, 0.222164, 0.231162, 0.241017, 0.248125, 0.255683, 0.263821, 0.269117, 0.279298, 0.296119, 0.31845, 0.344435");
-            values ( \
-              "-0.0664979, -0.204717, -0.259033, -0.289039, -0.316149, -0.344024, -0.358817, -0.361104, -0.352603, -0.340067, -0.322394, -0.26277, -0.197686, -0.138126, -0.104752, -0.0769956, -0.0548119, -0.0437538, -0.0281542, -0.0133577, -0.00454132, -0.00124802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.134537, 0.144937, 0.154035, 0.165574, 0.174704, 0.184865, 0.20254, 0.219793, 0.237403, 0.245764, 0.255011, 0.273113, 0.281164, 0.315499, 0.333247, 0.352221, 0.364205, 0.386123, 0.403533, 0.422252, 0.436686, 0.465554, 0.496577");
-            values ( \
-              "-0.083085, -0.200937, -0.286459, -0.352277, -0.382836, -0.408289, -0.427092, -0.429533, -0.421048, -0.413565, -0.402028, -0.364952, -0.338596, -0.202701, -0.147135, -0.102368, -0.0807143, -0.0517106, -0.035963, -0.0243121, -0.0179009, -0.00952671, -0.00544415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.1426, 0.159759, 0.168401, 0.178221, 0.186946, 0.2007, 0.212335, 0.223085, 0.240759, 0.258203, 0.288121, 0.304789, 0.336528, 0.349215, 0.368294, 0.406899, 0.414642, 0.424965, 0.48701, 0.521631, 0.534024, 0.553502, 0.574912, 0.602795, 0.627057, 0.653079, 0.690214, 0.713815, 0.757611, 0.816006, 0.881756, 0.947506, 1.01326");
-            values ( \
-              "-0.335371, -0.337862, -0.381825, -0.416246, -0.436846, -0.456949, -0.46585, -0.470099, -0.471995, -0.470125, -0.462488, -0.456531, -0.442231, -0.435011, -0.422047, -0.381383, -0.369482, -0.351447, -0.230029, -0.173425, -0.156052, -0.131789, -0.108832, -0.0843629, -0.0673481, -0.052631, -0.0368583, -0.0293332, -0.0191514, -0.0107036, -0.00545678, -0.00282732, -0.0014124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.151451, 0.204599, 0.229076, 0.249663, 0.274799, 0.341558, 0.445806, 0.537931, 0.584585, 0.621725, 0.687476, 0.759569, 0.872181, 0.937931, 0.982083, 1.06153, 1.12728, 1.18705, 1.22798, 1.29373, 1.34905, 1.39941, 1.53091, 1.66241, 1.79391, 2.05691");
-            values ( \
-              "-0.471185, -0.477114, -0.491903, -0.494949, -0.495704, -0.488514, -0.472613, -0.454349, -0.442336, -0.430097, -0.400719, -0.346034, -0.242945, -0.190948, -0.161562, -0.117604, -0.0898707, -0.0699399, -0.0586149, -0.0442691, -0.0346621, -0.0278166, -0.015525, -0.00861693, -0.00476012, -0.00142446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.224813, 0.230733, 0.237035, 0.249034, 0.253962, 0.258011, 0.261873, 0.265759, 0.26715, 0.279166, 0.28292, 0.287902, 0.294255, 0.299874, 0.301379");
-            values ( \
-              "-0.0235326, -0.0407691, -0.0813393, -0.140021, -0.156922, -0.167321, -0.171952, -0.165524, -0.158988, -0.055453, -0.0349339, -0.0182627, -0.00790483, -0.00374762, -0.00330805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.223821, 0.232819, 0.24785, 0.254103, 0.25824, 0.26429, 0.269987, 0.275548, 0.281259, 0.28337, 0.298294, 0.305292, 0.311026, 0.315572, 0.320609, 0.330643");
-            values ( \
-              "-0.0304047, -0.0669424, -0.18012, -0.214489, -0.232747, -0.252833, -0.263205, -0.26526, -0.248874, -0.237521, -0.103776, -0.0617147, -0.0393379, -0.0271867, -0.0180417, -0.00838167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.227396, 0.237414, 0.248178, 0.257281, 0.262375, 0.267616, 0.273377, 0.282918, 0.292179, 0.301433, 0.306826, 0.311231, 0.320041, 0.338602, 0.348269, 0.354748, 0.360559, 0.368306, 0.374981, 0.384024, 0.396081, 0.411968");
-            values ( \
-              "-0.0542581, -0.118042, -0.216717, -0.274584, -0.299763, -0.319997, -0.336628, -0.353449, -0.358315, -0.350191, -0.337365, -0.321273, -0.267981, -0.143932, -0.0987935, -0.0758397, -0.0595497, -0.0427775, -0.0320558, -0.0216172, -0.0125947, -0.00670545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.223125, 0.248963, 0.260739, 0.269008, 0.283235, 0.296073, 0.301066, 0.318368, 0.336026, 0.343934, 0.353654, 0.361355, 0.371624, 0.380358, 0.407447, 0.42392, 0.434783, 0.450207, 0.472816, 0.48504, 0.502331, 0.520583, 0.534606, 0.562652, 0.600347");
-            values ( \
-              "-0.0032595, -0.24189, -0.323634, -0.361996, -0.402262, -0.419663, -0.424249, -0.428095, -0.42056, -0.413623, -0.401749, -0.389007, -0.365046, -0.336255, -0.227086, -0.170263, -0.139319, -0.103627, -0.0660293, -0.0513818, -0.0358496, -0.0244784, -0.018161, -0.00987501, -0.00470934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.228723, 0.256045, 0.264962, 0.273413, 0.285605, 0.298651, 0.310798, 0.321917, 0.339643, 0.35709, 0.385683, 0.406279, 0.429109, 0.465835, 0.475427, 0.486389, 0.50838, 0.591072, 0.625882, 0.639409, 0.660191, 0.687901, 0.709531, 0.734143, 0.766959, 0.79458, 0.822716, 0.860231, 0.925981, 0.991731, 1.05748, 1.12323");
-            values ( \
-              "-0.0781736, -0.306749, -0.361724, -0.398199, -0.432501, -0.453541, -0.464148, -0.469102, -0.471533, -0.469851, -0.462828, -0.455481, -0.445401, -0.423132, -0.415358, -0.405022, -0.377422, -0.221117, -0.1659, -0.147748, -0.123128, -0.0958551, -0.0785656, -0.0624454, -0.0456841, -0.0350366, -0.0267093, -0.0184937, -0.00951878, -0.00487801, -0.00249915, -0.00127589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.248787, 0.297049, 0.307958, 0.326171, 0.350894, 0.37688, 0.440342, 0.544571, 0.628934, 0.683294, 0.720497, 0.786247, 0.811102, 0.858344, 0.970958, 1.03671, 1.08086, 1.16031, 1.22606, 1.28584, 1.32678, 1.39253, 1.44784, 1.56394, 1.62969, 1.82694, 1.90825");
-            values ( \
-              "-0.457732, -0.466277, -0.47878, -0.490205, -0.495005, -0.494989, -0.488156, -0.472285, -0.455892, -0.44213, -0.430293, -0.400507, -0.38451, -0.345837, -0.24279, -0.191092, -0.161415, -0.117726, -0.089748, -0.0698544, -0.0586896, -0.0441865, -0.034738, -0.0208678, -0.0155931, -0.00647442, -0.0052402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.411784, 0.420191, 0.421976, 0.423194, 0.42563, 0.427768, 0.429906, 0.432037, 0.434168, 0.4363, 0.438431, 0.438874, 0.44671, 0.449518, 0.452169, 0.455909, 0.457061, 0.458212, 0.459363, 0.460514, 0.461636, 0.462758, 0.46388, 0.465002, 0.466121, 0.46724, 0.468358, 0.469477, 0.469803, 0.469966, 0.470455, 0.470781, 0.471759, 0.47312, 0.474704, 0.476333, 0.477329, 0.478244, 0.479158, 0.48036, 0.482311, 0.483434, 0.483809, 0.484558, 0.485307, 0.486056, 0.486805, 0.48856, 0.489438, 0.490314");
-            values ( \
-              "-0.0258947, -0.0290427, -0.0332481, -0.0368088, -0.0462324, -0.054049, -0.0615101, -0.0685931, -0.0753229, -0.0816995, -0.0877229, -0.0891758, -0.113276, -0.12171, -0.129462, -0.139927, -0.141675, -0.142984, -0.143854, -0.144285, -0.144282, -0.143862, -0.143025, -0.141772, -0.139338, -0.136183, -0.132308, -0.127711, -0.126023, -0.124942, -0.121527, -0.118983, -0.111174, -0.0997379, -0.0867706, -0.0738322, -0.0663177, -0.0603265, -0.0546974, -0.0480066, -0.0378111, -0.0328936, -0.0313937, -0.028773, -0.0263171, -0.0240262, -0.0219001, -0.0176468, -0.0156731, -0.0138023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.407794, 0.415231, 0.41909, 0.419663, 0.423099, 0.427385, 0.431405, 0.436227, 0.4438, 0.447349, 0.455754, 0.45837, 0.462874, 0.469343, 0.475515, 0.481675, 0.482792, 0.483945, 0.486071, 0.488541, 0.493479, 0.497198, 0.500855, 0.503395, 0.50667, 0.509315, 0.512596, 0.517231, 0.519353, 0.522296, 0.52622, 0.534068, 0.538944");
-            values ( \
-              "-0.0158183, -0.0304372, -0.0371793, -0.0394923, -0.0498109, -0.0660752, -0.0858309, -0.108217, -0.140638, -0.156701, -0.192797, -0.203018, -0.218794, -0.235175, -0.241895, -0.232423, -0.228339, -0.223208, -0.210806, -0.191738, -0.148101, -0.117571, -0.0919654, -0.0768046, -0.0603661, -0.0494563, -0.038375, -0.0265918, -0.0224743, -0.0177787, -0.012961, -0.00679703, -0.00510427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.407153, 0.426819, 0.431543, 0.453375, 0.460938, 0.470839, 0.475938, 0.482919, 0.492803, 0.502601, 0.513582, 0.518328, 0.533911, 0.542882, 0.553656, 0.564978, 0.57278, 0.578601, 0.5925, 0.608386, 0.617921");
-            values ( \
-              "-0.00819214, -0.0774127, -0.0995467, -0.22233, -0.260544, -0.300326, -0.314283, -0.327998, -0.338038, -0.334384, -0.307599, -0.284086, -0.180841, -0.130891, -0.0863134, -0.0543149, -0.0391029, -0.0305519, -0.0167964, -0.00822029, -0.00600198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.414826, 0.432474, 0.46141, 0.471064, 0.481901, 0.490857, 0.502004, 0.51999, 0.537888, 0.550201, 0.56557, 0.575759, 0.584208, 0.611857, 0.637492, 0.6654, 0.688664, 0.716648, 0.736781, 0.763625, 0.785997");
-            values ( \
-              "-0.052306, -0.11505, -0.293442, -0.338276, -0.372605, -0.391102, -0.405654, -0.41451, -0.410279, -0.400245, -0.380251, -0.357982, -0.330756, -0.221242, -0.140067, -0.0816799, -0.0507544, -0.0282903, -0.0184734, -0.0104641, -0.00714608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.430913, 0.458454, 0.471492, 0.483863, 0.494254, 0.506129, 0.523226, 0.541645, 0.554689, 0.565999, 0.579471, 0.59522, 0.613251, 0.631844, 0.668731, 0.687613, 0.707754, 0.71775, 0.731077, 0.782438, 0.803928, 0.831679, 0.852763, 0.874597, 0.903774, 0.930276, 0.965613, 0.995115, 1.03505, 1.08831, 1.15406, 1.21981, 1.28556, 1.35131");
-            values ( \
-              "-0.212547, -0.291884, -0.360673, -0.402546, -0.425412, -0.442566, -0.455675, -0.460445, -0.45993, -0.460641, -0.460406, -0.457735, -0.452287, -0.444641, -0.422715, -0.406362, -0.382235, -0.366764, -0.342715, -0.241296, -0.203639, -0.161542, -0.134608, -0.110844, -0.0848959, -0.0663721, -0.0474342, -0.0357267, -0.0242801, -0.0143092, -0.00735063, -0.00376856, -0.00192683, -0.000988244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.431139, 0.459006, 0.473869, 0.48882, 0.500937, 0.515982, 0.529275, 0.550124, 0.5559, 0.578149, 0.597153, 0.622161, 0.681566, 0.741448, 0.795249, 0.839568, 0.905318, 0.959393, 1.01382, 1.07957, 1.20261, 1.26836, 1.30345, 1.35422, 1.41997, 1.45084, 1.5004, 1.55703, 1.62278, 1.68902, 1.79341, 1.92491, 2.05641, 2.18791, 2.38516");
-            values ( \
-              "-0.231542, -0.300467, -0.381001, -0.427734, -0.450798, -0.469421, -0.47758, -0.484412, -0.484679, -0.489698, -0.491043, -0.489789, -0.482535, -0.473222, -0.463453, -0.453984, -0.436293, -0.415728, -0.384464, -0.328992, -0.219117, -0.171368, -0.149484, -0.122113, -0.0932413, -0.0819406, -0.0665454, -0.0521837, -0.0392719, -0.0294049, -0.0185623, -0.0103414, -0.00574327, -0.00318252, -0.00133327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.782528, 0.805507, 0.81421, 0.816789, 0.821947, 0.826654, 0.838552, 0.847415, 0.8549, 0.861528, 0.867598, 0.873401, 0.879187, 0.879671, 0.881607, 0.888092, 0.890207, 0.893834, 0.895569, 0.899039, 0.903195, 0.90609, 0.911881, 0.912135");
-            values ( \
-              "-0.00369407, -0.0117718, -0.0203088, -0.0240834, -0.0328971, -0.0438278, -0.0662919, -0.0816346, -0.0937441, -0.103882, -0.111473, -0.115111, -0.105322, -0.103651, -0.0948565, -0.0586989, -0.0482236, -0.0333888, -0.0277428, -0.0188286, -0.0117531, -0.00846777, -0.00439418, -0.00431922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.794408, 0.799439, 0.808163, 0.818023, 0.82303, 0.860186, 0.877783, 0.885372, 0.892733, 0.900561, 0.912925, 0.919732, 0.923217, 0.931693, 0.937085, 0.944274, 0.957348, 0.972612, 0.992");
-            values ( \
-              "-0.0114135, -0.0148398, -0.0237823, -0.03863, -0.0493727, -0.146083, -0.187015, -0.199384, -0.20084, -0.173899, -0.0915543, -0.0578949, -0.0451138, -0.0238576, -0.0157715, -0.00902199, -0.0030543, -0.00101481, -0.000326245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.796309, 0.813653, 0.818195, 0.818659, 0.819589, 0.821448, 0.825166, 0.831074, 0.837062, 0.843661, 0.847609, 0.855506, 0.867076, 0.881671, 0.894027, 0.905182, 0.915908, 0.926604, 0.927076, 0.927705, 0.928964, 0.931482, 0.936518, 0.938971, 0.943877, 0.952591, 0.956143, 0.956994, 0.958696, 0.962099, 0.968905, 0.97289, 0.973858, 0.975793, 0.979664, 0.987405, 0.993333, 0.995349, 0.99938, 1.00744, 1.02357, 1.03116");
-            values ( \
-              "-0.0159821, -0.041302, -0.0492904, -0.0505873, -0.0527606, -0.0568938, -0.0659022, -0.0825085, -0.102392, -0.124463, -0.136843, -0.16296, -0.201545, -0.24967, -0.283786, -0.304846, -0.310878, -0.295871, -0.294639, -0.292811, -0.288681, -0.27862, -0.251852, -0.236728, -0.205746, -0.154457, -0.136141, -0.132023, -0.124035, -0.109198, -0.0838042, -0.071489, -0.0687616, -0.063581, -0.054266, -0.0392433, -0.0305347, -0.028032, -0.0236052, -0.0166594, -0.00807846, -0.00635621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.807962, 0.828437, 0.830456, 0.838592, 0.84764, 0.861964, 0.878016, 0.885948, 0.89388, 0.896974, 0.903163, 0.906257, 0.912603, 0.918948, 0.923179, 0.927409, 0.93164, 0.934816, 0.937993, 0.941559, 0.945126, 0.950424, 0.955722, 0.959253, 0.962785, 0.966317, 0.968555, 0.97303, 0.977506, 0.979744, 0.985122, 0.9905, 0.99554, 1.00058, 1.01066, 1.02567, 1.03102, 1.03816, 1.04518, 1.05447, 1.05886, 1.06604, 1.07157, 1.07784, 1.08445, 1.09141, 1.10058, 1.10796, 1.11662, 1.12777");
-            values ( \
-              "-0.0596993, -0.0844899, -0.0906043, -0.116977, -0.149519, -0.205164, -0.272443, -0.302076, -0.32877, -0.338096, -0.355122, -0.362822, -0.37407, -0.383359, -0.388547, -0.39297, -0.396627, -0.398845, -0.40049, -0.401079, -0.401254, -0.400749, -0.399288, -0.397765, -0.395807, -0.393415, -0.391447, -0.386517, -0.379787, -0.375783, -0.363704, -0.349917, -0.334954, -0.318818, -0.283027, -0.224003, -0.205064, -0.181597, -0.16043, -0.134475, -0.123435, -0.108017, -0.0973238, -0.0860748, -0.0749624, -0.0645943, -0.0539499, -0.0465525, -0.0390272, -0.0309548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.824133, 0.862469, 0.880955, 0.895806, 0.911131, 0.925232, 0.936459, 0.955529, 0.973115, 1.00045, 1.00927, 1.04615, 1.08455, 1.10244, 1.12288, 1.132, 1.14416, 1.19148, 1.21486, 1.24776, 1.26717, 1.2895, 1.31912, 1.34652, 1.38305, 1.41143, 1.44303, 1.48515, 1.55091, 1.61666, 1.68241, 1.74816");
-            values ( \
-              "-0.136195, -0.220308, -0.301285, -0.358014, -0.401968, -0.426789, -0.44082, -0.453425, -0.458451, -0.456706, -0.455149, -0.441917, -0.418966, -0.403578, -0.379449, -0.365673, -0.344441, -0.251695, -0.209984, -0.1602, -0.135654, -0.111316, -0.0850114, -0.0659372, -0.0465702, -0.0354915, -0.0261779, -0.017295, -0.00892364, -0.00456481, -0.00235748, -0.00119266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.833264, 0.916026, 0.930843, 0.942769, 0.963412, 0.986721, 1.01959, 1.09599, 1.2654, 1.32687, 1.36264, 1.41033, 1.4296, 1.46814, 1.59582, 1.66157, 1.70122, 1.76289, 1.82864, 1.87341, 1.92558, 1.97967, 2.04542, 2.1517, 2.21745, 2.34895, 2.48045, 2.50825");
-            values ( \
-              "-0.184068, -0.42656, -0.451617, -0.466108, -0.479968, -0.487452, -0.488734, -0.479955, -0.450442, -0.433995, -0.420816, -0.396812, -0.384159, -0.353308, -0.237314, -0.18654, -0.160246, -0.125601, -0.0959309, -0.0796168, -0.0638833, -0.0506854, -0.0380923, -0.023915, -0.0178974, -0.00998038, -0.00555231, -0.00518982" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(!A * !B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0879032, 0.102312, 0.128603, 0.177133, 0.274718, 0.487419", \
-            "0.0930826, 0.107515, 0.133826, 0.182382, 0.279961, 0.492643", \
-            "0.106804, 0.121226, 0.147634, 0.196264, 0.293838, 0.506457", \
-            "0.137136, 0.151875, 0.178743, 0.227501, 0.325106, 0.537618", \
-            "0.186123, 0.203297, 0.233144, 0.284878, 0.383754, 0.596005", \
-            "0.263601, 0.28424, 0.320283, 0.377752, 0.479212, 0.692823" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0290259, 0.0425124, 0.0686945, 0.122532, 0.244772, 0.529157", \
-            "0.0290154, 0.0425144, 0.0686887, 0.122523, 0.244775, 0.52916", \
-            "0.0291125, 0.0426032, 0.0687244, 0.122524, 0.244764, 0.529166", \
-            "0.0308813, 0.0441828, 0.0698162, 0.123251, 0.245041, 0.529127", \
-            "0.0378784, 0.0517793, 0.0774015, 0.128737, 0.247736, 0.529549", \
-            "0.0477476, 0.0645494, 0.0922851, 0.140936, 0.254845, 0.532756" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0673624, 0.0713661, 0.0770244, 0.0812673, 0.0898663, 0.0947493, 0.0994308, 0.104006, 0.108575, 0.113236, 0.121092, 0.12731, 0.133709, 0.137811, 0.14328, 0.151899, 0.159328");
-            values ( \
-              "-0.0151264, -0.0503749, -0.0896237, -0.107119, -0.130218, -0.137699, -0.142786, -0.144092, -0.140442, -0.122141, -0.0665276, -0.0342153, -0.0159785, -0.0096678, -0.00486253, -0.00161282, -0.00118857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0687172, 0.0735742, 0.0800074, 0.0833579, 0.0885035, 0.0921349, 0.0977064, 0.103563, 0.113867, 0.120546, 0.1272, 0.130142, 0.134668, 0.144617, 0.150294, 0.156473, 0.164763, 0.170203, 0.174444, 0.182926, 0.19358");
-            values ( \
-              "-0.0348188, -0.0862658, -0.133387, -0.150867, -0.171027, -0.182015, -0.194947, -0.205178, -0.217992, -0.22128, -0.214146, -0.204536, -0.179816, -0.106544, -0.073475, -0.0473377, -0.0252809, -0.0166101, -0.0119073, -0.00600548, -0.00285351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.066742, 0.0760685, 0.0806219, 0.0827863, 0.0912717, 0.101516, 0.121934, 0.129186, 0.140076, 0.150757, 0.161431, 0.165538, 0.169425, 0.183306, 0.19431, 0.201668, 0.20777, 0.218803, 0.227432, 0.236646, 0.243746, 0.257945, 0.283123, 0.313067");
-            values ( \
-              "-0.015394, -0.125777, -0.153152, -0.173561, -0.213527, -0.243707, -0.285547, -0.296876, -0.307915, -0.309058, -0.292436, -0.279081, -0.260942, -0.178047, -0.121473, -0.092063, -0.072433, -0.0462614, -0.0321912, -0.0218045, -0.0160809, -0.00858562, -0.00256972, -0.000593207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0698308, 0.0912701, 0.10168, 0.121441, 0.139298, 0.150241, 0.166499, 0.176272, 0.188778, 0.207772, 0.221065, 0.228175, 0.242396, 0.267707, 0.288474, 0.30814, 0.322609, 0.338324, 0.365036, 0.400079, 0.437457, 0.444834");
-            values ( \
-              "-0.112875, -0.233857, -0.269833, -0.320063, -0.355261, -0.36962, -0.384454, -0.38843, -0.388727, -0.379728, -0.362137, -0.347585, -0.303457, -0.208888, -0.145169, -0.1003, -0.0755448, -0.0551343, -0.0317608, -0.0152317, -0.00665223, -0.00598859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0761719, 0.0984, 0.116625, 0.131705, 0.142351, 0.156158, 0.173171, 0.193063, 0.21118, 0.241389, 0.248521, 0.262786, 0.286295, 0.324239, 0.342354, 0.361678, 0.379233, 0.440861, 0.462342, 0.489775, 0.510131, 0.532391, 0.562022, 0.58918, 0.625391, 0.654505, 0.686776, 0.729805, 0.797543, 0.865282, 0.93302, 1.00076");
-            values ( \
-              "-0.257724, -0.271434, -0.326095, -0.363895, -0.385382, -0.406829, -0.424887, -0.437197, -0.442553, -0.443463, -0.442525, -0.43963, -0.432314, -0.412764, -0.39871, -0.37821, -0.35253, -0.236726, -0.200198, -0.159661, -0.13415, -0.110281, -0.0843402, -0.0656831, -0.0466752, -0.0353689, -0.0259674, -0.0170631, -0.00863544, -0.00435304, -0.00219166, -0.00110169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.0868652, 0.135375, 0.150636, 0.179427, 0.204534, 0.231697, 0.258926, 0.29715, 0.337183, 0.454318, 0.498893, 0.566632, 0.620321, 0.676811, 0.74455, 0.865246, 0.932984, 1.02548, 1.09322, 1.16136, 1.20881, 1.27655, 1.32426, 1.42062, 1.48835, 1.55609, 1.69157, 1.82705, 2.03026");
-            values ( \
-              "-0.380412, -0.383859, -0.413186, -0.448163, -0.464293, -0.472343, -0.47534, -0.474464, -0.470861, -0.452798, -0.444009, -0.426693, -0.407707, -0.377921, -0.323735, -0.219176, -0.170635, -0.119021, -0.0901899, -0.067931, -0.0556883, -0.04154, -0.0339185, -0.0222122, -0.0165995, -0.0122059, -0.00667094, -0.00362625, -0.00155449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0830148, 0.103717, 0.113907, 0.118871, 0.122873, 0.127047, 0.129122, 0.132747, 0.143939, 0.148051, 0.155731, 0.159051, 0.165691, 0.166384");
-            values ( \
-              "-0.00726441, -0.119318, -0.138197, -0.142675, -0.144085, -0.141158, -0.136159, -0.120069, -0.0453184, -0.0284856, -0.0112868, -0.0074696, -0.00323191, -0.00306807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.0816376, 0.11017, 0.111303, 0.113571, 0.118051, 0.126164, 0.133022, 0.139712, 0.146401, 0.150225, 0.164265, 0.171009, 0.177249, 0.184969, 0.192532, 0.201176, 0.215046, 0.252889");
-            values ( \
-              "-0.0176009, -0.174149, -0.184098, -0.186735, -0.198289, -0.210286, -0.218033, -0.221279, -0.21408, -0.200604, -0.103538, -0.0659736, -0.0420347, -0.0233443, -0.0129814, -0.00642215, -0.00201151, -0.000215823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0874688, 0.0952919, 0.0979038, 0.101982, 0.110451, 0.120623, 0.141019, 0.148353, 0.159242, 0.169923, 0.180597, 0.184708, 0.188593, 0.202468, 0.213478, 0.220837, 0.226937, 0.237968, 0.246598, 0.255814, 0.262915, 0.277118, 0.302291, 0.332233");
-            values ( \
-              "-0.0414872, -0.126965, -0.140154, -0.173704, -0.213578, -0.243581, -0.285405, -0.296951, -0.307886, -0.309111, -0.292389, -0.279067, -0.260925, -0.178067, -0.121466, -0.0920552, -0.072427, -0.0462653, -0.0321897, -0.0218015, -0.0160804, -0.00858146, -0.00257152, -0.000591723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0939495, 0.110394, 0.120723, 0.129895, 0.140757, 0.158491, 0.169333, 0.185729, 0.195083, 0.207867, 0.226862, 0.240139, 0.247265, 0.261517, 0.286755, 0.306807, 0.330316, 0.354988, 0.372506, 0.393218, 0.407236, 0.435273, 0.471316");
-            values ( \
-              "-0.215961, -0.23289, -0.269039, -0.293747, -0.320367, -0.355222, -0.369992, -0.384302, -0.38817, -0.38895, -0.379523, -0.362162, -0.34757, -0.303341, -0.209028, -0.147175, -0.0944533, -0.0579201, -0.0404321, -0.0263558, -0.0196493, -0.010733, -0.00537647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0966639, 0.122696, 0.137652, 0.150903, 0.16248, 0.17646, 0.192382, 0.212242, 0.230398, 0.260767, 0.281686, 0.305514, 0.343455, 0.361625, 0.381007, 0.398241, 0.461027, 0.482164, 0.509214, 0.528941, 0.551361, 0.581135, 0.608626, 0.64528, 0.673944, 0.705797, 0.748267, 0.816005, 0.883744, 0.951482, 1.01922");
-            values ( \
-              "-0.272544, -0.287801, -0.331236, -0.36406, -0.386962, -0.408389, -0.424773, -0.437295, -0.442434, -0.443558, -0.439816, -0.432194, -0.412868, -0.398652, -0.378066, -0.352877, -0.235035, -0.199235, -0.159375, -0.134636, -0.110531, -0.0844267, -0.0655488, -0.0463764, -0.0352962, -0.0260192, -0.0171918, -0.00870304, -0.00438541, -0.00220991, -0.00110898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.106928, 0.166048, 0.198696, 0.222031, 0.24924, 0.278193, 0.316546, 0.356449, 0.416626, 0.475145, 0.585899, 0.63959, 0.69608, 0.763819, 0.884511, 0.975948, 1.04477, 1.1125, 1.18061, 1.22804, 1.34352, 1.43995, 1.50769, 1.64317, 1.7109, 1.84638, 2.0496");
-            values ( \
-              "-0.372737, -0.406946, -0.448543, -0.463238, -0.472288, -0.475112, -0.474703, -0.470613, -0.462718, -0.452731, -0.42691, -0.407911, -0.377714, -0.323929, -0.219016, -0.155791, -0.11888, -0.0903187, -0.0680552, -0.0555792, -0.0338029, -0.0223196, -0.0164822, -0.00903689, -0.00678067, -0.00373591, -0.00144476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.131054, 0.140672, 0.144798, 0.153571, 0.158471, 0.163165, 0.167749, 0.172366, 0.17694, 0.185469, 0.190479, 0.195044, 0.201413, 0.206523, 0.215137, 0.221618");
-            values ( \
-              "-0.0239548, -0.0882419, -0.105553, -0.129617, -0.13733, -0.142393, -0.143853, -0.140066, -0.122291, -0.06261, -0.0365783, -0.0214354, -0.00989186, -0.00519062, -0.00173845, -0.00101185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.131808, 0.136961, 0.144908, 0.151078, 0.160027, 0.167289, 0.176417, 0.184284, 0.190959, 0.195837, 0.213031, 0.225697, 0.238585, 0.244648");
-            values ( \
-              "-0.0292706, -0.0848418, -0.139707, -0.166618, -0.191685, -0.204834, -0.216489, -0.220919, -0.213841, -0.195419, -0.0787762, -0.0313828, -0.0115223, -0.00769665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.132122, 0.139812, 0.143745, 0.146562, 0.155063, 0.165342, 0.185865, 0.193003, 0.203897, 0.214583, 0.225261, 0.229357, 0.23325, 0.247152, 0.25814, 0.265496, 0.271603, 0.282643, 0.291271, 0.300481, 0.307575, 0.321765, 0.346932, 0.376862");
-            values ( \
-              "-0.0467586, -0.124691, -0.147787, -0.173153, -0.213281, -0.243621, -0.28552, -0.296781, -0.307717, -0.309014, -0.29229, -0.279067, -0.260919, -0.177949, -0.121485, -0.0920837, -0.0724321, -0.0462531, -0.0321834, -0.0218043, -0.0160879, -0.00859062, -0.00257589, -0.000592965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.132117, 0.144154, 0.15179, 0.160499, 0.174576, 0.19321, 0.203178, 0.214008, 0.230431, 0.233521, 0.2397, 0.252541, 0.271538, 0.273036, 0.284815, 0.291941, 0.306194, 0.331431, 0.351485, 0.362862, 0.374997, 0.386529, 0.399665, 0.417179, 0.437901, 0.451927, 0.479977, 0.52957, 0.588266");
-            values ( \
-              "-0.074913, -0.170388, -0.216188, -0.253351, -0.293692, -0.33714, -0.355195, -0.37008, -0.384255, -0.385797, -0.388106, -0.388982, -0.379466, -0.377896, -0.362146, -0.347554, -0.303329, -0.209025, -0.14717, -0.119207, -0.0944465, -0.0753199, -0.0579223, -0.0404357, -0.026354, -0.0196441, -0.010728, -0.00336082, -0.000791457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.143085, 0.177807, 0.195608, 0.207369, 0.22146, 0.237084, 0.256936, 0.2751, 0.305602, 0.326127, 0.350218, 0.388158, 0.406368, 0.425793, 0.442789, 0.513306, 0.549043, 0.588176, 0.620003, 0.651359, 0.673454, 0.713879, 0.756083, 0.789208, 0.855458, 0.923196, 1.05867");
-            values ( \
-              "-0.283457, -0.318561, -0.363978, -0.387398, -0.408685, -0.424855, -0.437205, -0.442504, -0.443465, -0.439811, -0.432247, -0.412803, -0.398613, -0.377952, -0.35313, -0.221727, -0.165909, -0.118473, -0.0889679, -0.0667257, -0.0542801, -0.0369047, -0.024649, -0.0178717, -0.00919656, -0.00463601, -0.00117266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.150673, 0.199279, 0.226453, 0.243348, 0.266665, 0.293889, 0.322848, 0.361209, 0.401105, 0.461302, 0.519765, 0.630557, 0.68425, 0.74074, 0.808479, 0.929176, 1.02061, 1.08942, 1.15716, 1.22529, 1.27273, 1.34047, 1.3882, 1.48458, 1.55232, 1.62006, 1.75554, 1.89101, 2.09423");
-            values ( \
-              "-0.379127, -0.383566, -0.430443, -0.448448, -0.463262, -0.472217, -0.475156, -0.474643, -0.47066, -0.462659, -0.452685, -0.426859, -0.407862, -0.37775, -0.323889, -0.219042, -0.155818, -0.118906, -0.0902968, -0.0680311, -0.0555943, -0.0416407, -0.0338221, -0.0223029, -0.0165043, -0.0122971, -0.00676214, -0.0037171, -0.00146415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.222937, 0.227456, 0.228387, 0.233253, 0.236979, 0.24731, 0.253931, 0.258565, 0.261745, 0.266528, 0.270766, 0.274273, 0.289275, 0.296209, 0.301656, 0.30621, 0.308798");
-            values ( \
-              "-0.021155, -0.0318233, -0.0311306, -0.0501678, -0.0724334, -0.108428, -0.123832, -0.131431, -0.135397, -0.138473, -0.136457, -0.127325, -0.0380894, -0.0169681, -0.00878932, -0.00510871, -0.00408584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.223539, 0.230884, 0.231122, 0.23128, 0.231756, 0.233343, 0.235642, 0.237846, 0.240049, 0.242849, 0.245107, 0.249083, 0.250328, 0.251574, 0.254512, 0.257208, 0.258376, 0.261877, 0.263892, 0.265906, 0.270318, 0.273937, 0.275644, 0.277808, 0.280429, 0.281739, 0.282975, 0.284211, 0.285035, 0.286683, 0.288466, 0.290248, 0.29198, 0.293712, 0.295445, 0.297177, 0.29782, 0.299108, 0.304151, 0.307324, 0.310065, 0.31181, 0.313464, 0.31561, 0.317944, 0.320467, 0.32299, 0.325513, 0.328036, 0.330179");
-            values ( \
-              "-0.0227366, -0.057311, -0.0573596, -0.0576682, -0.0592087, -0.0666642, -0.0797976, -0.0956218, -0.110152, -0.123036, -0.13443, -0.15666, -0.158229, -0.160643, -0.169051, -0.177238, -0.18031, -0.188648, -0.192803, -0.196613, -0.203803, -0.208807, -0.210751, -0.212842, -0.214815, -0.215572, -0.21548, -0.21514, -0.214775, -0.213713, -0.210566, -0.206421, -0.201436, -0.19551, -0.18864, -0.180828, -0.177348, -0.168459, -0.131463, -0.109239, -0.0914321, -0.0817694, -0.0734663, -0.0634026, -0.0530898, -0.0440207, -0.0367733, -0.0304922, -0.0252724, -0.0211492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.218322, 0.255875, 0.260567, 0.266001, 0.275974, 0.286641, 0.292156, 0.303241, 0.314033, 0.324834, 0.328434, 0.332274, 0.34745, 0.355821, 0.368616, 0.378183, 0.383816, 0.394887, 0.401201, 0.413829, 0.437037, 0.464165");
-            values ( \
-              "-0.00237173, -0.206801, -0.222979, -0.238587, -0.261535, -0.282339, -0.291247, -0.303708, -0.306018, -0.290403, -0.279392, -0.262613, -0.173676, -0.130229, -0.0802236, -0.0547098, -0.0433855, -0.0272418, -0.0208309, -0.0119943, -0.00403341, -0.00109344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.226007, 0.2396, 0.248864, 0.259336, 0.267523, 0.28184, 0.301595, 0.313139, 0.329322, 0.332819, 0.339814, 0.351936, 0.371015, 0.383105, 0.391435, 0.408094, 0.427504, 0.44985, 0.462682, 0.477347, 0.496665, 0.508232, 0.530971, 0.544049, 0.570203, 0.617438, 0.672779");
-            values ( \
-              "-0.0809946, -0.136109, -0.193789, -0.241456, -0.2689, -0.307072, -0.348988, -0.36609, -0.381355, -0.383338, -0.386245, -0.387438, -0.378539, -0.363505, -0.347072, -0.294356, -0.221192, -0.150446, -0.118673, -0.0894363, -0.0609972, -0.0482442, -0.0301718, -0.0229696, -0.0131157, -0.00440475, -0.00115725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.234899, 0.259008, 0.264379, 0.273153, 0.293268, 0.300724, 0.309245, 0.32371, 0.336252, 0.356151, 0.374413, 0.405223, 0.425024, 0.449619, 0.487575, 0.505723, 0.525081, 0.530877, 0.542468, 0.604713, 0.626015, 0.653247, 0.673271, 0.695617, 0.725325, 0.734437, 0.752661, 0.789108, 0.817986, 0.828669, 0.850037, 0.892773, 0.960511, 1.02825, 1.09599, 1.16373");
-            values ( \
-              "-0.21699, -0.25102, -0.2718, -0.300122, -0.354743, -0.371375, -0.387638, -0.409129, -0.422313, -0.435582, -0.44148, -0.442929, -0.439593, -0.432083, -0.412699, -0.398607, -0.378072, -0.370416, -0.352669, -0.235816, -0.199676, -0.159499, -0.134399, -0.110408, -0.0843815, -0.0776355, -0.0656089, -0.0465151, -0.0353289, -0.0319071, -0.0259936, -0.0171303, -0.00867103, -0.00437026, -0.00220147, -0.00110568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.248693, 0.301046, 0.320478, 0.339678, 0.358299, 0.379579, 0.412025, 0.442204, 0.482443, 0.535751, 0.66204, 0.74763, 0.815368, 0.840082, 0.888222, 1.00112, 1.06721, 1.11281, 1.19508, 1.26282, 1.35274, 1.4042, 1.47194, 1.5644, 1.63214, 1.69988, 1.83535, 1.97083, 2.17404");
-            values ( \
-              "-0.370961, -0.384284, -0.4201, -0.443506, -0.457733, -0.467532, -0.474178, -0.475006, -0.472551, -0.466097, -0.443895, -0.421101, -0.392575, -0.377777, -0.34079, -0.241013, -0.190159, -0.160114, -0.115856, -0.0878224, -0.0603235, -0.0484587, -0.0361782, -0.0242079, -0.017985, -0.0133415, -0.00732442, -0.00401266, -0.0016255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.403323, 0.416276, 0.416556, 0.417115, 0.418235, 0.420475, 0.424305, 0.431663, 0.439028, 0.441321, 0.445595, 0.44889, 0.455563, 0.461676, 0.467509, 0.473219, 0.478922, 0.479752, 0.480858, 0.483069, 0.486288, 0.488426, 0.491276, 0.493314, 0.494939, 0.497106, 0.501087, 0.503145, 0.504285, 0.506565, 0.511126, 0.51123");
-            values ( \
-              "-0.00767709, -0.0194456, -0.0191336, -0.0195585, -0.0212833, -0.0250215, -0.0329907, -0.0560604, -0.0742775, -0.0791249, -0.0876743, -0.0934149, -0.103425, -0.110407, -0.114764, -0.115084, -0.104136, -0.101039, -0.0962281, -0.0849172, -0.0671926, -0.0561626, -0.0431619, -0.0353017, -0.0298995, -0.0237802, -0.0152935, -0.0121571, -0.0107051, -0.00827664, -0.00492815, -0.00489133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.406327, 0.409129, 0.415871, 0.419838, 0.42667, 0.428971, 0.438134, 0.446077, 0.450839, 0.461063, 0.465272, 0.470122, 0.476238, 0.478525, 0.482633, 0.48651, 0.493133, 0.496753, 0.50158, 0.502639, 0.516006, 0.520294, 0.523117, 0.528294, 0.534123, 0.53615, 0.541234, 0.547044, 0.554626, 0.559761, 0.562764");
-            values ( \
-              "-0.0131631, -0.0152267, -0.0292351, -0.0353065, -0.0545049, -0.0623114, -0.0973173, -0.1206, -0.132794, -0.154502, -0.161847, -0.169312, -0.177577, -0.180133, -0.184413, -0.187302, -0.188051, -0.183084, -0.168221, -0.163658, -0.0858812, -0.0656158, -0.0544297, -0.0380758, -0.0249092, -0.0214624, -0.0147267, -0.00949129, -0.00522868, -0.0035698, -0.00294304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.408683, 0.425161, 0.433653, 0.443245, 0.449278, 0.460668, 0.475823, 0.495897, 0.500778, 0.508206, 0.519994, 0.531779, 0.543626, 0.559018, 0.568027, 0.579723, 0.585338, 0.591434, 0.600106, 0.609051, 0.615896, 0.629587, 0.640226");
-            values ( \
-              "-0.0171742, -0.062749, -0.0940001, -0.134308, -0.154739, -0.187597, -0.221301, -0.258505, -0.266141, -0.275589, -0.283283, -0.273643, -0.232148, -0.151414, -0.111095, -0.0716387, -0.0574737, -0.0449651, -0.0314373, -0.0216711, -0.0162318, -0.0089594, -0.00634068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.408586, 0.432628, 0.449142, 0.459771, 0.475949, 0.495767, 0.515606, 0.525521, 0.539894, 0.550095, 0.567324, 0.57976, 0.593071, 0.60046, 0.615236, 0.639377, 0.660287, 0.673553, 0.687703, 0.704636, 0.716575, 0.740429, 0.754588, 0.782904, 0.830497, 0.886724");
-            values ( \
-              "-0.0230024, -0.0998218, -0.168445, -0.204879, -0.248925, -0.295942, -0.334413, -0.348536, -0.362974, -0.36883, -0.372542, -0.368756, -0.355334, -0.342303, -0.299381, -0.211233, -0.147087, -0.115112, -0.0876542, -0.0627384, -0.0492796, -0.0301412, -0.022442, -0.0121995, -0.00406431, -0.00103653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.408582, 0.444051, 0.463824, 0.490654, 0.506901, 0.519251, 0.53222, 0.543134, 0.550066, 0.570984, 0.582701, 0.59686, 0.620704, 0.658598, 0.672278, 0.698047, 0.717982, 0.740164, 0.783407, 0.821769, 0.854541, 0.866771, 0.884789, 0.905379, 0.93524, 0.96166, 0.996887, 1.02702, 1.06779, 1.12216, 1.1899, 1.25764, 1.39312");
-            values ( \
-              "-0.0330626, -0.153468, -0.227864, -0.303191, -0.342831, -0.367218, -0.388152, -0.401212, -0.408302, -0.42454, -0.430922, -0.435503, -0.437573, -0.430527, -0.425099, -0.410976, -0.395335, -0.370114, -0.294135, -0.222631, -0.170882, -0.154215, -0.132124, -0.110217, -0.0841225, -0.0659689, -0.0473323, -0.035526, -0.0240242, -0.0140485, -0.00710514, -0.00357717, -0.000904496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.435577, 0.475927, 0.501199, 0.526166, 0.561387, 0.595524, 0.620359, 0.650463, 0.690588, 0.745619, 0.856464, 0.899486, 0.956407, 1.02415, 1.04887, 1.09702, 1.20993, 1.27602, 1.32163, 1.40393, 1.47167, 1.56157, 1.61304, 1.68078, 1.77331, 1.84105, 1.90878, 2.04426, 2.17974, 2.38295");
-            values ( \
-              "-0.263849, -0.270741, -0.341116, -0.394056, -0.437921, -0.461873, -0.469456, -0.472591, -0.471685, -0.465663, -0.446918, -0.437252, -0.421013, -0.392541, -0.377696, -0.340763, -0.241004, -0.190126, -0.160114, -0.115823, -0.0878268, -0.0603127, -0.048468, -0.0361678, -0.0241916, -0.017991, -0.0133287, -0.00731338, -0.00400253, -0.00163481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.7929, 0.811619, 0.820265, 0.827627, 0.842054, 0.851196, 0.86376, 0.871493, 0.878832, 0.886009, 0.893179, 0.894714, 0.908022, 0.913577, 0.920197, 0.927575, 0.936008, 0.947576, 0.987738");
-            values ( \
-              "-0.00109798, -0.0129089, -0.0204963, -0.0308196, -0.0564951, -0.0688822, -0.0818652, -0.0874654, -0.0910546, -0.0918022, -0.0856142, -0.08184, -0.0361547, -0.022049, -0.0114755, -0.00535102, -0.00216611, -0.000784111, -0.000511093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.79244, 0.815968, 0.823566, 0.828673, 0.847547, 0.868704, 0.87742, 0.88959, 0.902022, 0.909511, 0.916344, 0.921819, 0.94478, 0.952427, 0.961698, 0.968792, 0.97825, 0.99436, 1.03861");
-            values ( \
-              "-3.76581e-05, -0.0249395, -0.0363869, -0.0449763, -0.0880183, -0.12145, -0.13116, -0.141952, -0.149448, -0.151474, -0.148296, -0.13813, -0.0499813, -0.0309694, -0.0166266, -0.010193, -0.00519412, -0.00160131, -0.000284314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.795701, 0.822695, 0.836195, 0.853594, 0.862821, 0.877845, 0.888279, 0.934853, 0.942209, 0.955729, 0.970188, 0.988487, 0.998709, 1.0081, 1.02101, 1.028, 1.03572, 1.04602, 1.06661, 1.09305, 1.12614");
-            values ( \
-              "-0.00415705, -0.0446406, -0.0735968, -0.11899, -0.137628, -0.16293, -0.177584, -0.236294, -0.243061, -0.244337, -0.210131, -0.128594, -0.0910126, -0.0645204, -0.0390711, -0.0295096, -0.0215814, -0.0141071, -0.0057135, -0.00174469, -0.000415036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.80244, 0.832207, 0.863675, 0.877513, 0.953183, 0.963993, 0.985902, 1.00709, 1.02827, 1.0317, 1.04345, 1.07339, 1.09425, 1.12188, 1.14504, 1.17375, 1.19456, 1.21606, 1.24246");
-            values ( \
-              "-0.0257561, -0.0734189, -0.153804, -0.18178, -0.313081, -0.327594, -0.346715, -0.350058, -0.330877, -0.324685, -0.293817, -0.192417, -0.134116, -0.0796897, -0.0503703, -0.0280121, -0.0182025, -0.0115588, -0.00774898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.819663, 0.853805, 0.877052, 0.949396, 0.966408, 0.990061, 1.0089, 1.04598, 1.06428, 1.09217, 1.12004, 1.14181, 1.17002, 1.25344, 1.29544, 1.32923, 1.36376, 1.40379, 1.46212, 1.51946, 1.58499, 1.65131");
-            values ( \
-              "-0.127402, -0.134903, -0.19015, -0.335032, -0.364304, -0.393856, -0.409484, -0.424338, -0.425284, -0.420866, -0.409675, -0.395541, -0.366567, -0.219793, -0.156602, -0.117045, -0.0857621, -0.0593303, -0.034139, -0.0196634, -0.010216, -0.00526323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.839068, 0.902879, 0.954412, 0.972103, 1.00213, 1.03251, 1.05784, 1.09778, 1.13783, 1.1777, 1.21869, 1.26532, 1.30119, 1.34462, 1.38695, 1.45469, 1.52764, 1.6406, 1.70669, 1.75236, 1.83475, 1.90249, 1.99235, 2.04386, 2.1116, 2.20437, 2.27211, 2.33984, 2.47532, 2.6108, 2.81401");
-            values ( \
-              "-0.22032, -0.245765, -0.359114, -0.389791, -0.427017, -0.44903, -0.459128, -0.465203, -0.46461, -0.460383, -0.453965, -0.448931, -0.442762, -0.432948, -0.420672, -0.392284, -0.340554, -0.240879, -0.190075, -0.160025, -0.115763, -0.0877692, -0.0603139, -0.0484493, -0.0361737, -0.024176, -0.0179672, -0.0133264, -0.00731706, -0.00400872, -0.00162761" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0761176, 0.0897798, 0.116381, 0.172141, 0.295519, 0.571766", \
-            "0.0809319, 0.0945515, 0.121191, 0.176963, 0.300365, 0.576602", \
-            "0.0916552, 0.10524, 0.131874, 0.187732, 0.311203, 0.587477", \
-            "0.109219, 0.123133, 0.150237, 0.206262, 0.329776, 0.606121", \
-            "0.127322, 0.142299, 0.170112, 0.226587, 0.350532, 0.626969", \
-            "0.137388, 0.15479, 0.184749, 0.242215, 0.366086, 0.642959" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0298443, 0.0447692, 0.0788036, 0.15782, 0.338251, 0.744034", \
-            "0.0298577, 0.0447606, 0.0788091, 0.157828, 0.338258, 0.744034", \
-            "0.0300912, 0.0449603, 0.0789069, 0.157841, 0.33832, 0.744034", \
-            "0.0321926, 0.0467795, 0.0800221, 0.158201, 0.338338, 0.744036", \
-            "0.0371338, 0.0507767, 0.0826518, 0.159668, 0.338956, 0.744085", \
-            "0.0463459, 0.0597148, 0.0891968, 0.162484, 0.339674, 0.744846" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0879032, 0.102312, 0.128603, 0.177133, 0.274718, 0.487419", \
-            "0.0930826, 0.107515, 0.133826, 0.182382, 0.279961, 0.492643", \
-            "0.106804, 0.121226, 0.147634, 0.196264, 0.293838, 0.506457", \
-            "0.137136, 0.151875, 0.178743, 0.227501, 0.325106, 0.537618", \
-            "0.186123, 0.203297, 0.233144, 0.284878, 0.383754, 0.596005", \
-            "0.263601, 0.28424, 0.320283, 0.377752, 0.479212, 0.692823" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0290259, 0.0425124, 0.0686945, 0.122532, 0.244772, 0.529157", \
-            "0.0290154, 0.0425144, 0.0686887, 0.122523, 0.244775, 0.52916", \
-            "0.0291125, 0.0426032, 0.0687244, 0.122524, 0.244764, 0.529166", \
-            "0.0308813, 0.0441828, 0.0698162, 0.123251, 0.245041, 0.529127", \
-            "0.0378784, 0.0517793, 0.0774015, 0.128737, 0.247736, 0.529549", \
-            "0.0477476, 0.0645494, 0.0922851, 0.140936, 0.254845, 0.532756" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.057811, 0.0615053, 0.0664278, 0.0707676, 0.0735983, 0.0785373, 0.0831359, 0.0876375, 0.0921342, 0.0942634, 0.0964613, 0.105893, 0.112921, 0.119605, 0.124762, 0.131024, 0.136666, 0.148759, 0.159437, 0.164032");
-            values ( \
-              "0.010715, 0.0603714, 0.094055, 0.115455, 0.126008, 0.139475, 0.145353, 0.146215, 0.140947, 0.135248, 0.126214, 0.0726111, 0.0461254, 0.0297336, 0.0210208, 0.0137379, 0.00934381, 0.00421975, 0.00211682, 0.00174628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0602567, 0.064545, 0.0681879, 0.0730133, 0.0770224, 0.080919, 0.0879311, 0.0946236, 0.101317, 0.108697, 0.111517, 0.115277, 0.124524, 0.132928, 0.139554, 0.146254, 0.150274, 0.159112, 0.163874, 0.172779, 0.183663, 0.192504, 0.210184, 0.223031");
-            values ( \
-              "0.0320253, 0.105172, 0.135769, 0.167288, 0.187509, 0.201626, 0.217458, 0.221593, 0.218261, 0.20431, 0.19497, 0.178462, 0.129933, 0.0937871, 0.0713213, 0.0536227, 0.0449188, 0.0301566, 0.0242257, 0.0159527, 0.00962723, 0.00636057, 0.00270502, 0.00171231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.0611334, 0.0681327, 0.0736593, 0.0810375, 0.0884177, 0.0934432, 0.103494, 0.107763, 0.116244, 0.121822, 0.127945, 0.139752, 0.148852, 0.17226, 0.185785, 0.207355, 0.214842, 0.232438, 0.255403, 0.280383, 0.30208, 0.316889");
-            values ( \
-              "0.0328417, 0.155378, 0.202565, 0.243605, 0.271787, 0.281164, 0.291874, 0.29175, 0.287781, 0.282443, 0.275403, 0.254959, 0.231438, 0.15502, 0.116881, 0.0711211, 0.0592011, 0.0378642, 0.020581, 0.0104669, 0.00568716, 0.00419826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0644905, 0.0783312, 0.0885626, 0.0941084, 0.1052, 0.116188, 0.130641, 0.137806, 0.148673, 0.160112, 0.183627, 0.197059, 0.209013, 0.23292, 0.267251, 0.287889, 0.315256, 0.327075, 0.347569, 0.37099, 0.386955, 0.413669, 0.439049, 0.470899, 0.513364, 0.586875, 0.669039");
-            values ( \
-              "0.150695, 0.252491, 0.302468, 0.31939, 0.337366, 0.343601, 0.341069, 0.338105, 0.331129, 0.323371, 0.303765, 0.29068, 0.277077, 0.242659, 0.183708, 0.1507, 0.112667, 0.0984116, 0.0772339, 0.0575688, 0.0469213, 0.0329491, 0.0233016, 0.0150057, 0.00816125, 0.00258112, 0.000688264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0691124, 0.107869, 0.11868, 0.133481, 0.162826, 0.208403, 0.307051, 0.352676, 0.417082, 0.556828, 0.606866, 0.679777, 0.730616, 0.791596, 0.847022, 0.948963, 1.11149");
-            values ( \
-              "0.281512, 0.365834, 0.37376, 0.377131, 0.371048, 0.354844, 0.315207, 0.292957, 0.249862, 0.14062, 0.1084, 0.07172, 0.0527736, 0.0360268, 0.0251701, 0.0128864, 0.00428342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.0748817, 0.122453, 0.137633, 0.169408, 0.231514, 0.553502, 0.640569, 0.765633, 1.19495, 1.33764, 1.48484, 1.56089, 1.72522, 1.81632, 2.09296, 2.33376");
-            values ( \
-              "0.388483, 0.390864, 0.395618, 0.394193, 0.384103, 0.326599, 0.308464, 0.27497, 0.124352, 0.086771, 0.0580783, 0.0468149, 0.028923, 0.0220148, 0.00941451, 0.00494278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0766819, 0.0802207, 0.0851751, 0.0895119, 0.0923556, 0.0972998, 0.101901, 0.106405, 0.110903, 0.113017, 0.115199, 0.123877, 0.130505, 0.135522, 0.143533, 0.149759, 0.155415, 0.167629, 0.178422, 0.184415");
-            values ( \
-              "0.0140302, 0.0601611, 0.0936434, 0.115104, 0.125935, 0.139274, 0.145367, 0.146079, 0.140996, 0.135281, 0.126349, 0.0763908, 0.0496904, 0.0359478, 0.0210166, 0.0137678, 0.00936103, 0.00418821, 0.00209199, 0.00161204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.0795856, 0.0868208, 0.0916757, 0.096531, 0.0995865, 0.105698, 0.108403, 0.113289, 0.115846, 0.119958, 0.126656, 0.128281, 0.133852, 0.145926, 0.155122, 0.166709, 0.17557, 0.186088, 0.193087, 0.201324, 0.212307, 0.233814, 0.258988");
-            values ( \
-              "0.061754, 0.135917, 0.166731, 0.191031, 0.20138, 0.216041, 0.219121, 0.22137, 0.221007, 0.217779, 0.206318, 0.201421, 0.178833, 0.11732, 0.0811364, 0.0495801, 0.0333208, 0.020556, 0.0148237, 0.0101029, 0.00601126, 0.00205699, 0.000600948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0795259, 0.0868344, 0.0923757, 0.099769, 0.107157, 0.112178, 0.12222, 0.132267, 0.140418, 0.146685, 0.15849, 0.167601, 0.191, 0.204556, 0.226068, 0.233564, 0.251192, 0.274149, 0.299101, 0.320772, 0.33712");
-            values ( \
-              "0.0258537, 0.154625, 0.202137, 0.243381, 0.271624, 0.281119, 0.291752, 0.289266, 0.282713, 0.275255, 0.255101, 0.231409, 0.15502, 0.116805, 0.0711706, 0.0592271, 0.0378515, 0.0205791, 0.0104727, 0.00569582, 0.00404606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0832162, 0.0970911, 0.10726, 0.112849, 0.124027, 0.134929, 0.145767, 0.156543, 0.178862, 0.211133, 0.227741, 0.253535, 0.305959, 0.343602, 0.381666, 0.40898, 0.454706, 0.515456, 0.569481, 0.574408");
-            values ( \
-              "0.150559, 0.252703, 0.302423, 0.319216, 0.337594, 0.34345, 0.342288, 0.337906, 0.323286, 0.295431, 0.277112, 0.239625, 0.151911, 0.101081, 0.0638199, 0.0450005, 0.0243077, 0.0104209, 0.00472515, 0.0045135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0871199, 0.114707, 0.126401, 0.137569, 0.15225, 0.166941, 0.181716, 0.227293, 0.285693, 0.325941, 0.371266, 0.399762, 0.437757, 0.53588, 0.567087, 0.621189, 0.656937, 0.681077, 0.729358, 0.749218, 0.800119, 0.858292, 0.889978, 0.936384, 0.998259, 1.08042, 1.16259, 1.32692");
-            values ( \
-              "0.256561, 0.343201, 0.365195, 0.373936, 0.376957, 0.374843, 0.370882, 0.35468, 0.332031, 0.315209, 0.293118, 0.275885, 0.248488, 0.169863, 0.146744, 0.111036, 0.091241, 0.0794948, 0.0596082, 0.0528241, 0.0384747, 0.0264272, 0.021516, 0.0158694, 0.0104876, 0.00597687, 0.00339862, 0.00109221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.0940861, 0.15665, 0.172635, 0.188433, 0.250368, 0.43584, 0.572528, 0.659595, 0.722937, 0.784659, 0.859051, 1.06336, 1.21397, 1.28717, 1.35666, 1.4217, 1.52921, 1.57992, 1.66208, 1.74425, 1.87279, 2.02983, 2.19416, 2.35848, 2.60498, 2.85147");
-            values ( \
-              "0.38782, 0.395485, 0.39561, 0.394059, 0.384, 0.35163, 0.326466, 0.308329, 0.292714, 0.274836, 0.249724, 0.174334, 0.124487, 0.103956, 0.0869069, 0.0731052, 0.0542861, 0.046951, 0.0370772, 0.0290594, 0.0198612, 0.0123491, 0.00747446, 0.00451374, 0.00206022, 0.000999694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.116978, 0.120786, 0.123968, 0.126336, 0.130784, 0.133758, 0.138795, 0.143449, 0.147988, 0.152521, 0.154311, 0.15583, 0.16512, 0.167617, 0.172458, 0.176902, 0.179932, 0.185184, 0.19151, 0.197135, 0.20379, 0.209038, 0.219535, 0.236608, 0.257599");
-            values ( \
-              "0.0179679, 0.0509645, 0.0730445, 0.0882308, 0.111101, 0.122951, 0.137346, 0.144027, 0.145196, 0.140373, 0.135832, 0.13042, 0.0781952, 0.0666194, 0.0485933, 0.0365425, 0.0298807, 0.0209999, 0.0136705, 0.00931819, 0.00600395, 0.00425863, 0.00216883, 0.000742386, 0.000244166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.119302, 0.123845, 0.127852, 0.132924, 0.136938, 0.141022, 0.148118, 0.154855, 0.161565, 0.168967, 0.175451, 0.187508, 0.196435, 0.201898, 0.208781, 0.215144, 0.221338, 0.229597, 0.234953, 0.249492, 0.266108, 0.280746");
-            values ( \
-              "0.0368145, 0.0926483, 0.126981, 0.161561, 0.182633, 0.19863, 0.215503, 0.220591, 0.217477, 0.20396, 0.178839, 0.117489, 0.0821959, 0.0655178, 0.0486175, 0.0365632, 0.0275896, 0.0187723, 0.0146363, 0.00742292, 0.0033296, 0.00190072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.122738, 0.133577, 0.143367, 0.147855, 0.153651, 0.1648, 0.167597, 0.176559, 0.187964, 0.196406, 0.209246, 0.232568, 0.246395, 0.257721, 0.267392, 0.284733, 0.292906, 0.302371, 0.315772, 0.340445, 0.361854, 0.386568");
-            values ( \
-              "0.115124, 0.194658, 0.250348, 0.266093, 0.279934, 0.290676, 0.29089, 0.287222, 0.275636, 0.26218, 0.231294, 0.155034, 0.116117, 0.0899249, 0.071598, 0.046509, 0.0377106, 0.029427, 0.0205505, 0.01054, 0.00577671, 0.00323999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.125515, 0.132727, 0.141984, 0.149136, 0.154437, 0.16504, 0.176566, 0.187428, 0.198189, 0.216883, 0.242274, 0.254368, 0.269375, 0.295248, 0.334553, 0.347915, 0.364386, 0.385322, 0.401424, 0.422894, 0.438431, 0.450764, 0.467208, 0.496509, 0.50985, 0.529731, 0.556239, 0.609254, 0.689969, 0.772134");
-            values ( \
-              "0.204554, 0.204999, 0.26974, 0.301921, 0.317837, 0.336417, 0.343023, 0.342236, 0.337719, 0.325969, 0.305263, 0.293861, 0.277091, 0.239551, 0.172471, 0.151388, 0.127582, 0.100946, 0.0835564, 0.0642173, 0.0526376, 0.0448641, 0.0361702, 0.0242021, 0.0201628, 0.0153159, 0.0106111, 0.00480555, 0.00135184, 0.000314452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.133172, 0.1793, 0.193404, 0.223448, 0.269027, 0.367674, 0.412502, 0.484288, 0.627738, 0.701661, 0.791258, 0.868763, 0.9718, 1.12478");
-            values ( \
-              "0.346692, 0.373772, 0.376806, 0.370837, 0.354656, 0.315212, 0.293386, 0.244767, 0.133597, 0.0898027, 0.0527736, 0.0323774, 0.016555, 0.00608167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.139441, 0.139461, 0.291836, 0.532026, 0.643946, 0.764599, 0.826321, 0.900713, 1.10502, 1.25563, 1.39832, 1.46336, 1.57088, 1.70374, 1.78591, 1.91445, 2.07149, 2.23582, 2.40014, 2.50889");
-            values ( \
-              "1e-22, 0.417851, 0.384004, 0.341819, 0.320516, 0.292741, 0.274809, 0.249751, 0.174361, 0.124514, 0.0869336, 0.0730786, 0.0542598, 0.037051, 0.0290856, 0.0198359, 0.0123239, 0.00744935, 0.00448876, 0.0037137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.195797, 0.200542, 0.203996, 0.210726, 0.214987, 0.21794, 0.223684, 0.228818, 0.233697, 0.238556, 0.243414, 0.248944, 0.250184, 0.253325, 0.259907, 0.266181, 0.271976, 0.2747, 0.280148, 0.286973, 0.291527, 0.300634, 0.316196, 0.334764, 0.358658");
-            values ( \
-              "0.0253859, 0.0299583, 0.0426215, 0.0755483, 0.0939755, 0.105396, 0.122855, 0.132517, 0.136114, 0.133814, 0.121296, 0.0906661, 0.0816449, 0.0673953, 0.0444393, 0.0299626, 0.0204736, 0.0172695, 0.0118386, 0.00752576, 0.00575174, 0.00306461, 0.00126006, 0.000335455, 0.000203906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.201447, 0.208639, 0.216477, 0.225749, 0.232542, 0.235265, 0.240565, 0.243903, 0.248866, 0.254722, 0.257979, 0.262571, 0.274659, 0.281715, 0.288558, 0.295876, 0.307798, 0.315504, 0.321462, 0.337356, 0.355519, 0.364038");
-            values ( \
-              "0.0816974, 0.0852725, 0.135127, 0.179416, 0.199586, 0.204641, 0.210284, 0.211155, 0.209004, 0.199018, 0.190492, 0.172446, 0.113884, 0.0863734, 0.0651892, 0.0475732, 0.0278648, 0.0195024, 0.0147957, 0.00705279, 0.00292192, 0.00219703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.201348, 0.209689, 0.217011, 0.225686, 0.234367, 0.239187, 0.248826, 0.249672, 0.253061, 0.25654, 0.26287, 0.26604, 0.27238, 0.279449, 0.287249, 0.299955, 0.318084, 0.334543, 0.344841, 0.352767, 0.363333, 0.378206, 0.387026, 0.401604, 0.418101, 0.431169, 0.457305, 0.476694");
-            values ( \
-              "0.051239, 0.106751, 0.162821, 0.216627, 0.253239, 0.266205, 0.280717, 0.279454, 0.281314, 0.282181, 0.281468, 0.279994, 0.274889, 0.26614, 0.252365, 0.218347, 0.158344, 0.112347, 0.0890077, 0.0738532, 0.0569615, 0.0390742, 0.0310142, 0.0210079, 0.0134803, 0.00940182, 0.00447252, 0.00293721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.205481, 0.216138, 0.226735, 0.232735, 0.240072, 0.248888, 0.258122, 0.262875, 0.27238, 0.28107, 0.284755, 0.292127, 0.307165, 0.327483, 0.337231, 0.350229, 0.367855, 0.384807, 0.414624, 0.434453, 0.449604, 0.47171, 0.488889, 0.502072, 0.523698, 0.529772, 0.54192, 0.566216, 0.587382, 0.615698, 0.653453, 0.724993, 0.807157");
-            values ( \
-              "0.106833, 0.170078, 0.245252, 0.276262, 0.303216, 0.32334, 0.33207, 0.335623, 0.338241, 0.336988, 0.335603, 0.332153, 0.322576, 0.306501, 0.297536, 0.283972, 0.26123, 0.234432, 0.183067, 0.151641, 0.129546, 0.101308, 0.0828165, 0.0705223, 0.0536367, 0.0496157, 0.0423378, 0.030585, 0.0229165, 0.0155041, 0.00905694, 0.00298388, 0.000791626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.215, 0.241081, 0.248877, 0.258041, 0.265609, 0.273028, 0.284851, 0.297437, 0.310041, 0.355644, 0.401742, 0.454294, 0.497736, 0.531859, 0.57092, 0.662225, 0.71428, 0.75699, 0.788318, 0.821282, 0.877869, 0.903679, 0.955301, 0.999436, 1.05845, 1.13714, 1.21931, 1.30147, 1.4658");
-            values ( \
-              "0.283835, 0.325278, 0.34573, 0.359103, 0.367249, 0.37182, 0.374282, 0.373278, 0.370247, 0.354578, 0.336952, 0.315208, 0.294131, 0.27339, 0.244731, 0.171463, 0.133643, 0.106805, 0.089778, 0.0742052, 0.0527742, 0.0449939, 0.0323931, 0.0243584, 0.01655, 0.00971344, 0.0055433, 0.00313996, 0.00100456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.222725, 0.303819, 0.342905, 0.618776, 0.730697, 0.85135, 0.987465, 1.34239, 1.48507, 1.65763, 1.7905, 1.87266, 2.0012, 2.15823, 2.42558");
-            values ( \
-              "0.39344, 0.394622, 0.390059, 0.341847, 0.320542, 0.292766, 0.249775, 0.124532, 0.0869467, 0.054249, 0.0370409, 0.0290952, 0.0198285, 0.0123171, 0.00594417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.348856, 0.357887, 0.362545, 0.366251, 0.377057, 0.383273, 0.390498, 0.396712, 0.402401, 0.407917, 0.413421, 0.415808, 0.422074, 0.424302, 0.428759, 0.434835, 0.43913, 0.444087, 0.446967, 0.452726, 0.457466, 0.465047, 0.475154, 0.490125");
-            values ( \
-              "0.0126204, 0.0164393, 0.0235806, 0.0321467, 0.0649868, 0.0818253, 0.0995098, 0.111587, 0.118406, 0.119783, 0.111682, 0.101895, 0.0723925, 0.0634033, 0.0484569, 0.0335943, 0.0256187, 0.0186996, 0.0155321, 0.0106716, 0.00788946, 0.00490844, 0.002639, 0.00115795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.35548, 0.36772, 0.392502, 0.401652, 0.40971, 0.417352, 0.424996, 0.43262, 0.448497, 0.455856, 0.463408, 0.474785, 0.491062, 0.505006, 0.517067, 0.523882");
-            values ( \
-              "0.03193, 0.0492874, 0.146744, 0.174793, 0.189544, 0.194925, 0.190201, 0.170666, 0.0999948, 0.0751246, 0.0550919, 0.0335792, 0.01605, 0.00847471, 0.00486366, 0.00407976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.363161, 0.380553, 0.395615, 0.399748, 0.407531, 0.420648, 0.432943, 0.445239, 0.457493, 0.458998, 0.465019, 0.472217, 0.486611, 0.505689, 0.515822, 0.523421, 0.531229, 0.544595, 0.555484, 0.561368, 0.577087, 0.591881, 0.608788, 0.641126, 0.684298");
-            values ( \
-              "0.0700964, 0.11918, 0.193178, 0.210724, 0.237716, 0.264391, 0.271244, 0.265641, 0.24953, 0.246504, 0.232707, 0.212026, 0.165234, 0.112254, 0.0894474, 0.0749146, 0.062046, 0.0444231, 0.0327525, 0.0278474, 0.0184282, 0.0124794, 0.0078453, 0.00306783, 0.000778147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.367806, 0.389529, 0.399709, 0.408415, 0.421542, 0.432776, 0.449196, 0.45527, 0.466439, 0.477964, 0.500844, 0.527236, 0.548293, 0.592487, 0.61172, 0.637302, 0.660897, 0.676043, 0.695681, 0.712175, 0.730608, 0.75672, 0.783379, 0.803723, 0.84441, 0.920833, 1.003");
-            values ( \
-              "0.0992112, 0.181076, 0.235729, 0.272529, 0.308986, 0.324305, 0.330911, 0.329888, 0.32589, 0.319293, 0.302413, 0.275881, 0.246784, 0.172358, 0.142759, 0.108075, 0.0821673, 0.0682852, 0.0532271, 0.0429759, 0.0336487, 0.0235663, 0.0163437, 0.0123056, 0.00685186, 0.00204887, 0.000539071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.373418, 0.390933, 0.405034, 0.410633, 0.421831, 0.43559, 0.451941, 0.466632, 0.48081, 0.503294, 0.526733, 0.60803, 0.660856, 0.680501, 0.719791, 0.844857, 0.878269, 0.92623, 0.964947, 0.994862, 1.0493, 1.07287, 1.11156, 1.16644, 1.22219, 1.26468, 1.34685, 1.42901, 1.51118, 1.67551");
-            values ( \
-              "0.179406, 0.198418, 0.275215, 0.298452, 0.332607, 0.356073, 0.367333, 0.368904, 0.366958, 0.360767, 0.352726, 0.322661, 0.298507, 0.287615, 0.261881, 0.162922, 0.138701, 0.108025, 0.087131, 0.0732698, 0.0527765, 0.0456155, 0.0357388, 0.0250555, 0.0174198, 0.0131467, 0.00751182, 0.00427365, 0.00242374, 0.000776869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.380822, 0.407143, 0.429111, 0.439623, 0.456924, 0.472579, 0.487563, 0.512205, 0.555023, 0.584077, 0.643759, 0.83825, 0.901918, 0.984082, 1.02266, 1.08497, 1.15874, 1.36299, 1.43133, 1.5135, 1.58685, 1.65654, 1.72151, 1.82891, 1.87937, 1.96154, 2.0437, 2.17258, 2.24769, 2.32986, 2.49419, 2.65852, 2.90501, 3.1515");
-            values ( \
-              "0.270541, 0.292928, 0.360367, 0.375427, 0.387432, 0.390625, 0.390555, 0.388031, 0.380615, 0.377488, 0.367688, 0.332928, 0.320514, 0.30253, 0.2927, 0.274623, 0.249727, 0.174367, 0.150668, 0.124552, 0.103976, 0.0868826, 0.0730607, 0.0542651, 0.0470038, 0.0370821, 0.0291009, 0.0198342, 0.0157919, 0.0123151, 0.00744544, 0.00448777, 0.00207934, 0.000977399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.665171, 0.682605, 0.687592, 0.694346, 0.701966, 0.716655, 0.72799, 0.737969, 0.745278, 0.752182, 0.759016, 0.765841, 0.773791, 0.781347, 0.789748, 0.794482, 0.800795, 0.805739, 0.813414, 0.823646, 0.840831, 0.848451");
-            values ( \
-              "0.00569114, 0.00935713, 0.0114576, 0.0172477, 0.0287154, 0.0565761, 0.0734584, 0.085922, 0.0931943, 0.097087, 0.0949493, 0.076184, 0.0498155, 0.0328766, 0.0201669, 0.0152077, 0.0103571, 0.00769571, 0.00491613, 0.00275808, 0.00112179, 0.000968473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.675331, 0.694834, 0.703395, 0.715863, 0.743476, 0.753564, 0.762707, 0.771526, 0.780321, 0.799536, 0.807958, 0.817418, 0.831585, 0.844088, 0.860986, 0.87901, 0.884091");
-            values ( \
-              "0.0179253, 0.0269728, 0.043255, 0.0749564, 0.136678, 0.154859, 0.165707, 0.168364, 0.156452, 0.0862392, 0.0629753, 0.042991, 0.0233659, 0.0133624, 0.0062967, 0.0027701, 0.00238862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.684355, 0.703697, 0.711082, 0.749902, 0.765536, 0.771766, 0.779373, 0.792684, 0.80599, 0.811613, 0.82083, 0.848826, 0.861714, 0.867579, 0.881647, 0.891592, 0.899881, 0.90929, 0.922578, 0.928313, 0.939782, 0.962722, 0.996918");
-            values ( \
-              "0.0416066, 0.0546762, 0.0747568, 0.191543, 0.228315, 0.23804, 0.24586, 0.249107, 0.239174, 0.22965, 0.207141, 0.125702, 0.0950446, 0.083319, 0.0596485, 0.0465844, 0.0377539, 0.0295828, 0.0208013, 0.0178647, 0.013122, 0.00693175, 0.00264442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.695429, 0.716618, 0.750441, 0.766299, 0.778759, 0.800861, 0.806894, 0.814937, 0.826242, 0.84968, 0.875986, 0.889193, 0.904872, 0.934956, 0.96885, 0.991681, 1.00969, 1.02362, 1.04968, 1.07903, 1.10466, 1.13718, 1.18053, 1.23379, 1.24332");
-            values ( \
-              "0.0880652, 0.100095, 0.220002, 0.268561, 0.294541, 0.315763, 0.316912, 0.316507, 0.313301, 0.299666, 0.274796, 0.25773, 0.233435, 0.1824, 0.13084, 0.10169, 0.0824183, 0.0695826, 0.0500587, 0.0340516, 0.0240498, 0.0153766, 0.00826776, 0.00339485, 0.00313996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.70989, 0.739321, 0.752876, 0.769098, 0.781522, 0.80394, 0.82232, 0.82879, 0.841731, 0.866839, 0.891511, 0.923208, 0.971784, 1.00666, 1.02908, 1.07393, 1.1808, 1.22778, 1.25613, 1.2961, 1.34516, 1.38679, 1.42197, 1.46071, 1.51564, 1.57149, 1.61408, 1.69625, 1.77841, 1.86058, 2.0249");
-            values ( \
-              "0.171375, 0.190499, 0.24399, 0.297784, 0.326636, 0.354273, 0.360937, 0.361148, 0.36018, 0.354375, 0.346314, 0.334851, 0.31529, 0.299174, 0.287088, 0.257563, 0.172748, 0.138123, 0.119646, 0.0963977, 0.0727631, 0.0566999, 0.0456578, 0.0357369, 0.0250742, 0.0174178, 0.0131257, 0.00751017, 0.00426309, 0.00242736, 0.000781873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.721341, 0.801786, 0.819843, 0.836023, 0.8603, 0.922601, 1.13926, 1.34163, 1.5082, 1.86337, 2.0056, 2.1784, 2.39376, 2.52185, 2.67857, 2.92543");
-            values ( \
-              "0.233897, 0.369942, 0.382003, 0.385986, 0.386499, 0.378366, 0.341134, 0.300534, 0.249721, 0.124439, 0.0869851, 0.0542605, 0.0290562, 0.0198452, 0.0123423, 0.00644877" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0673624, 0.0713661, 0.0770244, 0.0812673, 0.0898663, 0.0947493, 0.0994308, 0.104006, 0.108575, 0.113236, 0.121092, 0.12731, 0.133709, 0.137811, 0.14328, 0.151899, 0.159328");
-            values ( \
-              "-0.0151264, -0.0503749, -0.0896237, -0.107119, -0.130218, -0.137699, -0.142786, -0.144092, -0.140442, -0.122141, -0.0665276, -0.0342153, -0.0159785, -0.0096678, -0.00486253, -0.00161282, -0.00118857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00815885");
-            index_3 ("0.0687172, 0.0735742, 0.0800074, 0.0833579, 0.0885035, 0.0921349, 0.0977064, 0.103563, 0.113867, 0.120546, 0.1272, 0.130142, 0.134668, 0.144617, 0.150294, 0.156473, 0.164763, 0.170203, 0.174444, 0.182926, 0.19358");
-            values ( \
-              "-0.0348188, -0.0862658, -0.133387, -0.150867, -0.171027, -0.182015, -0.194947, -0.205178, -0.217992, -0.22128, -0.214146, -0.204536, -0.179816, -0.106544, -0.073475, -0.0473377, -0.0252809, -0.0166101, -0.0119073, -0.00600548, -0.00285351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.018286");
-            index_3 ("0.066742, 0.0760685, 0.0806219, 0.0827863, 0.0912717, 0.101516, 0.121934, 0.129186, 0.140076, 0.150757, 0.161431, 0.165538, 0.169425, 0.183306, 0.19431, 0.201668, 0.20777, 0.218803, 0.227432, 0.236646, 0.243746, 0.257945, 0.283123, 0.313067");
-            values ( \
-              "-0.015394, -0.125777, -0.153152, -0.173561, -0.213527, -0.243707, -0.285547, -0.296876, -0.307915, -0.309058, -0.292436, -0.279081, -0.260942, -0.178047, -0.121473, -0.092063, -0.072433, -0.0462614, -0.0321912, -0.0218045, -0.0160809, -0.00858562, -0.00256972, -0.000593207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0409834");
-            index_3 ("0.0698308, 0.0912701, 0.10168, 0.121441, 0.139298, 0.150241, 0.166499, 0.176272, 0.188778, 0.207772, 0.221065, 0.228175, 0.242396, 0.267707, 0.288474, 0.30814, 0.322609, 0.338324, 0.365036, 0.400079, 0.437457, 0.444834");
-            values ( \
-              "-0.112875, -0.233857, -0.269833, -0.320063, -0.355261, -0.36962, -0.384454, -0.38843, -0.388727, -0.379728, -0.362137, -0.347585, -0.303457, -0.208888, -0.145169, -0.1003, -0.0755448, -0.0551343, -0.0317608, -0.0152317, -0.00665223, -0.00598859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.091854");
-            index_3 ("0.0761719, 0.0984, 0.116625, 0.131705, 0.142351, 0.156158, 0.173171, 0.193063, 0.21118, 0.241389, 0.248521, 0.262786, 0.286295, 0.324239, 0.342354, 0.361678, 0.379233, 0.440861, 0.462342, 0.489775, 0.510131, 0.532391, 0.562022, 0.58918, 0.625391, 0.654505, 0.686776, 0.729805, 0.797543, 0.865282, 0.93302, 1.00076");
-            values ( \
-              "-0.257724, -0.271434, -0.326095, -0.363895, -0.385382, -0.406829, -0.424887, -0.437197, -0.442553, -0.443463, -0.442525, -0.43963, -0.432314, -0.412764, -0.39871, -0.37821, -0.35253, -0.236726, -0.200198, -0.159661, -0.13415, -0.110281, -0.0843402, -0.0656831, -0.0466752, -0.0353689, -0.0259674, -0.0170631, -0.00863544, -0.00435304, -0.00219166, -0.00110169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.205867");
-            index_3 ("0.0868652, 0.135375, 0.150636, 0.179427, 0.204534, 0.231697, 0.258926, 0.29715, 0.337183, 0.454318, 0.498893, 0.566632, 0.620321, 0.676811, 0.74455, 0.865246, 0.932984, 1.02548, 1.09322, 1.16136, 1.20881, 1.27655, 1.32426, 1.42062, 1.48835, 1.55609, 1.69157, 1.82705, 2.03026");
-            values ( \
-              "-0.380412, -0.383859, -0.413186, -0.448163, -0.464293, -0.472343, -0.47534, -0.474464, -0.470861, -0.452798, -0.444009, -0.426693, -0.407707, -0.377921, -0.323735, -0.219176, -0.170635, -0.119021, -0.0901899, -0.067931, -0.0556883, -0.04154, -0.0339185, -0.0222122, -0.0165995, -0.0122059, -0.00667094, -0.00362625, -0.00155449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0830148, 0.103717, 0.113907, 0.118871, 0.122873, 0.127047, 0.129122, 0.132747, 0.143939, 0.148051, 0.155731, 0.159051, 0.165691, 0.166384");
-            values ( \
-              "-0.00726441, -0.119318, -0.138197, -0.142675, -0.144085, -0.141158, -0.136159, -0.120069, -0.0453184, -0.0284856, -0.0112868, -0.0074696, -0.00323191, -0.00306807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00815885");
-            index_3 ("0.0816376, 0.11017, 0.111303, 0.113571, 0.118051, 0.126164, 0.133022, 0.139712, 0.146401, 0.150225, 0.164265, 0.171009, 0.177249, 0.184969, 0.192532, 0.201176, 0.215046, 0.252889");
-            values ( \
-              "-0.0176009, -0.174149, -0.184098, -0.186735, -0.198289, -0.210286, -0.218033, -0.221279, -0.21408, -0.200604, -0.103538, -0.0659736, -0.0420347, -0.0233443, -0.0129814, -0.00642215, -0.00201151, -0.000215823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.018286");
-            index_3 ("0.0874688, 0.0952919, 0.0979038, 0.101982, 0.110451, 0.120623, 0.141019, 0.148353, 0.159242, 0.169923, 0.180597, 0.184708, 0.188593, 0.202468, 0.213478, 0.220837, 0.226937, 0.237968, 0.246598, 0.255814, 0.262915, 0.277118, 0.302291, 0.332233");
-            values ( \
-              "-0.0414872, -0.126965, -0.140154, -0.173704, -0.213578, -0.243581, -0.285405, -0.296951, -0.307886, -0.309111, -0.292389, -0.279067, -0.260925, -0.178067, -0.121466, -0.0920552, -0.072427, -0.0462653, -0.0321897, -0.0218015, -0.0160804, -0.00858146, -0.00257152, -0.000591723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0409834");
-            index_3 ("0.0939495, 0.110394, 0.120723, 0.129895, 0.140757, 0.158491, 0.169333, 0.185729, 0.195083, 0.207867, 0.226862, 0.240139, 0.247265, 0.261517, 0.286755, 0.306807, 0.330316, 0.354988, 0.372506, 0.393218, 0.407236, 0.435273, 0.471316");
-            values ( \
-              "-0.215961, -0.23289, -0.269039, -0.293747, -0.320367, -0.355222, -0.369992, -0.384302, -0.38817, -0.38895, -0.379523, -0.362162, -0.34757, -0.303341, -0.209028, -0.147175, -0.0944533, -0.0579201, -0.0404321, -0.0263558, -0.0196493, -0.010733, -0.00537647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.091854");
-            index_3 ("0.0966639, 0.122696, 0.137652, 0.150903, 0.16248, 0.17646, 0.192382, 0.212242, 0.230398, 0.260767, 0.281686, 0.305514, 0.343455, 0.361625, 0.381007, 0.398241, 0.461027, 0.482164, 0.509214, 0.528941, 0.551361, 0.581135, 0.608626, 0.64528, 0.673944, 0.705797, 0.748267, 0.816005, 0.883744, 0.951482, 1.01922");
-            values ( \
-              "-0.272544, -0.287801, -0.331236, -0.36406, -0.386962, -0.408389, -0.424773, -0.437295, -0.442434, -0.443558, -0.439816, -0.432194, -0.412868, -0.398652, -0.378066, -0.352877, -0.235035, -0.199235, -0.159375, -0.134636, -0.110531, -0.0844267, -0.0655488, -0.0463764, -0.0352962, -0.0260192, -0.0171918, -0.00870304, -0.00438541, -0.00220991, -0.00110898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.205867");
-            index_3 ("0.106928, 0.166048, 0.198696, 0.222031, 0.24924, 0.278193, 0.316546, 0.356449, 0.416626, 0.475145, 0.585899, 0.63959, 0.69608, 0.763819, 0.884511, 0.975948, 1.04477, 1.1125, 1.18061, 1.22804, 1.34352, 1.43995, 1.50769, 1.64317, 1.7109, 1.84638, 2.0496");
-            values ( \
-              "-0.372737, -0.406946, -0.448543, -0.463238, -0.472288, -0.475112, -0.474703, -0.470613, -0.462718, -0.452731, -0.42691, -0.407911, -0.377714, -0.323929, -0.219016, -0.155791, -0.11888, -0.0903187, -0.0680552, -0.0555792, -0.0338029, -0.0223196, -0.0164822, -0.00903689, -0.00678067, -0.00373591, -0.00144476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.131054, 0.140672, 0.144798, 0.153571, 0.158471, 0.163165, 0.167749, 0.172366, 0.17694, 0.185469, 0.190479, 0.195044, 0.201413, 0.206523, 0.215137, 0.221618");
-            values ( \
-              "-0.0239548, -0.0882419, -0.105553, -0.129617, -0.13733, -0.142393, -0.143853, -0.140066, -0.122291, -0.06261, -0.0365783, -0.0214354, -0.00989186, -0.00519062, -0.00173845, -0.00101185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00815885");
-            index_3 ("0.131808, 0.136961, 0.144908, 0.151078, 0.160027, 0.167289, 0.176417, 0.184284, 0.190959, 0.195837, 0.213031, 0.225697, 0.238585, 0.244648");
-            values ( \
-              "-0.0292706, -0.0848418, -0.139707, -0.166618, -0.191685, -0.204834, -0.216489, -0.220919, -0.213841, -0.195419, -0.0787762, -0.0313828, -0.0115223, -0.00769665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.018286");
-            index_3 ("0.132122, 0.139812, 0.143745, 0.146562, 0.155063, 0.165342, 0.185865, 0.193003, 0.203897, 0.214583, 0.225261, 0.229357, 0.23325, 0.247152, 0.25814, 0.265496, 0.271603, 0.282643, 0.291271, 0.300481, 0.307575, 0.321765, 0.346932, 0.376862");
-            values ( \
-              "-0.0467586, -0.124691, -0.147787, -0.173153, -0.213281, -0.243621, -0.28552, -0.296781, -0.307717, -0.309014, -0.29229, -0.279067, -0.260919, -0.177949, -0.121485, -0.0920837, -0.0724321, -0.0462531, -0.0321834, -0.0218043, -0.0160879, -0.00859062, -0.00257589, -0.000592965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0409834");
-            index_3 ("0.132117, 0.144154, 0.15179, 0.160499, 0.174576, 0.19321, 0.203178, 0.214008, 0.230431, 0.233521, 0.2397, 0.252541, 0.271538, 0.273036, 0.284815, 0.291941, 0.306194, 0.331431, 0.351485, 0.362862, 0.374997, 0.386529, 0.399665, 0.417179, 0.437901, 0.451927, 0.479977, 0.52957, 0.588266");
-            values ( \
-              "-0.074913, -0.170388, -0.216188, -0.253351, -0.293692, -0.33714, -0.355195, -0.37008, -0.384255, -0.385797, -0.388106, -0.388982, -0.379466, -0.377896, -0.362146, -0.347554, -0.303329, -0.209025, -0.14717, -0.119207, -0.0944465, -0.0753199, -0.0579223, -0.0404357, -0.026354, -0.0196441, -0.010728, -0.00336082, -0.000791457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.091854");
-            index_3 ("0.143085, 0.177807, 0.195608, 0.207369, 0.22146, 0.237084, 0.256936, 0.2751, 0.305602, 0.326127, 0.350218, 0.388158, 0.406368, 0.425793, 0.442789, 0.513306, 0.549043, 0.588176, 0.620003, 0.651359, 0.673454, 0.713879, 0.756083, 0.789208, 0.855458, 0.923196, 1.05867");
-            values ( \
-              "-0.283457, -0.318561, -0.363978, -0.387398, -0.408685, -0.424855, -0.437205, -0.442504, -0.443465, -0.439811, -0.432247, -0.412803, -0.398613, -0.377952, -0.35313, -0.221727, -0.165909, -0.118473, -0.0889679, -0.0667257, -0.0542801, -0.0369047, -0.024649, -0.0178717, -0.00919656, -0.00463601, -0.00117266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.205867");
-            index_3 ("0.150673, 0.199279, 0.226453, 0.243348, 0.266665, 0.293889, 0.322848, 0.361209, 0.401105, 0.461302, 0.519765, 0.630557, 0.68425, 0.74074, 0.808479, 0.929176, 1.02061, 1.08942, 1.15716, 1.22529, 1.27273, 1.34047, 1.3882, 1.48458, 1.55232, 1.62006, 1.75554, 1.89101, 2.09423");
-            values ( \
-              "-0.379127, -0.383566, -0.430443, -0.448448, -0.463262, -0.472217, -0.475156, -0.474643, -0.47066, -0.462659, -0.452685, -0.426859, -0.407862, -0.37775, -0.323889, -0.219042, -0.155818, -0.118906, -0.0902968, -0.0680311, -0.0555943, -0.0416407, -0.0338221, -0.0223029, -0.0165043, -0.0122971, -0.00676214, -0.0037171, -0.00146415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.222937, 0.227456, 0.228387, 0.233253, 0.236979, 0.24731, 0.253931, 0.258565, 0.261745, 0.266528, 0.270766, 0.274273, 0.289275, 0.296209, 0.301656, 0.30621, 0.308798");
-            values ( \
-              "-0.021155, -0.0318233, -0.0311306, -0.0501678, -0.0724334, -0.108428, -0.123832, -0.131431, -0.135397, -0.138473, -0.136457, -0.127325, -0.0380894, -0.0169681, -0.00878932, -0.00510871, -0.00408584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00815885");
-            index_3 ("0.223539, 0.230884, 0.231122, 0.23128, 0.231756, 0.233343, 0.235642, 0.237846, 0.240049, 0.242849, 0.245107, 0.249083, 0.250328, 0.251574, 0.254512, 0.257208, 0.258376, 0.261877, 0.263892, 0.265906, 0.270318, 0.273937, 0.275644, 0.277808, 0.280429, 0.281739, 0.282975, 0.284211, 0.285035, 0.286683, 0.288466, 0.290248, 0.29198, 0.293712, 0.295445, 0.297177, 0.29782, 0.299108, 0.304151, 0.307324, 0.310065, 0.31181, 0.313464, 0.31561, 0.317944, 0.320467, 0.32299, 0.325513, 0.328036, 0.330179");
-            values ( \
-              "-0.0227366, -0.057311, -0.0573596, -0.0576682, -0.0592087, -0.0666642, -0.0797976, -0.0956218, -0.110152, -0.123036, -0.13443, -0.15666, -0.158229, -0.160643, -0.169051, -0.177238, -0.18031, -0.188648, -0.192803, -0.196613, -0.203803, -0.208807, -0.210751, -0.212842, -0.214815, -0.215572, -0.21548, -0.21514, -0.214775, -0.213713, -0.210566, -0.206421, -0.201436, -0.19551, -0.18864, -0.180828, -0.177348, -0.168459, -0.131463, -0.109239, -0.0914321, -0.0817694, -0.0734663, -0.0634026, -0.0530898, -0.0440207, -0.0367733, -0.0304922, -0.0252724, -0.0211492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.018286");
-            index_3 ("0.218322, 0.255875, 0.260567, 0.266001, 0.275974, 0.286641, 0.292156, 0.303241, 0.314033, 0.324834, 0.328434, 0.332274, 0.34745, 0.355821, 0.368616, 0.378183, 0.383816, 0.394887, 0.401201, 0.413829, 0.437037, 0.464165");
-            values ( \
-              "-0.00237173, -0.206801, -0.222979, -0.238587, -0.261535, -0.282339, -0.291247, -0.303708, -0.306018, -0.290403, -0.279392, -0.262613, -0.173676, -0.130229, -0.0802236, -0.0547098, -0.0433855, -0.0272418, -0.0208309, -0.0119943, -0.00403341, -0.00109344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0409834");
-            index_3 ("0.226007, 0.2396, 0.248864, 0.259336, 0.267523, 0.28184, 0.301595, 0.313139, 0.329322, 0.332819, 0.339814, 0.351936, 0.371015, 0.383105, 0.391435, 0.408094, 0.427504, 0.44985, 0.462682, 0.477347, 0.496665, 0.508232, 0.530971, 0.544049, 0.570203, 0.617438, 0.672779");
-            values ( \
-              "-0.0809946, -0.136109, -0.193789, -0.241456, -0.2689, -0.307072, -0.348988, -0.36609, -0.381355, -0.383338, -0.386245, -0.387438, -0.378539, -0.363505, -0.347072, -0.294356, -0.221192, -0.150446, -0.118673, -0.0894363, -0.0609972, -0.0482442, -0.0301718, -0.0229696, -0.0131157, -0.00440475, -0.00115725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.091854");
-            index_3 ("0.234899, 0.259008, 0.264379, 0.273153, 0.293268, 0.300724, 0.309245, 0.32371, 0.336252, 0.356151, 0.374413, 0.405223, 0.425024, 0.449619, 0.487575, 0.505723, 0.525081, 0.530877, 0.542468, 0.604713, 0.626015, 0.653247, 0.673271, 0.695617, 0.725325, 0.734437, 0.752661, 0.789108, 0.817986, 0.828669, 0.850037, 0.892773, 0.960511, 1.02825, 1.09599, 1.16373");
-            values ( \
-              "-0.21699, -0.25102, -0.2718, -0.300122, -0.354743, -0.371375, -0.387638, -0.409129, -0.422313, -0.435582, -0.44148, -0.442929, -0.439593, -0.432083, -0.412699, -0.398607, -0.378072, -0.370416, -0.352669, -0.235816, -0.199676, -0.159499, -0.134399, -0.110408, -0.0843815, -0.0776355, -0.0656089, -0.0465151, -0.0353289, -0.0319071, -0.0259936, -0.0171303, -0.00867103, -0.00437026, -0.00220147, -0.00110568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.205867");
-            index_3 ("0.248693, 0.301046, 0.320478, 0.339678, 0.358299, 0.379579, 0.412025, 0.442204, 0.482443, 0.535751, 0.66204, 0.74763, 0.815368, 0.840082, 0.888222, 1.00112, 1.06721, 1.11281, 1.19508, 1.26282, 1.35274, 1.4042, 1.47194, 1.5644, 1.63214, 1.69988, 1.83535, 1.97083, 2.17404");
-            values ( \
-              "-0.370961, -0.384284, -0.4201, -0.443506, -0.457733, -0.467532, -0.474178, -0.475006, -0.472551, -0.466097, -0.443895, -0.421101, -0.392575, -0.377777, -0.34079, -0.241013, -0.190159, -0.160114, -0.115856, -0.0878224, -0.0603235, -0.0484587, -0.0361782, -0.0242079, -0.017985, -0.0133415, -0.00732442, -0.00401266, -0.0016255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.403323, 0.416276, 0.416556, 0.417115, 0.418235, 0.420475, 0.424305, 0.431663, 0.439028, 0.441321, 0.445595, 0.44889, 0.455563, 0.461676, 0.467509, 0.473219, 0.478922, 0.479752, 0.480858, 0.483069, 0.486288, 0.488426, 0.491276, 0.493314, 0.494939, 0.497106, 0.501087, 0.503145, 0.504285, 0.506565, 0.511126, 0.51123");
-            values ( \
-              "-0.00767709, -0.0194456, -0.0191336, -0.0195585, -0.0212833, -0.0250215, -0.0329907, -0.0560604, -0.0742775, -0.0791249, -0.0876743, -0.0934149, -0.103425, -0.110407, -0.114764, -0.115084, -0.104136, -0.101039, -0.0962281, -0.0849172, -0.0671926, -0.0561626, -0.0431619, -0.0353017, -0.0298995, -0.0237802, -0.0152935, -0.0121571, -0.0107051, -0.00827664, -0.00492815, -0.00489133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00815885");
-            index_3 ("0.406327, 0.409129, 0.415871, 0.419838, 0.42667, 0.428971, 0.438134, 0.446077, 0.450839, 0.461063, 0.465272, 0.470122, 0.476238, 0.478525, 0.482633, 0.48651, 0.493133, 0.496753, 0.50158, 0.502639, 0.516006, 0.520294, 0.523117, 0.528294, 0.534123, 0.53615, 0.541234, 0.547044, 0.554626, 0.559761, 0.562764");
-            values ( \
-              "-0.0131631, -0.0152267, -0.0292351, -0.0353065, -0.0545049, -0.0623114, -0.0973173, -0.1206, -0.132794, -0.154502, -0.161847, -0.169312, -0.177577, -0.180133, -0.184413, -0.187302, -0.188051, -0.183084, -0.168221, -0.163658, -0.0858812, -0.0656158, -0.0544297, -0.0380758, -0.0249092, -0.0214624, -0.0147267, -0.00949129, -0.00522868, -0.0035698, -0.00294304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.018286");
-            index_3 ("0.408683, 0.425161, 0.433653, 0.443245, 0.449278, 0.460668, 0.475823, 0.495897, 0.500778, 0.508206, 0.519994, 0.531779, 0.543626, 0.559018, 0.568027, 0.579723, 0.585338, 0.591434, 0.600106, 0.609051, 0.615896, 0.629587, 0.640226");
-            values ( \
-              "-0.0171742, -0.062749, -0.0940001, -0.134308, -0.154739, -0.187597, -0.221301, -0.258505, -0.266141, -0.275589, -0.283283, -0.273643, -0.232148, -0.151414, -0.111095, -0.0716387, -0.0574737, -0.0449651, -0.0314373, -0.0216711, -0.0162318, -0.0089594, -0.00634068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0409834");
-            index_3 ("0.408586, 0.432628, 0.449142, 0.459771, 0.475949, 0.495767, 0.515606, 0.525521, 0.539894, 0.550095, 0.567324, 0.57976, 0.593071, 0.60046, 0.615236, 0.639377, 0.660287, 0.673553, 0.687703, 0.704636, 0.716575, 0.740429, 0.754588, 0.782904, 0.830497, 0.886724");
-            values ( \
-              "-0.0230024, -0.0998218, -0.168445, -0.204879, -0.248925, -0.295942, -0.334413, -0.348536, -0.362974, -0.36883, -0.372542, -0.368756, -0.355334, -0.342303, -0.299381, -0.211233, -0.147087, -0.115112, -0.0876542, -0.0627384, -0.0492796, -0.0301412, -0.022442, -0.0121995, -0.00406431, -0.00103653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.091854");
-            index_3 ("0.408582, 0.444051, 0.463824, 0.490654, 0.506901, 0.519251, 0.53222, 0.543134, 0.550066, 0.570984, 0.582701, 0.59686, 0.620704, 0.658598, 0.672278, 0.698047, 0.717982, 0.740164, 0.783407, 0.821769, 0.854541, 0.866771, 0.884789, 0.905379, 0.93524, 0.96166, 0.996887, 1.02702, 1.06779, 1.12216, 1.1899, 1.25764, 1.39312");
-            values ( \
-              "-0.0330626, -0.153468, -0.227864, -0.303191, -0.342831, -0.367218, -0.388152, -0.401212, -0.408302, -0.42454, -0.430922, -0.435503, -0.437573, -0.430527, -0.425099, -0.410976, -0.395335, -0.370114, -0.294135, -0.222631, -0.170882, -0.154215, -0.132124, -0.110217, -0.0841225, -0.0659689, -0.0473323, -0.035526, -0.0240242, -0.0140485, -0.00710514, -0.00357717, -0.000904496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.205867");
-            index_3 ("0.435577, 0.475927, 0.501199, 0.526166, 0.561387, 0.595524, 0.620359, 0.650463, 0.690588, 0.745619, 0.856464, 0.899486, 0.956407, 1.02415, 1.04887, 1.09702, 1.20993, 1.27602, 1.32163, 1.40393, 1.47167, 1.56157, 1.61304, 1.68078, 1.77331, 1.84105, 1.90878, 2.04426, 2.17974, 2.38295");
-            values ( \
-              "-0.263849, -0.270741, -0.341116, -0.394056, -0.437921, -0.461873, -0.469456, -0.472591, -0.471685, -0.465663, -0.446918, -0.437252, -0.421013, -0.392541, -0.377696, -0.340763, -0.241004, -0.190126, -0.160114, -0.115823, -0.0878268, -0.0603127, -0.048468, -0.0361678, -0.0241916, -0.017991, -0.0133287, -0.00731338, -0.00400253, -0.00163481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.7929, 0.811619, 0.820265, 0.827627, 0.842054, 0.851196, 0.86376, 0.871493, 0.878832, 0.886009, 0.893179, 0.894714, 0.908022, 0.913577, 0.920197, 0.927575, 0.936008, 0.947576, 0.987738");
-            values ( \
-              "-0.00109798, -0.0129089, -0.0204963, -0.0308196, -0.0564951, -0.0688822, -0.0818652, -0.0874654, -0.0910546, -0.0918022, -0.0856142, -0.08184, -0.0361547, -0.022049, -0.0114755, -0.00535102, -0.00216611, -0.000784111, -0.000511093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00815885");
-            index_3 ("0.79244, 0.815968, 0.823566, 0.828673, 0.847547, 0.868704, 0.87742, 0.88959, 0.902022, 0.909511, 0.916344, 0.921819, 0.94478, 0.952427, 0.961698, 0.968792, 0.97825, 0.99436, 1.03861");
-            values ( \
-              "-3.76581e-05, -0.0249395, -0.0363869, -0.0449763, -0.0880183, -0.12145, -0.13116, -0.141952, -0.149448, -0.151474, -0.148296, -0.13813, -0.0499813, -0.0309694, -0.0166266, -0.010193, -0.00519412, -0.00160131, -0.000284314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.018286");
-            index_3 ("0.795701, 0.822695, 0.836195, 0.853594, 0.862821, 0.877845, 0.888279, 0.934853, 0.942209, 0.955729, 0.970188, 0.988487, 0.998709, 1.0081, 1.02101, 1.028, 1.03572, 1.04602, 1.06661, 1.09305, 1.12614");
-            values ( \
-              "-0.00415705, -0.0446406, -0.0735968, -0.11899, -0.137628, -0.16293, -0.177584, -0.236294, -0.243061, -0.244337, -0.210131, -0.128594, -0.0910126, -0.0645204, -0.0390711, -0.0295096, -0.0215814, -0.0141071, -0.0057135, -0.00174469, -0.000415036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0409834");
-            index_3 ("0.80244, 0.832207, 0.863675, 0.877513, 0.953183, 0.963993, 0.985902, 1.00709, 1.02827, 1.0317, 1.04345, 1.07339, 1.09425, 1.12188, 1.14504, 1.17375, 1.19456, 1.21606, 1.24246");
-            values ( \
-              "-0.0257561, -0.0734189, -0.153804, -0.18178, -0.313081, -0.327594, -0.346715, -0.350058, -0.330877, -0.324685, -0.293817, -0.192417, -0.134116, -0.0796897, -0.0503703, -0.0280121, -0.0182025, -0.0115588, -0.00774898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.091854");
-            index_3 ("0.819663, 0.853805, 0.877052, 0.949396, 0.966408, 0.990061, 1.0089, 1.04598, 1.06428, 1.09217, 1.12004, 1.14181, 1.17002, 1.25344, 1.29544, 1.32923, 1.36376, 1.40379, 1.46212, 1.51946, 1.58499, 1.65131");
-            values ( \
-              "-0.127402, -0.134903, -0.19015, -0.335032, -0.364304, -0.393856, -0.409484, -0.424338, -0.425284, -0.420866, -0.409675, -0.395541, -0.366567, -0.219793, -0.156602, -0.117045, -0.0857621, -0.0593303, -0.034139, -0.0196634, -0.010216, -0.00526323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.205867");
-            index_3 ("0.839068, 0.902879, 0.954412, 0.972103, 1.00213, 1.03251, 1.05784, 1.09778, 1.13783, 1.1777, 1.21869, 1.26532, 1.30119, 1.34462, 1.38695, 1.45469, 1.52764, 1.6406, 1.70669, 1.75236, 1.83475, 1.90249, 1.99235, 2.04386, 2.1116, 2.20437, 2.27211, 2.33984, 2.47532, 2.6108, 2.81401");
-            values ( \
-              "-0.22032, -0.245765, -0.359114, -0.389791, -0.427017, -0.44903, -0.459128, -0.465203, -0.46461, -0.460383, -0.453965, -0.448931, -0.442762, -0.432948, -0.420672, -0.392284, -0.340554, -0.240879, -0.190075, -0.160025, -0.115763, -0.0877692, -0.0603139, -0.0484493, -0.0361737, -0.024176, -0.0179672, -0.0133264, -0.00731706, -0.00400872, -0.00162761" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "-0.00311927, -0.00316897, -0.00328235, -0.00354349, -0.00384087, -0.0040594", \
-            "-0.00374336, -0.00377973, -0.00392369, -0.00416807, -0.00444886, -0.00466054", \
-            "-0.0044397, -0.00446905, -0.00455251, -0.0047783, -0.00503537, -0.00523684", \
-            "-0.00488704, -0.00494501, -0.00501242, -0.00522126, -0.00541774, -0.00569734", \
-            "-0.00501543, -0.00508664, -0.00513522, -0.0053251, -0.00552767, -0.00578545", \
-            "-0.00442293, -0.00451005, -0.00452434, -0.00465624, -0.00482062, -0.00512873" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0392492, 0.0394131, 0.0395453, 0.0395127, 0.0393673, 0.0392343", \
-            "0.0391405, 0.0392788, 0.0394259, 0.0394091, 0.0392684, 0.0391358", \
-            "0.0389905, 0.0391271, 0.0392606, 0.0392649, 0.0391437, 0.0390137", \
-            "0.0388761, 0.0389472, 0.0391152, 0.0391889, 0.0391414, 0.0390443", \
-            "0.0387419, 0.038826, 0.0390153, 0.039186, 0.0392006, 0.0391222", \
-            "0.0395009, 0.0395116, 0.0395831, 0.0395797, 0.0397802, 0.0398242" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0175519, 0.0176062, 0.0177369, 0.0180251, 0.0186549, 0.0203618", \
-            "0.0174859, 0.0175757, 0.0177135, 0.0179663, 0.0186732, 0.0203545", \
-            "0.0174323, 0.017521, 0.0176712, 0.0179345, 0.0186538, 0.0203455", \
-            "0.0173634, 0.0174439, 0.017603, 0.0179277, 0.0185782, 0.0203477", \
-            "0.0173124, 0.0174195, 0.0175637, 0.0178407, 0.0185811, 0.0203052", \
-            "0.0176493, 0.0175814, 0.0176613, 0.0180355, 0.0184944, 0.0202572" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00461471, 0.004655, 0.00472622, 0.00489112, 0.00526318, 0.00602856", \
-            "0.00445655, 0.0045064, 0.00458487, 0.00474355, 0.00510902, 0.00590213", \
-            "0.00427745, 0.00433588, 0.00443399, 0.00456765, 0.00497397, 0.00575998", \
-            "0.00415642, 0.00420725, 0.0042568, 0.00442456, 0.0048262, 0.00566608", \
-            "0.00412586, 0.0041589, 0.00423484, 0.00434221, 0.00466778, 0.00560964", \
-            "0.00452904, 0.00448586, 0.00447823, 0.00471393, 0.00485879, 0.00553325" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0186235, 0.0186901, 0.0187687, 0.0188641, 0.0192116, 0.0201579", \
-            "0.018566, 0.0186488, 0.0187662, 0.0188666, 0.0191335, 0.0201473", \
-            "0.018514, 0.0185693, 0.0186733, 0.0187884, 0.0191515, 0.0201395", \
-            "0.0184399, 0.0184893, 0.018592, 0.0187385, 0.0191391, 0.0201235", \
-            "0.0184191, 0.0184511, 0.0185701, 0.0186768, 0.0190866, 0.0200919", \
-            "0.0187297, 0.0187123, 0.0188291, 0.018953, 0.0190509, 0.0200851" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00403897, 0.0040923, 0.00420702, 0.00444575, 0.00497756, 0.00607027", \
-            "0.0039722, 0.00404302, 0.00415882, 0.00441926, 0.00487887, 0.00604633", \
-            "0.00386567, 0.00395047, 0.00407087, 0.00434121, 0.00490393, 0.00601022", \
-            "0.00378006, 0.00385641, 0.00396978, 0.00421291, 0.00483333, 0.00597237", \
-            "0.00374932, 0.00381717, 0.00401814, 0.00417553, 0.0046629, 0.00592403", \
-            "0.00414371, 0.00413259, 0.00416042, 0.00441371, 0.00473268, 0.00586947" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "-0.00225474, -0.00215756, -0.00199512, -0.00187438, -0.00182283, -0.0018129", \
-            "-0.00241306, -0.00231079, -0.00215149, -0.00202518, -0.00194072, -0.00193529", \
-            "-0.00265373, -0.00254661, -0.00239117, -0.00225535, -0.00218731, -0.00217116", \
-            "-0.00278788, -0.00274693, -0.00263058, -0.00251173, -0.00237716, -0.00242405", \
-            "-0.00297604, -0.00283409, -0.00277057, -0.00265851, -0.00255022, -0.00249472", \
-            "-0.00229611, -0.0022706, -0.00229555, -0.0022516, -0.00208239, -0.00204106" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0391106, 0.0391278, 0.0390821, 0.0387381, 0.0382033, 0.0377668", \
-            "0.0389466, 0.0389508, 0.0389013, 0.0385692, 0.0380469, 0.0376219", \
-            "0.0387819, 0.0387915, 0.0387189, 0.0383911, 0.0379043, 0.0374876", \
-            "0.0386453, 0.0385959, 0.0385834, 0.038325, 0.0379019, 0.0375162", \
-            "0.0385371, 0.0385138, 0.0384732, 0.0383378, 0.0380297, 0.0377307", \
-            "0.0390964, 0.039016, 0.0388339, 0.0386999, 0.0385629, 0.0382847" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0175519, 0.0176062, 0.0177369, 0.0180251, 0.0186549, 0.0203618", \
-            "0.0174859, 0.0175757, 0.0177135, 0.0179663, 0.0186732, 0.0203545", \
-            "0.0174323, 0.017521, 0.0176712, 0.0179345, 0.0186538, 0.0203455", \
-            "0.0173634, 0.0174439, 0.017603, 0.0179277, 0.0185782, 0.0203477", \
-            "0.0173124, 0.0174195, 0.0175637, 0.0178407, 0.0185811, 0.0203052", \
-            "0.0176493, 0.0175814, 0.0176613, 0.0180355, 0.0184944, 0.0202572" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0392492, 0.0394131, 0.0395453, 0.0395127, 0.0393673, 0.0392343", \
-            "0.0391405, 0.0392788, 0.0394259, 0.0394091, 0.0392684, 0.0391358", \
-            "0.0389905, 0.0391271, 0.0392606, 0.0392649, 0.0391437, 0.0390137", \
-            "0.0388761, 0.0389472, 0.0391152, 0.0391889, 0.0391414, 0.0390443", \
-            "0.0387419, 0.038826, 0.0390153, 0.039186, 0.0392006, 0.0391222", \
-            "0.0395009, 0.0395116, 0.0395831, 0.0395797, 0.0397802, 0.0398242" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.001822, 0.00179932, 0.00170588, 0.0015275, 0.00132579, 0.00117652", \
-            "0.00130221, 0.00128342, 0.00121517, 0.00103772, 0.000845311, 0.00069543", \
-            "0.000568524, 0.000576315, 0.000517465, 0.000382482, 0.000208632, 2.90492e-05", \
-            "3.70449e-05, 4.83691e-06, -8.92133e-05, -0.000197857, -0.000521299, -0.000681815", \
-            "-0.000188663, -0.000240177, -0.000262241, -0.000288372, -0.000553037, -0.000738734", \
-            "0.000693924, 0.000732683, 0.000589737, 0.000483554, 0.000276015, -4.85396e-05" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0332699, 0.0334846, 0.0337209, 0.0338066, 0.0337709, 0.0337152", \
-            "0.0330865, 0.0332925, 0.0335203, 0.0336235, 0.0335966, 0.0335406", \
-            "0.0328908, 0.0330812, 0.033304, 0.0334217, 0.0334097, 0.0333579", \
-            "0.0326309, 0.0327883, 0.0330141, 0.0331861, 0.0332388, 0.0332191", \
-            "0.0325238, 0.0325964, 0.0328143, 0.0330439, 0.0331526, 0.0332204", \
-            "0.0333322, 0.0333524, 0.0334654, 0.0335789, 0.0337673, 0.0338293" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.018448, 0.0185821, 0.0188062, 0.0190875, 0.0195026, 0.020666", \
-            "0.0183698, 0.0185315, 0.0187712, 0.0190625, 0.0194979, 0.020668", \
-            "0.0182858, 0.018437, 0.0187109, 0.0190121, 0.0194553, 0.0206649", \
-            "0.0181062, 0.018278, 0.0185537, 0.0189164, 0.0194333, 0.0206408", \
-            "0.0178542, 0.0179999, 0.0183146, 0.0187051, 0.0193121, 0.0205944", \
-            "0.0180006, 0.0180065, 0.0181766, 0.0185223, 0.01919, 0.0205064" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00623225, 0.00628851, 0.00637412, 0.00651407, 0.00686503, 0.00766498", \
-            "0.00612762, 0.00619166, 0.00630218, 0.00647068, 0.00686777, 0.00765195", \
-            "0.00595059, 0.00604415, 0.00617929, 0.00636068, 0.00677768, 0.00760323", \
-            "0.00568871, 0.00579341, 0.00597531, 0.00619785, 0.00665455, 0.00753464", \
-            "0.00547986, 0.00555995, 0.00568527, 0.00606737, 0.00646804, 0.00745859", \
-            "0.00581135, 0.00583041, 0.00590124, 0.00618622, 0.00659192, 0.00736756" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0178724, 0.0180226, 0.0181965, 0.0183622, 0.0187061, 0.0197104", \
-            "0.0177686, 0.0179368, 0.0181337, 0.0183266, 0.018681, 0.0197096", \
-            "0.017609, 0.0177834, 0.0180131, 0.0182375, 0.0186543, 0.0196813", \
-            "0.017444, 0.0176099, 0.0178499, 0.0181775, 0.0185813, 0.0196669", \
-            "0.01755, 0.0176075, 0.0178679, 0.01811, 0.0185585, 0.0196207", \
-            "0.0181324, 0.0181673, 0.0182705, 0.0182844, 0.0187095, 0.0197012" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00458289, 0.00466423, 0.00477211, 0.00492775, 0.00530986, 0.00626604", \
-            "0.00454009, 0.00463004, 0.00474549, 0.00493048, 0.00532529, 0.00629352", \
-            "0.00441448, 0.00451632, 0.00467738, 0.00489109, 0.00531239, 0.0062975", \
-            "0.00416351, 0.00431417, 0.0045193, 0.00478477, 0.00523375, 0.00623776", \
-            "0.00403535, 0.0041497, 0.00437, 0.00467585, 0.0051473, 0.00618266", \
-            "0.0042918, 0.00429644, 0.00456334, 0.00475192, 0.00507903, 0.00613164" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "-0.00713412, -0.00694635, -0.00672213, -0.00656322, -0.00648451, -0.00646329", \
-            "-0.00734286, -0.00716086, -0.00693703, -0.00677409, -0.00669774, -0.00667415", \
-            "-0.00763661, -0.00747857, -0.0072665, -0.00708834, -0.00699198, -0.00696436", \
-            "-0.00791595, -0.00781115, -0.00766416, -0.0074845, -0.00734277, -0.00732723", \
-            "-0.00797274, -0.00786312, -0.00772399, -0.00754572, -0.00745243, -0.00737125", \
-            "-0.00665576, -0.00673821, -0.00677932, -0.00671182, -0.00650363, -0.00644656" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0346118, 0.0346798, 0.0346965, 0.0346436, 0.0345396, 0.0344583", \
-            "0.0342481, 0.0342994, 0.0343378, 0.034308, 0.0342171, 0.0341438", \
-            "0.0339116, 0.0339613, 0.0340235, 0.0340185, 0.0339564, 0.0338899", \
-            "0.0338868, 0.0339118, 0.0339518, 0.0339686, 0.033925, 0.0338568", \
-            "0.034656, 0.034667, 0.0346002, 0.0346162, 0.034576, 0.0344668", \
-            "0.0369433, 0.0368046, 0.036768, 0.0366444, 0.0365584, 0.0364835" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.018448, 0.0185821, 0.0188062, 0.0190875, 0.0195026, 0.020666", \
-            "0.0183698, 0.0185315, 0.0187712, 0.0190625, 0.0194979, 0.020668", \
-            "0.0182858, 0.018437, 0.0187109, 0.0190121, 0.0194553, 0.0206649", \
-            "0.0181062, 0.018278, 0.0185537, 0.0189164, 0.0194333, 0.0206408", \
-            "0.0178542, 0.0179999, 0.0183146, 0.0187051, 0.0193121, 0.0205944", \
-            "0.0180006, 0.0180065, 0.0181766, 0.0185223, 0.01919, 0.0205064" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0346118, 0.0346798, 0.0346965, 0.0346436, 0.0345396, 0.0344583", \
-            "0.0342481, 0.0342994, 0.0343378, 0.034308, 0.0342171, 0.0341438", \
-            "0.0339116, 0.0339613, 0.0340235, 0.0340185, 0.0339564, 0.0338899", \
-            "0.0338868, 0.0339118, 0.0339518, 0.0339686, 0.033925, 0.0338568", \
-            "0.034656, 0.034667, 0.0346002, 0.0346162, 0.034576, 0.0344668", \
-            "0.0369433, 0.0368046, 0.036768, 0.0366444, 0.0365584, 0.0364835" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00526309, 0.00522617, 0.00511528, 0.00491503, 0.00471233, 0.00456338", \
-            "0.00507745, 0.00504346, 0.00494199, 0.00475486, 0.0045635, 0.0044182", \
-            "0.00476687, 0.00473815, 0.00465123, 0.00450198, 0.00432742, 0.00418664", \
-            "0.00470944, 0.00465564, 0.00455614, 0.00441758, 0.00421612, 0.00404338", \
-            "0.0048319, 0.00485026, 0.0047329, 0.00458661, 0.00436164, 0.00415859", \
-            "0.00582985, 0.00573477, 0.00562015, 0.00553112, 0.00536573, 0.00508535" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0293418, 0.0295247, 0.0297163, 0.0298112, 0.0298047, 0.0297742", \
-            "0.0292199, 0.0293915, 0.0295944, 0.029697, 0.0296945, 0.0296635", \
-            "0.029071, 0.0292263, 0.0294287, 0.0295177, 0.0295317, 0.0295058", \
-            "0.0288904, 0.0290375, 0.0292349, 0.0293978, 0.029459, 0.0294549", \
-            "0.02877, 0.028862, 0.0290564, 0.0292487, 0.0293907, 0.0294084", \
-            "0.0294723, 0.0295209, 0.0296153, 0.0297444, 0.029925, 0.0300249" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0161781, 0.0163662, 0.0166597, 0.017012, 0.0174739, 0.0186557", \
-            "0.0161021, 0.0163096, 0.0166157, 0.0169803, 0.0174427, 0.0186551", \
-            "0.0160052, 0.016208, 0.0165438, 0.0169455, 0.0174418, 0.0186544", \
-            "0.0159673, 0.0161326, 0.0164256, 0.0168855, 0.0174159, 0.0186451", \
-            "0.0160695, 0.0161466, 0.016405, 0.0168335, 0.0173996, 0.0186508", \
-            "0.0168727, 0.0168318, 0.0170526, 0.0170368, 0.0175268, 0.0186854" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00658411, 0.00666163, 0.00676977, 0.00699966, 0.00749897, 0.00866499", \
-            "0.00657457, 0.00665778, 0.00679588, 0.00703604, 0.00750629, 0.00869851", \
-            "0.00648583, 0.00657269, 0.00672725, 0.00699895, 0.00756899, 0.00868911", \
-            "0.00647203, 0.00652161, 0.00664491, 0.00688478, 0.00745908, 0.0086844", \
-            "0.00658236, 0.00663921, 0.0066636, 0.00689012, 0.00738302, 0.00865275", \
-            "0.00704011, 0.00713789, 0.00709831, 0.00721938, 0.00756102, 0.00860769" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.014644, 0.0147314, 0.0148714, 0.015154, 0.0158809, 0.0175539", \
-            "0.0145844, 0.0146792, 0.0148295, 0.0151404, 0.0158691, 0.0175514", \
-            "0.0145101, 0.014601, 0.0147633, 0.0150837, 0.0157895, 0.0175405", \
-            "0.0144816, 0.0145764, 0.0147085, 0.0150619, 0.0157482, 0.0175536", \
-            "0.0146791, 0.0147858, 0.0148812, 0.0150597, 0.0157669, 0.0175353", \
-            "0.0155829, 0.015528, 0.0156591, 0.015606, 0.0159275, 0.0176006" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00543927, 0.00553008, 0.00566175, 0.00585971, 0.00628545, 0.00728113", \
-            "0.00539144, 0.00548359, 0.00561986, 0.00580626, 0.0062259, 0.00722012", \
-            "0.00530783, 0.00542095, 0.00557168, 0.00575439, 0.00616927, 0.00715024", \
-            "0.00512568, 0.00523928, 0.00545392, 0.00569677, 0.00613025, 0.00711607", \
-            "0.00513539, 0.00518781, 0.0053185, 0.00559816, 0.00605685, 0.00706822", \
-            "0.00563267, 0.00560437, 0.00562312, 0.00580447, 0.00610505, 0.00705784" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.00187575, 0.00201112, 0.00221259, 0.00238484, 0.00248686, 0.00250012", \
-            "0.00175196, 0.00189498, 0.00210519, 0.00227991, 0.00238321, 0.0023949", \
-            "0.00149044, 0.00162947, 0.00182817, 0.00201262, 0.00212804, 0.0021435", \
-            "0.00123643, 0.00133631, 0.00144653, 0.00162334, 0.00174401, 0.00178391", \
-            "0.0010498, 0.00110479, 0.00124781, 0.00140915, 0.00153532, 0.00154986", \
-            "0.00178225, 0.00182431, 0.00181792, 0.00191307, 0.00209503, 0.00207872" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0316157, 0.0316484, 0.0316324, 0.0314552, 0.0311923, 0.0309902", \
-            "0.0314825, 0.0315194, 0.0315072, 0.0313528, 0.0310986, 0.0309022", \
-            "0.0313869, 0.0314093, 0.0314108, 0.0312628, 0.0310198, 0.0308281", \
-            "0.0312699, 0.0312749, 0.0312708, 0.0311863, 0.0310155, 0.0308463", \
-            "0.0314652, 0.0313916, 0.0313292, 0.0313289, 0.0312399, 0.0310656", \
-            "0.0323751, 0.0323085, 0.0324581, 0.0323235, 0.0321689, 0.0320096" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0161781, 0.0163662, 0.0166597, 0.017012, 0.0174739, 0.0186557", \
-            "0.0161021, 0.0163096, 0.0166157, 0.0169803, 0.0174427, 0.0186551", \
-            "0.0160052, 0.016208, 0.0165438, 0.0169455, 0.0174418, 0.0186544", \
-            "0.0159673, 0.0161326, 0.0164256, 0.0168855, 0.0174159, 0.0186451", \
-            "0.0160695, 0.0161466, 0.016405, 0.0168335, 0.0173996, 0.0186508", \
-            "0.0168727, 0.0168318, 0.0170526, 0.0170368, 0.0175268, 0.0186854" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00815885, 0.018286, 0.0409834, 0.091854, 0.205867");
-          values ( \
-            "0.0316157, 0.0316484, 0.0316324, 0.0314552, 0.0311923, 0.0309902", \
-            "0.0314825, 0.0315194, 0.0315072, 0.0313528, 0.0310986, 0.0309022", \
-            "0.0313869, 0.0314093, 0.0314108, 0.0312628, 0.0310198, 0.0308281", \
-            "0.0312699, 0.0312749, 0.0312708, 0.0311863, 0.0310155, 0.0308463", \
-            "0.0314652, 0.0313916, 0.0313292, 0.0313289, 0.0312399, 0.0310656", \
-            "0.0323751, 0.0323085, 0.0324581, 0.0323235, 0.0321689, 0.0320096" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0210268;
-      rise_capacitance : 0.0210268;
-      rise_capacitance_range (0.0166976, 0.0210268);
-      fall_capacitance : 0.0207583;
-      fall_capacitance_range (0.0148395, 0.0207583);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0209502;
-      rise_capacitance : 0.0209502;
-      rise_capacitance_range (0.0159472, 0.0209502);
-      fall_capacitance : 0.0204951;
-      fall_capacitance_range (0.014751, 0.0204951);
-    }
-    pin (CI) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0160168;
-      rise_capacitance : 0.0160168;
-      rise_capacitance_range (0.0121431, 0.0160168);
-      fall_capacitance : 0.0154191;
-      fall_capacitance_range (0.0114398, 0.0154191);
-    }
-  }
-  cell (ADDFXL) {
-    area : 46.8864;
-    cell_footprint : "ADDF";
-    cell_leakage_power : 0.515244;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * CI * CO * !CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.279691;
-      when : "(A * B * CI * CO * !CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.371169;
-      when : "(A * B * !CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.556132;
-      when : "(A * !B * CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.544608;
-      when : "(A * !B * !CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * CI * CO * !CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.728687;
-      when : "(!A * B * CI * CO * !CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.728832;
-      when : "(!A * B * !CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * CI * !CO * CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.553197;
-      when : "(!A * !B * CI * !CO * CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !CI * !CO * CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.359636;
-      when : "(!A * !B * !CI * !CO * CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.515244;
-      related_pg_pin : VDD;
-    }
-    pin (CO) {
-      direction : output;
-      function : "(A * B) + (A * CI) + (B * CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.143304;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.127194, 0.160747, 0.22779, 0.365076, 0.647366, 1.23214", \
-            "0.131151, 0.164611, 0.231767, 0.369029, 0.651114, 1.23582", \
-            "0.139854, 0.17345, 0.240711, 0.378133, 0.660281, 1.24511", \
-            "0.158441, 0.192532, 0.260426, 0.398374, 0.680791, 1.26568", \
-            "0.187774, 0.226009, 0.298933, 0.440755, 0.725548, 1.31122", \
-            "0.214441, 0.261622, 0.349221, 0.512462, 0.81599, 1.4092" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0400449, 0.0613541, 0.10599, 0.197663, 0.387103, 0.78172", \
-            "0.040007, 0.0613395, 0.105874, 0.197537, 0.386786, 0.781617", \
-            "0.039995, 0.0613721, 0.105837, 0.197447, 0.386699, 0.781369", \
-            "0.0404914, 0.0615315, 0.105807, 0.197375, 0.386552, 0.781328", \
-            "0.0433867, 0.0641871, 0.107492, 0.197757, 0.386504, 0.781324", \
-            "0.0507774, 0.0714603, 0.114522, 0.203662, 0.388214, 0.781388" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.174268, 0.2152, 0.296786, 0.462757, 0.803487, 1.5098", \
-            "0.18009, 0.221025, 0.302693, 0.468877, 0.809657, 1.51619", \
-            "0.193442, 0.234325, 0.316052, 0.482341, 0.823722, 1.53021", \
-            "0.221585, 0.262457, 0.344219, 0.510557, 0.85152, 1.5588", \
-            "0.279502, 0.322415, 0.405435, 0.572708, 0.914186, 1.62132", \
-            "0.377351, 0.428726, 0.525132, 0.705037, 1.05162, 1.75991" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0401584, 0.0593488, 0.0984831, 0.177363, 0.339698, 0.675979", \
-            "0.0401217, 0.0593603, 0.0985634, 0.177401, 0.339002, 0.676006", \
-            "0.040082, 0.0594244, 0.098607, 0.177503, 0.339658, 0.676002", \
-            "0.0401161, 0.0593605, 0.0984932, 0.177397, 0.33997, 0.675941", \
-            "0.0423973, 0.0607316, 0.0990566, 0.177376, 0.339706, 0.675981", \
-            "0.0489941, 0.0683113, 0.105874, 0.18039, 0.340046, 0.675829" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0922055, 0.107981, 0.116102, 0.119291, 0.126282, 0.132613, 0.138663, 0.144715, 0.150758, 0.160792, 0.166508, 0.171422, 0.175564, 0.180619, 0.184804, 0.188995, 0.19399, 0.201349, 0.207692, 0.217535, 0.230933, 0.245127, 0.263657, 0.326929");
-            values ( \
-              "0.00345143, 0.0572156, 0.0800337, 0.0874029, 0.100082, 0.107078, 0.109601, 0.107278, 0.0959405, 0.0620319, 0.0468618, 0.0365174, 0.0293728, 0.0225866, 0.0178194, 0.0141721, 0.0107248, 0.00697558, 0.004905, 0.00322484, 0.0018554, 0.000885858, 0.000330186, 9.07635e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.10649, 0.113556, 0.13074, 0.143279, 0.153648, 0.163139, 0.172375, 0.181597, 0.185579, 0.18969, 0.20177, 0.210471, 0.219945, 0.223876, 0.23067, 0.238434, 0.244369, 0.251627, 0.257928, 0.270352, 0.277208, 0.286864, 0.298791, 0.312358, 0.326193");
-            values ( \
-              "0.0232926, 0.0399584, 0.0942777, 0.123751, 0.140026, 0.14742, 0.148971, 0.143325, 0.137578, 0.129372, 0.0972017, 0.0758738, 0.0564654, 0.049667, 0.0394163, 0.0299331, 0.024207, 0.0187412, 0.0150124, 0.00959747, 0.00744909, 0.00519178, 0.0032896, 0.00197313, 0.00121431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.119824, 0.178906, 0.189995, 0.207318, 0.214856, 0.229741, 0.239295, 0.255597, 0.268931, 0.307012, 0.327995, 0.345974, 0.370788, 0.39875, 0.430241, 0.464038, 0.474794");
-            values ( \
-              "0.000499588, 0.137518, 0.154912, 0.172795, 0.176981, 0.180416, 0.179066, 0.169533, 0.153389, 0.0906273, 0.0626421, 0.0441845, 0.026347, 0.0142191, 0.00693279, 0.00309231, 0.00280467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.161903, 0.199454, 0.236541, 0.247622, 0.269783, 0.289873, 0.302836, 0.31005, 0.316849, 0.330447, 0.344701, 0.351136, 0.359715, 0.376578, 0.403078, 0.415677, 0.432475, 0.441024, 0.489607, 0.529455, 0.546825, 0.565679, 0.590817, 0.606626, 0.625043, 0.644828, 0.672523, 0.683538, 0.705566, 0.749624, 0.83662, 0.938345");
-            values ( \
-              "0.00507702, 0.0582002, 0.120521, 0.136533, 0.1633, 0.180868, 0.189019, 0.192425, 0.194909, 0.198275, 0.200012, 0.200285, 0.200178, 0.198321, 0.190023, 0.182792, 0.169838, 0.16212, 0.114652, 0.0798438, 0.067158, 0.055155, 0.0418971, 0.0350774, 0.028399, 0.0225246, 0.016175, 0.0141703, 0.0108466, 0.00625351, 0.00188973, 0.000429467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.282792, 0.328651, 0.360076, 0.408674, 0.447126, 0.478699, 0.51274, 0.538499, 0.567588, 0.600746, 0.658569, 0.71657, 0.723238, 0.749913, 0.78536, 0.886752, 0.960913, 1.02995, 1.07704, 1.1267, 1.15454, 1.21021, 1.26303, 1.34327, 1.445, 1.54672, 1.75017");
-            values ( \
-              "0.0717135, 0.0746879, 0.105649, 0.147911, 0.173942, 0.189434, 0.201803, 0.208356, 0.213, 0.215199, 0.212126, 0.199842, 0.197626, 0.187501, 0.171069, 0.118293, 0.0839076, 0.0587089, 0.0452922, 0.0341152, 0.0290203, 0.0208026, 0.0151175, 0.00922845, 0.00482362, 0.00249489, 0.000663171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.500479, 0.596568, 0.673273, 0.743373, 0.791654, 0.823356, 0.857875, 0.887377, 0.946381, 1.01026, 1.11198, 1.12725, 1.15777, 1.21883, 1.24346, 1.29271, 1.36543, 1.46716, 1.74988, 1.81865, 1.90716, 1.96857, 2.04204, 2.1388, 2.16825, 2.22716, 2.30902, 2.41074, 2.50405, 2.55925, 2.66097, 2.7627, 2.86442, 2.96615, 3.06787, 3.1696, 3.47477");
-            values ( \
-              "0.0826777, 0.0845233, 0.123517, 0.154496, 0.172566, 0.182892, 0.192557, 0.199668, 0.210626, 0.218306, 0.223036, 0.22309, 0.222626, 0.220121, 0.218403, 0.213956, 0.204028, 0.183469, 0.110675, 0.094529, 0.0762137, 0.065119, 0.0534955, 0.0410084, 0.0376896, 0.0319322, 0.0251276, 0.0186604, 0.01408, 0.011986, 0.00874779, 0.00646181, 0.00467647, 0.00346942, 0.00248296, 0.00186318, 0.000683186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.110369, 0.125943, 0.137171, 0.144154, 0.150479, 0.156523, 0.162568, 0.168605, 0.180534, 0.189252, 0.202629, 0.211807, 0.225493, 0.248443, 0.253508");
-            values ( \
-              "0.0045534, 0.0575073, 0.0874821, 0.10019, 0.107183, 0.109726, 0.107382, 0.0960294, 0.056597, 0.0365368, 0.0178217, 0.0107464, 0.00492389, 0.00189512, 0.00165274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.118115, 0.156319, 0.161016, 0.171374, 0.180861, 0.188259, 0.193729, 0.199404, 0.208807, 0.222374, 0.238557, 0.252654, 0.266612, 0.273522, 0.287928, 0.30429, 0.316277, 0.331628");
-            values ( \
-              "1.90207e-05, 0.113875, 0.123672, 0.139736, 0.147417, 0.149012, 0.147565, 0.143153, 0.126346, 0.089861, 0.0548643, 0.0339599, 0.020608, 0.0161871, 0.00962027, 0.00527003, 0.00330882, 0.00216276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.140013, 0.18037, 0.200241, 0.208025, 0.223593, 0.228895, 0.235314, 0.241458, 0.252601, 0.265184, 0.273553, 0.281801, 0.326583, 0.348602, 0.362591, 0.375476, 0.392247, 0.402554, 0.416777, 0.43288, 0.454351, 0.491901, 0.506683");
-            values ( \
-              "0.000814177, 0.102739, 0.143472, 0.15517, 0.171673, 0.175135, 0.178145, 0.179882, 0.180324, 0.17605, 0.169496, 0.160697, 0.0881677, 0.0595846, 0.0453765, 0.034839, 0.0243946, 0.019501, 0.0141761, 0.00986028, 0.00602409, 0.00238855, 0.00186692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.18579, 0.217611, 0.256874, 0.282986, 0.303021, 0.320694, 0.334704, 0.348202, 0.361526, 0.37098, 0.38264, 0.394317, 0.417671, 0.425028, 0.439743, 0.458722, 0.51376, 0.557747, 0.596947, 0.636462, 0.657747, 0.687757, 0.719029, 0.742992, 0.79092, 0.856118");
-            values ( \
-              "0.0158833, 0.0587563, 0.124404, 0.158482, 0.177441, 0.189201, 0.195135, 0.198394, 0.200152, 0.200511, 0.199966, 0.198501, 0.191523, 0.187916, 0.178426, 0.162244, 0.108662, 0.0719473, 0.0476694, 0.030536, 0.023846, 0.0166365, 0.0114117, 0.00853418, 0.00464067, 0.00226784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.272491, 0.333977, 0.378234, 0.414578, 0.442893, 0.468418, 0.498987, 0.517389, 0.540048, 0.560594, 0.590513, 0.618739, 0.669362, 0.676544, 0.69091, 0.713078, 0.736561, 0.783525, 0.83844, 0.898815, 0.924297, 0.97526, 1.01219, 1.0524, 1.10602, 1.12599, 1.1596, 1.19802, 1.25752, 1.2826, 1.33276, 1.43308, 1.53481, 1.63653, 1.73826, 1.83998");
-            values ( \
-              "0.0184041, 0.0621014, 0.105747, 0.138295, 0.159915, 0.17585, 0.190494, 0.197565, 0.204543, 0.209281, 0.21366, 0.215309, 0.213036, 0.212156, 0.21002, 0.205553, 0.199052, 0.18059, 0.152861, 0.121167, 0.108528, 0.0853096, 0.0708025, 0.057215, 0.0424902, 0.0378933, 0.0312376, 0.0248861, 0.0173974, 0.0149219, 0.0109863, 0.00578222, 0.00302164, 0.00154675, 0.000816485, 0.000404974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.503776, 0.617596, 0.690097, 0.747095, 0.808636, 0.840009, 0.875141, 0.905102, 0.965025, 1.02797, 1.1297, 1.14494, 1.17543, 1.23641, 1.26113, 1.31058, 1.38309, 1.48481, 1.76753, 1.83628, 1.92477, 1.98617, 2.05963, 2.15639, 2.24476, 2.32661, 2.42833, 2.52163, 2.57682, 2.67855, 2.78027, 2.882, 2.98372, 3.18717, 3.49235");
-            values ( \
-              "0.0603877, 0.0860586, 0.122996, 0.148707, 0.172294, 0.182524, 0.192453, 0.199653, 0.21081, 0.218317, 0.223095, 0.223109, 0.222685, 0.220147, 0.218459, 0.213951, 0.204075, 0.183469, 0.11066, 0.0945471, 0.0762007, 0.0651086, 0.053514, 0.0409955, 0.0319185, 0.0251426, 0.0186465, 0.0140936, 0.0119739, 0.0087609, 0.00644948, 0.0046891, 0.00345707, 0.00185093, 0.000695142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.158225, 0.167993, 0.169546, 0.171617, 0.175759, 0.17765, 0.179541, 0.181761, 0.183981, 0.185563, 0.187144, 0.188725, 0.190307, 0.191821, 0.193335, 0.194849, 0.196363, 0.197875, 0.199387, 0.200898, 0.20241, 0.203919, 0.205428, 0.206937, 0.208447, 0.208877, 0.209737, 0.214897, 0.218508, 0.220471, 0.223297, 0.224239, 0.225458, 0.227897, 0.229796, 0.231154, 0.233192, 0.235082, 0.236971, 0.239571, 0.242171, 0.243855, 0.245539, 0.247223, 0.250591, 0.253959, 0.255426, 0.258362, 0.260664, 0.263168");
-            values ( \
-              "0.0629048, 0.0644246, 0.068884, 0.0744891, 0.0847198, 0.0891684, 0.0933353, 0.0975355, 0.101164, 0.1034, 0.105345, 0.107001, 0.108366, 0.108723, 0.108862, 0.108783, 0.108486, 0.107972, 0.10724, 0.106291, 0.105124, 0.103317, 0.101123, 0.0985417, 0.0955742, 0.0943327, 0.0914089, 0.0733298, 0.0617619, 0.0558811, 0.0486942, 0.0464907, 0.0439337, 0.0389963, 0.035316, 0.0327919, 0.0292602, 0.0265864, 0.0240874, 0.0209739, 0.0181128, 0.0163945, 0.0148532, 0.0134521, 0.0112005, 0.00924883, 0.00851058, 0.00716795, 0.00623978, 0.00535498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.161538, 0.171675, 0.200451, 0.21084, 0.220341, 0.229579, 0.2388, 0.245672, 0.279563, 0.293045, 0.305367, 0.325708, 0.347605, 0.353745");
-            values ( \
-              "0.0209419, 0.042145, 0.12335, 0.139754, 0.147406, 0.148965, 0.143443, 0.132124, 0.0521685, 0.0328559, 0.0211657, 0.0102492, 0.00453231, 0.00402303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.203062, 0.226261, 0.232293, 0.237118, 0.242605, 0.245348, 0.248091, 0.252417, 0.256744, 0.26107, 0.265396, 0.26801, 0.270664, 0.273319, 0.275974, 0.27736, 0.278745, 0.281517, 0.284288, 0.287059, 0.28983, 0.293782, 0.297324, 0.299283, 0.301243, 0.305161, 0.309377, 0.313593, 0.317714, 0.321835, 0.326672, 0.331508, 0.356185, 0.366567, 0.375295, 0.383534, 0.38862, 0.3933, 0.39798, 0.40266, 0.40594, 0.4125, 0.415781, 0.421629, 0.428973, 0.432071, 0.434655, 0.442407, 0.453131, 0.462134");
-            values ( \
-              "0.116335, 0.117106, 0.130391, 0.139889, 0.147981, 0.15173, 0.155282, 0.160483, 0.165192, 0.169409, 0.173136, 0.174844, 0.176423, 0.177791, 0.178945, 0.17944, 0.179866, 0.180516, 0.180579, 0.180503, 0.180288, 0.179738, 0.179004, 0.1783, 0.177457, 0.17536, 0.172487, 0.168977, 0.164684, 0.159722, 0.152776, 0.145448, 0.104259, 0.0878928, 0.0758895, 0.0655281, 0.0594257, 0.054054, 0.0494531, 0.0451438, 0.0423007, 0.0370375, 0.0346175, 0.0306014, 0.0259551, 0.0241918, 0.0229202, 0.0194094, 0.0153789, 0.0123261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.237944, 0.258248, 0.294063, 0.305793, 0.328847, 0.343184, 0.358185, 0.37488, 0.385392, 0.40482, 0.419634, 0.434395, 0.45955, 0.476248, 0.498781, 0.554594, 0.598118, 0.635146, 0.658244, 0.677464, 0.698401, 0.728138, 0.758421, 0.781519, 0.827715, 0.893094");
-            values ( \
-              "0.0569322, 0.0600876, 0.120338, 0.137219, 0.164903, 0.17764, 0.18808, 0.195477, 0.198128, 0.200507, 0.200329, 0.198625, 0.190825, 0.181075, 0.162359, 0.107973, 0.0717413, 0.0486543, 0.0376058, 0.0302041, 0.0236759, 0.0165726, 0.0115053, 0.00869307, 0.00484274, 0.00234403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.327892, 0.373498, 0.449265, 0.486155, 0.517702, 0.546171, 0.581537, 0.600268, 0.630147, 0.658402, 0.716195, 0.752718, 0.776198, 0.823157, 1.01486, 1.09198, 1.14559, 1.19919, 1.23762, 1.32216, 1.37229, 1.47255, 1.57428, 1.60099");
-            values ( \
-              "0.0466897, 0.0618774, 0.134131, 0.162398, 0.180955, 0.193551, 0.205047, 0.209322, 0.21369, 0.215357, 0.212206, 0.2056, 0.199094, 0.180623, 0.0853218, 0.0572289, 0.0424936, 0.0312334, 0.0248911, 0.0149307, 0.0109834, 0.00579218, 0.003017, 0.00263277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.523515, 0.616777, 0.717379, 0.776456, 0.834663, 0.867988, 0.905063, 0.945366, 0.999731, 1.06818, 1.1699, 1.1851, 1.21549, 1.27627, 1.30125, 1.3512, 1.42318, 1.5249, 1.8076, 1.90933, 1.97052, 2.06426, 2.16599, 2.22503, 2.29293, 2.37461, 2.47634, 2.53448, 2.6362, 2.73793, 2.83965, 2.94138, 3.0431, 3.24655, 3.55173");
-            values ( \
-              "0.0457991, 0.0648993, 0.116852, 0.144338, 0.167441, 0.178874, 0.189879, 0.19983, 0.210087, 0.218442, 0.223182, 0.223196, 0.222766, 0.220229, 0.218522, 0.213947, 0.204118, 0.18349, 0.110653, 0.0873499, 0.0751047, 0.0588618, 0.0446113, 0.0378215, 0.0311753, 0.0245804, 0.0182021, 0.0152981, 0.011265, 0.00825701, 0.00605777, 0.00442197, 0.00324051, 0.00172929, 0.000664848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.233954, 0.241736, 0.257033, 0.265594, 0.270284, 0.276733, 0.28285, 0.288941, 0.29503, 0.301347, 0.307312, 0.311009, 0.319703, 0.328787, 0.333948, 0.34083, 0.346581, 0.356391, 0.381668, 0.400332");
-            values ( \
-              "0.0105157, 0.0232121, 0.0691356, 0.0896019, 0.0979635, 0.105654, 0.108633, 0.106691, 0.0955855, 0.0735763, 0.0555764, 0.0462962, 0.0296338, 0.0181522, 0.0136753, 0.00925151, 0.00663162, 0.00394963, 0.00136462, 0.000601912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.248863, 0.258379, 0.275032, 0.287724, 0.296976, 0.300553, 0.307702, 0.314635, 0.321548, 0.326287, 0.335722, 0.349273, 0.365457, 0.379485, 0.393542, 0.400262, 0.414802, 0.431424, 0.44328, 0.46192");
-            values ( \
-              "0.0180909, 0.0393671, 0.0916278, 0.122323, 0.137463, 0.141511, 0.14686, 0.148607, 0.146785, 0.142926, 0.126212, 0.0898374, 0.0548669, 0.0340453, 0.0206083, 0.0162875, 0.00963764, 0.00521966, 0.00329935, 0.00190521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.275904, 0.289085, 0.303776, 0.315111, 0.327811, 0.33571, 0.351507, 0.356066, 0.362145, 0.369112, 0.379794, 0.384917, 0.392703, 0.401187, 0.409428, 0.419102, 0.44388, 0.463051, 0.476211, 0.489954, 0.502382, 0.51444, 0.53112, 0.544844, 0.556819, 0.572784, 0.600297, 0.635815");
-            values ( \
-              "0.0321218, 0.0543043, 0.0924614, 0.119124, 0.143554, 0.155424, 0.17203, 0.175015, 0.177969, 0.180019, 0.180488, 0.179181, 0.176191, 0.169587, 0.160752, 0.145919, 0.104151, 0.0757926, 0.0595945, 0.0456024, 0.0353692, 0.0274483, 0.0191044, 0.014031, 0.0107178, 0.00747439, 0.00387651, 0.00172494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.319067, 0.347154, 0.380951, 0.394328, 0.417529, 0.431644, 0.4466, 0.463316, 0.475574, 0.493222, 0.508033, 0.5228, 0.547863, 0.564815, 0.587175, 0.643135, 0.686569, 0.723038, 0.746497, 0.766085, 0.786933, 0.816601, 0.829475, 0.855222, 0.906717, 0.981738");
-            values ( \
-              "0.0233975, 0.0612018, 0.118232, 0.137675, 0.165354, 0.177879, 0.188207, 0.195595, 0.198573, 0.200588, 0.200387, 0.198684, 0.190894, 0.180976, 0.16238, 0.107834, 0.0716963, 0.0489176, 0.037662, 0.0301222, 0.023633, 0.0165575, 0.0142047, 0.0103637, 0.00542065, 0.00211763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.414016, 0.463267, 0.528989, 0.56272, 0.575916, 0.598291, 0.627677, 0.646425, 0.668188, 0.68925, 0.719159, 0.747362, 0.79678, 0.805123, 0.821807, 0.855177, 0.865153, 0.885104, 0.925008, 1.04402, 1.08154, 1.12905, 1.16569, 1.21454, 1.24913, 1.2767, 1.31345, 1.38008, 1.41049, 1.45611, 1.51693, 1.61866, 1.72038, 1.82211, 1.92383");
-            values ( \
-              "0.037654, 0.0629175, 0.12635, 0.153729, 0.163106, 0.176703, 0.1907, 0.197822, 0.204584, 0.209389, 0.213817, 0.215389, 0.213285, 0.21221, 0.209738, 0.20211, 0.199137, 0.19204, 0.174443, 0.112797, 0.0950486, 0.0751654, 0.0620872, 0.047532, 0.0390799, 0.0333525, 0.0269025, 0.0179852, 0.0149627, 0.0113244, 0.00776592, 0.00403806, 0.002099, 0.00107956, 0.000563274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.643512, 0.75989, 0.825095, 0.890331, 0.93764, 0.969305, 1.00431, 1.03417, 1.09389, 1.15695, 1.25867, 1.27386, 1.30423, 1.36497, 1.39, 1.44007, 1.51192, 1.61365, 1.89634, 1.99807, 2.05925, 2.15296, 2.25469, 2.31374, 2.38166, 2.46333, 2.56505, 2.62317, 2.7249, 2.82662, 2.92835, 3.03007, 3.1318, 3.33525, 3.64042");
-            values ( \
-              "0.0691911, 0.0932692, 0.126099, 0.154757, 0.172495, 0.182805, 0.192675, 0.199834, 0.210939, 0.21844, 0.223196, 0.2232, 0.222782, 0.220238, 0.21854, 0.213941, 0.204135, 0.183493, 0.110651, 0.0873546, 0.0751029, 0.0588698, 0.0446112, 0.0378204, 0.0311728, 0.0245829, 0.0181999, 0.0153009, 0.0112635, 0.00825922, 0.00605601, 0.00442386, 0.00323872, 0.00172755, 0.000666365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.405001, 0.418807, 0.437775, 0.448072, 0.45149, 0.456264, 0.462868, 0.469365, 0.475855, 0.48772, 0.491703, 0.499678, 0.504969, 0.510292, 0.515213, 0.521774, 0.531184, 0.537861, 0.55509, 0.570894, 0.578764");
-            values ( \
-              "0.00303598, 0.0245521, 0.0679653, 0.0868906, 0.0917479, 0.0970574, 0.101211, 0.100786, 0.0918344, 0.0560388, 0.0463347, 0.0313503, 0.0238517, 0.0179547, 0.0137665, 0.00955413, 0.00566909, 0.00409155, 0.00168031, 0.000835577, 0.000642593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.425214, 0.436458, 0.455932, 0.470037, 0.481341, 0.491481, 0.501164, 0.510849, 0.520556, 0.53423, 0.55023, 0.560235, 0.567406, 0.577295, 0.582997, 0.59817, 0.61268, 0.623907, 0.638753");
-            values ( \
-              "0.0161496, 0.0324678, 0.0809806, 0.111954, 0.129869, 0.139543, 0.142689, 0.139096, 0.123971, 0.0890051, 0.0553397, 0.0395967, 0.0308387, 0.0218406, 0.0179051, 0.0104281, 0.00611417, 0.00399855, 0.00263206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.471961, 0.493854, 0.501943, 0.50896, 0.512468, 0.515977, 0.517936, 0.521854, 0.527731, 0.53165, 0.535568, 0.539486, 0.541774, 0.544062, 0.546349, 0.550461, 0.55244, 0.554419, 0.557301, 0.560853, 0.563445, 0.565351, 0.569163, 0.574333, 0.577596, 0.58086, 0.58582, 0.59078, 0.594041, 0.597301, 0.600562, 0.603823, 0.608851, 0.629596, 0.636753, 0.64212, 0.649063, 0.657335, 0.667405, 0.671517, 0.673979, 0.681366, 0.68784, 0.698234, 0.704114, 0.706074, 0.713915, 0.721755, 0.730958, 0.738549");
-            values ( \
-              "0.0919382, 0.0934557, 0.111051, 0.124949, 0.131423, 0.13758, 0.140556, 0.146189, 0.15384, 0.158409, 0.162553, 0.166271, 0.168161, 0.169485, 0.171069, 0.174566, 0.174824, 0.175239, 0.176224, 0.177073, 0.177437, 0.17748, 0.177333, 0.176633, 0.175562, 0.174065, 0.170891, 0.166902, 0.163836, 0.160417, 0.156646, 0.152522, 0.145203, 0.11049, 0.0987727, 0.0904458, 0.0806634, 0.0699424, 0.0577522, 0.0531766, 0.0507637, 0.0439812, 0.0387341, 0.0308454, 0.0270911, 0.0259423, 0.022034, 0.0185987, 0.0152312, 0.0126667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.505117, 0.537598, 0.567025, 0.586468, 0.601989, 0.614717, 0.624185, 0.636482, 0.646308, 0.656005, 0.668324, 0.681354, 0.695418, 0.715759, 0.739303, 0.746434, 0.760698, 0.780125, 0.835792, 0.853127, 0.879365, 0.900628, 0.917131, 0.939741, 0.958469, 0.97952, 1.00934, 1.03995, 1.06332, 1.11008, 1.19838, 1.30011");
-            values ( \
-              "0.0166253, 0.0565405, 0.105456, 0.135004, 0.154856, 0.168095, 0.176526, 0.185319, 0.19076, 0.194617, 0.197845, 0.199697, 0.200249, 0.19838, 0.191422, 0.187878, 0.178786, 0.162247, 0.108093, 0.09259, 0.0718027, 0.0576747, 0.0483017, 0.037543, 0.0303253, 0.0237305, 0.016603, 0.0114825, 0.00863785, 0.00478232, 0.00141439, 0.000313875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.609232, 0.659511, 0.703034, 0.733488, 0.770016, 0.787983, 0.817727, 0.834427, 0.853098, 0.878064, 0.898341, 0.920966, 0.942886, 0.986726, 1.00057, 1.02826, 1.06064, 1.10594, 1.12726, 1.22287, 1.24839, 1.29943, 1.3362, 1.37624, 1.42962, 1.4499, 1.48402, 1.52301, 1.58183, 1.60658, 1.65608, 1.75509, 1.85681, 1.95854, 2.06026, 2.16199");
-            values ( \
-              "0.0346319, 0.0638687, 0.106795, 0.134316, 0.162317, 0.173596, 0.188468, 0.195258, 0.201605, 0.208128, 0.211819, 0.214385, 0.215444, 0.213799, 0.212235, 0.207613, 0.199137, 0.181395, 0.171065, 0.121185, 0.108518, 0.0852629, 0.0708172, 0.0572791, 0.042589, 0.0379229, 0.0311629, 0.0247439, 0.0173631, 0.014928, 0.0110299, 0.00586256, 0.0030584, 0.00157057, 0.000823738, 0.000413541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.834181, 0.953026, 1.01866, 1.08643, 1.13275, 1.16308, 1.1994, 1.2303, 1.29028, 1.35308, 1.45481, 1.50036, 1.5611, 1.58613, 1.6362, 1.70805, 1.80978, 1.84376, 2.09247, 2.19419, 2.25537, 2.29553, 2.34908, 2.45081, 2.50986, 2.57778, 2.65945, 2.76117, 2.81929, 2.92101, 3.02274, 3.12446, 3.22619, 3.32791, 3.53136, 3.83654");
-            values ( \
-              "0.0628815, 0.0916657, 0.124839, 0.154727, 0.172129, 0.182061, 0.192401, 0.199817, 0.21098, 0.218431, 0.223204, 0.222791, 0.220234, 0.218549, 0.213938, 0.204145, 0.18349, 0.175197, 0.110647, 0.0873593, 0.0750998, 0.067771, 0.0588745, 0.0446096, 0.0378191, 0.0311713, 0.0245844, 0.0181986, 0.0153025, 0.0112625, 0.00826045, 0.00605503, 0.00442488, 0.0032378, 0.00172673, 0.000666991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.717723, 0.75492, 0.759268, 0.762032, 0.76756, 0.771083, 0.789881, 0.796212, 0.806294, 0.814932, 0.822767, 0.83029, 0.837793, 0.851989, 0.858628, 0.861561, 0.868466, 0.874239, 0.879577, 0.886695, 0.893148, 0.896794, 0.902861, 0.915248, 0.922552");
-            values ( \
-              "0.00125552, 0.00576161, 0.00890612, 0.0111648, 0.0166052, 0.0209499, 0.0498735, 0.0585417, 0.0713886, 0.0805025, 0.0863497, 0.0883844, 0.0832975, 0.0488981, 0.036192, 0.0315757, 0.0226341, 0.0168755, 0.012825, 0.0087825, 0.00623536, 0.00522149, 0.00409463, 0.00247646, 0.00183814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.769707, 0.78854, 0.795729, 0.823026, 0.83352, 0.847068, 0.858785, 0.869661, 0.88032, 0.890962, 0.909154, 0.923639, 0.937089, 0.950143, 0.957413, 0.970909, 0.980265, 0.990958, 1.00385, 1.01793");
-            values ( \
-              "0.00897677, 0.0200473, 0.0288917, 0.0749048, 0.0915519, 0.110519, 0.122478, 0.12851, 0.12826, 0.117052, 0.0768078, 0.0501133, 0.0322815, 0.0207294, 0.0161294, 0.00999837, 0.00712621, 0.00479263, 0.00297052, 0.00180418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.797269, 0.836489, 0.844211, 0.852534, 0.875655, 0.89334, 0.901618, 0.918173, 0.929392, 0.939993, 0.9489, 0.957229, 0.96528, 0.974528, 0.986379, 0.993201, 1.02923, 1.04899, 1.06493, 1.07728, 1.08571, 1.0976, 1.11217, 1.12155, 1.1303, 1.14196, 1.16343, 1.19918, 1.20689");
-            values ( \
-              "0.000184218, 0.0288759, 0.0390884, 0.0517898, 0.0899594, 0.117172, 0.128817, 0.147717, 0.156958, 0.16302, 0.165946, 0.166602, 0.165586, 0.16124, 0.150928, 0.141499, 0.0867014, 0.0616151, 0.0455175, 0.0354705, 0.0297737, 0.0231229, 0.0168304, 0.013657, 0.0112407, 0.00866806, 0.00527241, 0.0022552, 0.00194096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.8931, 0.924143, 0.982547, 1.0308, 1.05063, 1.07246, 1.08947, 1.10853, 1.14311, 1.1672, 1.28678, 1.32143, 1.36629, 1.41823, 1.49249, 1.5369");
-            values ( \
-              "0.0327004, 0.0438424, 0.119516, 0.168675, 0.181343, 0.189619, 0.193059, 0.194315, 0.188609, 0.17581, 0.0707363, 0.0492216, 0.0297492, 0.0160896, 0.00645412, 0.0041167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.03262, 1.08696, 1.17066, 1.20861, 1.23422, 1.26501, 1.28663, 1.30696, 1.33715, 1.36546, 1.41459, 1.44114, 1.47654, 1.4972, 1.52189, 1.55017, 1.68146, 1.7369, 1.78317, 1.81453, 1.86378, 1.90676, 1.96406, 2.0095, 2.05952, 2.12621, 2.22793, 2.32966, 2.53311");
-            values ( \
-              "0.0563771, 0.0693974, 0.142799, 0.169081, 0.182526, 0.195554, 0.202549, 0.20757, 0.212407, 0.214373, 0.212662, 0.20905, 0.200989, 0.194231, 0.184281, 0.170984, 0.103683, 0.0793306, 0.0624515, 0.0527229, 0.0399638, 0.0311942, 0.0222007, 0.0168621, 0.0124354, 0.00822335, 0.00427585, 0.00222567, 0.000598577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.26501, 1.35636, 1.43805, 1.50334, 1.5642, 1.59517, 1.63074, 1.66106, 1.72001, 1.78387, 1.8856, 1.9008, 1.9312, 1.99201, 2.01695, 2.06682, 2.13887, 2.2406, 2.27458, 2.52328, 2.62501, 2.68619, 2.72636, 2.77991, 2.88163, 2.94068, 3.00859, 3.09026, 3.19198, 3.2501, 3.35183, 3.45355, 3.55528, 3.657, 3.75873, 3.96218, 4.26735");
-            values ( \
-              "0.0738291, 0.077183, 0.119183, 0.148946, 0.172268, 0.182384, 0.192469, 0.199748, 0.210762, 0.218395, 0.223178, 0.223175, 0.22277, 0.220217, 0.218536, 0.213953, 0.204139, 0.183489, 0.175196, 0.110646, 0.0873634, 0.0750974, 0.0677666, 0.0588782, 0.0446044, 0.0378138, 0.0311666, 0.0245912, 0.0181931, 0.0153089, 0.0112564, 0.00826685, 0.00604876, 0.00443132, 0.00323139, 0.00172021, 0.000673629" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0125363, 0.01255, 0.0125654, 0.0125788, 0.0125882, 0.0125937", \
-            "0.0167199, 0.0167337, 0.016751, 0.0167679, 0.0167806, 0.0167885", \
-            "0.0197988, 0.0198098, 0.019826, 0.0198444, 0.01986, 0.0198706", \
-            "0.0214866, 0.0214891, 0.0214954, 0.0215062, 0.0215183, 0.021528", \
-            "0.0223001, 0.0222843, 0.0222643, 0.0222469, 0.0222367, 0.0222327", \
-            "0.0227839, 0.0227586, 0.0227188, 0.0226668, 0.0226158, 0.022579" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0206655, 0.020682, 0.0207032, 0.0207238, 0.0207397, 0.0207497", \
-            "0.0227402, 0.0227304, 0.0227259, 0.0227287, 0.0227347, 0.0227401", \
-            "0.0215355, 0.0214678, 0.0213892, 0.0213111, 0.0212517, 0.0212149", \
-            "0.0204199, 0.0203025, 0.0201543, 0.0200082, 0.0198708, 0.0197721", \
-            "0.0206589, 0.0203809, 0.0200263, 0.0197005, 0.0194188, 0.0192152", \
-            "0.0200066, 0.0200543, 0.0201514, 0.0201087, 0.01959, 0.0192117" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.13181, 0.140899, 0.141275, 0.141776, 0.143278, 0.14478, 0.145991, 0.147203, 0.150722, 0.154237, 0.15775, 0.160272, 0.162974, 0.166351, 0.170405, 0.174204, 0.175977, 0.179522, 0.18277, 0.186018, 0.187552, 0.189087, 0.190621, 0.192155, 0.193355, 0.194555, 0.195755, 0.196955, 0.198161, 0.198764, 0.199971, 0.201498, 0.202422, 0.203346, 0.20427, 0.204808, 0.205346, 0.207068, 0.212873, 0.214695, 0.216303, 0.218222, 0.219794, 0.221123, 0.221883, 0.223207, 0.224531, 0.226426, 0.228321, 0.229937");
-            values ( \
-              "-0.00289754, -0.0259752, -0.0263889, -0.0271023, -0.0296175, -0.0324884, -0.035127, -0.0379036, -0.0470852, -0.0554233, -0.0626002, -0.0674443, -0.0723894, -0.0782561, -0.0848473, -0.0905862, -0.0931183, -0.0979051, -0.101812, -0.105313, -0.106589, -0.107684, -0.108598, -0.10933, -0.109559, -0.109607, -0.109472, -0.109155, -0.108536, -0.107789, -0.106026, -0.103279, -0.101337, -0.0991842, -0.0968208, -0.095112, -0.0930576, -0.085666, -0.0587121, -0.050572, -0.0443558, -0.0376472, -0.0325262, -0.0284936, -0.0263792, -0.0232992, -0.0204997, -0.0170231, -0.014037, -0.0118602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.147374, 0.161344, 0.170167, 0.176886, 0.186935, 0.19493, 0.206778, 0.221301, 0.232175, 0.235817, 0.239393, 0.244751, 0.250372, 0.254288, 0.267275, 0.274837, 0.283715, 0.29383, 0.299525, 0.307118, 0.318, 0.329518, 0.34426, 0.376946");
-            values ( \
-              "-0.00126019, -0.0379032, -0.0556801, -0.0723492, -0.0924007, -0.106053, -0.124725, -0.142375, -0.151416, -0.15295, -0.153536, -0.151187, -0.142845, -0.131844, -0.0787009, -0.0525731, -0.0310464, -0.0162051, -0.0111404, -0.00669461, -0.00314917, -0.00156266, -0.000661343, -0.000202744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.182797, 0.230625, 0.249451, 0.268351, 0.284074, 0.292474, 0.300574, 0.308278, 0.319515, 0.323414, 0.330898, 0.338394, 0.353385, 0.375917, 0.387389, 0.400803, 0.407855, 0.417812, 0.428326, 0.436418, 0.452601, 0.479751, 0.507622, 0.537704");
-            values ( \
-              "-0.014676, -0.0985498, -0.126457, -0.150628, -0.167397, -0.174743, -0.180663, -0.185188, -0.189884, -0.190762, -0.191, -0.187932, -0.165209, -0.0976315, -0.0688646, -0.043978, -0.034328, -0.0238955, -0.0162184, -0.011978, -0.00638297, -0.00208714, -0.000703858, -0.000267733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.244037, 0.2643, 0.279115, 0.286304, 0.29589, 0.326066, 0.332969, 0.337769, 0.348894, 0.361984, 0.376391, 0.380942, 0.390046, 0.402747, 0.415735, 0.430829, 0.446521, 0.46154, 0.474905, 0.495775, 0.502022, 0.514516, 0.528917, 0.55594, 0.563301, 0.573115, 0.592577, 0.598329, 0.60669, 0.616245, 0.632429, 0.637068, 0.646346, 0.664902, 0.678659, 0.683723, 0.693853, 0.714112, 0.75463, 0.762717");
-            values ( \
-              "-0.0199299, -0.035302, -0.0494462, -0.0571647, -0.0680555, -0.104089, -0.111165, -0.116466, -0.127767, -0.140496, -0.153431, -0.157327, -0.164746, -0.174306, -0.183284, -0.193008, -0.202163, -0.209753, -0.215341, -0.22121, -0.221996, -0.221945, -0.217618, -0.188176, -0.174496, -0.155023, -0.118135, -0.108056, -0.0946458, -0.0807738, -0.0608954, -0.056056, -0.0473264, -0.033379, -0.0256093, -0.0232427, -0.0190543, -0.0127491, -0.00540877, -0.00484103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.370978, 0.44329, 0.526657, 0.567599, 0.658212, 0.702258, 0.760643, 0.814789, 0.86668, 0.918586, 0.970502, 1.04493, 1.08469, 1.12841, 1.16807, 1.22136, 1.25002, 1.28278, 1.34829, 1.4501, 1.55191");
-            values ( \
-              "-0.0147598, -0.0537237, -0.109787, -0.134644, -0.18184, -0.201257, -0.222043, -0.2355, -0.241544, -0.235436, -0.201029, -0.122767, -0.0888502, -0.0604154, -0.0418586, -0.02502, -0.0189035, -0.013638, -0.00691807, -0.00222034, -0.000720657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.677035, 0.779816, 0.941496, 1.0518, 1.16603, 1.29726, 1.39907, 1.44223, 1.52102, 1.56349, 1.62448, 1.72629, 1.75778, 1.79137, 1.84211, 1.9885, 2.04368, 2.11726, 2.14884, 2.2078, 2.27519, 2.32917, 2.38347, 2.45587, 2.55768, 2.65949, 2.7613, 2.96492");
-            values ( \
-              "-0.0463602, -0.054085, -0.111725, -0.147232, -0.180229, -0.211634, -0.230558, -0.237015, -0.246144, -0.24947, -0.251712, -0.245025, -0.238027, -0.226474, -0.201759, -0.123139, -0.098791, -0.0721716, -0.0627963, -0.0481288, -0.0351524, -0.0272178, -0.0209913, -0.0147436, -0.00887508, -0.00531541, -0.00317661, -0.00113038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.156303, 0.16314, 0.165172, 0.166949, 0.168728, 0.170505, 0.173092, 0.173955, 0.17715, 0.180343, 0.185843, 0.19172, 0.19507, 0.196543, 0.199172, 0.203119, 0.206278, 0.208121, 0.209039, 0.210876, 0.211795, 0.212555, 0.213317, 0.214077, 0.215576, 0.216314, 0.217791, 0.219127, 0.220463, 0.221797, 0.223134, 0.223386, 0.224147, 0.226175, 0.228389, 0.232542, 0.234025, 0.23625, 0.238154, 0.241134, 0.243559, 0.244595, 0.246666, 0.247702, 0.249641");
-            values ( \
-              "-0.0282175, -0.0301197, -0.0340369, -0.0380536, -0.0430056, -0.0476329, -0.0537583, -0.0556836, -0.0621183, -0.0681326, -0.0775777, -0.0870419, -0.0920204, -0.0940913, -0.0974622, -0.101958, -0.105299, -0.106932, -0.107643, -0.108861, -0.109367, -0.109529, -0.109573, -0.1095, -0.109013, -0.108605, -0.107458, -0.105952, -0.104029, -0.101689, -0.098933, -0.0982559, -0.0960767, -0.0880038, -0.0780846, -0.0584519, -0.0518452, -0.0432468, -0.0367077, -0.027405, -0.0217628, -0.0196981, -0.0160196, -0.0144057, -0.0117523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.171785, 0.18048, 0.189981, 0.199113, 0.200078, 0.2128, 0.218733, 0.226615, 0.237029, 0.241774, 0.246611, 0.249962, 0.252868, 0.255707, 0.259381, 0.263702, 0.264618, 0.266449, 0.270087, 0.274156, 0.287145, 0.294719, 0.298659, 0.303575, 0.31013, 0.312745, 0.315613, 0.319438, 0.327088, 0.337944, 0.349486, 0.351");
-            values ( \
-              "-0.0246906, -0.0360597, -0.0554528, -0.0776576, -0.078832, -0.10287, -0.11268, -0.124365, -0.137765, -0.142908, -0.147417, -0.150058, -0.151732, -0.15298, -0.15342, -0.151968, -0.151094, -0.149252, -0.143089, -0.131879, -0.0786413, -0.0524844, -0.0417758, -0.0310154, -0.0204684, -0.0172296, -0.0142901, -0.011161, -0.00659912, -0.00318609, -0.00150349, -0.00142187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.2025, 0.220758, 0.224371, 0.227984, 0.239135, 0.250286, 0.258656, 0.267981, 0.277301, 0.281073, 0.285659, 0.289171, 0.294689, 0.301013, 0.306446, 0.311509, 0.314461, 0.318397, 0.322351, 0.326323, 0.331111, 0.333914, 0.336718, 0.339521, 0.34247, 0.345325, 0.349069, 0.350941, 0.352815, 0.35469, 0.356564, 0.358439, 0.362188, 0.365937, 0.369686, 0.373435, 0.374669, 0.377138, 0.39195, 0.398432, 0.401713, 0.407446, 0.412998, 0.416852, 0.420859, 0.422622, 0.427912, 0.432891, 0.43787, 0.441811");
-            values ( \
-              "-0.0159292, -0.0465563, -0.0521273, -0.0580829, -0.0782087, -0.0979211, -0.110837, -0.124266, -0.13671, -0.141554, -0.147187, -0.151422, -0.157725, -0.164324, -0.169501, -0.174029, -0.176476, -0.179426, -0.182018, -0.184306, -0.186716, -0.187949, -0.189051, -0.190023, -0.190355, -0.190469, -0.190306, -0.190093, -0.189175, -0.188036, -0.186678, -0.185099, -0.181281, -0.176581, -0.171001, -0.16454, -0.161851, -0.154758, -0.109177, -0.0906325, -0.0818031, -0.068651, -0.0574232, -0.0501353, -0.0433127, -0.0408306, -0.034033, -0.0285838, -0.0238757, -0.0206567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.267302, 0.300484, 0.362278, 0.385907, 0.409359, 0.45, 0.48072, 0.494086, 0.515021, 0.53358, 0.548106, 0.57513, 0.61177, 0.635433, 0.656261, 0.6841, 0.702917, 0.733298, 0.773806, 0.827537, 0.888244");
-            values ( \
-              "-0.0199265, -0.0516593, -0.121759, -0.144762, -0.16477, -0.192972, -0.209735, -0.215286, -0.221214, -0.221959, -0.217578, -0.188189, -0.118154, -0.0807513, -0.0560288, -0.0333487, -0.0232159, -0.0127244, -0.0054376, -0.00161133, -0.000443524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.419571, 0.485973, 0.547383, 0.602531, 0.661831, 0.7225, 0.780783, 0.8205, 0.861307, 0.886663, 0.938148, 0.95153, 0.965345, 0.995055, 1.06733, 1.09442, 1.13053, 1.15026, 1.17513, 1.20828, 1.23471, 1.26131, 1.29677, 1.3677, 1.46951, 1.57132");
-            values ( \
-              "-0.0482297, -0.0682557, -0.110088, -0.142903, -0.173801, -0.201263, -0.222014, -0.232464, -0.239506, -0.24142, -0.235509, -0.230225, -0.222155, -0.195731, -0.120017, -0.0964241, -0.0705277, -0.0590851, -0.046999, -0.0343036, -0.0265732, -0.0204996, -0.0144039, -0.00687578, -0.00220736, -0.000716453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.717201, 0.829505, 0.96213, 1.10156, 1.18648, 1.25406, 1.31773, 1.41954, 1.46272, 1.54149, 1.5839, 1.64496, 1.74677, 1.77829, 1.81191, 1.86259, 2.00899, 2.06416, 2.13773, 2.16932, 2.22829, 2.29569, 2.34966, 2.40395, 2.47633, 2.57814, 2.67995, 2.78176, 2.98538");
-            values ( \
-              "-0.0586524, -0.0642094, -0.111743, -0.156117, -0.180193, -0.197316, -0.211604, -0.230564, -0.237023, -0.246152, -0.249444, -0.251722, -0.245006, -0.238017, -0.226448, -0.201757, -0.123139, -0.0987922, -0.0721735, -0.0627971, -0.0481262, -0.035149, -0.0272165, -0.0209916, -0.0147459, -0.00887588, -0.00531649, -0.00317668, -0.00113017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.194945, 0.204797, 0.209203, 0.218043, 0.228096, 0.236179, 0.243255, 0.251485, 0.254965, 0.257737, 0.261124, 0.263361, 0.268188, 0.279877, 0.286016, 0.292577, 0.295796, 0.300089, 0.308674, 0.317568, 0.335696, 0.395378");
-            values ( \
-              "-0.000603861, -0.0260035, -0.0336553, -0.0555053, -0.0745046, -0.0876117, -0.0973891, -0.106071, -0.108483, -0.109581, -0.109319, -0.107286, -0.0962536, -0.0449932, -0.0257222, -0.0132722, -0.00946996, -0.00592148, -0.00219626, -0.000816349, -0.000261989, -7.90846e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.214853, 0.225021, 0.227469, 0.229917, 0.235702, 0.240767, 0.245865, 0.249263, 0.252952, 0.256932, 0.261892, 0.26783, 0.270799, 0.278286, 0.282238, 0.287445, 0.292175, 0.294229, 0.296239, 0.298056, 0.299874, 0.302564, 0.305614, 0.307767, 0.308815, 0.310387, 0.311789, 0.312667, 0.314424, 0.31639, 0.318356, 0.323959, 0.332608, 0.335129, 0.33891, 0.344505, 0.347792, 0.349436, 0.352723, 0.354366, 0.357671");
-            values ( \
-              "-0.0165633, -0.0372316, -0.0415206, -0.0463484, -0.0594991, -0.0716665, -0.0824983, -0.0892167, -0.0959967, -0.102888, -0.111032, -0.120135, -0.124422, -0.134225, -0.138849, -0.144295, -0.148564, -0.150161, -0.151521, -0.152528, -0.153334, -0.153078, -0.152432, -0.151749, -0.150999, -0.14915, -0.14702, -0.145456, -0.141795, -0.136291, -0.13017, -0.108765, -0.0733463, -0.06435, -0.0524571, -0.0373782, -0.0306424, -0.0277497, -0.0226017, -0.0203463, -0.016393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.24003, 0.295715, 0.308228, 0.327939, 0.344369, 0.360322, 0.372136, 0.383556, 0.387284, 0.39474, 0.402274, 0.41732, 0.440618, 0.453589, 0.4632, 0.479191, 0.485999, 0.496546, 0.510608, 0.537423, 0.564888, 0.594661");
-            values ( \
-              "-0.000976292, -0.100136, -0.119047, -0.145186, -0.163721, -0.177611, -0.185004, -0.18977, -0.19062, -0.190867, -0.187831, -0.16502, -0.0953607, -0.0639153, -0.0463045, -0.0261817, -0.0204071, -0.0137804, -0.00805554, -0.00263572, -0.00091449, -0.000304738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.319602, 0.344693, 0.351301, 0.357909, 0.371674, 0.388194, 0.401539, 0.412979, 0.426104, 0.434425, 0.444845, 0.45376, 0.466565, 0.479696, 0.494726, 0.502619, 0.510512, 0.518028, 0.525545, 0.532229, 0.538914, 0.544124, 0.549334, 0.554544, 0.559754, 0.566046, 0.572338, 0.57863, 0.58221, 0.585791, 0.589371, 0.592952, 0.59971, 0.606469, 0.613227, 0.619985, 0.622436, 0.64201, 0.656613, 0.670754, 0.68033, 0.68841, 0.699963, 0.705751, 0.710382, 0.719643, 0.724274, 0.728905, 0.739249, 0.747774");
-            values ( \
-              "-0.0437648, -0.0508923, -0.0581032, -0.0656438, -0.0823459, -0.101629, -0.115891, -0.127547, -0.14037, -0.147979, -0.157178, -0.164504, -0.174136, -0.183298, -0.193063, -0.197807, -0.202298, -0.206342, -0.210158, -0.213037, -0.215581, -0.217332, -0.218879, -0.220223, -0.221363, -0.221615, -0.221444, -0.220851, -0.219564, -0.217969, -0.216066, -0.213856, -0.208846, -0.202741, -0.195539, -0.187241, -0.183553, -0.145306, -0.118078, -0.0939422, -0.0803868, -0.0702997, -0.0567662, -0.0508517, -0.0465995, -0.0394812, -0.036247, -0.0332294, -0.0273735, -0.0228974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.47726, 0.556145, 0.651641, 0.721187, 0.766949, 0.825308, 0.879414, 0.931335, 0.983237, 1.03515, 1.10958, 1.14932, 1.19305, 1.2328, 1.28602, 1.34726, 1.4126, 1.51441, 1.61622");
-            values ( \
-              "-0.0614273, -0.0861109, -0.145542, -0.181082, -0.201307, -0.222076, -0.235518, -0.241563, -0.235448, -0.201037, -0.122762, -0.0888681, -0.0604249, -0.0418303, -0.0250226, -0.013666, -0.00693774, -0.00223466, -0.000717283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.762955, 0.873825, 1.00686, 1.14611, 1.2311, 1.36234, 1.46415, 1.50734, 1.58611, 1.62851, 1.68957, 1.79138, 1.8229, 1.85652, 1.90721, 2.0536, 2.10878, 2.18235, 2.21394, 2.27291, 2.3403, 2.39428, 2.44856, 2.52095, 2.62276, 2.72457, 2.82638, 3.03");
-            values ( \
-              "-0.0599899, -0.0640928, -0.1118, -0.156112, -0.18021, -0.21162, -0.230551, -0.23701, -0.246139, -0.249458, -0.251709, -0.24502, -0.238019, -0.226452, -0.201757, -0.123139, -0.0987919, -0.0721733, -0.0627969, -0.0481266, -0.0351494, -0.0272167, -0.0209916, -0.0147454, -0.00887586, -0.00531624, -0.00317676, -0.00113029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.294683, 0.301169, 0.307427, 0.312687, 0.317974, 0.324517, 0.332611, 0.339692, 0.346182, 0.352315, 0.357023, 0.360903, 0.364636, 0.375085, 0.377849, 0.381453, 0.383466, 0.38749, 0.391735, 0.394747, 0.400769, 0.409555, 0.418653, 0.422268");
-            values ( \
-              "-0.018194, -0.0253988, -0.0374294, -0.0515433, -0.0626988, -0.0743333, -0.0877584, -0.0972746, -0.104712, -0.1089, -0.109741, -0.105826, -0.0963103, -0.0499343, -0.0393901, -0.0283526, -0.0233146, -0.0155628, -0.00996467, -0.00725596, -0.00366857, -0.0013732, -0.000530797, -0.000475102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.306664, 0.336267, 0.355093, 0.366945, 0.381504, 0.392364, 0.395993, 0.399593, 0.404929, 0.41049, 0.414466, 0.427454, 0.435021, 0.44389, 0.453057, 0.459719, 0.467334, 0.478156, 0.489679, 0.50435, 0.536979");
-            values ( \
-              "-0.00218958, -0.0703353, -0.106077, -0.124609, -0.142348, -0.151382, -0.152951, -0.153498, -0.151156, -0.142947, -0.131849, -0.0786848, -0.0525466, -0.0310432, -0.0172647, -0.0111403, -0.00666753, -0.00316693, -0.00155465, -0.000675317, -0.000213813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.35349, 0.37103, 0.372438, 0.383556, 0.385815, 0.392591, 0.396411, 0.404051, 0.413857, 0.418948, 0.423623, 0.428451, 0.432757, 0.438292, 0.441371, 0.44608, 0.455478, 0.460326, 0.464581, 0.468836, 0.471599, 0.474362, 0.479888, 0.482951, 0.485844, 0.487716, 0.491459, 0.493332, 0.495206, 0.49708, 0.498953, 0.502701, 0.506448, 0.510196, 0.513943, 0.515179, 0.517652, 0.532489, 0.538521, 0.542189, 0.54506, 0.547932, 0.553492, 0.557356, 0.561378, 0.56314, 0.568425, 0.573402, 0.578379, 0.582315");
-            values ( \
-              "-0.0610469, -0.0624107, -0.0649064, -0.086166, -0.0899848, -0.101012, -0.106868, -0.118119, -0.131663, -0.138331, -0.144218, -0.15009, -0.155122, -0.161166, -0.164333, -0.16875, -0.176818, -0.180523, -0.183138, -0.185454, -0.186798, -0.188014, -0.190068, -0.19042, -0.190536, -0.190499, -0.190161, -0.189241, -0.1881, -0.186739, -0.185158, -0.181334, -0.17663, -0.171044, -0.164577, -0.161881, -0.154773, -0.109095, -0.0918207, -0.0818871, -0.0751055, -0.0686988, -0.0574501, -0.0501388, -0.0432951, -0.0408157, -0.034025, -0.0285776, -0.0238724, -0.020658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.403128, 0.448648, 0.493159, 0.540688, 0.57551, 0.60629, 0.634677, 0.655574, 0.661798, 0.674246, 0.688696, 0.71572, 0.752358, 0.776028, 0.79685, 0.824682, 0.838441, 0.853636, 0.873897, 0.914419, 0.968221, 1.02892");
-            values ( \
-              "-0.0106586, -0.0599442, -0.111703, -0.157242, -0.183243, -0.202127, -0.215313, -0.221186, -0.221975, -0.221927, -0.217605, -0.188163, -0.118129, -0.080777, -0.0560619, -0.0333869, -0.0256026, -0.0190469, -0.0127555, -0.00540096, -0.00164098, -0.000408948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.514993, 0.602124, 0.723336, 0.817075, 0.862742, 0.92117, 0.975323, 1.02727, 1.07921, 1.13114, 1.22945, 1.27744, 1.32806, 1.38189, 1.44462, 1.51154, 1.57117");
-            values ( \
-              "-0.00455732, -0.0522709, -0.131569, -0.180805, -0.201025, -0.221867, -0.235342, -0.241449, -0.23535, -0.200992, -0.101452, -0.0670558, -0.0421071, -0.0250368, -0.0134655, -0.0067326, -0.00404937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.870904, 0.993481, 1.10641, 1.22556, 1.32785, 1.45929, 1.5611, 1.6037, 1.68286, 1.72755, 1.78631, 1.88812, 1.91958, 1.95314, 2.00394, 2.09445, 2.20551, 2.31067, 2.36962, 2.43699, 2.5453, 2.61772, 2.71953, 2.82134, 2.92315, 3.12677");
-            values ( \
-              "-0.0650995, -0.0722805, -0.112796, -0.150931, -0.180218, -0.211665, -0.230624, -0.236997, -0.246174, -0.249596, -0.251738, -0.245009, -0.23804, -0.2265, -0.201762, -0.151523, -0.0987915, -0.0627971, -0.04813, -0.0351574, -0.0209895, -0.0147425, -0.00887286, -0.00531562, -0.0031752, -0.00112927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.497259, 0.50445, 0.505777, 0.507105, 0.508433, 0.50976, 0.510988, 0.512215, 0.520405, 0.523686, 0.526966, 0.531555, 0.533743, 0.53812, 0.540308, 0.544096, 0.547884, 0.549723, 0.552547, 0.554759, 0.558598, 0.5612, 0.562523, 0.563845, 0.564749, 0.565652, 0.566556, 0.567459, 0.568236, 0.569013, 0.56979, 0.570567, 0.571371, 0.572176, 0.57298, 0.573784, 0.574312, 0.575016, 0.576425, 0.578913, 0.583787, 0.586145, 0.589209, 0.590133, 0.591519, 0.591981, 0.593829, 0.595677, 0.597908, 0.600134");
-            values ( \
-              "-0.0160681, -0.0204065, -0.0219633, -0.0236561, -0.0254847, -0.0274493, -0.0294855, -0.031675, -0.0490956, -0.0551184, -0.0609212, -0.0686694, -0.072061, -0.0785598, -0.081667, -0.0868218, -0.0916926, -0.0938559, -0.0969093, -0.0990976, -0.102481, -0.104549, -0.104839, -0.104938, -0.104896, -0.104764, -0.104544, -0.104235, -0.103616, -0.102831, -0.101881, -0.100765, -0.0994199, -0.097891, -0.0961783, -0.0942817, -0.0926952, -0.0901951, -0.0845684, -0.0737581, -0.0520266, -0.0431166, -0.0330219, -0.0301342, -0.0263478, -0.0251865, -0.0212071, -0.0177113, -0.0142021, -0.0109982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.517384, 0.527126, 0.529185, 0.533989, 0.536735, 0.537782, 0.544065, 0.549102, 0.550271, 0.551441, 0.552611, 0.555184, 0.560624, 0.567266, 0.572254, 0.575341, 0.578304, 0.582651, 0.58643, 0.590082, 0.593698, 0.597612, 0.600211, 0.603402, 0.605118, 0.606835, 0.60963, 0.611713, 0.614014, 0.615345, 0.616246, 0.617327, 0.618768, 0.620209, 0.62286, 0.62551, 0.627375, 0.631107, 0.632973, 0.638581, 0.640491, 0.643675, 0.646222, 0.648551, 0.651773, 0.655033, 0.656663, 0.659923, 0.661553, 0.664985");
-            values ( \
-              "-0.0262256, -0.029896, -0.0330333, -0.0410089, -0.0459709, -0.0480572, -0.0620758, -0.0723864, -0.0775442, -0.078786, -0.0802184, -0.0840858, -0.0938927, -0.10506, -0.112991, -0.1177, -0.122008, -0.127948, -0.132815, -0.137139, -0.141041, -0.1449, -0.147157, -0.149428, -0.150451, -0.151334, -0.151164, -0.150856, -0.150334, -0.149894, -0.149205, -0.147986, -0.145827, -0.143132, -0.136393, -0.1286, -0.122055, -0.108247, -0.100986, -0.0779339, -0.0706448, -0.0598837, -0.0521343, -0.0457081, -0.0372983, -0.0306364, -0.0277804, -0.0226877, -0.0204509, -0.0163506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.558455, 0.575991, 0.602575, 0.614635, 0.636948, 0.646914, 0.655426, 0.664688, 0.672982, 0.680577, 0.691696, 0.695773, 0.703285, 0.710747, 0.725669, 0.745784, 0.75722, 0.769237, 0.77649, 0.78277, 0.79482, 0.801415, 0.814604, 0.840708, 0.868001, 0.897333");
-            values ( \
-              "-0.046167, -0.0491082, -0.0969814, -0.114935, -0.144842, -0.156666, -0.165405, -0.173674, -0.179786, -0.184358, -0.189102, -0.190118, -0.190406, -0.187503, -0.165283, -0.104881, -0.0748143, -0.0504234, -0.0393135, -0.0314249, -0.0202384, -0.0158805, -0.00957004, -0.00329222, -0.00108024, -0.000404722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.625547, 0.654016, 0.710322, 0.762656, 0.788306, 0.819095, 0.847479, 0.868342, 0.874598, 0.88711, 0.901494, 0.928518, 0.965154, 0.988827, 1.00965, 1.03747, 1.0563, 1.0867, 1.12722, 1.18087, 1.24147");
-            values ( \
-              "-0.0313601, -0.0521004, -0.116405, -0.164739, -0.183249, -0.202135, -0.215315, -0.221213, -0.221972, -0.22195, -0.217597, -0.188187, -0.118152, -0.0807514, -0.0560387, -0.0333655, -0.0232258, -0.0127301, -0.00542478, -0.00162279, -0.000436573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.765266, 0.817165, 0.939885, 1.00977, 1.07614, 1.13451, 1.18863, 1.24055, 1.29246, 1.34437, 1.4188, 1.45856, 1.50228, 1.54191, 1.59522, 1.65669, 1.72227, 1.82407, 1.92588");
-            values ( \
-              "-0.0416047, -0.0537823, -0.133732, -0.170963, -0.201265, -0.222044, -0.23549, -0.241546, -0.235432, -0.201031, -0.12277, -0.0888484, -0.060416, -0.0418706, -0.025023, -0.0136336, -0.00690817, -0.00222007, -0.000717653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("1.07127, 1.17483, 1.31715, 1.45638, 1.54127, 1.60867, 1.6725, 1.77431, 1.81748, 1.89626, 1.93872, 1.99972, 2.10153, 2.13303, 2.16662, 2.21736, 2.36375, 2.41893, 2.4925, 2.52408, 2.58305, 2.65043, 2.70442, 2.75872, 2.83111, 2.93292, 3.03473, 3.13654, 3.34016");
-            values ( \
-              "-0.0607531, -0.060901, -0.111853, -0.156156, -0.180223, -0.197278, -0.211628, -0.230563, -0.237019, -0.246148, -0.249465, -0.251716, -0.24502, -0.238027, -0.226473, -0.201759, -0.12314, -0.0987914, -0.0721713, -0.0627967, -0.0481283, -0.0351525, -0.0272174, -0.020991, -0.0147439, -0.00887482, -0.00531573, -0.00317634, -0.00113009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.905712, 0.923434, 0.931131, 0.933993, 0.953895, 0.966625, 0.977305, 0.989272, 0.996353, 0.999831, 1.00284, 1.00715, 1.02085, 1.02643, 1.03346, 1.03849, 1.0425, 1.05053, 1.05977, 1.06923, 1.1558");
-            values ( \
-              "-0.000572429, -0.0142219, -0.020516, -0.0236167, -0.0518725, -0.0673684, -0.0786971, -0.0887313, -0.0922696, -0.0925225, -0.0910251, -0.0844937, -0.0389012, -0.0244985, -0.0128149, -0.0078525, -0.00522168, -0.00223255, -0.000859393, -0.000434617, -0.000134539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.944573, 0.959051, 0.960666, 0.962361, 0.964056, 0.967446, 0.969914, 0.97485, 0.981103, 0.984888, 0.988673, 0.996736, 1.0023, 1.00806, 1.01359, 1.02073, 1.02581, 1.03083, 1.03685, 1.03965, 1.04717, 1.04831, 1.04944, 1.05058, 1.05171, 1.05308, 1.05445, 1.05583, 1.0572, 1.05958, 1.06196, 1.06435, 1.06673, 1.06724, 1.06775, 1.06928, 1.07183, 1.07387, 1.07968, 1.08437, 1.08659, 1.08993, 1.09227, 1.09516, 1.09657, 1.09798, 1.1008, 1.10361, 1.10678, 1.10934");
-            values ( \
-              "-0.0264745, -0.0289019, -0.030761, -0.0328496, -0.0350274, -0.0396504, -0.0433816, -0.0511298, -0.0614928, -0.0673352, -0.072936, -0.0839749, -0.0912603, -0.0985073, -0.10517, -0.113166, -0.118537, -0.123473, -0.128919, -0.1311, -0.135904, -0.136175, -0.136328, -0.136363, -0.136278, -0.136018, -0.135584, -0.134977, -0.134197, -0.132383, -0.130018, -0.127102, -0.123636, -0.122614, -0.121546, -0.117049, -0.108689, -0.101726, -0.080958, -0.0648862, -0.0581113, -0.0489789, -0.0432097, -0.0363969, -0.0334756, -0.0307746, -0.0262087, -0.0221722, -0.0183251, -0.0154267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.997861, 1.02026, 1.02899, 1.0397, 1.04874, 1.05694, 1.06623, 1.0699, 1.07947, 1.08491, 1.09296, 1.10091, 1.10884, 1.11624, 1.12071, 1.12519, 1.13125, 1.13327, 1.1389, 1.14454, 1.1494, 1.15138, 1.15337, 1.15536, 1.15735, 1.15931, 1.16126, 1.16322, 1.16518, 1.16909, 1.17301, 1.17692, 1.18084, 1.18208, 1.18458, 1.19208, 1.19957, 1.20652, 1.21577, 1.21607, 1.21757, 1.21964, 1.22603, 1.22887, 1.2307, 1.23618, 1.24131, 1.24387, 1.24644, 1.25057");
-            values ( \
-              "-0.0403498, -0.0465536, -0.0581014, -0.0738678, -0.0864515, -0.0972462, -0.108788, -0.113268, -0.124466, -0.13066, -0.139504, -0.147621, -0.15504, -0.161484, -0.16499, -0.168178, -0.171974, -0.173131, -0.175966, -0.178594, -0.18069, -0.180936, -0.180963, -0.180772, -0.180362, -0.179743, -0.178914, -0.177875, -0.176626, -0.173498, -0.16953, -0.164722, -0.159074, -0.156708, -0.150255, -0.12917, -0.107522, -0.0886789, -0.0670756, -0.0658746, -0.0634694, -0.0594716, -0.0479519, -0.0432586, -0.0407432, -0.0338585, -0.0283703, -0.0259119, -0.023644, -0.0203648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.07792, 1.10781, 1.19655, 1.2287, 1.2612, 1.28395, 1.3128, 1.3352, 1.3503, 1.36736, 1.39458, 1.43094, 1.45587, 1.47589, 1.50247, 1.53314, 1.55451, 1.59723, 1.65234, 1.71382");
-            values ( \
-              "-0.0365659, -0.0429278, -0.133351, -0.160878, -0.184388, -0.198512, -0.212532, -0.219175, -0.220277, -0.21613, -0.187305, -0.118487, -0.0794523, -0.055958, -0.034168, -0.0188919, -0.0123506, -0.00498154, -0.00144302, -0.000374439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.22923, 1.28528, 1.40691, 1.47986, 1.54635, 1.60479, 1.65895, 1.71092, 1.76286, 1.8148, 1.91312, 1.96117, 2.01153, 2.06552, 2.12863, 2.19595, 2.25256");
-            values ( \
-              "-0.0365493, -0.0518116, -0.131453, -0.170522, -0.200956, -0.221814, -0.2353, -0.241418, -0.235328, -0.200979, -0.101437, -0.0670098, -0.0421832, -0.0250455, -0.0134198, -0.00667569, -0.00415136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.53007, 1.62736, 1.78815, 1.89842, 2.01276, 2.14379, 2.2456, 2.34605, 2.41036, 2.47093, 2.57274, 2.60407, 2.63748, 2.68854, 2.77903, 2.89012, 2.99527, 3.05417, 3.12148, 3.22993, 3.30244, 3.40425, 3.60787, 3.81149");
-            values ( \
-              "-0.0515448, -0.0545745, -0.111882, -0.147368, -0.180378, -0.211712, -0.230625, -0.244087, -0.249534, -0.251746, -0.245044, -0.238088, -0.226624, -0.20177, -0.151543, -0.0987867, -0.0627941, -0.0481414, -0.0351742, -0.0209872, -0.0147323, -0.00886832, -0.00317419, -0.00112956" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0123158, 0.0123289, 0.0123442, 0.0123583, 0.0123685, 0.0123746", \
-            "0.0146416, 0.014649, 0.0146588, 0.0146699, 0.014679, 0.014685", \
-            "0.0160671, 0.0160697, 0.0160744, 0.016081, 0.0160878, 0.0160929", \
-            "0.0169171, 0.0169178, 0.0169192, 0.0169218, 0.0169254, 0.0169289", \
-            "0.0173771, 0.0173774, 0.0173778, 0.0173786, 0.0173799, 0.0173817", \
-            "0.0176266, 0.017627, 0.0176276, 0.0176283, 0.017629, 0.0176299" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0168306, 0.0168415, 0.0168562, 0.0168709, 0.0168821, 0.0168891", \
-            "0.0190039, 0.0190132, 0.019025, 0.0190368, 0.0190461, 0.0190518", \
-            "0.020573, 0.0205836, 0.0205959, 0.0206071, 0.0206153, 0.0206202", \
-            "0.0218755, 0.0218538, 0.0218299, 0.0218104, 0.0217978, 0.0217904", \
-            "0.0229689, 0.0228535, 0.0227086, 0.0225675, 0.0224608, 0.022392", \
-            "0.0237271, 0.0236538, 0.0234527, 0.023133, 0.0228608, 0.0226826" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.138178, 0.174638, 0.247689, 0.396807, 0.705006, 1.34278", \
-            "0.141908, 0.178467, 0.251602, 0.400744, 0.708708, 1.34696", \
-            "0.149659, 0.186254, 0.259536, 0.409028, 0.71727, 1.35516", \
-            "0.166431, 0.203374, 0.277121, 0.426901, 0.735474, 1.37378", \
-            "0.193606, 0.234316, 0.312366, 0.465316, 0.775679, 1.4146", \
-            "0.219603, 0.268933, 0.360876, 0.532753, 0.858279, 1.50292" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0426284, 0.0649956, 0.110676, 0.205678, 0.401612, 0.808982", \
-            "0.0425972, 0.0649425, 0.110604, 0.205768, 0.4018, 0.808898", \
-            "0.0425775, 0.0649153, 0.110609, 0.205585, 0.401605, 0.808947", \
-            "0.0428359, 0.0649684, 0.110566, 0.205591, 0.40167, 0.808959", \
-            "0.0457419, 0.0674179, 0.112167, 0.205814, 0.401579, 0.808893", \
-            "0.0527104, 0.0743896, 0.11864, 0.211137, 0.402921, 0.8089" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.167644, 0.206465, 0.283773, 0.441795, 0.76725, 1.44199", \
-            "0.173385, 0.212087, 0.289881, 0.447985, 0.773168, 1.44811", \
-            "0.186399, 0.225223, 0.302887, 0.461414, 0.786813, 1.46183", \
-            "0.215336, 0.254186, 0.331982, 0.490482, 0.816196, 1.49141", \
-            "0.27485, 0.316138, 0.395927, 0.555607, 0.881499, 1.55696", \
-            "0.375304, 0.425485, 0.518943, 0.693082, 1.02556, 1.70231" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0387884, 0.0575172, 0.095915, 0.173662, 0.333254, 0.664165", \
-            "0.0386825, 0.0575415, 0.0956656, 0.173483, 0.33334, 0.664164", \
-            "0.0387277, 0.0575847, 0.0958413, 0.173232, 0.333273, 0.664123", \
-            "0.0387409, 0.0574675, 0.0957309, 0.173255, 0.333227, 0.66411", \
-            "0.0413535, 0.059321, 0.0963395, 0.173372, 0.333188, 0.664094", \
-            "0.0485589, 0.0673461, 0.104156, 0.176758, 0.333279, 0.66382" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.103072, 0.108609, 0.123817, 0.128722, 0.136296, 0.14312, 0.149615, 0.156066, 0.162515, 0.173849, 0.18475, 0.191079, 0.197099, 0.201238, 0.208774, 0.217859, 0.22596, 0.246753, 0.265148, 0.2686");
-            values ( \
-              "0.0288379, 0.0301125, 0.070654, 0.0805151, 0.09263, 0.0994229, 0.102396, 0.100922, 0.0916081, 0.0572976, 0.0338257, 0.0245835, 0.0178255, 0.0142799, 0.00941702, 0.00567788, 0.00376158, 0.0016866, 0.000689548, 0.000614256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.109989, 0.12228, 0.138776, 0.155301, 0.166566, 0.176793, 0.186676, 0.196582, 0.201928, 0.226937, 0.244048, 0.256317, 0.272708, 0.28989, 0.310527");
-            values ( \
-              "0.0153883, 0.0332193, 0.0783136, 0.11321, 0.129429, 0.137199, 0.139909, 0.135984, 0.129854, 0.0729325, 0.0427629, 0.0279869, 0.0155417, 0.00843637, 0.00438866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.132473, 0.155246, 0.179408, 0.19368, 0.20663, 0.22306, 0.229842, 0.242518, 0.250984, 0.259257, 0.266406, 0.271184, 0.276137, 0.282809, 0.29453, 0.321228, 0.342597, 0.362758, 0.383331, 0.39621, 0.406781, 0.42755, 0.43921, 0.46253, 0.495339, 0.51661");
-            values ( \
-              "0.00252024, 0.0475551, 0.099803, 0.125182, 0.143167, 0.159416, 0.163859, 0.169477, 0.17092, 0.171068, 0.169251, 0.167432, 0.164274, 0.158535, 0.143157, 0.100168, 0.0700787, 0.0481981, 0.0318618, 0.0243728, 0.019364, 0.0122541, 0.00950726, 0.00553247, 0.00261014, 0.00176332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.182487, 0.218907, 0.243817, 0.26203, 0.271289, 0.289808, 0.299317, 0.319842, 0.33571, 0.345763, 0.355557, 0.362516, 0.37, 0.377361, 0.392081, 0.408344, 0.434923, 0.450803, 0.471977, 0.53613, 0.554179, 0.577203, 0.593591, 0.611769, 0.635771, 0.65724, 0.685866, 0.709482, 0.735348, 0.769837, 0.833785, 0.929783, 1.0356");
-            values ( \
-              "0.0117624, 0.053466, 0.0896781, 0.114429, 0.1256, 0.145588, 0.154263, 0.170207, 0.179476, 0.183863, 0.187118, 0.188867, 0.190254, 0.191136, 0.191686, 0.190465, 0.183987, 0.176215, 0.160911, 0.102525, 0.0872965, 0.0700571, 0.0593509, 0.04896, 0.0376425, 0.0295402, 0.0211246, 0.0159897, 0.0117321, 0.00766008, 0.00338388, 0.000847171, 0.000204522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.298955, 0.350456, 0.398311, 0.450975, 0.486767, 0.505951, 0.528742, 0.548388, 0.572649, 0.594423, 0.626247, 0.655921, 0.704289, 0.716436, 0.740732, 0.778546, 0.823428, 0.882441, 0.968455, 1.0033, 1.04789, 1.07924, 1.11526, 1.16275, 1.20562, 1.26279, 1.30861, 1.35895, 1.42607, 1.53189, 1.6377, 1.84934");
-            values ( \
-              "0.0385121, 0.0576387, 0.0975113, 0.136503, 0.158511, 0.168296, 0.178145, 0.185102, 0.192096, 0.196973, 0.202027, 0.204553, 0.204614, 0.203761, 0.201115, 0.193559, 0.178387, 0.150843, 0.10806, 0.0921556, 0.0741218, 0.0630486, 0.0519131, 0.0398605, 0.0311711, 0.0221797, 0.0168726, 0.0124334, 0.00816329, 0.00417027, 0.00207289, 0.00050893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.555775, 0.668719, 0.754341, 0.822727, 0.889313, 0.988104, 1.04637, 1.10952, 1.19871, 1.23255, 1.2933, 1.35376, 1.44959, 1.47952, 1.53938, 1.6174, 1.90191, 2.00773, 2.13395, 2.23351, 2.34786, 2.42803, 2.53385, 2.67762, 2.78344, 2.88926, 3.1009, 3.31253, 3.62999");
-            values ( \
-              "0.0705235, 0.0799073, 0.116644, 0.142492, 0.16363, 0.187718, 0.197884, 0.205749, 0.211933, 0.212881, 0.212944, 0.210927, 0.203189, 0.199297, 0.189432, 0.172764, 0.102215, 0.0795514, 0.0575596, 0.0438901, 0.0318198, 0.025235, 0.0184654, 0.0120276, 0.00872087, 0.00631188, 0.00329111, 0.00171049, 0.000637493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.125267, 0.134833, 0.136536, 0.138854, 0.140319, 0.14325, 0.146641, 0.148337, 0.151728, 0.153814, 0.155376, 0.15713, 0.158492, 0.159124, 0.161017, 0.162279, 0.163541, 0.164803, 0.166065, 0.167509, 0.168952, 0.170396, 0.171283, 0.172171, 0.173058, 0.173946, 0.17556, 0.177174, 0.178789, 0.180762, 0.181481, 0.182559, 0.188815, 0.191745, 0.19342, 0.195094, 0.199001, 0.202624, 0.206007, 0.209141, 0.212095, 0.215049, 0.218336, 0.220353, 0.221663, 0.22408, 0.226497, 0.227826, 0.231812, 0.236612");
-            values ( \
-              "0.0526069, 0.0540995, 0.0586001, 0.0643805, 0.067833, 0.0742666, 0.0806883, 0.0836423, 0.0890653, 0.0920802, 0.094109, 0.0961421, 0.0975578, 0.0981073, 0.0995652, 0.100378, 0.101064, 0.101623, 0.102055, 0.102148, 0.102103, 0.101919, 0.101518, 0.101003, 0.100372, 0.099626, 0.0979742, 0.0959416, 0.0935282, 0.0900597, 0.0882481, 0.0849864, 0.06545, 0.0567908, 0.0526213, 0.0487016, 0.0406111, 0.0338736, 0.0283709, 0.0242307, 0.0207862, 0.0177814, 0.014852, 0.0132283, 0.0122748, 0.0107439, 0.00938799, 0.0087378, 0.00702193, 0.00539607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.129002, 0.134987, 0.139562, 0.159263, 0.172899, 0.184141, 0.194357, 0.204233, 0.214088, 0.219906, 0.244469, 0.261572, 0.273767, 0.290497, 0.307335, 0.333937, 0.335845");
-            values ( \
-              "0.0164298, 0.0230156, 0.0326214, 0.0856284, 0.113735, 0.129409, 0.137511, 0.139807, 0.136228, 0.129217, 0.0729814, 0.0427922, 0.0280811, 0.0153997, 0.00846405, 0.00317953, 0.00307424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.151529, 0.196411, 0.21382, 0.22459, 0.24116, 0.247449, 0.260439, 0.268903, 0.277167, 0.284304, 0.289084, 0.300921, 0.312421, 0.338884, 0.36028, 0.381105, 0.395557, 0.411919, 0.423186, 0.445381, 0.458505, 0.484112, 0.517914, 0.533208");
-            values ( \
-              "0.00224772, 0.0982847, 0.128918, 0.143497, 0.159706, 0.16383, 0.169589, 0.171031, 0.171142, 0.169346, 0.167493, 0.158366, 0.143226, 0.10057, 0.0703465, 0.0477813, 0.0357986, 0.0254956, 0.0200025, 0.0122771, 0.00918261, 0.00508106, 0.00231581, 0.00178638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.195687, 0.23607, 0.27134, 0.289031, 0.310279, 0.319616, 0.337461, 0.353551, 0.363664, 0.376645, 0.385486, 0.395188, 0.410484, 0.42622, 0.451719, 0.470821, 0.492758, 0.54584, 0.585913, 0.605385, 0.63248, 0.654773, 0.673139, 0.694463, 0.724583, 0.75567, 0.779447, 0.827001, 0.919544, 1.02536");
-            values ( \
-              "0.00684786, 0.0519871, 0.102799, 0.125243, 0.147794, 0.156237, 0.169948, 0.179422, 0.183852, 0.187969, 0.189781, 0.191139, 0.191615, 0.190478, 0.184328, 0.174871, 0.158469, 0.109784, 0.0766029, 0.0631915, 0.0475384, 0.0371841, 0.0302252, 0.0236226, 0.0165215, 0.0113929, 0.00853045, 0.0047022, 0.00129222, 0.00027688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.292937, 0.366491, 0.415212, 0.468228, 0.496233, 0.528352, 0.563438, 0.587844, 0.611962, 0.643868, 0.673508, 0.720381, 0.734045, 0.761373, 0.796171, 0.841955, 0.900127, 0.986068, 1.0364, 1.06825, 1.11737, 1.1735, 1.21867, 1.25098, 1.31559, 1.34604, 1.39066, 1.45014, 1.55596, 1.66178, 1.87342");
-            values ( \
-              "0.0114156, 0.0559187, 0.0965035, 0.135876, 0.153658, 0.17028, 0.184058, 0.191287, 0.196796, 0.201878, 0.204436, 0.204591, 0.203685, 0.200602, 0.193512, 0.17799, 0.150802, 0.108063, 0.0855634, 0.0731096, 0.0564932, 0.0414092, 0.0319881, 0.0264723, 0.0179606, 0.0149455, 0.01139, 0.00786977, 0.0039961, 0.0020083, 0.000504029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.560155, 0.657883, 0.770382, 0.841098, 0.902633, 0.942736, 0.985863, 1.01835, 1.06167, 1.12781, 1.19184, 1.25078, 1.3566, 1.37235, 1.40386, 1.46688, 1.49774, 1.55945, 1.63562, 1.85475, 1.92011, 2.02593, 2.07752, 2.15223, 2.25185, 2.30595, 2.36594, 2.44593, 2.55174, 2.61519, 2.69632, 2.80214, 2.90796, 3.01378, 3.1196, 3.33123, 3.64869");
-            values ( \
-              "0.0625893, 0.0670092, 0.1158, 0.142579, 0.162239, 0.17322, 0.183461, 0.19007, 0.197449, 0.20578, 0.210715, 0.212874, 0.211697, 0.210965, 0.209059, 0.203282, 0.199302, 0.189073, 0.172765, 0.117815, 0.102222, 0.0795536, 0.0699107, 0.0575509, 0.0438737, 0.0377312, 0.0318316, 0.0252593, 0.0184827, 0.0153098, 0.0120097, 0.00870857, 0.00630218, 0.00455235, 0.00328583, 0.00170753, 0.000636996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.15776, 0.16761, 0.17589, 0.185104, 0.192658, 0.199471, 0.205958, 0.212404, 0.218847, 0.230175, 0.241072, 0.247437, 0.253437, 0.257545, 0.265054, 0.274186, 0.282237, 0.302655, 0.316015");
-            values ( \
-              "0.0131735, 0.0372896, 0.0608104, 0.0808488, 0.09275, 0.099621, 0.102443, 0.101041, 0.0916069, 0.0573203, 0.0338451, 0.024548, 0.0178157, 0.0142948, 0.00944715, 0.00567382, 0.00376746, 0.00171671, 0.000982624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.16887, 0.17837, 0.197918, 0.211525, 0.222755, 0.232966, 0.242837, 0.252689, 0.258665, 0.283063, 0.300221, 0.312622, 0.328402, 0.346273, 0.373827, 0.374714");
-            values ( \
-              "0.017508, 0.033372, 0.0858899, 0.113883, 0.12951, 0.137574, 0.139852, 0.136255, 0.128982, 0.0729957, 0.0427215, 0.0278239, 0.0157859, 0.00836238, 0.00302956, 0.0029833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.195369, 0.212114, 0.240724, 0.263124, 0.279403, 0.286561, 0.298973, 0.307433, 0.315703, 0.327594, 0.339492, 0.350958, 0.398227, 0.420145, 0.435292, 0.449105, 0.458999, 0.476368, 0.494396, 0.508487, 0.53667, 0.566009");
-            values ( \
-              "0.0191749, 0.0489128, 0.109151, 0.14349, 0.159419, 0.164117, 0.169562, 0.171023, 0.171129, 0.167505, 0.158334, 0.143233, 0.071103, 0.0473218, 0.0349201, 0.0262237, 0.0212176, 0.0145049, 0.0097418, 0.00708488, 0.00370381, 0.00201914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.241354, 0.276595, 0.318784, 0.328338, 0.356378, 0.37695, 0.384896, 0.399962, 0.40808, 0.423145, 0.434162, 0.448705, 0.465165, 0.48963, 0.497013, 0.511781, 0.531683, 0.599326, 0.627759, 0.651271, 0.667133, 0.692413, 0.714374, 0.743655, 0.766605, 0.791861, 0.825535, 0.892883, 0.987, 1.09282");
-            values ( \
-              "0.0122814, 0.0551171, 0.114608, 0.126245, 0.154797, 0.170604, 0.175617, 0.183034, 0.186009, 0.189811, 0.191286, 0.191817, 0.190556, 0.184798, 0.18172, 0.173657, 0.15843, 0.0970154, 0.0744399, 0.0587994, 0.0497576, 0.0376881, 0.0294075, 0.0209004, 0.0159062, 0.0117515, 0.00778885, 0.00324508, 0.000867372, 0.000183677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.372549, 0.423807, 0.48133, 0.526123, 0.544212, 0.567759, 0.585795, 0.603203, 0.62738, 0.651465, 0.683337, 0.712966, 0.759365, 0.773471, 0.801683, 0.835583, 0.881084, 0.939511, 1.02548, 1.07583, 1.10765, 1.15672, 1.21281, 1.25815, 1.2906, 1.35548, 1.38545, 1.42937, 1.48792, 1.59374, 1.69956, 1.80537, 1.91119");
-            values ( \
-              "0.0662581, 0.0712514, 0.117635, 0.148246, 0.158742, 0.170538, 0.17816, 0.184404, 0.191505, 0.196988, 0.202016, 0.204556, 0.204683, 0.203758, 0.200516, 0.193551, 0.178119, 0.150815, 0.108057, 0.0855528, 0.0731055, 0.0565063, 0.0414277, 0.0319688, 0.0264375, 0.0179048, 0.0149416, 0.0114357, 0.00795096, 0.00403567, 0.00203036, 0.00102594, 0.000510605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.602212, 0.699971, 0.803112, 0.879879, 0.94067, 1.03547, 1.1169, 1.16659, 1.25443, 1.28961, 1.35036, 1.41082, 1.50486, 1.53656, 1.59997, 1.67446, 1.95891, 2.06473, 2.19113, 2.29082, 2.40462, 2.4844, 2.59022, 2.73568, 2.8415, 2.94732, 3.15895, 3.37059, 3.68805");
-            values ( \
-              "0.0656983, 0.0684737, 0.113287, 0.142574, 0.16202, 0.185756, 0.199844, 0.205759, 0.211876, 0.212884, 0.212939, 0.21093, 0.203386, 0.199299, 0.188756, 0.172759, 0.102228, 0.0795578, 0.0575423, 0.043855, 0.0318435, 0.0252873, 0.0185007, 0.011989, 0.0086962, 0.00629039, 0.00327888, 0.00170315, 0.000637558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.248551, 0.25896, 0.262015, 0.263523, 0.266541, 0.269117, 0.271693, 0.27341, 0.275127, 0.276845, 0.279206, 0.281269, 0.282592, 0.284497, 0.285766, 0.287036, 0.288306, 0.289576, 0.291747, 0.293919, 0.294811, 0.295702, 0.296594, 0.297485, 0.299105, 0.300725, 0.302346, 0.304321, 0.305032, 0.306097, 0.312385, 0.315337, 0.316181, 0.318711, 0.320059, 0.322756, 0.325714, 0.329407, 0.331188, 0.332968, 0.335819, 0.338669, 0.341886, 0.343926, 0.345286, 0.347696, 0.350106, 0.351387, 0.35523, 0.36021");
-            values ( \
-              "0.0545776, 0.0547376, 0.0625394, 0.0661426, 0.0728517, 0.0778573, 0.0824924, 0.0853766, 0.0880961, 0.090651, 0.0937828, 0.0961451, 0.0974264, 0.0989422, 0.0997914, 0.100511, 0.101102, 0.101564, 0.1017, 0.101522, 0.101138, 0.100639, 0.100025, 0.0992964, 0.0976769, 0.0956771, 0.0932973, 0.0898752, 0.0880932, 0.0848931, 0.0653583, 0.0566851, 0.0545721, 0.048587, 0.0456514, 0.04016, 0.0347175, 0.0285967, 0.026178, 0.0239183, 0.020636, 0.0177531, 0.0148828, 0.0132427, 0.0122576, 0.0107283, 0.00937691, 0.008752, 0.00709484, 0.0054033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.256707, 0.265076, 0.282737, 0.2964, 0.310078, 0.31787, 0.325408, 0.327746, 0.332421, 0.337615, 0.342591, 0.348203, 0.367875, 0.376448, 0.386252, 0.399549, 0.406545, 0.415874, 0.428342, 0.436959, 0.459401, 0.472026, 0.491709");
-            values ( \
-              "0.0273578, 0.0379779, 0.0853868, 0.113503, 0.131641, 0.137243, 0.139528, 0.139574, 0.138752, 0.135877, 0.130394, 0.119868, 0.0732498, 0.056573, 0.0411905, 0.0259395, 0.0201704, 0.0143923, 0.00923652, 0.00678397, 0.00295339, 0.00183363, 0.00109483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.274237, 0.340805, 0.349115, 0.365152, 0.372986, 0.384938, 0.393391, 0.401662, 0.408685, 0.41352, 0.425366, 0.436911, 0.463373, 0.484245, 0.506038, 0.521104, 0.53521, 0.545315, 0.562446, 0.580064, 0.593765, 0.621167, 0.660268, 0.71108");
-            values ( \
-              "0.00400588, 0.132691, 0.143679, 0.159359, 0.164461, 0.169618, 0.171093, 0.171159, 0.169427, 0.16754, 0.158429, 0.143238, 0.100579, 0.0710196, 0.0473796, 0.0350185, 0.0261352, 0.0210555, 0.0144623, 0.00980015, 0.007199, 0.00382987, 0.00150189, 0.000443249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.33169, 0.362645, 0.387159, 0.414439, 0.433528, 0.449035, 0.470872, 0.488784, 0.501021, 0.50979, 0.520275, 0.53501, 0.551281, 0.57587, 0.597649, 0.617799, 0.686091, 0.715272, 0.749887, 0.77762, 0.806518, 0.825913, 0.86301, 0.88344, 0.924299, 1.00602, 1.10338");
-            values ( \
-              "0.0217776, 0.0549778, 0.0905292, 0.126104, 0.14665, 0.160306, 0.175467, 0.1841, 0.187986, 0.18988, 0.191292, 0.191794, 0.190563, 0.184741, 0.173808, 0.158441, 0.0964565, 0.0734299, 0.0515627, 0.0380627, 0.0274371, 0.0219055, 0.0140937, 0.0110073, 0.00665073, 0.00220718, 0.000562407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.433522, 0.493344, 0.541482, 0.582419, 0.625784, 0.659595, 0.690862, 0.714702, 0.737801, 0.769662, 0.799316, 0.845629, 0.859832, 0.888237, 0.92195, 0.967065, 1.02586, 1.11186, 1.16222, 1.19403, 1.24307, 1.29912, 1.3446, 1.37714, 1.44222, 1.51522, 1.57307, 1.67889, 1.78471, 1.99635");
-            values ( \
-              "0.0257178, 0.0570441, 0.0971976, 0.128118, 0.156017, 0.172917, 0.184775, 0.191671, 0.196939, 0.201946, 0.204528, 0.204643, 0.203743, 0.200455, 0.193546, 0.178256, 0.150809, 0.108045, 0.0855454, 0.0730987, 0.0565161, 0.0414405, 0.0319552, 0.0264103, 0.0178642, 0.0114721, 0.0080112, 0.00406744, 0.00204558, 0.000514007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.666114, 0.764577, 0.875464, 0.937638, 1.004, 1.07892, 1.12238, 1.17189, 1.22945, 1.25357, 1.30179, 1.37652, 1.48234, 1.49811, 1.52963, 1.59269, 1.62349, 1.6851, 1.76138, 1.98051, 2.04481, 2.15063, 2.22934, 2.28072, 2.38347, 2.48529, 2.55655, 2.66237, 2.72271, 2.84451, 2.95033, 3.05614, 3.26778, 3.47942, 3.79687");
-            values ( \
-              "0.0463078, 0.0585485, 0.107092, 0.131915, 0.154813, 0.175804, 0.185714, 0.194958, 0.203117, 0.205736, 0.209724, 0.212851, 0.211693, 0.210949, 0.209056, 0.203263, 0.199303, 0.189083, 0.172769, 0.117808, 0.102471, 0.0797562, 0.0653774, 0.0571406, 0.0431583, 0.0324126, 0.0264017, 0.0193273, 0.0161671, 0.0112196, 0.00813757, 0.00588063, 0.00306298, 0.00158973, 0.000597587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.4088, 0.420614, 0.442113, 0.452934, 0.456697, 0.46167, 0.468691, 0.475577, 0.482456, 0.492717, 0.498237, 0.503848, 0.509401, 0.513197, 0.519614, 0.5277, 0.532977, 0.542429, 0.553317, 0.575065, 0.596752");
-            values ( \
-              "0.00516294, 0.0205248, 0.0646698, 0.0819019, 0.0865191, 0.0913353, 0.0953207, 0.0952675, 0.0877888, 0.0592502, 0.0462548, 0.0354543, 0.0270663, 0.0223121, 0.0159767, 0.0103467, 0.00773967, 0.00471029, 0.00272346, 0.00115799, 0.000508754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.431796, 0.44096, 0.46145, 0.476416, 0.483156, 0.488408, 0.498911, 0.503769, 0.509488, 0.517075, 0.519679, 0.524832, 0.530524, 0.545312, 0.556254, 0.568247, 0.581959, 0.588064, 0.598864, 0.607576, 0.61933, 0.629986, 0.641852, 0.654838, 0.660412");
-            values ( \
-              "0.0288655, 0.0308239, 0.0768851, 0.105292, 0.115167, 0.121858, 0.130806, 0.133241, 0.134567, 0.133601, 0.132209, 0.127601, 0.117875, 0.0836857, 0.060945, 0.0418618, 0.0261576, 0.0210831, 0.0144045, 0.0105758, 0.00693824, 0.00468939, 0.00301509, 0.00186611, 0.00165845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.478317, 0.502659, 0.512751, 0.519553, 0.523183, 0.530442, 0.534072, 0.538184, 0.542297, 0.546409, 0.550521, 0.554707, 0.558893, 0.561959, 0.565025, 0.567961, 0.570898, 0.575208, 0.577363, 0.579517, 0.585753, 0.59132, 0.594807, 0.598532, 0.601055, 0.6049, 0.608134, 0.609751, 0.612778, 0.617008, 0.623383, 0.633077, 0.651712, 0.657116, 0.660527, 0.663938, 0.67076, 0.677276, 0.68514, 0.69273, 0.696525, 0.704115, 0.70791, 0.711759, 0.721653, 0.729034, 0.735856, 0.744579, 0.748941, 0.755549");
-            values ( \
-              "0.0855189, 0.0876014, 0.107067, 0.118976, 0.1243, 0.134058, 0.138491, 0.143154, 0.147436, 0.151335, 0.154853, 0.158015, 0.160826, 0.162667, 0.164325, 0.165729, 0.166955, 0.168291, 0.16877, 0.169124, 0.168879, 0.168229, 0.167615, 0.166362, 0.165195, 0.162829, 0.160335, 0.158916, 0.155933, 0.15106, 0.142216, 0.127152, 0.09722, 0.0890944, 0.0843218, 0.0797096, 0.0709663, 0.0632762, 0.0542744, 0.0470055, 0.0436997, 0.0376208, 0.0348477, 0.0322384, 0.0258553, 0.0221931, 0.0192274, 0.0159347, 0.0144836, 0.0125194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.508233, 0.550442, 0.581893, 0.602987, 0.634057, 0.65172, 0.670475, 0.681511, 0.695023, 0.705462, 0.716908, 0.728607, 0.740281, 0.763629, 0.772148, 0.788345, 0.80684, 0.874682, 0.903345, 0.941281, 0.967309, 0.990038, 1.02034, 1.04225, 1.06653, 1.0989, 1.16364, 1.25581, 1.36162");
-            values ( \
-              "0.00499731, 0.0527187, 0.0978327, 0.12492, 0.156367, 0.16991, 0.180643, 0.185063, 0.188846, 0.190616, 0.191512, 0.191429, 0.190383, 0.185065, 0.181628, 0.172652, 0.158367, 0.0968362, 0.0741268, 0.0502971, 0.0377976, 0.0292375, 0.0205184, 0.0158138, 0.0118222, 0.00796248, 0.00344999, 0.000954456, 0.000203411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.64254, 0.685243, 0.740039, 0.785423, 0.80475, 0.82176, 0.840368, 0.863142, 0.892297, 0.92093, 0.944423, 0.975747, 0.990228, 1.01919, 1.05066, 1.11124, 1.13701, 1.15776, 1.18103, 1.30278, 1.35315, 1.38494, 1.40595, 1.43397, 1.49, 1.51111, 1.53554, 1.56813, 1.6333, 1.66275, 1.70591, 1.76345, 1.86927, 1.97509, 2.08091, 2.18672");
-            values ( \
-              "0.0579245, 0.0580946, 0.103494, 0.136695, 0.149156, 0.158962, 0.168418, 0.178251, 0.188129, 0.195418, 0.199794, 0.203579, 0.204563, 0.205162, 0.203748, 0.194092, 0.186211, 0.178333, 0.168131, 0.108038, 0.0855496, 0.0730923, 0.0655956, 0.0565247, 0.041443, 0.0367669, 0.0319472, 0.0264011, 0.0178444, 0.0149379, 0.011486, 0.00803968, 0.00407934, 0.00205427, 0.00103627, 0.000517489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.89747, 1.01296, 1.10497, 1.17913, 1.25831, 1.34076, 1.39347, 1.44496, 1.53082, 1.56795, 1.62872, 1.68916, 1.78135, 1.81489, 1.87895, 1.95279, 2.23723, 2.34305, 2.46948, 2.5692, 2.6829, 2.76261, 2.86843, 3.01418, 3.12, 3.22582, 3.43746, 3.64909, 3.96655");
-            values ( \
-              "0.0750149, 0.0839326, 0.12282, 0.149694, 0.172849, 0.191076, 0.199614, 0.205783, 0.211804, 0.212895, 0.212943, 0.210937, 0.203594, 0.199303, 0.188629, 0.172761, 0.102232, 0.0795571, 0.0575403, 0.043848, 0.0318471, 0.0252966, 0.0185064, 0.0119822, 0.00869193, 0.00628666, 0.00327678, 0.00170194, 0.000637455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.722642, 0.752021, 0.76006, 0.763594, 0.765922, 0.768582, 0.773816, 0.776106, 0.780686, 0.786753, 0.796332, 0.800364, 0.808429, 0.810754, 0.815404, 0.819702, 0.827898, 0.835758, 0.843609, 0.847369, 0.853097, 0.856791, 0.860923, 0.866523, 0.871298, 0.874992, 0.880952, 0.882826, 0.886573, 0.891863, 0.895871, 0.901657, 0.903893, 0.908365, 0.914761, 0.921072, 0.929782, 0.935677");
-            values ( \
-              "0.00133208, 0.00369997, 0.00798788, 0.0102952, 0.0121049, 0.0144137, 0.0199229, 0.0228744, 0.0294699, 0.0389925, 0.0520451, 0.0569939, 0.0664693, 0.068886, 0.0735875, 0.0772747, 0.0826158, 0.0843938, 0.0800705, 0.0727987, 0.0590468, 0.0506645, 0.0424978, 0.0329857, 0.0265448, 0.0222243, 0.0165354, 0.0150521, 0.0124349, 0.00942195, 0.00763587, 0.00568132, 0.0051067, 0.00416393, 0.00328438, 0.00259739, 0.00182875, 0.00141858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.773725, 0.792747, 0.799916, 0.828157, 0.839119, 0.853251, 0.865538, 0.876962, 0.884843, 0.888104, 0.893659, 0.899632, 0.922468, 0.937578, 0.952218, 0.963922, 0.970187, 0.981675, 0.996986, 1.00861, 1.02943");
-            values ( \
-              "0.00909779, 0.019639, 0.0278699, 0.0723367, 0.088067, 0.105614, 0.116602, 0.122342, 0.123231, 0.122695, 0.119581, 0.112144, 0.0662194, 0.0422548, 0.0259559, 0.0173652, 0.0139962, 0.00935733, 0.00539724, 0.00352675, 0.00187329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.805988, 0.831866, 0.840477, 0.851648, 0.901673, 0.910642, 0.92858, 0.938728, 0.950954, 0.960207, 0.97072, 0.977747, 0.986087, 0.997574, 1.00595, 1.04613, 1.06105, 1.08277, 1.09802, 1.11622, 1.13273, 1.14993, 1.1631, 1.18946, 1.21539");
-            values ( \
-              "0.00635122, 0.0182645, 0.0261115, 0.0393612, 0.111959, 0.12302, 0.140875, 0.148521, 0.155357, 0.158744, 0.160212, 0.159705, 0.157185, 0.1489, 0.139063, 0.0804961, 0.0622599, 0.041425, 0.0304789, 0.0208025, 0.0145343, 0.00997602, 0.00744242, 0.0040829, 0.00256094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.886106, 0.934077, 0.995863, 1.01847, 1.04855, 1.07455, 1.09306, 1.10376, 1.11876, 1.13819, 1.1552, 1.17302, 1.20572, 1.27732, 1.32608, 1.36447, 1.40851, 1.44043, 1.47296, 1.49829, 1.54895, 1.60182");
-            values ( \
-              "0.00853161, 0.0410139, 0.112816, 0.135442, 0.160007, 0.175069, 0.181794, 0.18428, 0.186232, 0.186392, 0.184212, 0.17906, 0.159389, 0.0957725, 0.060064, 0.0398235, 0.0241725, 0.0165568, 0.0112244, 0.00825036, 0.00436003, 0.00254494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.03925, 1.09297, 1.1438, 1.19826, 1.21563, 1.24097, 1.27728, 1.29645, 1.32031, 1.34355, 1.37564, 1.40537, 1.45106, 1.46607, 1.49608, 1.52828, 1.57288, 1.59649, 1.63226, 1.71828, 1.76863, 1.80048, 1.84958, 1.9057, 1.95095, 1.98331, 2.04805, 2.0783, 2.12262, 2.18171, 2.28753, 2.39335, 2.49917, 2.60498");
-            values ( \
-              "0.0391149, 0.0530647, 0.0933296, 0.133077, 0.144451, 0.15887, 0.175957, 0.183046, 0.190208, 0.19571, 0.201013, 0.20378, 0.204171, 0.203289, 0.199875, 0.193294, 0.178336, 0.16799, 0.150744, 0.108027, 0.0855548, 0.0730892, 0.0564988, 0.0414079, 0.031975, 0.0264588, 0.0179335, 0.0149413, 0.0114073, 0.00790523, 0.00401128, 0.00201909, 0.00101929, 0.00050822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.28991, 1.37792, 1.50095, 1.57613, 1.62952, 1.66594, 1.70344, 1.73512, 1.78611, 1.84321, 1.86629, 1.91244, 1.98923, 2.09505, 2.11081, 2.14232, 2.20533, 2.23619, 2.2979, 2.37408, 2.5932, 2.65514, 2.76096, 2.84463, 2.89951, 3.00533, 3.08742, 3.14436, 3.25017, 3.35077, 3.39899, 3.49543, 3.60125, 3.70706, 3.81288, 3.9187, 4.02452, 4.34198");
-            values ( \
-              "0.0583752, 0.0589268, 0.112549, 0.141399, 0.158824, 0.169223, 0.178723, 0.185765, 0.195254, 0.203271, 0.205772, 0.209609, 0.212876, 0.211703, 0.210965, 0.209064, 0.20328, 0.199306, 0.18907, 0.172769, 0.117812, 0.103015, 0.0802238, 0.0649419, 0.0562179, 0.042068, 0.0333996, 0.0283732, 0.0208016, 0.0154354, 0.0133693, 0.00998445, 0.00723499, 0.00522605, 0.00377696, 0.00272024, 0.00196461, 0.000730921" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.01252, 0.0125366, 0.0125551, 0.0125711, 0.0125823, 0.0125889", \
-            "0.0167118, 0.0167291, 0.0167509, 0.016772, 0.016788, 0.016798", \
-            "0.0198053, 0.019819, 0.0198394, 0.0198625, 0.0198823, 0.0198956", \
-            "0.0215499, 0.0215568, 0.0215688, 0.0215858, 0.0216032, 0.0216167", \
-            "0.0224216, 0.0224088, 0.022394, 0.0223826, 0.0223781, 0.0223786", \
-            "0.0229204, 0.0228935, 0.0228527, 0.0228006, 0.0227514, 0.0227175" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0206286, 0.0206467, 0.0206704, 0.0206939, 0.0207118, 0.0207231", \
-            "0.0230305, 0.023032, 0.0230416, 0.0230575, 0.0230729, 0.0230837", \
-            "0.0218941, 0.0218308, 0.0217557, 0.0216831, 0.0216291, 0.0215958", \
-            "0.0206396, 0.0205223, 0.02036, 0.0201989, 0.0200662, 0.0199763", \
-            "0.0206718, 0.0204171, 0.0200845, 0.0197632, 0.0194886, 0.0193116", \
-            "0.0201313, 0.0201824, 0.0202758, 0.0200948, 0.0196214, 0.0192649" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.128007, 0.135176, 0.141558, 0.148503, 0.153727, 0.166198, 0.173044, 0.179297, 0.185195, 0.190986, 0.19677, 0.206643, 0.211754, 0.217742, 0.22071, 0.22505, 0.230392, 0.238023, 0.245389, 0.247392");
-            values ( \
-              "-0.0160516, -0.0245078, -0.0375184, -0.0563111, -0.0676371, -0.0904907, -0.100898, -0.108734, -0.113368, -0.113307, -0.100579, -0.0539568, -0.0343462, -0.0190558, -0.014006, -0.00878743, -0.0047814, -0.0018973, -0.000806009, -0.000737894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.144498, 0.158798, 0.179365, 0.198636, 0.208666, 0.217872, 0.226668, 0.235361, 0.244045, 0.258592, 0.266099, 0.27585, 0.283721, 0.288519, 0.298114, 0.310423, 0.335266, 0.365183");
-            values ( \
-              "-0.0186073, -0.0466083, -0.0948866, -0.129347, -0.142978, -0.153126, -0.158201, -0.156462, -0.137034, -0.0752436, -0.0495339, -0.0272415, -0.0163031, -0.0118408, -0.00609926, -0.002579, -0.000663788, -0.000260286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.164124, 0.195652, 0.216868, 0.244494, 0.263115, 0.27986, 0.295211, 0.302778, 0.309957, 0.317282, 0.324611, 0.336178, 0.370533, 0.382131, 0.397734, 0.406956, 0.422142, 0.442391, 0.469638, 0.497659");
-            values ( \
-              "-0.000209746, -0.0542309, -0.0954911, -0.138897, -0.16349, -0.180065, -0.190419, -0.193609, -0.195359, -0.195235, -0.191815, -0.175366, -0.0748773, -0.0509203, -0.0291918, -0.0207883, -0.0117181, -0.00522613, -0.00169498, -0.000590069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.217038, 0.263346, 0.279867, 0.309195, 0.339182, 0.372216, 0.388744, 0.425519, 0.43874, 0.453301, 0.471904, 0.479845, 0.492983, 0.506235, 0.532737, 0.568949, 0.592079, 0.613287, 0.622713, 0.641567, 0.654828, 0.669558, 0.689197, 0.727186, 0.780656, 0.83863");
-            values ( \
-              "-0.00452377, -0.0496863, -0.0692239, -0.106844, -0.139813, -0.17051, -0.183079, -0.206836, -0.213713, -0.220147, -0.225446, -0.226579, -0.226028, -0.221489, -0.190682, -0.119412, -0.0820958, -0.0564209, -0.0474165, -0.0331825, -0.0256382, -0.0192158, -0.0130165, -0.00582183, -0.00178264, -0.000468015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.340728, 0.412727, 0.501928, 0.543577, 0.652998, 0.698894, 0.725421, 0.778476, 0.829373, 0.880302, 0.931417, 1.00643, 1.04238, 1.09096, 1.13756, 1.18543, 1.21274, 1.26735, 1.36607, 1.46561");
-            values ( \
-              "-0.0173121, -0.0514534, -0.115319, -0.141822, -0.199271, -0.217991, -0.226789, -0.240335, -0.245864, -0.239142, -0.203303, -0.122622, -0.091398, -0.0593477, -0.0383908, -0.0241121, -0.0183854, -0.0105501, -0.00352307, -0.00118057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.605997, 0.722195, 0.859631, 1.00636, 1.10516, 1.23389, 1.33344, 1.43239, 1.49451, 1.55476, 1.6543, 1.69572, 1.72973, 1.96279, 2.06548, 2.11961, 2.19178, 2.31299, 2.39743, 2.49698, 2.60094");
-            values ( \
-              "-0.0371159, -0.0516988, -0.104149, -0.154259, -0.184002, -0.216131, -0.235201, -0.248712, -0.253934, -0.25591, -0.248599, -0.238014, -0.224265, -0.102169, -0.0656436, -0.051413, -0.0366935, -0.0205556, -0.0135602, -0.00824192, -0.00551099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.148227, 0.155383, 0.157314, 0.161177, 0.172092, 0.185942, 0.192764, 0.199001, 0.204888, 0.21067, 0.216444, 0.226308, 0.231419, 0.23741, 0.244703, 0.249986, 0.257621, 0.262473");
-            values ( \
-              "-0.0177044, -0.0260812, -0.0295025, -0.0373688, -0.065215, -0.0908285, -0.101218, -0.108953, -0.113587, -0.113439, -0.100701, -0.053988, -0.0343498, -0.0190775, -0.00877478, -0.004845, -0.00188661, -0.00119359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.160699, 0.182451, 0.192397, 0.21071, 0.218213, 0.22825, 0.237484, 0.246278, 0.254976, 0.263665, 0.278209, 0.285674, 0.291618, 0.300971, 0.308111, 0.317632, 0.329956, 0.354768, 0.384648");
-            values ( \
-              "-0.0058306, -0.0557462, -0.0815346, -0.116472, -0.12891, -0.142985, -0.152807, -0.158254, -0.156225, -0.137121, -0.0752269, -0.0496434, -0.0346981, -0.0190265, -0.0118454, -0.00617047, -0.00257343, -0.000645567, -0.000239255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.18503, 0.256337, 0.264833, 0.281826, 0.300046, 0.308061, 0.315364, 0.322915, 0.330085, 0.337398, 0.34472, 0.356295, 0.36053, 0.381819, 0.390618, 0.402207, 0.407405, 0.417802, 0.427025, 0.432088, 0.442214, 0.462465, 0.489808, 0.517831");
-            values ( \
-              "-0.000355111, -0.127589, -0.139985, -0.162145, -0.180599, -0.186514, -0.190839, -0.193886, -0.195694, -0.195443, -0.192066, -0.175427, -0.164737, -0.0979315, -0.0748803, -0.0509217, -0.0425332, -0.0291904, -0.0207801, -0.0172281, -0.0117045, -0.00523858, -0.00167171, -0.000601143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.242169, 0.276229, 0.28388, 0.29918, 0.33055, 0.359401, 0.379741, 0.382331, 0.397869, 0.416186, 0.436866, 0.446218, 0.459606, 0.473973, 0.492514, 0.500499, 0.513629, 0.526875, 0.553367, 0.560971, 0.571109, 0.590158, 0.598838, 0.603242, 0.612049, 0.628977, 0.633889, 0.643714, 0.663363, 0.675802, 0.680451, 0.689748, 0.708343, 0.745532, 0.763334");
-            values ( \
-              "-0.013187, -0.0418375, -0.0499145, -0.0678357, -0.108012, -0.139675, -0.159105, -0.161775, -0.174804, -0.188064, -0.201552, -0.206935, -0.214131, -0.220207, -0.2257, -0.226611, -0.226245, -0.221491, -0.19083, -0.176096, -0.155252, -0.118421, -0.103245, -0.0961681, -0.0829805, -0.0616501, -0.0563993, -0.0471038, -0.0323907, -0.0254781, -0.0232646, -0.019398, -0.013376, -0.00614074, -0.00470727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.394598, 0.454821, 0.512355, 0.557195, 0.628226, 0.688217, 0.745347, 0.798396, 0.849319, 0.900255, 0.951374, 1.02637, 1.06237, 1.10726, 1.15746, 1.20538, 1.23275, 1.28748, 1.38703, 1.48658");
-            values ( \
-              "-0.059981, -0.0666266, -0.108648, -0.137815, -0.177315, -0.205781, -0.226808, -0.240211, -0.24591, -0.239047, -0.203366, -0.122692, -0.0913434, -0.0613833, -0.0383966, -0.0240984, -0.0183884, -0.0105177, -0.00349002, -0.00115244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.657006, 0.779131, 0.900598, 1.04326, 1.12508, 1.25374, 1.36757, 1.45323, 1.51281, 1.57475, 1.6743, 1.71568, 1.74971, 1.98278, 2.08547, 2.13959, 2.21176, 2.33298, 2.41742, 2.51697, 2.62422");
-            values ( \
-              "-0.0546488, -0.0653354, -0.111762, -0.159671, -0.183993, -0.216111, -0.237526, -0.24881, -0.253837, -0.255913, -0.248606, -0.238027, -0.224276, -0.102169, -0.0656439, -0.0514138, -0.0366945, -0.0205556, -0.0135599, -0.00824178, -0.00542449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.191805, 0.197948, 0.199122, 0.201469, 0.20538, 0.212269, 0.219162, 0.222065, 0.229882, 0.236736, 0.24298, 0.248873, 0.25466, 0.260785, 0.27031, 0.272522, 0.276276, 0.281408, 0.28582, 0.288712, 0.294009, 0.300409");
-            values ( \
-              "-0.0167373, -0.0244827, -0.0254511, -0.0296587, -0.0377909, -0.0573524, -0.0707007, -0.0770546, -0.0908171, -0.100871, -0.108982, -0.113322, -0.113492, -0.0995505, -0.0540024, -0.0447064, -0.0316742, -0.0190332, -0.0120022, -0.00881605, -0.00478528, -0.00239292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.206401, 0.210899, 0.216193, 0.221075, 0.22677, 0.236735, 0.251116, 0.262606, 0.272647, 0.281862, 0.290665, 0.299363, 0.308725, 0.32135, 0.325092, 0.330082, 0.333867, 0.339881, 0.345346, 0.347735, 0.352513, 0.36207, 0.369342");
-            values ( \
-              "-0.0214873, -0.026271, -0.033384, -0.0427755, -0.0556221, -0.0814632, -0.108667, -0.129494, -0.14248, -0.153295, -0.157826, -0.156638, -0.135309, -0.0802384, -0.0658815, -0.0496103, -0.039588, -0.027198, -0.0190655, -0.0162949, -0.0118579, -0.00611989, -0.00403719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.239485, 0.254276, 0.259923, 0.265569, 0.268235, 0.275293, 0.280145, 0.28872, 0.295579, 0.299009, 0.308751, 0.318124, 0.32755, 0.334069, 0.34047, 0.344076, 0.346076, 0.350076, 0.352076, 0.355746, 0.359416, 0.363197, 0.366978, 0.370566, 0.374155, 0.377815, 0.381475, 0.383307, 0.385139, 0.38697, 0.388802, 0.391694, 0.394586, 0.397478, 0.40037, 0.402496, 0.404622, 0.413006, 0.422933, 0.430024, 0.433544, 0.436965, 0.441463, 0.446311, 0.448911, 0.451511, 0.456711, 0.461911, 0.46693, 0.471135");
-            values ( \
-              "-0.0317517, -0.0452958, -0.0547878, -0.0650059, -0.0701511, -0.0846747, -0.0938663, -0.108939, -0.120218, -0.125597, -0.139452, -0.15193, -0.163678, -0.170921, -0.177279, -0.180546, -0.182181, -0.185191, -0.186565, -0.188846, -0.190863, -0.192664, -0.194184, -0.194739, -0.194978, -0.194898, -0.194491, -0.193696, -0.192639, -0.191319, -0.189737, -0.186703, -0.183015, -0.178672, -0.173675, -0.168976, -0.163747, -0.13794, -0.106739, -0.0861011, -0.0773375, -0.0695897, -0.0600489, -0.0503387, -0.0459138, -0.0418404, -0.0350006, -0.0290621, -0.0242695, -0.0205125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.30157, 0.32768, 0.383901, 0.410911, 0.442404, 0.480377, 0.502634, 0.517696, 0.531374, 0.5442, 0.570519, 0.580741, 0.5894, 0.599339, 0.633105, 0.656669, 0.677399, 0.705175, 0.723798, 0.753903, 0.794044, 0.822699");
-            values ( \
-              "-0.0407729, -0.0502261, -0.118894, -0.147405, -0.175454, -0.201484, -0.213849, -0.220214, -0.224669, -0.226636, -0.221907, -0.213959, -0.203089, -0.185942, -0.119289, -0.0814016, -0.0563981, -0.0334862, -0.0233502, -0.0128343, -0.00548965, -0.00343257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.390431, 0.47677, 0.596311, 0.64967, 0.706251, 0.763521, 0.789645, 0.842692, 0.893598, 0.944526, 0.995641, 1.07065, 1.10661, 1.15151, 1.20177, 1.24965, 1.27697, 1.3316, 1.43115, 1.5307");
-            values ( \
-              "-0.00606706, -0.0512745, -0.134725, -0.165189, -0.194123, -0.218044, -0.226863, -0.24025, -0.245944, -0.239065, -0.203383, -0.122689, -0.0913668, -0.06139, -0.0383776, -0.0240967, -0.0183988, -0.0105319, -0.00349685, -0.00115261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.646661, 0.787319, 0.921954, 1.02702, 1.1406, 1.22931, 1.29889, 1.39844, 1.44082, 1.51808, 1.5596, 1.61973, 1.71928, 1.76063, 1.79467, 1.96875, 2.02776, 2.13045, 2.18457, 2.25674, 2.31462, 2.37796, 2.4624, 2.56195, 2.6615, 2.76105, 2.96014");
-            values ( \
-              "-0.0216731, -0.0517103, -0.103111, -0.139747, -0.175527, -0.199738, -0.21614, -0.23523, -0.241706, -0.250736, -0.253942, -0.255936, -0.248596, -0.238044, -0.224288, -0.129241, -0.10217, -0.0656448, -0.0514153, -0.036695, -0.0278697, -0.0205562, -0.0135589, -0.00824219, -0.00498175, -0.00301244, -0.00109676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.28896, 0.296043, 0.302338, 0.306629, 0.314447, 0.326877, 0.333713, 0.339959, 0.345852, 0.351639, 0.357418, 0.367288, 0.372398, 0.378387, 0.381353, 0.38569, 0.391191, 0.398767, 0.404823");
-            values ( \
-              "-0.0168742, -0.0249365, -0.037868, -0.0501277, -0.0679056, -0.0906705, -0.101016, -0.108855, -0.113444, -0.113385, -0.100617, -0.053981, -0.0343583, -0.0190551, -0.0140091, -0.00879067, -0.00468679, -0.00187577, -0.000989874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.305147, 0.317922, 0.323771, 0.333653, 0.353016, 0.361808, 0.369428, 0.378653, 0.3827, 0.387421, 0.396119, 0.404799, 0.41937, 0.427022, 0.432509, 0.436437, 0.444472, 0.449422, 0.459321, 0.471622, 0.483226, 0.496622, 0.526729");
-            values ( \
-              "-0.0181509, -0.0431583, -0.0561567, -0.0819901, -0.118616, -0.132738, -0.143122, -0.152881, -0.155983, -0.158261, -0.156308, -0.137096, -0.075124, -0.049017, -0.035219, -0.027556, -0.0163273, -0.0116987, -0.0059238, -0.00247906, -0.0012593, -0.000621954, -0.000230692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.327087, 0.366459, 0.382634, 0.406011, 0.424739, 0.441257, 0.45659, 0.471319, 0.478636, 0.48596, 0.497538, 0.523067, 0.531858, 0.543457, 0.559065, 0.568279, 0.583453, 0.603685, 0.630917, 0.658952");
-            values ( \
-              "-0.0003924, -0.0721542, -0.104032, -0.140391, -0.164309, -0.180733, -0.190939, -0.195767, -0.195216, -0.192128, -0.175262, -0.0978513, -0.0748729, -0.0508995, -0.029158, -0.020761, -0.011694, -0.00526161, -0.00166774, -0.00062018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.409411, 0.441143, 0.467627, 0.488117, 0.512059, 0.531716, 0.542205, 0.555431, 0.572654, 0.587492, 0.60395, 0.61526, 0.628939, 0.648347, 0.668087, 0.672459, 0.676992, 0.682622, 0.686957, 0.69442, 0.730674, 0.744781, 0.75424, 0.773812, 0.784228, 0.793486, 0.802744, 0.821369");
-            values ( \
-              "-0.0658035, -0.0690046, -0.103285, -0.126742, -0.151222, -0.168857, -0.177153, -0.18668, -0.198176, -0.207332, -0.215884, -0.220759, -0.226087, -0.224528, -0.221779, -0.218866, -0.214732, -0.208079, -0.202009, -0.18952, -0.119252, -0.0946996, -0.0810648, -0.0571266, -0.0468321, -0.0396327, -0.0333175, -0.0230174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.488043, 0.574723, 0.684166, 0.727277, 0.797514, 0.830208, 0.887298, 0.940347, 0.991242, 1.04217, 1.09328, 1.16829, 1.20423, 1.24914, 1.29943, 1.34728, 1.37458, 1.42916, 1.52826, 1.62781");
-            values ( \
-              "-0.00556965, -0.0515415, -0.128599, -0.154231, -0.191115, -0.20588, -0.226872, -0.24029, -0.245941, -0.239095, -0.203371, -0.122666, -0.0913934, -0.0614071, -0.0383777, -0.0241056, -0.0183948, -0.0105493, -0.00351235, -0.00116907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.739264, 0.885125, 1.02019, 1.12467, 1.23832, 1.32707, 1.39662, 1.49617, 1.53854, 1.6158, 1.65735, 1.71746, 1.817, 1.85833, 1.89238, 2.06647, 2.12548, 2.22817, 2.28229, 2.35445, 2.41234, 2.47568, 2.56012, 2.65967, 2.75922, 2.85877, 3.05786");
-            values ( \
-              "-0.019009, -0.0517564, -0.10331, -0.139723, -0.175527, -0.199746, -0.216153, -0.235229, -0.241704, -0.250734, -0.253953, -0.255933, -0.248604, -0.23805, -0.224293, -0.129242, -0.10217, -0.0656447, -0.0514153, -0.0366957, -0.0278697, -0.020556, -0.0135589, -0.0082419, -0.00498187, -0.00301221, -0.00109655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.494094, 0.501754, 0.50313, 0.505883, 0.508298, 0.516493, 0.519857, 0.523222, 0.527517, 0.531819, 0.53397, 0.536121, 0.539827, 0.543533, 0.54439, 0.545247, 0.546104, 0.546962, 0.548792, 0.54939, 0.549778, 0.550084, 0.55039, 0.551085, 0.552532, 0.553903, 0.557623, 0.558808, 0.559993, 0.560621, 0.561249, 0.562505, 0.56376, 0.565016, 0.566271, 0.567527, 0.568205, 0.568883, 0.571594, 0.57892, 0.581091, 0.583243, 0.58477, 0.585984, 0.58704, 0.588343, 0.589931, 0.590868, 0.592743, 0.594307");
-            values ( \
-              "-0.0202363, -0.0213914, -0.0231717, -0.0271481, -0.031381, -0.0494785, -0.0558471, -0.0620104, -0.0695805, -0.0765119, -0.0797333, -0.0827919, -0.0896484, -0.097207, -0.0973764, -0.0976751, -0.0981032, -0.0986605, -0.100274, -0.100889, -0.101414, -0.101576, -0.102047, -0.102518, -0.103971, -0.105155, -0.108053, -0.108249, -0.108118, -0.107917, -0.107625, -0.106766, -0.105498, -0.103836, -0.101779, -0.0993282, -0.0975441, -0.0955743, -0.0845938, -0.0513402, -0.0430051, -0.0356577, -0.0308003, -0.0272925, -0.0246656, -0.0217931, -0.0186888, -0.0170373, -0.0140872, -0.01197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.507723, 0.520046, 0.522482, 0.527352, 0.533029, 0.544182, 0.550337, 0.555816, 0.559346, 0.565528, 0.571444, 0.581896, 0.591401, 0.600374, 0.60922, 0.618053, 0.618697, 0.619985, 0.622561, 0.626119, 0.630536, 0.633365, 0.63693, 0.641576, 0.642982, 0.645793, 0.651417, 0.655843, 0.657947, 0.662155, 0.670571, 0.682946, 0.690049");
-            values ( \
-              "-0.0139206, -0.0271792, -0.0300038, -0.0377932, -0.0483609, -0.0743128, -0.0853416, -0.0956998, -0.102564, -0.113309, -0.123278, -0.138306, -0.149174, -0.155221, -0.154085, -0.135569, -0.133892, -0.128927, -0.118172, -0.102325, -0.0833087, -0.0721293, -0.0595269, -0.0455076, -0.0419121, -0.0352899, -0.0247829, -0.0185573, -0.0162246, -0.0122362, -0.00693162, -0.00287995, -0.00198754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.53956, 0.550317, 0.55896, 0.562871, 0.570695, 0.579142, 0.591183, 0.606126, 0.620123, 0.638901, 0.646081, 0.653492, 0.660313, 0.66792, 0.671183, 0.67771, 0.685978, 0.695883, 0.700627, 0.708037, 0.716451, 0.738431, 0.74299, 0.752109, 0.758107, 0.765607, 0.775606, 0.783277, 0.787222, 0.795113, 0.810893, 0.832253");
-            values ( \
-              "-0.0140512, -0.0235149, -0.0335575, -0.0391473, -0.0514242, -0.0669191, -0.0910565, -0.116483, -0.137284, -0.162234, -0.169952, -0.177155, -0.182802, -0.187902, -0.189675, -0.192563, -0.194836, -0.19412, -0.191426, -0.183224, -0.164347, -0.0961957, -0.0838206, -0.0625691, -0.0511167, -0.0393575, -0.0273681, -0.0206299, -0.0178194, -0.0132288, -0.00715834, -0.00334571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.615358, 0.641288, 0.697067, 0.723971, 0.7555, 0.788807, 0.802954, 0.820066, 0.830682, 0.851068, 0.8572, 0.869465, 0.883568, 0.91008, 0.944056, 0.962397, 0.972675, 0.986798, 0.998311, 1.01139, 1.02987, 1.0416, 1.06165, 1.08662, 1.13385, 1.18864");
-            values ( \
-              "-0.0404939, -0.0511385, -0.119244, -0.147657, -0.17569, -0.19878, -0.207261, -0.21604, -0.220326, -0.226148, -0.226692, -0.226476, -0.221552, -0.190827, -0.123576, -0.0923762, -0.0775679, -0.0604683, -0.0490162, -0.0383294, -0.0268494, -0.0213586, -0.0143689, -0.0086502, -0.00309065, -0.00090093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.736304, 0.790761, 0.914352, 0.98077, 1.0277, 1.07664, 1.10307, 1.15609, 1.207, 1.25792, 1.30903, 1.38405, 1.41997, 1.46489, 1.51521, 1.56304, 1.59031, 1.64485, 1.7444, 1.84394");
-            values ( \
-              "-0.0390945, -0.0518527, -0.137634, -0.174768, -0.19791, -0.217999, -0.226917, -0.240293, -0.245973, -0.239091, -0.203394, -0.122678, -0.091396, -0.0614021, -0.038362, -0.0240984, -0.0184062, -0.0105497, -0.0035008, -0.00115582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.998529, 1.10121, 1.24858, 1.38519, 1.48386, 1.61257, 1.71212, 1.75448, 1.83174, 1.87333, 1.9334, 2.03294, 2.07425, 2.10831, 2.28241, 2.34142, 2.4441, 2.49822, 2.57039, 2.62828, 2.69161, 2.77606, 2.87561, 2.97516, 3.07471, 3.2738");
-            values ( \
-              "-0.0486731, -0.0518541, -0.10792, -0.154389, -0.183996, -0.216114, -0.235282, -0.241755, -0.250783, -0.253914, -0.255981, -0.248563, -0.238061, -0.224297, -0.129245, -0.102172, -0.0656464, -0.0514173, -0.0366946, -0.0278715, -0.0205575, -0.0135572, -0.00824327, -0.0049803, -0.00301363, -0.00109799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.906019, 0.918442, 0.921019, 0.926172, 0.931998, 0.94004, 0.948259, 0.95642, 0.966761, 0.975561, 0.983432, 0.990721, 0.99775, 1.00476, 1.0081, 1.01657, 1.0197, 1.02326, 1.02524, 1.02919, 1.03273, 1.03465, 1.0385, 1.04435, 1.05203, 1.05991, 1.06364");
-            values ( \
-              "-0.00606922, -0.0119528, -0.0134363, -0.0173771, -0.0227967, -0.0340349, -0.0463885, -0.0571477, -0.069634, -0.0794966, -0.0870148, -0.0924931, -0.0944155, -0.0867429, -0.0768182, -0.0457276, -0.0358323, -0.0265223, -0.0222539, -0.0154014, -0.0109581, -0.00906745, -0.0061261, -0.00326829, -0.00138079, -0.00063053, -0.000551135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.931492, 0.936203, 0.945994, 0.950841, 0.960534, 0.964528, 0.972517, 0.978366, 0.996681, 1.01073, 1.02278, 1.03363, 1.04377, 1.05366, 1.06352, 1.06402, 1.06702, 1.07647, 1.08019, 1.08514, 1.08877, 1.09159, 1.09535, 1.10216, 1.10546, 1.11065, 1.11758, 1.12915, 1.14027, 1.14253");
-            values ( \
-              "-0.00951894, -0.0114796, -0.0181445, -0.0227726, -0.032635, -0.0383558, -0.0508478, -0.0610977, -0.087982, -0.106377, -0.120687, -0.131103, -0.138099, -0.138926, -0.12472, -0.123635, -0.114327, -0.0794672, -0.0666704, -0.0517065, -0.0423117, -0.0360461, -0.0289649, -0.0189696, -0.0154373, -0.0110948, -0.00711742, -0.00323981, -0.00169249, -0.00154454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.976767, 1.00356, 1.01787, 1.04343, 1.0614, 1.07075, 1.08944, 1.09694, 1.11024, 1.11685, 1.127, 1.1369, 1.14282, 1.15453, 1.15839, 1.16612, 1.17491, 1.19752, 1.20204, 1.21108, 1.22075, 1.22645, 1.2372, 1.2434, 1.25279, 1.26531, 1.29036, 1.31759, 1.34673");
-            values ( \
-              "-0.0107071, -0.0318136, -0.047977, -0.0860747, -0.110123, -0.122111, -0.143809, -0.151781, -0.164167, -0.16937, -0.175943, -0.180675, -0.182657, -0.183337, -0.181666, -0.175193, -0.15855, -0.0940582, -0.0823958, -0.0622201, -0.0451275, -0.0371165, -0.0252346, -0.0201606, -0.0142665, -0.0088896, -0.00323405, -0.00110003, -0.000411493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.06648, 1.09985, 1.11127, 1.17972, 1.20668, 1.23132, 1.26445, 1.28675, 1.30082, 1.32036, 1.3277, 1.34098, 1.35432, 1.38102, 1.4178, 1.44014, 1.46173, 1.47142, 1.4908, 1.50832, 1.53684, 1.57486, 1.62841, 1.68697");
-            values ( \
-              "-0.0279419, -0.0432834, -0.0540422, -0.129232, -0.154708, -0.174625, -0.197675, -0.210544, -0.216983, -0.223084, -0.224187, -0.224094, -0.219815, -0.189832, -0.11827, -0.0824239, -0.0563165, -0.0471486, -0.0326427, -0.0232672, -0.0132241, -0.00594351, -0.00179471, -0.000486178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.23024, 1.29321, 1.35884, 1.39863, 1.47355, 1.52317, 1.58027, 1.63333, 1.68423, 1.73516, 1.78628, 1.86128, 1.89622, 1.94571, 1.97109, 2.00349, 2.05626, 2.09138, 2.15941, 2.25896, 2.35851");
-            values ( \
-              "-0.0590388, -0.0691145, -0.116677, -0.141905, -0.182819, -0.205748, -0.22676, -0.240335, -0.245848, -0.239152, -0.203292, -0.122617, -0.0922005, -0.0594072, -0.0469562, -0.0345032, -0.0205817, -0.0145271, -0.00711553, -0.00237505, -0.000762383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.46108, 1.58174, 1.73216, 1.83976, 1.94594, 2.04754, 2.09101, 2.17794, 2.25796, 2.3101, 2.40965, 2.44407, 2.47845, 2.51373, 2.58428, 2.77054, 2.8281, 2.92584, 2.9704, 3.02382, 3.099, 3.14698, 3.23611, 3.33566, 3.43521, 3.53476, 3.73385");
-            values ( \
-              "-0.0327796, -0.0527758, -0.10994, -0.146776, -0.179545, -0.206317, -0.216274, -0.233222, -0.245143, -0.250829, -0.256055, -0.255442, -0.253087, -0.248048, -0.225476, -0.124473, -0.0987492, -0.0647122, -0.0529323, -0.0413319, -0.0289257, -0.0229829, -0.0148951, -0.00906587, -0.00548018, -0.00331782, -0.00120918" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0122816, 0.012294, 0.0123086, 0.0123219, 0.0123314, 0.0123371", \
-            "0.0145998, 0.014607, 0.0146171, 0.0146278, 0.0146365, 0.0146422", \
-            "0.0160432, 0.0160463, 0.0160515, 0.0160585, 0.0160652, 0.0160701", \
-            "0.0169174, 0.0169184, 0.0169202, 0.0169233, 0.0169273, 0.0169309", \
-            "0.017392, 0.0173924, 0.017393, 0.017394, 0.0173957, 0.0173978", \
-            "0.017652, 0.0176526, 0.0176534, 0.0176539, 0.0176552, 0.0176566" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0167458, 0.0167575, 0.0167729, 0.0167882, 0.0167999, 0.0168073", \
-            "0.019007, 0.019017, 0.0190301, 0.0190432, 0.0190534, 0.0190598", \
-            "0.0206836, 0.020697, 0.0207127, 0.0207277, 0.020739, 0.0207459", \
-            "0.021836, 0.0218035, 0.0217646, 0.0217288, 0.0217038, 0.0216899", \
-            "0.022742, 0.0226198, 0.0224632, 0.0223091, 0.0221918, 0.0221151", \
-            "0.0235443, 0.0234166, 0.0231735, 0.0228342, 0.0225356, 0.0223417" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.138178, 0.174638, 0.247689, 0.396807, 0.705006, 1.34278", \
-            "0.141908, 0.178467, 0.251602, 0.400744, 0.708708, 1.34696", \
-            "0.149659, 0.186254, 0.259536, 0.409028, 0.71727, 1.35516", \
-            "0.166431, 0.203374, 0.277121, 0.426901, 0.735474, 1.37378", \
-            "0.193606, 0.234316, 0.312366, 0.465316, 0.775679, 1.4146", \
-            "0.219603, 0.268933, 0.360876, 0.532753, 0.858279, 1.50292" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0426284, 0.0649956, 0.110676, 0.205678, 0.401612, 0.808982", \
-            "0.0425972, 0.0649425, 0.110604, 0.205768, 0.4018, 0.808898", \
-            "0.0425775, 0.0649153, 0.110609, 0.205585, 0.401605, 0.808947", \
-            "0.0428359, 0.0649684, 0.110566, 0.205591, 0.40167, 0.808959", \
-            "0.0457419, 0.0674179, 0.112167, 0.205814, 0.401579, 0.808893", \
-            "0.0527104, 0.0743896, 0.11864, 0.211137, 0.402921, 0.8089" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.174268, 0.2152, 0.296786, 0.462757, 0.803487, 1.5098", \
-            "0.18009, 0.221025, 0.302693, 0.468877, 0.809657, 1.51619", \
-            "0.193442, 0.234325, 0.316052, 0.482341, 0.823722, 1.53021", \
-            "0.221585, 0.262457, 0.344219, 0.510557, 0.85152, 1.5588", \
-            "0.279502, 0.322415, 0.405435, 0.572708, 0.914186, 1.62132", \
-            "0.377351, 0.428726, 0.525132, 0.705037, 1.05162, 1.75991" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0401584, 0.0593488, 0.0984831, 0.177363, 0.339698, 0.675979", \
-            "0.0401217, 0.0593603, 0.0985634, 0.177401, 0.339002, 0.676006", \
-            "0.040082, 0.0594244, 0.098607, 0.177503, 0.339658, 0.676002", \
-            "0.0401161, 0.0593605, 0.0984932, 0.177397, 0.33997, 0.675941", \
-            "0.0423973, 0.0607316, 0.0990566, 0.177376, 0.339706, 0.675981", \
-            "0.0489941, 0.0683113, 0.105874, 0.18039, 0.340046, 0.675829" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.103072, 0.108609, 0.123817, 0.128722, 0.136296, 0.14312, 0.149615, 0.156066, 0.162515, 0.173849, 0.18475, 0.191079, 0.197099, 0.201238, 0.208774, 0.217859, 0.22596, 0.246753, 0.265148, 0.2686");
-            values ( \
-              "0.0288379, 0.0301125, 0.070654, 0.0805151, 0.09263, 0.0994229, 0.102396, 0.100922, 0.0916081, 0.0572976, 0.0338257, 0.0245835, 0.0178255, 0.0142799, 0.00941702, 0.00567788, 0.00376158, 0.0016866, 0.000689548, 0.000614256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.109989, 0.12228, 0.138776, 0.155301, 0.166566, 0.176793, 0.186676, 0.196582, 0.201928, 0.226937, 0.244048, 0.256317, 0.272708, 0.28989, 0.310527");
-            values ( \
-              "0.0153883, 0.0332193, 0.0783136, 0.11321, 0.129429, 0.137199, 0.139909, 0.135984, 0.129854, 0.0729325, 0.0427629, 0.0279869, 0.0155417, 0.00843637, 0.00438866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.132473, 0.155246, 0.179408, 0.19368, 0.20663, 0.22306, 0.229842, 0.242518, 0.250984, 0.259257, 0.266406, 0.271184, 0.276137, 0.282809, 0.29453, 0.321228, 0.342597, 0.362758, 0.383331, 0.39621, 0.406781, 0.42755, 0.43921, 0.46253, 0.495339, 0.51661");
-            values ( \
-              "0.00252024, 0.0475551, 0.099803, 0.125182, 0.143167, 0.159416, 0.163859, 0.169477, 0.17092, 0.171068, 0.169251, 0.167432, 0.164274, 0.158535, 0.143157, 0.100168, 0.0700787, 0.0481981, 0.0318618, 0.0243728, 0.019364, 0.0122541, 0.00950726, 0.00553247, 0.00261014, 0.00176332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.182487, 0.218907, 0.243817, 0.26203, 0.271289, 0.289808, 0.299317, 0.319842, 0.33571, 0.345763, 0.355557, 0.362516, 0.37, 0.377361, 0.392081, 0.408344, 0.434923, 0.450803, 0.471977, 0.53613, 0.554179, 0.577203, 0.593591, 0.611769, 0.635771, 0.65724, 0.685866, 0.709482, 0.735348, 0.769837, 0.833785, 0.929783, 1.0356");
-            values ( \
-              "0.0117624, 0.053466, 0.0896781, 0.114429, 0.1256, 0.145588, 0.154263, 0.170207, 0.179476, 0.183863, 0.187118, 0.188867, 0.190254, 0.191136, 0.191686, 0.190465, 0.183987, 0.176215, 0.160911, 0.102525, 0.0872965, 0.0700571, 0.0593509, 0.04896, 0.0376425, 0.0295402, 0.0211246, 0.0159897, 0.0117321, 0.00766008, 0.00338388, 0.000847171, 0.000204522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.298955, 0.350456, 0.398311, 0.450975, 0.486767, 0.505951, 0.528742, 0.548388, 0.572649, 0.594423, 0.626247, 0.655921, 0.704289, 0.716436, 0.740732, 0.778546, 0.823428, 0.882441, 0.968455, 1.0033, 1.04789, 1.07924, 1.11526, 1.16275, 1.20562, 1.26279, 1.30861, 1.35895, 1.42607, 1.53189, 1.6377, 1.84934");
-            values ( \
-              "0.0385121, 0.0576387, 0.0975113, 0.136503, 0.158511, 0.168296, 0.178145, 0.185102, 0.192096, 0.196973, 0.202027, 0.204553, 0.204614, 0.203761, 0.201115, 0.193559, 0.178387, 0.150843, 0.10806, 0.0921556, 0.0741218, 0.0630486, 0.0519131, 0.0398605, 0.0311711, 0.0221797, 0.0168726, 0.0124334, 0.00816329, 0.00417027, 0.00207289, 0.00050893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.555775, 0.668719, 0.754341, 0.822727, 0.889313, 0.988104, 1.04637, 1.10952, 1.19871, 1.23255, 1.2933, 1.35376, 1.44959, 1.47952, 1.53938, 1.6174, 1.90191, 2.00773, 2.13395, 2.23351, 2.34786, 2.42803, 2.53385, 2.67762, 2.78344, 2.88926, 3.1009, 3.31253, 3.62999");
-            values ( \
-              "0.0705235, 0.0799073, 0.116644, 0.142492, 0.16363, 0.187718, 0.197884, 0.205749, 0.211933, 0.212881, 0.212944, 0.210927, 0.203189, 0.199297, 0.189432, 0.172764, 0.102215, 0.0795514, 0.0575596, 0.0438901, 0.0318198, 0.025235, 0.0184654, 0.0120276, 0.00872087, 0.00631188, 0.00329111, 0.00171049, 0.000637493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.125267, 0.134833, 0.136536, 0.138854, 0.140319, 0.14325, 0.146641, 0.148337, 0.151728, 0.153814, 0.155376, 0.15713, 0.158492, 0.159124, 0.161017, 0.162279, 0.163541, 0.164803, 0.166065, 0.167509, 0.168952, 0.170396, 0.171283, 0.172171, 0.173058, 0.173946, 0.17556, 0.177174, 0.178789, 0.180762, 0.181481, 0.182559, 0.188815, 0.191745, 0.19342, 0.195094, 0.199001, 0.202624, 0.206007, 0.209141, 0.212095, 0.215049, 0.218336, 0.220353, 0.221663, 0.22408, 0.226497, 0.227826, 0.231812, 0.236612");
-            values ( \
-              "0.0526069, 0.0540995, 0.0586001, 0.0643805, 0.067833, 0.0742666, 0.0806883, 0.0836423, 0.0890653, 0.0920802, 0.094109, 0.0961421, 0.0975578, 0.0981073, 0.0995652, 0.100378, 0.101064, 0.101623, 0.102055, 0.102148, 0.102103, 0.101919, 0.101518, 0.101003, 0.100372, 0.099626, 0.0979742, 0.0959416, 0.0935282, 0.0900597, 0.0882481, 0.0849864, 0.06545, 0.0567908, 0.0526213, 0.0487016, 0.0406111, 0.0338736, 0.0283709, 0.0242307, 0.0207862, 0.0177814, 0.014852, 0.0132283, 0.0122748, 0.0107439, 0.00938799, 0.0087378, 0.00702193, 0.00539607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.129002, 0.134987, 0.139562, 0.159263, 0.172899, 0.184141, 0.194357, 0.204233, 0.214088, 0.219906, 0.244469, 0.261572, 0.273767, 0.290497, 0.307335, 0.333937, 0.335845");
-            values ( \
-              "0.0164298, 0.0230156, 0.0326214, 0.0856284, 0.113735, 0.129409, 0.137511, 0.139807, 0.136228, 0.129217, 0.0729814, 0.0427922, 0.0280811, 0.0153997, 0.00846405, 0.00317953, 0.00307424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.151529, 0.196411, 0.21382, 0.22459, 0.24116, 0.247449, 0.260439, 0.268903, 0.277167, 0.284304, 0.289084, 0.300921, 0.312421, 0.338884, 0.36028, 0.381105, 0.395557, 0.411919, 0.423186, 0.445381, 0.458505, 0.484112, 0.517914, 0.533208");
-            values ( \
-              "0.00224772, 0.0982847, 0.128918, 0.143497, 0.159706, 0.16383, 0.169589, 0.171031, 0.171142, 0.169346, 0.167493, 0.158366, 0.143226, 0.10057, 0.0703465, 0.0477813, 0.0357986, 0.0254956, 0.0200025, 0.0122771, 0.00918261, 0.00508106, 0.00231581, 0.00178638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.195687, 0.23607, 0.27134, 0.289031, 0.310279, 0.319616, 0.337461, 0.353551, 0.363664, 0.376645, 0.385486, 0.395188, 0.410484, 0.42622, 0.451719, 0.470821, 0.492758, 0.54584, 0.585913, 0.605385, 0.63248, 0.654773, 0.673139, 0.694463, 0.724583, 0.75567, 0.779447, 0.827001, 0.919544, 1.02536");
-            values ( \
-              "0.00684786, 0.0519871, 0.102799, 0.125243, 0.147794, 0.156237, 0.169948, 0.179422, 0.183852, 0.187969, 0.189781, 0.191139, 0.191615, 0.190478, 0.184328, 0.174871, 0.158469, 0.109784, 0.0766029, 0.0631915, 0.0475384, 0.0371841, 0.0302252, 0.0236226, 0.0165215, 0.0113929, 0.00853045, 0.0047022, 0.00129222, 0.00027688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.292937, 0.366491, 0.415212, 0.468228, 0.496233, 0.528352, 0.563438, 0.587844, 0.611962, 0.643868, 0.673508, 0.720381, 0.734045, 0.761373, 0.796171, 0.841955, 0.900127, 0.986068, 1.0364, 1.06825, 1.11737, 1.1735, 1.21867, 1.25098, 1.31559, 1.34604, 1.39066, 1.45014, 1.55596, 1.66178, 1.87342");
-            values ( \
-              "0.0114156, 0.0559187, 0.0965035, 0.135876, 0.153658, 0.17028, 0.184058, 0.191287, 0.196796, 0.201878, 0.204436, 0.204591, 0.203685, 0.200602, 0.193512, 0.17799, 0.150802, 0.108063, 0.0855634, 0.0731096, 0.0564932, 0.0414092, 0.0319881, 0.0264723, 0.0179606, 0.0149455, 0.01139, 0.00786977, 0.0039961, 0.0020083, 0.000504029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.560155, 0.657883, 0.770382, 0.841098, 0.902633, 0.942736, 0.985863, 1.01835, 1.06167, 1.12781, 1.19184, 1.25078, 1.3566, 1.37235, 1.40386, 1.46688, 1.49774, 1.55945, 1.63562, 1.85475, 1.92011, 2.02593, 2.07752, 2.15223, 2.25185, 2.30595, 2.36594, 2.44593, 2.55174, 2.61519, 2.69632, 2.80214, 2.90796, 3.01378, 3.1196, 3.33123, 3.64869");
-            values ( \
-              "0.0625893, 0.0670092, 0.1158, 0.142579, 0.162239, 0.17322, 0.183461, 0.19007, 0.197449, 0.20578, 0.210715, 0.212874, 0.211697, 0.210965, 0.209059, 0.203282, 0.199302, 0.189073, 0.172765, 0.117815, 0.102222, 0.0795536, 0.0699107, 0.0575509, 0.0438737, 0.0377312, 0.0318316, 0.0252593, 0.0184827, 0.0153098, 0.0120097, 0.00870857, 0.00630218, 0.00455235, 0.00328583, 0.00170753, 0.000636996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.15776, 0.16761, 0.17589, 0.185104, 0.192658, 0.199471, 0.205958, 0.212404, 0.218847, 0.230175, 0.241072, 0.247437, 0.253437, 0.257545, 0.265054, 0.274186, 0.282237, 0.302655, 0.316015");
-            values ( \
-              "0.0131735, 0.0372896, 0.0608104, 0.0808488, 0.09275, 0.099621, 0.102443, 0.101041, 0.0916069, 0.0573203, 0.0338451, 0.024548, 0.0178157, 0.0142948, 0.00944715, 0.00567382, 0.00376746, 0.00171671, 0.000982624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.16887, 0.17837, 0.197918, 0.211525, 0.222755, 0.232966, 0.242837, 0.252689, 0.258665, 0.283063, 0.300221, 0.312622, 0.328402, 0.346273, 0.373827, 0.374714");
-            values ( \
-              "0.017508, 0.033372, 0.0858899, 0.113883, 0.12951, 0.137574, 0.139852, 0.136255, 0.128982, 0.0729957, 0.0427215, 0.0278239, 0.0157859, 0.00836238, 0.00302956, 0.0029833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.195369, 0.212114, 0.240724, 0.263124, 0.279403, 0.286561, 0.298973, 0.307433, 0.315703, 0.327594, 0.339492, 0.350958, 0.398227, 0.420145, 0.435292, 0.449105, 0.458999, 0.476368, 0.494396, 0.508487, 0.53667, 0.566009");
-            values ( \
-              "0.0191749, 0.0489128, 0.109151, 0.14349, 0.159419, 0.164117, 0.169562, 0.171023, 0.171129, 0.167505, 0.158334, 0.143233, 0.071103, 0.0473218, 0.0349201, 0.0262237, 0.0212176, 0.0145049, 0.0097418, 0.00708488, 0.00370381, 0.00201914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.241354, 0.276595, 0.318784, 0.328338, 0.356378, 0.37695, 0.384896, 0.399962, 0.40808, 0.423145, 0.434162, 0.448705, 0.465165, 0.48963, 0.497013, 0.511781, 0.531683, 0.599326, 0.627759, 0.651271, 0.667133, 0.692413, 0.714374, 0.743655, 0.766605, 0.791861, 0.825535, 0.892883, 0.987, 1.09282");
-            values ( \
-              "0.0122814, 0.0551171, 0.114608, 0.126245, 0.154797, 0.170604, 0.175617, 0.183034, 0.186009, 0.189811, 0.191286, 0.191817, 0.190556, 0.184798, 0.18172, 0.173657, 0.15843, 0.0970154, 0.0744399, 0.0587994, 0.0497576, 0.0376881, 0.0294075, 0.0209004, 0.0159062, 0.0117515, 0.00778885, 0.00324508, 0.000867372, 0.000183677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.372549, 0.423807, 0.48133, 0.526123, 0.544212, 0.567759, 0.585795, 0.603203, 0.62738, 0.651465, 0.683337, 0.712966, 0.759365, 0.773471, 0.801683, 0.835583, 0.881084, 0.939511, 1.02548, 1.07583, 1.10765, 1.15672, 1.21281, 1.25815, 1.2906, 1.35548, 1.38545, 1.42937, 1.48792, 1.59374, 1.69956, 1.80537, 1.91119");
-            values ( \
-              "0.0662581, 0.0712514, 0.117635, 0.148246, 0.158742, 0.170538, 0.17816, 0.184404, 0.191505, 0.196988, 0.202016, 0.204556, 0.204683, 0.203758, 0.200516, 0.193551, 0.178119, 0.150815, 0.108057, 0.0855528, 0.0731055, 0.0565063, 0.0414277, 0.0319688, 0.0264375, 0.0179048, 0.0149416, 0.0114357, 0.00795096, 0.00403567, 0.00203036, 0.00102594, 0.000510605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.602212, 0.699971, 0.803112, 0.879879, 0.94067, 1.03547, 1.1169, 1.16659, 1.25443, 1.28961, 1.35036, 1.41082, 1.50486, 1.53656, 1.59997, 1.67446, 1.95891, 2.06473, 2.19113, 2.29082, 2.40462, 2.4844, 2.59022, 2.73568, 2.8415, 2.94732, 3.15895, 3.37059, 3.68805");
-            values ( \
-              "0.0656983, 0.0684737, 0.113287, 0.142574, 0.16202, 0.185756, 0.199844, 0.205759, 0.211876, 0.212884, 0.212939, 0.21093, 0.203386, 0.199299, 0.188756, 0.172759, 0.102228, 0.0795578, 0.0575423, 0.043855, 0.0318435, 0.0252873, 0.0185007, 0.011989, 0.0086962, 0.00629039, 0.00327888, 0.00170315, 0.000637558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.248551, 0.25896, 0.262015, 0.263523, 0.266541, 0.269117, 0.271693, 0.27341, 0.275127, 0.276845, 0.279206, 0.281269, 0.282592, 0.284497, 0.285766, 0.287036, 0.288306, 0.289576, 0.291747, 0.293919, 0.294811, 0.295702, 0.296594, 0.297485, 0.299105, 0.300725, 0.302346, 0.304321, 0.305032, 0.306097, 0.312385, 0.315337, 0.316181, 0.318711, 0.320059, 0.322756, 0.325714, 0.329407, 0.331188, 0.332968, 0.335819, 0.338669, 0.341886, 0.343926, 0.345286, 0.347696, 0.350106, 0.351387, 0.35523, 0.36021");
-            values ( \
-              "0.0545776, 0.0547376, 0.0625394, 0.0661426, 0.0728517, 0.0778573, 0.0824924, 0.0853766, 0.0880961, 0.090651, 0.0937828, 0.0961451, 0.0974264, 0.0989422, 0.0997914, 0.100511, 0.101102, 0.101564, 0.1017, 0.101522, 0.101138, 0.100639, 0.100025, 0.0992964, 0.0976769, 0.0956771, 0.0932973, 0.0898752, 0.0880932, 0.0848931, 0.0653583, 0.0566851, 0.0545721, 0.048587, 0.0456514, 0.04016, 0.0347175, 0.0285967, 0.026178, 0.0239183, 0.020636, 0.0177531, 0.0148828, 0.0132427, 0.0122576, 0.0107283, 0.00937691, 0.008752, 0.00709484, 0.0054033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.256707, 0.265076, 0.282737, 0.2964, 0.310078, 0.31787, 0.325408, 0.327746, 0.332421, 0.337615, 0.342591, 0.348203, 0.367875, 0.376448, 0.386252, 0.399549, 0.406545, 0.415874, 0.428342, 0.436959, 0.459401, 0.472026, 0.491709");
-            values ( \
-              "0.0273578, 0.0379779, 0.0853868, 0.113503, 0.131641, 0.137243, 0.139528, 0.139574, 0.138752, 0.135877, 0.130394, 0.119868, 0.0732498, 0.056573, 0.0411905, 0.0259395, 0.0201704, 0.0143923, 0.00923652, 0.00678397, 0.00295339, 0.00183363, 0.00109483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.274237, 0.340805, 0.349115, 0.365152, 0.372986, 0.384938, 0.393391, 0.401662, 0.408685, 0.41352, 0.425366, 0.436911, 0.463373, 0.484245, 0.506038, 0.521104, 0.53521, 0.545315, 0.562446, 0.580064, 0.593765, 0.621167, 0.660268, 0.71108");
-            values ( \
-              "0.00400588, 0.132691, 0.143679, 0.159359, 0.164461, 0.169618, 0.171093, 0.171159, 0.169427, 0.16754, 0.158429, 0.143238, 0.100579, 0.0710196, 0.0473796, 0.0350185, 0.0261352, 0.0210555, 0.0144623, 0.00980015, 0.007199, 0.00382987, 0.00150189, 0.000443249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.33169, 0.362645, 0.387159, 0.414439, 0.433528, 0.449035, 0.470872, 0.488784, 0.501021, 0.50979, 0.520275, 0.53501, 0.551281, 0.57587, 0.597649, 0.617799, 0.686091, 0.715272, 0.749887, 0.77762, 0.806518, 0.825913, 0.86301, 0.88344, 0.924299, 1.00602, 1.10338");
-            values ( \
-              "0.0217776, 0.0549778, 0.0905292, 0.126104, 0.14665, 0.160306, 0.175467, 0.1841, 0.187986, 0.18988, 0.191292, 0.191794, 0.190563, 0.184741, 0.173808, 0.158441, 0.0964565, 0.0734299, 0.0515627, 0.0380627, 0.0274371, 0.0219055, 0.0140937, 0.0110073, 0.00665073, 0.00220718, 0.000562407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.433522, 0.493344, 0.541482, 0.582419, 0.625784, 0.659595, 0.690862, 0.714702, 0.737801, 0.769662, 0.799316, 0.845629, 0.859832, 0.888237, 0.92195, 0.967065, 1.02586, 1.11186, 1.16222, 1.19403, 1.24307, 1.29912, 1.3446, 1.37714, 1.44222, 1.51522, 1.57307, 1.67889, 1.78471, 1.99635");
-            values ( \
-              "0.0257178, 0.0570441, 0.0971976, 0.128118, 0.156017, 0.172917, 0.184775, 0.191671, 0.196939, 0.201946, 0.204528, 0.204643, 0.203743, 0.200455, 0.193546, 0.178256, 0.150809, 0.108045, 0.0855454, 0.0730987, 0.0565161, 0.0414405, 0.0319552, 0.0264103, 0.0178642, 0.0114721, 0.0080112, 0.00406744, 0.00204558, 0.000514007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.666114, 0.764577, 0.875464, 0.937638, 1.004, 1.07892, 1.12238, 1.17189, 1.22945, 1.25357, 1.30179, 1.37652, 1.48234, 1.49811, 1.52963, 1.59269, 1.62349, 1.6851, 1.76138, 1.98051, 2.04481, 2.15063, 2.22934, 2.28072, 2.38347, 2.48529, 2.55655, 2.66237, 2.72271, 2.84451, 2.95033, 3.05614, 3.26778, 3.47942, 3.79687");
-            values ( \
-              "0.0463078, 0.0585485, 0.107092, 0.131915, 0.154813, 0.175804, 0.185714, 0.194958, 0.203117, 0.205736, 0.209724, 0.212851, 0.211693, 0.210949, 0.209056, 0.203263, 0.199303, 0.189083, 0.172769, 0.117808, 0.102471, 0.0797562, 0.0653774, 0.0571406, 0.0431583, 0.0324126, 0.0264017, 0.0193273, 0.0161671, 0.0112196, 0.00813757, 0.00588063, 0.00306298, 0.00158973, 0.000597587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.4088, 0.420614, 0.442113, 0.452934, 0.456697, 0.46167, 0.468691, 0.475577, 0.482456, 0.492717, 0.498237, 0.503848, 0.509401, 0.513197, 0.519614, 0.5277, 0.532977, 0.542429, 0.553317, 0.575065, 0.596752");
-            values ( \
-              "0.00516294, 0.0205248, 0.0646698, 0.0819019, 0.0865191, 0.0913353, 0.0953207, 0.0952675, 0.0877888, 0.0592502, 0.0462548, 0.0354543, 0.0270663, 0.0223121, 0.0159767, 0.0103467, 0.00773967, 0.00471029, 0.00272346, 0.00115799, 0.000508754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.431796, 0.44096, 0.46145, 0.476416, 0.483156, 0.488408, 0.498911, 0.503769, 0.509488, 0.517075, 0.519679, 0.524832, 0.530524, 0.545312, 0.556254, 0.568247, 0.581959, 0.588064, 0.598864, 0.607576, 0.61933, 0.629986, 0.641852, 0.654838, 0.660412");
-            values ( \
-              "0.0288655, 0.0308239, 0.0768851, 0.105292, 0.115167, 0.121858, 0.130806, 0.133241, 0.134567, 0.133601, 0.132209, 0.127601, 0.117875, 0.0836857, 0.060945, 0.0418618, 0.0261576, 0.0210831, 0.0144045, 0.0105758, 0.00693824, 0.00468939, 0.00301509, 0.00186611, 0.00165845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.478317, 0.502659, 0.512751, 0.519553, 0.523183, 0.530442, 0.534072, 0.538184, 0.542297, 0.546409, 0.550521, 0.554707, 0.558893, 0.561959, 0.565025, 0.567961, 0.570898, 0.575208, 0.577363, 0.579517, 0.585753, 0.59132, 0.594807, 0.598532, 0.601055, 0.6049, 0.608134, 0.609751, 0.612778, 0.617008, 0.623383, 0.633077, 0.651712, 0.657116, 0.660527, 0.663938, 0.67076, 0.677276, 0.68514, 0.69273, 0.696525, 0.704115, 0.70791, 0.711759, 0.721653, 0.729034, 0.735856, 0.744579, 0.748941, 0.755549");
-            values ( \
-              "0.0855189, 0.0876014, 0.107067, 0.118976, 0.1243, 0.134058, 0.138491, 0.143154, 0.147436, 0.151335, 0.154853, 0.158015, 0.160826, 0.162667, 0.164325, 0.165729, 0.166955, 0.168291, 0.16877, 0.169124, 0.168879, 0.168229, 0.167615, 0.166362, 0.165195, 0.162829, 0.160335, 0.158916, 0.155933, 0.15106, 0.142216, 0.127152, 0.09722, 0.0890944, 0.0843218, 0.0797096, 0.0709663, 0.0632762, 0.0542744, 0.0470055, 0.0436997, 0.0376208, 0.0348477, 0.0322384, 0.0258553, 0.0221931, 0.0192274, 0.0159347, 0.0144836, 0.0125194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.508233, 0.550442, 0.581893, 0.602987, 0.634057, 0.65172, 0.670475, 0.681511, 0.695023, 0.705462, 0.716908, 0.728607, 0.740281, 0.763629, 0.772148, 0.788345, 0.80684, 0.874682, 0.903345, 0.941281, 0.967309, 0.990038, 1.02034, 1.04225, 1.06653, 1.0989, 1.16364, 1.25581, 1.36162");
-            values ( \
-              "0.00499731, 0.0527187, 0.0978327, 0.12492, 0.156367, 0.16991, 0.180643, 0.185063, 0.188846, 0.190616, 0.191512, 0.191429, 0.190383, 0.185065, 0.181628, 0.172652, 0.158367, 0.0968362, 0.0741268, 0.0502971, 0.0377976, 0.0292375, 0.0205184, 0.0158138, 0.0118222, 0.00796248, 0.00344999, 0.000954456, 0.000203411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.64254, 0.685243, 0.740039, 0.785423, 0.80475, 0.82176, 0.840368, 0.863142, 0.892297, 0.92093, 0.944423, 0.975747, 0.990228, 1.01919, 1.05066, 1.11124, 1.13701, 1.15776, 1.18103, 1.30278, 1.35315, 1.38494, 1.40595, 1.43397, 1.49, 1.51111, 1.53554, 1.56813, 1.6333, 1.66275, 1.70591, 1.76345, 1.86927, 1.97509, 2.08091, 2.18672");
-            values ( \
-              "0.0579245, 0.0580946, 0.103494, 0.136695, 0.149156, 0.158962, 0.168418, 0.178251, 0.188129, 0.195418, 0.199794, 0.203579, 0.204563, 0.205162, 0.203748, 0.194092, 0.186211, 0.178333, 0.168131, 0.108038, 0.0855496, 0.0730923, 0.0655956, 0.0565247, 0.041443, 0.0367669, 0.0319472, 0.0264011, 0.0178444, 0.0149379, 0.011486, 0.00803968, 0.00407934, 0.00205427, 0.00103627, 0.000517489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.89747, 1.01296, 1.10497, 1.17913, 1.25831, 1.34076, 1.39347, 1.44496, 1.53082, 1.56795, 1.62872, 1.68916, 1.78135, 1.81489, 1.87895, 1.95279, 2.23723, 2.34305, 2.46948, 2.5692, 2.6829, 2.76261, 2.86843, 3.01418, 3.12, 3.22582, 3.43746, 3.64909, 3.96655");
-            values ( \
-              "0.0750149, 0.0839326, 0.12282, 0.149694, 0.172849, 0.191076, 0.199614, 0.205783, 0.211804, 0.212895, 0.212943, 0.210937, 0.203594, 0.199303, 0.188629, 0.172761, 0.102232, 0.0795571, 0.0575403, 0.043848, 0.0318471, 0.0252966, 0.0185064, 0.0119822, 0.00869193, 0.00628666, 0.00327678, 0.00170194, 0.000637455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.722642, 0.752021, 0.76006, 0.763594, 0.765922, 0.768582, 0.773816, 0.776106, 0.780686, 0.786753, 0.796332, 0.800364, 0.808429, 0.810754, 0.815404, 0.819702, 0.827898, 0.835758, 0.843609, 0.847369, 0.853097, 0.856791, 0.860923, 0.866523, 0.871298, 0.874992, 0.880952, 0.882826, 0.886573, 0.891863, 0.895871, 0.901657, 0.903893, 0.908365, 0.914761, 0.921072, 0.929782, 0.935677");
-            values ( \
-              "0.00133208, 0.00369997, 0.00798788, 0.0102952, 0.0121049, 0.0144137, 0.0199229, 0.0228744, 0.0294699, 0.0389925, 0.0520451, 0.0569939, 0.0664693, 0.068886, 0.0735875, 0.0772747, 0.0826158, 0.0843938, 0.0800705, 0.0727987, 0.0590468, 0.0506645, 0.0424978, 0.0329857, 0.0265448, 0.0222243, 0.0165354, 0.0150521, 0.0124349, 0.00942195, 0.00763587, 0.00568132, 0.0051067, 0.00416393, 0.00328438, 0.00259739, 0.00182875, 0.00141858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.773725, 0.792747, 0.799916, 0.828157, 0.839119, 0.853251, 0.865538, 0.876962, 0.884843, 0.888104, 0.893659, 0.899632, 0.922468, 0.937578, 0.952218, 0.963922, 0.970187, 0.981675, 0.996986, 1.00861, 1.02943");
-            values ( \
-              "0.00909779, 0.019639, 0.0278699, 0.0723367, 0.088067, 0.105614, 0.116602, 0.122342, 0.123231, 0.122695, 0.119581, 0.112144, 0.0662194, 0.0422548, 0.0259559, 0.0173652, 0.0139962, 0.00935733, 0.00539724, 0.00352675, 0.00187329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.805988, 0.831866, 0.840477, 0.851648, 0.901673, 0.910642, 0.92858, 0.938728, 0.950954, 0.960207, 0.97072, 0.977747, 0.986087, 0.997574, 1.00595, 1.04613, 1.06105, 1.08277, 1.09802, 1.11622, 1.13273, 1.14993, 1.1631, 1.18946, 1.21539");
-            values ( \
-              "0.00635122, 0.0182645, 0.0261115, 0.0393612, 0.111959, 0.12302, 0.140875, 0.148521, 0.155357, 0.158744, 0.160212, 0.159705, 0.157185, 0.1489, 0.139063, 0.0804961, 0.0622599, 0.041425, 0.0304789, 0.0208025, 0.0145343, 0.00997602, 0.00744242, 0.0040829, 0.00256094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.886106, 0.934077, 0.995863, 1.01847, 1.04855, 1.07455, 1.09306, 1.10376, 1.11876, 1.13819, 1.1552, 1.17302, 1.20572, 1.27732, 1.32608, 1.36447, 1.40851, 1.44043, 1.47296, 1.49829, 1.54895, 1.60182");
-            values ( \
-              "0.00853161, 0.0410139, 0.112816, 0.135442, 0.160007, 0.175069, 0.181794, 0.18428, 0.186232, 0.186392, 0.184212, 0.17906, 0.159389, 0.0957725, 0.060064, 0.0398235, 0.0241725, 0.0165568, 0.0112244, 0.00825036, 0.00436003, 0.00254494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.03925, 1.09297, 1.1438, 1.19826, 1.21563, 1.24097, 1.27728, 1.29645, 1.32031, 1.34355, 1.37564, 1.40537, 1.45106, 1.46607, 1.49608, 1.52828, 1.57288, 1.59649, 1.63226, 1.71828, 1.76863, 1.80048, 1.84958, 1.9057, 1.95095, 1.98331, 2.04805, 2.0783, 2.12262, 2.18171, 2.28753, 2.39335, 2.49917, 2.60498");
-            values ( \
-              "0.0391149, 0.0530647, 0.0933296, 0.133077, 0.144451, 0.15887, 0.175957, 0.183046, 0.190208, 0.19571, 0.201013, 0.20378, 0.204171, 0.203289, 0.199875, 0.193294, 0.178336, 0.16799, 0.150744, 0.108027, 0.0855548, 0.0730892, 0.0564988, 0.0414079, 0.031975, 0.0264588, 0.0179335, 0.0149413, 0.0114073, 0.00790523, 0.00401128, 0.00201909, 0.00101929, 0.00050822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.28991, 1.37792, 1.50095, 1.57613, 1.62952, 1.66594, 1.70344, 1.73512, 1.78611, 1.84321, 1.86629, 1.91244, 1.98923, 2.09505, 2.11081, 2.14232, 2.20533, 2.23619, 2.2979, 2.37408, 2.5932, 2.65514, 2.76096, 2.84463, 2.89951, 3.00533, 3.08742, 3.14436, 3.25017, 3.35077, 3.39899, 3.49543, 3.60125, 3.70706, 3.81288, 3.9187, 4.02452, 4.34198");
-            values ( \
-              "0.0583752, 0.0589268, 0.112549, 0.141399, 0.158824, 0.169223, 0.178723, 0.185765, 0.195254, 0.203271, 0.205772, 0.209609, 0.212876, 0.211703, 0.210965, 0.209064, 0.20328, 0.199306, 0.18907, 0.172769, 0.117812, 0.103015, 0.0802238, 0.0649419, 0.0562179, 0.042068, 0.0333996, 0.0283732, 0.0208016, 0.0154354, 0.0133693, 0.00998445, 0.00723499, 0.00522605, 0.00377696, 0.00272024, 0.00196461, 0.000730921" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.01252, 0.0125366, 0.0125551, 0.0125711, 0.0125823, 0.0125889", \
-            "0.0167118, 0.0167291, 0.0167509, 0.016772, 0.016788, 0.016798", \
-            "0.0198053, 0.019819, 0.0198394, 0.0198625, 0.0198823, 0.0198956", \
-            "0.0215499, 0.0215568, 0.0215688, 0.0215858, 0.0216032, 0.0216167", \
-            "0.0224216, 0.0224088, 0.022394, 0.0223826, 0.0223781, 0.0223786", \
-            "0.0229204, 0.0228935, 0.0228527, 0.0228006, 0.0227514, 0.0227175" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0206286, 0.0206467, 0.0206704, 0.0206939, 0.0207118, 0.0207231", \
-            "0.0230305, 0.023032, 0.0230416, 0.0230575, 0.0230729, 0.0230837", \
-            "0.0218941, 0.0218308, 0.0217557, 0.0216831, 0.0216291, 0.0215958", \
-            "0.0206396, 0.0205223, 0.02036, 0.0201989, 0.0200662, 0.0199763", \
-            "0.0206718, 0.0204171, 0.0200845, 0.0197632, 0.0194886, 0.0193116", \
-            "0.0201313, 0.0201824, 0.0202758, 0.0200948, 0.0196214, 0.0192649" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.13181, 0.140899, 0.141275, 0.141776, 0.143278, 0.14478, 0.145991, 0.147203, 0.150722, 0.154237, 0.15775, 0.160272, 0.162974, 0.166351, 0.170405, 0.174204, 0.175977, 0.179522, 0.18277, 0.186018, 0.187552, 0.189087, 0.190621, 0.192155, 0.193355, 0.194555, 0.195755, 0.196955, 0.198161, 0.198764, 0.199971, 0.201498, 0.202422, 0.203346, 0.20427, 0.204808, 0.205346, 0.207068, 0.212873, 0.214695, 0.216303, 0.218222, 0.219794, 0.221123, 0.221883, 0.223207, 0.224531, 0.226426, 0.228321, 0.229937");
-            values ( \
-              "-0.00289754, -0.0259752, -0.0263889, -0.0271023, -0.0296175, -0.0324884, -0.035127, -0.0379036, -0.0470852, -0.0554233, -0.0626002, -0.0674443, -0.0723894, -0.0782561, -0.0848473, -0.0905862, -0.0931183, -0.0979051, -0.101812, -0.105313, -0.106589, -0.107684, -0.108598, -0.10933, -0.109559, -0.109607, -0.109472, -0.109155, -0.108536, -0.107789, -0.106026, -0.103279, -0.101337, -0.0991842, -0.0968208, -0.095112, -0.0930576, -0.085666, -0.0587121, -0.050572, -0.0443558, -0.0376472, -0.0325262, -0.0284936, -0.0263792, -0.0232992, -0.0204997, -0.0170231, -0.014037, -0.0118602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.147374, 0.161344, 0.170167, 0.176886, 0.186935, 0.19493, 0.206778, 0.221301, 0.232175, 0.235817, 0.239393, 0.244751, 0.250372, 0.254288, 0.267275, 0.274837, 0.283715, 0.29383, 0.299525, 0.307118, 0.318, 0.329518, 0.34426, 0.376946");
-            values ( \
-              "-0.00126019, -0.0379032, -0.0556801, -0.0723492, -0.0924007, -0.106053, -0.124725, -0.142375, -0.151416, -0.15295, -0.153536, -0.151187, -0.142845, -0.131844, -0.0787009, -0.0525731, -0.0310464, -0.0162051, -0.0111404, -0.00669461, -0.00314917, -0.00156266, -0.000661343, -0.000202744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.182797, 0.230625, 0.249451, 0.268351, 0.284074, 0.292474, 0.300574, 0.308278, 0.319515, 0.323414, 0.330898, 0.338394, 0.353385, 0.375917, 0.387389, 0.400803, 0.407855, 0.417812, 0.428326, 0.436418, 0.452601, 0.479751, 0.507622, 0.537704");
-            values ( \
-              "-0.014676, -0.0985498, -0.126457, -0.150628, -0.167397, -0.174743, -0.180663, -0.185188, -0.189884, -0.190762, -0.191, -0.187932, -0.165209, -0.0976315, -0.0688646, -0.043978, -0.034328, -0.0238955, -0.0162184, -0.011978, -0.00638297, -0.00208714, -0.000703858, -0.000267733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.244037, 0.2643, 0.279115, 0.286304, 0.29589, 0.326066, 0.332969, 0.337769, 0.348894, 0.361984, 0.376391, 0.380942, 0.390046, 0.402747, 0.415735, 0.430829, 0.446521, 0.46154, 0.474905, 0.495775, 0.502022, 0.514516, 0.528917, 0.55594, 0.563301, 0.573115, 0.592577, 0.598329, 0.60669, 0.616245, 0.632429, 0.637068, 0.646346, 0.664902, 0.678659, 0.683723, 0.693853, 0.714112, 0.75463, 0.762717");
-            values ( \
-              "-0.0199299, -0.035302, -0.0494462, -0.0571647, -0.0680555, -0.104089, -0.111165, -0.116466, -0.127767, -0.140496, -0.153431, -0.157327, -0.164746, -0.174306, -0.183284, -0.193008, -0.202163, -0.209753, -0.215341, -0.22121, -0.221996, -0.221945, -0.217618, -0.188176, -0.174496, -0.155023, -0.118135, -0.108056, -0.0946458, -0.0807738, -0.0608954, -0.056056, -0.0473264, -0.033379, -0.0256093, -0.0232427, -0.0190543, -0.0127491, -0.00540877, -0.00484103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.370978, 0.44329, 0.526657, 0.567599, 0.658212, 0.702258, 0.760643, 0.814789, 0.86668, 0.918586, 0.970502, 1.04493, 1.08469, 1.12841, 1.16807, 1.22136, 1.25002, 1.28278, 1.34829, 1.4501, 1.55191");
-            values ( \
-              "-0.0147598, -0.0537237, -0.109787, -0.134644, -0.18184, -0.201257, -0.222043, -0.2355, -0.241544, -0.235436, -0.201029, -0.122767, -0.0888502, -0.0604154, -0.0418586, -0.02502, -0.0189035, -0.013638, -0.00691807, -0.00222034, -0.000720657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.677035, 0.779816, 0.941496, 1.0518, 1.16603, 1.29726, 1.39907, 1.44223, 1.52102, 1.56349, 1.62448, 1.72629, 1.75778, 1.79137, 1.84211, 1.9885, 2.04368, 2.11726, 2.14884, 2.2078, 2.27519, 2.32917, 2.38347, 2.45587, 2.55768, 2.65949, 2.7613, 2.96492");
-            values ( \
-              "-0.0463602, -0.054085, -0.111725, -0.147232, -0.180229, -0.211634, -0.230558, -0.237015, -0.246144, -0.24947, -0.251712, -0.245025, -0.238027, -0.226474, -0.201759, -0.123139, -0.098791, -0.0721716, -0.0627963, -0.0481288, -0.0351524, -0.0272178, -0.0209913, -0.0147436, -0.00887508, -0.00531541, -0.00317661, -0.00113038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.156303, 0.16314, 0.165172, 0.166949, 0.168728, 0.170505, 0.173092, 0.173955, 0.17715, 0.180343, 0.185843, 0.19172, 0.19507, 0.196543, 0.199172, 0.203119, 0.206278, 0.208121, 0.209039, 0.210876, 0.211795, 0.212555, 0.213317, 0.214077, 0.215576, 0.216314, 0.217791, 0.219127, 0.220463, 0.221797, 0.223134, 0.223386, 0.224147, 0.226175, 0.228389, 0.232542, 0.234025, 0.23625, 0.238154, 0.241134, 0.243559, 0.244595, 0.246666, 0.247702, 0.249641");
-            values ( \
-              "-0.0282175, -0.0301197, -0.0340369, -0.0380536, -0.0430056, -0.0476329, -0.0537583, -0.0556836, -0.0621183, -0.0681326, -0.0775777, -0.0870419, -0.0920204, -0.0940913, -0.0974622, -0.101958, -0.105299, -0.106932, -0.107643, -0.108861, -0.109367, -0.109529, -0.109573, -0.1095, -0.109013, -0.108605, -0.107458, -0.105952, -0.104029, -0.101689, -0.098933, -0.0982559, -0.0960767, -0.0880038, -0.0780846, -0.0584519, -0.0518452, -0.0432468, -0.0367077, -0.027405, -0.0217628, -0.0196981, -0.0160196, -0.0144057, -0.0117523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.171785, 0.18048, 0.189981, 0.199113, 0.200078, 0.2128, 0.218733, 0.226615, 0.237029, 0.241774, 0.246611, 0.249962, 0.252868, 0.255707, 0.259381, 0.263702, 0.264618, 0.266449, 0.270087, 0.274156, 0.287145, 0.294719, 0.298659, 0.303575, 0.31013, 0.312745, 0.315613, 0.319438, 0.327088, 0.337944, 0.349486, 0.351");
-            values ( \
-              "-0.0246906, -0.0360597, -0.0554528, -0.0776576, -0.078832, -0.10287, -0.11268, -0.124365, -0.137765, -0.142908, -0.147417, -0.150058, -0.151732, -0.15298, -0.15342, -0.151968, -0.151094, -0.149252, -0.143089, -0.131879, -0.0786413, -0.0524844, -0.0417758, -0.0310154, -0.0204684, -0.0172296, -0.0142901, -0.011161, -0.00659912, -0.00318609, -0.00150349, -0.00142187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.2025, 0.220758, 0.224371, 0.227984, 0.239135, 0.250286, 0.258656, 0.267981, 0.277301, 0.281073, 0.285659, 0.289171, 0.294689, 0.301013, 0.306446, 0.311509, 0.314461, 0.318397, 0.322351, 0.326323, 0.331111, 0.333914, 0.336718, 0.339521, 0.34247, 0.345325, 0.349069, 0.350941, 0.352815, 0.35469, 0.356564, 0.358439, 0.362188, 0.365937, 0.369686, 0.373435, 0.374669, 0.377138, 0.39195, 0.398432, 0.401713, 0.407446, 0.412998, 0.416852, 0.420859, 0.422622, 0.427912, 0.432891, 0.43787, 0.441811");
-            values ( \
-              "-0.0159292, -0.0465563, -0.0521273, -0.0580829, -0.0782087, -0.0979211, -0.110837, -0.124266, -0.13671, -0.141554, -0.147187, -0.151422, -0.157725, -0.164324, -0.169501, -0.174029, -0.176476, -0.179426, -0.182018, -0.184306, -0.186716, -0.187949, -0.189051, -0.190023, -0.190355, -0.190469, -0.190306, -0.190093, -0.189175, -0.188036, -0.186678, -0.185099, -0.181281, -0.176581, -0.171001, -0.16454, -0.161851, -0.154758, -0.109177, -0.0906325, -0.0818031, -0.068651, -0.0574232, -0.0501353, -0.0433127, -0.0408306, -0.034033, -0.0285838, -0.0238757, -0.0206567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.267302, 0.300484, 0.362278, 0.385907, 0.409359, 0.45, 0.48072, 0.494086, 0.515021, 0.53358, 0.548106, 0.57513, 0.61177, 0.635433, 0.656261, 0.6841, 0.702917, 0.733298, 0.773806, 0.827537, 0.888244");
-            values ( \
-              "-0.0199265, -0.0516593, -0.121759, -0.144762, -0.16477, -0.192972, -0.209735, -0.215286, -0.221214, -0.221959, -0.217578, -0.188189, -0.118154, -0.0807513, -0.0560288, -0.0333487, -0.0232159, -0.0127244, -0.0054376, -0.00161133, -0.000443524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.419571, 0.485973, 0.547383, 0.602531, 0.661831, 0.7225, 0.780783, 0.8205, 0.861307, 0.886663, 0.938148, 0.95153, 0.965345, 0.995055, 1.06733, 1.09442, 1.13053, 1.15026, 1.17513, 1.20828, 1.23471, 1.26131, 1.29677, 1.3677, 1.46951, 1.57132");
-            values ( \
-              "-0.0482297, -0.0682557, -0.110088, -0.142903, -0.173801, -0.201263, -0.222014, -0.232464, -0.239506, -0.24142, -0.235509, -0.230225, -0.222155, -0.195731, -0.120017, -0.0964241, -0.0705277, -0.0590851, -0.046999, -0.0343036, -0.0265732, -0.0204996, -0.0144039, -0.00687578, -0.00220736, -0.000716453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.717201, 0.829505, 0.96213, 1.10156, 1.18648, 1.25406, 1.31773, 1.41954, 1.46272, 1.54149, 1.5839, 1.64496, 1.74677, 1.77829, 1.81191, 1.86259, 2.00899, 2.06416, 2.13773, 2.16932, 2.22829, 2.29569, 2.34966, 2.40395, 2.47633, 2.57814, 2.67995, 2.78176, 2.98538");
-            values ( \
-              "-0.0586524, -0.0642094, -0.111743, -0.156117, -0.180193, -0.197316, -0.211604, -0.230564, -0.237023, -0.246152, -0.249444, -0.251722, -0.245006, -0.238017, -0.226448, -0.201757, -0.123139, -0.0987922, -0.0721735, -0.0627971, -0.0481262, -0.035149, -0.0272165, -0.0209916, -0.0147459, -0.00887588, -0.00531649, -0.00317668, -0.00113017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.194945, 0.204797, 0.209203, 0.218043, 0.228096, 0.236179, 0.243255, 0.251485, 0.254965, 0.257737, 0.261124, 0.263361, 0.268188, 0.279877, 0.286016, 0.292577, 0.295796, 0.300089, 0.308674, 0.317568, 0.335696, 0.395378");
-            values ( \
-              "-0.000603861, -0.0260035, -0.0336553, -0.0555053, -0.0745046, -0.0876117, -0.0973891, -0.106071, -0.108483, -0.109581, -0.109319, -0.107286, -0.0962536, -0.0449932, -0.0257222, -0.0132722, -0.00946996, -0.00592148, -0.00219626, -0.000816349, -0.000261989, -7.90846e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.214853, 0.225021, 0.227469, 0.229917, 0.235702, 0.240767, 0.245865, 0.249263, 0.252952, 0.256932, 0.261892, 0.26783, 0.270799, 0.278286, 0.282238, 0.287445, 0.292175, 0.294229, 0.296239, 0.298056, 0.299874, 0.302564, 0.305614, 0.307767, 0.308815, 0.310387, 0.311789, 0.312667, 0.314424, 0.31639, 0.318356, 0.323959, 0.332608, 0.335129, 0.33891, 0.344505, 0.347792, 0.349436, 0.352723, 0.354366, 0.357671");
-            values ( \
-              "-0.0165633, -0.0372316, -0.0415206, -0.0463484, -0.0594991, -0.0716665, -0.0824983, -0.0892167, -0.0959967, -0.102888, -0.111032, -0.120135, -0.124422, -0.134225, -0.138849, -0.144295, -0.148564, -0.150161, -0.151521, -0.152528, -0.153334, -0.153078, -0.152432, -0.151749, -0.150999, -0.14915, -0.14702, -0.145456, -0.141795, -0.136291, -0.13017, -0.108765, -0.0733463, -0.06435, -0.0524571, -0.0373782, -0.0306424, -0.0277497, -0.0226017, -0.0203463, -0.016393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.24003, 0.295715, 0.308228, 0.327939, 0.344369, 0.360322, 0.372136, 0.383556, 0.387284, 0.39474, 0.402274, 0.41732, 0.440618, 0.453589, 0.4632, 0.479191, 0.485999, 0.496546, 0.510608, 0.537423, 0.564888, 0.594661");
-            values ( \
-              "-0.000976292, -0.100136, -0.119047, -0.145186, -0.163721, -0.177611, -0.185004, -0.18977, -0.19062, -0.190867, -0.187831, -0.16502, -0.0953607, -0.0639153, -0.0463045, -0.0261817, -0.0204071, -0.0137804, -0.00805554, -0.00263572, -0.00091449, -0.000304738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.319602, 0.344693, 0.351301, 0.357909, 0.371674, 0.388194, 0.401539, 0.412979, 0.426104, 0.434425, 0.444845, 0.45376, 0.466565, 0.479696, 0.494726, 0.502619, 0.510512, 0.518028, 0.525545, 0.532229, 0.538914, 0.544124, 0.549334, 0.554544, 0.559754, 0.566046, 0.572338, 0.57863, 0.58221, 0.585791, 0.589371, 0.592952, 0.59971, 0.606469, 0.613227, 0.619985, 0.622436, 0.64201, 0.656613, 0.670754, 0.68033, 0.68841, 0.699963, 0.705751, 0.710382, 0.719643, 0.724274, 0.728905, 0.739249, 0.747774");
-            values ( \
-              "-0.0437648, -0.0508923, -0.0581032, -0.0656438, -0.0823459, -0.101629, -0.115891, -0.127547, -0.14037, -0.147979, -0.157178, -0.164504, -0.174136, -0.183298, -0.193063, -0.197807, -0.202298, -0.206342, -0.210158, -0.213037, -0.215581, -0.217332, -0.218879, -0.220223, -0.221363, -0.221615, -0.221444, -0.220851, -0.219564, -0.217969, -0.216066, -0.213856, -0.208846, -0.202741, -0.195539, -0.187241, -0.183553, -0.145306, -0.118078, -0.0939422, -0.0803868, -0.0702997, -0.0567662, -0.0508517, -0.0465995, -0.0394812, -0.036247, -0.0332294, -0.0273735, -0.0228974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.47726, 0.556145, 0.651641, 0.721187, 0.766949, 0.825308, 0.879414, 0.931335, 0.983237, 1.03515, 1.10958, 1.14932, 1.19305, 1.2328, 1.28602, 1.34726, 1.4126, 1.51441, 1.61622");
-            values ( \
-              "-0.0614273, -0.0861109, -0.145542, -0.181082, -0.201307, -0.222076, -0.235518, -0.241563, -0.235448, -0.201037, -0.122762, -0.0888681, -0.0604249, -0.0418303, -0.0250226, -0.013666, -0.00693774, -0.00223466, -0.000717283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.762955, 0.873825, 1.00686, 1.14611, 1.2311, 1.36234, 1.46415, 1.50734, 1.58611, 1.62851, 1.68957, 1.79138, 1.8229, 1.85652, 1.90721, 2.0536, 2.10878, 2.18235, 2.21394, 2.27291, 2.3403, 2.39428, 2.44856, 2.52095, 2.62276, 2.72457, 2.82638, 3.03");
-            values ( \
-              "-0.0599899, -0.0640928, -0.1118, -0.156112, -0.18021, -0.21162, -0.230551, -0.23701, -0.246139, -0.249458, -0.251709, -0.24502, -0.238019, -0.226452, -0.201757, -0.123139, -0.0987919, -0.0721733, -0.0627969, -0.0481266, -0.0351494, -0.0272167, -0.0209916, -0.0147454, -0.00887586, -0.00531624, -0.00317676, -0.00113029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.294683, 0.301169, 0.307427, 0.312687, 0.317974, 0.324517, 0.332611, 0.339692, 0.346182, 0.352315, 0.357023, 0.360903, 0.364636, 0.375085, 0.377849, 0.381453, 0.383466, 0.38749, 0.391735, 0.394747, 0.400769, 0.409555, 0.418653, 0.422268");
-            values ( \
-              "-0.018194, -0.0253988, -0.0374294, -0.0515433, -0.0626988, -0.0743333, -0.0877584, -0.0972746, -0.104712, -0.1089, -0.109741, -0.105826, -0.0963103, -0.0499343, -0.0393901, -0.0283526, -0.0233146, -0.0155628, -0.00996467, -0.00725596, -0.00366857, -0.0013732, -0.000530797, -0.000475102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.306664, 0.336267, 0.355093, 0.366945, 0.381504, 0.392364, 0.395993, 0.399593, 0.404929, 0.41049, 0.414466, 0.427454, 0.435021, 0.44389, 0.453057, 0.459719, 0.467334, 0.478156, 0.489679, 0.50435, 0.536979");
-            values ( \
-              "-0.00218958, -0.0703353, -0.106077, -0.124609, -0.142348, -0.151382, -0.152951, -0.153498, -0.151156, -0.142947, -0.131849, -0.0786848, -0.0525466, -0.0310432, -0.0172647, -0.0111403, -0.00666753, -0.00316693, -0.00155465, -0.000675317, -0.000213813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.35349, 0.37103, 0.372438, 0.383556, 0.385815, 0.392591, 0.396411, 0.404051, 0.413857, 0.418948, 0.423623, 0.428451, 0.432757, 0.438292, 0.441371, 0.44608, 0.455478, 0.460326, 0.464581, 0.468836, 0.471599, 0.474362, 0.479888, 0.482951, 0.485844, 0.487716, 0.491459, 0.493332, 0.495206, 0.49708, 0.498953, 0.502701, 0.506448, 0.510196, 0.513943, 0.515179, 0.517652, 0.532489, 0.538521, 0.542189, 0.54506, 0.547932, 0.553492, 0.557356, 0.561378, 0.56314, 0.568425, 0.573402, 0.578379, 0.582315");
-            values ( \
-              "-0.0610469, -0.0624107, -0.0649064, -0.086166, -0.0899848, -0.101012, -0.106868, -0.118119, -0.131663, -0.138331, -0.144218, -0.15009, -0.155122, -0.161166, -0.164333, -0.16875, -0.176818, -0.180523, -0.183138, -0.185454, -0.186798, -0.188014, -0.190068, -0.19042, -0.190536, -0.190499, -0.190161, -0.189241, -0.1881, -0.186739, -0.185158, -0.181334, -0.17663, -0.171044, -0.164577, -0.161881, -0.154773, -0.109095, -0.0918207, -0.0818871, -0.0751055, -0.0686988, -0.0574501, -0.0501388, -0.0432951, -0.0408157, -0.034025, -0.0285776, -0.0238724, -0.020658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.403128, 0.448648, 0.493159, 0.540688, 0.57551, 0.60629, 0.634677, 0.655574, 0.661798, 0.674246, 0.688696, 0.71572, 0.752358, 0.776028, 0.79685, 0.824682, 0.838441, 0.853636, 0.873897, 0.914419, 0.968221, 1.02892");
-            values ( \
-              "-0.0106586, -0.0599442, -0.111703, -0.157242, -0.183243, -0.202127, -0.215313, -0.221186, -0.221975, -0.221927, -0.217605, -0.188163, -0.118129, -0.080777, -0.0560619, -0.0333869, -0.0256026, -0.0190469, -0.0127555, -0.00540096, -0.00164098, -0.000408948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.514993, 0.602124, 0.723336, 0.817075, 0.862742, 0.92117, 0.975323, 1.02727, 1.07921, 1.13114, 1.22945, 1.27744, 1.32806, 1.38189, 1.44462, 1.51154, 1.57117");
-            values ( \
-              "-0.00455732, -0.0522709, -0.131569, -0.180805, -0.201025, -0.221867, -0.235342, -0.241449, -0.23535, -0.200992, -0.101452, -0.0670558, -0.0421071, -0.0250368, -0.0134655, -0.0067326, -0.00404937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.870904, 0.993481, 1.10641, 1.22556, 1.32785, 1.45929, 1.5611, 1.6037, 1.68286, 1.72755, 1.78631, 1.88812, 1.91958, 1.95314, 2.00394, 2.09445, 2.20551, 2.31067, 2.36962, 2.43699, 2.5453, 2.61772, 2.71953, 2.82134, 2.92315, 3.12677");
-            values ( \
-              "-0.0650995, -0.0722805, -0.112796, -0.150931, -0.180218, -0.211665, -0.230624, -0.236997, -0.246174, -0.249596, -0.251738, -0.245009, -0.23804, -0.2265, -0.201762, -0.151523, -0.0987915, -0.0627971, -0.04813, -0.0351574, -0.0209895, -0.0147425, -0.00887286, -0.00531562, -0.0031752, -0.00112927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.497259, 0.50445, 0.505777, 0.507105, 0.508433, 0.50976, 0.510988, 0.512215, 0.520405, 0.523686, 0.526966, 0.531555, 0.533743, 0.53812, 0.540308, 0.544096, 0.547884, 0.549723, 0.552547, 0.554759, 0.558598, 0.5612, 0.562523, 0.563845, 0.564749, 0.565652, 0.566556, 0.567459, 0.568236, 0.569013, 0.56979, 0.570567, 0.571371, 0.572176, 0.57298, 0.573784, 0.574312, 0.575016, 0.576425, 0.578913, 0.583787, 0.586145, 0.589209, 0.590133, 0.591519, 0.591981, 0.593829, 0.595677, 0.597908, 0.600134");
-            values ( \
-              "-0.0160681, -0.0204065, -0.0219633, -0.0236561, -0.0254847, -0.0274493, -0.0294855, -0.031675, -0.0490956, -0.0551184, -0.0609212, -0.0686694, -0.072061, -0.0785598, -0.081667, -0.0868218, -0.0916926, -0.0938559, -0.0969093, -0.0990976, -0.102481, -0.104549, -0.104839, -0.104938, -0.104896, -0.104764, -0.104544, -0.104235, -0.103616, -0.102831, -0.101881, -0.100765, -0.0994199, -0.097891, -0.0961783, -0.0942817, -0.0926952, -0.0901951, -0.0845684, -0.0737581, -0.0520266, -0.0431166, -0.0330219, -0.0301342, -0.0263478, -0.0251865, -0.0212071, -0.0177113, -0.0142021, -0.0109982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.517384, 0.527126, 0.529185, 0.533989, 0.536735, 0.537782, 0.544065, 0.549102, 0.550271, 0.551441, 0.552611, 0.555184, 0.560624, 0.567266, 0.572254, 0.575341, 0.578304, 0.582651, 0.58643, 0.590082, 0.593698, 0.597612, 0.600211, 0.603402, 0.605118, 0.606835, 0.60963, 0.611713, 0.614014, 0.615345, 0.616246, 0.617327, 0.618768, 0.620209, 0.62286, 0.62551, 0.627375, 0.631107, 0.632973, 0.638581, 0.640491, 0.643675, 0.646222, 0.648551, 0.651773, 0.655033, 0.656663, 0.659923, 0.661553, 0.664985");
-            values ( \
-              "-0.0262256, -0.029896, -0.0330333, -0.0410089, -0.0459709, -0.0480572, -0.0620758, -0.0723864, -0.0775442, -0.078786, -0.0802184, -0.0840858, -0.0938927, -0.10506, -0.112991, -0.1177, -0.122008, -0.127948, -0.132815, -0.137139, -0.141041, -0.1449, -0.147157, -0.149428, -0.150451, -0.151334, -0.151164, -0.150856, -0.150334, -0.149894, -0.149205, -0.147986, -0.145827, -0.143132, -0.136393, -0.1286, -0.122055, -0.108247, -0.100986, -0.0779339, -0.0706448, -0.0598837, -0.0521343, -0.0457081, -0.0372983, -0.0306364, -0.0277804, -0.0226877, -0.0204509, -0.0163506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.558455, 0.575991, 0.602575, 0.614635, 0.636948, 0.646914, 0.655426, 0.664688, 0.672982, 0.680577, 0.691696, 0.695773, 0.703285, 0.710747, 0.725669, 0.745784, 0.75722, 0.769237, 0.77649, 0.78277, 0.79482, 0.801415, 0.814604, 0.840708, 0.868001, 0.897333");
-            values ( \
-              "-0.046167, -0.0491082, -0.0969814, -0.114935, -0.144842, -0.156666, -0.165405, -0.173674, -0.179786, -0.184358, -0.189102, -0.190118, -0.190406, -0.187503, -0.165283, -0.104881, -0.0748143, -0.0504234, -0.0393135, -0.0314249, -0.0202384, -0.0158805, -0.00957004, -0.00329222, -0.00108024, -0.000404722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.625547, 0.654016, 0.710322, 0.762656, 0.788306, 0.819095, 0.847479, 0.868342, 0.874598, 0.88711, 0.901494, 0.928518, 0.965154, 0.988827, 1.00965, 1.03747, 1.0563, 1.0867, 1.12722, 1.18087, 1.24147");
-            values ( \
-              "-0.0313601, -0.0521004, -0.116405, -0.164739, -0.183249, -0.202135, -0.215315, -0.221213, -0.221972, -0.22195, -0.217597, -0.188187, -0.118152, -0.0807514, -0.0560387, -0.0333655, -0.0232258, -0.0127301, -0.00542478, -0.00162279, -0.000436573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.765266, 0.817165, 0.939885, 1.00977, 1.07614, 1.13451, 1.18863, 1.24055, 1.29246, 1.34437, 1.4188, 1.45856, 1.50228, 1.54191, 1.59522, 1.65669, 1.72227, 1.82407, 1.92588");
-            values ( \
-              "-0.0416047, -0.0537823, -0.133732, -0.170963, -0.201265, -0.222044, -0.23549, -0.241546, -0.235432, -0.201031, -0.12277, -0.0888484, -0.060416, -0.0418706, -0.025023, -0.0136336, -0.00690817, -0.00222007, -0.000717653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("1.07127, 1.17483, 1.31715, 1.45638, 1.54127, 1.60867, 1.6725, 1.77431, 1.81748, 1.89626, 1.93872, 1.99972, 2.10153, 2.13303, 2.16662, 2.21736, 2.36375, 2.41893, 2.4925, 2.52408, 2.58305, 2.65043, 2.70442, 2.75872, 2.83111, 2.93292, 3.03473, 3.13654, 3.34016");
-            values ( \
-              "-0.0607531, -0.060901, -0.111853, -0.156156, -0.180223, -0.197278, -0.211628, -0.230563, -0.237019, -0.246148, -0.249465, -0.251716, -0.24502, -0.238027, -0.226473, -0.201759, -0.12314, -0.0987914, -0.0721713, -0.0627967, -0.0481283, -0.0351525, -0.0272174, -0.020991, -0.0147439, -0.00887482, -0.00531573, -0.00317634, -0.00113009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.905712, 0.923434, 0.931131, 0.933993, 0.953895, 0.966625, 0.977305, 0.989272, 0.996353, 0.999831, 1.00284, 1.00715, 1.02085, 1.02643, 1.03346, 1.03849, 1.0425, 1.05053, 1.05977, 1.06923, 1.1558");
-            values ( \
-              "-0.000572429, -0.0142219, -0.020516, -0.0236167, -0.0518725, -0.0673684, -0.0786971, -0.0887313, -0.0922696, -0.0925225, -0.0910251, -0.0844937, -0.0389012, -0.0244985, -0.0128149, -0.0078525, -0.00522168, -0.00223255, -0.000859393, -0.000434617, -0.000134539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.944573, 0.959051, 0.960666, 0.962361, 0.964056, 0.967446, 0.969914, 0.97485, 0.981103, 0.984888, 0.988673, 0.996736, 1.0023, 1.00806, 1.01359, 1.02073, 1.02581, 1.03083, 1.03685, 1.03965, 1.04717, 1.04831, 1.04944, 1.05058, 1.05171, 1.05308, 1.05445, 1.05583, 1.0572, 1.05958, 1.06196, 1.06435, 1.06673, 1.06724, 1.06775, 1.06928, 1.07183, 1.07387, 1.07968, 1.08437, 1.08659, 1.08993, 1.09227, 1.09516, 1.09657, 1.09798, 1.1008, 1.10361, 1.10678, 1.10934");
-            values ( \
-              "-0.0264745, -0.0289019, -0.030761, -0.0328496, -0.0350274, -0.0396504, -0.0433816, -0.0511298, -0.0614928, -0.0673352, -0.072936, -0.0839749, -0.0912603, -0.0985073, -0.10517, -0.113166, -0.118537, -0.123473, -0.128919, -0.1311, -0.135904, -0.136175, -0.136328, -0.136363, -0.136278, -0.136018, -0.135584, -0.134977, -0.134197, -0.132383, -0.130018, -0.127102, -0.123636, -0.122614, -0.121546, -0.117049, -0.108689, -0.101726, -0.080958, -0.0648862, -0.0581113, -0.0489789, -0.0432097, -0.0363969, -0.0334756, -0.0307746, -0.0262087, -0.0221722, -0.0183251, -0.0154267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.997861, 1.02026, 1.02899, 1.0397, 1.04874, 1.05694, 1.06623, 1.0699, 1.07947, 1.08491, 1.09296, 1.10091, 1.10884, 1.11624, 1.12071, 1.12519, 1.13125, 1.13327, 1.1389, 1.14454, 1.1494, 1.15138, 1.15337, 1.15536, 1.15735, 1.15931, 1.16126, 1.16322, 1.16518, 1.16909, 1.17301, 1.17692, 1.18084, 1.18208, 1.18458, 1.19208, 1.19957, 1.20652, 1.21577, 1.21607, 1.21757, 1.21964, 1.22603, 1.22887, 1.2307, 1.23618, 1.24131, 1.24387, 1.24644, 1.25057");
-            values ( \
-              "-0.0403498, -0.0465536, -0.0581014, -0.0738678, -0.0864515, -0.0972462, -0.108788, -0.113268, -0.124466, -0.13066, -0.139504, -0.147621, -0.15504, -0.161484, -0.16499, -0.168178, -0.171974, -0.173131, -0.175966, -0.178594, -0.18069, -0.180936, -0.180963, -0.180772, -0.180362, -0.179743, -0.178914, -0.177875, -0.176626, -0.173498, -0.16953, -0.164722, -0.159074, -0.156708, -0.150255, -0.12917, -0.107522, -0.0886789, -0.0670756, -0.0658746, -0.0634694, -0.0594716, -0.0479519, -0.0432586, -0.0407432, -0.0338585, -0.0283703, -0.0259119, -0.023644, -0.0203648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.07792, 1.10781, 1.19655, 1.2287, 1.2612, 1.28395, 1.3128, 1.3352, 1.3503, 1.36736, 1.39458, 1.43094, 1.45587, 1.47589, 1.50247, 1.53314, 1.55451, 1.59723, 1.65234, 1.71382");
-            values ( \
-              "-0.0365659, -0.0429278, -0.133351, -0.160878, -0.184388, -0.198512, -0.212532, -0.219175, -0.220277, -0.21613, -0.187305, -0.118487, -0.0794523, -0.055958, -0.034168, -0.0188919, -0.0123506, -0.00498154, -0.00144302, -0.000374439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.22923, 1.28528, 1.40691, 1.47986, 1.54635, 1.60479, 1.65895, 1.71092, 1.76286, 1.8148, 1.91312, 1.96117, 2.01153, 2.06552, 2.12863, 2.19595, 2.25256");
-            values ( \
-              "-0.0365493, -0.0518116, -0.131453, -0.170522, -0.200956, -0.221814, -0.2353, -0.241418, -0.235328, -0.200979, -0.101437, -0.0670098, -0.0421832, -0.0250455, -0.0134198, -0.00667569, -0.00415136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.53007, 1.62736, 1.78815, 1.89842, 2.01276, 2.14379, 2.2456, 2.34605, 2.41036, 2.47093, 2.57274, 2.60407, 2.63748, 2.68854, 2.77903, 2.89012, 2.99527, 3.05417, 3.12148, 3.22993, 3.30244, 3.40425, 3.60787, 3.81149");
-            values ( \
-              "-0.0515448, -0.0545745, -0.111882, -0.147368, -0.180378, -0.211712, -0.230625, -0.244087, -0.249534, -0.251746, -0.245044, -0.238088, -0.226624, -0.20177, -0.151543, -0.0987867, -0.0627941, -0.0481414, -0.0351742, -0.0209872, -0.0147323, -0.00886832, -0.00317419, -0.00112956" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0123158, 0.0123289, 0.0123442, 0.0123583, 0.0123685, 0.0123746", \
-            "0.0146416, 0.014649, 0.0146588, 0.0146699, 0.014679, 0.014685", \
-            "0.0160671, 0.0160697, 0.0160744, 0.016081, 0.0160878, 0.0160929", \
-            "0.0169171, 0.0169178, 0.0169192, 0.0169218, 0.0169254, 0.0169289", \
-            "0.0173771, 0.0173774, 0.0173778, 0.0173786, 0.0173799, 0.0173817", \
-            "0.0176266, 0.017627, 0.0176276, 0.0176283, 0.017629, 0.0176299" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0168306, 0.0168415, 0.0168562, 0.0168709, 0.0168821, 0.0168891", \
-            "0.0190039, 0.0190132, 0.019025, 0.0190368, 0.0190461, 0.0190518", \
-            "0.020573, 0.0205836, 0.0205959, 0.0206071, 0.0206153, 0.0206202", \
-            "0.0218755, 0.0218538, 0.0218299, 0.0218104, 0.0217978, 0.0217904", \
-            "0.0229689, 0.0228535, 0.0227086, 0.0225675, 0.0224608, 0.022392", \
-            "0.0237271, 0.0236538, 0.0234527, 0.023133, 0.0228608, 0.0226826" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.130949, 0.164562, 0.231881, 0.36944, 0.651826, 1.23652", \
-            "0.135476, 0.169158, 0.236591, 0.374162, 0.656564, 1.24148", \
-            "0.145667, 0.17946, 0.246899, 0.384733, 0.667377, 1.25213", \
-            "0.168648, 0.202507, 0.270138, 0.408167, 0.690933, 1.2759", \
-            "0.204703, 0.244213, 0.318786, 0.460412, 0.744159, 1.32918", \
-            "0.236696, 0.286541, 0.378745, 0.549082, 0.857506, 1.44806" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0400824, 0.0615349, 0.106048, 0.197705, 0.386873, 0.781698", \
-            "0.0401282, 0.0614102, 0.105945, 0.197529, 0.386766, 0.781458", \
-            "0.0399828, 0.0612769, 0.105842, 0.19745, 0.386636, 0.781413", \
-            "0.0400725, 0.0613643, 0.105748, 0.19738, 0.386603, 0.781372", \
-            "0.0438333, 0.0645143, 0.107453, 0.197696, 0.386472, 0.781255", \
-            "0.0520727, 0.0729911, 0.116131, 0.204166, 0.388345, 0.781281" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.155566, 0.195041, 0.273252, 0.431805, 0.756108, 1.42645", \
-            "0.161364, 0.200879, 0.279389, 0.438186, 0.762354, 1.43308", \
-            "0.174673, 0.214237, 0.292817, 0.451523, 0.776662, 1.44744", \
-            "0.204073, 0.24342, 0.321852, 0.480662, 0.805609, 1.477", \
-            "0.261947, 0.304801, 0.385789, 0.545687, 0.870694, 1.54232", \
-            "0.357443, 0.408278, 0.503822, 0.68138, 1.01372, 1.68671" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0398207, 0.059809, 0.0990822, 0.177267, 0.335389, 0.662936", \
-            "0.0397875, 0.0598556, 0.0990594, 0.177089, 0.335609, 0.662729", \
-            "0.0397935, 0.0597692, 0.0990519, 0.177331, 0.335362, 0.662925", \
-            "0.0395621, 0.0595633, 0.0987603, 0.177163, 0.335513, 0.662906", \
-            "0.0415946, 0.0611967, 0.0991616, 0.176776, 0.335296, 0.662866", \
-            "0.0472633, 0.0668829, 0.105562, 0.180685, 0.335592, 0.662631" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0975057, 0.11235, 0.11914, 0.123127, 0.130125, 0.136457, 0.142514, 0.148573, 0.154623, 0.16113, 0.166589, 0.175306, 0.179446, 0.188692, 0.192901, 0.197897, 0.205262, 0.209373, 0.216078, 0.227326, 0.242139, 0.251201");
-            values ( \
-              "0.0105915, 0.0591267, 0.0781749, 0.0873044, 0.100096, 0.106885, 0.109548, 0.107073, 0.0959166, 0.0731084, 0.0564454, 0.0364697, 0.0293313, 0.0177853, 0.0141274, 0.0107338, 0.00694166, 0.00551651, 0.00391345, 0.00256763, 0.0012817, 0.000957161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.105468, 0.114301, 0.146786, 0.157218, 0.166749, 0.176013, 0.185261, 0.192106, 0.225789, 0.243351, 0.253727, 0.274044, 0.294788");
-            values ( \
-              "0.0180469, 0.0311183, 0.122835, 0.139246, 0.147004, 0.148524, 0.143133, 0.131957, 0.0525855, 0.0286559, 0.0198367, 0.0095938, 0.00510478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.148802, 0.172426, 0.178372, 0.183128, 0.188729, 0.191529, 0.194329, 0.198663, 0.202998, 0.207332, 0.211667, 0.212077, 0.215362, 0.219116, 0.224746, 0.227853, 0.230312, 0.23523, 0.241551, 0.243673, 0.246855, 0.250037, 0.252159, 0.256402, 0.259971, 0.26354, 0.267108, 0.272508, 0.277907, 0.286903, 0.299795, 0.306858, 0.309426, 0.314413, 0.3194, 0.322477, 0.335086, 0.340155, 0.345225, 0.348605, 0.355364, 0.364557, 0.371864, 0.376735, 0.381606, 0.386201, 0.390795, 0.39539, 0.399984, 0.407876");
-            values ( \
-              "0.11304, 0.116437, 0.129581, 0.13901, 0.147254, 0.15108, 0.154708, 0.159934, 0.164687, 0.168965, 0.17277, 0.172917, 0.175137, 0.176843, 0.178884, 0.179779, 0.180276, 0.180042, 0.179387, 0.17887, 0.177575, 0.176013, 0.174823, 0.172086, 0.169118, 0.165222, 0.160883, 0.15317, 0.145053, 0.129751, 0.108911, 0.0972141, 0.0930901, 0.0858375, 0.0789258, 0.0749439, 0.0592013, 0.0536128, 0.0486402, 0.0455624, 0.0398346, 0.0329607, 0.0278597, 0.0251654, 0.0226815, 0.0205784, 0.0186313, 0.0168402, 0.0152053, 0.0127299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.199252, 0.232366, 0.237216, 0.248793, 0.258555, 0.264458, 0.270361, 0.276264, 0.283177, 0.29009, 0.297823, 0.305556, 0.313315, 0.321074, 0.324766, 0.328715, 0.333661, 0.34108, 0.351751, 0.36191, 0.372068, 0.37676, 0.381453, 0.385189, 0.388924, 0.39266, 0.396396, 0.404267, 0.412139, 0.419906, 0.427672, 0.435438, 0.446029, 0.499781, 0.505696, 0.519152, 0.535095, 0.552096, 0.563182, 0.576613, 0.58109, 0.587019, 0.598878, 0.606573, 0.615402, 0.625998, 0.640125, 0.654252, 0.671998, 0.688486");
-            values ( \
-              "0.102052, 0.107364, 0.115658, 0.133051, 0.145988, 0.15311, 0.159747, 0.165899, 0.172486, 0.178408, 0.183549, 0.188013, 0.191812, 0.19493, 0.196101, 0.197192, 0.19834, 0.199663, 0.19981, 0.199688, 0.199311, 0.198755, 0.198032, 0.197241, 0.196289, 0.195175, 0.193901, 0.190329, 0.186207, 0.1816, 0.176459, 0.170782, 0.16215, 0.109409, 0.103797, 0.0921466, 0.0794062, 0.0667211, 0.0591981, 0.0515036, 0.0491047, 0.0461631, 0.0405004, 0.0369831, 0.0332068, 0.0292608, 0.0249736, 0.0211892, 0.0172232, 0.0138456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.245396, 0.321038, 0.36496, 0.408319, 0.450398, 0.485537, 0.502738, 0.523937, 0.547143, 0.577117, 0.605302, 0.655444, 0.663118, 0.678467, 0.709164, 0.723174, 0.751195, 0.789682, 0.891307, 0.966207, 0.993311, 1.03339, 1.0792, 1.13183, 1.16134, 1.22037, 1.2558, 1.29204, 1.34036, 1.437, 1.53959, 1.64218, 1.74477");
-            values ( \
-              "0.00259005, 0.0628606, 0.106133, 0.144259, 0.17332, 0.190601, 0.19722, 0.20376, 0.209313, 0.213563, 0.215304, 0.212961, 0.212135, 0.209729, 0.203159, 0.198923, 0.188802, 0.170988, 0.118248, 0.083577, 0.0728449, 0.0590541, 0.0458133, 0.0340168, 0.0285631, 0.02013, 0.0161803, 0.012973, 0.00970314, 0.00518142, 0.00273747, 0.00134509, 0.000753992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.475863, 0.561927, 0.650496, 0.717272, 0.797182, 0.863237, 0.891559, 0.948203, 1.01445, 1.11704, 1.13145, 1.16026, 1.20367, 1.24789, 1.33632, 1.36973, 1.4322, 1.50509, 1.72434, 1.79231, 1.8949, 2.0111, 2.10205, 2.17134, 2.24901, 2.32768, 2.43027, 2.48148, 2.5839, 2.68649, 2.78908, 2.99426, 3.19944, 3.50721");
-            values ( \
-              "0.055818, 0.0641352, 0.110169, 0.141811, 0.173003, 0.192843, 0.199619, 0.210251, 0.218271, 0.223052, 0.223064, 0.222679, 0.221137, 0.218397, 0.209138, 0.204024, 0.192186, 0.175175, 0.117992, 0.101516, 0.0793513, 0.058824, 0.0459239, 0.0378456, 0.0303312, 0.0241353, 0.0178055, 0.0152932, 0.0112228, 0.00821833, 0.00599928, 0.00318856, 0.00168922, 0.000653484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.112754, 0.121678, 0.14168, 0.148714, 0.15506, 0.161121, 0.167184, 0.173231, 0.183316, 0.193927, 0.206973, 0.218813, 0.234651, 0.244531");
-            values ( \
-              "0.0135748, 0.0276393, 0.0866901, 0.0997462, 0.10678, 0.109496, 0.107154, 0.095924, 0.0618503, 0.0364815, 0.0181318, 0.00934866, 0.00391078, 0.00292473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.137274, 0.151128, 0.153177, 0.157083, 0.160989, 0.162168, 0.165705, 0.168064, 0.170422, 0.17278, 0.176562, 0.178936, 0.180835, 0.181785, 0.182736, 0.184638, 0.185589, 0.187491, 0.189392, 0.191294, 0.193196, 0.194821, 0.196445, 0.197257, 0.198069, 0.19959, 0.201111, 0.204152, 0.206503, 0.208854, 0.211205, 0.213556, 0.214359, 0.227135, 0.232501, 0.236548, 0.241066, 0.243325, 0.24661, 0.249594, 0.251831, 0.256026, 0.261095, 0.266422, 0.270285, 0.274859, 0.278329, 0.280455, 0.286832, 0.292395");
-            values ( \
-              "0.0825009, 0.0881679, 0.094113, 0.104677, 0.114228, 0.116672, 0.123538, 0.127728, 0.131607, 0.135176, 0.140209, 0.142834, 0.144624, 0.145416, 0.146024, 0.147052, 0.147473, 0.147992, 0.148354, 0.148558, 0.148605, 0.14852, 0.14799, 0.147606, 0.147143, 0.145926, 0.144522, 0.141146, 0.13802, 0.134443, 0.130415, 0.125937, 0.124094, 0.0890927, 0.0764641, 0.0677964, 0.0589477, 0.0548384, 0.0492358, 0.0443184, 0.0408768, 0.0354594, 0.0297312, 0.0244236, 0.0211767, 0.0180562, 0.0159942, 0.0148764, 0.011892, 0.00971861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.170149, 0.197117, 0.202004, 0.207462, 0.21292, 0.21725, 0.221581, 0.225911, 0.230298, 0.233826, 0.237467, 0.241108, 0.246411, 0.251294, 0.255975, 0.257531, 0.260643, 0.262199, 0.264183, 0.266166, 0.26815, 0.270134, 0.272224, 0.274314, 0.278494, 0.281866, 0.285239, 0.288611, 0.291983, 0.29647, 0.317078, 0.324541, 0.330137, 0.334671, 0.339205, 0.345061, 0.354887, 0.358856, 0.361336, 0.368775, 0.37528, 0.381785, 0.385755, 0.391711, 0.393696, 0.401636, 0.405606, 0.409576, 0.418628, 0.426108");
-            values ( \
-              "0.126172, 0.129973, 0.139597, 0.147672, 0.154957, 0.159127, 0.163499, 0.168075, 0.172918, 0.175258, 0.176971, 0.178357, 0.180032, 0.180209, 0.180044, 0.179827, 0.179176, 0.178742, 0.178045, 0.177212, 0.176242, 0.175136, 0.173667, 0.172051, 0.168378, 0.164986, 0.16121, 0.157051, 0.15251, 0.145797, 0.110808, 0.0985245, 0.0898465, 0.083387, 0.0772072, 0.0697444, 0.0578236, 0.0533773, 0.050938, 0.0440857, 0.0388043, 0.0337793, 0.0308385, 0.0270475, 0.0258875, 0.021938, 0.0201454, 0.0184742, 0.0151782, 0.0126573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.17902, 0.305278, 0.32612, 0.339974, 0.353327, 0.366748, 0.376336, 0.399582, 0.422846, 0.430295, 0.445191, 0.463992, 0.518954, 0.563051, 0.602135, 0.641812, 0.663074, 0.693067, 0.724273, 0.748179, 0.795991, 0.850751");
-            values ( \
-              "0.00472916, 0.174979, 0.189351, 0.19518, 0.198376, 0.200172, 0.20053, 0.19851, 0.191539, 0.187917, 0.178267, 0.162243, 0.108715, 0.0719102, 0.0477002, 0.0305224, 0.0238187, 0.0166429, 0.0114292, 0.00853153, 0.00466619, 0.00265615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.298664, 0.33947, 0.383704, 0.415646, 0.452078, 0.483932, 0.509996, 0.541211, 0.566038, 0.596042, 0.624186, 0.672895, 0.700106, 0.736386, 0.75293, 0.774989, 0.808544, 0.911333, 0.937972, 0.991249, 1.02722, 1.07988, 1.12418, 1.16151, 1.20316, 1.26221, 1.32271, 1.36891, 1.46132, 1.56391, 1.6665, 1.76909");
-            values ( \
-              "0.0590873, 0.0622409, 0.105856, 0.13471, 0.162532, 0.181186, 0.192811, 0.203394, 0.209282, 0.213683, 0.215297, 0.213236, 0.209365, 0.2008, 0.195359, 0.186704, 0.171059, 0.117595, 0.104563, 0.0809635, 0.0673792, 0.0507364, 0.0395279, 0.0318831, 0.0249502, 0.017466, 0.0120959, 0.00909385, 0.00505688, 0.0026075, 0.00134303, 0.00068785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.489031, 0.582001, 0.67015, 0.731832, 0.814959, 0.880985, 0.910657, 0.97, 1.03347, 1.13606, 1.17911, 1.22256, 1.26682, 1.35533, 1.38863, 1.45109, 1.52397, 1.7432, 1.80951, 1.9121, 1.98624, 2.03411, 2.12984, 2.19048, 2.25812, 2.33989, 2.44248, 2.49998, 2.60257, 2.70516, 2.80775, 3.01293, 3.21811, 3.52588");
-            values ( \
-              "0.046192, 0.0648528, 0.110604, 0.140055, 0.172768, 0.192698, 0.199755, 0.210844, 0.218356, 0.223168, 0.222786, 0.22118, 0.218483, 0.209143, 0.204089, 0.192191, 0.175222, 0.117983, 0.101928, 0.0796666, 0.0659779, 0.0581881, 0.0447853, 0.0378025, 0.0311833, 0.0246099, 0.0181487, 0.0153114, 0.0112186, 0.00822677, 0.00599397, 0.0031828, 0.00168333, 0.000659471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.156118, 0.16227, 0.182574, 0.189562, 0.195874, 0.201914, 0.207956, 0.213989, 0.224026, 0.234644, 0.24772, 0.259444, 0.275415, 0.295725");
-            values ( \
-              "0.0161758, 0.0275397, 0.0873553, 0.100502, 0.107087, 0.109955, 0.10729, 0.0961948, 0.0620428, 0.0365643, 0.0181261, 0.00941302, 0.00390271, 0.00186648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.170357, 0.177272, 0.194288, 0.206793, 0.217148, 0.226626, 0.23585, 0.245059, 0.249056, 0.253169, 0.265023, 0.274279, 0.287374, 0.293712, 0.300956, 0.310497, 0.316025, 0.321997, 0.330643, 0.33817, 0.347418, 0.358692, 0.371754, 0.38709, 0.388815");
-            values ( \
-              "0.02396, 0.0405806, 0.0945433, 0.123946, 0.140239, 0.147615, 0.149177, 0.143493, 0.137691, 0.129463, 0.0977814, 0.0750783, 0.0495769, 0.0399672, 0.0309396, 0.0220176, 0.018117, 0.0146739, 0.0107427, 0.00816329, 0.00578055, 0.00376315, 0.0022917, 0.00128985, 0.00125277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.207757, 0.229793, 0.233667, 0.236907, 0.240147, 0.243387, 0.246627, 0.252385, 0.258142, 0.26198, 0.265819, 0.270643, 0.274589, 0.278678, 0.28178, 0.283266, 0.284752, 0.287723, 0.290483, 0.293244, 0.296005, 0.299958, 0.303536, 0.305504, 0.307473, 0.31141, 0.315609, 0.319809, 0.323931, 0.328053, 0.33289, 0.337727, 0.362443, 0.372818, 0.381544, 0.389769, 0.394843, 0.399509, 0.404175, 0.408842, 0.412061, 0.418501, 0.421721, 0.427899, 0.435486, 0.438599, 0.441164, 0.448859, 0.459498, 0.468373");
-            values ( \
-              "0.107522, 0.1111, 0.119771, 0.126605, 0.133059, 0.139135, 0.144831, 0.153053, 0.160245, 0.164477, 0.168264, 0.172394, 0.175101, 0.17736, 0.178738, 0.179275, 0.179739, 0.180444, 0.18051, 0.180438, 0.180226, 0.179683, 0.178946, 0.178242, 0.177399, 0.175295, 0.172436, 0.168944, 0.164652, 0.159693, 0.152754, 0.145431, 0.104188, 0.0878422, 0.0758501, 0.0655087, 0.0594226, 0.0540742, 0.0494763, 0.0451728, 0.0423821, 0.0372068, 0.0348221, 0.0305704, 0.0257996, 0.0240389, 0.022784, 0.0193175, 0.015334, 0.0123323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.242892, 0.264687, 0.299706, 0.335378, 0.349607, 0.364722, 0.3813, 0.39066, 0.411188, 0.42604, 0.440821, 0.46554, 0.47154, 0.48354, 0.505211, 0.56079, 0.604442, 0.642367, 0.664879, 0.68351, 0.704586, 0.734432, 0.76511, 0.788555, 0.835443, 0.926678, 1.02927");
-            values ( \
-              "0.0488784, 0.0601404, 0.119144, 0.165015, 0.177649, 0.188145, 0.195478, 0.197886, 0.200499, 0.20032, 0.198614, 0.191007, 0.187986, 0.180452, 0.162333, 0.108182, 0.0718103, 0.0482305, 0.037522, 0.0303418, 0.023742, 0.0166021, 0.0114715, 0.00862608, 0.00476435, 0.00133994, 0.000289426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.341062, 0.381803, 0.425629, 0.47062, 0.504959, 0.532015, 0.564832, 0.586929, 0.607636, 0.637537, 0.66575, 0.715708, 0.723522, 0.73915, 0.770407, 0.783544, 0.809818, 0.850008, 0.952984, 1.03078, 1.06923, 1.1224, 1.16608, 1.20221, 1.24414, 1.3034, 1.36468, 1.41158, 1.50537, 1.60795, 1.81313");
-            values ( \
-              "0.0584382, 0.0631086, 0.106325, 0.145774, 0.169958, 0.184447, 0.197857, 0.204672, 0.2094, 0.213795, 0.215388, 0.213199, 0.212207, 0.209891, 0.202978, 0.199109, 0.189535, 0.171147, 0.11753, 0.0817723, 0.0672192, 0.0504653, 0.0394355, 0.032029, 0.0250348, 0.0174931, 0.0120563, 0.00903104, 0.00496886, 0.00256711, 0.000679526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.526327, 0.622775, 0.71139, 0.776667, 0.85495, 0.921498, 0.952142, 1.0116, 1.07497, 1.17756, 1.19191, 1.22061, 1.26405, 1.3083, 1.39681, 1.4301, 1.49256, 1.56543, 1.78464, 1.84157, 1.94416, 2.00706, 2.09396, 2.19655, 2.2702, 2.31946, 2.39591, 2.4985, 2.62863, 2.73122, 2.83381, 3.03899, 3.24417, 3.55194");
-            values ( \
-              "0.0427364, 0.0642832, 0.110286, 0.141363, 0.172115, 0.192344, 0.19974, 0.210798, 0.218388, 0.223146, 0.223174, 0.222768, 0.221242, 0.218471, 0.209206, 0.204076, 0.19225, 0.175203, 0.118029, 0.104104, 0.0815811, 0.0695971, 0.0553641, 0.0417591, 0.0339319, 0.029436, 0.0235844, 0.0173738, 0.0117569, 0.00863027, 0.00628333, 0.00333552, 0.00176238, 0.000696167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.252055, 0.259965, 0.26213, 0.264448, 0.266595, 0.269344, 0.270718, 0.273064, 0.275409, 0.276973, 0.278537, 0.280865, 0.283018, 0.284884, 0.286617, 0.288349, 0.289504, 0.290659, 0.291814, 0.292172, 0.293961, 0.296108, 0.296901, 0.298486, 0.299279, 0.300795, 0.302311, 0.303828, 0.305344, 0.306608, 0.311647, 0.315515, 0.317622, 0.320387, 0.321309, 0.322507, 0.327387, 0.329963, 0.331883, 0.333804, 0.336424, 0.339044, 0.340765, 0.342487, 0.344209, 0.347652, 0.351095, 0.355389, 0.357634, 0.360072");
-            values ( \
-              "0.043716, 0.0476179, 0.0551616, 0.0624881, 0.0688497, 0.0763985, 0.0799221, 0.085222, 0.0901032, 0.0931249, 0.0959606, 0.0998056, 0.102814, 0.105027, 0.106594, 0.107837, 0.108486, 0.108991, 0.109353, 0.109358, 0.109225, 0.108714, 0.108159, 0.106719, 0.105834, 0.103836, 0.101436, 0.0986345, 0.0954308, 0.0913438, 0.0737154, 0.0613576, 0.0551172, 0.0483132, 0.0462001, 0.0437093, 0.0339522, 0.0294918, 0.0267383, 0.0241787, 0.0210756, 0.0181812, 0.0163928, 0.0148251, 0.0133997, 0.0111002, 0.00912092, 0.00711382, 0.00623264, 0.0054057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.264476, 0.272434, 0.282971, 0.290081, 0.297865, 0.308248, 0.317748, 0.326985, 0.336206, 0.343088, 0.363062, 0.377198, 0.389944, 0.397028, 0.403151, 0.409669, 0.424706, 0.443516, 0.455472, 0.474424");
-            values ( \
-              "0.052028, 0.052632, 0.0867183, 0.106182, 0.123495, 0.139724, 0.147475, 0.148903, 0.143493, 0.132142, 0.0806078, 0.0517782, 0.0334545, 0.0259662, 0.0209255, 0.016618, 0.00967243, 0.00477777, 0.00303476, 0.00177643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.277991, 0.323596, 0.332893, 0.343517, 0.356386, 0.362691, 0.3753, 0.385793, 0.394589, 0.402092, 0.410865, 0.423315, 0.454881, 0.483153, 0.501181, 0.525907, 0.553843, 0.585426, 0.621521, 0.626347");
-            values ( \
-              "0.00106213, 0.116978, 0.135549, 0.153054, 0.168006, 0.173065, 0.179217, 0.180727, 0.179316, 0.17623, 0.169721, 0.154891, 0.102003, 0.0627566, 0.0441741, 0.0264016, 0.0142563, 0.00691834, 0.00291031, 0.00269354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.32766, 0.356908, 0.390345, 0.404116, 0.427378, 0.441436, 0.45646, 0.473108, 0.484551, 0.503023, 0.517834, 0.532596, 0.557657, 0.574616, 0.596972, 0.652895, 0.696354, 0.732921, 0.756317, 0.77584, 0.796704, 0.826384, 0.839273, 0.86505, 0.916603, 0.99107");
-            values ( \
-              "0.0201559, 0.0610905, 0.117583, 0.137643, 0.165395, 0.177865, 0.188239, 0.195593, 0.198415, 0.200586, 0.200383, 0.198681, 0.190892, 0.180971, 0.162379, 0.107869, 0.0717055, 0.0488726, 0.0376519, 0.0301362, 0.0236412, 0.0165597, 0.0142054, 0.0103591, 0.00541493, 0.00214316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.426308, 0.472948, 0.548291, 0.584859, 0.615854, 0.646537, 0.682242, 0.728837, 0.757121, 0.8149, 0.851482, 0.874895, 0.921722, 1.11356, 1.19064, 1.24422, 1.2979, 1.33638, 1.42082, 1.47089, 1.57103, 1.67362, 1.70761");
-            values ( \
-              "0.0433505, 0.0628356, 0.134613, 0.162587, 0.180837, 0.194295, 0.205637, 0.213739, 0.215434, 0.212261, 0.205631, 0.199101, 0.180713, 0.085309, 0.0572291, 0.042512, 0.031232, 0.0248743, 0.0149279, 0.0109919, 0.00579622, 0.00300641, 0.00251845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.627271, 0.714656, 0.803329, 0.87333, 0.947472, 1.01389, 1.0441, 1.10391, 1.16692, 1.26951, 1.28385, 1.31254, 1.35599, 1.40024, 1.48875, 1.52203, 1.58448, 1.65735, 1.87654, 1.94396, 2.04655, 2.16459, 2.25706, 2.3484, 2.39803, 2.47768, 2.58027, 2.63347, 2.73606, 2.83865, 2.94124, 3.14642, 3.3516, 3.65937");
-            values ( \
-              "0.0538922, 0.0642405, 0.110261, 0.143393, 0.172307, 0.192458, 0.199739, 0.210862, 0.218394, 0.223162, 0.223183, 0.222786, 0.221252, 0.21849, 0.209214, 0.204094, 0.192257, 0.175217, 0.118029, 0.10165, 0.0794803, 0.0586123, 0.0455783, 0.0352679, 0.0306191, 0.024275, 0.0179301, 0.0152873, 0.0112324, 0.00820509, 0.00600927, 0.0031989, 0.0016998, 0.000641987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.415413, 0.430678, 0.465827, 0.472979, 0.479638, 0.486169, 0.492691, 0.504692, 0.514986, 0.52653, 0.538734, 0.552151, 0.577076, 0.586619");
-            values ( \
-              "0.000744881, 0.016435, 0.0870687, 0.0960628, 0.100602, 0.100412, 0.0915885, 0.0556113, 0.0336779, 0.018575, 0.00951329, 0.00450747, 0.00149448, 0.00110967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.43496, 0.442684, 0.449263, 0.452672, 0.459491, 0.4807, 0.488085, 0.499542, 0.509752, 0.519476, 0.529201, 0.538926, 0.552694, 0.5589, 0.571413, 0.582616, 0.590451, 0.597804, 0.604577, 0.613589, 0.618562, 0.625039, 0.633675, 0.645652, 0.660596, 0.664226");
-            values ( \
-              "0.00920062, 0.0141719, 0.0228523, 0.0288281, 0.0429001, 0.0943251, 0.109898, 0.128703, 0.138826, 0.142239, 0.138811, 0.123915, 0.0881086, 0.0739017, 0.0501419, 0.03451, 0.0261978, 0.0203192, 0.0160666, 0.0115395, 0.00961778, 0.0075613, 0.00551713, 0.0034451, 0.00200268, 0.00179662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.475041, 0.490786, 0.496792, 0.5056, 0.521204, 0.536819, 0.543301, 0.550104, 0.555923, 0.561192, 0.568062, 0.57774, 0.589582, 0.593839, 0.601871, 0.610263, 0.621681, 0.628304, 0.641548, 0.649533, 0.650751, 0.653188, 0.65806, 0.665066, 0.673162, 0.683441, 0.68546, 0.689497, 0.697572, 0.710121, 0.716219, 0.717551, 0.720216, 0.725546, 0.735768, 0.752017, 0.758849, 0.772511, 0.799836, 0.837225, 0.890386");
-            values ( \
-              "0.0302095, 0.0422149, 0.0542218, 0.0738403, 0.108683, 0.137745, 0.148064, 0.156631, 0.162305, 0.167019, 0.171854, 0.176309, 0.177663, 0.176881, 0.174191, 0.168291, 0.155779, 0.145323, 0.123292, 0.109772, 0.107955, 0.103922, 0.0963152, 0.0858043, 0.0746718, 0.0618911, 0.0596227, 0.0552049, 0.0471322, 0.0364968, 0.0321343, 0.0312575, 0.0295242, 0.0263689, 0.0210949, 0.0147043, 0.0125985, 0.00926135, 0.00485551, 0.00196508, 0.00047158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.55197, 0.586124, 0.59514, 0.602853, 0.612583, 0.618457, 0.624331, 0.630205, 0.637347, 0.644488, 0.651818, 0.659147, 0.666686, 0.674225, 0.679511, 0.684798, 0.689027, 0.697753, 0.704187, 0.710043, 0.721176, 0.724873, 0.732265, 0.735962, 0.742203, 0.748444, 0.754685, 0.760926, 0.766691, 0.772456, 0.778221, 0.788565, 0.800365, 0.857292, 0.87152, 0.876263, 0.888271, 0.90643, 0.915658, 0.924886, 0.931038, 0.943342, 0.960897, 0.974863, 0.984174, 0.993485, 1.01027, 1.01866, 1.02706, 1.04142");
-            values ( \
-              "0.0995004, 0.104423, 0.119407, 0.130942, 0.144063, 0.151285, 0.158023, 0.164278, 0.171231, 0.177468, 0.18255, 0.186984, 0.190854, 0.194065, 0.195858, 0.197212, 0.198124, 0.199586, 0.19983, 0.199913, 0.199702, 0.199313, 0.198241, 0.197558, 0.196181, 0.194526, 0.19259, 0.190375, 0.187707, 0.183985, 0.180005, 0.172219, 0.16231, 0.106369, 0.0938809, 0.0899071, 0.0804247, 0.0666383, 0.0604091, 0.0548826, 0.0514645, 0.0450992, 0.0371048, 0.0312137, 0.028119, 0.0252724, 0.0208342, 0.0188673, 0.0170685, 0.0143472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.638632, 0.678246, 0.7216, 0.766116, 0.80122, 0.827871, 0.860326, 0.881889, 0.903245, 0.933141, 0.961332, 1.01021, 1.01907, 1.0368, 1.07226, 1.07893, 1.11714, 1.1456, 1.24886, 1.27622, 1.33094, 1.3799, 1.41312, 1.45999, 1.50054, 1.54142, 1.59987, 1.62497, 1.67517, 1.77559, 1.87818, 1.98077, 2.18595");
-            values ( \
-              "0.0607442, 0.0642881, 0.106972, 0.145946, 0.170572, 0.184746, 0.197972, 0.20464, 0.209519, 0.213899, 0.215467, 0.213373, 0.212257, 0.209577, 0.201243, 0.199207, 0.184587, 0.171089, 0.117365, 0.103982, 0.0798899, 0.0620121, 0.0517908, 0.0397861, 0.031496, 0.0247527, 0.0173903, 0.0149396, 0.0109719, 0.00579094, 0.00298751, 0.00153961, 0.000407348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.851337, 0.940267, 1.03386, 1.10342, 1.18015, 1.24789, 1.30498, 1.37066, 1.47325, 1.48757, 1.55967, 1.60394, 1.69249, 1.72572, 1.78816, 1.86103, 2.08022, 2.25075, 2.36694, 2.45789, 2.55302, 2.68342, 2.78601, 2.93963, 3.04222, 3.14481, 3.34999, 3.55517, 3.86294");
-            values ( \
-              "0.0733624, 0.075824, 0.12372, 0.154514, 0.181934, 0.199845, 0.210537, 0.218453, 0.22321, 0.223216, 0.221275, 0.218521, 0.209217, 0.204116, 0.19226, 0.175233, 0.118022, 0.0793673, 0.0588303, 0.0459292, 0.0351845, 0.0241395, 0.0178105, 0.0112265, 0.00821813, 0.00600143, 0.0031901, 0.00169054, 0.000651888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.751815, 0.776111, 0.778957, 0.780106, 0.781639, 0.784704, 0.790141, 0.792433, 0.797017, 0.803517, 0.813486, 0.823506, 0.827887, 0.836831, 0.844907, 0.852578, 0.86025, 0.863099, 0.869113, 0.871723, 0.874705, 0.879899, 0.883376, 0.885682, 0.888757, 0.893879, 0.897647, 0.900503, 0.90431, 0.910981, 0.916775, 0.91892, 0.92321, 0.930095, 0.935843, 0.942587, 0.949501, 0.953678");
-            values ( \
-              "0.0023351, 0.00765164, 0.00935543, 0.010122, 0.0111943, 0.0135987, 0.0189053, 0.0216647, 0.0279369, 0.0378478, 0.0513749, 0.0637382, 0.0687049, 0.0780521, 0.0841248, 0.0867814, 0.0821075, 0.0762467, 0.0610597, 0.0548753, 0.0482666, 0.038199, 0.0326104, 0.0292719, 0.0253054, 0.0196176, 0.0162454, 0.0140176, 0.0114836, 0.00810076, 0.00619162, 0.00566288, 0.00474507, 0.00346592, 0.00265956, 0.00187556, 0.00134067, 0.00110401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.778455, 0.808314, 0.815463, 0.823253, 0.857035, 0.872748, 0.883259, 0.891687, 0.899787, 0.905183, 0.915943, 0.944378, 0.96025, 0.974728, 0.988486, 0.996923, 1.00945, 1.0228, 1.03861, 1.04317");
-            values ( \
-              "0.000815853, 0.0174901, 0.0247008, 0.0350375, 0.0870259, 0.108193, 0.119144, 0.124865, 0.127199, 0.126531, 0.116273, 0.0570209, 0.0345127, 0.0212788, 0.0131137, 0.00966379, 0.00608978, 0.00369038, 0.00205515, 0.00189322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.862165, 0.894062, 0.902337, 0.910914, 0.929929, 0.934676, 0.939603, 0.943128, 0.947915, 0.95094, 0.953415, 0.958364, 0.962987, 0.967281, 0.969428, 0.971431, 0.975436, 0.979459, 0.981479, 0.985519, 0.989692, 0.992475, 0.995257, 0.999911, 1.00146, 1.00361, 1.00576, 1.01005, 1.01291, 1.01578, 1.01864, 1.02272, 1.02555, 1.05237, 1.05549, 1.06047, 1.06861, 1.07962, 1.08267, 1.08724, 1.09208, 1.09692, 1.10652, 1.11348, 1.11723, 1.12099, 1.1285, 1.13602, 1.14569, 1.15463");
-            values ( \
-              "0.0676959, 0.0709544, 0.0834475, 0.0959768, 0.122812, 0.129155, 0.135257, 0.139322, 0.14444, 0.147435, 0.14968, 0.153729, 0.156979, 0.159539, 0.160652, 0.161502, 0.162911, 0.163935, 0.164301, 0.164739, 0.16454, 0.164125, 0.163524, 0.162102, 0.161512, 0.160435, 0.158977, 0.155448, 0.152796, 0.149903, 0.14677, 0.141838, 0.137742, 0.0962539, 0.0915873, 0.0846768, 0.0740558, 0.0607414, 0.0572568, 0.0523407, 0.0477854, 0.0435113, 0.0359604, 0.0308348, 0.0283879, 0.0261334, 0.0223703, 0.0190391, 0.0154519, 0.0124156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.907574, 0.954362, 0.979345, 1.03306, 1.04929, 1.07214, 1.09473, 1.11027, 1.1307, 1.14825, 1.15698, 1.17232, 1.18824, 1.21581, 1.28748, 1.32661, 1.35609, 1.38154, 1.40306, 1.43174, 1.45498, 1.4805, 1.51453, 1.58155, 1.67203, 1.77462");
-            values ( \
-              "0.0116859, 0.0390874, 0.0669328, 0.132511, 0.149874, 0.169711, 0.182987, 0.188503, 0.192981, 0.193958, 0.193335, 0.190845, 0.185027, 0.166933, 0.0992751, 0.0683248, 0.0502271, 0.037931, 0.0296898, 0.0211546, 0.0160641, 0.0118125, 0.00773818, 0.00325356, 0.000891459, 0.000224995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.04226, 1.10978, 1.18054, 1.2122, 1.24116, 1.26681, 1.29413, 1.30927, 1.32979, 1.34897, 1.37916, 1.4075, 1.45873, 1.4791, 1.50171, 1.52563, 1.57346, 1.59234, 1.71337, 1.76423, 1.79459, 1.81478, 1.8417, 1.89268, 1.91988, 1.95109, 1.99189, 2.04834, 2.07186, 2.1189, 2.21299, 2.31557, 2.41816, 2.52075, 2.62334");
-            values ( \
-              "0.0217202, 0.0516188, 0.114588, 0.141223, 0.162131, 0.177335, 0.190313, 0.196096, 0.202766, 0.207397, 0.212376, 0.214268, 0.212484, 0.209766, 0.205286, 0.19887, 0.180071, 0.170976, 0.108535, 0.0854183, 0.073338, 0.0660321, 0.0571952, 0.04308, 0.0369034, 0.0308072, 0.0242138, 0.0172177, 0.0149335, 0.011187, 0.00616469, 0.00318302, 0.00163963, 0.000840455, 0.000433225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.30513, 1.40825, 1.48478, 1.55579, 1.63064, 1.69966, 1.75705, 1.82246, 1.92505, 1.93937, 2.01148, 2.05575, 2.143, 2.17752, 2.23994, 2.31283, 2.53202, 2.69167, 2.75438, 2.84119, 2.94378, 3.01769, 3.14361, 3.2462, 3.37525, 3.47783, 3.58042, 3.7856, 3.99078, 4.29855");
-            values ( \
-              "0.0699022, 0.0842456, 0.123245, 0.154687, 0.181493, 0.199813, 0.210544, 0.21844, 0.223189, 0.223214, 0.221278, 0.218505, 0.209401, 0.204102, 0.192275, 0.175222, 0.118037, 0.0815582, 0.0696129, 0.0553904, 0.0417748, 0.0339172, 0.023559, 0.0173594, 0.0117865, 0.00864697, 0.00630045, 0.00334589, 0.00176916, 0.000694747" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0126618, 0.0127085, 0.0127633, 0.0128133, 0.0128489, 0.0128703", \
-            "0.0158924, 0.0159521, 0.0160333, 0.0161183, 0.0161869, 0.0162315", \
-            "0.0179636, 0.0180129, 0.0180913, 0.0181891, 0.0182801, 0.0183452", \
-            "0.0192361, 0.0192488, 0.0192786, 0.0193319, 0.0193978, 0.0194552", \
-            "0.0201046, 0.0200749, 0.0200385, 0.020008, 0.0199994, 0.0200096", \
-            "0.0207685, 0.0207219, 0.0206449, 0.020538, 0.0204277, 0.020349" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0188954, 0.018937, 0.0190024, 0.0190752, 0.0191346, 0.0191729", \
-            "0.0200619, 0.0200343, 0.0200128, 0.0200064, 0.0200095, 0.0200144", \
-            "0.019817, 0.0197041, 0.0195492, 0.0193844, 0.0192495, 0.0191633", \
-            "0.0194485, 0.0192982, 0.0190848, 0.0188401, 0.0186151, 0.0184472", \
-            "0.0196056, 0.0194622, 0.0191579, 0.0187835, 0.0184305, 0.0181813", \
-            "0.016873, 0.0170488, 0.0174558, 0.0186723, 0.0186606, 0.0182594" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.119662, 0.125458, 0.130778, 0.135424, 0.146243, 0.153947, 0.16085, 0.167284, 0.173471, 0.179583, 0.185692, 0.196018, 0.201367, 0.207627, 0.210703, 0.21519, 0.221173, 0.229081, 0.230863");
-            values ( \
-              "-0.0231431, -0.0304312, -0.0434722, -0.0580351, -0.0791895, -0.0911163, -0.0987508, -0.104673, -0.107529, -0.107107, -0.0953887, -0.051807, -0.032978, -0.0182685, -0.0134494, -0.00852712, -0.00452653, -0.00190514, -0.00165117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.131348, 0.14739, 0.160619, 0.168727, 0.175033, 0.183737, 0.192048, 0.206599, 0.215869, 0.225052, 0.234229, 0.250044, 0.258315, 0.262458, 0.267982, 0.274839, 0.27925, 0.288072, 0.299252, 0.311932, 0.336923, 0.383326");
-            values ( \
-              "-0.00141607, -0.0509119, -0.0853779, -0.100205, -0.110459, -0.1228, -0.132445, -0.145192, -0.149593, -0.148227, -0.131341, -0.0703522, -0.0448045, -0.0350829, -0.024845, -0.0159911, -0.0120276, -0.00655235, -0.00307244, -0.00127126, -0.00039939, -0.000188063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.168689, 0.180624, 0.184333, 0.188041, 0.193984, 0.198982, 0.20398, 0.209445, 0.217644, 0.22346, 0.23271, 0.240863, 0.24358, 0.247742, 0.256065, 0.264388, 0.26855, 0.270237, 0.276986, 0.283012, 0.28816, 0.2913, 0.294439, 0.297578, 0.300349, 0.304505, 0.307945, 0.312054, 0.314108, 0.315147, 0.316185, 0.31757, 0.319647, 0.321032, 0.323801, 0.327811, 0.33182, 0.341387, 0.354376, 0.3578, 0.363505, 0.36807, 0.371867, 0.377103, 0.379749, 0.382396, 0.385042, 0.390335, 0.392981, 0.400161");
-            values ( \
-              "-0.0437167, -0.051792, -0.0583565, -0.0654604, -0.0779668, -0.0877998, -0.0970059, -0.106335, -0.118651, -0.126535, -0.138066, -0.147447, -0.150411, -0.154352, -0.162074, -0.169583, -0.173257, -0.174274, -0.17947, -0.18288, -0.185252, -0.186454, -0.187471, -0.188304, -0.188433, -0.18819, -0.18758, -0.18635, -0.185533, -0.184949, -0.184195, -0.182938, -0.180632, -0.17882, -0.174549, -0.166408, -0.157303, -0.130971, -0.09351, -0.0845215, -0.0712685, -0.0617899, -0.0546709, -0.0453408, -0.0414058, -0.037764, -0.0345381, -0.028721, -0.0261298, -0.0200401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.223493, 0.260127, 0.293997, 0.323969, 0.349605, 0.384016, 0.41469, 0.427148, 0.447027, 0.467966, 0.475528, 0.48561, 0.4975, 0.521282, 0.558536, 0.578818, 0.593023, 0.605612, 0.62012, 0.639464, 0.653225, 0.674265, 0.702318, 0.752875, 0.806667");
-            values ( \
-              "-0.0206679, -0.0631857, -0.106292, -0.137122, -0.159579, -0.184941, -0.201784, -0.207679, -0.215411, -0.22043, -0.220998, -0.220279, -0.216542, -0.1928, -0.12268, -0.0893547, -0.0702111, -0.0562032, -0.0431199, -0.0298848, -0.0229337, -0.0151897, -0.00857606, -0.00283883, -0.000858478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.35059, 0.405294, 0.484113, 0.516678, 0.575607, 0.633733, 0.684001, 0.713881, 0.767401, 0.785271, 0.81871, 0.869803, 0.892601, 0.915762, 0.987079, 1.02923, 1.08078, 1.10581, 1.13919, 1.19144, 1.22642, 1.29639, 1.39553, 1.49467");
-            values ( \
-              "-0.03335, -0.0571539, -0.113905, -0.134205, -0.166749, -0.194107, -0.214484, -0.224494, -0.237886, -0.240859, -0.243759, -0.237454, -0.226541, -0.207496, -0.131188, -0.0934471, -0.0592857, -0.0470474, -0.0342283, -0.0205776, -0.0145173, -0.00699506, -0.00232412, -0.000779087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.583938, 0.71838, 0.851442, 0.911594, 1.02744, 1.14454, 1.21904, 1.31818, 1.36099, 1.4378, 1.47726, 1.53932, 1.63846, 1.68381, 1.73219, 1.87717, 1.93174, 2.02188, 2.06687, 2.11901, 2.18853, 2.23946, 2.29095, 2.3596, 2.45874, 2.55788, 2.65702, 2.85531");
-            values ( \
-              "-0.0196261, -0.0571539, -0.108252, -0.129335, -0.16644, -0.199138, -0.216721, -0.235609, -0.242128, -0.251076, -0.254181, -0.256256, -0.248956, -0.236998, -0.2155, -0.134793, -0.108793, -0.0742569, -0.0608117, -0.0479635, -0.0346077, -0.027152, -0.0212021, -0.0151557, -0.00923522, -0.0056036, -0.00339052, -0.00123726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.139391, 0.145248, 0.14923, 0.15677, 0.166032, 0.173722, 0.180616, 0.186065, 0.189044, 0.193232, 0.199339, 0.205446, 0.215767, 0.221118, 0.227382, 0.230455, 0.234937, 0.240912, 0.248839, 0.249642");
-            values ( \
-              "-0.0230101, -0.0305107, -0.0399155, -0.0615458, -0.0794825, -0.0909442, -0.098915, -0.103796, -0.105802, -0.107662, -0.107006, -0.0954994, -0.0518344, -0.0329926, -0.0182552, -0.0134573, -0.00853926, -0.00452438, -0.00191116, -0.00179522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.154081, 0.164318, 0.178178, 0.195297, 0.205924, 0.217215, 0.226903, 0.236173, 0.245359, 0.254538, 0.278625, 0.288291, 0.299561, 0.303515");
-            values ( \
-              "-0.0273191, -0.0427532, -0.0782319, -0.110146, -0.125108, -0.137215, -0.145032, -0.149647, -0.148096, -0.131413, -0.0447327, -0.0249158, -0.0119598, -0.00956624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.190116, 0.202343, 0.20691, 0.212309, 0.219185, 0.224262, 0.229553, 0.23749, 0.243291, 0.246446, 0.256134, 0.26121, 0.266141, 0.27427, 0.281137, 0.289121, 0.29628, 0.299859, 0.305076, 0.30835, 0.311393, 0.314437, 0.317392, 0.320347, 0.324135, 0.327924, 0.329828, 0.331733, 0.333638, 0.335542, 0.338194, 0.340845, 0.343497, 0.346148, 0.349022, 0.351897, 0.36052, 0.374424, 0.375692, 0.379496, 0.382032, 0.387104, 0.389639, 0.394555, 0.397639, 0.400724, 0.404047, 0.407371, 0.414352, 0.420441");
-            values ( \
-              "-0.0518559, -0.0547285, -0.0632173, -0.0745045, -0.0880459, -0.0974429, -0.106464, -0.118116, -0.12601, -0.130084, -0.141878, -0.147581, -0.152831, -0.160955, -0.167317, -0.173516, -0.178457, -0.180715, -0.183755, -0.185429, -0.186742, -0.187818, -0.188103, -0.188187, -0.187999, -0.187479, -0.18674, -0.185775, -0.184584, -0.183167, -0.180695, -0.177722, -0.174247, -0.170271, -0.164521, -0.158096, -0.133879, -0.0934037, -0.0900622, -0.0805385, -0.074656, -0.0637948, -0.0588161, -0.0499553, -0.0447433, -0.0400351, -0.0357827, -0.0318785, -0.0249422, -0.0195103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.24621, 0.274783, 0.312989, 0.327865, 0.369982, 0.404385, 0.425801, 0.447491, 0.463605, 0.475363, 0.4941, 0.50062, 0.513661, 0.52612, 0.542732, 0.585531, 0.606194, 0.626076, 0.65376, 0.672802, 0.683039, 0.703513, 0.744461, 0.796338, 0.852495");
-            values ( \
-              "-0.0266024, -0.0565581, -0.104729, -0.120848, -0.159624, -0.184978, -0.197273, -0.207703, -0.214165, -0.21784, -0.220933, -0.22091, -0.218315, -0.211264, -0.190729, -0.110772, -0.0792452, -0.0559294, -0.0334265, -0.0231836, -0.0190083, -0.0126354, -0.00534326, -0.00168198, -0.000516857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.36474, 0.424789, 0.448368, 0.474915, 0.492621, 0.512668, 0.535647, 0.5557, 0.576011, 0.598643, 0.628014, 0.64742, 0.685559, 0.702793, 0.718764, 0.734735, 0.76765, 0.80744, 0.831527, 0.852329, 0.865103, 0.877877, 0.89065, 0.896291, 0.902308, 0.911333, 0.920358, 0.932392, 0.938408, 0.947218, 0.964838, 0.991268, 1.01116, 1.02803, 1.03958, 1.05113, 1.06269, 1.08531, 1.10205, 1.11125, 1.12046, 1.13886, 1.15726, 1.17758, 1.19432");
-            values ( \
-              "-0.026537, -0.0559186, -0.0729761, -0.0931167, -0.10543, -0.118555, -0.132928, -0.144751, -0.156044, -0.167663, -0.181783, -0.190767, -0.207242, -0.214276, -0.219654, -0.224598, -0.233253, -0.242983, -0.242057, -0.240786, -0.23979, -0.238629, -0.237303, -0.235289, -0.232472, -0.226877, -0.220431, -0.210569, -0.205104, -0.196459, -0.176704, -0.148235, -0.128104, -0.111803, -0.1012, -0.0921092, -0.0835895, -0.0687444, -0.0584575, -0.0535696, -0.0490699, -0.0415497, -0.0349524, -0.0288434, -0.0241789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.606674, 0.738792, 0.88002, 1.00187, 1.10399, 1.19843, 1.32096, 1.40752, 1.45805, 1.55719, 1.59609, 1.62835, 1.66145, 1.71293, 1.77382, 1.91883, 1.9748, 2.07914, 2.13486, 2.18394, 2.27961, 2.33335, 2.43249, 2.53164, 2.63078, 2.72992, 2.92821");
-            values ( \
-              "-0.0209798, -0.0571525, -0.111238, -0.152074, -0.182919, -0.207421, -0.232684, -0.245641, -0.251058, -0.25635, -0.255519, -0.253128, -0.248292, -0.233628, -0.203834, -0.124142, -0.0990686, -0.0629632, -0.048881, -0.0389179, -0.0246677, -0.019003, -0.0116238, -0.00705362, -0.00428414, -0.00257875, -0.000936471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.179707, 0.198594, 0.204752, 0.217638, 0.224539, 0.230967, 0.237146, 0.243251, 0.249352, 0.259669, 0.265015, 0.271273, 0.274345, 0.278827, 0.284802, 0.292692, 0.30068, 0.318535, 0.393287");
-            values ( \
-              "-0.000391547, -0.0562935, -0.0701245, -0.0911051, -0.0988404, -0.104767, -0.107675, -0.107222, -0.0954996, -0.0518482, -0.0330021, -0.0182802, -0.0134595, -0.00853615, -0.00453365, -0.00191053, -0.000767587, -0.000121986, -0.00010886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.200287, 0.206813, 0.222161, 0.239117, 0.2498, 0.260993, 0.270655, 0.279925, 0.2891, 0.298269, 0.322346, 0.332017, 0.343272, 0.352085, 0.355885");
-            values ( \
-              "-0.0301209, -0.0398354, -0.0790935, -0.110274, -0.125547, -0.137441, -0.145237, -0.149827, -0.148244, -0.131508, -0.0447622, -0.024902, -0.0119785, -0.00661525, -0.00539309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.226881, 0.239517, 0.245035, 0.250185, 0.255511, 0.266172, 0.274496, 0.288899, 0.297735, 0.308485, 0.31689, 0.334074, 0.349981, 0.365299, 0.378403, 0.380485, 0.384651, 0.392332, 0.396823, 0.418717, 0.422822, 0.427513, 0.436844, 0.439532, 0.444909, 0.455662, 0.462325, 0.469919, 0.480045, 0.492095");
-            values ( \
-              "-0.0262858, -0.0419028, -0.0503567, -0.059768, -0.0701674, -0.0917862, -0.10597, -0.127002, -0.137993, -0.150146, -0.158579, -0.17357, -0.183712, -0.188842, -0.186394, -0.185003, -0.181339, -0.169861, -0.159469, -0.0956751, -0.0849495, -0.0737249, -0.0545968, -0.0499452, -0.0415901, -0.0284217, -0.0223153, -0.0168934, -0.0115769, -0.00779415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.279426, 0.294455, 0.314516, 0.369723, 0.414534, 0.449008, 0.470466, 0.492238, 0.508299, 0.520496, 0.535547, 0.556455, 0.562567, 0.574793, 0.591883, 0.628848, 0.642287, 0.660205, 0.670691, 0.683843, 0.70138, 0.718003, 0.743216, 0.776833, 0.800433");
-            values ( \
-              "-0.019552, -0.0283579, -0.0498535, -0.11758, -0.159161, -0.184644, -0.197009, -0.207503, -0.213972, -0.217783, -0.220597, -0.218949, -0.216462, -0.207701, -0.183148, -0.113347, -0.0916604, -0.0675853, -0.0561275, -0.0441615, -0.0317134, -0.0230376, -0.0140356, -0.00701958, -0.00481379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.40418, 0.470755, 0.543468, 0.575294, 0.617471, 0.670714, 0.732364, 0.779285, 0.832799, 0.848998, 0.884119, 0.935231, 0.945596, 0.956295, 0.97268, 1.05826, 1.08679, 1.10601, 1.13749, 1.16463, 1.20081, 1.2387, 1.25901, 1.29963, 1.38086, 1.48001, 1.57915");
-            values ( \
-              "-0.0171129, -0.0573009, -0.109909, -0.130148, -0.154471, -0.181065, -0.208048, -0.224498, -0.237889, -0.240627, -0.243766, -0.237449, -0.233378, -0.227627, -0.215336, -0.125484, -0.0997863, -0.0847778, -0.0641322, -0.0500061, -0.0354433, -0.0245473, -0.0201144, -0.0133823, -0.00564844, -0.00186456, -0.000636798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.718722, 0.861123, 0.974969, 1.09331, 1.20976, 1.28458, 1.39799, 1.48356, 1.54289, 1.60485, 1.704, 1.74934, 1.7977, 1.99726, 2.08731, 2.18466, 2.30508, 2.4248, 2.52394, 2.62308, 2.6613");
-            values ( \
-              "-0.0634918, -0.0869957, -0.128614, -0.16655, -0.199063, -0.216731, -0.237958, -0.249196, -0.254193, -0.256256, -0.248961, -0.237003, -0.215513, -0.108799, -0.0742912, -0.0479384, -0.027141, -0.0151805, -0.00925057, -0.00561288, -0.00505089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.277714, 0.296317, 0.302785, 0.315338, 0.322195, 0.328585, 0.33473, 0.340803, 0.346873, 0.362489, 0.368745, 0.376251, 0.382185, 0.388064");
-            values ( \
-              "-0.00162519, -0.056196, -0.0710347, -0.0916058, -0.0995381, -0.105297, -0.108339, -0.107672, -0.0959366, -0.0331155, -0.0182931, -0.00859172, -0.00454883, -0.00258706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.297457, 0.306143, 0.322946, 0.33674, 0.34821, 0.358555, 0.368149, 0.377391, 0.38654, 0.395683, 0.419706, 0.429585, 0.436252, 0.449116, 0.449481");
-            values ( \
-              "-0.0294223, -0.0440599, -0.085924, -0.110955, -0.127064, -0.137917, -0.145954, -0.150168, -0.148761, -0.131637, -0.0449012, -0.02459, -0.0160253, -0.0067546, -0.00663604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.329566, 0.340848, 0.366153, 0.381302, 0.395397, 0.413399, 0.432123, 0.437361, 0.446397, 0.450501, 0.458708, 0.46163, 0.467475, 0.476227, 0.480062, 0.484444, 0.493072, 0.515611, 0.529353, 0.53568, 0.54355, 0.554043, 0.561359, 0.572692, 0.587803, 0.613342, 0.643726, 0.67264");
-            values ( \
-              "-0.0326942, -0.0493419, -0.0987687, -0.122156, -0.140006, -0.159222, -0.175235, -0.178888, -0.184113, -0.185978, -0.188527, -0.188922, -0.188844, -0.18549, -0.182363, -0.177129, -0.159594, -0.0941095, -0.0618098, -0.0501869, -0.0383557, -0.0263584, -0.0202088, -0.0132864, -0.00744975, -0.00265464, -0.00080039, -0.000316842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.375025, 0.416164, 0.456398, 0.489332, 0.511539, 0.530402, 0.545984, 0.576577, 0.588889, 0.608862, 0.616345, 0.629736, 0.637594, 0.648071, 0.659361, 0.68194, 0.721853, 0.738627, 0.749618, 0.763779, 0.77557, 0.788711, 0.807304, 0.826286, 0.840778, 0.869763, 0.92144, 0.975353");
-            values ( \
-              "-0.00179033, -0.0559977, -0.106725, -0.14037, -0.159695, -0.174293, -0.185073, -0.201868, -0.207697, -0.215459, -0.217742, -0.220467, -0.221046, -0.220238, -0.216571, -0.194651, -0.12, -0.0923463, -0.0768573, -0.0600045, -0.0485024, -0.0379877, -0.0266116, -0.0184199, -0.0138308, -0.00766489, -0.00244372, -0.000757591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.502055, 0.56696, 0.643438, 0.672189, 0.719618, 0.780972, 0.826668, 0.876463, 0.929914, 0.950327, 0.98123, 1.0323, 1.05717, 1.08243, 1.16081, 1.18801, 1.23636, 1.2848, 1.32169, 1.35966, 1.38869, 1.44674, 1.54588, 1.64502");
-            values ( \
-              "-0.0199131, -0.056394, -0.111666, -0.129885, -0.15703, -0.18708, -0.206847, -0.224444, -0.237829, -0.241145, -0.24371, -0.237428, -0.225163, -0.203369, -0.12033, -0.0964698, -0.0631739, -0.0402845, -0.0281936, -0.0194521, -0.0145724, -0.00802291, -0.00267175, -0.000891212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.783385, 0.881653, 1.01365, 1.14826, 1.2539, 1.38219, 1.49558, 1.58108, 1.64063, 1.70244, 1.80159, 1.84691, 1.89525, 2.09483, 2.18472, 2.28243, 2.4028, 2.52184, 2.62098, 2.72013, 2.76506");
-            values ( \
-              "-0.0478254, -0.0572344, -0.107925, -0.153242, -0.184929, -0.216743, -0.237965, -0.249194, -0.254206, -0.25626, -0.248963, -0.237012, -0.215535, -0.10881, -0.0743476, -0.0478973, -0.0271229, -0.0152217, -0.00927581, -0.00562842, -0.00496588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.48244, 0.490081, 0.493091, 0.496531, 0.509767, 0.521384, 0.530387, 0.537092, 0.543505, 0.549837, 0.554278, 0.559999, 0.568153, 0.573778, 0.577667, 0.581549, 0.585873, 0.589261, 0.596039, 0.603579, 0.612847, 0.619691");
-            values ( \
-              "-0.0146547, -0.022059, -0.0271308, -0.0335359, -0.064399, -0.0833078, -0.0946782, -0.100714, -0.103915, -0.1033, -0.0968378, -0.0785453, -0.0453131, -0.0280889, -0.0195952, -0.01343, -0.00874462, -0.00619603, -0.00302793, -0.00131988, -0.000440534, -0.000413967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.50332, 0.513359, 0.514952, 0.517474, 0.520261, 0.527429, 0.530146, 0.532864, 0.53754, 0.541238, 0.543376, 0.547654, 0.549054, 0.550454, 0.551695, 0.554176, 0.555416, 0.55645, 0.559552, 0.564542, 0.570259, 0.575213, 0.580167, 0.582531, 0.584895, 0.587259, 0.589623, 0.591953, 0.594283, 0.596612, 0.598942, 0.60127, 0.603597, 0.605925, 0.608252, 0.608837, 0.610006, 0.612344, 0.621052, 0.624197, 0.627797, 0.630148, 0.632846, 0.634576, 0.636653, 0.638037, 0.639422, 0.642191, 0.645726, 0.649142");
-            values ( \
-              "-0.0193263, -0.0351518, -0.0379591, -0.0428783, -0.0488352, -0.0670539, -0.0732303, -0.0790321, -0.0880809, -0.0947023, -0.0983172, -0.105075, -0.109403, -0.114297, -0.114866, -0.116402, -0.11737, -0.118341, -0.121559, -0.127699, -0.134281, -0.139279, -0.143619, -0.144662, -0.145361, -0.145717, -0.145728, -0.145402, -0.144742, -0.143748, -0.14242, -0.140126, -0.137244, -0.133777, -0.129722, -0.128326, -0.124511, -0.115827, -0.0812471, -0.0692496, -0.0575886, -0.0508193, -0.0433904, -0.0389314, -0.0342336, -0.0315891, -0.0290944, -0.0245547, -0.0197203, -0.015457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.533817, 0.555032, 0.560586, 0.568889, 0.573514, 0.57693, 0.58346, 0.588654, 0.595449, 0.598847, 0.606304, 0.616064, 0.625682, 0.630308, 0.634874, 0.637157, 0.643169, 0.645173, 0.651857, 0.661128, 0.665011, 0.668661, 0.673374, 0.676076, 0.677455, 0.680559, 0.681907, 0.684604, 0.685952, 0.687367, 0.690198, 0.691614, 0.694445, 0.697276, 0.700107, 0.702938, 0.70546, 0.707982, 0.716611, 0.726836, 0.733997, 0.738713, 0.743359, 0.750681, 0.753356, 0.756032, 0.761383, 0.766734, 0.771765, 0.775988");
-            values ( \
-              "-0.00949573, -0.0460036, -0.055878, -0.0720189, -0.0821973, -0.0892489, -0.101185, -0.109736, -0.120007, -0.124795, -0.134417, -0.145909, -0.156245, -0.160869, -0.165172, -0.167229, -0.172033, -0.173535, -0.178044, -0.18385, -0.185448, -0.18669, -0.187918, -0.188422, -0.188489, -0.188341, -0.188149, -0.187529, -0.1871, -0.186377, -0.184506, -0.183359, -0.18064, -0.177355, -0.173505, -0.169089, -0.164122, -0.158503, -0.134318, -0.104118, -0.0843986, -0.0733187, -0.0636307, -0.0493806, -0.045022, -0.041006, -0.0342396, -0.0283692, -0.0237464, -0.0201098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.590742, 0.67355, 0.70858, 0.746639, 0.772199, 0.791987, 0.818149, 0.834484, 0.84765, 0.869147, 0.874632, 0.885601, 0.903905, 0.940833, 0.954332, 0.982682, 0.995674, 1.013, 1.02994, 1.05561, 1.08984, 1.1401, 1.19514");
-            values ( \
-              "-0.000500882, -0.109147, -0.144486, -0.175533, -0.192042, -0.20234, -0.213753, -0.21879, -0.221092, -0.219136, -0.216826, -0.209179, -0.183358, -0.11346, -0.091648, -0.056139, -0.0442956, -0.0319503, -0.0230671, -0.0139242, -0.00685821, -0.00228283, -0.000677521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.730069, 0.783849, 0.863238, 0.896275, 0.944495, 1.01133, 1.04733, 1.09209, 1.14557, 1.16764, 1.19686, 1.24788, 1.26095, 1.27443, 1.30431, 1.37625, 1.40341, 1.45489, 1.48337, 1.51593, 1.54289, 1.57001, 1.60616, 1.67847, 1.77761, 1.87675");
-            values ( \
-              "-0.034799, -0.0572794, -0.114551, -0.135147, -0.162168, -0.193928, -0.209022, -0.224609, -0.237971, -0.241469, -0.243812, -0.237496, -0.232154, -0.224061, -0.196919, -0.120551, -0.0966748, -0.0614885, -0.0472925, -0.0346893, -0.0267108, -0.0204863, -0.0142804, -0.00669591, -0.00222361, -0.000746153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.939697, 1.09821, 1.22908, 1.30989, 1.4072, 1.52395, 1.59834, 1.69748, 1.74082, 1.7986, 1.85459, 1.91879, 2.01793, 2.0632, 2.11148, 2.25684, 2.3111, 2.40061, 2.49926, 2.56987, 2.61949, 2.66974, 2.73674, 2.83588, 2.93502, 3.03417, 3.23245");
-            values ( \
-              "-0.00785924, -0.0572512, -0.107606, -0.135553, -0.166579, -0.199152, -0.216727, -0.235601, -0.242196, -0.249338, -0.254074, -0.256267, -0.248973, -0.237034, -0.215593, -0.134685, -0.108837, -0.0744957, -0.0477898, -0.0342978, -0.0270758, -0.0212691, -0.0153301, -0.00934266, -0.00566922, -0.0034304, -0.00125184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.89367, 0.904005, 0.906944, 0.912823, 0.916826, 0.924002, 0.926761, 0.939635, 0.949513, 0.957986, 0.965626, 0.972764, 0.979704, 0.986633, 0.986902, 0.990672, 0.996523, 1.00082, 1.00416, 1.00768, 1.01236, 1.01464, 1.01838, 1.02336, 1.03257, 1.03437");
-            values ( \
-              "-0.00771773, -0.0132702, -0.0152171, -0.0205772, -0.0251062, -0.0363985, -0.0413531, -0.0600964, -0.07263, -0.0822241, -0.0893317, -0.094086, -0.0951229, -0.0869859, -0.0863135, -0.074505, -0.0525594, -0.0382187, -0.0290183, -0.021321, -0.0137691, -0.0110804, -0.00771631, -0.00466927, -0.00166739, -0.00144342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.928259, 0.942931, 0.947349, 0.949558, 0.957001, 0.962825, 0.966168, 0.969511, 0.975002, 0.980493, 0.983914, 0.987336, 0.990757, 0.994179, 0.997127, 1.00008, 1.00303, 1.00597, 1.00866, 1.01135, 1.01404, 1.01673, 1.01927, 1.02181, 1.02435, 1.02688, 1.02938, 1.03187, 1.03436, 1.03686, 1.03934, 1.04183, 1.04432, 1.04681, 1.04737, 1.04849, 1.05073, 1.05499, 1.0613, 1.06358, 1.06732, 1.07098, 1.07362, 1.07504, 1.07645, 1.07929, 1.08213, 1.08338, 1.08589, 1.08952");
-            values ( \
-              "-0.0306361, -0.0309777, -0.0372535, -0.0406134, -0.0531729, -0.0635514, -0.0692605, -0.0747776, -0.0833923, -0.0915507, -0.0964036, -0.101079, -0.105578, -0.109899, -0.113435, -0.116823, -0.120064, -0.123158, -0.125841, -0.128397, -0.130827, -0.133131, -0.134297, -0.135141, -0.135663, -0.135864, -0.135749, -0.135323, -0.134587, -0.133541, -0.131595, -0.129102, -0.126064, -0.122481, -0.121363, -0.118275, -0.111102, -0.0962515, -0.0737108, -0.0659475, -0.0549532, -0.0454479, -0.0389501, -0.035819, -0.0329289, -0.0280784, -0.023778, -0.0221419, -0.0190136, -0.0148245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.98303, 1.0031, 1.02998, 1.03466, 1.04401, 1.0562, 1.06502, 1.06796, 1.07243, 1.07691, 1.08032, 1.08713, 1.0952, 1.09961, 1.10605, 1.11215, 1.11508, 1.118, 1.12093, 1.12386, 1.12729, 1.13045, 1.13448, 1.1365, 1.1385, 1.14051, 1.14251, 1.14452, 1.14789, 1.15126, 1.15463, 1.158, 1.1618, 1.1656, 1.1694, 1.18332, 1.18961, 1.19494, 1.20133, 1.20579, 1.20812, 1.21045, 1.2119, 1.21336, 1.21626, 1.21903, 1.22181, 1.22458, 1.22735, 1.23394");
-            values ( \
-              "-0.0471735, -0.0498606, -0.0913645, -0.0979281, -0.11058, -0.126125, -0.136423, -0.139664, -0.144245, -0.148549, -0.151566, -0.157269, -0.163448, -0.16632, -0.169942, -0.172742, -0.173869, -0.174855, -0.1757, -0.176404, -0.176704, -0.176805, -0.176689, -0.176528, -0.175935, -0.175133, -0.174121, -0.172899, -0.170376, -0.167261, -0.163555, -0.159257, -0.152384, -0.142974, -0.133272, -0.0958823, -0.0799929, -0.0685278, -0.0563033, -0.0485404, -0.0450748, -0.0421187, -0.0389961, -0.0353409, -0.0264328, -0.0260669, -0.0254001, -0.0244323, -0.0231636, -0.0189424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.0695, 1.09839, 1.14941, 1.15009, 1.15112, 1.16026, 1.16757, 1.17853, 1.19348, 1.20222, 1.21097, 1.21358, 1.21618, 1.21878, 1.22139, 1.22399, 1.2266, 1.22892, 1.23739, 1.24624, 1.26052, 1.26741, 1.27429, 1.28198, 1.28966, 1.29683, 1.304, 1.31399, 1.32267, 1.32803, 1.33873, 1.34316, 1.34464, 1.34759, 1.35054, 1.35645, 1.3653, 1.37414, 1.41463, 1.41798, 1.4247, 1.42917, 1.43812, 1.44679, 1.45762, 1.46647, 1.47532, 1.48417, 1.49338, 1.5006");
-            values ( \
-              "-0.0536979, -0.0559694, -0.114705, -0.115083, -0.116034, -0.125354, -0.132398, -0.142172, -0.154108, -0.160579, -0.16667, -0.171151, -0.176307, -0.176148, -0.176304, -0.176775, -0.177562, -0.178531, -0.183745, -0.188927, -0.197035, -0.200686, -0.204135, -0.207644, -0.2109, -0.213711, -0.216302, -0.216851, -0.216932, -0.216799, -0.216113, -0.214645, -0.214057, -0.212077, -0.209772, -0.204186, -0.192721, -0.179675, -0.106813, -0.101172, -0.0908279, -0.084529, -0.0728572, -0.0628039, -0.0512439, -0.0434065, -0.0370288, -0.0314038, -0.0264286, -0.0227657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.21547, 1.26688, 1.33019, 1.38059, 1.44453, 1.52112, 1.56779, 1.61513, 1.65348, 1.6728, 1.71143, 1.72379, 1.7485, 1.78009, 1.852, 1.87923, 1.9307, 1.95902, 1.99138, 2.0459, 2.08235, 2.15523, 2.25438, 2.35352");
-            values ( \
-              "-0.0590705, -0.0611773, -0.107855, -0.139694, -0.173704, -0.207961, -0.224346, -0.236532, -0.242464, -0.243629, -0.240582, -0.237228, -0.22513, -0.196848, -0.120554, -0.0966324, -0.0614691, -0.0473501, -0.0348023, -0.0204621, -0.0142238, -0.00662277, -0.00220112, -0.00073695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.48001, 1.5764, 1.70531, 1.84273, 1.94728, 2.0754, 2.18881, 2.27439, 2.33355, 2.3956, 2.49474, 2.51576, 2.53978, 2.58781, 2.73421, 2.81688, 2.8754, 2.92299, 2.97819, 3.05178, 3.09783, 3.14465, 3.20708, 3.30622, 3.40536, 3.5045, 3.60365, 3.80193");
-            values ( \
-              "-0.0492525, -0.0578696, -0.107483, -0.153815, -0.185132, -0.216873, -0.238075, -0.249295, -0.254265, -0.256315, -0.249002, -0.244394, -0.237133, -0.215848, -0.134389, -0.0965996, -0.0751528, -0.0608465, -0.0473187, -0.0334645, -0.02687, -0.0214587, -0.0158249, -0.00964729, -0.00585566, -0.0035434, -0.00214182, -0.0007816" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0121334, 0.0121571, 0.0121851, 0.0122102, 0.012228, 0.0122387", \
-            "0.0142409, 0.0142558, 0.0142768, 0.0142994, 0.0143179, 0.0143301", \
-            "0.0154446, 0.0154502, 0.0154602, 0.0154738, 0.0154875, 0.0154978", \
-            "0.0161456, 0.0161471, 0.0161495, 0.0161546, 0.0161618, 0.0161687", \
-            "0.0165246, 0.0165253, 0.0165262, 0.0165277, 0.0165301, 0.0165335", \
-            "0.0167333, 0.0167339, 0.0167348, 0.0167359, 0.0167372, 0.0167387" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0167128, 0.0167299, 0.0167532, 0.0167749, 0.0167922, 0.016804", \
-            "0.0182186, 0.0182337, 0.0182517, 0.0182677, 0.018278, 0.0182835", \
-            "0.0191696, 0.0191866, 0.019205, 0.0192199, 0.0192292, 0.0192336", \
-            "0.0198407, 0.0198049, 0.0197667, 0.0197342, 0.0197132, 0.0197003", \
-            "0.020819, 0.0206448, 0.0204333, 0.0202359, 0.0200928, 0.0200028", \
-            "0.0198444, 0.0205925, 0.021081, 0.0207951, 0.0204464, 0.0202252" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.120629, 0.155064, 0.223815, 0.364418, 0.654233, 1.25441", \
-            "0.124677, 0.15922, 0.228182, 0.368952, 0.658883, 1.25916", \
-            "0.13315, 0.1679, 0.237095, 0.378078, 0.668241, 1.26865", \
-            "0.150408, 0.186162, 0.256194, 0.397897, 0.68856, 1.28916", \
-            "0.173231, 0.214748, 0.292429, 0.439874, 0.733202, 1.33485", \
-            "0.189818, 0.241439, 0.335862, 0.507747, 0.8226, 1.43284" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0408676, 0.0624646, 0.107228, 0.20016, 0.391329, 0.789057", \
-            "0.0408749, 0.0625042, 0.107204, 0.20018, 0.391327, 0.789084", \
-            "0.0408446, 0.0624582, 0.107265, 0.20019, 0.391439, 0.788985", \
-            "0.0420672, 0.0628409, 0.107278, 0.200179, 0.391356, 0.789065", \
-            "0.0464816, 0.0673563, 0.110397, 0.20077, 0.39144, 0.789077", \
-            "0.0542129, 0.075793, 0.118843, 0.20829, 0.393457, 0.789242" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.154493, 0.19355, 0.271438, 0.429913, 0.755691, 1.4306", \
-            "0.158704, 0.197803, 0.275977, 0.434966, 0.760831, 1.43595", \
-            "0.170092, 0.209216, 0.287391, 0.446613, 0.773001, 1.44848", \
-            "0.199126, 0.237838, 0.315522, 0.47432, 0.80061, 1.47659", \
-            "0.262847, 0.304054, 0.382602, 0.540529, 0.865309, 1.5403", \
-            "0.363647, 0.416587, 0.514016, 0.688909, 1.01626, 1.68843" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0385959, 0.0575048, 0.0958171, 0.173569, 0.333185, 0.664172", \
-            "0.0385133, 0.0574694, 0.0957623, 0.173395, 0.333167, 0.664155", \
-            "0.0385106, 0.0574328, 0.0958058, 0.173481, 0.333163, 0.664171", \
-            "0.0385128, 0.0573763, 0.0956596, 0.173468, 0.333285, 0.664128", \
-            "0.0423558, 0.0597787, 0.0963961, 0.17335, 0.333068, 0.664064", \
-            "0.0535933, 0.0728966, 0.108111, 0.178533, 0.333543, 0.663774" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0845428, 0.0978473, 0.103601, 0.112289, 0.119467, 0.125965, 0.132176, 0.138364, 0.14455, 0.152798, 0.15534, 0.158245, 0.164055, 0.167514, 0.173129, 0.178268, 0.183211, 0.187974, 0.193909, 0.201184, 0.205965, 0.214054, 0.223169, 0.258051, 0.277495, 0.315752");
-            values ( \
-              "0.00113213, 0.0481453, 0.0657359, 0.085187, 0.0976555, 0.104002, 0.10707, 0.104867, 0.0947856, 0.067293, 0.0598503, 0.0520309, 0.0389352, 0.032662, 0.0242027, 0.0183061, 0.0138812, 0.0106563, 0.00760034, 0.00504341, 0.00388883, 0.00241452, 0.00161957, 0.00041213, 0.000112438, 2.93808e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0994344, 0.108261, 0.123915, 0.136764, 0.147418, 0.157156, 0.166599, 0.176273, 0.181228, 0.186352, 0.1966, 0.204763, 0.217687, 0.228868, 0.236497, 0.2437, 0.253303, 0.261303, 0.273049, 0.283568, 0.303717, 0.314956, 0.32435");
-            values ( \
-              "0.0153259, 0.0466401, 0.0926187, 0.120212, 0.136351, 0.143744, 0.146205, 0.141072, 0.134093, 0.123084, 0.0956223, 0.0765271, 0.0512521, 0.0349952, 0.026557, 0.0203359, 0.0141181, 0.0105478, 0.00703568, 0.00485016, 0.00231111, 0.00153269, 0.00131162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.123008, 0.149913, 0.163961, 0.176975, 0.18508, 0.20129, 0.205909, 0.212069, 0.219259, 0.233639, 0.238841, 0.245185, 0.251794, 0.260129, 0.269793, 0.285102, 0.298298, 0.306244, 0.320641, 0.327163, 0.333735, 0.342496, 0.355859, 0.367409, 0.382383, 0.395451, 0.412924, 0.434746, 0.464143, 0.500296, 0.548412");
-            values ( \
-              "0.0203116, 0.0856823, 0.116659, 0.139731, 0.151515, 0.167937, 0.171062, 0.174322, 0.176488, 0.177115, 0.175874, 0.173189, 0.168657, 0.159797, 0.145553, 0.119283, 0.097896, 0.0859847, 0.0665894, 0.0590545, 0.0521287, 0.0439878, 0.0334539, 0.0262579, 0.0190431, 0.0142003, 0.00958871, 0.00586011, 0.00287262, 0.00125848, 0.000331027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.17891, 0.206235, 0.236325, 0.24479, 0.261719, 0.283368, 0.301954, 0.315396, 0.329906, 0.337963, 0.34581, 0.35947, 0.37595, 0.396467, 0.407025, 0.428141, 0.441125, 0.495719, 0.534799, 0.551874, 0.576734, 0.60075, 0.621336, 0.641988, 0.671513, 0.684171, 0.709485, 0.760115, 0.844502, 0.944541");
-            values ( \
-              "0.0580801, 0.0765231, 0.123022, 0.134053, 0.15429, 0.173872, 0.185814, 0.191911, 0.195834, 0.197035, 0.197429, 0.197345, 0.195396, 0.190163, 0.185483, 0.172002, 0.16073, 0.108904, 0.0760508, 0.0640634, 0.049255, 0.0377507, 0.0298693, 0.0234786, 0.016506, 0.0141806, 0.0104246, 0.00550557, 0.00173692, 0.000409856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.268212, 0.317591, 0.386213, 0.411218, 0.437132, 0.458823, 0.472828, 0.501967, 0.517689, 0.538873, 0.565742, 0.586764, 0.60706, 0.647652, 0.665636, 0.695124, 0.726347, 0.774306, 0.793432, 0.914558, 0.947516, 0.992912, 1.02473, 1.06046, 1.10766, 1.1502, 1.20692, 1.25297, 1.30355, 1.37098, 1.47379, 1.5766, 1.67941, 1.78222");
-            values ( \
-              "0.0368257, 0.0634744, 0.125711, 0.144995, 0.162673, 0.174984, 0.18186, 0.192953, 0.197728, 0.203123, 0.208021, 0.210416, 0.211666, 0.211037, 0.209477, 0.205062, 0.197454, 0.179321, 0.170249, 0.108483, 0.093203, 0.0744805, 0.0631158, 0.0520457, 0.0399148, 0.0312342, 0.0223253, 0.0168821, 0.0124018, 0.00818604, 0.00420107, 0.00219588, 0.00109202, 0.000594992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.497053, 0.610754, 0.689362, 0.751797, 0.829598, 0.896487, 0.948192, 0.990366, 1.02914, 1.08993, 1.1476, 1.25041, 1.26562, 1.29603, 1.35686, 1.3884, 1.45131, 1.52437, 1.74384, 1.80881, 1.91162, 2.03887, 2.13816, 2.25113, 2.33029, 2.4331, 2.52919, 2.68935, 2.89497, 2.99778, 3.30621, 3.51183");
-            values ( \
-              "0.0618246, 0.0855002, 0.122976, 0.149435, 0.175847, 0.19353, 0.203865, 0.210079, 0.214458, 0.218555, 0.220053, 0.217302, 0.216422, 0.213999, 0.207468, 0.202783, 0.191341, 0.174582, 0.117954, 0.102161, 0.0799756, 0.0575494, 0.0439346, 0.0319603, 0.0253407, 0.0187716, 0.0140329, 0.00862729, 0.00457434, 0.00340166, 0.00125314, 0.000642674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.10544, 0.111018, 0.121101, 0.127757, 0.137397, 0.143916, 0.150128, 0.15632, 0.16251, 0.173308, 0.182056, 0.185462, 0.190991, 0.196203, 0.205976, 0.211897, 0.219138, 0.232022, 0.241037, 0.266147");
-            values ( \
-              "0.0134389, 0.0336093, 0.064282, 0.0802005, 0.0972653, 0.104125, 0.106808, 0.104989, 0.0945974, 0.0598227, 0.0388537, 0.0326869, 0.0243438, 0.01832, 0.0106483, 0.00757564, 0.00506167, 0.00242994, 0.00161023, 0.000759279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.118653, 0.138967, 0.148232, 0.15485, 0.16552, 0.17527, 0.184718, 0.19415, 0.199289, 0.204478, 0.214857, 0.222647, 0.228422, 0.237648, 0.248975, 0.255069, 0.260975, 0.268062, 0.27814, 0.285732, 0.298692, 0.318033, 0.341666, 0.345684");
-            values ( \
-              "0.0238822, 0.0839814, 0.106941, 0.120252, 0.13593, 0.143879, 0.145898, 0.141382, 0.134175, 0.123063, 0.0952667, 0.077071, 0.0647999, 0.0482613, 0.0325903, 0.0261364, 0.0209989, 0.0160676, 0.0110297, 0.00847826, 0.00540673, 0.00267495, 0.00110493, 0.000986345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.147315, 0.160455, 0.182164, 0.203282, 0.217365, 0.228275, 0.237439, 0.251738, 0.263445, 0.278308, 0.339375, 0.359564, 0.386123, 0.413869, 0.445857, 0.473837, 0.474394");
-            values ( \
-              "0.0612305, 0.0669668, 0.116915, 0.151678, 0.166215, 0.17328, 0.176652, 0.176986, 0.173232, 0.159872, 0.0659301, 0.044941, 0.0259703, 0.014141, 0.00688333, 0.00354229, 0.00352068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.188439, 0.214985, 0.26301, 0.286798, 0.301285, 0.319024, 0.333624, 0.349376, 0.369995, 0.394117, 0.416635, 0.425265, 0.441106, 0.459324, 0.523956, 0.562838, 0.606385, 0.647736, 0.677419, 0.713958, 0.73858, 0.787823, 0.85824");
-            values ( \
-              "0.0280768, 0.0613746, 0.134289, 0.161058, 0.17371, 0.185168, 0.191996, 0.196058, 0.19764, 0.195512, 0.189371, 0.185565, 0.175839, 0.160879, 0.0998916, 0.0690296, 0.043466, 0.0271948, 0.0191288, 0.0123523, 0.00913769, 0.00491708, 0.00211663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.298944, 0.345411, 0.380384, 0.429763, 0.456393, 0.490036, 0.520353, 0.536225, 0.551778, 0.566372, 0.593312, 0.625638, 0.66493, 0.684191, 0.713673, 0.744905, 0.791658, 0.811976, 0.933149, 0.967564, 1.01172, 1.04277, 1.07869, 1.12607, 1.16901, 1.22626, 1.27178, 1.32184, 1.3886, 1.48822, 1.59103, 1.69384, 1.79665");
-            values ( \
-              "0.061462, 0.072059, 0.104559, 0.145072, 0.163067, 0.181196, 0.192832, 0.197809, 0.201753, 0.205031, 0.209142, 0.211743, 0.211082, 0.209548, 0.205019, 0.197519, 0.179799, 0.170312, 0.108415, 0.0925838, 0.0743385, 0.0632525, 0.0521689, 0.0399137, 0.0311614, 0.0222412, 0.016834, 0.012405, 0.00824906, 0.00430766, 0.00226938, 0.00111235, 0.000622096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.503975, 0.586447, 0.676106, 0.760105, 0.83382, 0.892948, 0.969133, 1.0283, 1.08656, 1.1662, 1.26901, 1.2842, 1.31457, 1.37531, 1.40697, 1.46987, 1.54294, 1.7624, 1.92662, 2.06399, 2.1668, 2.25812, 2.32154, 2.42435, 2.49575, 2.64608, 2.74889, 2.8517, 3.05732, 3.26294, 3.57137");
-            values ( \
-              "0.0609631, 0.0642235, 0.108289, 0.145434, 0.171479, 0.188301, 0.204242, 0.212498, 0.217461, 0.220041, 0.217385, 0.216389, 0.214081, 0.207442, 0.202852, 0.191292, 0.174643, 0.117901, 0.0806319, 0.0566, 0.0426669, 0.0329853, 0.0274882, 0.0203072, 0.0164371, 0.0104568, 0.00764975, 0.00557705, 0.00295841, 0.00156464, 0.000603368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.142875, 0.150352, 0.157594, 0.166306, 0.169562, 0.178034, 0.183215, 0.189438, 0.191614, 0.1956, 0.201797, 0.212722, 0.221074, 0.224836, 0.23062, 0.235614, 0.245133, 0.251322, 0.258419, 0.271251, 0.280083, 0.306096");
-            values ( \
-              "0.00173068, 0.0333374, 0.0560856, 0.0786247, 0.0853956, 0.0990398, 0.104118, 0.106762, 0.106725, 0.104888, 0.0945875, 0.0594423, 0.0393881, 0.0325456, 0.0238917, 0.0182367, 0.0107265, 0.00752675, 0.0050677, 0.00244305, 0.00163665, 0.000816709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.15617, 0.165923, 0.181551, 0.194405, 0.205051, 0.214786, 0.224229, 0.233901, 0.238849, 0.243981, 0.254245, 0.262357, 0.275307, 0.286505, 0.29413, 0.301321, 0.31091, 0.318924, 0.330669, 0.341354, 0.361266, 0.372353, 0.381805");
-            values ( \
-              "0.00934878, 0.04669, 0.09277, 0.120186, 0.136453, 0.143706, 0.146265, 0.141047, 0.134106, 0.123088, 0.0955777, 0.0766075, 0.0512662, 0.0349804, 0.0265507, 0.0203408, 0.0141316, 0.0105603, 0.00704158, 0.00482141, 0.0023154, 0.0015443, 0.0013181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.182627, 0.195781, 0.220408, 0.230982, 0.243031, 0.260808, 0.277264, 0.292476, 0.298837, 0.304198, 0.309795, 0.31814, 0.327788, 0.35653, 0.364805, 0.384402, 0.395945, 0.408257, 0.427882, 0.438502, 0.45929, 0.471047, 0.49296, 0.521063, 0.557278, 0.603895");
-            values ( \
-              "0.030228, 0.0564604, 0.113559, 0.133322, 0.151411, 0.169196, 0.176694, 0.177042, 0.175303, 0.172689, 0.168719, 0.159825, 0.145575, 0.0975674, 0.085127, 0.0599216, 0.048053, 0.0375657, 0.0249484, 0.0198269, 0.0125091, 0.00960681, 0.00579475, 0.00299608, 0.00125161, 0.000397765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.233538, 0.254935, 0.302983, 0.325222, 0.341264, 0.359087, 0.373608, 0.389359, 0.400965, 0.410134, 0.422104, 0.434105, 0.456492, 0.465252, 0.481091, 0.499312, 0.564095, 0.602769, 0.623427, 0.647035, 0.665493, 0.687011, 0.715702, 0.75458, 0.780732, 0.833038, 0.919998, 1.02281");
-            values ( \
-              "0.0498668, 0.0611741, 0.134117, 0.159607, 0.173571, 0.185286, 0.191884, 0.196129, 0.197404, 0.197575, 0.196991, 0.195459, 0.18946, 0.185522, 0.175876, 0.160842, 0.0997438, 0.069057, 0.0557473, 0.0431403, 0.035089, 0.027417, 0.0195307, 0.0122574, 0.00890022, 0.00458941, 0.00137901, 0.000310896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.335647, 0.376304, 0.420488, 0.469535, 0.506195, 0.530612, 0.561737, 0.606463, 0.633737, 0.665719, 0.69513, 0.724362, 0.782827, 0.798698, 0.852062, 0.988941, 1.04632, 1.09877, 1.1407, 1.19441, 1.22371, 1.28232, 1.33049, 1.40394, 1.50188, 1.60469, 1.81031");
-            values ( \
-              "0.0583146, 0.0635027, 0.104539, 0.144846, 0.168882, 0.181411, 0.193277, 0.204961, 0.209234, 0.211681, 0.211611, 0.209468, 0.198235, 0.193093, 0.170331, 0.101079, 0.0764567, 0.0581452, 0.0461518, 0.0340071, 0.0286603, 0.0201902, 0.0150912, 0.00961456, 0.00514718, 0.00265529, 0.000700497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.545775, 0.626801, 0.731665, 0.810401, 0.888067, 0.953387, 1.01917, 1.07668, 1.11089, 1.1565, 1.20648, 1.30644, 1.3605, 1.43258, 1.47567, 1.58333, 1.87018, 1.97299, 2.09136, 2.1841, 2.32417, 2.40399, 2.5068, 2.6629, 2.76571, 2.86852, 3.07414, 3.27976, 3.58819");
-            values ( \
-              "0.0631938, 0.0641995, 0.115414, 0.149282, 0.17578, 0.193135, 0.205811, 0.213331, 0.216352, 0.218906, 0.220008, 0.217483, 0.213525, 0.205095, 0.19801, 0.174607, 0.101627, 0.0794184, 0.0585842, 0.0454885, 0.0306373, 0.0243202, 0.0179142, 0.0112044, 0.00821678, 0.00597616, 0.0031669, 0.00167059, 0.000658163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.226884, 0.231886, 0.248858, 0.257452, 0.268422, 0.274801, 0.281121, 0.287436, 0.29854, 0.31152, 0.322938, 0.332086, 0.343621, 0.361459, 0.374217");
-            values ( \
-              "0.0176691, 0.0265205, 0.0694311, 0.0856889, 0.100685, 0.104321, 0.1033, 0.0935481, 0.058641, 0.0308001, 0.0166706, 0.0100612, 0.00525581, 0.0020172, 0.00150541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.241198, 0.244824, 0.250496, 0.255183, 0.267434, 0.27428, 0.280577, 0.291395, 0.301222, 0.310725, 0.320209, 0.324374, 0.328604, 0.330555, 0.342172, 0.346037, 0.351191, 0.357915, 0.363645, 0.369386, 0.376778, 0.383009, 0.39057, 0.399969, 0.409041, 0.413772, 0.422718, 0.432696, 0.442926, 0.453798, 0.466505, 0.468946");
-            values ( \
-              "0.0220152, 0.0282955, 0.0407137, 0.0532177, 0.088602, 0.105228, 0.118167, 0.134528, 0.142968, 0.145217, 0.140965, 0.135466, 0.127366, 0.122679, 0.0922689, 0.0830173, 0.0716496, 0.0581294, 0.0483468, 0.0397958, 0.0306276, 0.0244077, 0.0184252, 0.01295, 0.00943148, 0.00800025, 0.00584132, 0.00406497, 0.00278517, 0.00184701, 0.00115447, 0.00107715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.272128, 0.282562, 0.309012, 0.330204, 0.344216, 0.35543, 0.364408, 0.378712, 0.390433, 0.405297, 0.466493, 0.485903, 0.509584, 0.5409, 0.579625, 0.59842");
-            values ( \
-              "0.0543033, 0.0549513, 0.116273, 0.151311, 0.165927, 0.173214, 0.176489, 0.176921, 0.173169, 0.159809, 0.0658046, 0.0455464, 0.0279996, 0.014176, 0.00584594, 0.00450303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.318597, 0.343182, 0.377809, 0.39121, 0.414958, 0.429487, 0.447229, 0.461827, 0.477579, 0.489142, 0.498432, 0.510361, 0.522322, 0.544546, 0.569303, 0.587526, 0.651856, 0.690803, 0.712524, 0.736517, 0.773687, 0.799621, 0.821889, 0.844218, 0.87399, 0.932473, 0.986978");
-            values ( \
-              "0.0354449, 0.0611948, 0.115812, 0.134204, 0.161077, 0.173639, 0.185226, 0.191935, 0.196107, 0.197439, 0.197599, 0.196979, 0.19548, 0.189513, 0.17587, 0.160855, 0.100147, 0.0691843, 0.0552273, 0.0425371, 0.0279093, 0.0205666, 0.0157657, 0.0120493, 0.00836205, 0.00395353, 0.00222798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.428141, 0.483151, 0.508933, 0.558379, 0.585374, 0.618816, 0.648954, 0.680302, 0.69502, 0.721651, 0.754293, 0.783708, 0.812933, 0.869649, 0.881485, 0.917594, 0.940649, 1.06191, 1.14041, 1.1714, 1.20734, 1.25473, 1.2977, 1.35499, 1.40046, 1.45049, 1.51719, 1.62001, 1.72282, 1.92844");
-            values ( \
-              "0.0578682, 0.080493, 0.104402, 0.144991, 0.163237, 0.181227, 0.192795, 0.201708, 0.205003, 0.209096, 0.211725, 0.21155, 0.209517, 0.198664, 0.194991, 0.180992, 0.17032, 0.108387, 0.0743488, 0.0632851, 0.0521561, 0.0399359, 0.0311781, 0.0222128, 0.0168524, 0.0124267, 0.00823238, 0.00423577, 0.00220117, 0.000589081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.644765, 0.759755, 0.837311, 0.903019, 0.963947, 1.02411, 1.09889, 1.15942, 1.21209, 1.2955, 1.32188, 1.3673, 1.41338, 1.50165, 1.53616, 1.59902, 1.67215, 1.89162, 2.06218, 2.17967, 2.27169, 2.33911, 2.41458, 2.49392, 2.59673, 2.75189, 2.8547, 2.95751, 3.16313, 3.36875, 3.67718");
-            values ( \
-              "0.0614903, 0.086033, 0.123108, 0.150682, 0.171737, 0.188767, 0.204322, 0.212684, 0.217209, 0.22003, 0.219918, 0.218757, 0.216302, 0.207815, 0.202802, 0.191314, 0.174609, 0.117918, 0.0793898, 0.0586658, 0.0456768, 0.0378412, 0.0305237, 0.0242352, 0.0178765, 0.0112178, 0.00820145, 0.00598994, 0.00318079, 0.00168443, 0.00064436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.384986, 0.39951, 0.41904, 0.428918, 0.433455, 0.441168, 0.448335, 0.455323, 0.462301, 0.474692, 0.481375, 0.485217, 0.490056, 0.498284, 0.508121, 0.515189, 0.523537, 0.529428, 0.536017, 0.550192, 0.553704, 0.571823, 0.574386");
-            values ( \
-              "0.000275511, 0.020695, 0.0602795, 0.0752775, 0.080973, 0.0889931, 0.0935896, 0.0942916, 0.0874811, 0.0540407, 0.0395815, 0.0329451, 0.0259645, 0.0167972, 0.00995516, 0.00676727, 0.00429428, 0.00317407, 0.00244324, 0.00156071, 0.00101017, 0.000452001, 0.00043737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.411781, 0.425446, 0.441378, 0.452376, 0.463418, 0.468703, 0.479559, 0.489789, 0.499949, 0.510103, 0.527309, 0.540791, 0.556829, 0.564653, 0.572657, 0.58156, 0.591012, 0.604642, 0.614324, 0.637104, 0.643892");
-            values ( \
-              "0.0242405, 0.0399324, 0.0757424, 0.0962479, 0.114048, 0.120966, 0.131276, 0.135579, 0.133591, 0.120478, 0.0799092, 0.0535907, 0.0311004, 0.0235761, 0.0176938, 0.0129807, 0.0093868, 0.00581307, 0.00408, 0.00175437, 0.00142247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.446494, 0.460962, 0.491736, 0.500987, 0.514855, 0.533723, 0.55016, 0.560923, 0.567531, 0.575813, 0.584317, 0.592796, 0.602566, 0.625257, 0.640603, 0.661616, 0.678674, 0.692363, 0.707096, 0.724643, 0.734061, 0.748173, 0.766989, 0.799339, 0.821545");
-            values ( \
-              "0.0350233, 0.0437138, 0.104051, 0.120361, 0.141012, 0.160925, 0.169958, 0.171941, 0.172058, 0.170354, 0.16582, 0.157665, 0.144162, 0.106921, 0.0837324, 0.0575045, 0.0412854, 0.031183, 0.0228035, 0.0154898, 0.0125694, 0.00915834, 0.00594652, 0.00275702, 0.00180056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.51261, 0.54179, 0.586894, 0.606582, 0.621376, 0.639371, 0.653997, 0.66986, 0.68109, 0.691514, 0.703119, 0.714741, 0.737145, 0.74592, 0.761774, 0.779999, 0.842581, 0.8817, 0.915022, 0.939169, 0.961238, 0.990663, 1.01316, 1.03799, 1.07109, 1.13729, 1.2268, 1.32961");
-            values ( \
-              "0.0555409, 0.0701208, 0.136221, 0.158462, 0.171544, 0.183828, 0.1907, 0.19519, 0.196571, 0.196926, 0.196436, 0.195094, 0.189243, 0.185375, 0.175766, 0.160798, 0.101683, 0.070256, 0.0496215, 0.0379376, 0.0295044, 0.0209199, 0.0159448, 0.0118151, 0.00790959, 0.00330249, 0.00098114, 0.000188248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.623014, 0.688178, 0.735697, 0.753559, 0.779859, 0.813373, 0.843631, 0.875357, 0.890307, 0.916966, 0.949596, 0.979018, 1.00825, 1.06511, 1.07653, 1.11229, 1.13597, 1.24592, 1.32063, 1.35379, 1.39667, 1.44723, 1.50496, 1.54323, 1.59615, 1.61632, 1.65666, 1.73733, 1.84014, 1.94295, 2.14857");
-            values ( \
-              "0.053638, 0.0894065, 0.131137, 0.144737, 0.162599, 0.180787, 0.192505, 0.201584, 0.204921, 0.209052, 0.211672, 0.211528, 0.209483, 0.198621, 0.195075, 0.181268, 0.17031, 0.113911, 0.0802434, 0.067796, 0.0539136, 0.040597, 0.0291141, 0.0232076, 0.0168628, 0.0149203, 0.0116591, 0.00702499, 0.0036299, 0.00186429, 0.000489533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.824071, 0.911875, 1.00155, 1.0856, 1.1584, 1.21612, 1.24783, 1.29335, 1.35198, 1.41551, 1.49162, 1.59443, 1.60963, 1.64001, 1.70078, 1.7324, 1.7953, 1.86837, 2.08784, 2.25267, 2.33607, 2.38849, 2.4913, 2.5847, 2.64968, 2.75249, 2.82214, 2.96735, 3.07016, 3.17297, 3.37859, 3.58421, 3.89264");
-            values ( \
-              "0.0533861, 0.0641963, 0.108307, 0.145437, 0.171193, 0.187714, 0.195257, 0.204021, 0.212314, 0.217686, 0.220019, 0.217394, 0.216371, 0.21409, 0.20742, 0.202864, 0.191277, 0.174656, 0.117888, 0.0804964, 0.065129, 0.0567507, 0.0427669, 0.0328678, 0.027282, 0.0201354, 0.0164002, 0.0105815, 0.00775746, 0.00564009, 0.00298783, 0.001576, 0.0006203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.714022, 0.733052, 0.738165, 0.744007, 0.765897, 0.78004, 0.788443, 0.794164, 0.79768, 0.801815, 0.805774, 0.812394, 0.817194, 0.828448, 0.834627, 0.840232, 0.848464, 0.853586, 0.859821, 0.868126, 0.874982, 0.884631, 0.896369, 0.910554, 0.92666, 0.979798");
-            values ( \
-              "0.00178758, 0.0119931, 0.0160444, 0.0221496, 0.0514256, 0.0669275, 0.0745424, 0.0784369, 0.0800965, 0.0812543, 0.0814116, 0.0789484, 0.0723316, 0.0476995, 0.0364217, 0.0285235, 0.0192432, 0.0149631, 0.0109505, 0.0071159, 0.00503701, 0.00339945, 0.00222433, 0.00117366, 0.000537655, 0.000136705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.743563, 0.763418, 0.766774, 0.773485, 0.792025, 0.810586, 0.818733, 0.825074, 0.837705, 0.846262, 0.849419, 0.855171, 0.860795, 0.872044, 0.896941, 0.908107, 0.919073, 0.926473, 0.932758, 0.939398, 0.947709, 0.958411, 0.964954, 0.975397, 0.987486, 1.00159, 1.01879, 1.01906");
-            values ( \
-              "0.00785211, 0.0196874, 0.0230619, 0.0312345, 0.0597398, 0.0860594, 0.0963068, 0.103093, 0.113257, 0.118166, 0.119438, 0.120827, 0.120548, 0.112061, 0.0633394, 0.045595, 0.0320149, 0.0249154, 0.0201553, 0.016108, 0.0121092, 0.00832508, 0.0065886, 0.00450073, 0.00288934, 0.00173305, 0.000955154, 0.000951653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.801572, 0.822171, 0.870057, 0.889351, 0.906779, 0.917052, 0.925824, 0.940005, 0.943846, 0.951528, 0.96174, 0.974454, 0.990846, 1.01484, 1.03692, 1.05546, 1.06857, 1.08029, 1.09592, 1.10853, 1.1223, 1.14067, 1.17533, 1.19901");
-            values ( \
-              "0.0319231, 0.034731, 0.103038, 0.12595, 0.1431, 0.150605, 0.155366, 0.159839, 0.160202, 0.160038, 0.156782, 0.14696, 0.124752, 0.0892074, 0.0615205, 0.0433784, 0.0333626, 0.0261628, 0.018679, 0.0141915, 0.0104656, 0.00689948, 0.00304822, 0.00193525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.89466, 0.931854, 0.991291, 1.0165, 1.03471, 1.05159, 1.06897, 1.08774, 1.11017, 1.12593, 1.14735, 1.17864, 1.2483, 1.28534, 1.31608, 1.34178, 1.36562, 1.39741, 1.41758, 1.47033, 1.53061, 1.61874, 1.72155");
-            values ( \
-              "0.0544412, 0.0652885, 0.133913, 0.156951, 0.169818, 0.178906, 0.184833, 0.188335, 0.189315, 0.187762, 0.181727, 0.162068, 0.0985161, 0.0695639, 0.0505817, 0.0381191, 0.0290833, 0.020009, 0.0157317, 0.00829088, 0.00382273, 0.00112779, 0.000253233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.04177, 1.09994, 1.15115, 1.1716, 1.21565, 1.24776, 1.28292, 1.30593, 1.32333, 1.34654, 1.37147, 1.41384, 1.43035, 1.45995, 1.49119, 1.5357, 1.55833, 1.6796, 1.73036, 1.76105, 1.80857, 1.86288, 1.91402, 1.95066, 2.01218, 2.07416, 2.12209, 2.21794, 2.32075, 2.42356, 2.52637");
-            values ( \
-              "0.0744641, 0.080164, 0.122983, 0.138346, 0.166968, 0.182445, 0.195151, 0.20139, 0.204989, 0.208355, 0.210387, 0.210073, 0.208762, 0.204516, 0.197098, 0.180651, 0.170111, 0.10842, 0.0854448, 0.0732976, 0.0570512, 0.0421867, 0.0314616, 0.0253569, 0.017498, 0.0120081, 0.0089274, 0.00485119, 0.00249427, 0.00128472, 0.00065433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.26286, 1.36503, 1.43156, 1.51619, 1.58837, 1.64569, 1.72355, 1.78205, 1.84738, 1.92233, 2.02514, 2.04035, 2.07079, 2.13165, 2.16314, 2.22605, 2.29912, 2.51859, 2.58166, 2.68447, 2.76568, 2.81743, 2.91944, 3.01849, 3.08758, 3.19039, 3.25535, 3.38722, 3.49003, 3.59284, 3.79846, 4.00408, 4.31251");
-            values ( \
-              "0.0585099, 0.075031, 0.107706, 0.145161, 0.170763, 0.18726, 0.203809, 0.212138, 0.217675, 0.219965, 0.217359, 0.216342, 0.214057, 0.207386, 0.202846, 0.191269, 0.174647, 0.11789, 0.102667, 0.0802899, 0.0653256, 0.0570244, 0.0430831, 0.0325867, 0.0267196, 0.0197149, 0.0162802, 0.0109403, 0.00801907, 0.00583449, 0.00309228, 0.00163209, 0.00064002" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0126162, 0.0126591, 0.0127078, 0.0127502, 0.0127796, 0.0127969", \
-            "0.0159379, 0.0159884, 0.0160522, 0.0161134, 0.0161598, 0.0161887", \
-            "0.0180629, 0.0181017, 0.0181571, 0.018218, 0.0182692, 0.0183033", \
-            "0.0192587, 0.019276, 0.0193056, 0.0193452, 0.019384, 0.0194129", \
-            "0.0199979, 0.0199725, 0.0199475, 0.0199308, 0.0199284, 0.0199345", \
-            "0.0204793, 0.0204364, 0.0203779, 0.0203082, 0.0202436, 0.0202015" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0189351, 0.0189936, 0.0190639, 0.0191296, 0.0191758, 0.0192026", \
-            "0.0201297, 0.0201466, 0.0201656, 0.0201743, 0.0201881, 0.0201885", \
-            "0.0196817, 0.0195619, 0.0194166, 0.0192808, 0.0191813, 0.0191206", \
-            "0.0193966, 0.0191461, 0.018847, 0.0185728, 0.0183682, 0.018239", \
-            "0.0198255, 0.0195961, 0.0190176, 0.0185268, 0.0181268, 0.0178895", \
-            "0.0178082, 0.0179016, 0.0181135, 0.0185996, 0.0184053, 0.0179461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.113065, 0.122443, 0.123254, 0.124875, 0.126497, 0.128263, 0.128696, 0.129851, 0.13216, 0.133862, 0.135564, 0.138681, 0.140239, 0.141798, 0.144421, 0.148792, 0.153082, 0.155358, 0.157425, 0.159883, 0.163568, 0.166108, 0.16873, 0.169544, 0.170358, 0.171172, 0.171986, 0.17343, 0.174874, 0.176317, 0.177761, 0.179204, 0.180647, 0.18209, 0.183534, 0.183882, 0.18458, 0.185975, 0.19158, 0.193393, 0.195607, 0.197782, 0.199365, 0.200224, 0.201082, 0.202798, 0.204515, 0.205254, 0.206734, 0.208925");
-            values ( \
-              "-0.00205017, -0.0259313, -0.0270338, -0.0299707, -0.0332175, -0.0371259, -0.0382061, -0.0415222, -0.0486112, -0.053083, -0.0573002, -0.0641608, -0.067424, -0.0705758, -0.0756011, -0.0836006, -0.0911023, -0.09482, -0.098052, -0.101543, -0.106199, -0.109211, -0.112196, -0.112524, -0.112754, -0.112885, -0.112917, -0.112732, -0.112237, -0.111432, -0.110317, -0.108543, -0.10632, -0.103648, -0.100526, -0.0994842, -0.0966751, -0.0902722, -0.0623602, -0.0536666, -0.0446131, -0.0367842, -0.0313963, -0.0287968, -0.0264008, -0.0223948, -0.0188509, -0.0175373, -0.0150255, -0.0115863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.130777, 0.141563, 0.150419, 0.165247, 0.186015, 0.196038, 0.205242, 0.214038, 0.222733, 0.232098, 0.244733, 0.253283, 0.263406, 0.271125, 0.275759, 0.285026, 0.295606");
-            values ( \
-              "-0.0214831, -0.0370574, -0.0560802, -0.0919929, -0.129468, -0.14302, -0.153167, -0.158168, -0.156405, -0.135234, -0.0801764, -0.0501416, -0.0269561, -0.0162915, -0.0119872, -0.00630884, -0.00317723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.16174, 0.178138, 0.181014, 0.184608, 0.188921, 0.198785, 0.202845, 0.212844, 0.220316, 0.224054, 0.23254, 0.241026, 0.249511, 0.253117, 0.256618, 0.263247, 0.266311, 0.269852, 0.275874, 0.279532, 0.283191, 0.286972, 0.290754, 0.294344, 0.296138, 0.299763, 0.303423, 0.305254, 0.307085, 0.308915, 0.310746, 0.312577, 0.315442, 0.318307, 0.321171, 0.324037, 0.326212, 0.328387, 0.346692, 0.353793, 0.357318, 0.360738, 0.36523, 0.370071, 0.372667, 0.375264, 0.380455, 0.385648, 0.390676, 0.394888");
-            values ( \
-              "-0.023912, -0.0455714, -0.0500968, -0.0562821, -0.0641926, -0.0842797, -0.0920665, -0.109578, -0.121723, -0.127493, -0.139641, -0.150928, -0.161606, -0.165896, -0.169758, -0.176396, -0.179189, -0.182147, -0.186515, -0.188778, -0.190788, -0.192601, -0.194146, -0.194721, -0.19489, -0.194989, -0.19476, -0.194522, -0.193744, -0.1927, -0.191391, -0.189817, -0.186821, -0.183175, -0.178879, -0.173934, -0.169127, -0.163769, -0.10676, -0.0860975, -0.077319, -0.0695712, -0.0600417, -0.050347, -0.0459257, -0.0418558, -0.0350245, -0.0290907, -0.0242825, -0.0205188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.234275, 0.266497, 0.283318, 0.298199, 0.307778, 0.322145, 0.326934, 0.337052, 0.350014, 0.352857, 0.358487, 0.364059, 0.369106, 0.377893, 0.391732, 0.404644, 0.417274, 0.427231, 0.430854, 0.4381, 0.44638, 0.451037, 0.455695, 0.460352, 0.466281, 0.47154, 0.478107, 0.48139, 0.484703, 0.488015, 0.491327, 0.494639, 0.501264, 0.507888, 0.514513, 0.521137, 0.523671, 0.543584, 0.557718, 0.571214, 0.580287, 0.588583, 0.600476, 0.604073, 0.611268, 0.620862, 0.625658, 0.630455, 0.640143, 0.648174");
-            values ( \
-              "-0.0617658, -0.0674427, -0.0893923, -0.107778, -0.119003, -0.134326, -0.139232, -0.14909, -0.161575, -0.164122, -0.168939, -0.173452, -0.17732, -0.183717, -0.193207, -0.201571, -0.209134, -0.214503, -0.216196, -0.21926, -0.222238, -0.223667, -0.22492, -0.225996, -0.226209, -0.226092, -0.225541, -0.225097, -0.223731, -0.222079, -0.220141, -0.217917, -0.212611, -0.206161, -0.198567, -0.189829, -0.185819, -0.145623, -0.118699, -0.0951589, -0.0819792, -0.0713656, -0.0571439, -0.0533273, -0.0465553, -0.039145, -0.0357963, -0.0326853, -0.0272223, -0.0229934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.33231, 0.401672, 0.491619, 0.531846, 0.641441, 0.687343, 0.713865, 0.766908, 0.8178, 0.868722, 0.919833, 0.994859, 1.03052, 1.07936, 1.12655, 1.17386, 1.20062, 1.25412, 1.34999, 1.44919, 1.54839");
-            values ( \
-              "-0.0194981, -0.0518749, -0.116254, -0.141813, -0.19936, -0.218073, -0.226816, -0.240396, -0.245866, -0.239184, -0.20329, -0.122588, -0.0916434, -0.0593416, -0.0382072, -0.0241324, -0.0184646, -0.0107594, -0.00370369, -0.00127511, -0.000381326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.578261, 0.711584, 0.861164, 0.950995, 1.06419, 1.15438, 1.22297, 1.32217, 1.36558, 1.44216, 1.47957, 1.54382, 1.64302, 1.68938, 1.73883, 1.87849, 1.97122, 2.0655, 2.16366, 2.26438, 2.32327, 2.42247, 2.52167, 2.62088, 2.72008, 2.91848");
-            values ( \
-              "-0.0255835, -0.0518385, -0.108681, -0.13979, -0.175462, -0.20006, -0.216082, -0.235238, -0.241865, -0.250796, -0.253621, -0.255998, -0.248591, -0.236432, -0.214305, -0.136512, -0.094276, -0.0625122, -0.0398506, -0.0246647, -0.0185383, -0.0113246, -0.0068791, -0.00416719, -0.00251725, -0.000917452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.130477, 0.146879, 0.153656, 0.171058, 0.184073, 0.189943, 0.195713, 0.201825, 0.217296, 0.222442, 0.229755, 0.235563, 0.242445, 0.244145");
-            values ( \
-              "-0.000762883, -0.0386214, -0.0582232, -0.0916131, -0.109491, -0.113692, -0.11379, -0.0997285, -0.0316906, -0.0189919, -0.00875156, -0.00443492, -0.00201109, -0.00174365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.148989, 0.158236, 0.159914, 0.16327, 0.168769, 0.178658, 0.192849, 0.192952, 0.193572, 0.196051, 0.199355, 0.204352, 0.214394, 0.223596, 0.232392, 0.241085, 0.24977, 0.250449, 0.251806, 0.254522, 0.259392, 0.264303, 0.266742, 0.271619, 0.273976, 0.275671, 0.277931, 0.281766, 0.287163, 0.289474, 0.294097, 0.303342, 0.311619");
-            values ( \
-              "-0.0213243, -0.0348609, -0.0371374, -0.0436951, -0.0560084, -0.0821366, -0.108939, -0.109429, -0.110992, -0.115484, -0.121117, -0.12927, -0.143218, -0.153033, -0.158334, -0.156292, -0.137043, -0.135211, -0.12969, -0.118036, -0.095879, -0.0753185, -0.0661527, -0.0501828, -0.0436596, -0.0394174, -0.034321, -0.0269417, -0.0189785, -0.0162944, -0.011995, -0.00632601, -0.00386507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.170953, 0.242314, 0.250847, 0.267913, 0.286121, 0.294151, 0.301459, 0.309019, 0.316194, 0.323513, 0.330834, 0.342298, 0.346641, 0.367929, 0.376741, 0.388318, 0.393509, 0.403892, 0.413132, 0.418203, 0.428343, 0.448625, 0.475833, 0.503928");
-            values ( \
-              "-0.000639058, -0.12716, -0.139663, -0.161909, -0.180352, -0.186274, -0.190605, -0.1937, -0.195582, -0.195373, -0.192038, -0.175721, -0.164694, -0.0979625, -0.074857, -0.0509295, -0.0425381, -0.0292208, -0.0207909, -0.0172206, -0.0117031, -0.00522108, -0.00168581, -0.000594493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.238906, 0.270554, 0.326465, 0.377202, 0.413508, 0.445732, 0.460138, 0.47892, 0.486657, 0.499781, 0.513025, 0.539513, 0.576294, 0.598209, 0.620028, 0.649471, 0.666585, 0.694514, 0.731752, 0.785056, 0.842896");
-            values ( \
-              "-0.0243111, -0.0507596, -0.119165, -0.169303, -0.195542, -0.214152, -0.220366, -0.225769, -0.226738, -0.226259, -0.221589, -0.190805, -0.11841, -0.0829724, -0.0564155, -0.0324235, -0.0232794, -0.0133791, -0.00611748, -0.00185799, -0.000510237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.349625, 0.420722, 0.539487, 0.593032, 0.648539, 0.675828, 0.732831, 0.785865, 0.836758, 0.887676, 0.938785, 1.01381, 1.0497, 1.09463, 1.14499, 1.19279, 1.22004, 1.27453, 1.37373, 1.47293");
-            values ( \
-              "-0.017691, -0.0519597, -0.134844, -0.1654, -0.193805, -0.206, -0.226945, -0.240306, -0.245989, -0.239091, -0.203403, -0.12268, -0.0913986, -0.0613963, -0.0383423, -0.0240879, -0.0184213, -0.0105476, -0.00353051, -0.00115715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.585853, 0.730796, 0.865897, 0.970237, 1.08393, 1.17253, 1.24217, 1.34138, 1.38477, 1.46135, 1.49881, 1.56301, 1.66222, 1.70854, 1.75795, 1.8981, 1.98996, 2.08445, 2.18364, 2.2836, 2.3417, 2.4409, 2.5401, 2.6393, 2.7385, 2.93691");
-            values ( \
-              "-0.0194054, -0.0518092, -0.103381, -0.139737, -0.175549, -0.199729, -0.216162, -0.235173, -0.241799, -0.250732, -0.253702, -0.255934, -0.248666, -0.236447, -0.214347, -0.136295, -0.0944503, -0.0625769, -0.0397034, -0.0246618, -0.0186108, -0.0113673, -0.00690736, -0.00418226, -0.00252846, -0.000922349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.175971, 0.189117, 0.195902, 0.21331, 0.226324, 0.232193, 0.237963, 0.244074, 0.259545, 0.264693, 0.272012, 0.277828, 0.284861, 0.285072");
-            values ( \
-              "-0.0111892, -0.0385727, -0.058097, -0.0915887, -0.109468, -0.113725, -0.113768, -0.099722, -0.0316941, -0.0189908, -0.00872956, -0.00443085, -0.00196355, -0.00193173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.19168, 0.196941, 0.201742, 0.202471, 0.203931, 0.20685, 0.211224, 0.217846, 0.221034, 0.227409, 0.235246, 0.24666, 0.256668, 0.265861, 0.274649, 0.283338, 0.292019, 0.292699, 0.294058, 0.296778, 0.301652, 0.304724, 0.306546, 0.308976, 0.313836, 0.316215, 0.317926, 0.320207, 0.324032, 0.329418, 0.331718, 0.336317, 0.345515, 0.353482");
-            values ( \
-              "-0.0228333, -0.0295845, -0.0359697, -0.0378635, -0.0406305, -0.0464214, -0.0567757, -0.0745714, -0.0813797, -0.0952765, -0.109922, -0.129658, -0.143258, -0.153286, -0.158334, -0.156456, -0.136996, -0.135266, -0.129701, -0.118027, -0.0958339, -0.0827163, -0.0753393, -0.0662113, -0.0502707, -0.043689, -0.0394051, -0.0342564, -0.0269145, -0.018965, -0.0163019, -0.012013, -0.00636182, -0.0039735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.218446, 0.238959, 0.241238, 0.245795, 0.248074, 0.264364, 0.26986, 0.275356, 0.280852, 0.283961, 0.293287, 0.302587, 0.311887, 0.312451, 0.317711, 0.321956, 0.325978, 0.328584, 0.332588, 0.336591, 0.340259, 0.345816, 0.349598, 0.351488, 0.353282, 0.35687, 0.360494, 0.362324, 0.365984, 0.367814, 0.369644, 0.371475, 0.373305, 0.376211, 0.379116, 0.382022, 0.384927, 0.387022, 0.389117, 0.407421, 0.414512, 0.418033, 0.421454, 0.425948, 0.430793, 0.435989, 0.441186, 0.446382, 0.451403, 0.455608");
-            values ( \
-              "-0.00971489, -0.045842, -0.0494416, -0.0572288, -0.0614165, -0.0933915, -0.103269, -0.112724, -0.121756, -0.126273, -0.139413, -0.151903, -0.163781, -0.164211, -0.170112, -0.174448, -0.178254, -0.180564, -0.183725, -0.186544, -0.188807, -0.191763, -0.193448, -0.194191, -0.194519, -0.194936, -0.195036, -0.194964, -0.194571, -0.19378, -0.192725, -0.191408, -0.189827, -0.186777, -0.183065, -0.178689, -0.17365, -0.169002, -0.163837, -0.106758, -0.086119, -0.0773482, -0.0695976, -0.0600579, -0.0503515, -0.0418543, -0.0350166, -0.0290787, -0.02428, -0.0205205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.266059, 0.313016, 0.360471, 0.387023, 0.411483, 0.430782, 0.445346, 0.475376, 0.488725, 0.503127, 0.521832, 0.529654, 0.542782, 0.556028, 0.582521, 0.619303, 0.641221, 0.66304, 0.672854, 0.692482, 0.709598, 0.718909, 0.737531, 0.774774, 0.800658");
-            values ( \
-              "-0.000714323, -0.0498789, -0.109058, -0.138191, -0.161843, -0.177739, -0.188082, -0.206935, -0.214141, -0.220211, -0.225757, -0.226615, -0.226266, -0.221493, -0.190842, -0.118442, -0.0829497, -0.0563973, -0.0471163, -0.0324081, -0.0232641, -0.0193965, -0.0133637, -0.00613029, -0.00404937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.401655, 0.463704, 0.588001, 0.653877, 0.70346, 0.749143, 0.775762, 0.828773, 0.879691, 0.93061, 0.981718, 1.05674, 1.09264, 1.13757, 1.18791, 1.23572, 1.26298, 1.31748, 1.41669, 1.51589");
-            values ( \
-              "-0.027816, -0.0520291, -0.13825, -0.175007, -0.19931, -0.217941, -0.226942, -0.240298, -0.245989, -0.239091, -0.203404, -0.122679, -0.0914041, -0.0614046, -0.0383558, -0.0240984, -0.0184093, -0.0105552, -0.00351908, -0.00116729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.702361, 0.855972, 0.953189, 1.08305, 1.1565, 1.28506, 1.38426, 1.42819, 1.48677, 1.53972, 1.60609, 1.70529, 1.75161, 1.80101, 1.9412, 2.033, 2.12751, 2.22677, 2.32667, 2.38472, 2.48392, 2.58312, 2.68232, 2.97993");
-            values ( \
-              "-0.0561968, -0.0828967, -0.119221, -0.162324, -0.184032, -0.216118, -0.235134, -0.241843, -0.249049, -0.25356, -0.255935, -0.248666, -0.236448, -0.214351, -0.136281, -0.0944619, -0.0625811, -0.0396936, -0.0246615, -0.0186159, -0.0113699, -0.00690943, -0.00418305, -0.000922868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.273343, 0.278006, 0.280342, 0.284408, 0.286558, 0.290857, 0.293367, 0.298385, 0.303039, 0.310799, 0.317582, 0.323793, 0.329661, 0.335431, 0.341192, 0.343636, 0.351043, 0.353255, 0.356154, 0.358731, 0.362167, 0.365125, 0.36951, 0.374602, 0.381539, 0.384325");
-            values ( \
-              "-0.0170953, -0.0230211, -0.0253507, -0.0334683, -0.0383649, -0.0509462, -0.0572903, -0.0685482, -0.0775428, -0.0915214, -0.101678, -0.109379, -0.113843, -0.113682, -0.100808, -0.0905553, -0.0540809, -0.0447999, -0.0343759, -0.0268547, -0.0189864, -0.0139062, -0.00864564, -0.00485151, -0.00213987, -0.00169761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.287787, 0.307697, 0.317487, 0.333138, 0.343058, 0.353073, 0.362265, 0.37105, 0.379738, 0.388418, 0.407155, 0.416077, 0.421767, 0.427742, 0.437748, 0.449687, 0.460857");
-            values ( \
-              "-0.0108334, -0.0567619, -0.0827731, -0.112971, -0.129578, -0.14352, -0.153195, -0.158511, -0.15631, -0.137092, -0.0600429, -0.0353605, -0.0246941, -0.0167393, -0.00856361, -0.00364592, -0.00235233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.311953, 0.361522, 0.389341, 0.407958, 0.422785, 0.439892, 0.454619, 0.464857, 0.469215, 0.4766, 0.48499, 0.504648, 0.52062, 0.534045, 0.543994, 0.551722, 0.56364, 0.579532, 0.606611, 0.663297");
-            values ( \
-              "-0.00144996, -0.0956637, -0.139994, -0.163949, -0.178931, -0.190621, -0.195656, -0.194732, -0.19209, -0.183781, -0.164727, -0.102868, -0.062581, -0.0394375, -0.0274678, -0.0206565, -0.0131989, -0.00710392, -0.00229611, -0.000290703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.375229, 0.408915, 0.455675, 0.484216, 0.507343, 0.524155, 0.5412, 0.562043, 0.584572, 0.598978, 0.617759, 0.625502, 0.638629, 0.651875, 0.678367, 0.714296, 0.724087, 0.738871, 0.758916, 0.76783, 0.785658, 0.805199, 0.815668, 0.836607, 0.878485, 0.900804");
-            values ( \
-              "-0.0206448, -0.0498824, -0.108209, -0.139525, -0.161818, -0.175816, -0.188085, -0.201702, -0.214165, -0.220227, -0.225795, -0.226625, -0.226288, -0.221498, -0.190851, -0.120008, -0.102829, -0.0804281, -0.0563811, -0.0479132, -0.0341479, -0.0233903, -0.0190752, -0.0125116, -0.00515165, -0.003641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.483336, 0.558718, 0.648102, 0.689755, 0.79928, 0.845182, 0.871711, 0.924769, 0.975669, 1.0266, 1.07772, 1.15272, 1.18869, 1.23726, 1.28383, 1.33172, 1.35905, 1.41372, 1.51196, 1.61116");
-            values ( \
-              "-0.0144972, -0.0511803, -0.115162, -0.141684, -0.199224, -0.217955, -0.226775, -0.240313, -0.245864, -0.239132, -0.203308, -0.122631, -0.0913877, -0.0593469, -0.0383997, -0.024113, -0.0183805, -0.0105435, -0.00354204, -0.00119348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.719407, 0.870602, 1.005, 1.10991, 1.22355, 1.31221, 1.3818, 1.48101, 1.52438, 1.60097, 1.63849, 1.70263, 1.80183, 1.84811, 1.89748, 2.03817, 2.12909, 2.22381, 2.32412, 2.42324, 2.48049, 2.57969, 2.67889, 2.77809, 2.8773, 3.0757");
-            values ( \
-              "-0.016451, -0.0518509, -0.103194, -0.139768, -0.175563, -0.199755, -0.216171, -0.235187, -0.241811, -0.250745, -0.253709, -0.255946, -0.248668, -0.236464, -0.214391, -0.136061, -0.0946419, -0.0626492, -0.0395457, -0.0246602, -0.0186879, -0.011415, -0.00693651, -0.00420008, -0.00253916, -0.00092621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.473208, 0.487971, 0.493828, 0.507443, 0.523468, 0.531093, 0.537929, 0.544293, 0.550163, 0.554416, 0.556898, 0.567001, 0.574067, 0.580207, 0.587045, 0.59264, 0.600046, 0.608053, 0.628253");
-            values ( \
-              "-0.00602966, -0.0198806, -0.0274178, -0.0541155, -0.0804858, -0.0916105, -0.100089, -0.105635, -0.10668, -0.101015, -0.0946401, -0.0514643, -0.0277253, -0.0150618, -0.00731132, -0.00394521, -0.00172214, -0.000808002, -0.000609048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.489506, 0.491299, 0.503701, 0.506996, 0.513586, 0.518945, 0.527603, 0.537358, 0.550144, 0.558954, 0.563965, 0.56952, 0.579102, 0.588162, 0.597067, 0.605746, 0.608287, 0.620042, 0.623755, 0.628705, 0.631776, 0.636716, 0.643047, 0.64518, 0.650237, 0.656017, 0.666845, 0.677995, 0.693514, 0.70947, 0.725197");
-            values ( \
-              "-0.00856663, -0.00902609, -0.0214923, -0.0255078, -0.0346787, -0.0442678, -0.0634277, -0.0832666, -0.106597, -0.121285, -0.129017, -0.136958, -0.14789, -0.154264, -0.153019, -0.135544, -0.126623, -0.0767833, -0.0631777, -0.0478099, -0.0398224, -0.0293969, -0.0195807, -0.0170079, -0.0122279, -0.00827289, -0.00393432, -0.00190058, -0.000794258, -0.000377235, -0.000267797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.521525, 0.558335, 0.577218, 0.592624, 0.606331, 0.625264, 0.635485, 0.650106, 0.66507, 0.672266, 0.683198, 0.686922, 0.694326, 0.702716, 0.722396, 0.738351, 0.751827, 0.761559, 0.769473, 0.781591, 0.797749, 0.824558, 0.881283");
-            values ( \
-              "-0.000127353, -0.0525744, -0.0902871, -0.11668, -0.137367, -0.162095, -0.172937, -0.18496, -0.192774, -0.194855, -0.193941, -0.191631, -0.18343, -0.164524, -0.102791, -0.0625958, -0.0393941, -0.0276666, -0.0206669, -0.0131069, -0.00697824, -0.00228624, -0.000287826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.601306, 0.626172, 0.638792, 0.680325, 0.704999, 0.723999, 0.741258, 0.779205, 0.801316, 0.815552, 0.83511, 0.842062, 0.855177, 0.868422, 0.894912, 0.93165, 0.953678, 0.975411, 0.985181, 1.00472, 1.02195, 1.03132, 1.05005, 1.08751, 1.141, 1.19896");
-            values ( \
-              "-0.0445013, -0.0510912, -0.0654434, -0.117785, -0.143872, -0.161973, -0.176273, -0.202191, -0.214387, -0.220417, -0.22605, -0.226772, -0.226378, -0.221607, -0.190869, -0.118509, -0.0828416, -0.0564031, -0.0471609, -0.0324913, -0.0232717, -0.0193848, -0.013322, -0.00608224, -0.0018164, -0.000517744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.69658, 0.775621, 0.852408, 0.909428, 1.00515, 1.06094, 1.08712, 1.14013, 1.19102, 1.24193, 1.29303, 1.36807, 1.40391, 1.44886, 1.49929, 1.54703, 1.57422, 1.62859, 1.72779, 1.82699");
-            values ( \
-              "-0.0101158, -0.0525148, -0.107793, -0.144378, -0.194988, -0.21819, -0.227009, -0.240365, -0.246025, -0.239128, -0.203416, -0.122664, -0.0914408, -0.061417, -0.0383294, -0.0240968, -0.0184236, -0.0105766, -0.0035284, -0.00116818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.948352, 1.08543, 1.21722, 1.32454, 1.43804, 1.52648, 1.5962, 1.6954, 1.73873, 1.81534, 1.85301, 1.917, 2.0162, 2.06241, 2.11171, 2.25307, 2.34284, 2.43785, 2.53955, 2.63764, 2.69383, 2.79303, 2.89223, 2.99143, 3.09063, 3.28904");
-            values ( \
-              "-0.0232225, -0.0520872, -0.102453, -0.139917, -0.175659, -0.199788, -0.216178, -0.23524, -0.241854, -0.250786, -0.253713, -0.255981, -0.248654, -0.236493, -0.214464, -0.135783, -0.0948826, -0.0627408, -0.0393502, -0.0246588, -0.0187833, -0.0114751, -0.00697227, -0.0042229, -0.00255193, -0.00093046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.880694, 0.899999, 0.913123, 0.920955, 0.941369, 0.962773, 0.979504, 0.987173, 0.994824, 1.01034, 1.01664, 1.02346, 1.02946, 1.03633, 1.04428, 1.05275, 1.13458");
-            values ( \
-              "-0.00237297, -0.0112101, -0.0193697, -0.0269529, -0.05067, -0.0715289, -0.0843695, -0.0870055, -0.0811971, -0.0345085, -0.0204711, -0.0108783, -0.00607305, -0.0030257, -0.00141239, -0.000723451, -0.000152703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.914269, 0.935952, 0.938596, 0.940566, 0.942535, 0.946474, 0.949694, 0.952914, 0.956134, 0.959354, 0.963252, 0.967149, 0.971047, 0.974337, 0.984209, 0.988129, 0.995971, 1.0032, 1.00983, 1.01314, 1.01903, 1.02492, 1.03036, 1.03579, 1.03841, 1.04103, 1.04365, 1.04627, 1.04882, 1.05137, 1.05392, 1.05647, 1.0571, 1.05804, 1.05899, 1.06158, 1.06371, 1.07094, 1.07474, 1.07728, 1.0803, 1.08271, 1.08531, 1.08721, 1.0891, 1.09084, 1.09258, 1.09432, 1.09606, 1.09919");
-            values ( \
-              "-0.0172764, -0.0211329, -0.0233107, -0.0250941, -0.0269663, -0.0309771, -0.0345197, -0.0382996, -0.0423169, -0.0465716, -0.0520691, -0.0572382, -0.062284, -0.0663962, -0.0784133, -0.0830425, -0.0920955, -0.100204, -0.107427, -0.110965, -0.116962, -0.122775, -0.127975, -0.133017, -0.132235, -0.131207, -0.129935, -0.128418, -0.126706, -0.124763, -0.122587, -0.120179, -0.119065, -0.116652, -0.114, -0.106018, -0.0990259, -0.0742637, -0.0619015, -0.0547107, -0.0469078, -0.0410724, -0.0350683, -0.0315484, -0.0283023, -0.025614, -0.0231232, -0.0208298, -0.018734, -0.0154158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.970459, 0.993374, 0.998387, 1.00153, 1.01098, 1.02351, 1.03331, 1.03894, 1.04456, 1.06758, 1.08554, 1.09386, 1.09784, 1.10305, 1.10941, 1.11607, 1.12644, 1.1307, 1.13265, 1.13655, 1.1385, 1.14159, 1.14467, 1.14775, 1.15084, 1.15438, 1.15615, 1.15793, 1.1597, 1.16147, 1.16388, 1.16628, 1.16868, 1.17109, 1.17755, 1.18079, 1.18402, 1.19387, 1.19726, 1.20065, 1.20516, 1.21004, 1.21403, 1.21506, 1.2161, 1.21817, 1.22024, 1.23073, 1.23633, 1.24007");
-            values ( \
-              "-0.0309269, -0.0317264, -0.0364182, -0.0396922, -0.0501681, -0.0657873, -0.0783534, -0.0852486, -0.0920335, -0.11889, -0.138587, -0.147143, -0.151, -0.155772, -0.161084, -0.166079, -0.173092, -0.175796, -0.176806, -0.178543, -0.179271, -0.179902, -0.18016, -0.180046, -0.179558, -0.178536, -0.177335, -0.175807, -0.173951, -0.171769, -0.16796, -0.163767, -0.159189, -0.154226, -0.138114, -0.129658, -0.120937, -0.0930172, -0.0842341, -0.0762575, -0.0665176, -0.0569849, -0.0500516, -0.045692, -0.0423648, -0.0410338, -0.0393811, -0.0282113, -0.0231675, -0.0200717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.04843, 1.086, 1.10553, 1.15482, 1.20113, 1.22931, 1.25877, 1.28307, 1.29673, 1.31919, 1.33315, 1.3505, 1.37733, 1.41383, 1.43719, 1.45807, 1.48602, 1.50456, 1.53455, 1.57453, 1.62905, 1.68918");
-            values ( \
-              "-0.0272249, -0.0359967, -0.0526953, -0.105068, -0.150265, -0.173325, -0.19476, -0.209257, -0.215654, -0.222601, -0.22361, -0.219179, -0.189372, -0.118629, -0.0813265, -0.0562831, -0.0333543, -0.0233148, -0.0128634, -0.0055196, -0.00162142, -0.000424585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.21558, 1.29327, 1.34396, 1.39682, 1.46462, 1.51377, 1.57092, 1.62397, 1.67495, 1.7259, 1.77703, 1.85199, 1.88813, 1.93297, 1.98296, 2.03103, 2.05855, 2.11359, 2.21279, 2.31199");
-            values ( \
-              "-0.048199, -0.0755802, -0.11249, -0.145759, -0.182645, -0.20558, -0.226656, -0.240094, -0.245831, -0.238996, -0.203333, -0.12272, -0.0912658, -0.0613575, -0.0384538, -0.0241, -0.0183598, -0.0104683, -0.00348818, -0.00115862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.49407, 1.61613, 1.71499, 1.85033, 1.94836, 2.07692, 2.17613, 2.21926, 2.29596, 2.33432, 2.39758, 2.49679, 2.5427, 2.59168, 2.73436, 2.82229, 2.91783, 3.02201, 3.11825, 3.17256, 3.27176, 3.37096, 3.47016, 3.76777");
-            values ( \
-              "-0.0622961, -0.0710143, -0.10895, -0.154905, -0.18427, -0.216305, -0.235388, -0.241964, -0.250892, -0.253808, -0.256065, -0.248668, -0.236621, -0.214789, -0.135406, -0.0953201, -0.0629077, -0.0390022, -0.0246565, -0.0189544, -0.0115826, -0.00703642, -0.00426357, -0.000938192" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0120489, 0.0120857, 0.0121301, 0.0121713, 0.0122013, 0.0122196", \
-            "0.0142173, 0.014246, 0.0142867, 0.0143312, 0.0143682, 0.0143929", \
-            "0.0155427, 0.0155555, 0.0155781, 0.0156086, 0.0156399, 0.0156636", \
-            "0.0163757, 0.0163768, 0.0163804, 0.0163884, 0.0164021, 0.0164165", \
-            "0.0168686, 0.0168622, 0.0168526, 0.0168417, 0.0168341, 0.0168324", \
-            "0.0171416, 0.0171328, 0.0171185, 0.0170987, 0.0170776, 0.0170601" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0167956, 0.0168268, 0.0168743, 0.0169264, 0.0169675, 0.0169934", \
-            "0.0186636, 0.0186669, 0.0186789, 0.0186984, 0.0187178, 0.0187315", \
-            "0.0200155, 0.0199734, 0.0199215, 0.0198729, 0.0198382, 0.0198175", \
-            "0.0210854, 0.0209895, 0.0208644, 0.0207326, 0.0206225, 0.0205481", \
-            "0.0221154, 0.0219443, 0.0216967, 0.0214421, 0.0212381, 0.0210959", \
-            "0.0205527, 0.0215214, 0.0223006, 0.0221481, 0.0217646, 0.0214883" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.130949, 0.164562, 0.231881, 0.36944, 0.651826, 1.23652", \
-            "0.135476, 0.169158, 0.236591, 0.374162, 0.656564, 1.24148", \
-            "0.145667, 0.17946, 0.246899, 0.384733, 0.667377, 1.25213", \
-            "0.168648, 0.202507, 0.270138, 0.408167, 0.690933, 1.2759", \
-            "0.204703, 0.244213, 0.318786, 0.460412, 0.744159, 1.32918", \
-            "0.236696, 0.286541, 0.378745, 0.549082, 0.857506, 1.44806" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0400824, 0.0615349, 0.106048, 0.197705, 0.386873, 0.781698", \
-            "0.0401282, 0.0614102, 0.105945, 0.197529, 0.386766, 0.781458", \
-            "0.0399828, 0.0612769, 0.105842, 0.19745, 0.386636, 0.781413", \
-            "0.0400725, 0.0613643, 0.105748, 0.19738, 0.386603, 0.781372", \
-            "0.0438333, 0.0645143, 0.107453, 0.197696, 0.386472, 0.781255", \
-            "0.0520727, 0.0729911, 0.116131, 0.204166, 0.388345, 0.781281" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.154493, 0.19355, 0.271438, 0.429913, 0.755691, 1.4306", \
-            "0.158704, 0.197803, 0.275977, 0.434966, 0.760831, 1.43595", \
-            "0.170092, 0.209216, 0.287391, 0.446613, 0.773001, 1.44848", \
-            "0.199126, 0.237838, 0.315522, 0.47432, 0.80061, 1.47659", \
-            "0.262847, 0.304054, 0.382602, 0.540529, 0.865309, 1.5403", \
-            "0.363647, 0.416587, 0.514016, 0.688909, 1.01626, 1.68843" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0385959, 0.0575048, 0.0958171, 0.173569, 0.333185, 0.664172", \
-            "0.0385133, 0.0574694, 0.0957623, 0.173395, 0.333167, 0.664155", \
-            "0.0385106, 0.0574328, 0.0958058, 0.173481, 0.333163, 0.664171", \
-            "0.0385128, 0.0573763, 0.0956596, 0.173468, 0.333285, 0.664128", \
-            "0.0423558, 0.0597787, 0.0963961, 0.17335, 0.333068, 0.664064", \
-            "0.0535933, 0.0728966, 0.108111, 0.178533, 0.333543, 0.663774" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0975057, 0.11235, 0.11914, 0.123127, 0.130125, 0.136457, 0.142514, 0.148573, 0.154623, 0.16113, 0.166589, 0.175306, 0.179446, 0.188692, 0.192901, 0.197897, 0.205262, 0.209373, 0.216078, 0.227326, 0.242139, 0.251201");
-            values ( \
-              "0.0105915, 0.0591267, 0.0781749, 0.0873044, 0.100096, 0.106885, 0.109548, 0.107073, 0.0959166, 0.0731084, 0.0564454, 0.0364697, 0.0293313, 0.0177853, 0.0141274, 0.0107338, 0.00694166, 0.00551651, 0.00391345, 0.00256763, 0.0012817, 0.000957161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.105468, 0.114301, 0.146786, 0.157218, 0.166749, 0.176013, 0.185261, 0.192106, 0.225789, 0.243351, 0.253727, 0.274044, 0.294788");
-            values ( \
-              "0.0180469, 0.0311183, 0.122835, 0.139246, 0.147004, 0.148524, 0.143133, 0.131957, 0.0525855, 0.0286559, 0.0198367, 0.0095938, 0.00510478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.148802, 0.172426, 0.178372, 0.183128, 0.188729, 0.191529, 0.194329, 0.198663, 0.202998, 0.207332, 0.211667, 0.212077, 0.215362, 0.219116, 0.224746, 0.227853, 0.230312, 0.23523, 0.241551, 0.243673, 0.246855, 0.250037, 0.252159, 0.256402, 0.259971, 0.26354, 0.267108, 0.272508, 0.277907, 0.286903, 0.299795, 0.306858, 0.309426, 0.314413, 0.3194, 0.322477, 0.335086, 0.340155, 0.345225, 0.348605, 0.355364, 0.364557, 0.371864, 0.376735, 0.381606, 0.386201, 0.390795, 0.39539, 0.399984, 0.407876");
-            values ( \
-              "0.11304, 0.116437, 0.129581, 0.13901, 0.147254, 0.15108, 0.154708, 0.159934, 0.164687, 0.168965, 0.17277, 0.172917, 0.175137, 0.176843, 0.178884, 0.179779, 0.180276, 0.180042, 0.179387, 0.17887, 0.177575, 0.176013, 0.174823, 0.172086, 0.169118, 0.165222, 0.160883, 0.15317, 0.145053, 0.129751, 0.108911, 0.0972141, 0.0930901, 0.0858375, 0.0789258, 0.0749439, 0.0592013, 0.0536128, 0.0486402, 0.0455624, 0.0398346, 0.0329607, 0.0278597, 0.0251654, 0.0226815, 0.0205784, 0.0186313, 0.0168402, 0.0152053, 0.0127299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.199252, 0.232366, 0.237216, 0.248793, 0.258555, 0.264458, 0.270361, 0.276264, 0.283177, 0.29009, 0.297823, 0.305556, 0.313315, 0.321074, 0.324766, 0.328715, 0.333661, 0.34108, 0.351751, 0.36191, 0.372068, 0.37676, 0.381453, 0.385189, 0.388924, 0.39266, 0.396396, 0.404267, 0.412139, 0.419906, 0.427672, 0.435438, 0.446029, 0.499781, 0.505696, 0.519152, 0.535095, 0.552096, 0.563182, 0.576613, 0.58109, 0.587019, 0.598878, 0.606573, 0.615402, 0.625998, 0.640125, 0.654252, 0.671998, 0.688486");
-            values ( \
-              "0.102052, 0.107364, 0.115658, 0.133051, 0.145988, 0.15311, 0.159747, 0.165899, 0.172486, 0.178408, 0.183549, 0.188013, 0.191812, 0.19493, 0.196101, 0.197192, 0.19834, 0.199663, 0.19981, 0.199688, 0.199311, 0.198755, 0.198032, 0.197241, 0.196289, 0.195175, 0.193901, 0.190329, 0.186207, 0.1816, 0.176459, 0.170782, 0.16215, 0.109409, 0.103797, 0.0921466, 0.0794062, 0.0667211, 0.0591981, 0.0515036, 0.0491047, 0.0461631, 0.0405004, 0.0369831, 0.0332068, 0.0292608, 0.0249736, 0.0211892, 0.0172232, 0.0138456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.245396, 0.321038, 0.36496, 0.408319, 0.450398, 0.485537, 0.502738, 0.523937, 0.547143, 0.577117, 0.605302, 0.655444, 0.663118, 0.678467, 0.709164, 0.723174, 0.751195, 0.789682, 0.891307, 0.966207, 0.993311, 1.03339, 1.0792, 1.13183, 1.16134, 1.22037, 1.2558, 1.29204, 1.34036, 1.437, 1.53959, 1.64218, 1.74477");
-            values ( \
-              "0.00259005, 0.0628606, 0.106133, 0.144259, 0.17332, 0.190601, 0.19722, 0.20376, 0.209313, 0.213563, 0.215304, 0.212961, 0.212135, 0.209729, 0.203159, 0.198923, 0.188802, 0.170988, 0.118248, 0.083577, 0.0728449, 0.0590541, 0.0458133, 0.0340168, 0.0285631, 0.02013, 0.0161803, 0.012973, 0.00970314, 0.00518142, 0.00273747, 0.00134509, 0.000753992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.475863, 0.561927, 0.650496, 0.717272, 0.797182, 0.863237, 0.891559, 0.948203, 1.01445, 1.11704, 1.13145, 1.16026, 1.20367, 1.24789, 1.33632, 1.36973, 1.4322, 1.50509, 1.72434, 1.79231, 1.8949, 2.0111, 2.10205, 2.17134, 2.24901, 2.32768, 2.43027, 2.48148, 2.5839, 2.68649, 2.78908, 2.99426, 3.19944, 3.50721");
-            values ( \
-              "0.055818, 0.0641352, 0.110169, 0.141811, 0.173003, 0.192843, 0.199619, 0.210251, 0.218271, 0.223052, 0.223064, 0.222679, 0.221137, 0.218397, 0.209138, 0.204024, 0.192186, 0.175175, 0.117992, 0.101516, 0.0793513, 0.058824, 0.0459239, 0.0378456, 0.0303312, 0.0241353, 0.0178055, 0.0152932, 0.0112228, 0.00821833, 0.00599928, 0.00318856, 0.00168922, 0.000653484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.112754, 0.121678, 0.14168, 0.148714, 0.15506, 0.161121, 0.167184, 0.173231, 0.183316, 0.193927, 0.206973, 0.218813, 0.234651, 0.244531");
-            values ( \
-              "0.0135748, 0.0276393, 0.0866901, 0.0997462, 0.10678, 0.109496, 0.107154, 0.095924, 0.0618503, 0.0364815, 0.0181318, 0.00934866, 0.00391078, 0.00292473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.137274, 0.151128, 0.153177, 0.157083, 0.160989, 0.162168, 0.165705, 0.168064, 0.170422, 0.17278, 0.176562, 0.178936, 0.180835, 0.181785, 0.182736, 0.184638, 0.185589, 0.187491, 0.189392, 0.191294, 0.193196, 0.194821, 0.196445, 0.197257, 0.198069, 0.19959, 0.201111, 0.204152, 0.206503, 0.208854, 0.211205, 0.213556, 0.214359, 0.227135, 0.232501, 0.236548, 0.241066, 0.243325, 0.24661, 0.249594, 0.251831, 0.256026, 0.261095, 0.266422, 0.270285, 0.274859, 0.278329, 0.280455, 0.286832, 0.292395");
-            values ( \
-              "0.0825009, 0.0881679, 0.094113, 0.104677, 0.114228, 0.116672, 0.123538, 0.127728, 0.131607, 0.135176, 0.140209, 0.142834, 0.144624, 0.145416, 0.146024, 0.147052, 0.147473, 0.147992, 0.148354, 0.148558, 0.148605, 0.14852, 0.14799, 0.147606, 0.147143, 0.145926, 0.144522, 0.141146, 0.13802, 0.134443, 0.130415, 0.125937, 0.124094, 0.0890927, 0.0764641, 0.0677964, 0.0589477, 0.0548384, 0.0492358, 0.0443184, 0.0408768, 0.0354594, 0.0297312, 0.0244236, 0.0211767, 0.0180562, 0.0159942, 0.0148764, 0.011892, 0.00971861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.170149, 0.197117, 0.202004, 0.207462, 0.21292, 0.21725, 0.221581, 0.225911, 0.230298, 0.233826, 0.237467, 0.241108, 0.246411, 0.251294, 0.255975, 0.257531, 0.260643, 0.262199, 0.264183, 0.266166, 0.26815, 0.270134, 0.272224, 0.274314, 0.278494, 0.281866, 0.285239, 0.288611, 0.291983, 0.29647, 0.317078, 0.324541, 0.330137, 0.334671, 0.339205, 0.345061, 0.354887, 0.358856, 0.361336, 0.368775, 0.37528, 0.381785, 0.385755, 0.391711, 0.393696, 0.401636, 0.405606, 0.409576, 0.418628, 0.426108");
-            values ( \
-              "0.126172, 0.129973, 0.139597, 0.147672, 0.154957, 0.159127, 0.163499, 0.168075, 0.172918, 0.175258, 0.176971, 0.178357, 0.180032, 0.180209, 0.180044, 0.179827, 0.179176, 0.178742, 0.178045, 0.177212, 0.176242, 0.175136, 0.173667, 0.172051, 0.168378, 0.164986, 0.16121, 0.157051, 0.15251, 0.145797, 0.110808, 0.0985245, 0.0898465, 0.083387, 0.0772072, 0.0697444, 0.0578236, 0.0533773, 0.050938, 0.0440857, 0.0388043, 0.0337793, 0.0308385, 0.0270475, 0.0258875, 0.021938, 0.0201454, 0.0184742, 0.0151782, 0.0126573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.17902, 0.305278, 0.32612, 0.339974, 0.353327, 0.366748, 0.376336, 0.399582, 0.422846, 0.430295, 0.445191, 0.463992, 0.518954, 0.563051, 0.602135, 0.641812, 0.663074, 0.693067, 0.724273, 0.748179, 0.795991, 0.850751");
-            values ( \
-              "0.00472916, 0.174979, 0.189351, 0.19518, 0.198376, 0.200172, 0.20053, 0.19851, 0.191539, 0.187917, 0.178267, 0.162243, 0.108715, 0.0719102, 0.0477002, 0.0305224, 0.0238187, 0.0166429, 0.0114292, 0.00853153, 0.00466619, 0.00265615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.298664, 0.33947, 0.383704, 0.415646, 0.452078, 0.483932, 0.509996, 0.541211, 0.566038, 0.596042, 0.624186, 0.672895, 0.700106, 0.736386, 0.75293, 0.774989, 0.808544, 0.911333, 0.937972, 0.991249, 1.02722, 1.07988, 1.12418, 1.16151, 1.20316, 1.26221, 1.32271, 1.36891, 1.46132, 1.56391, 1.6665, 1.76909");
-            values ( \
-              "0.0590873, 0.0622409, 0.105856, 0.13471, 0.162532, 0.181186, 0.192811, 0.203394, 0.209282, 0.213683, 0.215297, 0.213236, 0.209365, 0.2008, 0.195359, 0.186704, 0.171059, 0.117595, 0.104563, 0.0809635, 0.0673792, 0.0507364, 0.0395279, 0.0318831, 0.0249502, 0.017466, 0.0120959, 0.00909385, 0.00505688, 0.0026075, 0.00134303, 0.00068785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.489031, 0.582001, 0.67015, 0.731832, 0.814959, 0.880985, 0.910657, 0.97, 1.03347, 1.13606, 1.17911, 1.22256, 1.26682, 1.35533, 1.38863, 1.45109, 1.52397, 1.7432, 1.80951, 1.9121, 1.98624, 2.03411, 2.12984, 2.19048, 2.25812, 2.33989, 2.44248, 2.49998, 2.60257, 2.70516, 2.80775, 3.01293, 3.21811, 3.52588");
-            values ( \
-              "0.046192, 0.0648528, 0.110604, 0.140055, 0.172768, 0.192698, 0.199755, 0.210844, 0.218356, 0.223168, 0.222786, 0.22118, 0.218483, 0.209143, 0.204089, 0.192191, 0.175222, 0.117983, 0.101928, 0.0796666, 0.0659779, 0.0581881, 0.0447853, 0.0378025, 0.0311833, 0.0246099, 0.0181487, 0.0153114, 0.0112186, 0.00822677, 0.00599397, 0.0031828, 0.00168333, 0.000659471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.156118, 0.16227, 0.182574, 0.189562, 0.195874, 0.201914, 0.207956, 0.213989, 0.224026, 0.234644, 0.24772, 0.259444, 0.275415, 0.295725");
-            values ( \
-              "0.0161758, 0.0275397, 0.0873553, 0.100502, 0.107087, 0.109955, 0.10729, 0.0961948, 0.0620428, 0.0365643, 0.0181261, 0.00941302, 0.00390271, 0.00186648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.170357, 0.177272, 0.194288, 0.206793, 0.217148, 0.226626, 0.23585, 0.245059, 0.249056, 0.253169, 0.265023, 0.274279, 0.287374, 0.293712, 0.300956, 0.310497, 0.316025, 0.321997, 0.330643, 0.33817, 0.347418, 0.358692, 0.371754, 0.38709, 0.388815");
-            values ( \
-              "0.02396, 0.0405806, 0.0945433, 0.123946, 0.140239, 0.147615, 0.149177, 0.143493, 0.137691, 0.129463, 0.0977814, 0.0750783, 0.0495769, 0.0399672, 0.0309396, 0.0220176, 0.018117, 0.0146739, 0.0107427, 0.00816329, 0.00578055, 0.00376315, 0.0022917, 0.00128985, 0.00125277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.207757, 0.229793, 0.233667, 0.236907, 0.240147, 0.243387, 0.246627, 0.252385, 0.258142, 0.26198, 0.265819, 0.270643, 0.274589, 0.278678, 0.28178, 0.283266, 0.284752, 0.287723, 0.290483, 0.293244, 0.296005, 0.299958, 0.303536, 0.305504, 0.307473, 0.31141, 0.315609, 0.319809, 0.323931, 0.328053, 0.33289, 0.337727, 0.362443, 0.372818, 0.381544, 0.389769, 0.394843, 0.399509, 0.404175, 0.408842, 0.412061, 0.418501, 0.421721, 0.427899, 0.435486, 0.438599, 0.441164, 0.448859, 0.459498, 0.468373");
-            values ( \
-              "0.107522, 0.1111, 0.119771, 0.126605, 0.133059, 0.139135, 0.144831, 0.153053, 0.160245, 0.164477, 0.168264, 0.172394, 0.175101, 0.17736, 0.178738, 0.179275, 0.179739, 0.180444, 0.18051, 0.180438, 0.180226, 0.179683, 0.178946, 0.178242, 0.177399, 0.175295, 0.172436, 0.168944, 0.164652, 0.159693, 0.152754, 0.145431, 0.104188, 0.0878422, 0.0758501, 0.0655087, 0.0594226, 0.0540742, 0.0494763, 0.0451728, 0.0423821, 0.0372068, 0.0348221, 0.0305704, 0.0257996, 0.0240389, 0.022784, 0.0193175, 0.015334, 0.0123323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.242892, 0.264687, 0.299706, 0.335378, 0.349607, 0.364722, 0.3813, 0.39066, 0.411188, 0.42604, 0.440821, 0.46554, 0.47154, 0.48354, 0.505211, 0.56079, 0.604442, 0.642367, 0.664879, 0.68351, 0.704586, 0.734432, 0.76511, 0.788555, 0.835443, 0.926678, 1.02927");
-            values ( \
-              "0.0488784, 0.0601404, 0.119144, 0.165015, 0.177649, 0.188145, 0.195478, 0.197886, 0.200499, 0.20032, 0.198614, 0.191007, 0.187986, 0.180452, 0.162333, 0.108182, 0.0718103, 0.0482305, 0.037522, 0.0303418, 0.023742, 0.0166021, 0.0114715, 0.00862608, 0.00476435, 0.00133994, 0.000289426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.341062, 0.381803, 0.425629, 0.47062, 0.504959, 0.532015, 0.564832, 0.586929, 0.607636, 0.637537, 0.66575, 0.715708, 0.723522, 0.73915, 0.770407, 0.783544, 0.809818, 0.850008, 0.952984, 1.03078, 1.06923, 1.1224, 1.16608, 1.20221, 1.24414, 1.3034, 1.36468, 1.41158, 1.50537, 1.60795, 1.81313");
-            values ( \
-              "0.0584382, 0.0631086, 0.106325, 0.145774, 0.169958, 0.184447, 0.197857, 0.204672, 0.2094, 0.213795, 0.215388, 0.213199, 0.212207, 0.209891, 0.202978, 0.199109, 0.189535, 0.171147, 0.11753, 0.0817723, 0.0672192, 0.0504653, 0.0394355, 0.032029, 0.0250348, 0.0174931, 0.0120563, 0.00903104, 0.00496886, 0.00256711, 0.000679526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.526327, 0.622775, 0.71139, 0.776667, 0.85495, 0.921498, 0.952142, 1.0116, 1.07497, 1.17756, 1.19191, 1.22061, 1.26405, 1.3083, 1.39681, 1.4301, 1.49256, 1.56543, 1.78464, 1.84157, 1.94416, 2.00706, 2.09396, 2.19655, 2.2702, 2.31946, 2.39591, 2.4985, 2.62863, 2.73122, 2.83381, 3.03899, 3.24417, 3.55194");
-            values ( \
-              "0.0427364, 0.0642832, 0.110286, 0.141363, 0.172115, 0.192344, 0.19974, 0.210798, 0.218388, 0.223146, 0.223174, 0.222768, 0.221242, 0.218471, 0.209206, 0.204076, 0.19225, 0.175203, 0.118029, 0.104104, 0.0815811, 0.0695971, 0.0553641, 0.0417591, 0.0339319, 0.029436, 0.0235844, 0.0173738, 0.0117569, 0.00863027, 0.00628333, 0.00333552, 0.00176238, 0.000696167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.252055, 0.259965, 0.26213, 0.264448, 0.266595, 0.269344, 0.270718, 0.273064, 0.275409, 0.276973, 0.278537, 0.280865, 0.283018, 0.284884, 0.286617, 0.288349, 0.289504, 0.290659, 0.291814, 0.292172, 0.293961, 0.296108, 0.296901, 0.298486, 0.299279, 0.300795, 0.302311, 0.303828, 0.305344, 0.306608, 0.311647, 0.315515, 0.317622, 0.320387, 0.321309, 0.322507, 0.327387, 0.329963, 0.331883, 0.333804, 0.336424, 0.339044, 0.340765, 0.342487, 0.344209, 0.347652, 0.351095, 0.355389, 0.357634, 0.360072");
-            values ( \
-              "0.043716, 0.0476179, 0.0551616, 0.0624881, 0.0688497, 0.0763985, 0.0799221, 0.085222, 0.0901032, 0.0931249, 0.0959606, 0.0998056, 0.102814, 0.105027, 0.106594, 0.107837, 0.108486, 0.108991, 0.109353, 0.109358, 0.109225, 0.108714, 0.108159, 0.106719, 0.105834, 0.103836, 0.101436, 0.0986345, 0.0954308, 0.0913438, 0.0737154, 0.0613576, 0.0551172, 0.0483132, 0.0462001, 0.0437093, 0.0339522, 0.0294918, 0.0267383, 0.0241787, 0.0210756, 0.0181812, 0.0163928, 0.0148251, 0.0133997, 0.0111002, 0.00912092, 0.00711382, 0.00623264, 0.0054057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.264476, 0.272434, 0.282971, 0.290081, 0.297865, 0.308248, 0.317748, 0.326985, 0.336206, 0.343088, 0.363062, 0.377198, 0.389944, 0.397028, 0.403151, 0.409669, 0.424706, 0.443516, 0.455472, 0.474424");
-            values ( \
-              "0.052028, 0.052632, 0.0867183, 0.106182, 0.123495, 0.139724, 0.147475, 0.148903, 0.143493, 0.132142, 0.0806078, 0.0517782, 0.0334545, 0.0259662, 0.0209255, 0.016618, 0.00967243, 0.00477777, 0.00303476, 0.00177643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.277991, 0.323596, 0.332893, 0.343517, 0.356386, 0.362691, 0.3753, 0.385793, 0.394589, 0.402092, 0.410865, 0.423315, 0.454881, 0.483153, 0.501181, 0.525907, 0.553843, 0.585426, 0.621521, 0.626347");
-            values ( \
-              "0.00106213, 0.116978, 0.135549, 0.153054, 0.168006, 0.173065, 0.179217, 0.180727, 0.179316, 0.17623, 0.169721, 0.154891, 0.102003, 0.0627566, 0.0441741, 0.0264016, 0.0142563, 0.00691834, 0.00291031, 0.00269354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.32766, 0.356908, 0.390345, 0.404116, 0.427378, 0.441436, 0.45646, 0.473108, 0.484551, 0.503023, 0.517834, 0.532596, 0.557657, 0.574616, 0.596972, 0.652895, 0.696354, 0.732921, 0.756317, 0.77584, 0.796704, 0.826384, 0.839273, 0.86505, 0.916603, 0.99107");
-            values ( \
-              "0.0201559, 0.0610905, 0.117583, 0.137643, 0.165395, 0.177865, 0.188239, 0.195593, 0.198415, 0.200586, 0.200383, 0.198681, 0.190892, 0.180971, 0.162379, 0.107869, 0.0717055, 0.0488726, 0.0376519, 0.0301362, 0.0236412, 0.0165597, 0.0142054, 0.0103591, 0.00541493, 0.00214316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.426308, 0.472948, 0.548291, 0.584859, 0.615854, 0.646537, 0.682242, 0.728837, 0.757121, 0.8149, 0.851482, 0.874895, 0.921722, 1.11356, 1.19064, 1.24422, 1.2979, 1.33638, 1.42082, 1.47089, 1.57103, 1.67362, 1.70761");
-            values ( \
-              "0.0433505, 0.0628356, 0.134613, 0.162587, 0.180837, 0.194295, 0.205637, 0.213739, 0.215434, 0.212261, 0.205631, 0.199101, 0.180713, 0.085309, 0.0572291, 0.042512, 0.031232, 0.0248743, 0.0149279, 0.0109919, 0.00579622, 0.00300641, 0.00251845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.627271, 0.714656, 0.803329, 0.87333, 0.947472, 1.01389, 1.0441, 1.10391, 1.16692, 1.26951, 1.28385, 1.31254, 1.35599, 1.40024, 1.48875, 1.52203, 1.58448, 1.65735, 1.87654, 1.94396, 2.04655, 2.16459, 2.25706, 2.3484, 2.39803, 2.47768, 2.58027, 2.63347, 2.73606, 2.83865, 2.94124, 3.14642, 3.3516, 3.65937");
-            values ( \
-              "0.0538922, 0.0642405, 0.110261, 0.143393, 0.172307, 0.192458, 0.199739, 0.210862, 0.218394, 0.223162, 0.223183, 0.222786, 0.221252, 0.21849, 0.209214, 0.204094, 0.192257, 0.175217, 0.118029, 0.10165, 0.0794803, 0.0586123, 0.0455783, 0.0352679, 0.0306191, 0.024275, 0.0179301, 0.0152873, 0.0112324, 0.00820509, 0.00600927, 0.0031989, 0.0016998, 0.000641987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.415413, 0.430678, 0.465827, 0.472979, 0.479638, 0.486169, 0.492691, 0.504692, 0.514986, 0.52653, 0.538734, 0.552151, 0.577076, 0.586619");
-            values ( \
-              "0.000744881, 0.016435, 0.0870687, 0.0960628, 0.100602, 0.100412, 0.0915885, 0.0556113, 0.0336779, 0.018575, 0.00951329, 0.00450747, 0.00149448, 0.00110967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.43496, 0.442684, 0.449263, 0.452672, 0.459491, 0.4807, 0.488085, 0.499542, 0.509752, 0.519476, 0.529201, 0.538926, 0.552694, 0.5589, 0.571413, 0.582616, 0.590451, 0.597804, 0.604577, 0.613589, 0.618562, 0.625039, 0.633675, 0.645652, 0.660596, 0.664226");
-            values ( \
-              "0.00920062, 0.0141719, 0.0228523, 0.0288281, 0.0429001, 0.0943251, 0.109898, 0.128703, 0.138826, 0.142239, 0.138811, 0.123915, 0.0881086, 0.0739017, 0.0501419, 0.03451, 0.0261978, 0.0203192, 0.0160666, 0.0115395, 0.00961778, 0.0075613, 0.00551713, 0.0034451, 0.00200268, 0.00179662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.475041, 0.490786, 0.496792, 0.5056, 0.521204, 0.536819, 0.543301, 0.550104, 0.555923, 0.561192, 0.568062, 0.57774, 0.589582, 0.593839, 0.601871, 0.610263, 0.621681, 0.628304, 0.641548, 0.649533, 0.650751, 0.653188, 0.65806, 0.665066, 0.673162, 0.683441, 0.68546, 0.689497, 0.697572, 0.710121, 0.716219, 0.717551, 0.720216, 0.725546, 0.735768, 0.752017, 0.758849, 0.772511, 0.799836, 0.837225, 0.890386");
-            values ( \
-              "0.0302095, 0.0422149, 0.0542218, 0.0738403, 0.108683, 0.137745, 0.148064, 0.156631, 0.162305, 0.167019, 0.171854, 0.176309, 0.177663, 0.176881, 0.174191, 0.168291, 0.155779, 0.145323, 0.123292, 0.109772, 0.107955, 0.103922, 0.0963152, 0.0858043, 0.0746718, 0.0618911, 0.0596227, 0.0552049, 0.0471322, 0.0364968, 0.0321343, 0.0312575, 0.0295242, 0.0263689, 0.0210949, 0.0147043, 0.0125985, 0.00926135, 0.00485551, 0.00196508, 0.00047158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.55197, 0.586124, 0.59514, 0.602853, 0.612583, 0.618457, 0.624331, 0.630205, 0.637347, 0.644488, 0.651818, 0.659147, 0.666686, 0.674225, 0.679511, 0.684798, 0.689027, 0.697753, 0.704187, 0.710043, 0.721176, 0.724873, 0.732265, 0.735962, 0.742203, 0.748444, 0.754685, 0.760926, 0.766691, 0.772456, 0.778221, 0.788565, 0.800365, 0.857292, 0.87152, 0.876263, 0.888271, 0.90643, 0.915658, 0.924886, 0.931038, 0.943342, 0.960897, 0.974863, 0.984174, 0.993485, 1.01027, 1.01866, 1.02706, 1.04142");
-            values ( \
-              "0.0995004, 0.104423, 0.119407, 0.130942, 0.144063, 0.151285, 0.158023, 0.164278, 0.171231, 0.177468, 0.18255, 0.186984, 0.190854, 0.194065, 0.195858, 0.197212, 0.198124, 0.199586, 0.19983, 0.199913, 0.199702, 0.199313, 0.198241, 0.197558, 0.196181, 0.194526, 0.19259, 0.190375, 0.187707, 0.183985, 0.180005, 0.172219, 0.16231, 0.106369, 0.0938809, 0.0899071, 0.0804247, 0.0666383, 0.0604091, 0.0548826, 0.0514645, 0.0450992, 0.0371048, 0.0312137, 0.028119, 0.0252724, 0.0208342, 0.0188673, 0.0170685, 0.0143472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.638632, 0.678246, 0.7216, 0.766116, 0.80122, 0.827871, 0.860326, 0.881889, 0.903245, 0.933141, 0.961332, 1.01021, 1.01907, 1.0368, 1.07226, 1.07893, 1.11714, 1.1456, 1.24886, 1.27622, 1.33094, 1.3799, 1.41312, 1.45999, 1.50054, 1.54142, 1.59987, 1.62497, 1.67517, 1.77559, 1.87818, 1.98077, 2.18595");
-            values ( \
-              "0.0607442, 0.0642881, 0.106972, 0.145946, 0.170572, 0.184746, 0.197972, 0.20464, 0.209519, 0.213899, 0.215467, 0.213373, 0.212257, 0.209577, 0.201243, 0.199207, 0.184587, 0.171089, 0.117365, 0.103982, 0.0798899, 0.0620121, 0.0517908, 0.0397861, 0.031496, 0.0247527, 0.0173903, 0.0149396, 0.0109719, 0.00579094, 0.00298751, 0.00153961, 0.000407348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.851337, 0.940267, 1.03386, 1.10342, 1.18015, 1.24789, 1.30498, 1.37066, 1.47325, 1.48757, 1.55967, 1.60394, 1.69249, 1.72572, 1.78816, 1.86103, 2.08022, 2.25075, 2.36694, 2.45789, 2.55302, 2.68342, 2.78601, 2.93963, 3.04222, 3.14481, 3.34999, 3.55517, 3.86294");
-            values ( \
-              "0.0733624, 0.075824, 0.12372, 0.154514, 0.181934, 0.199845, 0.210537, 0.218453, 0.22321, 0.223216, 0.221275, 0.218521, 0.209217, 0.204116, 0.19226, 0.175233, 0.118022, 0.0793673, 0.0588303, 0.0459292, 0.0351845, 0.0241395, 0.0178105, 0.0112265, 0.00821813, 0.00600143, 0.0031901, 0.00169054, 0.000651888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.751815, 0.776111, 0.778957, 0.780106, 0.781639, 0.784704, 0.790141, 0.792433, 0.797017, 0.803517, 0.813486, 0.823506, 0.827887, 0.836831, 0.844907, 0.852578, 0.86025, 0.863099, 0.869113, 0.871723, 0.874705, 0.879899, 0.883376, 0.885682, 0.888757, 0.893879, 0.897647, 0.900503, 0.90431, 0.910981, 0.916775, 0.91892, 0.92321, 0.930095, 0.935843, 0.942587, 0.949501, 0.953678");
-            values ( \
-              "0.0023351, 0.00765164, 0.00935543, 0.010122, 0.0111943, 0.0135987, 0.0189053, 0.0216647, 0.0279369, 0.0378478, 0.0513749, 0.0637382, 0.0687049, 0.0780521, 0.0841248, 0.0867814, 0.0821075, 0.0762467, 0.0610597, 0.0548753, 0.0482666, 0.038199, 0.0326104, 0.0292719, 0.0253054, 0.0196176, 0.0162454, 0.0140176, 0.0114836, 0.00810076, 0.00619162, 0.00566288, 0.00474507, 0.00346592, 0.00265956, 0.00187556, 0.00134067, 0.00110401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.778455, 0.808314, 0.815463, 0.823253, 0.857035, 0.872748, 0.883259, 0.891687, 0.899787, 0.905183, 0.915943, 0.944378, 0.96025, 0.974728, 0.988486, 0.996923, 1.00945, 1.0228, 1.03861, 1.04317");
-            values ( \
-              "0.000815853, 0.0174901, 0.0247008, 0.0350375, 0.0870259, 0.108193, 0.119144, 0.124865, 0.127199, 0.126531, 0.116273, 0.0570209, 0.0345127, 0.0212788, 0.0131137, 0.00966379, 0.00608978, 0.00369038, 0.00205515, 0.00189322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.862165, 0.894062, 0.902337, 0.910914, 0.929929, 0.934676, 0.939603, 0.943128, 0.947915, 0.95094, 0.953415, 0.958364, 0.962987, 0.967281, 0.969428, 0.971431, 0.975436, 0.979459, 0.981479, 0.985519, 0.989692, 0.992475, 0.995257, 0.999911, 1.00146, 1.00361, 1.00576, 1.01005, 1.01291, 1.01578, 1.01864, 1.02272, 1.02555, 1.05237, 1.05549, 1.06047, 1.06861, 1.07962, 1.08267, 1.08724, 1.09208, 1.09692, 1.10652, 1.11348, 1.11723, 1.12099, 1.1285, 1.13602, 1.14569, 1.15463");
-            values ( \
-              "0.0676959, 0.0709544, 0.0834475, 0.0959768, 0.122812, 0.129155, 0.135257, 0.139322, 0.14444, 0.147435, 0.14968, 0.153729, 0.156979, 0.159539, 0.160652, 0.161502, 0.162911, 0.163935, 0.164301, 0.164739, 0.16454, 0.164125, 0.163524, 0.162102, 0.161512, 0.160435, 0.158977, 0.155448, 0.152796, 0.149903, 0.14677, 0.141838, 0.137742, 0.0962539, 0.0915873, 0.0846768, 0.0740558, 0.0607414, 0.0572568, 0.0523407, 0.0477854, 0.0435113, 0.0359604, 0.0308348, 0.0283879, 0.0261334, 0.0223703, 0.0190391, 0.0154519, 0.0124156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.907574, 0.954362, 0.979345, 1.03306, 1.04929, 1.07214, 1.09473, 1.11027, 1.1307, 1.14825, 1.15698, 1.17232, 1.18824, 1.21581, 1.28748, 1.32661, 1.35609, 1.38154, 1.40306, 1.43174, 1.45498, 1.4805, 1.51453, 1.58155, 1.67203, 1.77462");
-            values ( \
-              "0.0116859, 0.0390874, 0.0669328, 0.132511, 0.149874, 0.169711, 0.182987, 0.188503, 0.192981, 0.193958, 0.193335, 0.190845, 0.185027, 0.166933, 0.0992751, 0.0683248, 0.0502271, 0.037931, 0.0296898, 0.0211546, 0.0160641, 0.0118125, 0.00773818, 0.00325356, 0.000891459, 0.000224995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.04226, 1.10978, 1.18054, 1.2122, 1.24116, 1.26681, 1.29413, 1.30927, 1.32979, 1.34897, 1.37916, 1.4075, 1.45873, 1.4791, 1.50171, 1.52563, 1.57346, 1.59234, 1.71337, 1.76423, 1.79459, 1.81478, 1.8417, 1.89268, 1.91988, 1.95109, 1.99189, 2.04834, 2.07186, 2.1189, 2.21299, 2.31557, 2.41816, 2.52075, 2.62334");
-            values ( \
-              "0.0217202, 0.0516188, 0.114588, 0.141223, 0.162131, 0.177335, 0.190313, 0.196096, 0.202766, 0.207397, 0.212376, 0.214268, 0.212484, 0.209766, 0.205286, 0.19887, 0.180071, 0.170976, 0.108535, 0.0854183, 0.073338, 0.0660321, 0.0571952, 0.04308, 0.0369034, 0.0308072, 0.0242138, 0.0172177, 0.0149335, 0.011187, 0.00616469, 0.00318302, 0.00163963, 0.000840455, 0.000433225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.30513, 1.40825, 1.48478, 1.55579, 1.63064, 1.69966, 1.75705, 1.82246, 1.92505, 1.93937, 2.01148, 2.05575, 2.143, 2.17752, 2.23994, 2.31283, 2.53202, 2.69167, 2.75438, 2.84119, 2.94378, 3.01769, 3.14361, 3.2462, 3.37525, 3.47783, 3.58042, 3.7856, 3.99078, 4.29855");
-            values ( \
-              "0.0699022, 0.0842456, 0.123245, 0.154687, 0.181493, 0.199813, 0.210544, 0.21844, 0.223189, 0.223214, 0.221278, 0.218505, 0.209401, 0.204102, 0.192275, 0.175222, 0.118037, 0.0815582, 0.0696129, 0.0553904, 0.0417748, 0.0339172, 0.023559, 0.0173594, 0.0117865, 0.00864697, 0.00630045, 0.00334589, 0.00176916, 0.000694747" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0126618, 0.0127085, 0.0127633, 0.0128133, 0.0128489, 0.0128703", \
-            "0.0158924, 0.0159521, 0.0160333, 0.0161183, 0.0161869, 0.0162315", \
-            "0.0179636, 0.0180129, 0.0180913, 0.0181891, 0.0182801, 0.0183452", \
-            "0.0192361, 0.0192488, 0.0192786, 0.0193319, 0.0193978, 0.0194552", \
-            "0.0201046, 0.0200749, 0.0200385, 0.020008, 0.0199994, 0.0200096", \
-            "0.0207685, 0.0207219, 0.0206449, 0.020538, 0.0204277, 0.020349" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0188954, 0.018937, 0.0190024, 0.0190752, 0.0191346, 0.0191729", \
-            "0.0200619, 0.0200343, 0.0200128, 0.0200064, 0.0200095, 0.0200144", \
-            "0.019817, 0.0197041, 0.0195492, 0.0193844, 0.0192495, 0.0191633", \
-            "0.0194485, 0.0192982, 0.0190848, 0.0188401, 0.0186151, 0.0184472", \
-            "0.0196056, 0.0194622, 0.0191579, 0.0187835, 0.0184305, 0.0181813", \
-            "0.016873, 0.0170488, 0.0174558, 0.0186723, 0.0186606, 0.0182594" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.113065, 0.122443, 0.123254, 0.124875, 0.126497, 0.128263, 0.128696, 0.129851, 0.13216, 0.133862, 0.135564, 0.138681, 0.140239, 0.141798, 0.144421, 0.148792, 0.153082, 0.155358, 0.157425, 0.159883, 0.163568, 0.166108, 0.16873, 0.169544, 0.170358, 0.171172, 0.171986, 0.17343, 0.174874, 0.176317, 0.177761, 0.179204, 0.180647, 0.18209, 0.183534, 0.183882, 0.18458, 0.185975, 0.19158, 0.193393, 0.195607, 0.197782, 0.199365, 0.200224, 0.201082, 0.202798, 0.204515, 0.205254, 0.206734, 0.208925");
-            values ( \
-              "-0.00205017, -0.0259313, -0.0270338, -0.0299707, -0.0332175, -0.0371259, -0.0382061, -0.0415222, -0.0486112, -0.053083, -0.0573002, -0.0641608, -0.067424, -0.0705758, -0.0756011, -0.0836006, -0.0911023, -0.09482, -0.098052, -0.101543, -0.106199, -0.109211, -0.112196, -0.112524, -0.112754, -0.112885, -0.112917, -0.112732, -0.112237, -0.111432, -0.110317, -0.108543, -0.10632, -0.103648, -0.100526, -0.0994842, -0.0966751, -0.0902722, -0.0623602, -0.0536666, -0.0446131, -0.0367842, -0.0313963, -0.0287968, -0.0264008, -0.0223948, -0.0188509, -0.0175373, -0.0150255, -0.0115863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.130777, 0.141563, 0.150419, 0.165247, 0.186015, 0.196038, 0.205242, 0.214038, 0.222733, 0.232098, 0.244733, 0.253283, 0.263406, 0.271125, 0.275759, 0.285026, 0.295606");
-            values ( \
-              "-0.0214831, -0.0370574, -0.0560802, -0.0919929, -0.129468, -0.14302, -0.153167, -0.158168, -0.156405, -0.135234, -0.0801764, -0.0501416, -0.0269561, -0.0162915, -0.0119872, -0.00630884, -0.00317723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.16174, 0.178138, 0.181014, 0.184608, 0.188921, 0.198785, 0.202845, 0.212844, 0.220316, 0.224054, 0.23254, 0.241026, 0.249511, 0.253117, 0.256618, 0.263247, 0.266311, 0.269852, 0.275874, 0.279532, 0.283191, 0.286972, 0.290754, 0.294344, 0.296138, 0.299763, 0.303423, 0.305254, 0.307085, 0.308915, 0.310746, 0.312577, 0.315442, 0.318307, 0.321171, 0.324037, 0.326212, 0.328387, 0.346692, 0.353793, 0.357318, 0.360738, 0.36523, 0.370071, 0.372667, 0.375264, 0.380455, 0.385648, 0.390676, 0.394888");
-            values ( \
-              "-0.023912, -0.0455714, -0.0500968, -0.0562821, -0.0641926, -0.0842797, -0.0920665, -0.109578, -0.121723, -0.127493, -0.139641, -0.150928, -0.161606, -0.165896, -0.169758, -0.176396, -0.179189, -0.182147, -0.186515, -0.188778, -0.190788, -0.192601, -0.194146, -0.194721, -0.19489, -0.194989, -0.19476, -0.194522, -0.193744, -0.1927, -0.191391, -0.189817, -0.186821, -0.183175, -0.178879, -0.173934, -0.169127, -0.163769, -0.10676, -0.0860975, -0.077319, -0.0695712, -0.0600417, -0.050347, -0.0459257, -0.0418558, -0.0350245, -0.0290907, -0.0242825, -0.0205188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.234275, 0.266497, 0.283318, 0.298199, 0.307778, 0.322145, 0.326934, 0.337052, 0.350014, 0.352857, 0.358487, 0.364059, 0.369106, 0.377893, 0.391732, 0.404644, 0.417274, 0.427231, 0.430854, 0.4381, 0.44638, 0.451037, 0.455695, 0.460352, 0.466281, 0.47154, 0.478107, 0.48139, 0.484703, 0.488015, 0.491327, 0.494639, 0.501264, 0.507888, 0.514513, 0.521137, 0.523671, 0.543584, 0.557718, 0.571214, 0.580287, 0.588583, 0.600476, 0.604073, 0.611268, 0.620862, 0.625658, 0.630455, 0.640143, 0.648174");
-            values ( \
-              "-0.0617658, -0.0674427, -0.0893923, -0.107778, -0.119003, -0.134326, -0.139232, -0.14909, -0.161575, -0.164122, -0.168939, -0.173452, -0.17732, -0.183717, -0.193207, -0.201571, -0.209134, -0.214503, -0.216196, -0.21926, -0.222238, -0.223667, -0.22492, -0.225996, -0.226209, -0.226092, -0.225541, -0.225097, -0.223731, -0.222079, -0.220141, -0.217917, -0.212611, -0.206161, -0.198567, -0.189829, -0.185819, -0.145623, -0.118699, -0.0951589, -0.0819792, -0.0713656, -0.0571439, -0.0533273, -0.0465553, -0.039145, -0.0357963, -0.0326853, -0.0272223, -0.0229934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.33231, 0.401672, 0.491619, 0.531846, 0.641441, 0.687343, 0.713865, 0.766908, 0.8178, 0.868722, 0.919833, 0.994859, 1.03052, 1.07936, 1.12655, 1.17386, 1.20062, 1.25412, 1.34999, 1.44919, 1.54839");
-            values ( \
-              "-0.0194981, -0.0518749, -0.116254, -0.141813, -0.19936, -0.218073, -0.226816, -0.240396, -0.245866, -0.239184, -0.20329, -0.122588, -0.0916434, -0.0593416, -0.0382072, -0.0241324, -0.0184646, -0.0107594, -0.00370369, -0.00127511, -0.000381326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.578261, 0.711584, 0.861164, 0.950995, 1.06419, 1.15438, 1.22297, 1.32217, 1.36558, 1.44216, 1.47957, 1.54382, 1.64302, 1.68938, 1.73883, 1.87849, 1.97122, 2.0655, 2.16366, 2.26438, 2.32327, 2.42247, 2.52167, 2.62088, 2.72008, 2.91848");
-            values ( \
-              "-0.0255835, -0.0518385, -0.108681, -0.13979, -0.175462, -0.20006, -0.216082, -0.235238, -0.241865, -0.250796, -0.253621, -0.255998, -0.248591, -0.236432, -0.214305, -0.136512, -0.094276, -0.0625122, -0.0398506, -0.0246647, -0.0185383, -0.0113246, -0.0068791, -0.00416719, -0.00251725, -0.000917452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.130477, 0.146879, 0.153656, 0.171058, 0.184073, 0.189943, 0.195713, 0.201825, 0.217296, 0.222442, 0.229755, 0.235563, 0.242445, 0.244145");
-            values ( \
-              "-0.000762883, -0.0386214, -0.0582232, -0.0916131, -0.109491, -0.113692, -0.11379, -0.0997285, -0.0316906, -0.0189919, -0.00875156, -0.00443492, -0.00201109, -0.00174365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.148989, 0.158236, 0.159914, 0.16327, 0.168769, 0.178658, 0.192849, 0.192952, 0.193572, 0.196051, 0.199355, 0.204352, 0.214394, 0.223596, 0.232392, 0.241085, 0.24977, 0.250449, 0.251806, 0.254522, 0.259392, 0.264303, 0.266742, 0.271619, 0.273976, 0.275671, 0.277931, 0.281766, 0.287163, 0.289474, 0.294097, 0.303342, 0.311619");
-            values ( \
-              "-0.0213243, -0.0348609, -0.0371374, -0.0436951, -0.0560084, -0.0821366, -0.108939, -0.109429, -0.110992, -0.115484, -0.121117, -0.12927, -0.143218, -0.153033, -0.158334, -0.156292, -0.137043, -0.135211, -0.12969, -0.118036, -0.095879, -0.0753185, -0.0661527, -0.0501828, -0.0436596, -0.0394174, -0.034321, -0.0269417, -0.0189785, -0.0162944, -0.011995, -0.00632601, -0.00386507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.170953, 0.242314, 0.250847, 0.267913, 0.286121, 0.294151, 0.301459, 0.309019, 0.316194, 0.323513, 0.330834, 0.342298, 0.346641, 0.367929, 0.376741, 0.388318, 0.393509, 0.403892, 0.413132, 0.418203, 0.428343, 0.448625, 0.475833, 0.503928");
-            values ( \
-              "-0.000639058, -0.12716, -0.139663, -0.161909, -0.180352, -0.186274, -0.190605, -0.1937, -0.195582, -0.195373, -0.192038, -0.175721, -0.164694, -0.0979625, -0.074857, -0.0509295, -0.0425381, -0.0292208, -0.0207909, -0.0172206, -0.0117031, -0.00522108, -0.00168581, -0.000594493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.238906, 0.270554, 0.326465, 0.377202, 0.413508, 0.445732, 0.460138, 0.47892, 0.486657, 0.499781, 0.513025, 0.539513, 0.576294, 0.598209, 0.620028, 0.649471, 0.666585, 0.694514, 0.731752, 0.785056, 0.842896");
-            values ( \
-              "-0.0243111, -0.0507596, -0.119165, -0.169303, -0.195542, -0.214152, -0.220366, -0.225769, -0.226738, -0.226259, -0.221589, -0.190805, -0.11841, -0.0829724, -0.0564155, -0.0324235, -0.0232794, -0.0133791, -0.00611748, -0.00185799, -0.000510237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.349625, 0.420722, 0.539487, 0.593032, 0.648539, 0.675828, 0.732831, 0.785865, 0.836758, 0.887676, 0.938785, 1.01381, 1.0497, 1.09463, 1.14499, 1.19279, 1.22004, 1.27453, 1.37373, 1.47293");
-            values ( \
-              "-0.017691, -0.0519597, -0.134844, -0.1654, -0.193805, -0.206, -0.226945, -0.240306, -0.245989, -0.239091, -0.203403, -0.12268, -0.0913986, -0.0613963, -0.0383423, -0.0240879, -0.0184213, -0.0105476, -0.00353051, -0.00115715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.585853, 0.730796, 0.865897, 0.970237, 1.08393, 1.17253, 1.24217, 1.34138, 1.38477, 1.46135, 1.49881, 1.56301, 1.66222, 1.70854, 1.75795, 1.8981, 1.98996, 2.08445, 2.18364, 2.2836, 2.3417, 2.4409, 2.5401, 2.6393, 2.7385, 2.93691");
-            values ( \
-              "-0.0194054, -0.0518092, -0.103381, -0.139737, -0.175549, -0.199729, -0.216162, -0.235173, -0.241799, -0.250732, -0.253702, -0.255934, -0.248666, -0.236447, -0.214347, -0.136295, -0.0944503, -0.0625769, -0.0397034, -0.0246618, -0.0186108, -0.0113673, -0.00690736, -0.00418226, -0.00252846, -0.000922349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.175971, 0.189117, 0.195902, 0.21331, 0.226324, 0.232193, 0.237963, 0.244074, 0.259545, 0.264693, 0.272012, 0.277828, 0.284861, 0.285072");
-            values ( \
-              "-0.0111892, -0.0385727, -0.058097, -0.0915887, -0.109468, -0.113725, -0.113768, -0.099722, -0.0316941, -0.0189908, -0.00872956, -0.00443085, -0.00196355, -0.00193173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.19168, 0.196941, 0.201742, 0.202471, 0.203931, 0.20685, 0.211224, 0.217846, 0.221034, 0.227409, 0.235246, 0.24666, 0.256668, 0.265861, 0.274649, 0.283338, 0.292019, 0.292699, 0.294058, 0.296778, 0.301652, 0.304724, 0.306546, 0.308976, 0.313836, 0.316215, 0.317926, 0.320207, 0.324032, 0.329418, 0.331718, 0.336317, 0.345515, 0.353482");
-            values ( \
-              "-0.0228333, -0.0295845, -0.0359697, -0.0378635, -0.0406305, -0.0464214, -0.0567757, -0.0745714, -0.0813797, -0.0952765, -0.109922, -0.129658, -0.143258, -0.153286, -0.158334, -0.156456, -0.136996, -0.135266, -0.129701, -0.118027, -0.0958339, -0.0827163, -0.0753393, -0.0662113, -0.0502707, -0.043689, -0.0394051, -0.0342564, -0.0269145, -0.018965, -0.0163019, -0.012013, -0.00636182, -0.0039735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.218446, 0.238959, 0.241238, 0.245795, 0.248074, 0.264364, 0.26986, 0.275356, 0.280852, 0.283961, 0.293287, 0.302587, 0.311887, 0.312451, 0.317711, 0.321956, 0.325978, 0.328584, 0.332588, 0.336591, 0.340259, 0.345816, 0.349598, 0.351488, 0.353282, 0.35687, 0.360494, 0.362324, 0.365984, 0.367814, 0.369644, 0.371475, 0.373305, 0.376211, 0.379116, 0.382022, 0.384927, 0.387022, 0.389117, 0.407421, 0.414512, 0.418033, 0.421454, 0.425948, 0.430793, 0.435989, 0.441186, 0.446382, 0.451403, 0.455608");
-            values ( \
-              "-0.00971489, -0.045842, -0.0494416, -0.0572288, -0.0614165, -0.0933915, -0.103269, -0.112724, -0.121756, -0.126273, -0.139413, -0.151903, -0.163781, -0.164211, -0.170112, -0.174448, -0.178254, -0.180564, -0.183725, -0.186544, -0.188807, -0.191763, -0.193448, -0.194191, -0.194519, -0.194936, -0.195036, -0.194964, -0.194571, -0.19378, -0.192725, -0.191408, -0.189827, -0.186777, -0.183065, -0.178689, -0.17365, -0.169002, -0.163837, -0.106758, -0.086119, -0.0773482, -0.0695976, -0.0600579, -0.0503515, -0.0418543, -0.0350166, -0.0290787, -0.02428, -0.0205205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.266059, 0.313016, 0.360471, 0.387023, 0.411483, 0.430782, 0.445346, 0.475376, 0.488725, 0.503127, 0.521832, 0.529654, 0.542782, 0.556028, 0.582521, 0.619303, 0.641221, 0.66304, 0.672854, 0.692482, 0.709598, 0.718909, 0.737531, 0.774774, 0.800658");
-            values ( \
-              "-0.000714323, -0.0498789, -0.109058, -0.138191, -0.161843, -0.177739, -0.188082, -0.206935, -0.214141, -0.220211, -0.225757, -0.226615, -0.226266, -0.221493, -0.190842, -0.118442, -0.0829497, -0.0563973, -0.0471163, -0.0324081, -0.0232641, -0.0193965, -0.0133637, -0.00613029, -0.00404937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.401655, 0.463704, 0.588001, 0.653877, 0.70346, 0.749143, 0.775762, 0.828773, 0.879691, 0.93061, 0.981718, 1.05674, 1.09264, 1.13757, 1.18791, 1.23572, 1.26298, 1.31748, 1.41669, 1.51589");
-            values ( \
-              "-0.027816, -0.0520291, -0.13825, -0.175007, -0.19931, -0.217941, -0.226942, -0.240298, -0.245989, -0.239091, -0.203404, -0.122679, -0.0914041, -0.0614046, -0.0383558, -0.0240984, -0.0184093, -0.0105552, -0.00351908, -0.00116729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.702361, 0.855972, 0.953189, 1.08305, 1.1565, 1.28506, 1.38426, 1.42819, 1.48677, 1.53972, 1.60609, 1.70529, 1.75161, 1.80101, 1.9412, 2.033, 2.12751, 2.22677, 2.32667, 2.38472, 2.48392, 2.58312, 2.68232, 2.97993");
-            values ( \
-              "-0.0561968, -0.0828967, -0.119221, -0.162324, -0.184032, -0.216118, -0.235134, -0.241843, -0.249049, -0.25356, -0.255935, -0.248666, -0.236448, -0.214351, -0.136281, -0.0944619, -0.0625811, -0.0396936, -0.0246615, -0.0186159, -0.0113699, -0.00690943, -0.00418305, -0.000922868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.273343, 0.278006, 0.280342, 0.284408, 0.286558, 0.290857, 0.293367, 0.298385, 0.303039, 0.310799, 0.317582, 0.323793, 0.329661, 0.335431, 0.341192, 0.343636, 0.351043, 0.353255, 0.356154, 0.358731, 0.362167, 0.365125, 0.36951, 0.374602, 0.381539, 0.384325");
-            values ( \
-              "-0.0170953, -0.0230211, -0.0253507, -0.0334683, -0.0383649, -0.0509462, -0.0572903, -0.0685482, -0.0775428, -0.0915214, -0.101678, -0.109379, -0.113843, -0.113682, -0.100808, -0.0905553, -0.0540809, -0.0447999, -0.0343759, -0.0268547, -0.0189864, -0.0139062, -0.00864564, -0.00485151, -0.00213987, -0.00169761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.287787, 0.307697, 0.317487, 0.333138, 0.343058, 0.353073, 0.362265, 0.37105, 0.379738, 0.388418, 0.407155, 0.416077, 0.421767, 0.427742, 0.437748, 0.449687, 0.460857");
-            values ( \
-              "-0.0108334, -0.0567619, -0.0827731, -0.112971, -0.129578, -0.14352, -0.153195, -0.158511, -0.15631, -0.137092, -0.0600429, -0.0353605, -0.0246941, -0.0167393, -0.00856361, -0.00364592, -0.00235233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.311953, 0.361522, 0.389341, 0.407958, 0.422785, 0.439892, 0.454619, 0.464857, 0.469215, 0.4766, 0.48499, 0.504648, 0.52062, 0.534045, 0.543994, 0.551722, 0.56364, 0.579532, 0.606611, 0.663297");
-            values ( \
-              "-0.00144996, -0.0956637, -0.139994, -0.163949, -0.178931, -0.190621, -0.195656, -0.194732, -0.19209, -0.183781, -0.164727, -0.102868, -0.062581, -0.0394375, -0.0274678, -0.0206565, -0.0131989, -0.00710392, -0.00229611, -0.000290703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.375229, 0.408915, 0.455675, 0.484216, 0.507343, 0.524155, 0.5412, 0.562043, 0.584572, 0.598978, 0.617759, 0.625502, 0.638629, 0.651875, 0.678367, 0.714296, 0.724087, 0.738871, 0.758916, 0.76783, 0.785658, 0.805199, 0.815668, 0.836607, 0.878485, 0.900804");
-            values ( \
-              "-0.0206448, -0.0498824, -0.108209, -0.139525, -0.161818, -0.175816, -0.188085, -0.201702, -0.214165, -0.220227, -0.225795, -0.226625, -0.226288, -0.221498, -0.190851, -0.120008, -0.102829, -0.0804281, -0.0563811, -0.0479132, -0.0341479, -0.0233903, -0.0190752, -0.0125116, -0.00515165, -0.003641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.483336, 0.558718, 0.648102, 0.689755, 0.79928, 0.845182, 0.871711, 0.924769, 0.975669, 1.0266, 1.07772, 1.15272, 1.18869, 1.23726, 1.28383, 1.33172, 1.35905, 1.41372, 1.51196, 1.61116");
-            values ( \
-              "-0.0144972, -0.0511803, -0.115162, -0.141684, -0.199224, -0.217955, -0.226775, -0.240313, -0.245864, -0.239132, -0.203308, -0.122631, -0.0913877, -0.0593469, -0.0383997, -0.024113, -0.0183805, -0.0105435, -0.00354204, -0.00119348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.719407, 0.870602, 1.005, 1.10991, 1.22355, 1.31221, 1.3818, 1.48101, 1.52438, 1.60097, 1.63849, 1.70263, 1.80183, 1.84811, 1.89748, 2.03817, 2.12909, 2.22381, 2.32412, 2.42324, 2.48049, 2.57969, 2.67889, 2.77809, 2.8773, 3.0757");
-            values ( \
-              "-0.016451, -0.0518509, -0.103194, -0.139768, -0.175563, -0.199755, -0.216171, -0.235187, -0.241811, -0.250745, -0.253709, -0.255946, -0.248668, -0.236464, -0.214391, -0.136061, -0.0946419, -0.0626492, -0.0395457, -0.0246602, -0.0186879, -0.011415, -0.00693651, -0.00420008, -0.00253916, -0.00092621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.473208, 0.487971, 0.493828, 0.507443, 0.523468, 0.531093, 0.537929, 0.544293, 0.550163, 0.554416, 0.556898, 0.567001, 0.574067, 0.580207, 0.587045, 0.59264, 0.600046, 0.608053, 0.628253");
-            values ( \
-              "-0.00602966, -0.0198806, -0.0274178, -0.0541155, -0.0804858, -0.0916105, -0.100089, -0.105635, -0.10668, -0.101015, -0.0946401, -0.0514643, -0.0277253, -0.0150618, -0.00731132, -0.00394521, -0.00172214, -0.000808002, -0.000609048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.489506, 0.491299, 0.503701, 0.506996, 0.513586, 0.518945, 0.527603, 0.537358, 0.550144, 0.558954, 0.563965, 0.56952, 0.579102, 0.588162, 0.597067, 0.605746, 0.608287, 0.620042, 0.623755, 0.628705, 0.631776, 0.636716, 0.643047, 0.64518, 0.650237, 0.656017, 0.666845, 0.677995, 0.693514, 0.70947, 0.725197");
-            values ( \
-              "-0.00856663, -0.00902609, -0.0214923, -0.0255078, -0.0346787, -0.0442678, -0.0634277, -0.0832666, -0.106597, -0.121285, -0.129017, -0.136958, -0.14789, -0.154264, -0.153019, -0.135544, -0.126623, -0.0767833, -0.0631777, -0.0478099, -0.0398224, -0.0293969, -0.0195807, -0.0170079, -0.0122279, -0.00827289, -0.00393432, -0.00190058, -0.000794258, -0.000377235, -0.000267797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.521525, 0.558335, 0.577218, 0.592624, 0.606331, 0.625264, 0.635485, 0.650106, 0.66507, 0.672266, 0.683198, 0.686922, 0.694326, 0.702716, 0.722396, 0.738351, 0.751827, 0.761559, 0.769473, 0.781591, 0.797749, 0.824558, 0.881283");
-            values ( \
-              "-0.000127353, -0.0525744, -0.0902871, -0.11668, -0.137367, -0.162095, -0.172937, -0.18496, -0.192774, -0.194855, -0.193941, -0.191631, -0.18343, -0.164524, -0.102791, -0.0625958, -0.0393941, -0.0276666, -0.0206669, -0.0131069, -0.00697824, -0.00228624, -0.000287826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.601306, 0.626172, 0.638792, 0.680325, 0.704999, 0.723999, 0.741258, 0.779205, 0.801316, 0.815552, 0.83511, 0.842062, 0.855177, 0.868422, 0.894912, 0.93165, 0.953678, 0.975411, 0.985181, 1.00472, 1.02195, 1.03132, 1.05005, 1.08751, 1.141, 1.19896");
-            values ( \
-              "-0.0445013, -0.0510912, -0.0654434, -0.117785, -0.143872, -0.161973, -0.176273, -0.202191, -0.214387, -0.220417, -0.22605, -0.226772, -0.226378, -0.221607, -0.190869, -0.118509, -0.0828416, -0.0564031, -0.0471609, -0.0324913, -0.0232717, -0.0193848, -0.013322, -0.00608224, -0.0018164, -0.000517744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.69658, 0.775621, 0.852408, 0.909428, 1.00515, 1.06094, 1.08712, 1.14013, 1.19102, 1.24193, 1.29303, 1.36807, 1.40391, 1.44886, 1.49929, 1.54703, 1.57422, 1.62859, 1.72779, 1.82699");
-            values ( \
-              "-0.0101158, -0.0525148, -0.107793, -0.144378, -0.194988, -0.21819, -0.227009, -0.240365, -0.246025, -0.239128, -0.203416, -0.122664, -0.0914408, -0.061417, -0.0383294, -0.0240968, -0.0184236, -0.0105766, -0.0035284, -0.00116818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.948352, 1.08543, 1.21722, 1.32454, 1.43804, 1.52648, 1.5962, 1.6954, 1.73873, 1.81534, 1.85301, 1.917, 2.0162, 2.06241, 2.11171, 2.25307, 2.34284, 2.43785, 2.53955, 2.63764, 2.69383, 2.79303, 2.89223, 2.99143, 3.09063, 3.28904");
-            values ( \
-              "-0.0232225, -0.0520872, -0.102453, -0.139917, -0.175659, -0.199788, -0.216178, -0.23524, -0.241854, -0.250786, -0.253713, -0.255981, -0.248654, -0.236493, -0.214464, -0.135783, -0.0948826, -0.0627408, -0.0393502, -0.0246588, -0.0187833, -0.0114751, -0.00697227, -0.0042229, -0.00255193, -0.00093046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.880694, 0.899999, 0.913123, 0.920955, 0.941369, 0.962773, 0.979504, 0.987173, 0.994824, 1.01034, 1.01664, 1.02346, 1.02946, 1.03633, 1.04428, 1.05275, 1.13458");
-            values ( \
-              "-0.00237297, -0.0112101, -0.0193697, -0.0269529, -0.05067, -0.0715289, -0.0843695, -0.0870055, -0.0811971, -0.0345085, -0.0204711, -0.0108783, -0.00607305, -0.0030257, -0.00141239, -0.000723451, -0.000152703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.914269, 0.935952, 0.938596, 0.940566, 0.942535, 0.946474, 0.949694, 0.952914, 0.956134, 0.959354, 0.963252, 0.967149, 0.971047, 0.974337, 0.984209, 0.988129, 0.995971, 1.0032, 1.00983, 1.01314, 1.01903, 1.02492, 1.03036, 1.03579, 1.03841, 1.04103, 1.04365, 1.04627, 1.04882, 1.05137, 1.05392, 1.05647, 1.0571, 1.05804, 1.05899, 1.06158, 1.06371, 1.07094, 1.07474, 1.07728, 1.0803, 1.08271, 1.08531, 1.08721, 1.0891, 1.09084, 1.09258, 1.09432, 1.09606, 1.09919");
-            values ( \
-              "-0.0172764, -0.0211329, -0.0233107, -0.0250941, -0.0269663, -0.0309771, -0.0345197, -0.0382996, -0.0423169, -0.0465716, -0.0520691, -0.0572382, -0.062284, -0.0663962, -0.0784133, -0.0830425, -0.0920955, -0.100204, -0.107427, -0.110965, -0.116962, -0.122775, -0.127975, -0.133017, -0.132235, -0.131207, -0.129935, -0.128418, -0.126706, -0.124763, -0.122587, -0.120179, -0.119065, -0.116652, -0.114, -0.106018, -0.0990259, -0.0742637, -0.0619015, -0.0547107, -0.0469078, -0.0410724, -0.0350683, -0.0315484, -0.0283023, -0.025614, -0.0231232, -0.0208298, -0.018734, -0.0154158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.970459, 0.993374, 0.998387, 1.00153, 1.01098, 1.02351, 1.03331, 1.03894, 1.04456, 1.06758, 1.08554, 1.09386, 1.09784, 1.10305, 1.10941, 1.11607, 1.12644, 1.1307, 1.13265, 1.13655, 1.1385, 1.14159, 1.14467, 1.14775, 1.15084, 1.15438, 1.15615, 1.15793, 1.1597, 1.16147, 1.16388, 1.16628, 1.16868, 1.17109, 1.17755, 1.18079, 1.18402, 1.19387, 1.19726, 1.20065, 1.20516, 1.21004, 1.21403, 1.21506, 1.2161, 1.21817, 1.22024, 1.23073, 1.23633, 1.24007");
-            values ( \
-              "-0.0309269, -0.0317264, -0.0364182, -0.0396922, -0.0501681, -0.0657873, -0.0783534, -0.0852486, -0.0920335, -0.11889, -0.138587, -0.147143, -0.151, -0.155772, -0.161084, -0.166079, -0.173092, -0.175796, -0.176806, -0.178543, -0.179271, -0.179902, -0.18016, -0.180046, -0.179558, -0.178536, -0.177335, -0.175807, -0.173951, -0.171769, -0.16796, -0.163767, -0.159189, -0.154226, -0.138114, -0.129658, -0.120937, -0.0930172, -0.0842341, -0.0762575, -0.0665176, -0.0569849, -0.0500516, -0.045692, -0.0423648, -0.0410338, -0.0393811, -0.0282113, -0.0231675, -0.0200717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.04843, 1.086, 1.10553, 1.15482, 1.20113, 1.22931, 1.25877, 1.28307, 1.29673, 1.31919, 1.33315, 1.3505, 1.37733, 1.41383, 1.43719, 1.45807, 1.48602, 1.50456, 1.53455, 1.57453, 1.62905, 1.68918");
-            values ( \
-              "-0.0272249, -0.0359967, -0.0526953, -0.105068, -0.150265, -0.173325, -0.19476, -0.209257, -0.215654, -0.222601, -0.22361, -0.219179, -0.189372, -0.118629, -0.0813265, -0.0562831, -0.0333543, -0.0233148, -0.0128634, -0.0055196, -0.00162142, -0.000424585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.21558, 1.29327, 1.34396, 1.39682, 1.46462, 1.51377, 1.57092, 1.62397, 1.67495, 1.7259, 1.77703, 1.85199, 1.88813, 1.93297, 1.98296, 2.03103, 2.05855, 2.11359, 2.21279, 2.31199");
-            values ( \
-              "-0.048199, -0.0755802, -0.11249, -0.145759, -0.182645, -0.20558, -0.226656, -0.240094, -0.245831, -0.238996, -0.203333, -0.12272, -0.0912658, -0.0613575, -0.0384538, -0.0241, -0.0183598, -0.0104683, -0.00348818, -0.00115862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.49407, 1.61613, 1.71499, 1.85033, 1.94836, 2.07692, 2.17613, 2.21926, 2.29596, 2.33432, 2.39758, 2.49679, 2.5427, 2.59168, 2.73436, 2.82229, 2.91783, 3.02201, 3.11825, 3.17256, 3.27176, 3.37096, 3.47016, 3.76777");
-            values ( \
-              "-0.0622961, -0.0710143, -0.10895, -0.154905, -0.18427, -0.216305, -0.235388, -0.241964, -0.250892, -0.253808, -0.256065, -0.248668, -0.236621, -0.214789, -0.135406, -0.0953201, -0.0629077, -0.0390022, -0.0246565, -0.0189544, -0.0115826, -0.00703642, -0.00426357, -0.000938192" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0120489, 0.0120857, 0.0121301, 0.0121713, 0.0122013, 0.0122196", \
-            "0.0142173, 0.014246, 0.0142867, 0.0143312, 0.0143682, 0.0143929", \
-            "0.0155427, 0.0155555, 0.0155781, 0.0156086, 0.0156399, 0.0156636", \
-            "0.0163757, 0.0163768, 0.0163804, 0.0163884, 0.0164021, 0.0164165", \
-            "0.0168686, 0.0168622, 0.0168526, 0.0168417, 0.0168341, 0.0168324", \
-            "0.0171416, 0.0171328, 0.0171185, 0.0170987, 0.0170776, 0.0170601" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0167956, 0.0168268, 0.0168743, 0.0169264, 0.0169675, 0.0169934", \
-            "0.0186636, 0.0186669, 0.0186789, 0.0186984, 0.0187178, 0.0187315", \
-            "0.0200155, 0.0199734, 0.0199215, 0.0198729, 0.0198382, 0.0198175", \
-            "0.0210854, 0.0209895, 0.0208644, 0.0207326, 0.0206225, 0.0205481", \
-            "0.0221154, 0.0219443, 0.0216967, 0.0214421, 0.0212381, 0.0210959", \
-            "0.0205527, 0.0215214, 0.0223006, 0.0221481, 0.0217646, 0.0214883" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.132529, 0.169045, 0.242286, 0.3915, 0.699583, 1.33742", \
-            "0.137128, 0.173753, 0.246968, 0.396315, 0.704428, 1.34259", \
-            "0.147616, 0.184231, 0.257539, 0.407064, 0.715159, 1.35358", \
-            "0.171037, 0.207716, 0.281174, 0.430872, 0.739247, 1.3774", \
-            "0.208145, 0.25087, 0.33125, 0.484314, 0.793247, 1.43127", \
-            "0.242499, 0.296182, 0.395676, 0.577813, 0.909607, 1.55106" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0425723, 0.0649177, 0.110627, 0.205727, 0.401651, 0.809004", \
-            "0.0426611, 0.0649145, 0.110728, 0.205786, 0.401719, 0.808911", \
-            "0.0425764, 0.064899, 0.110661, 0.205666, 0.401744, 0.808925", \
-            "0.0427599, 0.0649107, 0.110611, 0.205611, 0.401613, 0.809027", \
-            "0.0465914, 0.0682582, 0.112036, 0.205798, 0.401517, 0.80882", \
-            "0.0548758, 0.0767042, 0.120552, 0.212013, 0.402906, 0.808912" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.137866, 0.177888, 0.256917, 0.415879, 0.740572, 1.41135", \
-            "0.142575, 0.18265, 0.261922, 0.421028, 0.745859, 1.41691", \
-            "0.154556, 0.194615, 0.273866, 0.433205, 0.758426, 1.42944", \
-            "0.184406, 0.22408, 0.302919, 0.461882, 0.786779, 1.45798", \
-            "0.245369, 0.289128, 0.370319, 0.52909, 0.852551, 1.52275", \
-            "0.338794, 0.395006, 0.49685, 0.67586, 1.0039, 1.67138" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.041091, 0.0615375, 0.100903, 0.178808, 0.336592, 0.663764", \
-            "0.0411342, 0.0614647, 0.100901, 0.178861, 0.337086, 0.663727", \
-            "0.041054, 0.0615139, 0.100885, 0.178789, 0.337196, 0.663752", \
-            "0.0412446, 0.0615899, 0.100861, 0.1787, 0.336571, 0.663754", \
-            "0.0461517, 0.0650356, 0.101855, 0.178868, 0.337099, 0.663725", \
-            "0.0578234, 0.0789814, 0.115899, 0.185425, 0.337209, 0.663554" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0955895, 0.10344, 0.113944, 0.123173, 0.130028, 0.13492, 0.142756, 0.14655, 0.150455, 0.156908, 0.168244, 0.179178, 0.190946, 0.19634, 0.204262, 0.212715, 0.218794, 0.224869, 0.248811, 0.251448");
-            values ( \
-              "0.0125634, 0.031319, 0.060685, 0.0807409, 0.0917116, 0.0973266, 0.102116, 0.102385, 0.100808, 0.0916085, 0.0572655, 0.0337473, 0.0183575, 0.0137364, 0.00883634, 0.00556658, 0.00409468, 0.00313326, 0.00119455, 0.00110505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.117435, 0.131017, 0.134635, 0.137989, 0.140138, 0.144436, 0.146957, 0.149477, 0.151997, 0.154518, 0.157038, 0.160705, 0.164022, 0.167089, 0.169962, 0.172836, 0.174752, 0.176667, 0.178583, 0.180788, 0.184094, 0.185196, 0.186561, 0.187925, 0.189289, 0.190654, 0.191897, 0.19314, 0.195627, 0.198434, 0.201241, 0.209058, 0.216583, 0.219883, 0.223202, 0.227887, 0.232412, 0.237543, 0.241167, 0.244721, 0.247068, 0.249415, 0.251762, 0.256121, 0.259843, 0.263566, 0.266597, 0.269628, 0.276127, 0.280817");
-            values ( \
-              "0.0709817, 0.0738873, 0.0831845, 0.0912271, 0.0960858, 0.105116, 0.109621, 0.113859, 0.117831, 0.121536, 0.124976, 0.129477, 0.132864, 0.135442, 0.137143, 0.138432, 0.139062, 0.139509, 0.139773, 0.139528, 0.138792, 0.138448, 0.137833, 0.137085, 0.136205, 0.135192, 0.133996, 0.132631, 0.129396, 0.124422, 0.118899, 0.100626, 0.0825524, 0.0751108, 0.068126, 0.0593118, 0.0515477, 0.0432334, 0.0383945, 0.0340616, 0.03142, 0.0289499, 0.0266511, 0.0227439, 0.0197713, 0.0172529, 0.0155722, 0.0140225, 0.011187, 0.00934467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.138938, 0.150447, 0.178839, 0.190963, 0.201189, 0.217769, 0.224004, 0.237028, 0.244923, 0.25376, 0.260335, 0.265395, 0.27064, 0.277421, 0.289044, 0.323083, 0.339366, 0.349734, 0.364545, 0.376555, 0.386371, 0.398031, 0.414508, 0.425234, 0.445346, 0.472163, 0.511346, 0.561657");
-            values ( \
-              "0.0494221, 0.04962, 0.109453, 0.129862, 0.143609, 0.159766, 0.163826, 0.169572, 0.170944, 0.17108, 0.169421, 0.167563, 0.164239, 0.158391, 0.143115, 0.0890477, 0.0673746, 0.055656, 0.0417537, 0.0327649, 0.0267093, 0.0207575, 0.0145123, 0.0113981, 0.00725752, 0.00395949, 0.00151151, 0.000481502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.172531, 0.20255, 0.266126, 0.296232, 0.314594, 0.324602, 0.340615, 0.361284, 0.372163, 0.387762, 0.403196, 0.429548, 0.446084, 0.46971, 0.539683, 0.567312, 0.600653, 0.627177, 0.649218, 0.664591, 0.695336, 0.709751, 0.742369, 0.779647, 0.854203, 0.86158");
-            values ( \
-              "0.013011, 0.0384595, 0.125702, 0.156131, 0.170184, 0.176269, 0.183858, 0.189597, 0.19111, 0.191636, 0.190479, 0.184089, 0.175984, 0.158533, 0.0950514, 0.0733792, 0.0521959, 0.0391058, 0.0305316, 0.0255481, 0.0177985, 0.0149589, 0.0101368, 0.00636675, 0.00240761, 0.00226882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.296622, 0.34449, 0.392675, 0.43978, 0.475844, 0.501746, 0.523329, 0.543998, 0.56774, 0.589043, 0.620869, 0.650552, 0.697295, 0.711061, 0.738594, 0.773171, 0.817703, 0.877049, 0.96309, 1.01349, 1.04525, 1.09422, 1.15019, 1.19595, 1.2287, 1.29418, 1.36539, 1.42182, 1.52867, 1.63552, 1.74237, 1.84922");
-            values ( \
-              "0.0465243, 0.0569364, 0.0972159, 0.132412, 0.155299, 0.168683, 0.177963, 0.18535, 0.192094, 0.19695, 0.201952, 0.20457, 0.204642, 0.203786, 0.200599, 0.193581, 0.178489, 0.150813, 0.108023, 0.0855389, 0.0730814, 0.0565469, 0.0414578, 0.0319156, 0.0263693, 0.0177701, 0.0115322, 0.00814789, 0.00408901, 0.00206051, 0.00101576, 0.00051959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.539388, 0.652413, 0.746539, 0.817277, 0.885768, 0.973006, 1.04068, 1.10415, 1.18998, 1.22718, 1.28796, 1.3484, 1.44125, 1.47414, 1.53822, 1.61205, 1.89489, 2.00174, 2.13076, 2.23282, 2.33736, 2.41055, 2.5174, 2.6237, 2.79593, 2.90278, 3.11648, 3.33018, 3.65073");
-            values ( \
-              "0.0607981, 0.0749902, 0.115528, 0.142362, 0.164065, 0.185716, 0.19778, 0.205753, 0.211748, 0.212887, 0.212902, 0.21094, 0.203491, 0.199314, 0.188602, 0.172776, 0.102619, 0.0796502, 0.0572473, 0.0432992, 0.0322669, 0.0261612, 0.0190618, 0.0139299, 0.00827794, 0.00593747, 0.00306558, 0.0015735, 0.000606347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.121929, 0.133945, 0.135421, 0.138374, 0.140941, 0.143507, 0.145218, 0.146928, 0.149763, 0.151578, 0.153654, 0.154934, 0.156214, 0.157495, 0.158775, 0.160055, 0.161335, 0.163405, 0.165475, 0.166396, 0.167316, 0.169158, 0.170773, 0.172388, 0.174004, 0.175978, 0.176696, 0.177413, 0.182447, 0.186129, 0.186968, 0.188644, 0.190321, 0.191676, 0.19574, 0.197886, 0.200603, 0.201785, 0.203973, 0.205432, 0.208349, 0.209808, 0.212612, 0.215724, 0.217392, 0.219346, 0.222707, 0.225215, 0.227722, 0.232035");
-            values ( \
-              "0.0606005, 0.0637079, 0.0672212, 0.0737576, 0.0787124, 0.0832917, 0.0861359, 0.0888132, 0.0928637, 0.095108, 0.0973494, 0.0984458, 0.0994102, 0.100242, 0.100943, 0.101511, 0.101947, 0.102053, 0.101856, 0.101456, 0.100933, 0.0995212, 0.0978803, 0.0958633, 0.0934704, 0.0900277, 0.0882178, 0.086058, 0.0702745, 0.0592147, 0.0567509, 0.0525949, 0.0486774, 0.0457498, 0.0376471, 0.0337798, 0.0293379, 0.0275969, 0.024688, 0.0229116, 0.0196762, 0.0182171, 0.015659, 0.0131171, 0.0119321, 0.0106976, 0.00887644, 0.00777087, 0.00679077, 0.00538006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.125053, 0.151058, 0.160842, 0.167905, 0.179129, 0.189338, 0.199209, 0.20906, 0.215229, 0.219683, 0.239443, 0.251742, 0.257115, 0.262988, 0.27084, 0.278294, 0.287713, 0.295683, 0.307912, 0.318352, 0.32987, 0.357081, 0.363015");
-            values ( \
-              "0.00605909, 0.0780499, 0.100694, 0.113921, 0.129615, 0.137537, 0.139902, 0.136175, 0.128631, 0.119855, 0.0729234, 0.0499985, 0.0419658, 0.0343778, 0.0260577, 0.0199414, 0.0142298, 0.0107195, 0.00689974, 0.004691, 0.00305063, 0.00112183, 0.000954467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.144188, 0.197535, 0.220015, 0.235206, 0.245706, 0.255915, 0.263722, 0.272654, 0.284205, 0.29623, 0.304892, 0.339634, 0.356719, 0.376548, 0.389293, 0.409107, 0.419832, 0.440917, 0.4529, 0.476866, 0.510195, 0.521142");
-            values ( \
-              "1.17901e-05, 0.108519, 0.143131, 0.158304, 0.165097, 0.169316, 0.170824, 0.170899, 0.167481, 0.158418, 0.147612, 0.0925664, 0.0692483, 0.0478939, 0.0371391, 0.0246071, 0.0195483, 0.0122961, 0.00940749, 0.00544295, 0.00248261, 0.00207564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.18993, 0.232054, 0.257145, 0.273975, 0.284691, 0.304906, 0.31454, 0.325316, 0.338363, 0.346529, 0.359236, 0.3698, 0.377681, 0.38876, 0.39493, 0.403156, 0.419608, 0.426353, 0.435347, 0.453662, 0.485796, 0.542866, 0.563146, 0.589135, 0.610739, 0.627392, 0.65021, 0.669034, 0.690248, 0.720283, 0.733432, 0.751064, 0.774573, 0.821592, 0.910284, 1.01506");
-            values ( \
-              "0.00363764, 0.0527561, 0.089283, 0.112367, 0.12538, 0.147094, 0.155669, 0.164423, 0.173374, 0.178008, 0.183747, 0.187241, 0.189121, 0.190859, 0.191377, 0.191618, 0.190724, 0.18977, 0.187928, 0.181751, 0.160898, 0.108555, 0.0910483, 0.0711529, 0.0571517, 0.0478948, 0.0372546, 0.0301236, 0.0235699, 0.0165013, 0.0141092, 0.0114185, 0.00858088, 0.00476115, 0.00140395, 0.000304692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.322065, 0.387451, 0.436663, 0.474036, 0.505962, 0.542027, 0.562252, 0.586074, 0.607757, 0.639601, 0.669281, 0.715717, 0.729797, 0.757957, 0.791912, 0.835933, 0.860046, 1.00607, 1.05807, 1.1002, 1.13282, 1.178, 1.21541, 1.25717, 1.31635, 1.37686, 1.42305, 1.51543, 1.62228, 1.72913, 1.83598");
-            values ( \
-              "0.0490678, 0.0771131, 0.116604, 0.142707, 0.161427, 0.177932, 0.185107, 0.191941, 0.19688, 0.201918, 0.204521, 0.204643, 0.20375, 0.200499, 0.193554, 0.178701, 0.16811, 0.0968611, 0.0752793, 0.060541, 0.0507631, 0.0394181, 0.0318094, 0.0248978, 0.0174357, 0.0120815, 0.00909435, 0.00505843, 0.00253949, 0.00126559, 0.000634442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.558726, 0.671862, 0.763811, 0.836606, 0.902704, 0.984709, 1.03732, 1.09746, 1.12334, 1.17512, 1.24628, 1.35313, 1.36787, 1.39734, 1.44406, 1.49322, 1.59154, 1.70426, 1.87812, 1.94894, 2.0396, 2.10357, 2.17664, 2.27307, 2.36016, 2.44246, 2.54931, 2.63682, 2.78816, 2.89501, 3.10871, 3.32241, 3.64296");
-            values ( \
-              "0.0599997, 0.0752331, 0.11491, 0.14256, 0.16355, 0.184194, 0.194261, 0.202946, 0.205759, 0.209988, 0.212858, 0.211669, 0.210953, 0.209213, 0.205243, 0.199321, 0.181719, 0.154889, 0.111056, 0.0947085, 0.0760825, 0.0646475, 0.0532629, 0.0408594, 0.0319488, 0.0251719, 0.0183699, 0.0141534, 0.00895962, 0.00647104, 0.00335448, 0.00173378, 0.000635569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.15452, 0.161829, 0.182975, 0.190537, 0.197347, 0.203834, 0.210279, 0.216721, 0.228048, 0.238958, 0.251316, 0.262857, 0.275775, 0.30042, 0.306567");
-            values ( \
-              "0.0147515, 0.0276148, 0.0805554, 0.093019, 0.0994009, 0.102663, 0.10085, 0.0917912, 0.0573008, 0.0338087, 0.0178096, 0.00945272, 0.00469401, 0.00171452, 0.00146381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.166155, 0.194578, 0.20177, 0.209179, 0.220398, 0.230603, 0.240471, 0.25032, 0.2566, 0.260943, 0.280698, 0.292995, 0.29838, 0.30425, 0.312098, 0.319545, 0.3289, 0.336817, 0.349405, 0.359791, 0.371282, 0.398385, 0.417283, 0.483726");
-            values ( \
-              "0.005147, 0.0838469, 0.0999543, 0.114053, 0.1296, 0.137634, 0.139876, 0.136248, 0.128462, 0.11986, 0.0729351, 0.0500077, 0.0419548, 0.0343733, 0.0260561, 0.0199454, 0.0142668, 0.0107673, 0.00683955, 0.00465979, 0.00303226, 0.00111949, 0.000588118, 0.000110071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.191632, 0.210207, 0.232394, 0.251818, 0.261272, 0.275566, 0.288654, 0.297147, 0.307384, 0.313836, 0.319643, 0.32478, 0.330749, 0.337298, 0.349156, 0.379272, 0.404288, 0.42287, 0.435981, 0.447835, 0.463641, 0.47634, 0.490437, 0.509233, 0.540886, 0.579972, 0.63209");
-            values ( \
-              "0.0127466, 0.0486197, 0.0967329, 0.130806, 0.143368, 0.157846, 0.166142, 0.169407, 0.171148, 0.170894, 0.169703, 0.167766, 0.164271, 0.158533, 0.14306, 0.0949031, 0.061742, 0.0433113, 0.0331966, 0.0259263, 0.0184249, 0.0139476, 0.0102103, 0.00666585, 0.00318785, 0.0012527, 0.000360563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.234384, 0.274039, 0.298913, 0.315856, 0.326368, 0.34644, 0.356003, 0.366937, 0.380387, 0.38862, 0.400837, 0.411142, 0.418983, 0.432411, 0.43729, 0.447048, 0.463409, 0.490715, 0.504466, 0.5228, 0.530006, 0.587978, 0.617334, 0.633422, 0.646242, 0.663334, 0.689718, 0.71425, 0.74696, 0.766381, 0.788274, 0.817465, 0.875847, 0.964115, 1.07096");
-            values ( \
-              "0.00632715, 0.0535762, 0.0897679, 0.112875, 0.125675, 0.147144, 0.155708, 0.164561, 0.173735, 0.17835, 0.183824, 0.18723, 0.189121, 0.191097, 0.191458, 0.191645, 0.190475, 0.18374, 0.177067, 0.164396, 0.158402, 0.105295, 0.0809223, 0.0692189, 0.0608043, 0.0508298, 0.0380639, 0.0288445, 0.0196473, 0.0155997, 0.0120028, 0.00840717, 0.00399623, 0.00118247, 0.000248871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.333673, 0.404028, 0.476494, 0.515681, 0.555573, 0.592615, 0.6109, 0.640055, 0.667573, 0.704186, 0.724094, 0.747655, 0.771163, 0.818179, 0.833393, 0.863821, 0.901415, 1.03454, 1.09077, 1.13576, 1.16616, 1.217, 1.26146, 1.32073, 1.36441, 1.41275, 1.47721, 1.58406, 1.69091, 1.90461");
-            values ( \
-              "0.0141116, 0.0562873, 0.115414, 0.142706, 0.165491, 0.181265, 0.187312, 0.194912, 0.200051, 0.204108, 0.205008, 0.204947, 0.203709, 0.197134, 0.193506, 0.183921, 0.168149, 0.102791, 0.0786349, 0.0624524, 0.0530726, 0.0399488, 0.030953, 0.0217879, 0.0167404, 0.0124821, 0.00837909, 0.00421298, 0.00211652, 0.000530301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.605104, 0.712995, 0.8075, 0.8784, 0.945655, 1.02651, 1.07911, 1.13922, 1.16515, 1.21701, 1.28809, 1.39494, 1.40968, 1.43916, 1.48587, 1.53503, 1.63335, 1.74608, 1.91994, 2.01539, 2.08573, 2.20501, 2.3108, 2.40959, 2.51644, 2.61219, 2.71246, 2.81931, 2.92616, 3.03301, 3.13986, 3.35357, 3.67412");
-            values ( \
-              "0.0660732, 0.0749113, 0.115656, 0.142531, 0.163855, 0.184171, 0.194258, 0.202923, 0.205761, 0.209978, 0.212863, 0.211657, 0.21096, 0.209202, 0.20525, 0.199312, 0.181728, 0.154897, 0.111064, 0.0893453, 0.0752797, 0.0552138, 0.0413245, 0.0312562, 0.0228714, 0.0172503, 0.01279, 0.0092273, 0.00668035, 0.00478952, 0.00346767, 0.00179631, 0.000647736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.242253, 0.251685, 0.274397, 0.282026, 0.288879, 0.295391, 0.301855, 0.308315, 0.323028, 0.333938, 0.344866, 0.357086, 0.374881, 0.39417");
-            values ( \
-              "0.00892661, 0.0217903, 0.0797047, 0.0921599, 0.0990767, 0.102198, 0.100746, 0.091534, 0.0488684, 0.0285915, 0.016085, 0.00817059, 0.00330888, 0.00176724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.264463, 0.275886, 0.287195, 0.295827, 0.310796, 0.318515, 0.322253, 0.32973, 0.332121, 0.336904, 0.341985, 0.346961, 0.352573, 0.370026, 0.385209, 0.393962, 0.403165, 0.414564, 0.420728, 0.432069, 0.447828, 0.458742, 0.471038, 0.494805");
-            values ( \
-              "0.0434723, 0.0553908, 0.0857532, 0.104593, 0.128011, 0.135133, 0.137367, 0.139631, 0.139649, 0.13879, 0.135898, 0.130434, 0.119834, 0.0779515, 0.0491533, 0.0367576, 0.026594, 0.0176921, 0.0142165, 0.00948497, 0.00533494, 0.00356094, 0.00223928, 0.00108369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.286514, 0.302196, 0.330572, 0.352915, 0.367879, 0.378899, 0.388735, 0.396459, 0.405141, 0.414047, 0.426875, 0.440731, 0.49497, 0.515796, 0.538354, 0.566325, 0.596656, 0.622998, 0.63172");
-            values ( \
-              "0.0229925, 0.0496673, 0.109478, 0.143623, 0.158561, 0.165582, 0.16954, 0.170962, 0.171079, 0.168813, 0.16055, 0.143127, 0.0627674, 0.0421809, 0.0265314, 0.0144512, 0.0073568, 0.00401171, 0.00346413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.342964, 0.366736, 0.391164, 0.418423, 0.436763, 0.458862, 0.47616, 0.484095, 0.49828, 0.506229, 0.515382, 0.524265, 0.539841, 0.555283, 0.581341, 0.59873, 0.621791, 0.676675, 0.720196, 0.750426, 0.774318, 0.806664, 0.827053, 0.855391, 0.866952, 0.890073, 0.936316, 1.01845, 1.11462");
-            values ( \
-              "0.0446659, 0.055259, 0.0907136, 0.12624, 0.145971, 0.164876, 0.176226, 0.180337, 0.185929, 0.188234, 0.190063, 0.19122, 0.191713, 0.190552, 0.184229, 0.17566, 0.158542, 0.10818, 0.072781, 0.0534933, 0.0412965, 0.0287049, 0.0226497, 0.0161839, 0.014093, 0.0106661, 0.00598941, 0.00198287, 0.000505901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.458728, 0.517402, 0.567135, 0.607929, 0.639307, 0.675863, 0.700135, 0.730355, 0.763958, 0.803073, 0.849223, 0.86355, 0.892205, 0.925654, 0.96913, 0.993775, 1.13819, 1.19142, 1.23531, 1.26905, 1.31239, 1.34786, 1.39007, 1.4496, 1.51141, 1.55874, 1.65339, 1.76024, 1.86709, 1.97394");
-            values ( \
-              "0.0549242, 0.07419, 0.114394, 0.14299, 0.161377, 0.178102, 0.186538, 0.194604, 0.200773, 0.2046, 0.204719, 0.203796, 0.20047, 0.193576, 0.178941, 0.16812, 0.0975843, 0.0754225, 0.0600943, 0.0500679, 0.0392723, 0.0320491, 0.0250196, 0.0174855, 0.0120206, 0.00898332, 0.00492041, 0.0024692, 0.00123097, 0.000616534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.690079, 0.804358, 0.903306, 0.966229, 1.02508, 1.0962, 1.17689, 1.2341, 1.30287, 1.37999, 1.48684, 1.50158, 1.53108, 1.57779, 1.62694, 1.72501, 1.83798, 2.01186, 2.08267, 2.17332, 2.23729, 2.31037, 2.4068, 2.49389, 2.57619, 2.68304, 2.77055, 2.92305, 3.0299, 3.13675, 3.2436, 3.4573, 3.77785");
-            values ( \
-              "0.0584886, 0.0745654, 0.117151, 0.141027, 0.160142, 0.179115, 0.195204, 0.203233, 0.209546, 0.212857, 0.211641, 0.210959, 0.209188, 0.20525, 0.199301, 0.181786, 0.154904, 0.111066, 0.0946955, 0.0760935, 0.0646584, 0.0532527, 0.0408696, 0.031958, 0.0251625, 0.018379, 0.014146, 0.00892043, 0.00645473, 0.00462923, 0.0033491, 0.00173404, 0.000626978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.399987, 0.422155, 0.42618, 0.43456, 0.468365, 0.476042, 0.483172, 0.490138, 0.497093, 0.512112, 0.521561, 0.532903, 0.546118, 0.56282, 0.583805");
-            values ( \
-              "0.00235199, 0.00619846, 0.00966497, 0.0200597, 0.0810391, 0.0895585, 0.0940746, 0.0944267, 0.0872585, 0.0478295, 0.0305737, 0.0171804, 0.00843612, 0.00357165, 0.0017996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.43574, 0.453116, 0.455395, 0.464509, 0.484587, 0.492305, 0.504631, 0.515574, 0.525941, 0.536237, 0.544418, 0.565278, 0.576962, 0.591354, 0.599154, 0.606252, 0.613278, 0.626511, 0.636673, 0.647315, 0.658998, 0.687951, 0.689827");
-            values ( \
-              "0.00781471, 0.0236487, 0.0273038, 0.0449717, 0.0878952, 0.101944, 0.119846, 0.129761, 0.133803, 0.131786, 0.122877, 0.0758544, 0.0538579, 0.0337851, 0.0257229, 0.0200078, 0.0156437, 0.00979245, 0.0067723, 0.00458767, 0.00295162, 0.00102276, 0.000992255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.482926, 0.502452, 0.53799, 0.557411, 0.57161, 0.580872, 0.592418, 0.605481, 0.614208, 0.620189, 0.628833, 0.637551, 0.690816, 0.721135, 0.745239, 0.774284, 0.792407, 0.816571, 0.843257");
-            values ( \
-              "0.0382951, 0.0499323, 0.116998, 0.14357, 0.15711, 0.163113, 0.167973, 0.169424, 0.167742, 0.165131, 0.158652, 0.148498, 0.068696, 0.0386117, 0.0234005, 0.0123777, 0.00826267, 0.00476607, 0.00271717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.531734, 0.568989, 0.594442, 0.612233, 0.62212, 0.641895, 0.65761, 0.676142, 0.684487, 0.696797, 0.707107, 0.714811, 0.728403, 0.734002, 0.745201, 0.759419, 0.786896, 0.800163, 0.817853, 0.826028, 0.879757, 0.900295, 0.926525, 0.948728, 0.965897, 0.987999, 1.00611, 1.02749, 1.05766, 1.07097, 1.08891, 1.11284, 1.16069, 1.24823, 1.35193");
-            values ( \
-              "0.0122043, 0.0515645, 0.0886049, 0.112963, 0.124939, 0.146284, 0.160105, 0.173306, 0.178036, 0.183597, 0.187033, 0.188918, 0.190957, 0.191368, 0.191487, 0.190379, 0.183618, 0.177205, 0.165127, 0.158374, 0.109101, 0.0913765, 0.0712347, 0.0568837, 0.047394, 0.0371553, 0.0302877, 0.0236507, 0.0165365, 0.0141121, 0.0113787, 0.00850339, 0.00466924, 0.00140159, 0.000313795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.651058, 0.702919, 0.75039, 0.790541, 0.832648, 0.868702, 0.901505, 0.92508, 0.946248, 0.978045, 1.00772, 1.05344, 1.0682, 1.09773, 1.1303, 1.17395, 1.19842, 1.34335, 1.39618, 1.43951, 1.47322, 1.51689, 1.55278, 1.5949, 1.65435, 1.71586, 1.76293, 1.85707, 1.96392, 2.07077, 2.17762");
-            values ( \
-              "0.0371037, 0.0583775, 0.0979361, 0.12821, 0.155325, 0.173375, 0.185617, 0.192306, 0.197075, 0.202078, 0.204642, 0.204764, 0.203822, 0.200349, 0.19359, 0.178876, 0.168128, 0.0973579, 0.0753736, 0.0602414, 0.0501955, 0.039308, 0.0319982, 0.0249896, 0.0174771, 0.0120366, 0.00900608, 0.00495351, 0.00248271, 0.00124091, 0.000618346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.905632, 1.01029, 1.10456, 1.17487, 1.27972, 1.34709, 1.3804, 1.44507, 1.49451, 1.56042, 1.63317, 1.70595, 1.7938, 1.83138, 1.89537, 1.96929, 2.25213, 2.35898, 2.488, 2.59005, 2.69461, 2.76781, 2.87466, 2.98092, 3.15313, 3.25998, 3.47368, 3.68738, 4.00793");
-            values ( \
-              "0.0707931, 0.0753902, 0.116004, 0.142627, 0.174093, 0.189155, 0.195112, 0.204059, 0.208688, 0.212257, 0.21307, 0.210912, 0.20403, 0.199295, 0.188633, 0.172758, 0.102601, 0.0796672, 0.057231, 0.0433173, 0.0322824, 0.0261429, 0.0190768, 0.0139142, 0.00826272, 0.0059543, 0.00308203, 0.00158975, 0.000590411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.765852, 0.781316, 0.786911, 0.796548, 0.817064, 0.832388, 0.841858, 0.850418, 0.858543, 0.866671, 0.87, 0.881477, 0.887354, 0.892756, 0.901291, 0.90513, 0.912267, 0.916864, 0.924488, 0.935056, 0.949094, 0.958263, 0.985168, 0.991911");
-            values ( \
-              "0.00742362, 0.00953495, 0.013059, 0.0221756, 0.0486136, 0.0649903, 0.0736294, 0.0793603, 0.0819704, 0.0783333, 0.0725493, 0.0470154, 0.0364553, 0.028651, 0.0190535, 0.0157904, 0.0109549, 0.00864333, 0.00585792, 0.00372029, 0.00216482, 0.00144502, 0.000410637, 0.00037911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.778181, 0.812359, 0.816401, 0.827491, 0.852663, 0.879459, 0.892324, 0.904101, 0.915516, 0.924017, 0.927213, 0.933253, 0.952424, 0.966246, 0.97764, 0.982138, 0.989339, 0.996274, 1.00538, 1.01975, 1.02999, 1.04255, 1.05609, 1.06735");
-            values ( \
-              "1.87936e-05, 0.0159756, 0.0192572, 0.0311468, 0.0667089, 0.100207, 0.112363, 0.119229, 0.120694, 0.115569, 0.110772, 0.100175, 0.0618817, 0.0409262, 0.0281061, 0.0241434, 0.0188615, 0.0148929, 0.0108086, 0.00646112, 0.00447295, 0.00280153, 0.00174516, 0.00122236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.824046, 0.854327, 0.866409, 0.879371, 0.889891, 0.934036, 0.943917, 0.96185, 0.974586, 0.980331, 0.985554, 0.994961, 1.00437, 1.00763, 1.01197, 1.01689, 1.02184, 1.02967, 1.03961, 1.07169, 1.08253, 1.10024, 1.11674, 1.12971, 1.14046, 1.15197, 1.16833, 1.17862, 1.19765, 1.21608, 1.24804, 1.25919");
-            values ( \
-              "0.00454784, 0.0141681, 0.0219885, 0.0339528, 0.0464772, 0.104791, 0.116915, 0.135577, 0.145858, 0.149771, 0.152475, 0.156532, 0.158401, 0.158567, 0.158375, 0.157489, 0.155741, 0.150857, 0.140466, 0.0935682, 0.0788292, 0.0579626, 0.0424408, 0.0327356, 0.0262385, 0.0205649, 0.0144119, 0.0115115, 0.00755597, 0.00499844, 0.00243907, 0.00196191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.915168, 0.971594, 1.06318, 1.08445, 1.10279, 1.12085, 1.13733, 1.15394, 1.16421, 1.18593, 1.21296, 1.22696, 1.23601, 1.26349, 1.32042, 1.35958, 1.39063, 1.41509, 1.43618, 1.4643, 1.48849, 1.52086, 1.56401, 1.64551, 1.74039");
-            values ( \
-              "0.00591741, 0.0361783, 0.13206, 0.150623, 0.163899, 0.173907, 0.180257, 0.184155, 0.185418, 0.185716, 0.180772, 0.174854, 0.169717, 0.148581, 0.0975294, 0.0676223, 0.0490525, 0.0375258, 0.0295854, 0.0213206, 0.0160116, 0.0108571, 0.0063568, 0.00212818, 0.000559213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.08709, 1.14199, 1.21549, 1.25774, 1.28951, 1.32385, 1.35656, 1.39482, 1.41267, 1.44838, 1.47336, 1.50667, 1.51724, 1.53838, 1.57948, 1.62171, 1.64767, 1.78811, 1.8593, 1.89292, 1.92537, 1.97668, 2.03312, 2.0993, 2.12203, 2.17445, 2.23436, 2.34121, 2.44806, 2.55491, 2.66176");
-            values ( \
-              "0.0398274, 0.0503656, 0.107962, 0.137904, 0.157291, 0.173934, 0.185855, 0.195712, 0.198972, 0.203257, 0.204475, 0.203966, 0.203295, 0.201128, 0.193327, 0.179286, 0.168016, 0.0994025, 0.0702655, 0.0589334, 0.0494212, 0.0370401, 0.0266936, 0.0179263, 0.0156339, 0.0113607, 0.00783982, 0.00394218, 0.00197685, 0.000983621, 0.000493871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.32485, 1.42609, 1.53298, 1.59729, 1.65255, 1.73285, 1.80013, 1.83373, 1.89925, 1.94486, 1.99195, 2.03732, 2.12804, 2.15874, 2.2198, 2.28424, 2.39109, 2.48549, 2.67631, 2.77945, 2.83818, 2.9268, 3.02809, 3.09218, 3.16685, 3.24657, 3.35342, 3.40243, 3.50044, 3.60729, 3.71414, 3.82099, 3.92785, 4.14155, 4.4621");
-            values ( \
-              "0.0430394, 0.05893, 0.105746, 0.131544, 0.150953, 0.174142, 0.189177, 0.195177, 0.2042, 0.208491, 0.211395, 0.212856, 0.212216, 0.210927, 0.206691, 0.199307, 0.179907, 0.157396, 0.109375, 0.0862273, 0.0746851, 0.059405, 0.0451707, 0.0377872, 0.0305661, 0.0242368, 0.0176861, 0.0152685, 0.0113842, 0.00820807, 0.00593564, 0.00425635, 0.00307764, 0.00159264, 0.000576783" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00998556, 0.0100106, 0.0100408, 0.0100685, 0.0100884, 0.0101003", \
-            "0.0123137, 0.0123386, 0.0123731, 0.0124102, 0.0124405, 0.0124603", \
-            "0.0137421, 0.013756, 0.0137795, 0.0138101, 0.0138403, 0.0138624", \
-            "0.0145687, 0.0145642, 0.0145623, 0.0145669, 0.014577, 0.0145887", \
-            "0.0150387, 0.0150172, 0.0149873, 0.0149558, 0.0149332, 0.0149225", \
-            "0.0153152, 0.0152922, 0.0152571, 0.0152065, 0.0151502, 0.0151048" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0146035, 0.0146076, 0.0146212, 0.0146411, 0.0146595, 0.014672", \
-            "0.0153388, 0.0152992, 0.0152533, 0.0152125, 0.0151834, 0.0151659", \
-            "0.0147941, 0.0147298, 0.0146411, 0.0145447, 0.0144627, 0.0144076", \
-            "0.0144073, 0.0143111, 0.0141816, 0.0140422, 0.0139224, 0.0138394", \
-            "0.0147734, 0.014528, 0.0142631, 0.0140063, 0.0137874, 0.0136456", \
-            "0.0149689, 0.0149992, 0.0151482, 0.0144736, 0.0140011, 0.0137202" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0971624, 0.118121, 0.127631, 0.142658, 0.149324, 0.155474, 0.157324, 0.162038, 0.167237, 0.184402, 0.190861, 0.198527, 0.204605, 0.212405, 0.220519, 0.236859");
-            values ( \
-              "-0.00132708, -0.0606808, -0.0778289, -0.0958858, -0.100702, -0.10391, -0.104054, -0.103018, -0.0955859, -0.0323243, -0.0177681, -0.008316, -0.00439903, -0.00190115, -0.00074242, -0.000632005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.11707, 0.124539, 0.125495, 0.127407, 0.131052, 0.138308, 0.142836, 0.151512, 0.157061, 0.167459, 0.17188, 0.177266, 0.179626, 0.184345, 0.189617, 0.197708, 0.199203, 0.202192, 0.208581, 0.218001, 0.218587, 0.21976, 0.222106, 0.230892, 0.23405, 0.237671, 0.242398, 0.243787, 0.246564, 0.252119, 0.25686, 0.259111, 0.263613, 0.272618, 0.284287, 0.290491");
-            values ( \
-              "-0.0292638, -0.0399425, -0.0426506, -0.0464944, -0.0548155, -0.073891, -0.083604, -0.0992352, -0.107458, -0.120879, -0.125604, -0.130704, -0.132856, -0.13664, -0.140477, -0.144731, -0.145249, -0.145837, -0.144415, -0.128899, -0.127395, -0.123593, -0.115266, -0.08082, -0.0693942, -0.0574836, -0.0442516, -0.0408153, -0.0346981, -0.0246446, -0.0182921, -0.0158058, -0.0118313, -0.00642319, -0.00291514, -0.00210112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.14546, 0.161489, 0.191474, 0.21601, 0.234904, 0.252472, 0.266425, 0.273428, 0.282765, 0.287381, 0.293496, 0.299621, 0.307384, 0.316148, 0.338825, 0.352529, 0.366965, 0.377625, 0.384748, 0.395792, 0.410518, 0.425609");
-            values ( \
-              "-0.0279129, -0.0495188, -0.103945, -0.135501, -0.154412, -0.169491, -0.178991, -0.182542, -0.185605, -0.186104, -0.185474, -0.182827, -0.175375, -0.158397, -0.0936808, -0.0617678, -0.0381047, -0.0260566, -0.0201343, -0.0133995, -0.00764447, -0.00473676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.197803, 0.280166, 0.30481, 0.324969, 0.356581, 0.390549, 0.410734, 0.427211, 0.443953, 0.454594, 0.475875, 0.48174, 0.493472, 0.511133, 0.548165, 0.561612, 0.579541, 0.590046, 0.603217, 0.620778, 0.637394, 0.662594, 0.696195, 0.745929, 0.800888");
-            values ( \
-              "-0.00887637, -0.109161, -0.13317, -0.150402, -0.174809, -0.195743, -0.205723, -0.212569, -0.217675, -0.219477, -0.218068, -0.21567, -0.20763, -0.182756, -0.113188, -0.0915593, -0.0675517, -0.05609, -0.0441284, -0.0316754, -0.0230186, -0.0140311, -0.007028, -0.00236277, -0.000713869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.31123, 0.386089, 0.482837, 0.54126, 0.588703, 0.651091, 0.698002, 0.751618, 0.768355, 0.803057, 0.854281, 0.874257, 0.891171, 0.91076, 0.977386, 1.00596, 1.05667, 1.08378, 1.11993, 1.15788, 1.17823, 1.21891, 1.30028, 1.39913, 1.49799");
-            values ( \
-              "-0.00985581, -0.0562903, -0.122748, -0.155957, -0.179523, -0.207071, -0.223661, -0.237237, -0.24008, -0.243278, -0.237093, -0.228024, -0.215635, -0.196575, -0.125444, -0.0997334, -0.0641067, -0.0500047, -0.0354603, -0.0245446, -0.0201063, -0.0133691, -0.00563457, -0.00186762, -0.000640211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.58841, 0.700289, 0.858033, 0.98698, 1.07485, 1.20327, 1.30212, 1.34664, 1.40601, 1.45528, 1.52405, 1.62291, 1.64635, 1.67314, 1.72671, 1.81207, 1.92021, 1.98565, 2.03889, 2.12225, 2.20569, 2.23425, 2.29979, 2.37469, 2.47355, 2.57241, 2.67126, 2.86898");
-            values ( \
-              "-0.0353497, -0.0569181, -0.115908, -0.158192, -0.18431, -0.216255, -0.235235, -0.242033, -0.249274, -0.253516, -0.256126, -0.248874, -0.243724, -0.235283, -0.21029, -0.161699, -0.107217, -0.0814539, -0.0644287, -0.0440594, -0.029635, -0.0258623, -0.0188545, -0.0130458, -0.00794773, -0.00482681, -0.00292177, -0.00106857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.119919, 0.124501, 0.136919, 0.146412, 0.154329, 0.16145, 0.168104, 0.174513, 0.180837, 0.187172, 0.203218, 0.209595, 0.217369, 0.223532, 0.231349, 0.231803");
-            values ( \
-              "-0.0231387, -0.0281896, -0.0598318, -0.0772907, -0.0883792, -0.0956857, -0.101039, -0.103786, -0.103332, -0.0925191, -0.0323015, -0.017889, -0.00828812, -0.0043321, -0.0018749, -0.00181033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.135243, 0.144031, 0.146092, 0.148447, 0.153572, 0.158124, 0.161451, 0.164779, 0.168106, 0.170005, 0.1757, 0.180696, 0.185692, 0.19135, 0.198997, 0.208274, 0.211018, 0.217843, 0.218512, 0.221857, 0.224533, 0.225871, 0.227225, 0.229255, 0.231285, 0.232638, 0.235345, 0.237188, 0.240875, 0.24997, 0.253616, 0.256942, 0.259093, 0.263519, 0.266767, 0.268879, 0.271867, 0.274854, 0.277335");
-            values ( \
-              "-0.0197819, -0.0424578, -0.0465626, -0.0519408, -0.0654395, -0.0767259, -0.0839074, -0.0903549, -0.0962318, -0.0991737, -0.107507, -0.11421, -0.120345, -0.12638, -0.133454, -0.140525, -0.1422, -0.145514, -0.145523, -0.145383, -0.145043, -0.144798, -0.144083, -0.141849, -0.139012, -0.136786, -0.131532, -0.126724, -0.113849, -0.0788771, -0.0655845, -0.0552601, -0.0492583, -0.0377844, -0.0310952, -0.0274038, -0.022859, -0.0189501, -0.0161682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.152284, 0.233854, 0.250042, 0.271103, 0.284889, 0.292341, 0.302243, 0.306921, 0.311669, 0.31829, 0.328647, 0.334784, 0.357436, 0.371784, 0.384862, 0.401005, 0.416602, 0.434426, 0.459623");
-            values ( \
-              "-0.00491881, -0.134659, -0.151178, -0.16951, -0.178919, -0.182685, -0.185772, -0.18611, -0.185574, -0.182813, -0.171339, -0.158419, -0.0936606, -0.0604719, -0.0390475, -0.0219391, -0.0123462, -0.00619433, -0.00231148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.216115, 0.232224, 0.252053, 0.308787, 0.36359, 0.386837, 0.430287, 0.452289, 0.474119, 0.495561, 0.512694, 0.530667, 0.581159, 0.607397, 0.640252, 0.682219, 0.715938, 0.725674");
-            values ( \
-              "-0.0198622, -0.0306673, -0.0516128, -0.118258, -0.165608, -0.182172, -0.205719, -0.214393, -0.219422, -0.218011, -0.207917, -0.182732, -0.0915303, -0.0582929, -0.0317052, -0.0139985, -0.00700664, -0.00609302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.356471, 0.405112, 0.479141, 0.525191, 0.578591, 0.657259, 0.690814, 0.717428, 0.764607, 0.784216, 0.810361, 0.822653, 0.847236, 0.873895, 0.925565, 0.999327, 1.02377, 1.04016, 1.07295, 1.11752, 1.15408, 1.1793, 1.21617, 1.26532, 1.36363, 1.46249");
-            values ( \
-              "-0.0459443, -0.0558142, -0.108096, -0.136099, -0.165047, -0.201547, -0.21463, -0.223565, -0.23588, -0.239479, -0.242587, -0.243186, -0.242361, -0.236861, -0.202161, -0.123643, -0.101547, -0.0883754, -0.0662798, -0.0439305, -0.0309399, -0.0242152, -0.0168374, -0.010216, -0.00345716, -0.00115043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.636221, 0.734056, 0.871027, 1.00318, 1.10949, 1.22264, 1.33609, 1.43495, 1.48415, 1.54337, 1.64223, 1.66567, 1.69246, 1.74605, 1.83131, 1.93956, 2.00502, 2.05823, 2.1415, 2.22499, 2.25356, 2.31917, 2.39416, 2.49302, 2.59188, 2.69073, 2.88845");
-            values ( \
-              "-0.0608127, -0.062281, -0.113696, -0.157194, -0.188519, -0.216261, -0.237579, -0.25025, -0.254134, -0.256125, -0.248875, -0.243724, -0.235281, -0.210283, -0.161746, -0.107203, -0.0814376, -0.0644236, -0.0440755, -0.0296384, -0.0258638, -0.0188487, -0.0130361, -0.00794169, -0.00482317, -0.00291951, -0.00106772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.161563, 0.179741, 0.189227, 0.197123, 0.204234, 0.210895, 0.217035, 0.21893, 0.223602, 0.228617, 0.246627, 0.254229, 0.261236, 0.266936, 0.274778, 0.280129");
-            values ( \
-              "-0.0109229, -0.0607958, -0.0779063, -0.0885221, -0.0959281, -0.100807, -0.103923, -0.104106, -0.103053, -0.0960281, -0.0304747, -0.0149063, -0.00740742, -0.00408734, -0.00174189, -0.00103834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.176637, 0.186809, 0.208711, 0.221394, 0.235815, 0.248743, 0.260334, 0.269765, 0.279193, 0.30358, 0.320284, 0.333913, 0.342277");
-            values ( \
-              "-0.0107278, -0.0430498, -0.092862, -0.111964, -0.128374, -0.139137, -0.145253, -0.144626, -0.128809, -0.0442126, -0.015813, -0.00637793, -0.00387964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.208377, 0.213998, 0.2217, 0.229527, 0.248033, 0.263904, 0.277048, 0.285609, 0.296711, 0.302984, 0.3143, 0.328025, 0.330553, 0.33561, 0.345402, 0.350217, 0.355166, 0.361467, 0.372007, 0.377963, 0.400609, 0.405536, 0.415392, 0.420791, 0.42754, 0.436539, 0.443809, 0.45126, 0.461194, 0.481062, 0.489525");
-            values ( \
-              "-0.028982, -0.0361653, -0.0470834, -0.060775, -0.0961377, -0.11918, -0.134846, -0.143831, -0.154533, -0.160126, -0.169598, -0.178873, -0.180346, -0.182706, -0.185813, -0.186094, -0.185532, -0.182795, -0.171068, -0.158408, -0.0936882, -0.0811546, -0.0596417, -0.0499551, -0.0397357, -0.0289013, -0.0222581, -0.0169585, -0.0116796, -0.00540068, -0.00426611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.260177, 0.338118, 0.367167, 0.387515, 0.418783, 0.452715, 0.472905, 0.489396, 0.506141, 0.516776, 0.538046, 0.54392, 0.555669, 0.573312, 0.610343, 0.623789, 0.641717, 0.652223, 0.665395, 0.682956, 0.69957, 0.724768, 0.758366, 0.808154, 0.863119");
-            values ( \
-              "-0.00888822, -0.104634, -0.133357, -0.150728, -0.174847, -0.195751, -0.205737, -0.212589, -0.217694, -0.219493, -0.218083, -0.215682, -0.207622, -0.182761, -0.113189, -0.0915607, -0.0675537, -0.0560913, -0.0441289, -0.031674, -0.0230181, -0.0140312, -0.00702962, -0.00235887, -0.000713582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.390575, 0.449581, 0.524754, 0.555199, 0.606513, 0.667805, 0.723255, 0.760874, 0.814496, 0.866022, 0.917537, 0.969092, 1.04352, 1.08224, 1.12621, 1.16977, 1.20756, 1.24508, 1.27392, 1.33159, 1.43045, 1.52931");
-            values ( \
-              "-0.0271513, -0.0567567, -0.109747, -0.128496, -0.157257, -0.187189, -0.210712, -0.223693, -0.237263, -0.243363, -0.237025, -0.202116, -0.122976, -0.0896206, -0.0607405, -0.0405088, -0.0281056, -0.0194795, -0.0146162, -0.00808463, -0.00269944, -0.000907418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.638771, 0.7631, 0.947318, 1.09949, 1.19171, 1.26612, 1.36498, 1.40922, 1.48519, 1.51918, 1.5868, 1.68566, 1.70912, 1.73593, 1.78954, 1.87438, 1.98313, 2.04866, 2.10174, 2.18459, 2.26831, 2.29693, 2.36288, 2.43824, 2.5371, 2.63596, 2.73482, 2.93253");
-            values ( \
-              "-0.0260601, -0.056946, -0.125023, -0.1734, -0.198755, -0.216256, -0.235277, -0.242032, -0.250903, -0.253572, -0.256148, -0.248853, -0.24372, -0.235271, -0.210249, -0.161948, -0.107144, -0.0813656, -0.0644022, -0.0441454, -0.0296544, -0.0258702, -0.0188238, -0.0129934, -0.00791598, -0.00480694, -0.00291017, -0.0010645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.259001, 0.265168, 0.271008, 0.277542, 0.287143, 0.295097, 0.302239, 0.308922, 0.315335, 0.321682, 0.327906, 0.338942, 0.345, 0.349372, 0.353284, 0.357841, 0.361434, 0.368621, 0.376059, 0.385789, 0.469818");
-            values ( \
-              "-0.0183979, -0.026926, -0.0407581, -0.0590132, -0.0768496, -0.0878585, -0.0954192, -0.100619, -0.103537, -0.103039, -0.092821, -0.0493779, -0.029765, -0.0198835, -0.0135868, -0.00863511, -0.00598037, -0.00277923, -0.00121118, -0.000382216, -0.00013098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.273172, 0.284086, 0.298397, 0.315855, 0.325755, 0.340892, 0.35578, 0.362474, 0.367407, 0.376839, 0.401255, 0.411012, 0.41799, 0.431467, 0.44343, 0.445965");
-            values ( \
-              "-0.0085451, -0.0415632, -0.0765571, -0.107297, -0.120108, -0.134734, -0.144337, -0.145818, -0.144201, -0.128906, -0.0442006, -0.0245981, -0.0158045, -0.00645313, -0.00284567, -0.0026256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.300017, 0.321236, 0.348, 0.362901, 0.380685, 0.39347, 0.411025, 0.424768, 0.432375, 0.442084, 0.447371, 0.452758, 0.458167, 0.468984, 0.474713, 0.493673, 0.50559, 0.517609, 0.522976, 0.533711, 0.542993, 0.55831, 0.578732, 0.605936, 0.634995");
-            values ( \
-              "-0.00170447, -0.0519865, -0.101158, -0.121887, -0.142132, -0.154556, -0.169601, -0.17893, -0.182754, -0.185774, -0.186087, -0.185307, -0.182833, -0.1707, -0.158441, -0.103694, -0.0734023, -0.0498077, -0.0415136, -0.028423, -0.0203258, -0.0115171, -0.00516115, -0.00173333, -0.000581338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.357629, 0.433256, 0.464964, 0.487676, 0.526222, 0.549451, 0.586083, 0.603169, 0.613446, 0.634, 0.640571, 0.653714, 0.669967, 0.720385, 0.748854, 0.779911, 0.796231, 0.821003, 0.854032, 0.889976");
-            values ( \
-              "-0.00874385, -0.10312, -0.134501, -0.15369, -0.182313, -0.195856, -0.212675, -0.217849, -0.219556, -0.218331, -0.215726, -0.206277, -0.18276, -0.0916264, -0.0560971, -0.0314823, -0.0230085, -0.0141464, -0.00717426, -0.00373839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.465961, 0.545417, 0.637958, 0.702945, 0.746255, 0.809003, 0.857072, 0.910767, 0.927329, 0.962205, 1.01343, 1.03323, 1.05022, 1.0699, 1.13653, 1.16511, 1.21581, 1.24291, 1.27905, 1.31703, 1.33738, 1.37808, 1.45948, 1.55834, 1.65719");
-            values ( \
-              "-0.00595075, -0.0563769, -0.120306, -0.157311, -0.178766, -0.206586, -0.223639, -0.237238, -0.240072, -0.243278, -0.237106, -0.228134, -0.215721, -0.196579, -0.125449, -0.0997291, -0.0641048, -0.0500078, -0.0354676, -0.0245454, -0.0201049, -0.0133661, -0.00563072, -0.00186653, -0.000639634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.73245, 0.860325, 0.974174, 1.06794, 1.18273, 1.28312, 1.3632, 1.46206, 1.50659, 1.56596, 1.61519, 1.68399, 1.78285, 1.83305, 1.88661, 1.97224, 2.08006, 2.14546, 2.19877, 2.28241, 2.39422, 2.45955, 2.53421, 2.63306, 2.73192, 2.83078, 3.02849");
-            values ( \
-              "-0.0237323, -0.056959, -0.100244, -0.132774, -0.16923, -0.197257, -0.216272, -0.235233, -0.242033, -0.249288, -0.253525, -0.256123, -0.248883, -0.235291, -0.210312, -0.161568, -0.107255, -0.0815008, -0.0644426, -0.0440142, -0.0258582, -0.0188707, -0.0130737, -0.00796445, -0.00483745, -0.00292781, -0.00107061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.455115, 0.465678, 0.470079, 0.474894, 0.488219, 0.495662, 0.505025, 0.513195, 0.520673, 0.527734, 0.534638, 0.54091, 0.541824, 0.555127, 0.561068, 0.567961, 0.572695, 0.576429, 0.583898, 0.591107, 0.592008");
-            values ( \
-              "-0.00982081, -0.0169771, -0.0220073, -0.0284935, -0.0531019, -0.0640539, -0.0759504, -0.0845115, -0.0907858, -0.0947247, -0.0954227, -0.0881675, -0.0859687, -0.0398829, -0.0243442, -0.0128973, -0.0081882, -0.00567102, -0.00262275, -0.00122285, -0.00114784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.471233, 0.495438, 0.519524, 0.529141, 0.539653, 0.547279, 0.5583, 0.567127, 0.572826, 0.577119, 0.582843, 0.583975, 0.58624, 0.590025, 0.594191, 0.610309, 0.618806, 0.625162, 0.631995, 0.637027, 0.647481, 0.659742, 0.672691, 0.685147, 0.744259");
-            values ( \
-              "-0.00423278, -0.0352897, -0.0812742, -0.0960383, -0.109962, -0.118503, -0.12894, -0.13569, -0.139025, -0.140529, -0.140385, -0.139788, -0.138221, -0.13346, -0.124328, -0.0671264, -0.0426572, -0.0294627, -0.0192576, -0.014033, -0.0071072, -0.00307092, -0.00132574, -0.00067936, -0.000129201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.512299, 0.528743, 0.534358, 0.538655, 0.547092, 0.560274, 0.567196, 0.577151, 0.589531, 0.595953, 0.602284, 0.611351, 0.621572, 0.629443, 0.643054, 0.645877, 0.651522, 0.660682, 0.663247, 0.666667, 0.671807, 0.676964, 0.684768, 0.693539, 0.716257, 0.720815, 0.72993, 0.73642, 0.739104, 0.744471, 0.755206, 0.762227, 0.765856, 0.773115, 0.787633, 0.801494");
-            values ( \
-              "-0.0170563, -0.034053, -0.0414859, -0.0481159, -0.0622012, -0.0876431, -0.0993222, -0.113922, -0.129543, -0.136712, -0.143272, -0.152073, -0.161274, -0.167745, -0.177164, -0.178749, -0.181501, -0.184393, -0.184731, -0.184858, -0.184133, -0.181923, -0.174708, -0.157982, -0.0935707, -0.081959, -0.0618078, -0.0499857, -0.0456712, -0.0380145, -0.0259277, -0.0201167, -0.0176174, -0.0134737, -0.00775629, -0.00507852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.584591, 0.61151, 0.665897, 0.690566, 0.720584, 0.757505, 0.78708, 0.809472, 0.820562, 0.831265, 0.85267, 0.858409, 0.869887, 0.887797, 0.924825, 0.938283, 0.966708, 0.979849, 0.997372, 1.01404, 1.03932, 1.07303, 1.12452, 1.17959");
-            values ( \
-              "-0.0337507, -0.0544989, -0.11841, -0.141053, -0.16534, -0.190224, -0.205509, -0.214507, -0.217676, -0.219529, -0.21805, -0.215718, -0.207882, -0.182794, -0.113217, -0.0915679, -0.0560818, -0.044143, -0.0317293, -0.0230354, -0.0140228, -0.00699058, -0.00225589, -0.000667432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.684408, 0.76305, 0.843942, 0.901153, 0.952389, 1.0158, 1.07373, 1.09578, 1.12733, 1.17884, 1.23035, 1.2819, 1.35635, 1.39499, 1.43898, 1.48291, 1.52048, 1.55763, 1.58612, 1.64309, 1.74195, 1.84081");
-            values ( \
-              "-0.00561707, -0.0573603, -0.113977, -0.147526, -0.173894, -0.202739, -0.223772, -0.230096, -0.237326, -0.243405, -0.237063, -0.202132, -0.122957, -0.0896715, -0.0607583, -0.0403771, -0.028075, -0.0195294, -0.0147054, -0.00819731, -0.00273716, -0.000920198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.942632, 1.07531, 1.26616, 1.3858, 1.50365, 1.57819, 1.67705, 1.72117, 1.78, 1.83165, 1.89882, 1.99768, 2.02113, 2.04793, 2.10152, 2.18664, 2.29506, 2.36054, 2.4137, 2.49684, 2.5804, 2.60899, 2.67471, 2.74983, 2.84868, 2.94754, 3.0464, 3.24411");
-            values ( \
-              "-0.0207967, -0.0570088, -0.127294, -0.165673, -0.198708, -0.216315, -0.235254, -0.241993, -0.249215, -0.253644, -0.256118, -0.248891, -0.243726, -0.235279, -0.210274, -0.161812, -0.107184, -0.0814144, -0.0644161, -0.0440992, -0.0296433, -0.0258665, -0.01884, -0.0130223, -0.00793273, -0.00481819, -0.002916, -0.00106624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.862293, 0.877034, 0.884389, 0.892436, 0.908447, 0.922785, 0.937456, 0.955674, 0.964212, 0.97304, 0.97738, 0.986766, 0.993035, 0.998482, 1.00513");
-            values ( \
-              "-0.0116944, -0.01283, -0.0175421, -0.025037, -0.0429917, -0.0560599, -0.0673836, -0.0777811, -0.0760018, -0.0720109, -0.0631747, -0.0388276, -0.0249417, -0.0162276, -0.00902411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.87641, 0.905917, 0.912833, 0.922269, 0.94832, 0.975767, 0.989934, 1.00561, 1.01876, 1.02655, 1.03429, 1.03845, 1.06203, 1.06855, 1.07984, 1.08951, 1.09749, 1.10972, 1.12236, 1.14756, 1.21321");
-            values ( \
-              "-9.39564e-05, -0.0184305, -0.0236786, -0.0323495, -0.0644544, -0.091131, -0.101955, -0.111617, -0.117199, -0.118195, -0.11446, -0.108687, -0.0472507, -0.0338388, -0.017894, -0.0100755, -0.00615069, -0.00284237, -0.00132195, -0.00049094, -0.000143073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.93447, 0.967135, 0.972917, 0.983396, 1.01094, 1.02631, 1.0414, 1.06526, 1.08606, 1.10485, 1.11933, 1.12243, 1.12863, 1.13667, 1.13947, 1.14507, 1.15626, 1.16335, 1.18107, 1.19129, 1.20207, 1.20689, 1.21593, 1.22962, 1.23861, 1.25205, 1.2733, 1.28195");
-            values ( \
-              "-0.00536909, -0.0295287, -0.0343907, -0.0446038, -0.0777619, -0.0940746, -0.108747, -0.129183, -0.145087, -0.157775, -0.164956, -0.165984, -0.167451, -0.16755, -0.166874, -0.164285, -0.15067, -0.135152, -0.0900643, -0.0674742, -0.0482731, -0.0412528, -0.0304292, -0.0196418, -0.0142412, -0.00865363, -0.00386593, -0.00304647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.0211, 1.0684, 1.08756, 1.11945, 1.15174, 1.17516, 1.2159, 1.24609, 1.26872, 1.28387, 1.29848, 1.31195, 1.33366, 1.33959, 1.35144, 1.36931, 1.40662, 1.42001, 1.44637, 1.46208, 1.48004, 1.49615, 1.52062, 1.55324, 1.60575, 1.66105");
-            values ( \
-              "-0.0120396, -0.0382003, -0.0549377, -0.0877488, -0.117689, -0.137122, -0.167855, -0.187271, -0.19974, -0.206655, -0.212038, -0.215092, -0.214721, -0.212565, -0.205199, -0.181199, -0.112547, -0.0912804, -0.0581617, -0.043819, -0.0312207, -0.022924, -0.0141933, -0.0073028, -0.00226319, -0.000701193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.15642, 1.24198, 1.31312, 1.35107, 1.40007, 1.46292, 1.51156, 1.55795, 1.61173, 1.64296, 1.66319, 1.70365, 1.71448, 1.73614, 1.77082, 1.83065, 1.87229, 1.92052, 1.95516, 1.99474, 2.01963, 2.05769, 2.10844, 2.2073, 2.30616");
-            values ( \
-              "-0.00564511, -0.0522497, -0.103061, -0.126896, -0.154509, -0.185599, -0.206746, -0.223212, -0.236917, -0.241638, -0.243008, -0.23976, -0.236805, -0.226765, -0.196709, -0.132331, -0.0948059, -0.0620945, -0.045102, -0.03083, -0.0242108, -0.0166358, -0.00992794, -0.00333025, -0.00111177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.43418, 1.55799, 1.7418, 1.89413, 1.9862, 2.05979, 2.15865, 2.20276, 2.27879, 2.31325, 2.38038, 2.47924, 2.5026, 2.52931, 2.58271, 2.73967, 2.79901, 2.89479, 2.93749, 2.99132, 3.06682, 3.11548, 3.20624, 3.3051, 3.40396, 3.50282, 3.70053");
-            values ( \
-              "-0.0257619, -0.057599, -0.125446, -0.173819, -0.199082, -0.216352, -0.235366, -0.242094, -0.250963, -0.253651, -0.256193, -0.248875, -0.243764, -0.235358, -0.210473, -0.12418, -0.0977351, -0.0645447, -0.0532375, -0.0415053, -0.0289859, -0.0229668, -0.0147652, -0.00900713, -0.00547368, -0.00331561, -0.00121315" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0100096, 0.0100325, 0.0100589, 0.0100821, 0.0100984, 0.0101081", \
-            "0.0117491, 0.0117654, 0.0117874, 0.0118099, 0.0118278, 0.0118393", \
-            "0.0127641, 0.0127702, 0.0127811, 0.0127956, 0.0128094, 0.0128195", \
-            "0.0133769, 0.0133766, 0.0133753, 0.0133766, 0.0133806, 0.0133854", \
-            "0.0137117, 0.0137055, 0.0136998, 0.0136909, 0.0136846, 0.0136818", \
-            "0.0139149, 0.0139077, 0.0138963, 0.0138815, 0.0138664, 0.013855" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0137404, 0.0137553, 0.0137734, 0.0137902, 0.0138054, 0.0138147", \
-            "0.0149557, 0.0149456, 0.0149379, 0.0149337, 0.0149314, 0.0149334", \
-            "0.0157248, 0.015679, 0.0156251, 0.0155759, 0.015534, 0.0155213", \
-            "0.0164069, 0.0163132, 0.0161993, 0.0160907, 0.0160081, 0.0159541", \
-            "0.0172295, 0.0170758, 0.0168713, 0.0166613, 0.016497, 0.0163958", \
-            "0.0157657, 0.0165198, 0.0172293, 0.0171814, 0.016928, 0.0167346" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.113745, 0.148139, 0.216908, 0.357537, 0.647319, 1.24747", \
-            "0.11823, 0.152729, 0.221583, 0.362316, 0.652228, 1.25246", \
-            "0.128475, 0.162973, 0.231928, 0.372854, 0.662959, 1.26323", \
-            "0.150631, 0.185982, 0.255333, 0.396368, 0.686523, 1.28696", \
-            "0.180002, 0.222534, 0.301257, 0.448458, 0.739553, 1.33981", \
-            "0.202678, 0.256376, 0.354497, 0.532536, 0.852206, 1.45835" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0410063, 0.0625834, 0.107262, 0.200185, 0.391331, 0.789135", \
-            "0.0410019, 0.0625464, 0.10727, 0.200184, 0.391366, 0.789208", \
-            "0.0410083, 0.0625887, 0.107287, 0.200205, 0.391344, 0.789169", \
-            "0.0420101, 0.0628761, 0.107403, 0.200221, 0.391355, 0.789153", \
-            "0.0471507, 0.067592, 0.110127, 0.200755, 0.391374, 0.78911", \
-            "0.0574491, 0.0781276, 0.120178, 0.208771, 0.393355, 0.78924" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.151236, 0.192214, 0.273952, 0.440016, 0.780538, 1.48732", \
-            "0.15582, 0.196875, 0.27882, 0.44524, 0.785905, 1.49281", \
-            "0.167748, 0.208746, 0.290742, 0.457164, 0.798311, 1.50541", \
-            "0.197535, 0.238122, 0.319608, 0.485693, 0.826682, 1.53383", \
-            "0.261503, 0.304657, 0.386995, 0.552254, 0.891997, 1.59814", \
-            "0.364327, 0.419745, 0.520937, 0.702814, 1.0439, 1.74682" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0401417, 0.0594402, 0.0985643, 0.177395, 0.339893, 0.675878", \
-            "0.0401351, 0.0595022, 0.0984428, 0.177332, 0.339882, 0.675882", \
-            "0.0400935, 0.0594321, 0.0984137, 0.177444, 0.339854, 0.675879", \
-            "0.0401628, 0.0594577, 0.0984215, 0.177408, 0.339849, 0.675913", \
-            "0.0439146, 0.0615792, 0.0990723, 0.17739, 0.33958, 0.675938", \
-            "0.0549656, 0.0739565, 0.110157, 0.181371, 0.340068, 0.675793" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0778046, 0.08551, 0.0936904, 0.101931, 0.112478, 0.119015, 0.125245, 0.131449, 0.13765, 0.148467, 0.160604, 0.171342, 0.1812, 0.188331, 0.193862, 0.205069, 0.214268, 0.226266");
-            values ( \
-              "0.00298193, 0.0310537, 0.0563591, 0.0776751, 0.0969236, 0.103744, 0.106644, 0.104776, 0.0945476, 0.0597303, 0.03266, 0.018422, 0.0106072, 0.00705912, 0.00517, 0.0027253, 0.00177293, 0.00132316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0944904, 0.100903, 0.11682, 0.129681, 0.140381, 0.150147, 0.159607, 0.169051, 0.174027, 0.179382, 0.19693, 0.210362, 0.22276, 0.236137, 0.243151, 0.25308, 0.260563, 0.274438, 0.295199, 0.306895, 0.310064");
-            values ( \
-              "0.040159, 0.0451817, 0.0915181, 0.119852, 0.135625, 0.143698, 0.145731, 0.141285, 0.13437, 0.123008, 0.0784771, 0.0518144, 0.0339415, 0.020807, 0.0159773, 0.0110768, 0.00854365, 0.00525122, 0.00244208, 0.0015902, 0.00151513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.119241, 0.130649, 0.149563, 0.156974, 0.170122, 0.178142, 0.194184, 0.199366, 0.206014, 0.212354, 0.225033, 0.228467, 0.235336, 0.244687, 0.251366, 0.262917, 0.28912, 0.310922, 0.320172, 0.330266, 0.343725, 0.35264, 0.362982, 0.373593, 0.394362, 0.406103, 0.429586, 0.46092, 0.500675, 0.553378");
-            values ( \
-              "0.0445855, 0.0553859, 0.100477, 0.116158, 0.139692, 0.151188, 0.167718, 0.171204, 0.174463, 0.17644, 0.177171, 0.176726, 0.174496, 0.168816, 0.161956, 0.145395, 0.101484, 0.0701203, 0.0592072, 0.0488262, 0.0372978, 0.0310406, 0.0249506, 0.0198338, 0.0125171, 0.00961188, 0.00558309, 0.00265459, 0.00100821, 0.000264486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.174692, 0.201322, 0.237714, 0.25532, 0.276289, 0.293687, 0.306957, 0.324386, 0.338735, 0.35383, 0.368838, 0.389783, 0.399988, 0.415803, 0.434044, 0.495256, 0.535426, 0.569416, 0.593355, 0.614955, 0.643756, 0.666889, 0.6923, 0.726182, 0.793945, 0.890162, 0.99352");
-            values ( \
-              "0.0712856, 0.0797901, 0.134075, 0.154807, 0.173794, 0.185116, 0.191346, 0.196053, 0.197374, 0.197204, 0.195407, 0.19004, 0.185518, 0.175933, 0.160843, 0.102935, 0.0704985, 0.0494013, 0.0379015, 0.0296432, 0.0211251, 0.016036, 0.0118086, 0.00777123, 0.00321682, 0.000804131, 0.000189266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.27519, 0.319935, 0.354792, 0.404182, 0.432338, 0.466536, 0.496959, 0.540899, 0.572391, 0.600056, 0.618693, 0.658529, 0.704893, 0.719345, 0.748249, 0.786256, 0.904979, 0.985657, 1.05373, 1.10077, 1.14294, 1.19917, 1.24572, 1.29674, 1.36477, 1.46813, 1.57149, 1.7782");
-            values ( \
-              "0.0669214, 0.0721417, 0.104533, 0.14505, 0.163995, 0.182086, 0.193514, 0.205094, 0.209837, 0.211767, 0.211954, 0.209536, 0.201354, 0.197494, 0.187283, 0.170375, 0.109775, 0.0746191, 0.0519195, 0.0399335, 0.0313263, 0.0223786, 0.0169546, 0.0124345, 0.00809565, 0.00421341, 0.00211719, 0.000532393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.508511, 0.62443, 0.691002, 0.749838, 0.787149, 0.838932, 0.897246, 0.946913, 1.00923, 1.04931, 1.09581, 1.14097, 1.23129, 1.25881, 1.31385, 1.38172, 1.48508, 1.58413, 1.76847, 1.83686, 1.92493, 1.98552, 2.05923, 2.15619, 2.24495, 2.32671, 2.43007, 2.52092, 2.57478, 2.66286, 2.76622, 2.86958, 2.97294, 3.17965, 3.48973");
-            values ( \
-              "0.0805039, 0.0955027, 0.126808, 0.151168, 0.164453, 0.180605, 0.195255, 0.204743, 0.213168, 0.216674, 0.2191, 0.220033, 0.21797, 0.216378, 0.211676, 0.202856, 0.182492, 0.157768, 0.110235, 0.0943291, 0.0760902, 0.0651581, 0.0535721, 0.0409856, 0.0318738, 0.025154, 0.0185263, 0.0141413, 0.0120268, 0.00921294, 0.00671535, 0.00489172, 0.00355512, 0.00187564, 0.000717679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0977449, 0.112196, 0.120347, 0.123683, 0.130903, 0.137439, 0.143669, 0.149872, 0.156073, 0.166888, 0.175693, 0.179021, 0.18442, 0.189752, 0.194887, 0.199617, 0.206671, 0.21231, 0.223628, 0.232885, 0.262608");
-            values ( \
-              "0.0108927, 0.0565481, 0.0776133, 0.0846446, 0.0968912, 0.103797, 0.106616, 0.10482, 0.0945211, 0.0597365, 0.0386847, 0.0326858, 0.0245122, 0.0183863, 0.0137539, 0.0106241, 0.00706886, 0.00517168, 0.00271378, 0.00173037, 0.000762251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.114494, 0.122591, 0.135397, 0.148323, 0.159007, 0.168762, 0.178217, 0.187893, 0.192656, 0.197984, 0.20861, 0.215677, 0.229063, 0.240526, 0.248252, 0.255152, 0.264352, 0.27275, 0.285029, 0.296742, 0.317924, 0.329817, 0.336256");
-            values ( \
-              "0.0517247, 0.0541285, 0.0918187, 0.119803, 0.136015, 0.143575, 0.146017, 0.140963, 0.134365, 0.123043, 0.0945978, 0.07815, 0.051666, 0.0349734, 0.0264355, 0.0204777, 0.0144586, 0.0106505, 0.00696354, 0.00458965, 0.00209184, 0.0013486, 0.00110173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.141672, 0.161469, 0.175638, 0.189781, 0.196793, 0.210818, 0.214536, 0.221971, 0.230986, 0.247112, 0.253771, 0.260314, 0.26998, 0.281531, 0.296972, 0.31782, 0.337993, 0.351996, 0.366932, 0.382773, 0.393156, 0.407572, 0.423965, 0.445822, 0.476367, 0.500104");
-            values ( \
-              "0.0591042, 0.0847926, 0.116531, 0.141093, 0.151448, 0.165955, 0.169084, 0.173203, 0.17655, 0.176838, 0.174714, 0.171022, 0.162026, 0.145597, 0.119027, 0.0861965, 0.0601193, 0.0459377, 0.0339679, 0.0243208, 0.0194561, 0.0141088, 0.00976874, 0.00593324, 0.00285244, 0.0017956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.187737, 0.208381, 0.254066, 0.271127, 0.290626, 0.302998, 0.315701, 0.327091, 0.337797, 0.347799, 0.357552, 0.372592, 0.387595, 0.409088, 0.41875, 0.434575, 0.452808, 0.516644, 0.554761, 0.587487, 0.611816, 0.6343, 0.664277, 0.68621, 0.710502, 0.742892, 0.802272, 0.896769, 1.00013");
-            values ( \
-              "0.0539921, 0.0611107, 0.131119, 0.151561, 0.170318, 0.179437, 0.18681, 0.191873, 0.194937, 0.196775, 0.197325, 0.197257, 0.195347, 0.189884, 0.185441, 0.175978, 0.160768, 0.10055, 0.070065, 0.0497871, 0.0380109, 0.0294307, 0.0206923, 0.0159153, 0.0118802, 0.00797974, 0.00372018, 0.000974938, 0.000216689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.304245, 0.362728, 0.395881, 0.428466, 0.462005, 0.486466, 0.515256, 0.534011, 0.557735, 0.572342, 0.589036, 0.619029, 0.664372, 0.677646, 0.704194, 0.738326, 0.786441, 0.805413, 0.926531, 0.977184, 1.00799, 1.05571, 1.11026, 1.12901, 1.1605, 1.19649, 1.25874, 1.28512, 1.32194, 1.37104, 1.46923, 1.57259, 1.67594, 1.7793");
-            values ( \
-              "0.0797863, 0.0943537, 0.123734, 0.14885, 0.170278, 0.1825, 0.193332, 0.198918, 0.204565, 0.207177, 0.209471, 0.211659, 0.210755, 0.20948, 0.205646, 0.197458, 0.179277, 0.170241, 0.108505, 0.0855123, 0.073341, 0.0569921, 0.0421, 0.0378176, 0.031554, 0.0255249, 0.0175413, 0.0149512, 0.0119452, 0.00881629, 0.00471352, 0.00241475, 0.00123779, 0.000629095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.506276, 0.629238, 0.70379, 0.768611, 0.834579, 0.892199, 0.916049, 0.96375, 1.02971, 1.06479, 1.11156, 1.15979, 1.25625, 1.27771, 1.32063, 1.40058, 1.50394, 1.60303, 1.78732, 1.89068, 1.94982, 2.04021, 2.14351, 2.20374, 2.27395, 2.35492, 2.45827, 2.51333, 2.61669, 2.72005, 2.82341, 2.92676, 3.03012, 3.23684, 3.54691");
-            values ( \
-              "0.05647, 0.0885747, 0.124068, 0.151143, 0.173704, 0.189699, 0.195229, 0.204414, 0.213327, 0.216416, 0.219001, 0.220019, 0.217682, 0.216372, 0.212897, 0.202863, 0.182511, 0.157775, 0.110254, 0.0867226, 0.0749555, 0.0592816, 0.0447597, 0.0378268, 0.0309771, 0.0244683, 0.018036, 0.0152916, 0.0112107, 0.00816857, 0.00596904, 0.00432758, 0.00316206, 0.00167197, 0.000626649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.140037, 0.145037, 0.156034, 0.164805, 0.17203, 0.178565, 0.184795, 0.190999, 0.1972, 0.205447, 0.210955, 0.220147, 0.225528, 0.230878, 0.236026, 0.240754, 0.247883, 0.253406, 0.264498, 0.273651, 0.301662");
-            values ( \
-              "0.0211276, 0.0313824, 0.0641745, 0.0846436, 0.0968307, 0.103812, 0.106577, 0.104838, 0.0944964, 0.0672111, 0.0518237, 0.0326769, 0.0245267, 0.0184095, 0.013759, 0.0106101, 0.00704524, 0.00517452, 0.00274841, 0.00176444, 0.000829467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.154011, 0.160464, 0.176427, 0.189298, 0.200011, 0.20977, 0.219231, 0.228675, 0.233608, 0.239001, 0.256718, 0.270098, 0.281485, 0.28926, 0.296213, 0.305483, 0.313813, 0.325993, 0.337501, 0.358419, 0.370129, 0.374157");
-            values ( \
-              "0.0399484, 0.0448644, 0.0914041, 0.119781, 0.135616, 0.14367, 0.145741, 0.141278, 0.134455, 0.123031, 0.078102, 0.0516458, 0.035055, 0.0264538, 0.020452, 0.0143987, 0.0106354, 0.00697868, 0.00463391, 0.00213446, 0.0013871, 0.00130837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.180264, 0.198926, 0.216697, 0.229774, 0.23787, 0.254063, 0.2588, 0.272083, 0.286018, 0.292571, 0.301308, 0.311283, 0.322628, 0.351469, 0.375609, 0.390418, 0.402285, 0.416123, 0.438654, 0.453486, 0.476039, 0.504745, 0.538459, 0.583455");
-            values ( \
-              "0.0454584, 0.0758703, 0.116072, 0.139594, 0.15114, 0.167866, 0.171039, 0.176452, 0.177039, 0.175466, 0.171198, 0.161864, 0.145533, 0.0974175, 0.0640488, 0.0484367, 0.0381989, 0.0287196, 0.0176487, 0.0127089, 0.00764073, 0.00387241, 0.00174831, 0.000558768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.220043, 0.241254, 0.29777, 0.321503, 0.336066, 0.353817, 0.368415, 0.38417, 0.404078, 0.428985, 0.451683, 0.460069, 0.475914, 0.494127, 0.55693, 0.59694, 0.62139, 0.649334, 0.690744, 0.723231, 0.756932, 0.783422, 0.836403, 0.929534, 1.03289");
-            values ( \
-              "0.0222348, 0.0481485, 0.134138, 0.160946, 0.173613, 0.185156, 0.191927, 0.196044, 0.197597, 0.195478, 0.189289, 0.185553, 0.175852, 0.160871, 0.101508, 0.0695105, 0.0539148, 0.0396646, 0.0246964, 0.0167482, 0.011163, 0.00807789, 0.00411119, 0.0011139, 0.000239776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.336167, 0.401703, 0.442671, 0.464767, 0.491601, 0.513255, 0.538491, 0.572552, 0.601406, 0.61582, 0.63863, 0.660639, 0.704069, 0.71924, 0.748732, 0.779933, 0.827929, 0.882452, 0.968142, 1.00166, 1.04659, 1.07813, 1.11393, 1.16119, 1.17542, 1.20388, 1.26079, 1.30665, 1.32345, 1.35704, 1.42423, 1.52759, 1.63095, 1.73431, 1.83766");
-            values ( \
-              "0.0561697, 0.0918738, 0.127986, 0.145022, 0.163143, 0.175478, 0.186843, 0.198156, 0.205022, 0.207415, 0.210342, 0.211589, 0.210934, 0.209407, 0.205145, 0.197382, 0.179385, 0.152387, 0.108554, 0.0928872, 0.074514, 0.0632443, 0.0520128, 0.0399914, 0.0367709, 0.0312804, 0.0222232, 0.0169357, 0.0152131, 0.0124703, 0.00814168, 0.00425539, 0.00212276, 0.00114276, 0.000526513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.542715, 0.668565, 0.746927, 0.808888, 0.875526, 0.933547, 0.957662, 1.00589, 1.0705, 1.10803, 1.1554, 1.2014, 1.2934, 1.31927, 1.371, 1.44216, 1.54552, 1.64458, 1.82891, 1.93227, 1.9914, 2.08177, 2.18506, 2.24532, 2.31556, 2.39652, 2.49987, 2.55491, 2.65827, 2.76163, 2.86499, 2.96835, 3.0717, 3.27842, 3.58849");
-            values ( \
-              "0.0510882, 0.0874281, 0.124747, 0.150646, 0.173497, 0.189632, 0.195224, 0.204501, 0.213236, 0.21653, 0.219081, 0.220014, 0.217903, 0.216363, 0.212028, 0.202845, 0.182506, 0.157779, 0.110249, 0.086722, 0.0749524, 0.0592866, 0.0447591, 0.0378221, 0.0309692, 0.0244718, 0.0180297, 0.0152966, 0.0112052, 0.00817379, 0.00596357, 0.00433296, 0.00315656, 0.00166641, 0.000632306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.226717, 0.2349, 0.244913, 0.248856, 0.25782, 0.261872, 0.268643, 0.275022, 0.281333, 0.28764, 0.298733, 0.302012, 0.30801, 0.311728, 0.319409, 0.323134, 0.327602, 0.332236, 0.339004, 0.343818, 0.353219, 0.361943, 0.404429, 0.45088");
-            values ( \
-              "0.0218013, 0.0303737, 0.0582899, 0.0676138, 0.0860237, 0.0927888, 0.100896, 0.104491, 0.103408, 0.0936234, 0.0586862, 0.0501587, 0.0371782, 0.0307792, 0.0205209, 0.0166703, 0.0130401, 0.0100873, 0.00686779, 0.00525254, 0.0030867, 0.00199922, 0.000338286, 6.90445e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.240284, 0.249757, 0.267045, 0.280223, 0.291055, 0.300892, 0.310401, 0.31989, 0.323953, 0.330236, 0.350546, 0.363338, 0.376157, 0.390648, 0.400206, 0.40656, 0.421285, 0.431484, 0.454293");
-            values ( \
-              "0.0196566, 0.0380751, 0.0881226, 0.117841, 0.1345, 0.142764, 0.145262, 0.140811, 0.135597, 0.122663, 0.0723246, 0.04833, 0.0309579, 0.0181528, 0.0126956, 0.0101757, 0.00608402, 0.00419714, 0.00218827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.272162, 0.289077, 0.308067, 0.318286, 0.32932, 0.347147, 0.363632, 0.379094, 0.389123, 0.396197, 0.404528, 0.414202, 0.436747, 0.451078, 0.471589, 0.48265, 0.495291, 0.513608, 0.524441, 0.545664, 0.557866, 0.580515, 0.610953, 0.649046, 0.699764");
-            values ( \
-              "0.0525999, 0.0721539, 0.115339, 0.134412, 0.150724, 0.168892, 0.176374, 0.176922, 0.173428, 0.168589, 0.159779, 0.145491, 0.107445, 0.0853478, 0.0590742, 0.0478085, 0.0370977, 0.025313, 0.0200373, 0.0125214, 0.00950704, 0.00564203, 0.00273854, 0.00109594, 0.000299905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.321365, 0.341136, 0.389381, 0.413372, 0.427697, 0.445443, 0.460054, 0.475812, 0.487745, 0.495932, 0.506847, 0.520556, 0.543139, 0.551715, 0.567557, 0.585774, 0.649127, 0.688836, 0.711845, 0.73814, 0.768335, 0.788821, 0.82894, 0.852395, 0.899306, 0.9843, 1.08427");
-            values ( \
-              "0.0594556, 0.0606675, 0.134015, 0.161106, 0.173547, 0.18511, 0.191887, 0.196028, 0.197432, 0.197576, 0.197075, 0.195472, 0.189356, 0.18554, 0.175851, 0.160862, 0.101019, 0.0693382, 0.0546014, 0.0409582, 0.0290869, 0.0228998, 0.0141756, 0.0106613, 0.0059224, 0.00184998, 0.000437938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.424101, 0.474193, 0.529946, 0.556397, 0.593327, 0.618554, 0.64938, 0.667344, 0.690259, 0.709656, 0.73126, 0.75222, 0.794141, 0.81081, 0.840299, 0.871512, 0.919619, 0.938599, 1.05972, 1.11037, 1.14118, 1.18891, 1.24347, 1.2667, 1.29368, 1.32966, 1.39192, 1.41832, 1.45517, 1.5043, 1.60256, 1.70592, 1.80928, 1.91264");
-            values ( \
-              "0.0562304, 0.0740204, 0.124447, 0.145069, 0.169205, 0.182016, 0.193625, 0.198967, 0.204403, 0.207812, 0.210359, 0.211649, 0.210959, 0.209468, 0.20507, 0.197447, 0.179264, 0.170242, 0.108497, 0.0855201, 0.0733336, 0.056995, 0.0420911, 0.0368738, 0.0315523, 0.025532, 0.0175386, 0.0149482, 0.0119406, 0.00881436, 0.00470721, 0.00241436, 0.00123481, 0.000630335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.631964, 0.713578, 0.814143, 0.883527, 0.919446, 0.967139, 1.02498, 1.04961, 1.09802, 1.16106, 1.20275, 1.24871, 1.29335, 1.38262, 1.41118, 1.46829, 1.5341, 1.63746, 1.7365, 1.92085, 2.01865, 2.08237, 2.17841, 2.28177, 2.32682, 2.40126, 2.48422, 2.58758, 2.67113, 2.72003, 2.81783, 2.92118, 3.02454, 3.1279, 3.23126, 3.33461, 3.43797, 3.64469");
-            values ( \
-              "0.0622824, 0.0641814, 0.113357, 0.143905, 0.157459, 0.1734, 0.189512, 0.195207, 0.204539, 0.213071, 0.216708, 0.219137, 0.219995, 0.218044, 0.216342, 0.211487, 0.20282, 0.182519, 0.157798, 0.110265, 0.0878851, 0.0751581, 0.0585349, 0.0441906, 0.0389178, 0.0315493, 0.0247587, 0.0182756, 0.0142143, 0.0123121, 0.00911769, 0.00668158, 0.00483155, 0.00354617, 0.00254443, 0.00187974, 0.00133275, 0.000693293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.389616, 0.399814, 0.404818, 0.430859, 0.439999, 0.447882, 0.455107, 0.462112, 0.469103, 0.482686, 0.491998, 0.50431, 0.513864, 0.524037, 0.539932, 0.557626, 0.562608");
-            values ( \
-              "0.0118298, 0.0138877, 0.0194627, 0.0648134, 0.0784817, 0.0879277, 0.0931628, 0.094387, 0.0876143, 0.051084, 0.0329156, 0.01761, 0.0104697, 0.00600025, 0.00258758, 0.00121429, 0.00104966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.413548, 0.430083, 0.463991, 0.476422, 0.48731, 0.49755, 0.507684, 0.51781, 0.534982, 0.552283, 0.570137, 0.583159, 0.601539, 0.626231, 0.640266");
-            values ( \
-              "0.01964, 0.0337791, 0.10051, 0.11984, 0.130857, 0.135897, 0.134007, 0.120932, 0.0801155, 0.0470992, 0.0254579, 0.0159159, 0.00841325, 0.00343346, 0.00251654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.455408, 0.47663, 0.510679, 0.52371, 0.542599, 0.550037, 0.559708, 0.566476, 0.576237, 0.588201, 0.592979, 0.601468, 0.611178, 0.648712, 0.670212, 0.687385, 0.700934, 0.715405, 0.733333, 0.742611, 0.756672, 0.775421, 0.808422, 0.829624");
-            values ( \
-              "0.0389104, 0.0549585, 0.120307, 0.14032, 0.161204, 0.16632, 0.170474, 0.172272, 0.172888, 0.16932, 0.166273, 0.157996, 0.144362, 0.0844353, 0.0574751, 0.0411692, 0.0311825, 0.0229332, 0.0154382, 0.0125656, 0.00916483, 0.00596578, 0.00271346, 0.00182381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.525499, 0.557604, 0.594667, 0.616023, 0.630008, 0.64795, 0.662617, 0.678477, 0.690051, 0.699429, 0.711381, 0.72335, 0.746347, 0.754534, 0.770397, 0.788612, 0.850878, 0.890244, 0.923727, 0.947824, 0.969781, 0.999056, 1.02171, 1.04668, 1.07997, 1.14656, 1.23865, 1.34201");
-            values ( \
-              "0.0676963, 0.0804283, 0.135219, 0.159335, 0.171654, 0.183832, 0.190776, 0.195205, 0.196661, 0.196983, 0.196453, 0.195135, 0.188996, 0.185405, 0.175733, 0.160825, 0.102001, 0.0703416, 0.0495378, 0.0379574, 0.0295663, 0.020939, 0.0159955, 0.011842, 0.00784616, 0.00331021, 0.000891543, 0.000212044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.629913, 0.67628, 0.710699, 0.739528, 0.760176, 0.786712, 0.807879, 0.821718, 0.833942, 0.85128, 0.867146, 0.888283, 0.89687, 0.914044, 0.93545, 0.956111, 0.997433, 1.0147, 1.04419, 1.07541, 1.12355, 1.26362, 1.29698, 1.34204, 1.37367, 1.40944, 1.45669, 1.47091, 1.49933, 1.55619, 1.6021, 1.61892, 1.65255, 1.71981, 1.82317, 1.92653, 2.02989, 2.13324");
-            values ( \
-              "0.0637409, 0.0722324, 0.10423, 0.129036, 0.144876, 0.162859, 0.174961, 0.181654, 0.186769, 0.192916, 0.19785, 0.203093, 0.204967, 0.207811, 0.210417, 0.211576, 0.211039, 0.2094, 0.205116, 0.197384, 0.1793, 0.108547, 0.0929683, 0.0745152, 0.0632176, 0.0520104, 0.0399806, 0.0367774, 0.0312792, 0.0222411, 0.0169325, 0.0152195, 0.0124629, 0.0081418, 0.00424756, 0.00212649, 0.00113702, 0.000531128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.855692, 0.953483, 1.04336, 1.10545, 1.19489, 1.25288, 1.30083, 1.36249, 1.40968, 1.47261, 1.49679, 1.54516, 1.61464, 1.70868, 1.73746, 1.79502, 1.8734, 2.09258, 2.26324, 2.38181, 2.47481, 2.54046, 2.61386, 2.69385, 2.7972, 2.95366, 3.05702, 3.16037, 3.36709, 3.57381, 3.88388");
-            values ( \
-              "0.0780699, 0.0823212, 0.125309, 0.151154, 0.18068, 0.195236, 0.204443, 0.212901, 0.216987, 0.219705, 0.220034, 0.219495, 0.21634, 0.207056, 0.202834, 0.192383, 0.174646, 0.117983, 0.079416, 0.0585459, 0.0454306, 0.0378219, 0.030688, 0.0243413, 0.0179077, 0.0111897, 0.00818445, 0.00594994, 0.00314417, 0.00165494, 0.000642416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.723774, 0.741256, 0.748486, 0.786488, 0.80146, 0.810491, 0.818985, 0.827443, 0.854333, 0.863502, 0.876926, 0.887781, 0.901083, 0.917795");
-            values ( \
-              "0.0104004, 0.010812, 0.0157804, 0.0568659, 0.0696444, 0.0754371, 0.078801, 0.0763538, 0.0278383, 0.0179266, 0.00915816, 0.00525005, 0.00288547, 0.00183237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.756235, 0.775507, 0.78351, 0.786947, 0.802969, 0.817437, 0.83588, 0.845593, 0.852332, 0.86436, 0.875904, 0.887424, 0.891914, 0.909089, 0.917462, 0.925212, 0.92923, 0.937265, 0.943541, 0.951146, 0.957696, 0.965723, 0.970627, 0.980434, 0.990002, 1.00124, 1.01329, 1.02867, 1.04852, 1.07747");
-            values ( \
-              "0.0201328, 0.0201464, 0.0279268, 0.0319996, 0.0535126, 0.0715365, 0.0932095, 0.103452, 0.109574, 0.117508, 0.119702, 0.111499, 0.103418, 0.068917, 0.0544831, 0.0430832, 0.0378631, 0.0289436, 0.0233263, 0.0179281, 0.0143591, 0.0109192, 0.00922056, 0.00653061, 0.0046212, 0.00307368, 0.00197933, 0.0011567, 0.000594702, 0.000261733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.805297, 0.828671, 0.848101, 0.894602, 0.915546, 0.92491, 0.940725, 0.951594, 0.96251, 0.975464, 0.980415, 0.989465, 0.999545, 1.035, 1.05593, 1.07365, 1.08709, 1.09937, 1.1148, 1.12734, 1.14089, 1.15895, 1.19215, 1.21545");
-            values ( \
-              "0.022849, 0.0259039, 0.0462065, 0.105861, 0.130324, 0.139482, 0.151434, 0.156698, 0.159526, 0.158642, 0.156883, 0.150561, 0.13924, 0.0871646, 0.0611166, 0.0438069, 0.0334768, 0.0259493, 0.0186158, 0.0141515, 0.0104874, 0.00697125, 0.00318842, 0.00187557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.906922, 0.95601, 1.02598, 1.06091, 1.07636, 1.09244, 1.10383, 1.11878, 1.13418, 1.14023, 1.15233, 1.17212, 1.19969, 1.26958, 1.31128, 1.3529, 1.37378, 1.39813, 1.43059, 1.45042, 1.48083, 1.52137, 1.60247, 1.70199");
-            values ( \
-              "0.0372958, 0.0642667, 0.140546, 0.168951, 0.17781, 0.183933, 0.18683, 0.188899, 0.189158, 0.189127, 0.187423, 0.181995, 0.165028, 0.101486, 0.0686093, 0.0442558, 0.035073, 0.0265343, 0.0180638, 0.0142522, 0.00986289, 0.00595049, 0.00199872, 0.00046001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.06126, 1.12159, 1.18299, 1.21577, 1.24579, 1.26904, 1.29379, 1.3129, 1.33583, 1.35278, 1.37538, 1.40119, 1.44732, 1.4601, 1.48565, 1.52091, 1.56612, 1.58806, 1.7093, 1.76003, 1.79075, 1.83833, 1.89271, 1.9436, 1.98005, 2.04178, 2.06792, 2.10411, 2.15238, 2.24891, 2.35227, 2.45563, 2.55898");
-            values ( \
-              "0.0597261, 0.0720486, 0.123487, 0.148021, 0.167041, 0.179028, 0.189061, 0.195433, 0.201527, 0.205017, 0.208373, 0.210426, 0.209922, 0.208782, 0.205301, 0.197107, 0.180384, 0.1701, 0.108447, 0.0854517, 0.0733158, 0.0570286, 0.0421647, 0.0314868, 0.0254069, 0.0175088, 0.0149456, 0.0119859, 0.00889872, 0.0048065, 0.00246912, 0.00125945, 0.000646404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.29663, 1.40945, 1.47753, 1.54964, 1.61291, 1.67261, 1.70425, 1.75243, 1.811, 1.82964, 1.86693, 1.94816, 2.05152, 2.06617, 2.09547, 2.14083, 2.18892, 2.2851, 2.39717, 2.57127, 2.64128, 2.73112, 2.79443, 2.86745, 2.96379, 3.05114, 3.13323, 3.23659, 3.32831, 3.38277, 3.48612, 3.58948, 3.69284, 3.7962, 3.89955, 4.00291, 4.31299");
-            values ( \
-              "0.0623004, 0.0843895, 0.117239, 0.148252, 0.170478, 0.187658, 0.195078, 0.204434, 0.212466, 0.214425, 0.217261, 0.220059, 0.217286, 0.216422, 0.21411, 0.209537, 0.202803, 0.184162, 0.156264, 0.111326, 0.0948856, 0.0763054, 0.0648902, 0.053374, 0.0409684, 0.0319948, 0.0251628, 0.018594, 0.0141014, 0.012023, 0.00873858, 0.00641394, 0.00462592, 0.00340588, 0.00243291, 0.00180769, 0.00065905" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0105213, 0.0105598, 0.0106029, 0.0106404, 0.0106663, 0.0106815", \
-            "0.0133841, 0.0134281, 0.013484, 0.0135383, 0.0135796, 0.0136053", \
-            "0.0153504, 0.0153759, 0.015416, 0.0154631, 0.0155046, 0.0155328", \
-            "0.0165859, 0.0165757, 0.0165707, 0.0165771, 0.0165919, 0.0166068", \
-            "0.0173154, 0.0172799, 0.0172323, 0.0171852, 0.0171539, 0.0171398", \
-            "0.0177038, 0.0176632, 0.01761, 0.0175457, 0.0174757, 0.0174206" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0158499, 0.0158712, 0.015905, 0.0159413, 0.0159692, 0.0159891", \
-            "0.0169987, 0.0169395, 0.016875, 0.0168222, 0.0167851, 0.0167626", \
-            "0.0164675, 0.0163452, 0.0161906, 0.0160422, 0.0159291, 0.0158584", \
-            "0.015948, 0.0157654, 0.0155376, 0.015317, 0.0151498, 0.0150428", \
-            "0.0155966, 0.0156267, 0.0154659, 0.015143, 0.0148535, 0.0146738", \
-            "0.0140976, 0.0141663, 0.0142892, 0.0149294, 0.0150197, 0.0146825" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.10797, 0.117873, 0.119267, 0.12066, 0.125936, 0.131218, 0.136496, 0.141299, 0.149377, 0.151155, 0.152932, 0.154709, 0.156486, 0.15811, 0.161357, 0.16298, 0.164515, 0.166049, 0.167584, 0.169119, 0.170161, 0.171204, 0.172247, 0.173289, 0.173748, 0.175123, 0.175873, 0.176624, 0.177375, 0.178125, 0.178956, 0.179786, 0.180616, 0.181446, 0.183894, 0.18471, 0.18761, 0.191089, 0.192306, 0.193523, 0.194335, 0.195958, 0.198859, 0.199873, 0.200887, 0.2019, 0.202914, 0.203928, 0.204941, 0.207007");
-            values ( \
-              "-0.000632643, -0.0258749, -0.0280067, -0.03051, -0.0429272, -0.055578, -0.0657122, -0.0745344, -0.0879585, -0.0906331, -0.0931803, -0.0956001, -0.0978925, -0.0998753, -0.103522, -0.105186, -0.106473, -0.107595, -0.108549, -0.109337, -0.10953, -0.109574, -0.109469, -0.109216, -0.109034, -0.10829, -0.107499, -0.106496, -0.105281, -0.103854, -0.102028, -0.0999441, -0.0976003, -0.0949973, -0.0856287, -0.0822382, -0.0687234, -0.052996, -0.0480309, -0.0434049, -0.0404971, -0.0350879, -0.0264439, -0.0240085, -0.0217534, -0.0197343, -0.0178585, -0.0161262, -0.0145373, -0.0117024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.122763, 0.147062, 0.154037, 0.163437, 0.183599, 0.194147, 0.203735, 0.212816, 0.219236, 0.221764, 0.226272, 0.231328, 0.246881, 0.255047, 0.260695, 0.269887, 0.276875, 0.284861, 0.295441, 0.30759, 0.321748, 0.354182");
-            values ( \
-              "-0.000982498, -0.0552432, -0.0727856, -0.0915142, -0.124468, -0.137691, -0.147329, -0.152727, -0.152744, -0.150917, -0.144993, -0.131665, -0.0690515, -0.0438016, -0.0311779, -0.0173178, -0.0109584, -0.00636794, -0.00311438, -0.00145356, -0.000668794, -0.000214928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.160914, 0.178222, 0.183185, 0.185666, 0.188147, 0.195147, 0.202146, 0.209297, 0.216448, 0.223599, 0.233374, 0.243789, 0.248021, 0.252745, 0.259463, 0.263445, 0.26926, 0.273387, 0.276924, 0.281286, 0.285648, 0.288256, 0.293471, 0.296079, 0.299614, 0.302665, 0.304538, 0.308285, 0.310143, 0.312002, 0.313861, 0.31572, 0.319437, 0.323155, 0.326872, 0.33059, 0.331989, 0.334788, 0.350761, 0.357471, 0.361925, 0.36638, 0.370835, 0.376487, 0.378858, 0.382414, 0.384785, 0.389527, 0.393314, 0.399417");
-            values ( \
-              "-0.018777, -0.04707, -0.0546705, -0.0587736, -0.0630786, -0.0762668, -0.0891132, -0.100794, -0.111699, -0.121998, -0.13522, -0.148327, -0.153351, -0.15865, -0.165333, -0.169003, -0.174065, -0.17746, -0.180123, -0.18286, -0.185286, -0.18659, -0.188864, -0.189835, -0.190311, -0.190473, -0.190458, -0.190169, -0.189273, -0.188159, -0.186825, -0.185273, -0.18151, -0.176872, -0.171356, -0.164965, -0.161909, -0.153821, -0.104817, -0.0858209, -0.0749919, -0.0651889, -0.056366, -0.0463215, -0.0424732, -0.0374384, -0.0345396, -0.0292561, -0.0255822, -0.0203175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.213591, 0.276961, 0.307944, 0.337778, 0.369712, 0.406075, 0.422967, 0.438021, 0.451359, 0.47267, 0.478474, 0.49008, 0.505368, 0.532381, 0.569049, 0.592609, 0.613509, 0.622826, 0.64146, 0.655135, 0.670252, 0.690409, 0.730722, 0.785709, 0.846698");
-            values ( \
-              "-0.0020558, -0.0732714, -0.109696, -0.139672, -0.167089, -0.192199, -0.202175, -0.209779, -0.215385, -0.221313, -0.222037, -0.222063, -0.217659, -0.188192, -0.118088, -0.0808918, -0.0560647, -0.0472897, -0.0333102, -0.0255869, -0.0190645, -0.0127918, -0.00544564, -0.00159789, -0.000396898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.378231, 0.434212, 0.500448, 0.550815, 0.612796, 0.67921, 0.737646, 0.791824, 0.843728, 0.895654, 0.947581, 1.04587, 1.09379, 1.14471, 1.19836, 1.26067, 1.32714, 1.36734");
-            values ( \
-              "-0.0575927, -0.0623386, -0.10741, -0.137874, -0.170698, -0.201081, -0.221915, -0.2354, -0.241472, -0.235382, -0.200999, -0.101463, -0.0671019, -0.0420307, -0.0250346, -0.0135238, -0.00678931, -0.00496582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.6702, 0.773298, 0.909466, 1.03121, 1.14368, 1.27477, 1.37629, 1.42021, 1.49848, 1.53792, 1.60194, 1.70346, 1.73908, 1.77627, 1.96508, 2.02273, 2.12736, 2.22976, 2.32791, 2.38396, 2.48548, 2.58699, 2.68851, 2.99307");
-            values ( \
-              "-0.0577344, -0.0596425, -0.10849, -0.147888, -0.180302, -0.211662, -0.230562, -0.237124, -0.246179, -0.249283, -0.251745, -0.24507, -0.23694, -0.223324, -0.12354, -0.0981273, -0.06247, -0.0391488, -0.0245759, -0.0187553, -0.0113389, -0.0068184, -0.0040825, -0.000871723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.127811, 0.153901, 0.167604, 0.181183, 0.187319, 0.191507, 0.196323, 0.199643, 0.21603, 0.222972, 0.229098, 0.234112, 0.243036, 0.243712");
-            values ( \
-              "-0.00576563, -0.0644236, -0.0876768, -0.10464, -0.108865, -0.109768, -0.10504, -0.096152, -0.029533, -0.0148134, -0.00777526, -0.00449523, -0.00159982, -0.00152889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.147939, 0.160583, 0.165721, 0.174947, 0.186486, 0.197193, 0.210089, 0.217282, 0.221195, 0.224783, 0.229567, 0.231487, 0.235326, 0.240399, 0.249396, 0.254105, 0.263023, 0.268498, 0.273208, 0.277483, 0.283183, 0.289302, 0.292706, 0.299515, 0.310414, 0.32166, 0.337004, 0.369607");
-            values ( \
-              "-0.0237913, -0.0442024, -0.0554311, -0.0777021, -0.0991944, -0.116817, -0.134471, -0.142542, -0.146284, -0.149163, -0.151952, -0.1527, -0.153207, -0.151069, -0.133796, -0.116041, -0.0785964, -0.059028, -0.0452101, -0.0350494, -0.0245059, -0.0165227, -0.0132504, -0.00836481, -0.00396615, -0.00189719, -0.000795336, -0.000258716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.172541, 0.196801, 0.206883, 0.220491, 0.24275, 0.252976, 0.271361, 0.278591, 0.288447, 0.293673, 0.304298, 0.312557, 0.319411, 0.333119, 0.337009, 0.342196, 0.350456, 0.372691, 0.38635, 0.392542, 0.400265, 0.410562, 0.417936, 0.429322, 0.444503, 0.471133, 0.498826, 0.528743");
-            values ( \
-              "-0.000334267, -0.0466345, -0.0629171, -0.088319, -0.122735, -0.136412, -0.158387, -0.165744, -0.174478, -0.178421, -0.184986, -0.188754, -0.190727, -0.189019, -0.186001, -0.179717, -0.162084, -0.0952269, -0.0623173, -0.0507077, -0.0388463, -0.0267873, -0.0204524, -0.0133789, -0.00746791, -0.00246887, -0.000850748, -0.000286319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.239107, 0.328045, 0.386585, 0.426168, 0.45713, 0.47047, 0.492024, 0.50869, 0.52447, 0.551475, 0.588162, 0.611654, 0.632602, 0.660626, 0.679273, 0.709412, 0.749597, 0.803839, 0.864807");
-            values ( \
-              "-0.00994857, -0.110864, -0.165434, -0.192916, -0.209869, -0.215432, -0.221428, -0.222171, -0.217683, -0.188233, -0.11808, -0.0809475, -0.0560512, -0.0332477, -0.0232245, -0.012798, -0.00548893, -0.00162281, -0.000423756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.400072, 0.467731, 0.524455, 0.580379, 0.652982, 0.698529, 0.756963, 0.811139, 0.863042, 0.914967, 0.966893, 1.06518, 1.1131, 1.16404, 1.21768, 1.27994, 1.34636, 1.3869");
-            values ( \
-              "-0.0554337, -0.071905, -0.110413, -0.143609, -0.18093, -0.201092, -0.221924, -0.235406, -0.241477, -0.235384, -0.201002, -0.101465, -0.067107, -0.0420224, -0.0250344, -0.0135305, -0.00679494, -0.00495509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.692428, 0.800903, 0.931687, 1.07858, 1.16306, 1.29418, 1.3957, 1.43964, 1.5179, 1.55723, 1.62136, 1.72288, 1.75851, 1.79569, 1.98449, 2.04215, 2.14678, 2.24917, 2.34733, 2.40338, 2.5049, 2.60642, 2.70793, 3.01249");
-            values ( \
-              "-0.0583144, -0.0625019, -0.109474, -0.156378, -0.180312, -0.211679, -0.230537, -0.237105, -0.246159, -0.249293, -0.251726, -0.245087, -0.236937, -0.223323, -0.12354, -0.0981252, -0.0624684, -0.0391489, -0.024575, -0.0187556, -0.0113377, -0.00681904, -0.00408155, -0.000872514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.172854, 0.178285, 0.18359, 0.192409, 0.198791, 0.209805, 0.21762, 0.222906, 0.230242, 0.233284, 0.236239, 0.239193, 0.24256, 0.253822, 0.258961, 0.2661, 0.271719, 0.27632, 0.285261, 0.2942, 0.301431");
-            values ( \
-              "-0.0187683, -0.0238282, -0.0336801, -0.0554631, -0.0679828, -0.0865125, -0.0973774, -0.1034, -0.108932, -0.109733, -0.108812, -0.105006, -0.0962436, -0.0466611, -0.029473, -0.0145141, -0.00804987, -0.00484103, -0.00174692, -0.00067376, -0.000620094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.195258, 0.207221, 0.21774, 0.223023, 0.227927, 0.233314, 0.238313, 0.242565, 0.24766, 0.252872, 0.257577, 0.262466, 0.266187, 0.269275, 0.273007, 0.274251, 0.275893, 0.279176, 0.281414, 0.283202, 0.284329, 0.286584, 0.288975, 0.292766, 0.29462, 0.300185, 0.307046, 0.309593, 0.313413, 0.318907, 0.322135, 0.324941, 0.327747, 0.332285");
-            values ( \
-              "-0.0496551, -0.0529894, -0.0774953, -0.0877752, -0.0968845, -0.106269, -0.114389, -0.120823, -0.127952, -0.134578, -0.139975, -0.145044, -0.148477, -0.150996, -0.152945, -0.153351, -0.153434, -0.152773, -0.15169, -0.150456, -0.149161, -0.145634, -0.140341, -0.129557, -0.122878, -0.101498, -0.0732764, -0.0642133, -0.0522376, -0.0375096, -0.0307641, -0.0259716, -0.0217815, -0.0161605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.222261, 0.243699, 0.270642, 0.285873, 0.302602, 0.314072, 0.331155, 0.346978, 0.353848, 0.362117, 0.376318, 0.382014, 0.387256, 0.393161, 0.420208, 0.435255, 0.450975, 0.465238, 0.474858, 0.494097, 0.511566");
-            values ( \
-              "-0.0189032, -0.0530422, -0.100618, -0.123286, -0.145201, -0.158424, -0.174503, -0.184986, -0.188223, -0.190754, -0.18876, -0.183624, -0.175755, -0.162042, -0.0824674, -0.0506227, -0.0291315, -0.017254, -0.0120259, -0.00562571, -0.00319113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.29359, 0.319543, 0.360981, 0.389444, 0.419435, 0.442109, 0.469148, 0.500114, 0.513473, 0.535014, 0.551755, 0.567493, 0.594506, 0.631186, 0.654716, 0.675639, 0.703625, 0.722309, 0.752499, 0.792753, 0.821528");
-            values ( \
-              "-0.0400756, -0.0514141, -0.0996852, -0.129543, -0.157032, -0.174642, -0.192763, -0.209743, -0.215323, -0.221337, -0.222097, -0.217621, -0.188202, -0.11809, -0.0809016, -0.0560433, -0.0332669, -0.0232213, -0.0127816, -0.0054787, -0.00342897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.44284, 0.51123, 0.567568, 0.624078, 0.696087, 0.741782, 0.800209, 0.854383, 0.906282, 0.958204, 1.01013, 1.10841, 1.15631, 1.20734, 1.26093, 1.32305, 1.38933, 1.43027");
-            values ( \
-              "-0.0541573, -0.0721144, -0.110361, -0.143884, -0.180886, -0.201115, -0.22194, -0.235425, -0.241485, -0.235396, -0.201003, -0.101468, -0.0671208, -0.0419978, -0.0250336, -0.013549, -0.00681324, -0.00495116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.736709, 0.843731, 0.975332, 1.12214, 1.20649, 1.33762, 1.43914, 1.48308, 1.56134, 1.60069, 1.6648, 1.76632, 1.80194, 1.83913, 2.02793, 2.08559, 2.19022, 2.29262, 2.39077, 2.44682, 2.54834, 2.64985, 2.75137, 3.05593");
-            values ( \
-              "-0.0594268, -0.0622949, -0.109546, -0.156417, -0.180313, -0.211682, -0.230537, -0.237105, -0.246158, -0.249296, -0.251725, -0.245089, -0.236938, -0.223324, -0.123539, -0.0981258, -0.0624687, -0.0391484, -0.0245751, -0.0187558, -0.0113379, -0.00681913, -0.00408163, -0.000872508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.266488, 0.276727, 0.283142, 0.290222, 0.295657, 0.308422, 0.315511, 0.322005, 0.328142, 0.334163, 0.338528, 0.34049, 0.350079, 0.356358, 0.361041, 0.364619, 0.36949, 0.375984, 0.384907, 0.394046, 0.413244");
-            values ( \
-              "-0.000886498, -0.0247362, -0.0371144, -0.0549582, -0.0660317, -0.087602, -0.0972497, -0.10459, -0.10888, -0.109114, -0.101876, -0.0964554, -0.053454, -0.0309708, -0.0197032, -0.0136382, -0.00814845, -0.00395268, -0.00142279, -0.000586572, -0.000459388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.286745, 0.293352, 0.297231, 0.303211, 0.306186, 0.312135, 0.316314, 0.324673, 0.331041, 0.342837, 0.347069, 0.35032, 0.353313, 0.358342, 0.362224, 0.362902, 0.364257, 0.366967, 0.371985, 0.378726, 0.380939, 0.385364, 0.390501, 0.397918, 0.402235, 0.403509, 0.406058, 0.411155, 0.414224, 0.41503, 0.416642, 0.419866, 0.426314, 0.429059, 0.43006, 0.432062, 0.436065, 0.444073, 0.454612, 0.455139");
-            values ( \
-              "-0.0228501, -0.0315991, -0.0369712, -0.048575, -0.0552595, -0.0699826, -0.0787606, -0.0951012, -0.105944, -0.124394, -0.130011, -0.134107, -0.137659, -0.143092, -0.14673, -0.147299, -0.148367, -0.150264, -0.152697, -0.152598, -0.150883, -0.14513, -0.13164, -0.101764, -0.0835766, -0.078664, -0.0690425, -0.0523123, -0.0437981, -0.0417877, -0.0379681, -0.0311874, -0.0206936, -0.0173225, -0.016249, -0.0142467, -0.0109493, -0.0063521, -0.0031187, -0.00304661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.312917, 0.336673, 0.349641, 0.360938, 0.381324, 0.391802, 0.402476, 0.411164, 0.427104, 0.435303, 0.444073, 0.451887, 0.459215, 0.473439, 0.478968, 0.484278, 0.490258, 0.51247, 0.517244, 0.526793, 0.532346, 0.539299, 0.54857, 0.555277, 0.562165, 0.571351, 0.589721, 0.616585, 0.644784, 0.674865");
-            values ( \
-              "-0.00132971, -0.0466529, -0.0683064, -0.089429, -0.121009, -0.135202, -0.148591, -0.158421, -0.173568, -0.179685, -0.185002, -0.18858, -0.190759, -0.188746, -0.183807, -0.175887, -0.162061, -0.0952089, -0.0826363, -0.0609383, -0.0506252, -0.0398359, -0.0286213, -0.022375, -0.0173516, -0.0123194, -0.0059662, -0.00199977, -0.00065004, -0.000268467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.372597, 0.431541, 0.462644, 0.493474, 0.529707, 0.565488, 0.596641, 0.610003, 0.631516, 0.63712, 0.64833, 0.664016, 0.691027, 0.727707, 0.751233, 0.772159, 0.781488, 0.800148, 0.813797, 0.828889, 0.849013, 0.889259, 0.944167, 1.00512");
-            values ( \
-              "-0.00272046, -0.068614, -0.10564, -0.136981, -0.168148, -0.192651, -0.209741, -0.215393, -0.221326, -0.222042, -0.222085, -0.217668, -0.188183, -0.118068, -0.0809271, -0.0560645, -0.0472784, -0.033285, -0.0255807, -0.0190693, -0.0128034, -0.00545954, -0.00160532, -0.000399322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.498203, 0.577986, 0.701221, 0.754262, 0.813657, 0.869683, 0.896507, 0.950679, 1.00258, 1.0545, 1.10642, 1.18083, 1.22067, 1.26436, 1.30366, 1.35722, 1.3862, 1.41932, 1.48556, 1.58708, 1.68859");
-            values ( \
-              "-0.00934624, -0.0527167, -0.133114, -0.161856, -0.190714, -0.213149, -0.221931, -0.23544, -0.241474, -0.23541, -0.200991, -0.122771, -0.0888026, -0.0603994, -0.041987, -0.0250285, -0.0188592, -0.013547, -0.00682383, -0.00219144, -0.000721435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.852127, 0.972472, 1.08966, 1.22161, 1.30303, 1.37709, 1.43439, 1.53591, 1.57982, 1.65811, 1.69759, 1.76157, 1.86309, 1.89875, 1.93592, 2.1247, 2.18238, 2.25929, 2.287, 2.33898, 2.38935, 2.4595, 2.48754, 2.54363, 2.64515, 2.74667, 2.84819, 2.94971, 3.15274");
-            values ( \
-              "-0.0709288, -0.0736343, -0.115422, -0.157189, -0.18022, -0.198856, -0.211653, -0.230537, -0.237101, -0.246159, -0.249283, -0.251729, -0.245072, -0.236923, -0.223311, -0.123544, -0.0981192, -0.0705908, -0.0624659, -0.0494229, -0.0391564, -0.0280902, -0.0245757, -0.0187514, -0.0113363, -0.00681705, -0.0040815, -0.00244157, -0.000871646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.47282, 0.481453, 0.48421, 0.48731, 0.489491, 0.490969, 0.491708, 0.495402, 0.499836, 0.503047, 0.507863, 0.512526, 0.51716, 0.521795, 0.525752, 0.52971, 0.533257, 0.536805, 0.538456, 0.540107, 0.541758, 0.543409, 0.544991, 0.546573, 0.548156, 0.549738, 0.550047, 0.550279, 0.550381, 0.550892, 0.551493, 0.552324, 0.552989, 0.554319, 0.554984, 0.555649, 0.556454, 0.558064, 0.559493, 0.561785, 0.565559, 0.567526, 0.569887, 0.572179, 0.573971, 0.575167, 0.576362, 0.578544, 0.580726, 0.582783");
-            values ( \
-              "-0.0146474, -0.0157556, -0.0184445, -0.0218555, -0.0245012, -0.0265904, -0.0278217, -0.0342966, -0.0427671, -0.0484816, -0.0565321, -0.0640622, -0.0711655, -0.07796, -0.0835173, -0.0888494, -0.0932665, -0.0973964, -0.0988331, -0.100061, -0.101081, -0.101893, -0.102474, -0.102864, -0.103061, -0.103067, -0.102786, -0.1027, -0.102447, -0.102113, -0.101511, -0.100402, -0.0993766, -0.0969943, -0.0956372, -0.0941696, -0.0920416, -0.0864548, -0.0808012, -0.0712867, -0.0549447, -0.0471805, -0.0389801, -0.0319321, -0.0267448, -0.0239719, -0.0214217, -0.0173988, -0.0140017, -0.0113303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.495177, 0.507259, 0.510524, 0.513413, 0.514858, 0.517051, 0.517782, 0.530079, 0.538404, 0.54653, 0.548441, 0.550351, 0.552694, 0.553865, 0.555036, 0.556048, 0.559082, 0.564313, 0.570015, 0.57429, 0.583091, 0.584635, 0.586178, 0.587722, 0.589265, 0.591144, 0.593023, 0.594901, 0.59678, 0.598407, 0.599221, 0.600848, 0.601661, 0.603272, 0.604882, 0.606493, 0.608103, 0.60997, 0.611836, 0.615568, 0.621238, 0.623161, 0.626365, 0.629701, 0.634453, 0.637695, 0.639156, 0.642077, 0.644349, 0.647917");
-            values ( \
-              "-0.0260048, -0.0270423, -0.0315436, -0.0358383, -0.0380948, -0.0419045, -0.0432417, -0.0684345, -0.0836404, -0.0974887, -0.102782, -0.109167, -0.110607, -0.11154, -0.112614, -0.113732, -0.117416, -0.124924, -0.132412, -0.137374, -0.146621, -0.147769, -0.148697, -0.149404, -0.149892, -0.150187, -0.150157, -0.1498, -0.149116, -0.148255, -0.147325, -0.145015, -0.143634, -0.140163, -0.1363, -0.132045, -0.1274, -0.121177, -0.114662, -0.100756, -0.0777031, -0.0704165, -0.05968, -0.0497619, -0.0372906, -0.0306113, -0.0280638, -0.0234541, -0.0203627, -0.0160616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.534239, 0.55153, 0.555155, 0.561066, 0.563353, 0.576775, 0.582983, 0.588975, 0.592897, 0.600741, 0.610559, 0.619557, 0.624466, 0.629157, 0.63652, 0.640469, 0.646359, 0.651789, 0.654888, 0.657893, 0.660803, 0.664934, 0.670287, 0.672963, 0.675209, 0.677455, 0.681213, 0.68497, 0.686834, 0.688697, 0.690561, 0.692425, 0.696152, 0.69988, 0.703607, 0.707334, 0.70872, 0.711493, 0.727454, 0.734294, 0.738843, 0.743393, 0.747942, 0.751946, 0.755127, 0.758308, 0.762549, 0.766791, 0.772021, 0.776038");
-            values ( \
-              "-0.0262944, -0.0396288, -0.0449223, -0.0545832, -0.0585821, -0.0842753, -0.0948191, -0.10423, -0.110113, -0.12141, -0.1347, -0.146154, -0.151989, -0.157349, -0.164755, -0.168412, -0.173616, -0.17783, -0.18002, -0.181977, -0.183703, -0.185892, -0.188272, -0.18927, -0.189617, -0.189839, -0.189932, -0.189676, -0.188801, -0.187706, -0.186392, -0.184859, -0.181135, -0.176533, -0.171055, -0.164699, -0.161693, -0.153722, -0.104888, -0.085565, -0.0745959, -0.0646372, -0.0556888, -0.0485796, -0.0432305, -0.0385472, -0.0333661, -0.0287341, -0.0238526, -0.0203656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.61119, 0.63923, 0.679598, 0.711085, 0.733282, 0.768098, 0.798876, 0.813927, 0.827287, 0.848786, 0.854402, 0.865633, 0.881296, 0.908305, 0.944986, 0.968506, 0.989435, 0.998766, 1.01743, 1.03107, 1.04616, 1.06628, 1.10652, 1.16141, 1.22226");
-            values ( \
-              "-0.0487674, -0.0577617, -0.105272, -0.137289, -0.157097, -0.183196, -0.202185, -0.209779, -0.215401, -0.221354, -0.222047, -0.222108, -0.21767, -0.1882, -0.118076, -0.0809299, -0.0560626, -0.0472813, -0.0332797, -0.0255839, -0.0190737, -0.0128027, -0.00546471, -0.00160385, -0.000403726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.739379, 0.795161, 0.918309, 0.98555, 1.04631, 1.10084, 1.13571, 1.16648, 1.21839, 1.2703, 1.32222, 1.42049, 1.46831, 1.51964, 1.57305, 1.63475, 1.70057, 1.75962");
-            values ( \
-              "-0.03502, -0.0537639, -0.133985, -0.169917, -0.198083, -0.218443, -0.228602, -0.235465, -0.241549, -0.235403, -0.201047, -0.101496, -0.0671832, -0.0419073, -0.0250187, -0.0135965, -0.00688236, -0.00416438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("1.05429, 1.16406, 1.28753, 1.43341, 1.51795, 1.6491, 1.75062, 1.7946, 1.87284, 1.91204, 1.9763, 2.07782, 2.11351, 2.15067, 2.33943, 2.39713, 2.50175, 2.60407, 2.70228, 2.75839, 2.85991, 2.96143, 3.06295, 3.3675");
-            values ( \
-              "-0.0639866, -0.0653486, -0.109754, -0.15632, -0.180277, -0.211658, -0.230506, -0.237082, -0.246137, -0.249276, -0.251708, -0.245086, -0.236914, -0.223305, -0.123544, -0.0981142, -0.0624629, -0.0391591, -0.0245748, -0.0187504, -0.0113342, -0.00681725, -0.00408019, -0.00087244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.881142, 0.883648, 0.89362, 0.909067, 0.919183, 0.925443, 0.941434, 0.953334, 0.963414, 0.972377, 0.980627, 0.9871, 0.988522, 0.991368, 0.995082, 0.996416, 0.999084, 1.01096, 1.01499, 1.01974, 1.02572, 1.02954, 1.03391, 1.04264, 1.05194, 1.06134, 1.07264");
-            values ( \
-              "-0.00497863, -0.00545503, -0.00846074, -0.0162622, -0.0248233, -0.0325222, -0.049373, -0.060753, -0.0694996, -0.076616, -0.0818819, -0.0845952, -0.084606, -0.0842646, -0.0809266, -0.0789044, -0.0730468, -0.0369807, -0.0270235, -0.0180673, -0.0104628, -0.00730224, -0.0047603, -0.00196806, -0.000829768, -0.000468371, -0.000380698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.906679, 0.932386, 0.944577, 0.957115, 0.986131, 1.01351, 1.03334, 1.03859, 1.04642, 1.04922, 1.05446, 1.0601, 1.08572, 1.09256, 1.10453, 1.11492, 1.12573, 1.14016, 1.14365");
-            values ( \
-              "-0.00241902, -0.0188396, -0.0279086, -0.0416062, -0.0773098, -0.106176, -0.123838, -0.127249, -0.130074, -0.129799, -0.126768, -0.117569, -0.0413758, -0.0281514, -0.0136087, -0.00704818, -0.00354705, -0.00143604, -0.00131608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.975298, 0.996736, 1.00545, 1.01512, 1.01996, 1.0277, 1.0359, 1.05377, 1.06877, 1.08423, 1.0934, 1.10175, 1.10985, 1.11608, 1.12078, 1.127, 1.12907, 1.13521, 1.14567, 1.14771, 1.14975, 1.15179, 1.15383, 1.15583, 1.15783, 1.16183, 1.16578, 1.16974, 1.1737, 1.17765, 1.17897, 1.1816, 1.19673, 1.20357, 1.20841, 1.21283, 1.21445, 1.21607, 1.21745, 1.21882, 1.2202, 1.22191, 1.2229, 1.22555, 1.2282, 1.23085, 1.2335, 1.23615, 1.24145, 1.24876");
-            values ( \
-              "-0.0302562, -0.0309527, -0.0387228, -0.0483526, -0.0540864, -0.0640827, -0.0741634, -0.0947843, -0.111386, -0.128129, -0.137609, -0.145789, -0.153233, -0.158453, -0.162046, -0.16624, -0.16753, -0.170868, -0.176262, -0.176649, -0.176818, -0.176769, -0.176501, -0.176027, -0.175343, -0.173346, -0.170535, -0.166896, -0.16243, -0.157135, -0.154783, -0.148253, -0.106363, -0.0881917, -0.0766504, -0.0673998, -0.0606279, -0.0524299, -0.0526301, -0.052399, -0.0517368, -0.0503158, -0.0491838, -0.0446702, -0.0408329, -0.0372815, -0.034143, -0.0312057, -0.025935, -0.0198757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.06085, 1.10064, 1.10723, 1.12042, 1.15647, 1.19637, 1.22665, 1.25004, 1.28173, 1.2972, 1.31072, 1.33256, 1.33824, 1.34961, 1.36543, 1.39271, 1.42881, 1.44423, 1.46979, 1.48261, 1.49551, 1.51391, 1.52178, 1.53752, 1.56901, 1.62013, 1.6778");
-            values ( \
-              "-0.0192886, -0.0403893, -0.0457896, -0.0574095, -0.0947542, -0.133126, -0.15916, -0.176815, -0.197401, -0.205682, -0.211726, -0.2184, -0.21925, -0.219668, -0.215697, -0.187044, -0.118883, -0.0934426, -0.0603677, -0.0478928, -0.0376866, -0.0264903, -0.0227632, -0.0167083, -0.00879215, -0.00284178, -0.000788898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.22765, 1.2771, 1.40175, 1.4721, 1.53856, 1.59703, 1.65124, 1.70316, 1.7551, 1.80704, 1.90537, 1.95342, 2.00376, 2.05776, 2.12091, 2.18826, 2.24691");
-            values ( \
-              "-0.0486287, -0.0514859, -0.132824, -0.170464, -0.200931, -0.221806, -0.235296, -0.241414, -0.235321, -0.20098, -0.101432, -0.0670016, -0.0421966, -0.0250525, -0.013422, -0.00666451, -0.00405604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.509, 1.61425, 1.76557, 1.88725, 1.99961, 2.13082, 2.23233, 2.2762, 2.35449, 2.39413, 2.45794, 2.55946, 2.595, 2.63222, 2.82109, 2.87868, 2.98332, 3.08584, 3.1839, 3.23987, 3.34138, 3.4429, 3.54442, 3.84898");
-            values ( \
-              "-0.0435655, -0.054519, -0.108582, -0.147931, -0.180335, -0.211715, -0.230583, -0.237135, -0.24619, -0.249328, -0.25175, -0.245092, -0.236972, -0.22335, -0.123533, -0.0981422, -0.062477, -0.0391333, -0.0245755, -0.0187632, -0.0113431, -0.00682153, -0.0040838, -0.000872418" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00947861, 0.0094956, 0.0095161, 0.00953529, 0.00954928, 0.00955778", \
-            "0.0110661, 0.0110779, 0.0110943, 0.0111119, 0.0111265, 0.0111363", \
-            "0.0120089, 0.0120132, 0.012021, 0.0120317, 0.0120425, 0.0120507", \
-            "0.0125788, 0.0125774, 0.0125764, 0.0125772, 0.0125801, 0.0125838", \
-            "0.0128836, 0.0128769, 0.0128715, 0.0128649, 0.0128596, 0.0128571", \
-            "0.0130593, 0.0130542, 0.013046, 0.0130349, 0.0130231, 0.0130138" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0130772, 0.0130842, 0.0130975, 0.0131097, 0.0131205, 0.0131288", \
-            "0.0143926, 0.0143846, 0.0143774, 0.0143721, 0.0143685, 0.0143673", \
-            "0.0152346, 0.0152009, 0.0151599, 0.0151218, 0.0150942, 0.0150778", \
-            "0.0159309, 0.0158682, 0.0157905, 0.015715, 0.0156541, 0.0156121", \
-            "0.0165721, 0.0164671, 0.0163183, 0.0161669, 0.0160473, 0.0159692", \
-            "0.0170279, 0.0169313, 0.0167722, 0.0165328, 0.0163071, 0.0161535" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.132529, 0.169045, 0.242286, 0.3915, 0.699583, 1.33742", \
-            "0.137128, 0.173753, 0.246968, 0.396315, 0.704428, 1.34259", \
-            "0.147616, 0.184231, 0.257539, 0.407064, 0.715159, 1.35358", \
-            "0.171037, 0.207716, 0.281174, 0.430872, 0.739247, 1.3774", \
-            "0.208145, 0.25087, 0.33125, 0.484314, 0.793247, 1.43127", \
-            "0.242499, 0.296182, 0.395676, 0.577813, 0.909607, 1.55106" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0425723, 0.0649177, 0.110627, 0.205727, 0.401651, 0.809004", \
-            "0.0426611, 0.0649145, 0.110728, 0.205786, 0.401719, 0.808911", \
-            "0.0425764, 0.064899, 0.110661, 0.205666, 0.401744, 0.808925", \
-            "0.0427599, 0.0649107, 0.110611, 0.205611, 0.401613, 0.809027", \
-            "0.0465914, 0.0682582, 0.112036, 0.205798, 0.401517, 0.80882", \
-            "0.0548758, 0.0767042, 0.120552, 0.212013, 0.402906, 0.808912" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.151236, 0.192214, 0.273952, 0.440016, 0.780538, 1.48732", \
-            "0.15582, 0.196875, 0.27882, 0.44524, 0.785905, 1.49281", \
-            "0.167748, 0.208746, 0.290742, 0.457164, 0.798311, 1.50541", \
-            "0.197535, 0.238122, 0.319608, 0.485693, 0.826682, 1.53383", \
-            "0.261503, 0.304657, 0.386995, 0.552254, 0.891997, 1.59814", \
-            "0.364327, 0.419745, 0.520937, 0.702814, 1.0439, 1.74682" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0401417, 0.0594402, 0.0985643, 0.177395, 0.339893, 0.675878", \
-            "0.0401351, 0.0595022, 0.0984428, 0.177332, 0.339882, 0.675882", \
-            "0.0400935, 0.0594321, 0.0984137, 0.177444, 0.339854, 0.675879", \
-            "0.0401628, 0.0594577, 0.0984215, 0.177408, 0.339849, 0.675913", \
-            "0.0439146, 0.0615792, 0.0990723, 0.17739, 0.33958, 0.675938", \
-            "0.0549656, 0.0739565, 0.110157, 0.181371, 0.340068, 0.675793" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0955895, 0.10344, 0.113944, 0.123173, 0.130028, 0.13492, 0.142756, 0.14655, 0.150455, 0.156908, 0.168244, 0.179178, 0.190946, 0.19634, 0.204262, 0.212715, 0.218794, 0.224869, 0.248811, 0.251448");
-            values ( \
-              "0.0125634, 0.031319, 0.060685, 0.0807409, 0.0917116, 0.0973266, 0.102116, 0.102385, 0.100808, 0.0916085, 0.0572655, 0.0337473, 0.0183575, 0.0137364, 0.00883634, 0.00556658, 0.00409468, 0.00313326, 0.00119455, 0.00110505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.117435, 0.131017, 0.134635, 0.137989, 0.140138, 0.144436, 0.146957, 0.149477, 0.151997, 0.154518, 0.157038, 0.160705, 0.164022, 0.167089, 0.169962, 0.172836, 0.174752, 0.176667, 0.178583, 0.180788, 0.184094, 0.185196, 0.186561, 0.187925, 0.189289, 0.190654, 0.191897, 0.19314, 0.195627, 0.198434, 0.201241, 0.209058, 0.216583, 0.219883, 0.223202, 0.227887, 0.232412, 0.237543, 0.241167, 0.244721, 0.247068, 0.249415, 0.251762, 0.256121, 0.259843, 0.263566, 0.266597, 0.269628, 0.276127, 0.280817");
-            values ( \
-              "0.0709817, 0.0738873, 0.0831845, 0.0912271, 0.0960858, 0.105116, 0.109621, 0.113859, 0.117831, 0.121536, 0.124976, 0.129477, 0.132864, 0.135442, 0.137143, 0.138432, 0.139062, 0.139509, 0.139773, 0.139528, 0.138792, 0.138448, 0.137833, 0.137085, 0.136205, 0.135192, 0.133996, 0.132631, 0.129396, 0.124422, 0.118899, 0.100626, 0.0825524, 0.0751108, 0.068126, 0.0593118, 0.0515477, 0.0432334, 0.0383945, 0.0340616, 0.03142, 0.0289499, 0.0266511, 0.0227439, 0.0197713, 0.0172529, 0.0155722, 0.0140225, 0.011187, 0.00934467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.138938, 0.150447, 0.178839, 0.190963, 0.201189, 0.217769, 0.224004, 0.237028, 0.244923, 0.25376, 0.260335, 0.265395, 0.27064, 0.277421, 0.289044, 0.323083, 0.339366, 0.349734, 0.364545, 0.376555, 0.386371, 0.398031, 0.414508, 0.425234, 0.445346, 0.472163, 0.511346, 0.561657");
-            values ( \
-              "0.0494221, 0.04962, 0.109453, 0.129862, 0.143609, 0.159766, 0.163826, 0.169572, 0.170944, 0.17108, 0.169421, 0.167563, 0.164239, 0.158391, 0.143115, 0.0890477, 0.0673746, 0.055656, 0.0417537, 0.0327649, 0.0267093, 0.0207575, 0.0145123, 0.0113981, 0.00725752, 0.00395949, 0.00151151, 0.000481502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.172531, 0.20255, 0.266126, 0.296232, 0.314594, 0.324602, 0.340615, 0.361284, 0.372163, 0.387762, 0.403196, 0.429548, 0.446084, 0.46971, 0.539683, 0.567312, 0.600653, 0.627177, 0.649218, 0.664591, 0.695336, 0.709751, 0.742369, 0.779647, 0.854203, 0.86158");
-            values ( \
-              "0.013011, 0.0384595, 0.125702, 0.156131, 0.170184, 0.176269, 0.183858, 0.189597, 0.19111, 0.191636, 0.190479, 0.184089, 0.175984, 0.158533, 0.0950514, 0.0733792, 0.0521959, 0.0391058, 0.0305316, 0.0255481, 0.0177985, 0.0149589, 0.0101368, 0.00636675, 0.00240761, 0.00226882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.296622, 0.34449, 0.392675, 0.43978, 0.475844, 0.501746, 0.523329, 0.543998, 0.56774, 0.589043, 0.620869, 0.650552, 0.697295, 0.711061, 0.738594, 0.773171, 0.817703, 0.877049, 0.96309, 1.01349, 1.04525, 1.09422, 1.15019, 1.19595, 1.2287, 1.29418, 1.36539, 1.42182, 1.52867, 1.63552, 1.74237, 1.84922");
-            values ( \
-              "0.0465243, 0.0569364, 0.0972159, 0.132412, 0.155299, 0.168683, 0.177963, 0.18535, 0.192094, 0.19695, 0.201952, 0.20457, 0.204642, 0.203786, 0.200599, 0.193581, 0.178489, 0.150813, 0.108023, 0.0855389, 0.0730814, 0.0565469, 0.0414578, 0.0319156, 0.0263693, 0.0177701, 0.0115322, 0.00814789, 0.00408901, 0.00206051, 0.00101576, 0.00051959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.539388, 0.652413, 0.746539, 0.817277, 0.885768, 0.973006, 1.04068, 1.10415, 1.18998, 1.22718, 1.28796, 1.3484, 1.44125, 1.47414, 1.53822, 1.61205, 1.89489, 2.00174, 2.13076, 2.23282, 2.33736, 2.41055, 2.5174, 2.6237, 2.79593, 2.90278, 3.11648, 3.33018, 3.65073");
-            values ( \
-              "0.0607981, 0.0749902, 0.115528, 0.142362, 0.164065, 0.185716, 0.19778, 0.205753, 0.211748, 0.212887, 0.212902, 0.21094, 0.203491, 0.199314, 0.188602, 0.172776, 0.102619, 0.0796502, 0.0572473, 0.0432992, 0.0322669, 0.0261612, 0.0190618, 0.0139299, 0.00827794, 0.00593747, 0.00306558, 0.0015735, 0.000606347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.121929, 0.133945, 0.135421, 0.138374, 0.140941, 0.143507, 0.145218, 0.146928, 0.149763, 0.151578, 0.153654, 0.154934, 0.156214, 0.157495, 0.158775, 0.160055, 0.161335, 0.163405, 0.165475, 0.166396, 0.167316, 0.169158, 0.170773, 0.172388, 0.174004, 0.175978, 0.176696, 0.177413, 0.182447, 0.186129, 0.186968, 0.188644, 0.190321, 0.191676, 0.19574, 0.197886, 0.200603, 0.201785, 0.203973, 0.205432, 0.208349, 0.209808, 0.212612, 0.215724, 0.217392, 0.219346, 0.222707, 0.225215, 0.227722, 0.232035");
-            values ( \
-              "0.0606005, 0.0637079, 0.0672212, 0.0737576, 0.0787124, 0.0832917, 0.0861359, 0.0888132, 0.0928637, 0.095108, 0.0973494, 0.0984458, 0.0994102, 0.100242, 0.100943, 0.101511, 0.101947, 0.102053, 0.101856, 0.101456, 0.100933, 0.0995212, 0.0978803, 0.0958633, 0.0934704, 0.0900277, 0.0882178, 0.086058, 0.0702745, 0.0592147, 0.0567509, 0.0525949, 0.0486774, 0.0457498, 0.0376471, 0.0337798, 0.0293379, 0.0275969, 0.024688, 0.0229116, 0.0196762, 0.0182171, 0.015659, 0.0131171, 0.0119321, 0.0106976, 0.00887644, 0.00777087, 0.00679077, 0.00538006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.125053, 0.151058, 0.160842, 0.167905, 0.179129, 0.189338, 0.199209, 0.20906, 0.215229, 0.219683, 0.239443, 0.251742, 0.257115, 0.262988, 0.27084, 0.278294, 0.287713, 0.295683, 0.307912, 0.318352, 0.32987, 0.357081, 0.363015");
-            values ( \
-              "0.00605909, 0.0780499, 0.100694, 0.113921, 0.129615, 0.137537, 0.139902, 0.136175, 0.128631, 0.119855, 0.0729234, 0.0499985, 0.0419658, 0.0343778, 0.0260577, 0.0199414, 0.0142298, 0.0107195, 0.00689974, 0.004691, 0.00305063, 0.00112183, 0.000954467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.144188, 0.197535, 0.220015, 0.235206, 0.245706, 0.255915, 0.263722, 0.272654, 0.284205, 0.29623, 0.304892, 0.339634, 0.356719, 0.376548, 0.389293, 0.409107, 0.419832, 0.440917, 0.4529, 0.476866, 0.510195, 0.521142");
-            values ( \
-              "1.17901e-05, 0.108519, 0.143131, 0.158304, 0.165097, 0.169316, 0.170824, 0.170899, 0.167481, 0.158418, 0.147612, 0.0925664, 0.0692483, 0.0478939, 0.0371391, 0.0246071, 0.0195483, 0.0122961, 0.00940749, 0.00544295, 0.00248261, 0.00207564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.18993, 0.232054, 0.257145, 0.273975, 0.284691, 0.304906, 0.31454, 0.325316, 0.338363, 0.346529, 0.359236, 0.3698, 0.377681, 0.38876, 0.39493, 0.403156, 0.419608, 0.426353, 0.435347, 0.453662, 0.485796, 0.542866, 0.563146, 0.589135, 0.610739, 0.627392, 0.65021, 0.669034, 0.690248, 0.720283, 0.733432, 0.751064, 0.774573, 0.821592, 0.910284, 1.01506");
-            values ( \
-              "0.00363764, 0.0527561, 0.089283, 0.112367, 0.12538, 0.147094, 0.155669, 0.164423, 0.173374, 0.178008, 0.183747, 0.187241, 0.189121, 0.190859, 0.191377, 0.191618, 0.190724, 0.18977, 0.187928, 0.181751, 0.160898, 0.108555, 0.0910483, 0.0711529, 0.0571517, 0.0478948, 0.0372546, 0.0301236, 0.0235699, 0.0165013, 0.0141092, 0.0114185, 0.00858088, 0.00476115, 0.00140395, 0.000304692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.322065, 0.387451, 0.436663, 0.474036, 0.505962, 0.542027, 0.562252, 0.586074, 0.607757, 0.639601, 0.669281, 0.715717, 0.729797, 0.757957, 0.791912, 0.835933, 0.860046, 1.00607, 1.05807, 1.1002, 1.13282, 1.178, 1.21541, 1.25717, 1.31635, 1.37686, 1.42305, 1.51543, 1.62228, 1.72913, 1.83598");
-            values ( \
-              "0.0490678, 0.0771131, 0.116604, 0.142707, 0.161427, 0.177932, 0.185107, 0.191941, 0.19688, 0.201918, 0.204521, 0.204643, 0.20375, 0.200499, 0.193554, 0.178701, 0.16811, 0.0968611, 0.0752793, 0.060541, 0.0507631, 0.0394181, 0.0318094, 0.0248978, 0.0174357, 0.0120815, 0.00909435, 0.00505843, 0.00253949, 0.00126559, 0.000634442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.558726, 0.671862, 0.763811, 0.836606, 0.902704, 0.984709, 1.03732, 1.09746, 1.12334, 1.17512, 1.24628, 1.35313, 1.36787, 1.39734, 1.44406, 1.49322, 1.59154, 1.70426, 1.87812, 1.94894, 2.0396, 2.10357, 2.17664, 2.27307, 2.36016, 2.44246, 2.54931, 2.63682, 2.78816, 2.89501, 3.10871, 3.32241, 3.64296");
-            values ( \
-              "0.0599997, 0.0752331, 0.11491, 0.14256, 0.16355, 0.184194, 0.194261, 0.202946, 0.205759, 0.209988, 0.212858, 0.211669, 0.210953, 0.209213, 0.205243, 0.199321, 0.181719, 0.154889, 0.111056, 0.0947085, 0.0760825, 0.0646475, 0.0532629, 0.0408594, 0.0319488, 0.0251719, 0.0183699, 0.0141534, 0.00895962, 0.00647104, 0.00335448, 0.00173378, 0.000635569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.15452, 0.161829, 0.182975, 0.190537, 0.197347, 0.203834, 0.210279, 0.216721, 0.228048, 0.238958, 0.251316, 0.262857, 0.275775, 0.30042, 0.306567");
-            values ( \
-              "0.0147515, 0.0276148, 0.0805554, 0.093019, 0.0994009, 0.102663, 0.10085, 0.0917912, 0.0573008, 0.0338087, 0.0178096, 0.00945272, 0.00469401, 0.00171452, 0.00146381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.166155, 0.194578, 0.20177, 0.209179, 0.220398, 0.230603, 0.240471, 0.25032, 0.2566, 0.260943, 0.280698, 0.292995, 0.29838, 0.30425, 0.312098, 0.319545, 0.3289, 0.336817, 0.349405, 0.359791, 0.371282, 0.398385, 0.417283, 0.483726");
-            values ( \
-              "0.005147, 0.0838469, 0.0999543, 0.114053, 0.1296, 0.137634, 0.139876, 0.136248, 0.128462, 0.11986, 0.0729351, 0.0500077, 0.0419548, 0.0343733, 0.0260561, 0.0199454, 0.0142668, 0.0107673, 0.00683955, 0.00465979, 0.00303226, 0.00111949, 0.000588118, 0.000110071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.191632, 0.210207, 0.232394, 0.251818, 0.261272, 0.275566, 0.288654, 0.297147, 0.307384, 0.313836, 0.319643, 0.32478, 0.330749, 0.337298, 0.349156, 0.379272, 0.404288, 0.42287, 0.435981, 0.447835, 0.463641, 0.47634, 0.490437, 0.509233, 0.540886, 0.579972, 0.63209");
-            values ( \
-              "0.0127466, 0.0486197, 0.0967329, 0.130806, 0.143368, 0.157846, 0.166142, 0.169407, 0.171148, 0.170894, 0.169703, 0.167766, 0.164271, 0.158533, 0.14306, 0.0949031, 0.061742, 0.0433113, 0.0331966, 0.0259263, 0.0184249, 0.0139476, 0.0102103, 0.00666585, 0.00318785, 0.0012527, 0.000360563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.234384, 0.274039, 0.298913, 0.315856, 0.326368, 0.34644, 0.356003, 0.366937, 0.380387, 0.38862, 0.400837, 0.411142, 0.418983, 0.432411, 0.43729, 0.447048, 0.463409, 0.490715, 0.504466, 0.5228, 0.530006, 0.587978, 0.617334, 0.633422, 0.646242, 0.663334, 0.689718, 0.71425, 0.74696, 0.766381, 0.788274, 0.817465, 0.875847, 0.964115, 1.07096");
-            values ( \
-              "0.00632715, 0.0535762, 0.0897679, 0.112875, 0.125675, 0.147144, 0.155708, 0.164561, 0.173735, 0.17835, 0.183824, 0.18723, 0.189121, 0.191097, 0.191458, 0.191645, 0.190475, 0.18374, 0.177067, 0.164396, 0.158402, 0.105295, 0.0809223, 0.0692189, 0.0608043, 0.0508298, 0.0380639, 0.0288445, 0.0196473, 0.0155997, 0.0120028, 0.00840717, 0.00399623, 0.00118247, 0.000248871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.333673, 0.404028, 0.476494, 0.515681, 0.555573, 0.592615, 0.6109, 0.640055, 0.667573, 0.704186, 0.724094, 0.747655, 0.771163, 0.818179, 0.833393, 0.863821, 0.901415, 1.03454, 1.09077, 1.13576, 1.16616, 1.217, 1.26146, 1.32073, 1.36441, 1.41275, 1.47721, 1.58406, 1.69091, 1.90461");
-            values ( \
-              "0.0141116, 0.0562873, 0.115414, 0.142706, 0.165491, 0.181265, 0.187312, 0.194912, 0.200051, 0.204108, 0.205008, 0.204947, 0.203709, 0.197134, 0.193506, 0.183921, 0.168149, 0.102791, 0.0786349, 0.0624524, 0.0530726, 0.0399488, 0.030953, 0.0217879, 0.0167404, 0.0124821, 0.00837909, 0.00421298, 0.00211652, 0.000530301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.605104, 0.712995, 0.8075, 0.8784, 0.945655, 1.02651, 1.07911, 1.13922, 1.16515, 1.21701, 1.28809, 1.39494, 1.40968, 1.43916, 1.48587, 1.53503, 1.63335, 1.74608, 1.91994, 2.01539, 2.08573, 2.20501, 2.3108, 2.40959, 2.51644, 2.61219, 2.71246, 2.81931, 2.92616, 3.03301, 3.13986, 3.35357, 3.67412");
-            values ( \
-              "0.0660732, 0.0749113, 0.115656, 0.142531, 0.163855, 0.184171, 0.194258, 0.202923, 0.205761, 0.209978, 0.212863, 0.211657, 0.21096, 0.209202, 0.20525, 0.199312, 0.181728, 0.154897, 0.111064, 0.0893453, 0.0752797, 0.0552138, 0.0413245, 0.0312562, 0.0228714, 0.0172503, 0.01279, 0.0092273, 0.00668035, 0.00478952, 0.00346767, 0.00179631, 0.000647736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.242253, 0.251685, 0.274397, 0.282026, 0.288879, 0.295391, 0.301855, 0.308315, 0.323028, 0.333938, 0.344866, 0.357086, 0.374881, 0.39417");
-            values ( \
-              "0.00892661, 0.0217903, 0.0797047, 0.0921599, 0.0990767, 0.102198, 0.100746, 0.091534, 0.0488684, 0.0285915, 0.016085, 0.00817059, 0.00330888, 0.00176724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.264463, 0.275886, 0.287195, 0.295827, 0.310796, 0.318515, 0.322253, 0.32973, 0.332121, 0.336904, 0.341985, 0.346961, 0.352573, 0.370026, 0.385209, 0.393962, 0.403165, 0.414564, 0.420728, 0.432069, 0.447828, 0.458742, 0.471038, 0.494805");
-            values ( \
-              "0.0434723, 0.0553908, 0.0857532, 0.104593, 0.128011, 0.135133, 0.137367, 0.139631, 0.139649, 0.13879, 0.135898, 0.130434, 0.119834, 0.0779515, 0.0491533, 0.0367576, 0.026594, 0.0176921, 0.0142165, 0.00948497, 0.00533494, 0.00356094, 0.00223928, 0.00108369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.286514, 0.302196, 0.330572, 0.352915, 0.367879, 0.378899, 0.388735, 0.396459, 0.405141, 0.414047, 0.426875, 0.440731, 0.49497, 0.515796, 0.538354, 0.566325, 0.596656, 0.622998, 0.63172");
-            values ( \
-              "0.0229925, 0.0496673, 0.109478, 0.143623, 0.158561, 0.165582, 0.16954, 0.170962, 0.171079, 0.168813, 0.16055, 0.143127, 0.0627674, 0.0421809, 0.0265314, 0.0144512, 0.0073568, 0.00401171, 0.00346413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.342964, 0.366736, 0.391164, 0.418423, 0.436763, 0.458862, 0.47616, 0.484095, 0.49828, 0.506229, 0.515382, 0.524265, 0.539841, 0.555283, 0.581341, 0.59873, 0.621791, 0.676675, 0.720196, 0.750426, 0.774318, 0.806664, 0.827053, 0.855391, 0.866952, 0.890073, 0.936316, 1.01845, 1.11462");
-            values ( \
-              "0.0446659, 0.055259, 0.0907136, 0.12624, 0.145971, 0.164876, 0.176226, 0.180337, 0.185929, 0.188234, 0.190063, 0.19122, 0.191713, 0.190552, 0.184229, 0.17566, 0.158542, 0.10818, 0.072781, 0.0534933, 0.0412965, 0.0287049, 0.0226497, 0.0161839, 0.014093, 0.0106661, 0.00598941, 0.00198287, 0.000505901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.458728, 0.517402, 0.567135, 0.607929, 0.639307, 0.675863, 0.700135, 0.730355, 0.763958, 0.803073, 0.849223, 0.86355, 0.892205, 0.925654, 0.96913, 0.993775, 1.13819, 1.19142, 1.23531, 1.26905, 1.31239, 1.34786, 1.39007, 1.4496, 1.51141, 1.55874, 1.65339, 1.76024, 1.86709, 1.97394");
-            values ( \
-              "0.0549242, 0.07419, 0.114394, 0.14299, 0.161377, 0.178102, 0.186538, 0.194604, 0.200773, 0.2046, 0.204719, 0.203796, 0.20047, 0.193576, 0.178941, 0.16812, 0.0975843, 0.0754225, 0.0600943, 0.0500679, 0.0392723, 0.0320491, 0.0250196, 0.0174855, 0.0120206, 0.00898332, 0.00492041, 0.0024692, 0.00123097, 0.000616534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.690079, 0.804358, 0.903306, 0.966229, 1.02508, 1.0962, 1.17689, 1.2341, 1.30287, 1.37999, 1.48684, 1.50158, 1.53108, 1.57779, 1.62694, 1.72501, 1.83798, 2.01186, 2.08267, 2.17332, 2.23729, 2.31037, 2.4068, 2.49389, 2.57619, 2.68304, 2.77055, 2.92305, 3.0299, 3.13675, 3.2436, 3.4573, 3.77785");
-            values ( \
-              "0.0584886, 0.0745654, 0.117151, 0.141027, 0.160142, 0.179115, 0.195204, 0.203233, 0.209546, 0.212857, 0.211641, 0.210959, 0.209188, 0.20525, 0.199301, 0.181786, 0.154904, 0.111066, 0.0946955, 0.0760935, 0.0646584, 0.0532527, 0.0408696, 0.031958, 0.0251625, 0.018379, 0.014146, 0.00892043, 0.00645473, 0.00462923, 0.0033491, 0.00173404, 0.000626978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.399987, 0.422155, 0.42618, 0.43456, 0.468365, 0.476042, 0.483172, 0.490138, 0.497093, 0.512112, 0.521561, 0.532903, 0.546118, 0.56282, 0.583805");
-            values ( \
-              "0.00235199, 0.00619846, 0.00966497, 0.0200597, 0.0810391, 0.0895585, 0.0940746, 0.0944267, 0.0872585, 0.0478295, 0.0305737, 0.0171804, 0.00843612, 0.00357165, 0.0017996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.43574, 0.453116, 0.455395, 0.464509, 0.484587, 0.492305, 0.504631, 0.515574, 0.525941, 0.536237, 0.544418, 0.565278, 0.576962, 0.591354, 0.599154, 0.606252, 0.613278, 0.626511, 0.636673, 0.647315, 0.658998, 0.687951, 0.689827");
-            values ( \
-              "0.00781471, 0.0236487, 0.0273038, 0.0449717, 0.0878952, 0.101944, 0.119846, 0.129761, 0.133803, 0.131786, 0.122877, 0.0758544, 0.0538579, 0.0337851, 0.0257229, 0.0200078, 0.0156437, 0.00979245, 0.0067723, 0.00458767, 0.00295162, 0.00102276, 0.000992255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.482926, 0.502452, 0.53799, 0.557411, 0.57161, 0.580872, 0.592418, 0.605481, 0.614208, 0.620189, 0.628833, 0.637551, 0.690816, 0.721135, 0.745239, 0.774284, 0.792407, 0.816571, 0.843257");
-            values ( \
-              "0.0382951, 0.0499323, 0.116998, 0.14357, 0.15711, 0.163113, 0.167973, 0.169424, 0.167742, 0.165131, 0.158652, 0.148498, 0.068696, 0.0386117, 0.0234005, 0.0123777, 0.00826267, 0.00476607, 0.00271717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.531734, 0.568989, 0.594442, 0.612233, 0.62212, 0.641895, 0.65761, 0.676142, 0.684487, 0.696797, 0.707107, 0.714811, 0.728403, 0.734002, 0.745201, 0.759419, 0.786896, 0.800163, 0.817853, 0.826028, 0.879757, 0.900295, 0.926525, 0.948728, 0.965897, 0.987999, 1.00611, 1.02749, 1.05766, 1.07097, 1.08891, 1.11284, 1.16069, 1.24823, 1.35193");
-            values ( \
-              "0.0122043, 0.0515645, 0.0886049, 0.112963, 0.124939, 0.146284, 0.160105, 0.173306, 0.178036, 0.183597, 0.187033, 0.188918, 0.190957, 0.191368, 0.191487, 0.190379, 0.183618, 0.177205, 0.165127, 0.158374, 0.109101, 0.0913765, 0.0712347, 0.0568837, 0.047394, 0.0371553, 0.0302877, 0.0236507, 0.0165365, 0.0141121, 0.0113787, 0.00850339, 0.00466924, 0.00140159, 0.000313795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.651058, 0.702919, 0.75039, 0.790541, 0.832648, 0.868702, 0.901505, 0.92508, 0.946248, 0.978045, 1.00772, 1.05344, 1.0682, 1.09773, 1.1303, 1.17395, 1.19842, 1.34335, 1.39618, 1.43951, 1.47322, 1.51689, 1.55278, 1.5949, 1.65435, 1.71586, 1.76293, 1.85707, 1.96392, 2.07077, 2.17762");
-            values ( \
-              "0.0371037, 0.0583775, 0.0979361, 0.12821, 0.155325, 0.173375, 0.185617, 0.192306, 0.197075, 0.202078, 0.204642, 0.204764, 0.203822, 0.200349, 0.19359, 0.178876, 0.168128, 0.0973579, 0.0753736, 0.0602414, 0.0501955, 0.039308, 0.0319982, 0.0249896, 0.0174771, 0.0120366, 0.00900608, 0.00495351, 0.00248271, 0.00124091, 0.000618346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.905632, 1.01029, 1.10456, 1.17487, 1.27972, 1.34709, 1.3804, 1.44507, 1.49451, 1.56042, 1.63317, 1.70595, 1.7938, 1.83138, 1.89537, 1.96929, 2.25213, 2.35898, 2.488, 2.59005, 2.69461, 2.76781, 2.87466, 2.98092, 3.15313, 3.25998, 3.47368, 3.68738, 4.00793");
-            values ( \
-              "0.0707931, 0.0753902, 0.116004, 0.142627, 0.174093, 0.189155, 0.195112, 0.204059, 0.208688, 0.212257, 0.21307, 0.210912, 0.20403, 0.199295, 0.188633, 0.172758, 0.102601, 0.0796672, 0.057231, 0.0433173, 0.0322824, 0.0261429, 0.0190768, 0.0139142, 0.00826272, 0.0059543, 0.00308203, 0.00158975, 0.000590411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.765852, 0.781316, 0.786911, 0.796548, 0.817064, 0.832388, 0.841858, 0.850418, 0.858543, 0.866671, 0.87, 0.881477, 0.887354, 0.892756, 0.901291, 0.90513, 0.912267, 0.916864, 0.924488, 0.935056, 0.949094, 0.958263, 0.985168, 0.991911");
-            values ( \
-              "0.00742362, 0.00953495, 0.013059, 0.0221756, 0.0486136, 0.0649903, 0.0736294, 0.0793603, 0.0819704, 0.0783333, 0.0725493, 0.0470154, 0.0364553, 0.028651, 0.0190535, 0.0157904, 0.0109549, 0.00864333, 0.00585792, 0.00372029, 0.00216482, 0.00144502, 0.000410637, 0.00037911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.778181, 0.812359, 0.816401, 0.827491, 0.852663, 0.879459, 0.892324, 0.904101, 0.915516, 0.924017, 0.927213, 0.933253, 0.952424, 0.966246, 0.97764, 0.982138, 0.989339, 0.996274, 1.00538, 1.01975, 1.02999, 1.04255, 1.05609, 1.06735");
-            values ( \
-              "1.87936e-05, 0.0159756, 0.0192572, 0.0311468, 0.0667089, 0.100207, 0.112363, 0.119229, 0.120694, 0.115569, 0.110772, 0.100175, 0.0618817, 0.0409262, 0.0281061, 0.0241434, 0.0188615, 0.0148929, 0.0108086, 0.00646112, 0.00447295, 0.00280153, 0.00174516, 0.00122236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.824046, 0.854327, 0.866409, 0.879371, 0.889891, 0.934036, 0.943917, 0.96185, 0.974586, 0.980331, 0.985554, 0.994961, 1.00437, 1.00763, 1.01197, 1.01689, 1.02184, 1.02967, 1.03961, 1.07169, 1.08253, 1.10024, 1.11674, 1.12971, 1.14046, 1.15197, 1.16833, 1.17862, 1.19765, 1.21608, 1.24804, 1.25919");
-            values ( \
-              "0.00454784, 0.0141681, 0.0219885, 0.0339528, 0.0464772, 0.104791, 0.116915, 0.135577, 0.145858, 0.149771, 0.152475, 0.156532, 0.158401, 0.158567, 0.158375, 0.157489, 0.155741, 0.150857, 0.140466, 0.0935682, 0.0788292, 0.0579626, 0.0424408, 0.0327356, 0.0262385, 0.0205649, 0.0144119, 0.0115115, 0.00755597, 0.00499844, 0.00243907, 0.00196191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.915168, 0.971594, 1.06318, 1.08445, 1.10279, 1.12085, 1.13733, 1.15394, 1.16421, 1.18593, 1.21296, 1.22696, 1.23601, 1.26349, 1.32042, 1.35958, 1.39063, 1.41509, 1.43618, 1.4643, 1.48849, 1.52086, 1.56401, 1.64551, 1.74039");
-            values ( \
-              "0.00591741, 0.0361783, 0.13206, 0.150623, 0.163899, 0.173907, 0.180257, 0.184155, 0.185418, 0.185716, 0.180772, 0.174854, 0.169717, 0.148581, 0.0975294, 0.0676223, 0.0490525, 0.0375258, 0.0295854, 0.0213206, 0.0160116, 0.0108571, 0.0063568, 0.00212818, 0.000559213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.08709, 1.14199, 1.21549, 1.25774, 1.28951, 1.32385, 1.35656, 1.39482, 1.41267, 1.44838, 1.47336, 1.50667, 1.51724, 1.53838, 1.57948, 1.62171, 1.64767, 1.78811, 1.8593, 1.89292, 1.92537, 1.97668, 2.03312, 2.0993, 2.12203, 2.17445, 2.23436, 2.34121, 2.44806, 2.55491, 2.66176");
-            values ( \
-              "0.0398274, 0.0503656, 0.107962, 0.137904, 0.157291, 0.173934, 0.185855, 0.195712, 0.198972, 0.203257, 0.204475, 0.203966, 0.203295, 0.201128, 0.193327, 0.179286, 0.168016, 0.0994025, 0.0702655, 0.0589334, 0.0494212, 0.0370401, 0.0266936, 0.0179263, 0.0156339, 0.0113607, 0.00783982, 0.00394218, 0.00197685, 0.000983621, 0.000493871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.32485, 1.42609, 1.53298, 1.59729, 1.65255, 1.73285, 1.80013, 1.83373, 1.89925, 1.94486, 1.99195, 2.03732, 2.12804, 2.15874, 2.2198, 2.28424, 2.39109, 2.48549, 2.67631, 2.77945, 2.83818, 2.9268, 3.02809, 3.09218, 3.16685, 3.24657, 3.35342, 3.40243, 3.50044, 3.60729, 3.71414, 3.82099, 3.92785, 4.14155, 4.4621");
-            values ( \
-              "0.0430394, 0.05893, 0.105746, 0.131544, 0.150953, 0.174142, 0.189177, 0.195177, 0.2042, 0.208491, 0.211395, 0.212856, 0.212216, 0.210927, 0.206691, 0.199307, 0.179907, 0.157396, 0.109375, 0.0862273, 0.0746851, 0.059405, 0.0451707, 0.0377872, 0.0305661, 0.0242368, 0.0176861, 0.0152685, 0.0113842, 0.00820807, 0.00593564, 0.00425635, 0.00307764, 0.00159264, 0.000576783" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00998556, 0.0100106, 0.0100408, 0.0100685, 0.0100884, 0.0101003", \
-            "0.0123137, 0.0123386, 0.0123731, 0.0124102, 0.0124405, 0.0124603", \
-            "0.0137421, 0.013756, 0.0137795, 0.0138101, 0.0138403, 0.0138624", \
-            "0.0145687, 0.0145642, 0.0145623, 0.0145669, 0.014577, 0.0145887", \
-            "0.0150387, 0.0150172, 0.0149873, 0.0149558, 0.0149332, 0.0149225", \
-            "0.0153152, 0.0152922, 0.0152571, 0.0152065, 0.0151502, 0.0151048" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0146035, 0.0146076, 0.0146212, 0.0146411, 0.0146595, 0.014672", \
-            "0.0153388, 0.0152992, 0.0152533, 0.0152125, 0.0151834, 0.0151659", \
-            "0.0147941, 0.0147298, 0.0146411, 0.0145447, 0.0144627, 0.0144076", \
-            "0.0144073, 0.0143111, 0.0141816, 0.0140422, 0.0139224, 0.0138394", \
-            "0.0147734, 0.014528, 0.0142631, 0.0140063, 0.0137874, 0.0136456", \
-            "0.0149689, 0.0149992, 0.0151482, 0.0144736, 0.0140011, 0.0137202" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.10797, 0.117873, 0.119267, 0.12066, 0.125936, 0.131218, 0.136496, 0.141299, 0.149377, 0.151155, 0.152932, 0.154709, 0.156486, 0.15811, 0.161357, 0.16298, 0.164515, 0.166049, 0.167584, 0.169119, 0.170161, 0.171204, 0.172247, 0.173289, 0.173748, 0.175123, 0.175873, 0.176624, 0.177375, 0.178125, 0.178956, 0.179786, 0.180616, 0.181446, 0.183894, 0.18471, 0.18761, 0.191089, 0.192306, 0.193523, 0.194335, 0.195958, 0.198859, 0.199873, 0.200887, 0.2019, 0.202914, 0.203928, 0.204941, 0.207007");
-            values ( \
-              "-0.000632643, -0.0258749, -0.0280067, -0.03051, -0.0429272, -0.055578, -0.0657122, -0.0745344, -0.0879585, -0.0906331, -0.0931803, -0.0956001, -0.0978925, -0.0998753, -0.103522, -0.105186, -0.106473, -0.107595, -0.108549, -0.109337, -0.10953, -0.109574, -0.109469, -0.109216, -0.109034, -0.10829, -0.107499, -0.106496, -0.105281, -0.103854, -0.102028, -0.0999441, -0.0976003, -0.0949973, -0.0856287, -0.0822382, -0.0687234, -0.052996, -0.0480309, -0.0434049, -0.0404971, -0.0350879, -0.0264439, -0.0240085, -0.0217534, -0.0197343, -0.0178585, -0.0161262, -0.0145373, -0.0117024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.122763, 0.147062, 0.154037, 0.163437, 0.183599, 0.194147, 0.203735, 0.212816, 0.219236, 0.221764, 0.226272, 0.231328, 0.246881, 0.255047, 0.260695, 0.269887, 0.276875, 0.284861, 0.295441, 0.30759, 0.321748, 0.354182");
-            values ( \
-              "-0.000982498, -0.0552432, -0.0727856, -0.0915142, -0.124468, -0.137691, -0.147329, -0.152727, -0.152744, -0.150917, -0.144993, -0.131665, -0.0690515, -0.0438016, -0.0311779, -0.0173178, -0.0109584, -0.00636794, -0.00311438, -0.00145356, -0.000668794, -0.000214928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.160914, 0.178222, 0.183185, 0.185666, 0.188147, 0.195147, 0.202146, 0.209297, 0.216448, 0.223599, 0.233374, 0.243789, 0.248021, 0.252745, 0.259463, 0.263445, 0.26926, 0.273387, 0.276924, 0.281286, 0.285648, 0.288256, 0.293471, 0.296079, 0.299614, 0.302665, 0.304538, 0.308285, 0.310143, 0.312002, 0.313861, 0.31572, 0.319437, 0.323155, 0.326872, 0.33059, 0.331989, 0.334788, 0.350761, 0.357471, 0.361925, 0.36638, 0.370835, 0.376487, 0.378858, 0.382414, 0.384785, 0.389527, 0.393314, 0.399417");
-            values ( \
-              "-0.018777, -0.04707, -0.0546705, -0.0587736, -0.0630786, -0.0762668, -0.0891132, -0.100794, -0.111699, -0.121998, -0.13522, -0.148327, -0.153351, -0.15865, -0.165333, -0.169003, -0.174065, -0.17746, -0.180123, -0.18286, -0.185286, -0.18659, -0.188864, -0.189835, -0.190311, -0.190473, -0.190458, -0.190169, -0.189273, -0.188159, -0.186825, -0.185273, -0.18151, -0.176872, -0.171356, -0.164965, -0.161909, -0.153821, -0.104817, -0.0858209, -0.0749919, -0.0651889, -0.056366, -0.0463215, -0.0424732, -0.0374384, -0.0345396, -0.0292561, -0.0255822, -0.0203175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.213591, 0.276961, 0.307944, 0.337778, 0.369712, 0.406075, 0.422967, 0.438021, 0.451359, 0.47267, 0.478474, 0.49008, 0.505368, 0.532381, 0.569049, 0.592609, 0.613509, 0.622826, 0.64146, 0.655135, 0.670252, 0.690409, 0.730722, 0.785709, 0.846698");
-            values ( \
-              "-0.0020558, -0.0732714, -0.109696, -0.139672, -0.167089, -0.192199, -0.202175, -0.209779, -0.215385, -0.221313, -0.222037, -0.222063, -0.217659, -0.188192, -0.118088, -0.0808918, -0.0560647, -0.0472897, -0.0333102, -0.0255869, -0.0190645, -0.0127918, -0.00544564, -0.00159789, -0.000396898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.378231, 0.434212, 0.500448, 0.550815, 0.612796, 0.67921, 0.737646, 0.791824, 0.843728, 0.895654, 0.947581, 1.04587, 1.09379, 1.14471, 1.19836, 1.26067, 1.32714, 1.36734");
-            values ( \
-              "-0.0575927, -0.0623386, -0.10741, -0.137874, -0.170698, -0.201081, -0.221915, -0.2354, -0.241472, -0.235382, -0.200999, -0.101463, -0.0671019, -0.0420307, -0.0250346, -0.0135238, -0.00678931, -0.00496582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.6702, 0.773298, 0.909466, 1.03121, 1.14368, 1.27477, 1.37629, 1.42021, 1.49848, 1.53792, 1.60194, 1.70346, 1.73908, 1.77627, 1.96508, 2.02273, 2.12736, 2.22976, 2.32791, 2.38396, 2.48548, 2.58699, 2.68851, 2.99307");
-            values ( \
-              "-0.0577344, -0.0596425, -0.10849, -0.147888, -0.180302, -0.211662, -0.230562, -0.237124, -0.246179, -0.249283, -0.251745, -0.24507, -0.23694, -0.223324, -0.12354, -0.0981273, -0.06247, -0.0391488, -0.0245759, -0.0187553, -0.0113389, -0.0068184, -0.0040825, -0.000871723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.127811, 0.153901, 0.167604, 0.181183, 0.187319, 0.191507, 0.196323, 0.199643, 0.21603, 0.222972, 0.229098, 0.234112, 0.243036, 0.243712");
-            values ( \
-              "-0.00576563, -0.0644236, -0.0876768, -0.10464, -0.108865, -0.109768, -0.10504, -0.096152, -0.029533, -0.0148134, -0.00777526, -0.00449523, -0.00159982, -0.00152889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.147939, 0.160583, 0.165721, 0.174947, 0.186486, 0.197193, 0.210089, 0.217282, 0.221195, 0.224783, 0.229567, 0.231487, 0.235326, 0.240399, 0.249396, 0.254105, 0.263023, 0.268498, 0.273208, 0.277483, 0.283183, 0.289302, 0.292706, 0.299515, 0.310414, 0.32166, 0.337004, 0.369607");
-            values ( \
-              "-0.0237913, -0.0442024, -0.0554311, -0.0777021, -0.0991944, -0.116817, -0.134471, -0.142542, -0.146284, -0.149163, -0.151952, -0.1527, -0.153207, -0.151069, -0.133796, -0.116041, -0.0785964, -0.059028, -0.0452101, -0.0350494, -0.0245059, -0.0165227, -0.0132504, -0.00836481, -0.00396615, -0.00189719, -0.000795336, -0.000258716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.172541, 0.196801, 0.206883, 0.220491, 0.24275, 0.252976, 0.271361, 0.278591, 0.288447, 0.293673, 0.304298, 0.312557, 0.319411, 0.333119, 0.337009, 0.342196, 0.350456, 0.372691, 0.38635, 0.392542, 0.400265, 0.410562, 0.417936, 0.429322, 0.444503, 0.471133, 0.498826, 0.528743");
-            values ( \
-              "-0.000334267, -0.0466345, -0.0629171, -0.088319, -0.122735, -0.136412, -0.158387, -0.165744, -0.174478, -0.178421, -0.184986, -0.188754, -0.190727, -0.189019, -0.186001, -0.179717, -0.162084, -0.0952269, -0.0623173, -0.0507077, -0.0388463, -0.0267873, -0.0204524, -0.0133789, -0.00746791, -0.00246887, -0.000850748, -0.000286319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.239107, 0.328045, 0.386585, 0.426168, 0.45713, 0.47047, 0.492024, 0.50869, 0.52447, 0.551475, 0.588162, 0.611654, 0.632602, 0.660626, 0.679273, 0.709412, 0.749597, 0.803839, 0.864807");
-            values ( \
-              "-0.00994857, -0.110864, -0.165434, -0.192916, -0.209869, -0.215432, -0.221428, -0.222171, -0.217683, -0.188233, -0.11808, -0.0809475, -0.0560512, -0.0332477, -0.0232245, -0.012798, -0.00548893, -0.00162281, -0.000423756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.400072, 0.467731, 0.524455, 0.580379, 0.652982, 0.698529, 0.756963, 0.811139, 0.863042, 0.914967, 0.966893, 1.06518, 1.1131, 1.16404, 1.21768, 1.27994, 1.34636, 1.3869");
-            values ( \
-              "-0.0554337, -0.071905, -0.110413, -0.143609, -0.18093, -0.201092, -0.221924, -0.235406, -0.241477, -0.235384, -0.201002, -0.101465, -0.067107, -0.0420224, -0.0250344, -0.0135305, -0.00679494, -0.00495509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.692428, 0.800903, 0.931687, 1.07858, 1.16306, 1.29418, 1.3957, 1.43964, 1.5179, 1.55723, 1.62136, 1.72288, 1.75851, 1.79569, 1.98449, 2.04215, 2.14678, 2.24917, 2.34733, 2.40338, 2.5049, 2.60642, 2.70793, 3.01249");
-            values ( \
-              "-0.0583144, -0.0625019, -0.109474, -0.156378, -0.180312, -0.211679, -0.230537, -0.237105, -0.246159, -0.249293, -0.251726, -0.245087, -0.236937, -0.223323, -0.12354, -0.0981252, -0.0624684, -0.0391489, -0.024575, -0.0187556, -0.0113377, -0.00681904, -0.00408155, -0.000872514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.172854, 0.178285, 0.18359, 0.192409, 0.198791, 0.209805, 0.21762, 0.222906, 0.230242, 0.233284, 0.236239, 0.239193, 0.24256, 0.253822, 0.258961, 0.2661, 0.271719, 0.27632, 0.285261, 0.2942, 0.301431");
-            values ( \
-              "-0.0187683, -0.0238282, -0.0336801, -0.0554631, -0.0679828, -0.0865125, -0.0973774, -0.1034, -0.108932, -0.109733, -0.108812, -0.105006, -0.0962436, -0.0466611, -0.029473, -0.0145141, -0.00804987, -0.00484103, -0.00174692, -0.00067376, -0.000620094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.195258, 0.207221, 0.21774, 0.223023, 0.227927, 0.233314, 0.238313, 0.242565, 0.24766, 0.252872, 0.257577, 0.262466, 0.266187, 0.269275, 0.273007, 0.274251, 0.275893, 0.279176, 0.281414, 0.283202, 0.284329, 0.286584, 0.288975, 0.292766, 0.29462, 0.300185, 0.307046, 0.309593, 0.313413, 0.318907, 0.322135, 0.324941, 0.327747, 0.332285");
-            values ( \
-              "-0.0496551, -0.0529894, -0.0774953, -0.0877752, -0.0968845, -0.106269, -0.114389, -0.120823, -0.127952, -0.134578, -0.139975, -0.145044, -0.148477, -0.150996, -0.152945, -0.153351, -0.153434, -0.152773, -0.15169, -0.150456, -0.149161, -0.145634, -0.140341, -0.129557, -0.122878, -0.101498, -0.0732764, -0.0642133, -0.0522376, -0.0375096, -0.0307641, -0.0259716, -0.0217815, -0.0161605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.222261, 0.243699, 0.270642, 0.285873, 0.302602, 0.314072, 0.331155, 0.346978, 0.353848, 0.362117, 0.376318, 0.382014, 0.387256, 0.393161, 0.420208, 0.435255, 0.450975, 0.465238, 0.474858, 0.494097, 0.511566");
-            values ( \
-              "-0.0189032, -0.0530422, -0.100618, -0.123286, -0.145201, -0.158424, -0.174503, -0.184986, -0.188223, -0.190754, -0.18876, -0.183624, -0.175755, -0.162042, -0.0824674, -0.0506227, -0.0291315, -0.017254, -0.0120259, -0.00562571, -0.00319113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.29359, 0.319543, 0.360981, 0.389444, 0.419435, 0.442109, 0.469148, 0.500114, 0.513473, 0.535014, 0.551755, 0.567493, 0.594506, 0.631186, 0.654716, 0.675639, 0.703625, 0.722309, 0.752499, 0.792753, 0.821528");
-            values ( \
-              "-0.0400756, -0.0514141, -0.0996852, -0.129543, -0.157032, -0.174642, -0.192763, -0.209743, -0.215323, -0.221337, -0.222097, -0.217621, -0.188202, -0.11809, -0.0809016, -0.0560433, -0.0332669, -0.0232213, -0.0127816, -0.0054787, -0.00342897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.44284, 0.51123, 0.567568, 0.624078, 0.696087, 0.741782, 0.800209, 0.854383, 0.906282, 0.958204, 1.01013, 1.10841, 1.15631, 1.20734, 1.26093, 1.32305, 1.38933, 1.43027");
-            values ( \
-              "-0.0541573, -0.0721144, -0.110361, -0.143884, -0.180886, -0.201115, -0.22194, -0.235425, -0.241485, -0.235396, -0.201003, -0.101468, -0.0671208, -0.0419978, -0.0250336, -0.013549, -0.00681324, -0.00495116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.736709, 0.843731, 0.975332, 1.12214, 1.20649, 1.33762, 1.43914, 1.48308, 1.56134, 1.60069, 1.6648, 1.76632, 1.80194, 1.83913, 2.02793, 2.08559, 2.19022, 2.29262, 2.39077, 2.44682, 2.54834, 2.64985, 2.75137, 3.05593");
-            values ( \
-              "-0.0594268, -0.0622949, -0.109546, -0.156417, -0.180313, -0.211682, -0.230537, -0.237105, -0.246158, -0.249296, -0.251725, -0.245089, -0.236938, -0.223324, -0.123539, -0.0981258, -0.0624687, -0.0391484, -0.0245751, -0.0187558, -0.0113379, -0.00681913, -0.00408163, -0.000872508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.266488, 0.276727, 0.283142, 0.290222, 0.295657, 0.308422, 0.315511, 0.322005, 0.328142, 0.334163, 0.338528, 0.34049, 0.350079, 0.356358, 0.361041, 0.364619, 0.36949, 0.375984, 0.384907, 0.394046, 0.413244");
-            values ( \
-              "-0.000886498, -0.0247362, -0.0371144, -0.0549582, -0.0660317, -0.087602, -0.0972497, -0.10459, -0.10888, -0.109114, -0.101876, -0.0964554, -0.053454, -0.0309708, -0.0197032, -0.0136382, -0.00814845, -0.00395268, -0.00142279, -0.000586572, -0.000459388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.286745, 0.293352, 0.297231, 0.303211, 0.306186, 0.312135, 0.316314, 0.324673, 0.331041, 0.342837, 0.347069, 0.35032, 0.353313, 0.358342, 0.362224, 0.362902, 0.364257, 0.366967, 0.371985, 0.378726, 0.380939, 0.385364, 0.390501, 0.397918, 0.402235, 0.403509, 0.406058, 0.411155, 0.414224, 0.41503, 0.416642, 0.419866, 0.426314, 0.429059, 0.43006, 0.432062, 0.436065, 0.444073, 0.454612, 0.455139");
-            values ( \
-              "-0.0228501, -0.0315991, -0.0369712, -0.048575, -0.0552595, -0.0699826, -0.0787606, -0.0951012, -0.105944, -0.124394, -0.130011, -0.134107, -0.137659, -0.143092, -0.14673, -0.147299, -0.148367, -0.150264, -0.152697, -0.152598, -0.150883, -0.14513, -0.13164, -0.101764, -0.0835766, -0.078664, -0.0690425, -0.0523123, -0.0437981, -0.0417877, -0.0379681, -0.0311874, -0.0206936, -0.0173225, -0.016249, -0.0142467, -0.0109493, -0.0063521, -0.0031187, -0.00304661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.312917, 0.336673, 0.349641, 0.360938, 0.381324, 0.391802, 0.402476, 0.411164, 0.427104, 0.435303, 0.444073, 0.451887, 0.459215, 0.473439, 0.478968, 0.484278, 0.490258, 0.51247, 0.517244, 0.526793, 0.532346, 0.539299, 0.54857, 0.555277, 0.562165, 0.571351, 0.589721, 0.616585, 0.644784, 0.674865");
-            values ( \
-              "-0.00132971, -0.0466529, -0.0683064, -0.089429, -0.121009, -0.135202, -0.148591, -0.158421, -0.173568, -0.179685, -0.185002, -0.18858, -0.190759, -0.188746, -0.183807, -0.175887, -0.162061, -0.0952089, -0.0826363, -0.0609383, -0.0506252, -0.0398359, -0.0286213, -0.022375, -0.0173516, -0.0123194, -0.0059662, -0.00199977, -0.00065004, -0.000268467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.372597, 0.431541, 0.462644, 0.493474, 0.529707, 0.565488, 0.596641, 0.610003, 0.631516, 0.63712, 0.64833, 0.664016, 0.691027, 0.727707, 0.751233, 0.772159, 0.781488, 0.800148, 0.813797, 0.828889, 0.849013, 0.889259, 0.944167, 1.00512");
-            values ( \
-              "-0.00272046, -0.068614, -0.10564, -0.136981, -0.168148, -0.192651, -0.209741, -0.215393, -0.221326, -0.222042, -0.222085, -0.217668, -0.188183, -0.118068, -0.0809271, -0.0560645, -0.0472784, -0.033285, -0.0255807, -0.0190693, -0.0128034, -0.00545954, -0.00160532, -0.000399322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.498203, 0.577986, 0.701221, 0.754262, 0.813657, 0.869683, 0.896507, 0.950679, 1.00258, 1.0545, 1.10642, 1.18083, 1.22067, 1.26436, 1.30366, 1.35722, 1.3862, 1.41932, 1.48556, 1.58708, 1.68859");
-            values ( \
-              "-0.00934624, -0.0527167, -0.133114, -0.161856, -0.190714, -0.213149, -0.221931, -0.23544, -0.241474, -0.23541, -0.200991, -0.122771, -0.0888026, -0.0603994, -0.041987, -0.0250285, -0.0188592, -0.013547, -0.00682383, -0.00219144, -0.000721435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.852127, 0.972472, 1.08966, 1.22161, 1.30303, 1.37709, 1.43439, 1.53591, 1.57982, 1.65811, 1.69759, 1.76157, 1.86309, 1.89875, 1.93592, 2.1247, 2.18238, 2.25929, 2.287, 2.33898, 2.38935, 2.4595, 2.48754, 2.54363, 2.64515, 2.74667, 2.84819, 2.94971, 3.15274");
-            values ( \
-              "-0.0709288, -0.0736343, -0.115422, -0.157189, -0.18022, -0.198856, -0.211653, -0.230537, -0.237101, -0.246159, -0.249283, -0.251729, -0.245072, -0.236923, -0.223311, -0.123544, -0.0981192, -0.0705908, -0.0624659, -0.0494229, -0.0391564, -0.0280902, -0.0245757, -0.0187514, -0.0113363, -0.00681705, -0.0040815, -0.00244157, -0.000871646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.47282, 0.481453, 0.48421, 0.48731, 0.489491, 0.490969, 0.491708, 0.495402, 0.499836, 0.503047, 0.507863, 0.512526, 0.51716, 0.521795, 0.525752, 0.52971, 0.533257, 0.536805, 0.538456, 0.540107, 0.541758, 0.543409, 0.544991, 0.546573, 0.548156, 0.549738, 0.550047, 0.550279, 0.550381, 0.550892, 0.551493, 0.552324, 0.552989, 0.554319, 0.554984, 0.555649, 0.556454, 0.558064, 0.559493, 0.561785, 0.565559, 0.567526, 0.569887, 0.572179, 0.573971, 0.575167, 0.576362, 0.578544, 0.580726, 0.582783");
-            values ( \
-              "-0.0146474, -0.0157556, -0.0184445, -0.0218555, -0.0245012, -0.0265904, -0.0278217, -0.0342966, -0.0427671, -0.0484816, -0.0565321, -0.0640622, -0.0711655, -0.07796, -0.0835173, -0.0888494, -0.0932665, -0.0973964, -0.0988331, -0.100061, -0.101081, -0.101893, -0.102474, -0.102864, -0.103061, -0.103067, -0.102786, -0.1027, -0.102447, -0.102113, -0.101511, -0.100402, -0.0993766, -0.0969943, -0.0956372, -0.0941696, -0.0920416, -0.0864548, -0.0808012, -0.0712867, -0.0549447, -0.0471805, -0.0389801, -0.0319321, -0.0267448, -0.0239719, -0.0214217, -0.0173988, -0.0140017, -0.0113303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.495177, 0.507259, 0.510524, 0.513413, 0.514858, 0.517051, 0.517782, 0.530079, 0.538404, 0.54653, 0.548441, 0.550351, 0.552694, 0.553865, 0.555036, 0.556048, 0.559082, 0.564313, 0.570015, 0.57429, 0.583091, 0.584635, 0.586178, 0.587722, 0.589265, 0.591144, 0.593023, 0.594901, 0.59678, 0.598407, 0.599221, 0.600848, 0.601661, 0.603272, 0.604882, 0.606493, 0.608103, 0.60997, 0.611836, 0.615568, 0.621238, 0.623161, 0.626365, 0.629701, 0.634453, 0.637695, 0.639156, 0.642077, 0.644349, 0.647917");
-            values ( \
-              "-0.0260048, -0.0270423, -0.0315436, -0.0358383, -0.0380948, -0.0419045, -0.0432417, -0.0684345, -0.0836404, -0.0974887, -0.102782, -0.109167, -0.110607, -0.11154, -0.112614, -0.113732, -0.117416, -0.124924, -0.132412, -0.137374, -0.146621, -0.147769, -0.148697, -0.149404, -0.149892, -0.150187, -0.150157, -0.1498, -0.149116, -0.148255, -0.147325, -0.145015, -0.143634, -0.140163, -0.1363, -0.132045, -0.1274, -0.121177, -0.114662, -0.100756, -0.0777031, -0.0704165, -0.05968, -0.0497619, -0.0372906, -0.0306113, -0.0280638, -0.0234541, -0.0203627, -0.0160616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.534239, 0.55153, 0.555155, 0.561066, 0.563353, 0.576775, 0.582983, 0.588975, 0.592897, 0.600741, 0.610559, 0.619557, 0.624466, 0.629157, 0.63652, 0.640469, 0.646359, 0.651789, 0.654888, 0.657893, 0.660803, 0.664934, 0.670287, 0.672963, 0.675209, 0.677455, 0.681213, 0.68497, 0.686834, 0.688697, 0.690561, 0.692425, 0.696152, 0.69988, 0.703607, 0.707334, 0.70872, 0.711493, 0.727454, 0.734294, 0.738843, 0.743393, 0.747942, 0.751946, 0.755127, 0.758308, 0.762549, 0.766791, 0.772021, 0.776038");
-            values ( \
-              "-0.0262944, -0.0396288, -0.0449223, -0.0545832, -0.0585821, -0.0842753, -0.0948191, -0.10423, -0.110113, -0.12141, -0.1347, -0.146154, -0.151989, -0.157349, -0.164755, -0.168412, -0.173616, -0.17783, -0.18002, -0.181977, -0.183703, -0.185892, -0.188272, -0.18927, -0.189617, -0.189839, -0.189932, -0.189676, -0.188801, -0.187706, -0.186392, -0.184859, -0.181135, -0.176533, -0.171055, -0.164699, -0.161693, -0.153722, -0.104888, -0.085565, -0.0745959, -0.0646372, -0.0556888, -0.0485796, -0.0432305, -0.0385472, -0.0333661, -0.0287341, -0.0238526, -0.0203656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.61119, 0.63923, 0.679598, 0.711085, 0.733282, 0.768098, 0.798876, 0.813927, 0.827287, 0.848786, 0.854402, 0.865633, 0.881296, 0.908305, 0.944986, 0.968506, 0.989435, 0.998766, 1.01743, 1.03107, 1.04616, 1.06628, 1.10652, 1.16141, 1.22226");
-            values ( \
-              "-0.0487674, -0.0577617, -0.105272, -0.137289, -0.157097, -0.183196, -0.202185, -0.209779, -0.215401, -0.221354, -0.222047, -0.222108, -0.21767, -0.1882, -0.118076, -0.0809299, -0.0560626, -0.0472813, -0.0332797, -0.0255839, -0.0190737, -0.0128027, -0.00546471, -0.00160385, -0.000403726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.739379, 0.795161, 0.918309, 0.98555, 1.04631, 1.10084, 1.13571, 1.16648, 1.21839, 1.2703, 1.32222, 1.42049, 1.46831, 1.51964, 1.57305, 1.63475, 1.70057, 1.75962");
-            values ( \
-              "-0.03502, -0.0537639, -0.133985, -0.169917, -0.198083, -0.218443, -0.228602, -0.235465, -0.241549, -0.235403, -0.201047, -0.101496, -0.0671832, -0.0419073, -0.0250187, -0.0135965, -0.00688236, -0.00416438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("1.05429, 1.16406, 1.28753, 1.43341, 1.51795, 1.6491, 1.75062, 1.7946, 1.87284, 1.91204, 1.9763, 2.07782, 2.11351, 2.15067, 2.33943, 2.39713, 2.50175, 2.60407, 2.70228, 2.75839, 2.85991, 2.96143, 3.06295, 3.3675");
-            values ( \
-              "-0.0639866, -0.0653486, -0.109754, -0.15632, -0.180277, -0.211658, -0.230506, -0.237082, -0.246137, -0.249276, -0.251708, -0.245086, -0.236914, -0.223305, -0.123544, -0.0981142, -0.0624629, -0.0391591, -0.0245748, -0.0187504, -0.0113342, -0.00681725, -0.00408019, -0.00087244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.881142, 0.883648, 0.89362, 0.909067, 0.919183, 0.925443, 0.941434, 0.953334, 0.963414, 0.972377, 0.980627, 0.9871, 0.988522, 0.991368, 0.995082, 0.996416, 0.999084, 1.01096, 1.01499, 1.01974, 1.02572, 1.02954, 1.03391, 1.04264, 1.05194, 1.06134, 1.07264");
-            values ( \
-              "-0.00497863, -0.00545503, -0.00846074, -0.0162622, -0.0248233, -0.0325222, -0.049373, -0.060753, -0.0694996, -0.076616, -0.0818819, -0.0845952, -0.084606, -0.0842646, -0.0809266, -0.0789044, -0.0730468, -0.0369807, -0.0270235, -0.0180673, -0.0104628, -0.00730224, -0.0047603, -0.00196806, -0.000829768, -0.000468371, -0.000380698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.906679, 0.932386, 0.944577, 0.957115, 0.986131, 1.01351, 1.03334, 1.03859, 1.04642, 1.04922, 1.05446, 1.0601, 1.08572, 1.09256, 1.10453, 1.11492, 1.12573, 1.14016, 1.14365");
-            values ( \
-              "-0.00241902, -0.0188396, -0.0279086, -0.0416062, -0.0773098, -0.106176, -0.123838, -0.127249, -0.130074, -0.129799, -0.126768, -0.117569, -0.0413758, -0.0281514, -0.0136087, -0.00704818, -0.00354705, -0.00143604, -0.00131608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.975298, 0.996736, 1.00545, 1.01512, 1.01996, 1.0277, 1.0359, 1.05377, 1.06877, 1.08423, 1.0934, 1.10175, 1.10985, 1.11608, 1.12078, 1.127, 1.12907, 1.13521, 1.14567, 1.14771, 1.14975, 1.15179, 1.15383, 1.15583, 1.15783, 1.16183, 1.16578, 1.16974, 1.1737, 1.17765, 1.17897, 1.1816, 1.19673, 1.20357, 1.20841, 1.21283, 1.21445, 1.21607, 1.21745, 1.21882, 1.2202, 1.22191, 1.2229, 1.22555, 1.2282, 1.23085, 1.2335, 1.23615, 1.24145, 1.24876");
-            values ( \
-              "-0.0302562, -0.0309527, -0.0387228, -0.0483526, -0.0540864, -0.0640827, -0.0741634, -0.0947843, -0.111386, -0.128129, -0.137609, -0.145789, -0.153233, -0.158453, -0.162046, -0.16624, -0.16753, -0.170868, -0.176262, -0.176649, -0.176818, -0.176769, -0.176501, -0.176027, -0.175343, -0.173346, -0.170535, -0.166896, -0.16243, -0.157135, -0.154783, -0.148253, -0.106363, -0.0881917, -0.0766504, -0.0673998, -0.0606279, -0.0524299, -0.0526301, -0.052399, -0.0517368, -0.0503158, -0.0491838, -0.0446702, -0.0408329, -0.0372815, -0.034143, -0.0312057, -0.025935, -0.0198757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("1.06085, 1.10064, 1.10723, 1.12042, 1.15647, 1.19637, 1.22665, 1.25004, 1.28173, 1.2972, 1.31072, 1.33256, 1.33824, 1.34961, 1.36543, 1.39271, 1.42881, 1.44423, 1.46979, 1.48261, 1.49551, 1.51391, 1.52178, 1.53752, 1.56901, 1.62013, 1.6778");
-            values ( \
-              "-0.0192886, -0.0403893, -0.0457896, -0.0574095, -0.0947542, -0.133126, -0.15916, -0.176815, -0.197401, -0.205682, -0.211726, -0.2184, -0.21925, -0.219668, -0.215697, -0.187044, -0.118883, -0.0934426, -0.0603677, -0.0478928, -0.0376866, -0.0264903, -0.0227632, -0.0167083, -0.00879215, -0.00284178, -0.000788898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("1.22765, 1.2771, 1.40175, 1.4721, 1.53856, 1.59703, 1.65124, 1.70316, 1.7551, 1.80704, 1.90537, 1.95342, 2.00376, 2.05776, 2.12091, 2.18826, 2.24691");
-            values ( \
-              "-0.0486287, -0.0514859, -0.132824, -0.170464, -0.200931, -0.221806, -0.235296, -0.241414, -0.235321, -0.20098, -0.101432, -0.0670016, -0.0421966, -0.0250525, -0.013422, -0.00666451, -0.00405604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("1.509, 1.61425, 1.76557, 1.88725, 1.99961, 2.13082, 2.23233, 2.2762, 2.35449, 2.39413, 2.45794, 2.55946, 2.595, 2.63222, 2.82109, 2.87868, 2.98332, 3.08584, 3.1839, 3.23987, 3.34138, 3.4429, 3.54442, 3.84898");
-            values ( \
-              "-0.0435655, -0.054519, -0.108582, -0.147931, -0.180335, -0.211715, -0.230583, -0.237135, -0.24619, -0.249328, -0.25175, -0.245092, -0.236972, -0.22335, -0.123533, -0.0981422, -0.062477, -0.0391333, -0.0245755, -0.0187632, -0.0113431, -0.00682153, -0.0040838, -0.000872418" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00947861, 0.0094956, 0.0095161, 0.00953529, 0.00954928, 0.00955778", \
-            "0.0110661, 0.0110779, 0.0110943, 0.0111119, 0.0111265, 0.0111363", \
-            "0.0120089, 0.0120132, 0.012021, 0.0120317, 0.0120425, 0.0120507", \
-            "0.0125788, 0.0125774, 0.0125764, 0.0125772, 0.0125801, 0.0125838", \
-            "0.0128836, 0.0128769, 0.0128715, 0.0128649, 0.0128596, 0.0128571", \
-            "0.0130593, 0.0130542, 0.013046, 0.0130349, 0.0130231, 0.0130138" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0130772, 0.0130842, 0.0130975, 0.0131097, 0.0131205, 0.0131288", \
-            "0.0143926, 0.0143846, 0.0143774, 0.0143721, 0.0143685, 0.0143673", \
-            "0.0152346, 0.0152009, 0.0151599, 0.0151218, 0.0150942, 0.0150778", \
-            "0.0159309, 0.0158682, 0.0157905, 0.015715, 0.0156541, 0.0156121", \
-            "0.0165721, 0.0164671, 0.0163183, 0.0161669, 0.0160473, 0.0159692", \
-            "0.0170279, 0.0169313, 0.0167722, 0.0165328, 0.0163071, 0.0161535" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0259328, 0.0360521, 0.0565659, 0.0988462, 0.186672, 0.369609", \
-            "0.0307025, 0.0409024, 0.061544, 0.103937, 0.191669, 0.374795", \
-            "0.0388347, 0.0516608, 0.0728376, 0.115311, 0.203303, 0.386308", \
-            "0.0458843, 0.0649924, 0.0952815, 0.14057, 0.228582, 0.411393", \
-            "0.0472574, 0.0753345, 0.119177, 0.18709, 0.287482, 0.470299", \
-            "0.0324765, 0.0719413, 0.135491, 0.234712, 0.383148, 0.600771" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0185381, 0.0315282, 0.0586251, 0.115153, 0.233013, 0.478788", \
-            "0.0195394, 0.0316397, 0.0586263, 0.115156, 0.233045, 0.478821", \
-            "0.0277226, 0.0375209, 0.0605206, 0.115274, 0.233002, 0.478717", \
-            "0.0425105, 0.0562191, 0.0778134, 0.122514, 0.233242, 0.478716", \
-            "0.0660248, 0.0855131, 0.117206, 0.164913, 0.254644, 0.480022", \
-            "0.106352, 0.13319, 0.177262, 0.245995, 0.350054, 0.534431" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230456, 0.0237795, 0.0247217, 0.0261901, 0.029003, 0.0317825, 0.0345874, 0.0374543, 0.0404451, 0.044607, 0.0537986, 0.0583068, 0.0620956, 0.0660235, 0.0731459, 0.075793");
-            values ( \
-              "-0.158933, -0.199086, -0.216704, -0.228766, -0.235946, -0.235475, -0.231915, -0.225294, -0.212285, -0.182035, -0.0770259, -0.0450718, -0.0280285, -0.0168532, -0.00646376, -0.00496526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0235683, 0.02471, 0.025758, 0.0270658, 0.0306686, 0.0355462, 0.0426158, 0.0475684, 0.0526785, 0.0589256, 0.0755968, 0.0808865, 0.0878257, 0.0961523, 0.108076, 0.111887");
-            values ( \
-              "-0.211719, -0.267563, -0.280519, -0.28829, -0.294079, -0.291603, -0.28359, -0.274544, -0.259751, -0.229508, -0.0930325, -0.0645014, -0.0389624, -0.0207425, -0.00818073, -0.00659104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0240142, 0.0249413, 0.026954, 0.0285123, 0.0304651, 0.032785, 0.0471038, 0.0634312, 0.0680822, 0.0772833, 0.0820302, 0.0878245, 0.0922104, 0.111842, 0.121637, 0.134038, 0.14056, 0.149256, 0.155901, 0.163281, 0.173121, 0.192801, 0.203957");
-            values ( \
-              "-0.283298, -0.306954, -0.325986, -0.331652, -0.333752, -0.334214, -0.32637, -0.312509, -0.306765, -0.291941, -0.280948, -0.263018, -0.243927, -0.139103, -0.0986594, -0.0618558, -0.0479728, -0.0338494, -0.0258679, -0.0191475, -0.0127122, -0.00536798, -0.00376101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.024222, 0.0267626, 0.0295885, 0.0317642, 0.0410275, 0.0648036, 0.0966366, 0.110346, 0.128449, 0.137469, 0.14709, 0.153064, 0.161029, 0.190162, 0.211065, 0.228646, 0.241073, 0.251163, 0.263631, 0.281207, 0.299817, 0.314122, 0.342733, 0.394381, 0.455717");
-            values ( \
-              "-0.313568, -0.3476, -0.356636, -0.358339, -0.356503, -0.348161, -0.333637, -0.32523, -0.309819, -0.29903, -0.283545, -0.270782, -0.249701, -0.163397, -0.113397, -0.0816465, -0.0641422, -0.0525594, -0.0408967, -0.0285045, -0.0194202, -0.0143968, -0.00777107, -0.00229594, -0.000492491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.0243834, 0.0268018, 0.0298816, 0.0312697, 0.0338812, 0.0465509, 0.098876, 0.12655, 0.161903, 0.176716, 0.198254, 0.234852, 0.253676, 0.273756, 0.29399, 0.341228, 0.373378, 0.393771, 0.410994, 0.432534, 0.460938, 0.487465, 0.522834, 0.54891, 0.577975, 0.616728, 0.682579, 0.74843, 0.814281, 0.880131");
-            values ( \
-              "-0.326628, -0.359497, -0.369561, -0.370987, -0.371521, -0.369929, -0.360293, -0.354469, -0.345909, -0.341664, -0.334927, -0.319266, -0.30801, -0.291236, -0.26692, -0.19476, -0.149934, -0.125606, -0.107613, -0.0881607, -0.0672098, -0.0519001, -0.0364195, -0.0279754, -0.0208146, -0.0139307, -0.00687209, -0.00337407, -0.00165282, -0.000808466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0241992, 0.0281982, 0.0324678, 0.0380672, 0.233631, 0.306311, 0.372161, 0.427878, 0.458765, 0.52054, 0.542237, 0.585591, 0.684083, 0.726538, 0.79476, 0.864922, 0.92213, 0.973882, 1.02369, 1.08954, 1.12597, 1.17304, 1.2358, 1.30166, 1.43336, 1.49921, 1.76261");
-            values ( \
-              "-0.333375, -0.373336, -0.378311, -0.378482, -0.359671, -0.350772, -0.341253, -0.330642, -0.32349, -0.302808, -0.292609, -0.265257, -0.190619, -0.161381, -0.121041, -0.0885027, -0.067726, -0.052992, -0.0417852, -0.0301305, -0.0251767, -0.0199312, -0.0146569, -0.0104103, -0.00530971, -0.00390213, -0.00106231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0348907, 0.0400998, 0.0447202, 0.0509212, 0.052407, 0.0534371, 0.0546481, 0.0561392, 0.0590598, 0.0618703, 0.0634558, 0.0720868, 0.0767766, 0.0798944, 0.0824926, 0.0857207, 0.0920895, 0.0965114");
-            values ( \
-              "-0.00575528, -0.120189, -0.160011, -0.205301, -0.222961, -0.225353, -0.225802, -0.223674, -0.212792, -0.194631, -0.18051, -0.0807443, -0.0463422, -0.0314383, -0.0225187, -0.0148142, -0.00630707, -0.00388219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.0358986, 0.0414015, 0.0447224, 0.0509377, 0.0518941, 0.0525817, 0.0539569, 0.0553425, 0.0566933, 0.0614709, 0.0663585, 0.071474, 0.0754816, 0.079863, 0.0890401, 0.0953318, 0.0981151, 0.101822, 0.105146, 0.109578, 0.113345, 0.117485, 0.123006, 0.134047, 0.148439, 0.166261");
-            values ( \
-              "-0.00374003, -0.163175, -0.198352, -0.256744, -0.274887, -0.280411, -0.285931, -0.287614, -0.287768, -0.283248, -0.274584, -0.259643, -0.242445, -0.213182, -0.131339, -0.0872973, -0.0720049, -0.055314, -0.0434244, -0.0311462, -0.0234306, -0.017082, -0.0110875, -0.00446059, -0.00122928, -0.000238632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0361628, 0.0456904, 0.0526575, 0.0551435, 0.05718, 0.0690422, 0.0824056, 0.0962572, 0.101003, 0.106798, 0.111195, 0.130662, 0.140681, 0.153031, 0.168117, 0.182287, 0.19222, 0.212085, 0.216403");
-            values ( \
-              "-0.0288983, -0.235565, -0.319914, -0.328984, -0.330415, -0.32415, -0.31242, -0.291857, -0.280955, -0.263022, -0.243877, -0.139833, -0.0984084, -0.0618117, -0.0340089, -0.0191218, -0.0126474, -0.00528913, -0.00467607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0359721, 0.0454571, 0.0509234, 0.0516738, 0.0542464, 0.057512, 0.0663625, 0.0939988, 0.120138, 0.129421, 0.147517, 0.156639, 0.16637, 0.171753, 0.17893, 0.211659, 0.228835, 0.235943, 0.250159, 0.263115, 0.279591, 0.299076, 0.305798, 0.321426, 0.339287, 0.375009, 0.402618");
-            values ( \
-              "-0.00243672, -0.248168, -0.312738, -0.335178, -0.352575, -0.356564, -0.354492, -0.344105, -0.331127, -0.325251, -0.309805, -0.298902, -0.283152, -0.271693, -0.25305, -0.156927, -0.116144, -0.10196, -0.0778655, -0.0604968, -0.0435513, -0.0291817, -0.0254172, -0.018398, -0.012635, -0.00575724, -0.00361308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0359779, 0.0523638, 0.0549124, 0.0565844, 0.059206, 0.0651751, 0.0869864, 0.110759, 0.145337, 0.180685, 0.216249, 0.240879, 0.254886, 0.2829, 0.295518, 0.31657, 0.364521, 0.38518, 0.418361, 0.431598, 0.45247, 0.480278, 0.505421, 0.538946, 0.5669, 0.579471, 0.604612, 0.654894, 0.720745, 0.786596, 0.852447, 0.918298");
-            values ( \
-              "-0.0497077, -0.35445, -0.366974, -0.369286, -0.370435, -0.369845, -0.366074, -0.361607, -0.354415, -0.345869, -0.335159, -0.325447, -0.31862, -0.300063, -0.288192, -0.261586, -0.188115, -0.15916, -0.119374, -0.105911, -0.0872797, -0.0669049, -0.0523698, -0.0374624, -0.0282316, -0.0248586, -0.0192174, -0.0113453, -0.00558522, -0.00274194, -0.00134023, -0.000657973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0397731, 0.052525, 0.0550873, 0.0611802, 0.253008, 0.382506, 0.436312, 0.478168, 0.544019, 0.59704, 0.708983, 0.805843, 0.85932, 0.920435, 1.00268, 1.05095, 1.1168, 1.20365, 1.2695, 1.33535, 1.46706, 1.52999");
-            values ( \
-              "-0.180184, -0.35534, -0.375409, -0.378384, -0.359652, -0.342689, -0.333055, -0.323375, -0.301158, -0.270959, -0.186774, -0.125436, -0.0990495, -0.0748382, -0.0507553, -0.0401528, -0.0290799, -0.0189059, -0.0135465, -0.00975023, -0.00500832, -0.00412769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0619644, 0.0654889, 0.0709942, 0.0803085, 0.0907268, 0.0951559, 0.0993219, 0.103466, 0.107606, 0.112633, 0.117995, 0.125791, 0.132252, 0.138001, 0.138121");
-            values ( \
-              "-0.0353, -0.043803, -0.0736435, -0.108887, -0.142149, -0.153806, -0.160673, -0.155997, -0.132916, -0.0877904, -0.0538621, -0.0203413, -0.00871751, -0.00390641, -0.00388279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0593319, 0.0790059, 0.0881267, 0.10143, 0.107939, 0.112588, 0.11311, 0.11393, 0.115522, 0.119105, 0.121973, 0.131143, 0.13565, 0.140234, 0.147254, 0.151676, 0.155451, 0.160538, 0.167319, 0.18018, 0.195165");
-            values ( \
-              "-0.00881304, -0.130114, -0.169188, -0.220251, -0.23966, -0.246704, -0.250515, -0.251548, -0.249108, -0.233553, -0.213141, -0.13146, -0.0984574, -0.072003, -0.0434357, -0.0311957, -0.023436, -0.0158801, -0.00930148, -0.00310584, -0.000809011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.0603316, 0.0876928, 0.100522, 0.112602, 0.114027, 0.115905, 0.120005, 0.128121, 0.131145, 0.138653, 0.146347, 0.148906, 0.154023, 0.166289, 0.178405, 0.187662, 0.194977, 0.20188, 0.211084, 0.217206, 0.224087, 0.233261, 0.251609, 0.277847, 0.309861");
-            values ( \
-              "-0.0176141, -0.191041, -0.251725, -0.303927, -0.312383, -0.31768, -0.316583, -0.308237, -0.304084, -0.29106, -0.271624, -0.262986, -0.240138, -0.172497, -0.115094, -0.0821824, -0.0621653, -0.0474987, -0.0328224, -0.0256101, -0.0193481, -0.0132249, -0.00595575, -0.00174337, -0.000350999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0606674, 0.0880374, 0.114385, 0.116776, 0.119098, 0.126941, 0.141269, 0.164719, 0.171613, 0.185401, 0.199847, 0.210396, 0.230992, 0.247866, 0.26217, 0.27412, 0.289861, 0.302419, 0.312672, 0.325101, 0.342646, 0.361138, 0.37534, 0.403744, 0.455047, 0.515909");
-            values ( \
-              "-0.009624, -0.207434, -0.343158, -0.349817, -0.349981, -0.347759, -0.341804, -0.32971, -0.325226, -0.314071, -0.297488, -0.279483, -0.223997, -0.173563, -0.136294, -0.110034, -0.0819372, -0.0642232, -0.0524565, -0.0408367, -0.0284914, -0.0194592, -0.0144493, -0.00784419, -0.00233563, -0.000515345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0696042, 0.0918618, 0.114536, 0.118462, 0.119429, 0.145012, 0.187934, 0.222679, 0.241461, 0.259643, 0.296293, 0.314316, 0.33354, 0.347298, 0.364596, 0.41761, 0.451174, 0.459164, 0.483873, 0.51023, 0.530835, 0.554165, 0.585272, 0.611483, 0.638106, 0.673604, 0.739455, 0.805306, 0.871157, 0.937008");
-            values ( \
-              "-0.107225, -0.236431, -0.359514, -0.368458, -0.367728, -0.363199, -0.354388, -0.346037, -0.34073, -0.334859, -0.319304, -0.308523, -0.292821, -0.277566, -0.253554, -0.17298, -0.130067, -0.121183, -0.0968169, -0.0755122, -0.0619246, -0.0492807, -0.0360924, -0.0276868, -0.0211206, -0.0146323, -0.00722397, -0.00354512, -0.0017395, -0.000848165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0701008, 0.113911, 0.115969, 0.120243, 0.175438, 0.251485, 0.295336, 0.381328, 0.442685, 0.508536, 0.544332, 0.59206, 0.627505, 0.674764, 0.758559, 0.82441, 0.867112, 0.889617, 0.931245, 0.985456, 1.03185, 1.08291, 1.14876, 1.2042, 1.23788, 1.30373, 1.36958, 1.43544, 1.56714, 1.69884, 1.83054");
-            values ( \
-              "-0.114307, -0.366129, -0.374283, -0.376397, -0.371731, -0.36428, -0.359621, -0.349027, -0.339675, -0.326427, -0.31668, -0.298402, -0.278676, -0.244732, -0.181427, -0.138628, -0.115368, -0.104415, -0.0865126, -0.0672064, -0.0539538, -0.0421983, -0.0305248, -0.0232493, -0.0196532, -0.0141395, -0.0101249, -0.00727086, -0.00372741, -0.00190959, -0.000979665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.110484, 0.119988, 0.124666, 0.127704, 0.140825, 0.155935, 0.163593, 0.170507, 0.176925, 0.183126, 0.19009, 0.199981, 0.204781, 0.209692, 0.215121, 0.218903, 0.223227, 0.231873, 0.243524, 0.249081, 0.252894, 0.253649");
-            values ( \
-              "-0.012319, -0.0200807, -0.0264514, -0.0314358, -0.0577572, -0.0804739, -0.0906045, -0.098965, -0.104918, -0.106981, -0.0941216, -0.0500847, -0.03277, -0.0202534, -0.0114238, -0.00757777, -0.00466279, -0.0016382, -0.000383613, -0.000248594, -0.00207404, -0.00205988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.126826, 0.146303, 0.148681, 0.151059, 0.153438, 0.158682, 0.161304, 0.166548, 0.170966, 0.173784, 0.176851, 0.178647, 0.180442, 0.185829, 0.190477, 0.19333, 0.19449, 0.19565, 0.19681, 0.19797, 0.200022, 0.202074, 0.204125, 0.206177, 0.208228, 0.210278, 0.212328, 0.214378, 0.214885, 0.215898, 0.216404, 0.217924, 0.22143, 0.224691, 0.226778, 0.227778, 0.228777, 0.230394, 0.23201, 0.233077, 0.235213, 0.237576, 0.239519, 0.241462, 0.242758, 0.244053, 0.245349, 0.247169, 0.248989, 0.251972");
-            values ( \
-              "-0.0769298, -0.0831897, -0.0878877, -0.0924899, -0.0969964, -0.106595, -0.111276, -0.120565, -0.12829, -0.133068, -0.138196, -0.14108, -0.143897, -0.151877, -0.158647, -0.162928, -0.163665, -0.164235, -0.164638, -0.164872, -0.164877, -0.164358, -0.163314, -0.161745, -0.159085, -0.155674, -0.151512, -0.146599, -0.144932, -0.14042, -0.137929, -0.13032, -0.111984, -0.0954114, -0.085042, -0.0802659, -0.0756585, -0.0689911, -0.0627061, -0.05889, -0.0515058, -0.0437196, -0.0383142, -0.0333017, -0.0301784, -0.0272298, -0.0244558, -0.0229105, -0.0214179, -0.0190861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.115817, 0.125091, 0.131122, 0.132383, 0.134904, 0.139946, 0.144315, 0.153054, 0.15804, 0.168011, 0.178213, 0.194189, 0.207935, 0.220344, 0.231957, 0.243448, 0.246477, 0.252306, 0.25546, 0.256029, 0.259439, 0.263987, 0.271977, 0.274658, 0.27734, 0.280917, 0.28807, 0.29142, 0.29219, 0.29373, 0.29681, 0.302907, 0.311352, 0.314898, 0.321991, 0.336176, 0.338797");
-            values ( \
-              "-0.0300567, -0.0429017, -0.0542839, -0.0573336, -0.0630136, -0.075326, -0.0870789, -0.1087, -0.11953, -0.141336, -0.162412, -0.19455, -0.219632, -0.239324, -0.250843, -0.245629, -0.239338, -0.219544, -0.204362, -0.201085, -0.18226, -0.158203, -0.120805, -0.10991, -0.0998162, -0.0875601, -0.066794, -0.0587156, -0.0569844, -0.0536785, -0.0475703, -0.0373306, -0.0265009, -0.0229506, -0.017146, -0.00940446, -0.00872335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.124074, 0.139228, 0.165515, 0.204823, 0.227413, 0.250716, 0.253082, 0.26008, 0.26459, 0.272794, 0.283324, 0.302236, 0.310566, 0.34598, 0.364033, 0.373704, 0.383181, 0.395466, 0.405288, 0.417817, 0.435438, 0.45422, 0.468678, 0.497594, 0.54895, 0.609998");
-            values ( \
-              "-0.0747692, -0.0820358, -0.149027, -0.238774, -0.286571, -0.330031, -0.331671, -0.328291, -0.325208, -0.319042, -0.309069, -0.281934, -0.262918, -0.159299, -0.116174, -0.0972582, -0.0812879, -0.0640374, -0.052752, -0.0409957, -0.0285497, -0.0193814, -0.0143202, -0.00767653, -0.0022865, -0.000496572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.124301, 0.152672, 0.17038, 0.190534, 0.20552, 0.231935, 0.243483, 0.251102, 0.253863, 0.256592, 0.26205, 0.267997, 0.277164, 0.289246, 0.302738, 0.316555, 0.344189, 0.352913, 0.370361, 0.390747, 0.429031, 0.436113, 0.445555, 0.460802, 0.479815, 0.517842, 0.544599, 0.554161, 0.562475, 0.57356, 0.592861, 0.61787, 0.624571, 0.637973, 0.663359, 0.699092, 0.715172, 0.722486, 0.737114, 0.76637, 0.824882, 0.890732, 0.956583, 1.02243");
-            values ( \
-              "-0.0542779, -0.120312, -0.166653, -0.216401, -0.252124, -0.314249, -0.340043, -0.356967, -0.359665, -0.359375, -0.358567, -0.357206, -0.355326, -0.352603, -0.349353, -0.345868, -0.337788, -0.334846, -0.328207, -0.31862, -0.290706, -0.28308, -0.271292, -0.24928, -0.219603, -0.163418, -0.129951, -0.119403, -0.110804, -0.100143, -0.0836291, -0.0658227, -0.0616832, -0.0541272, -0.0420754, -0.0293104, -0.0249059, -0.0231177, -0.0199184, -0.0147297, -0.00791437, -0.00388559, -0.00190754, -0.000929861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.150334, 0.190826, 0.207514, 0.212239, 0.221689, 0.226414, 0.229285, 0.232156, 0.235027, 0.239255, 0.243324, 0.248366, 0.249383, 0.25332, 0.255406, 0.264586, 0.300279, 0.371162, 0.454986, 0.495264, 0.536484, 0.585873, 0.602335, 0.614267, 0.638131, 0.661995, 0.685859, 0.697674, 0.709489, 0.733119, 0.756748, 0.768563, 0.78994, 0.810888, 0.838535, 0.868821, 0.885284, 0.918209, 0.960909, 0.983415, 1.01464, 1.02504, 1.05215, 1.09472, 1.12565, 1.16395, 1.19317, 1.2261, 1.24256, 1.27905");
-            values ( \
-              "-0.21834, -0.220323, -0.261912, -0.276498, -0.311465, -0.331846, -0.332871, -0.33469, -0.337301, -0.342589, -0.349302, -0.361263, -0.368453, -0.37209, -0.37263, -0.372138, -0.368768, -0.361469, -0.351688, -0.346085, -0.339212, -0.329654, -0.326162, -0.323289, -0.315873, -0.307399, -0.297866, -0.292184, -0.285093, -0.269827, -0.253113, -0.244213, -0.226799, -0.210576, -0.190285, -0.169446, -0.158727, -0.138576, -0.114954, -0.103546, -0.0903151, -0.0861944, -0.0763249, -0.0620672, -0.0531924, -0.044434, -0.0386982, -0.0330456, -0.030496, -0.0254581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.23213, 0.254303, 0.258074, 0.260533, 0.262991, 0.265449, 0.268798, 0.271309, 0.275282, 0.279256, 0.284838, 0.287519, 0.291808, 0.299592, 0.306044, 0.307296, 0.310555, 0.313814, 0.31816, 0.326619, 0.329501, 0.332383, 0.334755, 0.337128, 0.3395, 0.341872, 0.344245, 0.346617, 0.34899, 0.351362, 0.351424, 0.353268, 0.354252, 0.355236, 0.356873, 0.35851, 0.360425, 0.362339, 0.364308, 0.366934, 0.370291, 0.372711, 0.374013, 0.375314, 0.376616, 0.377917, 0.379219, 0.38052, 0.382901, 0.384993");
-            values ( \
-              "-0.0176511, -0.0177276, -0.0203369, -0.0221535, -0.0240613, -0.0260604, -0.0289547, -0.0310443, -0.0341246, -0.037046, -0.0410416, -0.0428744, -0.0457201, -0.0507629, -0.0547314, -0.0554795, -0.0573413, -0.0591281, -0.0614517, -0.0658573, -0.0675152, -0.0693099, -0.0693426, -0.0692806, -0.069124, -0.0688727, -0.0685268, -0.0680862, -0.067551, -0.0669212, -0.0668805, -0.0642831, -0.0626733, -0.0609432, -0.0577131, -0.0543193, -0.0501322, -0.0457421, -0.0410065, -0.035219, -0.0284967, -0.0238409, -0.0216644, -0.0196531, -0.017883, -0.0162275, -0.0146867, -0.0132607, -0.0109877, -0.00911459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.213228, 0.221566, 0.239244, 0.247515, 0.260663, 0.268043, 0.291238, 0.302958, 0.321158, 0.336719, 0.350657, 0.363542, 0.375904, 0.388242, 0.395596, 0.407055, 0.417506, 0.423178, 0.430741, 0.436665, 0.440797, 0.44906, 0.465587, 0.473338");
-            values ( \
-              "-0.00619941, -0.0076972, -0.0146744, -0.0192861, -0.0283382, -0.034965, -0.0577293, -0.0677857, -0.082281, -0.0935175, -0.102484, -0.109163, -0.112385, -0.104988, -0.0896986, -0.0595771, -0.0365927, -0.027232, -0.017842, -0.0126623, -0.00991353, -0.00594776, -0.00193612, -0.00136904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.203752, 0.24889, 0.267431, 0.329944, 0.375997, 0.394999, 0.41257, 0.429522, 0.447134, 0.481752, 0.493816, 0.511588, 0.525253, 0.536309, 0.546973");
-            values ( \
-              "-0.0079146, -0.0246587, -0.0399688, -0.103685, -0.142923, -0.156795, -0.166773, -0.170121, -0.152161, -0.0661712, -0.044743, -0.0238026, -0.0142984, -0.00929931, -0.00627708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.213656, 0.246556, 0.274856, 0.406031, 0.46188, 0.486424, 0.510448, 0.534409, 0.585529, 0.610714, 0.644636, 0.681261, 0.713645, 0.723536");
-            values ( \
-              "-0.0138087, -0.0280573, -0.0537067, -0.189017, -0.235033, -0.248187, -0.247191, -0.210108, -0.0933858, -0.0573053, -0.0287683, -0.0134402, -0.00663585, -0.00574422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.246463, 0.289328, 0.372165, 0.43458, 0.483152, 0.537358, 0.549953, 0.556299, 0.562421, 0.570998, 0.600259, 0.63021, 0.640908, 0.662017, 0.730538, 0.757119, 0.797867, 0.825667, 0.850794, 0.884296, 0.912269, 0.950005, 1.00032, 1.06617, 1.13202, 1.26372");
-            values ( \
-              "-0.0426516, -0.0728526, -0.165521, -0.230936, -0.278704, -0.325578, -0.334635, -0.336063, -0.335039, -0.331643, -0.318585, -0.298453, -0.288177, -0.26151, -0.159196, -0.126608, -0.0872695, -0.0669067, -0.0523804, -0.0374739, -0.0282396, -0.0192191, -0.0113379, -0.00558561, -0.00273816, -0.000655078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.274981, 0.326594, 0.413458, 0.482712, 0.549942, 0.558225, 0.573033, 0.585442, 0.597934, 0.670616, 0.724516, 0.783129, 0.823058, 0.888909, 0.906581, 0.941926, 1.05387, 1.11749, 1.1508, 1.20384, 1.26446, 1.30304, 1.34852, 1.39648, 1.46234, 1.49066, 1.54731, 1.61316, 1.67901, 1.74486, 1.81071, 1.94241, 2.13997");
-            values ( \
-              "-0.115115, -0.117554, -0.216019, -0.291323, -0.360328, -0.363145, -0.362745, -0.360751, -0.35974, -0.35077, -0.343162, -0.332665, -0.323376, -0.301152, -0.292504, -0.270947, -0.186756, -0.144454, -0.125377, -0.0992293, -0.0751205, -0.062703, -0.0505064, -0.0400461, -0.0289704, -0.0251928, -0.0190071, -0.0136504, -0.00979439, -0.00701164, -0.00502253, -0.00256951, -0.000934471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.435579, 0.481113, 0.487334, 0.495628, 0.509309, 0.51622, 0.530042, 0.542561, 0.571852, 0.594145, 0.616283, 0.623149, 0.63688, 0.647691, 0.656422, 0.671554, 0.686575, 0.69783, 0.716362, 0.724032, 0.7304, 0.73579, 0.742242, 0.750844, 0.768049, 0.789621, 0.817455, 0.85491, 0.984223, 1.18178");
-            values ( \
-              "-0.00188885, -0.00387069, -0.00439996, -0.00518709, -0.00671416, -0.00769991, -0.0100696, -0.0132179, -0.0222915, -0.0286298, -0.0343039, -0.0359526, -0.0389352, -0.0410291, -0.0424918, -0.0441482, -0.0432051, -0.0363008, -0.0177684, -0.0119558, -0.00836609, -0.00615972, -0.00426641, -0.00261435, -0.00105536, -0.000459289, -0.000268803, -0.000102166, -1.18444e-05, -1.75104e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.437782, 0.491469, 0.509781, 0.529693, 0.556306, 0.604651, 0.633786, 0.65826, 0.679953, 0.699919, 0.718955, 0.737956, 0.752114, 0.778265, 0.792505, 0.804877, 0.813751, 0.8315, 0.856558, 0.859296");
-            values ( \
-              "-0.00265418, -0.00752793, -0.0103287, -0.0144977, -0.0226261, -0.0417273, -0.0520185, -0.0598415, -0.0659273, -0.0705972, -0.0732824, -0.0711601, -0.0591037, -0.0273404, -0.015472, -0.00905053, -0.00605984, -0.00255341, -0.000722473, -0.000675949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.436682, 0.507671, 0.538503, 0.551478, 0.577426, 0.60823, 0.652987, 0.68219, 0.714579, 0.74347, 0.770169, 0.795654, 0.821106, 0.82766, 0.870819, 0.881126, 0.894737, 0.910728, 0.919692, 0.937618, 0.971409, 1.01026, 1.05879, 1.18739");
-            values ( \
-              "-0.00229134, -0.0137657, -0.0218724, -0.0260912, -0.0365622, -0.050998, -0.0705378, -0.0821348, -0.0938862, -0.103144, -0.11005, -0.11384, -0.108231, -0.102871, -0.0478344, -0.0370394, -0.0257158, -0.0162381, -0.0124202, -0.00706133, -0.00211536, -0.000489682, -9.21935e-05, -2.53875e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.448161, 0.50216, 0.534618, 0.564161, 0.58587, 0.705725, 0.758067, 0.80265, 0.842727, 0.879673, 0.915197, 0.951744, 1.00311, 1.02895, 1.05226, 1.08416, 1.10609, 1.13533, 1.18955, 1.21588, 1.23408, 1.25753");
-            values ( \
-              "-0.00598702, -0.0156415, -0.0252506, -0.0359536, -0.0452033, -0.102355, -0.124362, -0.141562, -0.155307, -0.165463, -0.169634, -0.154431, -0.0901508, -0.0617831, -0.0423795, -0.0242345, -0.0161968, -0.00917925, -0.00280643, -0.00163201, -0.00194528, -0.00131133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.50172, 0.57072, 0.619451, 0.703407, 0.798558, 0.889436, 0.956078, 0.991008, 1.04326, 1.09404, 1.14469, 1.2106, 1.25178, 1.2921, 1.31789, 1.34121, 1.38701, 1.4131, 1.4653, 1.53115, 1.57915");
-            values ( \
-              "-0.0289226, -0.0428465, -0.0652949, -0.107791, -0.1522, -0.191702, -0.217919, -0.229929, -0.243758, -0.244721, -0.210431, -0.134112, -0.0941651, -0.0641633, -0.0498744, -0.0395473, -0.0248681, -0.0190392, -0.011001, -0.00541763, -0.00340488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.554543, 0.64043, 0.800124, 0.931826, 1.0094, 1.12806, 1.19391, 1.21548, 1.22486, 1.23627, 1.28629, 1.32589, 1.36964, 1.43549, 1.52737, 1.59322, 1.61697, 1.65246, 1.69301, 1.75023, 1.80048, 1.85063, 1.91648, 1.96953, 2.00155, 2.06558, 2.13143, 2.19728, 2.26314, 2.39484, 2.59239");
-            values ( \
-              "-0.0786417, -0.0786882, -0.159289, -0.222431, -0.257848, -0.307273, -0.330251, -0.336503, -0.336497, -0.334764, -0.323391, -0.311496, -0.292542, -0.24885, -0.179477, -0.137096, -0.123786, -0.10598, -0.0882176, -0.0676507, -0.0533296, -0.041836, -0.0303081, -0.0233127, -0.0199152, -0.0144224, -0.0103683, -0.00740667, -0.00532304, -0.00272817, -0.000979745" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0259501, 0.0360665, 0.0565704, 0.0988494, 0.186683, 0.369603", \
-            "0.0307225, 0.0409229, 0.0615505, 0.103941, 0.191846, 0.374803", \
-            "0.0387553, 0.0517583, 0.0729376, 0.115431, 0.203439, 0.386461", \
-            "0.0459434, 0.0652575, 0.0955077, 0.14157, 0.229589, 0.412512", \
-            "0.0472186, 0.0751497, 0.11968, 0.187463, 0.287834, 0.4707", \
-            "0.0321959, 0.071596, 0.135234, 0.234465, 0.382987, 0.600724" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0185229, 0.0314902, 0.058626, 0.115285, 0.233156, 0.478708", \
-            "0.0195261, 0.0316169, 0.0586217, 0.115283, 0.233156, 0.478707", \
-            "0.0277286, 0.0374518, 0.0605143, 0.115281, 0.233172, 0.478708", \
-            "0.0423893, 0.0559281, 0.0775431, 0.122455, 0.233098, 0.478858", \
-            "0.0659108, 0.0855786, 0.116411, 0.164358, 0.254621, 0.479992", \
-            "0.106233, 0.132999, 0.176953, 0.245821, 0.350098, 0.534523" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0233802, 0.0290452, 0.0318235, 0.0346256, 0.0374892, 0.0404775, 0.0432781, 0.0446269, 0.0508184, 0.0544631, 0.0582889, 0.0628985, 0.0658971, 0.0707646, 0.0763303, 0.0801819");
-            values ( \
-              "-0.226959, -0.236068, -0.235684, -0.232258, -0.225522, -0.212429, -0.194056, -0.182272, -0.107294, -0.0716347, -0.0452422, -0.0252199, -0.0170601, -0.00891139, -0.00408473, -0.00263987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0237121, 0.0247364, 0.0262147, 0.0274298, 0.0285801, 0.0307202, 0.0357768, 0.0450887, 0.0499505, 0.055705, 0.0581907, 0.0610307, 0.0702549, 0.0765356, 0.0830471, 0.086404, 0.0908799, 0.0960588, 0.104453, 0.115645, 0.128283, 0.140704");
-            values ( \
-              "-0.2657, -0.268977, -0.283915, -0.289749, -0.292387, -0.294134, -0.291668, -0.279714, -0.268781, -0.247406, -0.234079, -0.213484, -0.131132, -0.0871238, -0.055077, -0.0431186, -0.0308359, -0.0208089, -0.0108503, -0.00428739, -0.00146044, -0.00057781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0241929, 0.0285723, 0.0306626, 0.0328426, 0.0384481, 0.0501066, 0.0589724, 0.0671623, 0.0737078, 0.0776252, 0.0854601, 0.092727, 0.110566, 0.121935, 0.127206, 0.134208, 0.140596, 0.149112, 0.156008, 0.163667, 0.173878, 0.191275, 0.206963");
-            values ( \
-              "-0.319155, -0.331642, -0.33413, -0.334383, -0.331983, -0.324544, -0.317035, -0.308139, -0.298388, -0.290996, -0.271102, -0.241394, -0.145378, -0.0977197, -0.0803988, -0.0615451, -0.0479862, -0.0341114, -0.0258059, -0.0188902, -0.0123508, -0.00585016, -0.00342602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.0242881, 0.0257571, 0.0277959, 0.0297488, 0.0319616, 0.0344539, 0.051423, 0.0684592, 0.101194, 0.110371, 0.123356, 0.138599, 0.149163, 0.169764, 0.186665, 0.200993, 0.212916, 0.228664, 0.241248, 0.251549, 0.263993, 0.281575, 0.300157, 0.314438, 0.342999, 0.395333, 0.450538");
-            values ( \
-              "-0.314292, -0.33938, -0.352501, -0.357002, -0.35852, -0.358685, -0.353484, -0.346792, -0.331018, -0.325162, -0.314733, -0.297421, -0.279395, -0.223904, -0.173422, -0.136115, -0.109951, -0.0818656, -0.0641247, -0.0523272, -0.0407358, -0.028396, -0.0193631, -0.0143708, -0.00777493, -0.00226679, -0.000607704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.0243333, 0.0284391, 0.0312183, 0.0351693, 0.0512688, 0.102084, 0.161808, 0.198173, 0.213318, 0.236013, 0.274246, 0.28149, 0.306257, 0.362784, 0.389817, 0.407933, 0.438411, 0.4633, 0.483178, 0.508642, 0.544457, 0.582744, 0.612232, 0.671209, 0.736947, 0.802685, 0.868422");
-            values ( \
-              "-0.327658, -0.367196, -0.371146, -0.372096, -0.369607, -0.359538, -0.345816, -0.334797, -0.329115, -0.31858, -0.29072, -0.282913, -0.248958, -0.163842, -0.129995, -0.110603, -0.0834022, -0.0657188, -0.0541627, -0.0420736, -0.029288, -0.0198534, -0.01465, -0.00783468, -0.00385714, -0.00189926, -0.000930532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0242562, 0.028245, 0.0302596, 0.0352563, 0.192556, 0.319913, 0.381044, 0.446782, 0.48293, 0.531127, 0.56449, 0.598485, 0.695512, 0.76125, 0.806069, 0.870815, 0.924346, 0.969372, 1.02077, 1.08651, 1.14306, 1.17749, 1.24323, 1.30897, 1.3747, 1.50618, 1.63765, 1.76913");
-            values ( \
-              "-0.334656, -0.373333, -0.377014, -0.379019, -0.363983, -0.348982, -0.339661, -0.326428, -0.316583, -0.298056, -0.279542, -0.25582, -0.182386, -0.139506, -0.115042, -0.086019, -0.0670391, -0.0541733, -0.0422956, -0.0306219, -0.0231926, -0.0195371, -0.014062, -0.0100801, -0.00724101, -0.00371773, -0.00190769, -0.000980285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0337776, 0.0420858, 0.0454049, 0.0509383, 0.0522647, 0.0538829, 0.055032, 0.056178, 0.0576395, 0.0615198, 0.06412, 0.0694968, 0.0721414, 0.0748278, 0.0789456, 0.0815422, 0.083763, 0.0862257, 0.0895094, 0.096025, 0.103139, 0.110986");
-            values ( \
-              "-0.00122834, -0.138131, -0.165176, -0.205231, -0.221955, -0.225775, -0.225675, -0.223707, -0.219258, -0.197631, -0.173841, -0.107611, -0.0805884, -0.0589224, -0.0354934, -0.0254169, -0.0190546, -0.0137874, -0.00885227, -0.00348941, -0.00120338, -0.0003869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.0380381, 0.0427397, 0.0509167, 0.0519062, 0.0527011, 0.0542742, 0.0567014, 0.0605149, 0.0663611, 0.0714678, 0.074625, 0.0769766, 0.0808643, 0.0878673, 0.0939258, 0.098857, 0.102843, 0.1072, 0.114464, 0.124058, 0.134291, 0.142948");
-            values ( \
-              "-0.106546, -0.180845, -0.255901, -0.275124, -0.281196, -0.286655, -0.28794, -0.284743, -0.274831, -0.259933, -0.246823, -0.234107, -0.204508, -0.140883, -0.0957076, -0.0681861, -0.0512239, -0.0371707, -0.0214007, -0.0101931, -0.00440317, -0.00306996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0381892, 0.0425877, 0.0524376, 0.0554292, 0.0588115, 0.070592, 0.0860261, 0.0966148, 0.104196, 0.112198, 0.135969, 0.148195, 0.163893, 0.172916, 0.185336, 0.207354, 0.207379");
-            values ( \
-              "-0.0611392, -0.198166, -0.317934, -0.329713, -0.330703, -0.323465, -0.308363, -0.291094, -0.271813, -0.238857, -0.116524, -0.0746551, -0.0405911, -0.0279132, -0.0167621, -0.00654666, -0.00654386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0382652, 0.045557, 0.0517676, 0.0549153, 0.0569878, 0.0601992, 0.0697327, 0.0891648, 0.120305, 0.142481, 0.157709, 0.168272, 0.188873, 0.205618, 0.228936, 0.238549, 0.251366, 0.263486, 0.277822, 0.296937, 0.3083, 0.325852, 0.349254, 0.396057, 0.450976");
-            values ( \
-              "-0.0751776, -0.250714, -0.332796, -0.353228, -0.356058, -0.356458, -0.353859, -0.346164, -0.331036, -0.314735, -0.297433, -0.279383, -0.223918, -0.173861, -0.116312, -0.0974735, -0.0763736, -0.0603014, -0.0453326, -0.0306505, -0.0242737, -0.0168722, -0.0102635, -0.00351607, -0.000945688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0421177, 0.0507984, 0.054901, 0.0566142, 0.060293, 0.0699122, 0.132634, 0.180887, 0.217252, 0.232171, 0.255092, 0.29332, 0.300579, 0.325354, 0.38183, 0.408889, 0.427026, 0.45751, 0.482387, 0.502241, 0.527711, 0.56353, 0.60183, 0.631332, 0.690334, 0.756072, 0.887547");
-            values ( \
-              "-0.307452, -0.324138, -0.36699, -0.369543, -0.370768, -0.369718, -0.357176, -0.345777, -0.334758, -0.329245, -0.318542, -0.290764, -0.282902, -0.248932, -0.163887, -0.130005, -0.11059, -0.0833871, -0.065714, -0.054171, -0.0420787, -0.0292898, -0.0198517, -0.0146473, -0.00783049, -0.00385556, -0.000930388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0404571, 0.0527235, 0.0563466, 0.0617076, 0.0712966, 0.224502, 0.310649, 0.356291, 0.400572, 0.466309, 0.502469, 0.550682, 0.583974, 0.61799, 0.715041, 0.780779, 0.825601, 0.890348, 0.943877, 0.988901, 1.0403, 1.10604, 1.16259, 1.19702, 1.26276, 1.3285, 1.39423, 1.52571, 1.65718, 1.78866");
-            values ( \
-              "-0.189411, -0.362076, -0.375941, -0.37834, -0.377902, -0.362682, -0.352771, -0.346609, -0.339673, -0.326417, -0.316568, -0.298057, -0.279584, -0.255827, -0.182375, -0.13952, -0.115028, -0.0860046, -0.067053, -0.054188, -0.0422819, -0.0306364, -0.0231784, -0.0195518, -0.0140476, -0.0100947, -0.00722655, -0.00370323, -0.00189315, -0.000965718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.060791, 0.0653045, 0.0662381, 0.0671717, 0.0674829, 0.0690301, 0.0697309, 0.0711324, 0.0718332, 0.0726496, 0.0750989, 0.0767317, 0.0785041, 0.0830651, 0.0858539, 0.0870734, 0.0907322, 0.0929525, 0.0940627, 0.0951728, 0.0968383, 0.0973935, 0.0983684, 0.0993433, 0.100375, 0.101406, 0.101923, 0.10244, 0.102956, 0.103473, 0.103993, 0.104513, 0.105554, 0.106679, 0.107242, 0.108003, 0.111755, 0.112729, 0.113135, 0.11354, 0.114018, 0.114496, 0.116034, 0.117848, 0.119654, 0.121059, 0.121851, 0.123292, 0.12535, 0.127231");
-            values ( \
-              "-0.0139965, -0.044131, -0.0480659, -0.0526547, -0.0543163, -0.0635938, -0.0672719, -0.074095, -0.0772399, -0.0805968, -0.0901756, -0.0962555, -0.102539, -0.11818, -0.12737, -0.131215, -0.142243, -0.148276, -0.15112, -0.153848, -0.157402, -0.158489, -0.159127, -0.159393, -0.159269, -0.158729, -0.158004, -0.157056, -0.155883, -0.154487, -0.152638, -0.150521, -0.145483, -0.138823, -0.135022, -0.129309, -0.0950039, -0.0848531, -0.083682, -0.0821919, -0.0800273, -0.07742, -0.0663466, -0.0545443, -0.0439369, -0.036618, -0.0331658, -0.027705, -0.0213522, -0.016222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0637907, 0.0687938, 0.0711316, 0.0734694, 0.0778645, 0.0807914, 0.0859828, 0.0884008, 0.0916247, 0.0967587, 0.0994573, 0.102274, 0.103743, 0.105212, 0.10669, 0.108168, 0.109254, 0.110341, 0.111208, 0.112074, 0.112397, 0.11272, 0.113497, 0.114346, 0.114936, 0.115491, 0.116047, 0.116603, 0.117714, 0.118531, 0.119313, 0.1214, 0.123372, 0.125344, 0.127459, 0.129044, 0.131055, 0.13206, 0.134189, 0.136815, 0.138816, 0.140818, 0.14282, 0.145476, 0.146664, 0.147615, 0.14888, 0.15125, 0.154572, 0.157484");
-            values ( \
-              "-0.0345713, -0.074746, -0.0897288, -0.103315, -0.124495, -0.137674, -0.159861, -0.169843, -0.182817, -0.202956, -0.213011, -0.223114, -0.228015, -0.232644, -0.236843, -0.240644, -0.242862, -0.24469, -0.245678, -0.246309, -0.248237, -0.250675, -0.25081, -0.250596, -0.250226, -0.249133, -0.247724, -0.24594, -0.241541, -0.237604, -0.23327, -0.218151, -0.202167, -0.184672, -0.164435, -0.150117, -0.133374, -0.125456, -0.109781, -0.0916298, -0.0799172, -0.0697598, -0.0606202, -0.049953, -0.0455397, -0.0422976, -0.0385329, -0.0323853, -0.0253273, -0.0198105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.0667507, 0.0770747, 0.0845444, 0.0989805, 0.11258, 0.114478, 0.117568, 0.124083, 0.129215, 0.135639, 0.143577, 0.148972, 0.159131, 0.16918, 0.179856, 0.189857, 0.197277, 0.205362, 0.21896, 0.227307, 0.236848, 0.255928, 0.283657, 0.312555");
-            values ( \
-              "-0.0710026, -0.13615, -0.175928, -0.244854, -0.304255, -0.31607, -0.318319, -0.313146, -0.306991, -0.297042, -0.279817, -0.262802, -0.212971, -0.157971, -0.109698, -0.0759618, -0.0571399, -0.0415801, -0.0239503, -0.0170443, -0.0114654, -0.00500508, -0.0013604, -0.000366795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0669441, 0.0784406, 0.0816082, 0.113746, 0.115142, 0.116489, 0.119218, 0.126861, 0.144521, 0.162547, 0.171722, 0.184699, 0.190428, 0.19995, 0.210514, 0.231115, 0.248014, 0.262341, 0.274266, 0.290014, 0.302597, 0.312896, 0.32534, 0.342921, 0.361498, 0.375775, 0.404329, 0.456409, 0.510952");
-            values ( \
-              "-0.0538363, -0.152887, -0.171401, -0.341873, -0.348068, -0.349659, -0.350685, -0.348132, -0.34039, -0.331013, -0.325174, -0.314731, -0.30901, -0.29741, -0.279408, -0.223895, -0.173417, -0.136132, -0.109943, -0.0818568, -0.0641375, -0.0523416, -0.04073, -0.0284095, -0.0193763, -0.0143664, -0.00778751, -0.0022763, -0.000633988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0771048, 0.0969437, 0.11388, 0.115779, 0.119671, 0.167181, 0.223518, 0.259885, 0.297698, 0.33587, 0.343374, 0.353378, 0.413821, 0.447011, 0.466201, 0.496711, 0.523751, 0.54738, 0.571966, 0.607108, 0.622408, 0.653008, 0.714208, 0.779945, 0.911421");
-            values ( \
-              "-0.242305, -0.263791, -0.360091, -0.365625, -0.367928, -0.358855, -0.345822, -0.3348, -0.31861, -0.290801, -0.28272, -0.270129, -0.17883, -0.135297, -0.114147, -0.0861567, -0.0665244, -0.0528518, -0.0414126, -0.0290168, -0.0248511, -0.0181557, -0.00948286, -0.00467475, -0.00112884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0838714, 0.111312, 0.114993, 0.120314, 0.295391, 0.381636, 0.442756, 0.508494, 0.544641, 0.592838, 0.626202, 0.660196, 0.757224, 0.822961, 0.86778, 0.932526, 0.986056, 1.03108, 1.08248, 1.14822, 1.20477, 1.23919, 1.30493, 1.37067, 1.43641, 1.56788, 1.69936, 1.83083");
-            values ( \
-              "-0.347697, -0.349823, -0.372811, -0.376879, -0.359587, -0.34898, -0.339661, -0.326428, -0.316582, -0.298057, -0.279542, -0.25582, -0.182386, -0.139507, -0.115042, -0.0860185, -0.0670408, -0.0541752, -0.0422948, -0.0306237, -0.0231916, -0.0195396, -0.0140608, -0.0100823, -0.00723949, -0.00371603, -0.00190587, -0.000978386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.111684, 0.124518, 0.135836, 0.140744, 0.155857, 0.16351, 0.170418, 0.176836, 0.179997, 0.183006, 0.185659, 0.188912, 0.192256, 0.198918, 0.204323, 0.207352, 0.211391, 0.215603, 0.218576, 0.224521, 0.232488, 0.240793, 0.248933, 0.252118, 0.257281, 0.262152, 0.270331");
-            values ( \
-              "-0.00995949, -0.0261387, -0.0491532, -0.0577996, -0.0805002, -0.090772, -0.0989682, -0.104951, -0.106737, -0.106703, -0.104257, -0.097192, -0.0845077, -0.0537897, -0.0335713, -0.0250849, -0.0165461, -0.0105479, -0.00761211, -0.00381543, -0.00147001, -0.000559683, -0.000275112, -0.00218476, -0.00216045, -0.000962876, -0.00032597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.115954, 0.124595, 0.134447, 0.141221, 0.151148, 0.165965, 0.174534, 0.180767, 0.192288, 0.198226, 0.202199, 0.206383, 0.213667, 0.228123, 0.235557, 0.240331, 0.24379, 0.249011, 0.252236, 0.260645, 0.266859, 0.275285, 0.287513, 0.301107");
-            values ( \
-              "-0.0236098, -0.0345171, -0.0552084, -0.0724104, -0.0927431, -0.119796, -0.134377, -0.144429, -0.160731, -0.166659, -0.168439, -0.166927, -0.150812, -0.0797219, -0.0507166, -0.0370265, -0.0292513, -0.0200903, -0.0191186, -0.0107559, -0.00657461, -0.00333928, -0.00122306, -0.000400323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.112547, 0.145781, 0.153515, 0.166976, 0.190638, 0.206875, 0.219928, 0.224903, 0.23151, 0.242973, 0.24592, 0.251662, 0.265483, 0.272416, 0.277841, 0.285074, 0.290245, 0.299993, 0.309915, 0.313349, 0.321383, 0.330566, 0.34893, 0.376278, 0.404589");
-            values ( \
-              "-0.000240634, -0.0922674, -0.111031, -0.14044, -0.189046, -0.219228, -0.239665, -0.245799, -0.250946, -0.245853, -0.239726, -0.22041, -0.148268, -0.116937, -0.0962732, -0.0734851, -0.0602554, -0.04111, -0.0274828, -0.0239263, -0.0172338, -0.0117881, -0.00531459, -0.00148745, -0.000399297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.124435, 0.158722, 0.192739, 0.212809, 0.228599, 0.250651, 0.253326, 0.259563, 0.268539, 0.273654, 0.284678, 0.300103, 0.304809, 0.314223, 0.339522, 0.353864, 0.36314, 0.379537, 0.395161, 0.401936, 0.412799, 0.423855, 0.439281, 0.453254, 0.471884, 0.509145, 0.561416, 0.61691");
-            values ( \
-              "-0.0413334, -0.131504, -0.211569, -0.255705, -0.288419, -0.330385, -0.332513, -0.329461, -0.323336, -0.319365, -0.309041, -0.288232, -0.279437, -0.256575, -0.180989, -0.142553, -0.12101, -0.0894311, -0.0661114, -0.0578812, -0.046631, -0.0373025, -0.0271659, -0.0203637, -0.0137816, -0.00605864, -0.00176812, -0.000469304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.140188, 0.168394, 0.222083, 0.251072, 0.253921, 0.261116, 0.274479, 0.317556, 0.353935, 0.390603, 0.408441, 0.427467, 0.442347, 0.459311, 0.510994, 0.54483, 0.553343, 0.580166, 0.608776, 0.625342, 0.643955, 0.668373, 0.702223, 0.716444, 0.744888, 0.801774, 0.867512, 0.93325, 0.998988");
-            values ( \
-              "-0.149413, -0.161245, -0.291578, -0.357198, -0.360012, -0.358989, -0.356103, -0.345823, -0.334805, -0.319269, -0.308601, -0.293141, -0.276588, -0.25289, -0.174241, -0.130785, -0.121296, -0.0950313, -0.0724802, -0.0617826, -0.0515115, -0.0404116, -0.0286923, -0.0248389, -0.018564, -0.0101797, -0.00502447, -0.00246822, -0.00121555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.151586, 0.192347, 0.249584, 0.255103, 0.398236, 0.485352, 0.531858, 0.56682, 0.606776, 0.660959, 0.697982, 0.76372, 0.855833, 0.921571, 0.960519, 1.02129, 1.07859, 1.12893, 1.17909, 1.24482, 1.29806, 1.39445, 1.46019, 1.52592, 1.6574, 1.92035");
-            values ( \
-              "-0.217696, -0.226068, -0.368503, -0.372955, -0.358619, -0.347669, -0.340508, -0.334186, -0.325382, -0.309025, -0.292508, -0.248883, -0.179353, -0.137074, -0.115834, -0.0882205, -0.0676435, -0.053303, -0.0418008, -0.0303162, -0.0232828, -0.0143789, -0.0103594, -0.00739139, -0.00378122, -0.000976212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.225847, 0.246612, 0.248252, 0.25047, 0.252689, 0.254907, 0.258743, 0.262579, 0.268231, 0.273884, 0.278143, 0.282402, 0.287723, 0.293117, 0.297112, 0.302026, 0.311074, 0.314987, 0.320829, 0.326371, 0.328862, 0.332366, 0.334814, 0.337261, 0.33955, 0.340694, 0.341839, 0.343012, 0.344186, 0.34536, 0.346534, 0.348207, 0.34988, 0.350582, 0.351284, 0.352687, 0.35409, 0.355009, 0.357767, 0.361019, 0.364528, 0.366827, 0.36956, 0.373221, 0.374916, 0.376046, 0.378305, 0.379435, 0.38139, 0.385307");
-            values ( \
-              "-0.0128379, -0.013446, -0.0141863, -0.0153277, -0.0166667, -0.0180994, -0.0207982, -0.0237773, -0.0285492, -0.033091, -0.0363078, -0.0393525, -0.0430715, -0.0466928, -0.0492637, -0.0522936, -0.0576707, -0.0598684, -0.0629201, -0.0655597, -0.0666535, -0.0680724, -0.0689465, -0.0697298, -0.0699071, -0.0699273, -0.0699018, -0.0698283, -0.0697068, -0.0695372, -0.0693196, -0.0686425, -0.0677187, -0.0671131, -0.0664139, -0.0645664, -0.0624407, -0.0608205, -0.0555521, -0.0485286, -0.0402453, -0.0352149, -0.0297204, -0.0228183, -0.0200549, -0.0184784, -0.0155865, -0.0142711, -0.0122739, -0.00861826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.230186, 0.251749, 0.255303, 0.25888, 0.260073, 0.263889, 0.269472, 0.27477, 0.275338, 0.276475, 0.283075, 0.289435, 0.299317, 0.307159, 0.316253, 0.324418, 0.331261, 0.33882, 0.347555, 0.351799, 0.356044, 0.363299, 0.364877, 0.366456, 0.368035, 0.369614, 0.371117, 0.372619, 0.374122, 0.375625, 0.37863, 0.381636, 0.384641, 0.387647, 0.388203, 0.389314, 0.391538, 0.394873, 0.399294, 0.406644, 0.40867, 0.412015, 0.417435, 0.420357, 0.422671, 0.424986, 0.426639, 0.429945, 0.431598, 0.434278");
-            values ( \
-              "-0.0219123, -0.0223142, -0.0246371, -0.0272584, -0.0281745, -0.0314549, -0.0367932, -0.0424529, -0.0428263, -0.0437947, -0.0504537, -0.0564874, -0.0648451, -0.0712872, -0.0785487, -0.084787, -0.0897409, -0.0949992, -0.100696, -0.103217, -0.105558, -0.109326, -0.1099, -0.110356, -0.110694, -0.110913, -0.111012, -0.111003, -0.110887, -0.110664, -0.109887, -0.108676, -0.10703, -0.104949, -0.104329, -0.10243, -0.0979908, -0.0901996, -0.0790577, -0.0595355, -0.0546482, -0.0471093, -0.035987, -0.0307096, -0.027263, -0.0241103, -0.0220621, -0.0183677, -0.0167217, -0.0143267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.21857, 0.252716, 0.263939, 0.313202, 0.343919, 0.370319, 0.385899, 0.403987, 0.412286, 0.42728, 0.432917, 0.439439, 0.44655, 0.469816, 0.482805, 0.493179, 0.499205, 0.510957, 0.525313, 0.53705, 0.550123, 0.558808, 0.578501, 0.598012");
-            values ( \
-              "-0.00457047, -0.0288068, -0.0377005, -0.0888857, -0.117074, -0.139248, -0.151116, -0.162949, -0.167157, -0.170589, -0.168812, -0.163599, -0.152292, -0.0922323, -0.062868, -0.0448086, -0.0364703, -0.0238337, -0.0139513, -0.00886171, -0.00523102, -0.00539006, -0.00245438, -0.00112885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.24689, 0.275213, 0.31558, 0.347006, 0.384827, 0.421309, 0.450483, 0.462594, 0.483366, 0.494651, 0.510968, 0.534709, 0.572132, 0.588222, 0.611177, 0.622573, 0.637769, 0.649664, 0.661243, 0.676681, 0.707558, 0.731422");
-            values ( \
-              "-0.0501016, -0.0539877, -0.0988783, -0.13159, -0.168842, -0.202417, -0.226496, -0.235243, -0.247077, -0.250208, -0.247185, -0.211058, -0.121357, -0.090267, -0.0578096, -0.0460781, -0.0338266, -0.0265036, -0.0208778, -0.0151202, -0.00775889, -0.00521911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.246692, 0.291372, 0.373032, 0.422065, 0.468029, 0.506395, 0.52516, 0.549984, 0.556508, 0.562802, 0.585695, 0.600645, 0.619858, 0.641246, 0.682882, 0.717451, 0.746797, 0.761114, 0.78222, 0.801884, 0.827632, 0.848969, 0.874088, 0.909635, 0.946898, 0.975486, 1.03266, 1.0984, 1.16414, 1.22988");
-            values ( \
-              "-0.0355991, -0.0751979, -0.16646, -0.218062, -0.26417, -0.299871, -0.315882, -0.334759, -0.336138, -0.335038, -0.325884, -0.318546, -0.306827, -0.288132, -0.230586, -0.178005, -0.139238, -0.122818, -0.101481, -0.0844936, -0.0660373, -0.0536559, -0.041824, -0.0291892, -0.0199953, -0.0148946, -0.00812973, -0.00400255, -0.00196959, -0.000964917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.27492, 0.324087, 0.387379, 0.470549, 0.549881, 0.553203, 0.558296, 0.670953, 0.722676, 0.783453, 0.823403, 0.889141, 0.90693, 0.942509, 1.05408, 1.11751, 1.15111, 1.20461, 1.26576, 1.30349, 1.34797, 1.39626, 1.462, 1.49113, 1.5494, 1.61514, 1.68087, 1.74661, 1.81235, 1.94383, 2.14104");
-            values ( \
-              "-0.110968, -0.114723, -0.187201, -0.278408, -0.360328, -0.363034, -0.364148, -0.350786, -0.343449, -0.33263, -0.323371, -0.301173, -0.292488, -0.270763, -0.18684, -0.144639, -0.125397, -0.0990362, -0.0747885, -0.0626734, -0.0507277, -0.0401565, -0.0290727, -0.0251792, -0.018846, -0.0135411, -0.00972529, -0.00696503, -0.00499553, -0.00256086, -0.00093259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.428495, 0.480708, 0.501696, 0.515792, 0.540141, 0.579825, 0.622837, 0.640171, 0.654603, 0.665322, 0.670981, 0.674328, 0.677897, 0.681953, 0.686042, 0.692763, 0.717612, 0.732527, 0.745582, 0.75675, 0.766179, 0.783826, 0.807676, 0.834641, 0.944447, 1.19408");
-            values ( \
-              "-0.00111652, -0.00390455, -0.00578288, -0.00768538, -0.0123325, -0.0248614, -0.036047, -0.0397597, -0.0423324, -0.0436509, -0.0441942, -0.0443111, -0.0442609, -0.0439625, -0.0431068, -0.0400619, -0.016317, -0.00717162, -0.00338516, -0.00178368, -0.00114773, -0.000548783, -0.000278376, -0.000158032, -1.34643e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.428295, 0.490428, 0.498375, 0.514269, 0.529103, 0.555755, 0.563794, 0.604219, 0.633397, 0.663741, 0.68308, 0.699582, 0.70471, 0.714967, 0.718519, 0.725623, 0.737258, 0.751435, 0.775391, 0.781862, 0.790488, 0.797034, 0.80446, 0.814362, 0.829801, 0.84648, 0.864401, 0.883897, 0.935866, 1.15937");
-            values ( \
-              "-0.00144969, -0.00735057, -0.00846105, -0.0112642, -0.0143805, -0.0223872, -0.0258324, -0.0417076, -0.0519765, -0.0615424, -0.0668444, -0.0706439, -0.0715965, -0.0730807, -0.0733582, -0.0735104, -0.0711202, -0.0594926, -0.0296936, -0.0232839, -0.0163913, -0.0123966, -0.00893186, -0.00566379, -0.00270072, -0.00121745, -0.000560607, -0.000269212, -8.55002e-05, -1.11934e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.428196, 0.507808, 0.527716, 0.551258, 0.578877, 0.608176, 0.64373, 0.677987, 0.704013, 0.729753, 0.757259, 0.769856, 0.789477, 0.795267, 0.806848, 0.820741, 0.840096, 0.864849, 0.878235, 0.892757, 0.90513, 0.920855, 0.941821, 0.973265, 1.01003, 1.05243, 1.1699");
-            values ( \
-              "-0.000436554, -0.0138784, -0.0188647, -0.0260972, -0.0373635, -0.0511033, -0.0667614, -0.0806088, -0.0903107, -0.0990507, -0.107089, -0.110086, -0.113361, -0.113694, -0.113109, -0.107748, -0.0878015, -0.0545031, -0.0394916, -0.0268818, -0.0188986, -0.0118432, -0.00606363, -0.00200463, -0.000524001, -0.000125127, -2.68427e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.493843, 0.555749, 0.585705, 0.645458, 0.690551, 0.741198, 0.775204, 0.82844, 0.869173, 0.897521, 0.914797, 0.949348, 0.962875, 0.998607, 1.01874, 1.03516, 1.04901, 1.06295, 1.08271, 1.10782, 1.14129, 1.19623, 1.21583, 1.23156, 1.2707");
-            values ( \
-              "-0.0254492, -0.0328245, -0.0452868, -0.0747425, -0.0957513, -0.117585, -0.13123, -0.15067, -0.162906, -0.168542, -0.169351, -0.156204, -0.141698, -0.0951734, -0.0717129, -0.055658, -0.0444311, -0.035057, -0.0246895, -0.0155596, -0.00805095, -0.00240598, -0.00160668, -0.0020036, -0.000860188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.493724, 0.570583, 0.774229, 0.86908, 0.934573, 0.990869, 1.04307, 1.09381, 1.14451, 1.20523, 1.25099, 1.29076, 1.32304, 1.35993, 1.386, 1.4261, 1.47956, 1.5453, 1.67677");
-            values ( \
-              "-0.0214995, -0.0429244, -0.141183, -0.183176, -0.209794, -0.229844, -0.243571, -0.244666, -0.210306, -0.139697, -0.0946776, -0.0648863, -0.0473083, -0.0326243, -0.0250621, -0.0166275, -0.00946251, -0.00466558, -0.00112575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.550889, 0.637601, 0.738997, 0.857726, 0.93477, 1.03911, 1.12825, 1.19398, 1.21571, 1.22515, 1.26678, 1.32587, 1.36984, 1.43557, 1.52777, 1.59351, 1.61722, 1.65259, 1.693, 1.75042, 1.80088, 1.851, 1.91674, 1.96988, 2.00193, 2.06604, 2.13178, 2.19752, 2.26326, 2.39473, 2.59194");
-            values ( \
-              "-0.073112, -0.0772255, -0.129078, -0.187152, -0.223694, -0.27077, -0.307237, -0.330169, -0.336472, -0.336474, -0.328252, -0.311552, -0.292517, -0.24892, -0.17932, -0.13704, -0.12375, -0.106011, -0.0882987, -0.0676553, -0.053281, -0.041803, -0.0303052, -0.0232993, -0.0199027, -0.0144075, -0.0103665, -0.00740922, -0.00533045, -0.00273679, -0.000984503" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(A * !B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0259366, 0.0360548, 0.0565676, 0.0988473, 0.186692, 0.369601", \
-            "0.0307124, 0.0409125, 0.0615478, 0.103937, 0.191849, 0.374812", \
-            "0.0387563, 0.0517604, 0.0729535, 0.115448, 0.203452, 0.386477", \
-            "0.0459304, 0.0652692, 0.0955052, 0.141574, 0.22962, 0.412583", \
-            "0.0471707, 0.0751087, 0.119708, 0.187345, 0.287736, 0.470609", \
-            "0.0321532, 0.0715114, 0.135215, 0.234439, 0.382989, 0.600654" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0185265, 0.0315279, 0.0586667, 0.11523, 0.233075, 0.478709", \
-            "0.0195424, 0.0316328, 0.0586653, 0.11529, 0.233127, 0.478814", \
-            "0.0277143, 0.037442, 0.0604848, 0.115193, 0.233153, 0.478708", \
-            "0.0424516, 0.0558863, 0.0774305, 0.122466, 0.233201, 0.478704", \
-            "0.0660576, 0.0854951, 0.11646, 0.164588, 0.254462, 0.480021", \
-            "0.106333, 0.133129, 0.176952, 0.246026, 0.350235, 0.534519" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230477, 0.0238048, 0.0247443, 0.0262109, 0.0276124, 0.0290217, 0.0304161, 0.0345969, 0.036865, 0.0404502, 0.043693, 0.0449919, 0.0492343, 0.0539381, 0.0571622, 0.0609462, 0.0659853, 0.0726762, 0.078279, 0.0796839");
-            values ( \
-              "-0.147225, -0.199815, -0.217189, -0.228932, -0.233876, -0.236294, -0.236428, -0.232188, -0.227055, -0.212441, -0.190478, -0.178133, -0.125586, -0.0759118, -0.0518443, -0.0323931, -0.0168259, -0.00688036, -0.00310766, -0.00282411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0239087, 0.0316197, 0.0392545, 0.0469165, 0.0514326, 0.0551625, 0.0581885, 0.0631217, 0.0698822, 0.0747654, 0.0806639, 0.084097, 0.0884747, 0.096356, 0.105256, 0.115427, 0.127727, 0.139908");
-            values ( \
-              "-0.292379, -0.29447, -0.287837, -0.275965, -0.264026, -0.249781, -0.233959, -0.195163, -0.134342, -0.0982583, -0.0654197, -0.0511256, -0.0370467, -0.0203332, -0.0102382, -0.00443033, -0.00158931, -0.000616034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0240492, 0.0252036, 0.0272426, 0.0300305, 0.0327926, 0.0500651, 0.0634254, 0.0680769, 0.0744864, 0.0824406, 0.0878367, 0.0962798, 0.106471, 0.11865, 0.13069, 0.135134, 0.142762, 0.151479, 0.156965, 0.170181, 0.185285, 0.210378, 0.239158");
-            values ( \
-              "-0.288363, -0.311707, -0.327957, -0.334332, -0.334788, -0.324246, -0.312372, -0.306942, -0.296905, -0.279671, -0.262856, -0.222349, -0.166007, -0.109884, -0.0704722, -0.0593226, -0.0440932, -0.0309665, -0.0248746, -0.014407, -0.00764988, -0.00237879, -0.000674509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.0242613, 0.0252481, 0.0274977, 0.0293918, 0.0309241, 0.0327606, 0.0629703, 0.0923951, 0.110353, 0.119405, 0.129055, 0.147995, 0.151506, 0.163721, 0.191868, 0.20509, 0.213908, 0.228903, 0.241248, 0.25118, 0.263712, 0.28136, 0.300195, 0.314698, 0.343704, 0.396529, 0.450599");
-            values ( \
-              "-0.314193, -0.333651, -0.351803, -0.356898, -0.35864, -0.358993, -0.348942, -0.3358, -0.325117, -0.318216, -0.308979, -0.281781, -0.274494, -0.241944, -0.158846, -0.126297, -0.107709, -0.0812891, -0.0639646, -0.0525795, -0.0408629, -0.0284474, -0.0192986, -0.0142533, -0.00763518, -0.0021915, -0.000610553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.0242812, 0.0274172, 0.0329143, 0.126411, 0.161764, 0.197328, 0.235975, 0.263727, 0.27661, 0.297658, 0.366299, 0.399489, 0.433613, 0.461438, 0.486612, 0.520178, 0.560743, 0.585926, 0.63629, 0.702035, 0.767779, 0.899267");
-            values ( \
-              "-0.327987, -0.364007, -0.372332, -0.354409, -0.345864, -0.335075, -0.318578, -0.300239, -0.288147, -0.26155, -0.159099, -0.11932, -0.0872283, -0.0668567, -0.0523184, -0.0374139, -0.0248208, -0.0191829, -0.0113203, -0.00558535, -0.00275009, -0.000665526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0243615, 0.0274155, 0.0303313, 0.0338148, 0.195477, 0.320015, 0.38116, 0.446904, 0.483033, 0.531205, 0.56468, 0.598642, 0.695633, 0.761377, 0.806183, 0.870926, 0.924461, 0.969496, 1.0209, 1.08664, 1.14318, 1.24334, 1.30908, 1.37483, 1.50632, 1.6378, 1.76929");
-            values ( \
-              "-0.334479, -0.37007, -0.377692, -0.379203, -0.363762, -0.349052, -0.339729, -0.326356, -0.316516, -0.298143, -0.279563, -0.255718, -0.182312, -0.139569, -0.114974, -0.0859525, -0.067108, -0.0542399, -0.0422268, -0.0306885, -0.0231262, -0.0139957, -0.0101471, -0.00717409, -0.00365072, -0.00184081, -0.000913603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0351549, 0.0394135, 0.0415543, 0.0447839, 0.0509417, 0.0519036, 0.0532684, 0.0544787, 0.056171, 0.0585832, 0.0603657, 0.0624214, 0.0657374, 0.0684099, 0.0721454, 0.0757475, 0.0776344, 0.0798612, 0.0828303, 0.0847516, 0.0877354, 0.0910831, 0.0965323, 0.102722, 0.116737");
-            values ( \
-              "-0.00105273, -0.112753, -0.133754, -0.160371, -0.205379, -0.220034, -0.225503, -0.226218, -0.224026, -0.215267, -0.205259, -0.190422, -0.154069, -0.120329, -0.080719, -0.0528746, -0.0419378, -0.0317011, -0.0215779, -0.0167774, -0.0113063, -0.00718502, -0.00333781, -0.00136724, -0.000184822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.0381898, 0.0427824, 0.0509643, 0.0519434, 0.0542859, 0.0567333, 0.0615095, 0.0664045, 0.0715101, 0.076144, 0.0788209, 0.0935303, 0.0991568, 0.106831, 0.115137, 0.120194, 0.126849, 0.13168");
-            values ( \
-              "-0.119463, -0.180953, -0.256269, -0.275488, -0.286944, -0.288075, -0.283378, -0.274576, -0.259753, -0.239062, -0.221717, -0.0985376, -0.0670023, -0.0383655, -0.020427, -0.0138518, -0.0082109, -0.00610536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0383216, 0.0425969, 0.0521607, 0.0541862, 0.0582086, 0.0722982, 0.08344, 0.0944668, 0.100972, 0.106876, 0.139237, 0.153621, 0.165212, 0.183576, 0.202072");
-            values ( \
-              "-0.0684142, -0.198381, -0.315669, -0.327267, -0.330709, -0.321857, -0.311382, -0.295332, -0.281102, -0.26274, -0.104001, -0.0606623, -0.0384366, -0.0182385, -0.00896029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0413973, 0.0499059, 0.0532676, 0.0564314, 0.0582764, 0.067272, 0.0882814, 0.109405, 0.122334, 0.129402, 0.14296, 0.157639, 0.168195, 0.188797, 0.205695, 0.220021, 0.23195, 0.2477, 0.260283, 0.270581, 0.283027, 0.300609, 0.319192, 0.333473, 0.362034, 0.41416, 0.467619");
-            values ( \
-              "-0.265122, -0.301746, -0.348419, -0.355998, -0.356494, -0.354183, -0.346426, -0.33687, -0.329742, -0.32514, -0.314208, -0.297411, -0.279388, -0.223904, -0.173422, -0.136125, -0.10994, -0.0818534, -0.0641256, -0.0523325, -0.0407317, -0.0284011, -0.0193685, -0.0143671, -0.00778049, -0.00227684, -0.000649933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0416365, 0.0533289, 0.056471, 0.0605976, 0.135204, 0.181212, 0.224399, 0.255419, 0.289971, 0.308446, 0.367474, 0.425049, 0.482452, 0.528345, 0.564033, 0.601826, 0.68899, 0.72265");
-            values ( \
-              "-0.25848, -0.359865, -0.36938, -0.3707, -0.356698, -0.345768, -0.332392, -0.318627, -0.294212, -0.273463, -0.184665, -0.11296, -0.0658282, -0.0419864, -0.029196, -0.0198843, -0.00794096, -0.00590298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0402064, 0.0528783, 0.0599973, 0.240379, 0.325542, 0.391286, 0.478004, 0.539565, 0.561479, 0.60483, 0.745808, 0.814036, 0.884213, 0.941438, 0.993218, 1.04304, 1.10878, 1.16113, 1.25566, 1.3214, 1.51864, 1.52307");
-            values ( \
-              "-0.190699, -0.366432, -0.378232, -0.360988, -0.350781, -0.341218, -0.323439, -0.302911, -0.292555, -0.265271, -0.161318, -0.120983, -0.0884473, -0.0677355, -0.0529999, -0.0417342, -0.0301648, -0.023369, -0.0145888, -0.0104181, -0.00387527, -0.00382739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0606773, 0.0653049, 0.0660231, 0.0671002, 0.0674594, 0.0690273, 0.0704293, 0.0718312, 0.0734581, 0.0758985, 0.0784874, 0.0816566, 0.0858368, 0.0894933, 0.0929227, 0.0951335, 0.0954927, 0.0961175, 0.0966173, 0.0971171, 0.0976669, 0.0987663, 0.100239, 0.101162, 0.102084, 0.103007, 0.103447, 0.103667, 0.104328, 0.10475, 0.105171, 0.106015, 0.106997, 0.107979, 0.112142, 0.112423, 0.112705, 0.112987, 0.113269, 0.113551, 0.114003, 0.114515, 0.116414, 0.117826, 0.12013, 0.121408, 0.122685, 0.123962, 0.125664, 0.127261");
-            values ( \
-              "-0.0124102, -0.0442776, -0.0472077, -0.0523748, -0.0542802, -0.063674, -0.070873, -0.0773489, -0.0839576, -0.0932909, -0.102598, -0.113555, -0.127432, -0.138287, -0.147835, -0.153664, -0.154329, -0.155846, -0.157304, -0.158984, -0.15902, -0.158936, -0.158499, -0.158036, -0.157427, -0.156673, -0.15573, -0.154977, -0.152351, -0.150351, -0.14815, -0.143141, -0.135978, -0.128345, -0.091498, -0.0876419, -0.0828363, -0.0824742, -0.0819199, -0.0811734, -0.0795722, -0.0771616, -0.0637453, -0.0547192, -0.0412274, -0.0349461, -0.0299245, -0.0254689, -0.0204742, -0.0163661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0636951, 0.0733634, 0.0792048, 0.0882767, 0.102128, 0.108025, 0.112575, 0.113606, 0.117959, 0.121612, 0.130565, 0.137107, 0.14432, 0.149412, 0.156677, 0.166269, 0.176501, 0.182819");
-            values ( \
-              "-0.0367816, -0.103119, -0.131262, -0.170103, -0.222937, -0.240212, -0.247044, -0.253118, -0.24095, -0.217082, -0.136925, -0.0895534, -0.0539909, -0.0371255, -0.02138, -0.0101992, -0.00439579, -0.00340744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.0634144, 0.0730969, 0.0774861, 0.0935319, 0.100693, 0.112601, 0.113973, 0.115809, 0.117388, 0.120123, 0.12338, 0.128385, 0.134407, 0.138768, 0.146693, 0.149028, 0.153697, 0.166732, 0.174071, 0.178713, 0.186089, 0.194519, 0.200874, 0.205447, 0.214592, 0.218765, 0.228314, 0.239227, 0.261053, 0.289486");
-            values ( \
-              "-0.00809029, -0.109604, -0.139522, -0.219807, -0.252947, -0.303989, -0.312582, -0.317954, -0.317987, -0.31679, -0.313729, -0.308094, -0.299113, -0.290994, -0.270778, -0.26286, -0.242238, -0.170659, -0.13426, -0.114252, -0.0874913, -0.0634979, -0.0496161, -0.0414153, -0.0286124, -0.0241603, -0.0163625, -0.0103781, -0.00394822, -0.00102796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0682513, 0.0785285, 0.0883426, 0.113995, 0.115914, 0.119278, 0.127037, 0.150553, 0.171765, 0.190487, 0.205422, 0.210601, 0.220961, 0.244676, 0.268006, 0.288109, 0.301831, 0.314244, 0.330794, 0.344603, 0.36327, 0.388159, 0.43602, 0.488925");
-            values ( \
-              "-0.0779258, -0.15345, -0.20894, -0.342392, -0.349293, -0.350423, -0.347988, -0.33751, -0.325145, -0.309007, -0.289051, -0.279424, -0.253963, -0.182839, -0.123057, -0.0848279, -0.0650288, -0.0508906, -0.0363763, -0.0274144, -0.0186385, -0.0109705, -0.0036579, -0.00104068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0738076, 0.0877552, 0.114265, 0.116463, 0.119525, 0.171627, 0.223385, 0.259747, 0.27815, 0.297587, 0.335899, 0.342916, 0.367579, 0.424848, 0.451504, 0.469306, 0.499681, 0.524753, 0.544972, 0.570356, 0.60611, 0.644157, 0.673435, 0.73199, 0.797734, 0.929223");
-            values ( \
-              "-0.196267, -0.213596, -0.359339, -0.366562, -0.367672, -0.357884, -0.345795, -0.33478, -0.327788, -0.318555, -0.290659, -0.283081, -0.24934, -0.16317, -0.129861, -0.1108, -0.0836386, -0.0657924, -0.0540435, -0.0420136, -0.0292648, -0.0198863, -0.014707, -0.00790322, -0.00389072, -0.000938332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0850186, 0.114535, 0.117222, 0.121622, 0.254648, 0.381664, 0.442807, 0.508552, 0.544681, 0.592852, 0.626328, 0.660289, 0.75728, 0.823024, 0.86783, 0.932572, 0.986107, 1.03114, 1.08254, 1.14828, 1.20482, 1.23923, 1.30498, 1.37072, 1.43647, 1.56796, 1.69944, 1.83093");
-            values ( \
-              "-0.358348, -0.368396, -0.376066, -0.37673, -0.363946, -0.348981, -0.339658, -0.326428, -0.316587, -0.298072, -0.279492, -0.25579, -0.182384, -0.139498, -0.115044, -0.0860223, -0.0670397, -0.0541722, -0.0422958, -0.0306208, -0.0231937, -0.0195398, -0.0140628, -0.0100811, -0.00724071, -0.00371698, -0.00190679, -0.000979343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.111373, 0.124477, 0.135815, 0.140723, 0.155834, 0.163493, 0.170401, 0.176815, 0.183012, 0.189455, 0.200124, 0.204705, 0.208724, 0.214258, 0.218444, 0.224027, 0.230215, 0.237334, 0.248929, 0.251731, 0.255726, 0.259396, 0.265989");
-            values ( \
-              "-0.0090397, -0.0261416, -0.0491259, -0.0577903, -0.0804958, -0.0907645, -0.098976, -0.105044, -0.107003, -0.0958865, -0.0489594, -0.0325423, -0.0219716, -0.0122705, -0.00775942, -0.00404724, -0.00198117, -0.000853697, -0.000271821, -0.00220455, -0.00238895, -0.00144801, -0.00058964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.112129, 0.125321, 0.134344, 0.141054, 0.15901, 0.17063, 0.189692, 0.198109, 0.203191, 0.206246, 0.212047, 0.214906, 0.23122, 0.237606, 0.245007, 0.248873, 0.252151, 0.255764, 0.26402, 0.279769, 0.292529");
-            values ( \
-              "-0.00463911, -0.036786, -0.0553248, -0.0723109, -0.107299, -0.128132, -0.157642, -0.166704, -0.168507, -0.166857, -0.15599, -0.145238, -0.0659506, -0.0439222, -0.0265011, -0.0201701, -0.02043, -0.0146303, -0.00760601, -0.00194663, -0.00113571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.112182, 0.152986, 0.168188, 0.191523, 0.207613, 0.215643, 0.21998, 0.226003, 0.231564, 0.239875, 0.242948, 0.248923, 0.250197, 0.252705, 0.265297, 0.27416, 0.277824, 0.285151, 0.291585, 0.299783, 0.309806, 0.313266, 0.321452, 0.330809, 0.349522, 0.376971, 0.404631");
-            values ( \
-              "-0.000962046, -0.11003, -0.143128, -0.190589, -0.220396, -0.233426, -0.239648, -0.246854, -0.25086, -0.249672, -0.246043, -0.229637, -0.227429, -0.216096, -0.148803, -0.109663, -0.0961152, -0.0730601, -0.0570605, -0.04133, -0.0275476, -0.0239263, -0.0171548, -0.0116242, -0.0051719, -0.00142667, -0.000412574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.124449, 0.158756, 0.189631, 0.206241, 0.223666, 0.237566, 0.248864, 0.250636, 0.2526, 0.254563, 0.25849, 0.265979, 0.273516, 0.284708, 0.300802, 0.304838, 0.31291, 0.340453, 0.355996, 0.363623, 0.375734, 0.389575, 0.399997, 0.412302, 0.428709, 0.440408, 0.452387, 0.468357, 0.500299, 0.551388, 0.605102");
-            values ( \
-              "-0.0407846, -0.131889, -0.204393, -0.241486, -0.278438, -0.305888, -0.326195, -0.330343, -0.332306, -0.331856, -0.33007, -0.325193, -0.319464, -0.309035, -0.287029, -0.279445, -0.260179, -0.178391, -0.137428, -0.119993, -0.0961184, -0.0737692, -0.060196, -0.047143, -0.0337715, -0.0265555, -0.0207469, -0.0148656, -0.00743384, -0.00225037, -0.000628439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.133584, 0.155298, 0.178886, 0.212989, 0.251116, 0.253848, 0.260928, 0.27439, 0.317681, 0.350436, 0.361108, 0.375338, 0.391888, 0.424987, 0.432578, 0.44776, 0.505564, 0.538244, 0.555186, 0.573974, 0.593392, 0.618875, 0.639699, 0.664941, 0.700586, 0.716532, 0.738212, 0.767119, 0.824932, 0.890676, 0.956421, 1.02216");
-            values ( \
-              "-0.101488, -0.126632, -0.187466, -0.270137, -0.357151, -0.359934, -0.359009, -0.356124, -0.345781, -0.336108, -0.332282, -0.326497, -0.318604, -0.295576, -0.288166, -0.269687, -0.182243, -0.138805, -0.119582, -0.100852, -0.0841159, -0.0659387, -0.0538443, -0.0418955, -0.0292341, -0.0248751, -0.0199501, -0.0147999, -0.00803076, -0.00394378, -0.0019513, -0.000946104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.152261, 0.193497, 0.250165, 0.251693, 0.257367, 0.389757, 0.444745, 0.497711, 0.537109, 0.602854, 0.638989, 0.687171, 0.720605, 0.754578, 0.851583, 0.917327, 0.962135, 1.02688, 1.08041, 1.12544, 1.17684, 1.24259, 1.29912, 1.33353, 1.39928, 1.46502, 1.53077, 1.66225, 1.79374, 1.92523");
-            values ( \
-              "-0.221181, -0.228434, -0.36965, -0.37176, -0.372876, -0.35956, -0.353104, -0.345923, -0.339644, -0.326443, -0.316599, -0.298052, -0.279497, -0.255814, -0.182397, -0.139487, -0.115055, -0.0860328, -0.0670297, -0.0541631, -0.0423063, -0.0306115, -0.0232033, -0.0195314, -0.0140721, -0.0100725, -0.00724964, -0.0037257, -0.00191536, -0.000987793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.227382, 0.247664, 0.250515, 0.253638, 0.256761, 0.259884, 0.263007, 0.266409, 0.271513, 0.275498, 0.278924, 0.284133, 0.287565, 0.293793, 0.299703, 0.305744, 0.311785, 0.319867, 0.325047, 0.330019, 0.332505, 0.334882, 0.33726, 0.339637, 0.342014, 0.344387, 0.346759, 0.349132, 0.351505, 0.351566, 0.351687, 0.353387, 0.354358, 0.355329, 0.356976, 0.358624, 0.360548, 0.362639, 0.364462, 0.367114, 0.370449, 0.372859, 0.374157, 0.375455, 0.376753, 0.378051, 0.379349, 0.380647, 0.383077, 0.385232");
-            values ( \
-              "-0.0140257, -0.0142895, -0.0158263, -0.0176598, -0.0196336, -0.0217478, -0.0240023, -0.0268994, -0.0310497, -0.0342309, -0.0367773, -0.0405001, -0.0428621, -0.047048, -0.0509337, -0.0546326, -0.0581673, -0.0626125, -0.0653922, -0.0680093, -0.0692991, -0.0693335, -0.0692733, -0.0691187, -0.0688695, -0.0685266, -0.0680895, -0.0675582, -0.0669328, -0.0668876, -0.0666672, -0.0643258, -0.0627409, -0.061038, -0.0577944, -0.0543842, -0.0501769, -0.0453747, -0.0409757, -0.0351272, -0.0284332, -0.0237854, -0.0216017, -0.019585, -0.0178128, -0.0161559, -0.014614, -0.0131873, -0.0108675, -0.00894171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.233966, 0.258791, 0.259929, 0.262205, 0.266757, 0.272313, 0.281688, 0.287903, 0.297224, 0.304718, 0.314569, 0.320755, 0.330034, 0.339552, 0.342765, 0.344647, 0.350294, 0.358689, 0.363228, 0.364098, 0.366708, 0.368448, 0.370188, 0.371928, 0.373669, 0.374617, 0.375566, 0.376515, 0.377464, 0.379362, 0.381031, 0.3827, 0.384369, 0.386037, 0.388053, 0.390069, 0.392084, 0.396116, 0.400147, 0.407355, 0.409264, 0.412397, 0.417325, 0.419836, 0.422225, 0.424614, 0.427979, 0.429661, 0.431343, 0.434147");
-            values ( \
-              "-0.0254579, -0.0270719, -0.0279352, -0.0298857, -0.0341082, -0.0396003, -0.0491806, -0.0549316, -0.0631003, -0.0694277, -0.0773842, -0.0821138, -0.0889061, -0.0956434, -0.0978188, -0.0989997, -0.102369, -0.10683, -0.109176, -0.109549, -0.11053, -0.111068, -0.111512, -0.111863, -0.11212, -0.112058, -0.111932, -0.111741, -0.111487, -0.110786, -0.109958, -0.108932, -0.107708, -0.106286, -0.103763, -0.0999381, -0.0958142, -0.086346, -0.0763293, -0.0574503, -0.0529334, -0.0460092, -0.0359771, -0.0313313, -0.0277209, -0.0244262, -0.0203517, -0.0185269, -0.0168436, -0.0143349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.223821, 0.252977, 0.269462, 0.292667, 0.309998, 0.330208, 0.344318, 0.354837, 0.375901, 0.394339, 0.406843, 0.41237, 0.420961, 0.429198, 0.442908, 0.446706, 0.454302, 0.469132, 0.480248, 0.48824, 0.49489, 0.502, 0.512138, 0.516718, 0.523077, 0.531556, 0.548514, 0.550183, 0.553521, 0.558811, 0.562921, 0.57114, 0.581399, 0.598982");
-            values ( \
-              "-0.00999504, -0.0290839, -0.0425321, -0.0679888, -0.0857419, -0.10476, -0.117326, -0.126466, -0.143623, -0.156932, -0.164461, -0.167166, -0.170023, -0.170083, -0.159087, -0.15239, -0.133834, -0.0941305, -0.0682881, -0.0529853, -0.0424486, -0.0331563, -0.0229628, -0.0193969, -0.0152911, -0.0110473, -0.00557722, -0.0052173, -0.00606044, -0.00568619, -0.00466107, -0.00333238, -0.0021345, -0.00101703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.223733, 0.275161, 0.333937, 0.371555, 0.399549, 0.427493, 0.45012, 0.462424, 0.487003, 0.510976, 0.534924, 0.572527, 0.586168, 0.60777, 0.61802, 0.629735, 0.646867, 0.654115, 0.668612, 0.697604, 0.734436");
-            values ( \
-              "-0.00473709, -0.0539383, -0.118207, -0.156104, -0.182745, -0.207778, -0.22621, -0.23513, -0.248463, -0.247179, -0.21023, -0.120506, -0.0938459, -0.061846, -0.0504898, -0.0398857, -0.0280534, -0.0241743, -0.0178803, -0.00959846, -0.00466657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.223673, 0.383713, 0.435111, 0.483557, 0.515148, 0.545062, 0.5501, 0.555491, 0.562817, 0.581698, 0.600589, 0.611312, 0.632759, 0.641274, 0.658304, 0.713013, 0.744037, 0.760138, 0.785758, 0.815037, 0.834138, 0.85666, 0.879861, 0.912501, 0.925424, 0.95127, 1.00296, 1.06871, 1.13445, 1.26594");
-            values ( \
-              "-0.00016941, -0.17776, -0.231265, -0.278883, -0.307369, -0.331191, -0.334707, -0.336334, -0.33513, -0.327618, -0.318639, -0.312468, -0.29647, -0.288127, -0.267198, -0.184065, -0.142326, -0.123614, -0.09802, -0.0743372, -0.0618485, -0.0496173, -0.0393957, -0.0283071, -0.0248378, -0.0190647, -0.0110853, -0.00546791, -0.00269093, -0.000650173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.282349, 0.357105, 0.494564, 0.549746, 0.558038, 0.572041, 0.670724, 0.724572, 0.783244, 0.823176, 0.88892, 0.906704, 0.942271, 1.05386, 1.1173, 1.15088, 1.20437, 1.2655, 1.30327, 1.34778, 1.39607, 1.46181, 1.54911, 1.61485, 1.6806, 1.81209, 1.94357, 2.14081");
-            values ( \
-              "-0.115212, -0.153167, -0.304051, -0.360326, -0.363181, -0.362835, -0.350743, -0.343153, -0.332647, -0.323353, -0.301182, -0.29248, -0.270774, -0.186832, -0.144632, -0.125392, -0.0990448, -0.0747985, -0.0626718, -0.0507164, -0.0401533, -0.0290664, -0.0188509, -0.013547, -0.00972648, -0.00499505, -0.00255969, -0.000933906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.426974, 0.480568, 0.500405, 0.515746, 0.523466, 0.538906, 0.579844, 0.608281, 0.622841, 0.64017, 0.656103, 0.671224, 0.686222, 0.69746, 0.715829, 0.729529, 0.741059, 0.749364, 0.757456, 0.772772, 0.782351, 0.792414, 0.815641, 0.819868");
-            values ( \
-              "-0.000998173, -0.00389395, -0.00564469, -0.00763309, -0.00892598, -0.0121859, -0.0247485, -0.0324576, -0.0359825, -0.0397108, -0.0425226, -0.0441796, -0.0433063, -0.0364491, -0.0180006, -0.00862607, -0.00443004, -0.00272836, -0.00176405, -0.000848374, -0.000592674, -0.000425935, -0.000257267, -0.000247544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.426768, 0.490102, 0.506972, 0.52894, 0.561716, 0.57409, 0.604066, 0.633256, 0.65776, 0.679504, 0.699465, 0.717266, 0.720972, 0.725912, 0.734047, 0.743403, 0.754929, 0.775482, 0.787349, 0.795994, 0.805251, 0.812425, 0.826774, 0.84298, 0.86121, 0.881193, 0.935706, 1.16702");
-            values ( \
-              "-0.00135347, -0.00727928, -0.00992961, -0.0142981, -0.024653, -0.0299545, -0.0415851, -0.0518703, -0.0597652, -0.0658899, -0.0706477, -0.0733083, -0.0735415, -0.0735075, -0.0722668, -0.0673754, -0.0550158, -0.0294699, -0.0185763, -0.0128906, -0.00857652, -0.00616237, -0.0031312, -0.00142644, -0.000644579, -0.000284282, -7.62187e-05, -1.47996e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.426702, 0.507789, 0.532926, 0.551286, 0.579755, 0.592397, 0.639876, 0.681944, 0.714297, 0.743193, 0.769879, 0.78302, 0.795278, 0.813505, 0.820773, 0.833774, 0.866614, 0.881787, 0.889613, 0.903825, 0.919762, 0.932512, 0.958012, 0.993823, 1.03621, 1.21589");
-            values ( \
-              "-0.000167937, -0.013886, -0.0203344, -0.0261489, -0.0377312, -0.0438806, -0.0651871, -0.0821495, -0.0939582, -0.103215, -0.110133, -0.112511, -0.113743, -0.111431, -0.107718, -0.0956898, -0.0522381, -0.0359739, -0.029246, -0.0195579, -0.0122184, -0.00825313, -0.00351283, -0.000946084, -0.000194886, -2.55162e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.492322, 0.556539, 0.585626, 0.656495, 0.705382, 0.753196, 0.791619, 0.842376, 0.879492, 0.914924, 0.951494, 0.966967, 1.00289, 1.02875, 1.05207, 1.06543, 1.084, 1.10595, 1.13522, 1.18958, 1.21583, 1.23404, 1.25753");
-            values ( \
-              "-0.0239447, -0.0331389, -0.0452652, -0.0800646, -0.102369, -0.122507, -0.137529, -0.155165, -0.165385, -0.169496, -0.154343, -0.136887, -0.0900953, -0.0617406, -0.0423466, -0.0336918, -0.0242122, -0.0161785, -0.00916726, -0.00279584, -0.00163069, -0.001949, -0.00130834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.492241, 0.570501, 0.773752, 0.871744, 0.934552, 0.990937, 1.04313, 1.09394, 1.14462, 1.20537, 1.25138, 1.29093, 1.32287, 1.35936, 1.38606, 1.4271, 1.48182, 1.54756, 1.67905");
-            values ( \
-              "-0.0203651, -0.0429052, -0.140952, -0.184262, -0.209767, -0.229888, -0.243636, -0.244682, -0.210334, -0.139668, -0.0944373, -0.0648492, -0.0474438, -0.0328533, -0.0250766, -0.0164753, -0.00924509, -0.00455668, -0.00109872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.550167, 0.634763, 0.721302, 0.843856, 0.962139, 1.03901, 1.10475, 1.17094, 1.21575, 1.22526, 1.23913, 1.26823, 1.32221, 1.36981, 1.43555, 1.52777, 1.59352, 1.61721, 1.65255, 1.69293, 1.75039, 1.80089, 1.851, 1.91675, 1.96984, 2.00187, 2.06593, 2.13168, 2.19742, 2.26317, 2.39466, 2.59189");
-            values ( \
-              "-0.0733588, -0.0758022, -0.120199, -0.18049, -0.236377, -0.270736, -0.298033, -0.32261, -0.336409, -0.336446, -0.334239, -0.327915, -0.312807, -0.292521, -0.248926, -0.17931, -0.137026, -0.123749, -0.106022, -0.0883233, -0.0676599, -0.0532736, -0.0418003, -0.0303014, -0.0233016, -0.0199064, -0.0144147, -0.0103706, -0.00741254, -0.00533196, -0.00273713, -0.000984914" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(A * !B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0342966, 0.0482535, 0.0766018, 0.134819, 0.255355, 0.505848", \
-            "0.0404248, 0.0543592, 0.0828257, 0.141195, 0.261846, 0.512397", \
-            "0.055212, 0.0694199, 0.0976614, 0.156063, 0.276815, 0.527199", \
-            "0.0788869, 0.100118, 0.132285, 0.19016, 0.310733, 0.561375", \
-            "0.115386, 0.146925, 0.195614, 0.267291, 0.38719, 0.637211", \
-            "0.177198, 0.220857, 0.291973, 0.400026, 0.556853, 0.807705" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0283083, 0.0482046, 0.0894201, 0.174851, 0.352306, 0.722457", \
-            "0.0286534, 0.0482588, 0.0894133, 0.17484, 0.352303, 0.72245", \
-            "0.0350895, 0.0510302, 0.0895695, 0.174827, 0.352294, 0.722447", \
-            "0.0539691, 0.0692351, 0.0994992, 0.176042, 0.352286, 0.722444", \
-            "0.0829399, 0.106267, 0.141413, 0.202585, 0.357278, 0.722425", \
-            "0.128329, 0.163297, 0.217164, 0.295056, 0.421942, 0.737887" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0266045, 0.0275905, 0.0287663, 0.0306384, 0.033277, 0.0353322, 0.0379462, 0.041762, 0.0465953, 0.050211, 0.059409, 0.0642591, 0.0710426, 0.0755039, 0.0805138, 0.0863246, 0.0913807, 0.0995773, 0.103848, 0.109302, 0.120266, 0.12556, 0.13093, 0.137819, 0.144094, 0.152543, 0.163616, 0.1711");
-            values ( \
-              "0.104071, 0.16213, 0.171576, 0.178245, 0.18129, 0.179602, 0.17561, 0.167408, 0.153819, 0.139607, 0.0891305, 0.0680256, 0.0462314, 0.0357996, 0.0265585, 0.0186516, 0.013748, 0.00840827, 0.00653655, 0.00482842, 0.00271677, 0.00199378, 0.00146615, 0.000985961, 0.000689537, 0.000440749, 0.000255812, 0.000203996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0265957, 0.0307496, 0.0333695, 0.034725, 0.0365324, 0.0399065, 0.0461727, 0.0553801, 0.062596, 0.071337, 0.0823849, 0.0919466, 0.100189, 0.114492, 0.127262, 0.136631, 0.143518, 0.152815, 0.158317, 0.168961, 0.180349, 0.193515, 0.208398, 0.213385");
-            values ( \
-              "0.109473, 0.219146, 0.224649, 0.225536, 0.224923, 0.222237, 0.213182, 0.196947, 0.181616, 0.155633, 0.114666, 0.0849765, 0.0646488, 0.038877, 0.0239007, 0.0166963, 0.0128149, 0.00894942, 0.00723575, 0.00476002, 0.00305304, 0.00182772, 0.00104783, 0.000911391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0277179, 0.032897, 0.0358127, 0.0394358, 0.0439702, 0.0507296, 0.0752123, 0.0930858, 0.102341, 0.107862, 0.135164, 0.154907, 0.17283, 0.182473, 0.19108, 0.20224, 0.209828, 0.219945, 0.237681, 0.245861, 0.258094, 0.274405, 0.304196, 0.340204, 0.387453, 0.450894, 0.61503, 4.26453");
-            values ( \
-              "0.20226, 0.252354, 0.255968, 0.255856, 0.253248, 0.247275, 0.223176, 0.202481, 0.188611, 0.178669, 0.122099, 0.0868308, 0.0616558, 0.0508112, 0.0425339, 0.0335647, 0.0285012, 0.0228246, 0.0153001, 0.0127217, 0.00963129, 0.00660536, 0.00323592, 0.00134083, 0.000400351, 6.82059e-05, 1.28359e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.0295403, 0.0295603, 0.0726295, 0.110206, 0.127546, 0.148771, 0.165259, 0.174289, 0.188812, 0.233799, 0.264096, 0.281573, 0.304804, 0.322108, 0.339648, 0.362997, 0.383702, 0.411309, 0.435322, 0.446067, 0.467558, 0.510539, 0.591196, 0.676487, 0.761778, 0.84707, 1.10294, 4.26454");
-            values ( \
-              "1e-22, 0.2905, 0.260323, 0.241868, 0.232542, 0.219742, 0.208015, 0.2005, 0.186697, 0.137354, 0.106344, 0.0903148, 0.0716506, 0.0597915, 0.0494324, 0.0380288, 0.0299745, 0.0216222, 0.0162005, 0.0142307, 0.0109484, 0.00638679, 0.00213295, 0.000653572, 0.000198397, 6.18725e-05, 7.29529e-07, 2.79907e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.0318174, 0.0318374, 0.11771, 0.211206, 0.256707, 0.286226, 0.323283, 0.352568, 0.387807, 0.462933, 0.523918, 0.579095, 0.611535, 0.633033, 0.676028, 0.705897, 0.726593, 0.754188, 0.809379, 0.849234, 0.889782, 0.943847, 1.02914, 1.11443, 1.19972, 1.28501, 1.3703, 1.54089, 1.88205, 4.26449");
-            values ( \
-              "1e-22, 0.307588, 0.268343, 0.244449, 0.231453, 0.221789, 0.207165, 0.193266, 0.174415, 0.132419, 0.101174, 0.0770369, 0.0650463, 0.0579476, 0.0456378, 0.0385116, 0.0341791, 0.0290837, 0.0209064, 0.0164238, 0.0128294, 0.009184, 0.0053495, 0.00310702, 0.00179719, 0.00104137, 0.000599919, 0.000199424, 2.12132e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0345636, 0.0345836, 0.211114, 0.356895, 0.480299, 0.55758, 0.633212, 0.765557, 0.987328, 1.12896, 1.18908, 1.31459, 1.39988, 1.49122, 1.57234, 1.72186, 1.835, 2.00559, 2.26146, 2.51733, 2.53688");
-            values ( \
-              "1e-22, 0.311372, 0.272571, 0.254341, 0.237273, 0.224943, 0.210295, 0.177564, 0.11728, 0.0843237, 0.0724997, 0.0520121, 0.0410641, 0.031686, 0.0250399, 0.0160903, 0.0114616, 0.00682437, 0.00311344, 0.0014129, 0.00136011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0445081, 0.0506165, 0.0509962, 0.0517554, 0.0522509, 0.0527463, 0.0534741, 0.0540759, 0.0546574, 0.0549575, 0.0552576, 0.0558578, 0.056458, 0.0579671, 0.0594761, 0.0609852, 0.0618578, 0.0631667, 0.064762, 0.0659964, 0.0673035, 0.068859, 0.0703388, 0.0726351, 0.0752417, 0.0774318, 0.0785327, 0.080951, 0.0834426, 0.0843531, 0.087691, 0.089063, 0.090435, 0.0938645, 0.0951273, 0.0963901, 0.0980738, 0.0997575, 0.101739, 0.10372, 0.106231, 0.107901, 0.110127, 0.11189, 0.113653, 0.115391, 0.11713, 0.11968, 0.122956, 0.125132");
-            values ( \
-              "0.126265, 0.134187, 0.140651, 0.145506, 0.150239, 0.154363, 0.159197, 0.162738, 0.165458, 0.166595, 0.167588, 0.169145, 0.170129, 0.169461, 0.168451, 0.167098, 0.165716, 0.16283, 0.15893, 0.15566, 0.151428, 0.145597, 0.139089, 0.127313, 0.112623, 0.0998267, 0.0940714, 0.0823755, 0.071561, 0.0679498, 0.0562113, 0.0520817, 0.0482099, 0.0396593, 0.0367022, 0.0339478, 0.0307323, 0.0277979, 0.0247565, 0.0220023, 0.0189232, 0.0170184, 0.0148454, 0.0133266, 0.0119734, 0.0108367, 0.00978972, 0.00845009, 0.00691285, 0.00607147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.0413507, 0.0489804, 0.0509136, 0.0523005, 0.0547806, 0.0565491, 0.0595405, 0.0613484, 0.0628351, 0.0669262, 0.0755057, 0.0811599, 0.0848949, 0.0913572, 0.101699, 0.111972, 0.12033, 0.125897, 0.134304, 0.139945, 0.147347, 0.157422, 0.1649, 0.172216, 0.176488, 0.185032, 0.196291, 0.209019, 0.223564, 0.241649, 0.258828");
-            values ( \
-              "0.0155863, 0.142528, 0.161146, 0.187029, 0.208168, 0.214902, 0.218541, 0.217959, 0.216759, 0.211559, 0.196686, 0.185004, 0.175844, 0.155782, 0.117187, 0.0849865, 0.0644018, 0.0530575, 0.0391742, 0.0316705, 0.0238469, 0.0162215, 0.0121553, 0.00916344, 0.00776732, 0.00555889, 0.00357305, 0.00217212, 0.00124767, 0.000639914, 0.000388445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0414081, 0.0536933, 0.0554724, 0.0572456, 0.0595647, 0.0629667, 0.0669168, 0.0711072, 0.094984, 0.106806, 0.114642, 0.120056, 0.127481, 0.157396, 0.174245, 0.188489, 0.195372, 0.205782, 0.219663, 0.227344, 0.239785, 0.256374, 0.265946, 0.280063, 0.298885, 0.329776, 0.367759, 0.417863, 0.48383, 0.652056, 4.26455");
-            values ( \
-              "0.00555335, 0.226087, 0.239713, 0.246715, 0.251218, 0.252344, 0.250468, 0.246904, 0.22363, 0.2106, 0.200658, 0.192691, 0.179794, 0.118075, 0.0881966, 0.0673334, 0.0588596, 0.0476751, 0.0355874, 0.030186, 0.0229949, 0.0158314, 0.0127553, 0.00924663, 0.00596922, 0.00283876, 0.00111259, 0.000303071, 4.6724e-05, 9.93753e-07, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0467507, 0.0542943, 0.0562335, 0.0596962, 0.0629971, 0.0668056, 0.0705804, 0.135651, 0.166498, 0.184894, 0.19454, 0.209046, 0.267417, 0.284193, 0.301509, 0.330811, 0.342488, 0.365842, 0.384476, 0.399297, 0.419058, 0.451284, 0.466012, 0.487411, 0.515944, 0.573009, 0.658301, 0.743592, 0.828883, 0.999466, 1.17005, 4.26446");
-            values ( \
-              "0.162498, 0.247749, 0.260946, 0.270449, 0.272883, 0.272868, 0.271322, 0.239231, 0.221377, 0.208513, 0.200515, 0.186726, 0.123286, 0.106498, 0.0905922, 0.0675252, 0.0597073, 0.0462572, 0.0375006, 0.0316382, 0.0251043, 0.0170422, 0.0142711, 0.011003, 0.00773312, 0.00370964, 0.00113559, 0.000350127, 0.000103372, 7.26043e-06, 1e-22, 1.92497e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0468058, 0.0602253, 0.0638556, 0.0674658, 0.0710307, 0.107891, 0.138189, 0.230986, 0.256945, 0.2871, 0.324867, 0.343753, 0.373048, 0.408275, 0.4834, 0.544384, 0.59956, 0.631999, 0.653496, 0.696491, 0.726359, 0.747056, 0.77465, 0.82984, 0.869692, 0.910236, 0.964294, 1.04959, 1.13488, 1.22017, 1.30546, 1.39075, 1.56133, 1.9025, 4.26452");
-            values ( \
-              "0.183508, 0.280955, 0.284344, 0.284524, 0.28416, 0.275269, 0.268432, 0.244649, 0.237442, 0.228366, 0.215036, 0.207167, 0.193263, 0.174417, 0.132421, 0.101175, 0.0770382, 0.0650477, 0.0579488, 0.0456392, 0.0385125, 0.03418, 0.0290847, 0.020907, 0.0164247, 0.0128305, 0.00918503, 0.00535022, 0.00310731, 0.00179749, 0.00104142, 0.000600071, 0.000199528, 2.12967e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0580353, 0.0580553, 0.231679, 0.376046, 0.481154, 0.579013, 0.653718, 0.786062, 1.00783, 1.06417, 1.20025, 1.33509, 1.42038, 1.51172, 1.59284, 1.67813, 1.74236, 1.8555, 2.02608, 2.28195, 2.53783, 2.57663");
-            values ( \
-              "1e-22, 0.32131, 0.272574, 0.254521, 0.240142, 0.224782, 0.210295, 0.177564, 0.11728, 0.103381, 0.0742504, 0.0520126, 0.0410645, 0.0316865, 0.0250404, 0.0194727, 0.0160907, 0.011462, 0.00682465, 0.00311359, 0.00141295, 0.00130814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0724172, 0.0810068, 0.0854767, 0.0939817, 0.09449, 0.0982854, 0.100581, 0.102876, 0.106308, 0.107445, 0.108581, 0.111581, 0.112483, 0.112679, 0.113213, 0.113634, 0.114065, 0.114494, 0.114779, 0.115348, 0.115915, 0.116482, 0.117615, 0.118748, 0.121828, 0.125745, 0.126419, 0.129018, 0.130823, 0.132078, 0.133961, 0.136677, 0.139363, 0.141836, 0.142625, 0.144992, 0.145781, 0.14801, 0.149125, 0.150824, 0.153402, 0.155324, 0.156423, 0.158565, 0.160707, 0.162079, 0.16345, 0.166193, 0.169217, 0.171031");
-            values ( \
-              "0.0424466, 0.0504275, 0.0646174, 0.0899212, 0.0912286, 0.101965, 0.107908, 0.113321, 0.121048, 0.122994, 0.12475, 0.128485, 0.129034, 0.131457, 0.132679, 0.134103, 0.134302, 0.13437, 0.134343, 0.134117, 0.133269, 0.132087, 0.128376, 0.124025, 0.108966, 0.0890884, 0.085986, 0.0746756, 0.0674555, 0.0627155, 0.0563228, 0.0485229, 0.0416983, 0.035865, 0.0341228, 0.0296691, 0.0283081, 0.0248585, 0.023273, 0.0210563, 0.0179945, 0.0158994, 0.0148242, 0.0130694, 0.0115045, 0.0106352, 0.00982196, 0.00836327, 0.00701407, 0.00629738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0699624, 0.0904223, 0.103293, 0.107707, 0.112602, 0.113793, 0.115384, 0.116765, 0.118605, 0.121457, 0.125346, 0.128667, 0.133314, 0.136517, 0.148856, 0.153337, 0.159443, 0.164649, 0.169914, 0.175392, 0.183406, 0.188194, 0.191404, 0.197824, 0.203487, 0.211052, 0.214919, 0.221888, 0.229852, 0.240707, 0.253586, 0.268223, 0.286551, 0.31119, 0.347094, 0.387772, 0.436092, 0.566055, 4.26452");
-            values ( \
-              "0.0153469, 0.0992358, 0.148839, 0.164384, 0.179793, 0.187563, 0.193758, 0.195768, 0.196532, 0.194227, 0.188168, 0.18105, 0.168807, 0.158191, 0.112823, 0.0981976, 0.0808425, 0.0679928, 0.0567449, 0.0467367, 0.0347641, 0.0289595, 0.0256275, 0.0200462, 0.0161448, 0.0120462, 0.010373, 0.00791917, 0.0057929, 0.00378182, 0.00227833, 0.00130129, 0.000656114, 0.000284572, 9.70634e-05, 4.45748e-05, 1.70812e-05, 3.40222e-07, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.0699815, 0.0839645, 0.102264, 0.11262, 0.11367, 0.115768, 0.117288, 0.118184, 0.119976, 0.123561, 0.127195, 0.13534, 0.14063, 0.148889, 0.154599, 0.160263, 0.16547, 0.173204, 0.20067, 0.209101, 0.219398, 0.233128, 0.241356, 0.245526, 0.253867, 0.267066, 0.27095, 0.278718, 0.294255, 0.306334, 0.310805, 0.319747, 0.337631, 0.366776, 0.402207, 0.448262, 0.51141, 0.589446, 0.674738, 4.26453");
-            values ( \
-              "0.000220368, 0.0812548, 0.167705, 0.214104, 0.223, 0.233962, 0.237334, 0.23854, 0.239679, 0.239036, 0.236442, 0.228869, 0.223732, 0.214747, 0.208065, 0.200749, 0.193116, 0.179704, 0.122754, 0.106746, 0.0890198, 0.0687023, 0.0585017, 0.0538118, 0.0453537, 0.0342997, 0.0315594, 0.0266575, 0.0188565, 0.014362, 0.0129801, 0.0105905, 0.00699671, 0.00348524, 0.00146429, 0.000453598, 7.63616e-05, 7.7725e-06, 6.35784e-07, 4.03791e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0698776, 0.112514, 0.115444, 0.117412, 0.11977, 0.123387, 0.127162, 0.139363, 0.181144, 0.198952, 0.212166, 0.221854, 0.230667, 0.240209, 0.254717, 0.313088, 0.329671, 0.34679, 0.370541, 0.376467, 0.388319, 0.412022, 0.430257, 0.444782, 0.464148, 0.496741, 0.511633, 0.518918, 0.533487, 0.562625, 0.6209, 0.706191, 0.791483, 0.876774, 1.04736, 1.21794, 4.26443");
-            values ( \
-              "0.00137844, 0.234097, 0.255963, 0.261809, 0.265137, 0.266452, 0.265571, 0.260238, 0.239345, 0.229389, 0.221387, 0.214944, 0.208443, 0.200527, 0.186735, 0.123289, 0.106685, 0.0909321, 0.071781, 0.0675319, 0.059601, 0.0459892, 0.0374482, 0.0317016, 0.0252733, 0.0170813, 0.0142753, 0.0130682, 0.0109452, 0.00763296, 0.0035992, 0.00110083, 0.000339992, 9.97672e-05, 6.5858e-06, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0818504, 0.110458, 0.115175, 0.119582, 0.122554, 0.126585, 0.134518, 0.179505, 0.280494, 0.332846, 0.370597, 0.389497, 0.418791, 0.454019, 0.529143, 0.590126, 0.645302, 0.67774, 0.699237, 0.74223, 0.772098, 0.792794, 0.820388, 0.875577, 0.915427, 0.955968, 1.01002, 1.09531, 1.18061, 1.2659, 1.35119, 1.43648, 1.60706, 1.94823, 4.26446");
-            values ( \
-              "0.0888681, 0.233345, 0.266316, 0.278607, 0.280629, 0.281226, 0.279897, 0.269524, 0.24364, 0.228366, 0.215044, 0.207169, 0.193265, 0.174419, 0.132423, 0.101177, 0.0770393, 0.0650486, 0.0579497, 0.0456401, 0.0385133, 0.0341808, 0.0290854, 0.0209077, 0.0164254, 0.0128312, 0.00918574, 0.00535063, 0.00310755, 0.00179762, 0.00104149, 0.000600113, 0.000199541, 2.12969e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0920848, 0.113124, 0.11863, 0.125992, 0.134147, 0.179783, 0.373773, 0.5266, 0.624455, 0.69916, 0.759329, 0.831503, 1.05327, 1.10961, 1.1949, 1.24569, 1.311, 1.38053, 1.46582, 1.55715, 1.63828, 1.72357, 1.78779, 1.83628, 1.90093, 1.98622, 2.07151, 2.1568, 2.32738, 2.41267, 2.58326, 2.92442, 3.43617, 4.26421");
-            values ( \
-              "0.254503, 0.260215, 0.284304, 0.288938, 0.288686, 0.284087, 0.260685, 0.24014, 0.224782, 0.210295, 0.196371, 0.177564, 0.11728, 0.103381, 0.0843242, 0.0742508, 0.0626827, 0.052013, 0.0410646, 0.0316868, 0.0250408, 0.0194729, 0.016091, 0.0139236, 0.0114623, 0.00885211, 0.00682477, 0.00525855, 0.00311376, 0.00239288, 0.00141291, 0.000491322, 0.00010027, 7.34036e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124529, 0.140918, 0.146909, 0.149588, 0.160502, 0.170226, 0.185534, 0.193457, 0.197733, 0.204845, 0.208881, 0.211296, 0.215207, 0.219194, 0.223204, 0.227819, 0.234919, 0.24096, 0.248944, 0.251083, 0.253519, 0.263024, 0.26909, 0.273245, 0.277567, 0.28306, 0.288346, 0.293444, 0.30083, 0.306847, 0.314844, 0.325961, 0.34101, 0.360049, 0.362126");
-            values ( \
-              "0.0066398, 0.0141084, 0.0195882, 0.0226403, 0.0376641, 0.0495913, 0.0657457, 0.07314, 0.076648, 0.0813828, 0.08318, 0.0837706, 0.0834527, 0.0799048, 0.0719933, 0.0601661, 0.0445208, 0.0336277, 0.0226227, 0.0231379, 0.0220281, 0.0137954, 0.00979174, 0.00772265, 0.00605279, 0.00440978, 0.0031471, 0.00232933, 0.00146144, 0.0010379, 0.000635409, 0.000363324, 0.000173237, 0.000114742, 0.000110334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.124575, 0.145669, 0.14936, 0.173486, 0.188397, 0.202156, 0.211261, 0.219328, 0.225024, 0.228439, 0.231585, 0.234678, 0.239664, 0.244569, 0.248991, 0.251246, 0.265855, 0.275277, 0.284508, 0.294858, 0.300979, 0.30914, 0.316286, 0.323797, 0.333812, 0.346134, 0.360598, 0.377512, 0.392016");
-            values ( \
-              "0.0055286, 0.0244454, 0.028991, 0.0670013, 0.0892567, 0.108551, 0.119933, 0.128516, 0.133437, 0.135491, 0.136672, 0.136705, 0.133114, 0.123801, 0.112633, 0.110582, 0.0737156, 0.0534351, 0.0382193, 0.0258904, 0.0205154, 0.014959, 0.0113113, 0.00842847, 0.00566878, 0.00346435, 0.00196027, 0.00101632, 0.000649573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.136481, 0.152613, 0.161686, 0.196567, 0.210157, 0.221366, 0.229232, 0.238161, 0.242721, 0.248946, 0.251009, 0.253659, 0.256084, 0.256788, 0.259207, 0.261788, 0.266221, 0.271007, 0.28131, 0.296184, 0.308699, 0.321902, 0.335315, 0.340907, 0.348932, 0.358102, 0.37021, 0.380735, 0.391773, 0.407533, 0.412874, 0.417476, 0.423611, 0.435882, 0.460424, 0.49735, 0.544932, 0.607556, 0.683371, 4.26455");
-            values ( \
-              "0.0319064, 0.0393764, 0.0545012, 0.119272, 0.143893, 0.163302, 0.176125, 0.189327, 0.19525, 0.202059, 0.206263, 0.208427, 0.207633, 0.207202, 0.205049, 0.202164, 0.196257, 0.188731, 0.169222, 0.13762, 0.112685, 0.0895532, 0.0696019, 0.062477, 0.0532159, 0.0440581, 0.0340764, 0.0271314, 0.0212538, 0.0148843, 0.0131909, 0.0118835, 0.0103323, 0.00779068, 0.0043618, 0.00175539, 0.000514943, 8.80483e-05, 9.50671e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.136556, 0.169621, 0.25153, 0.254182, 0.256675, 0.260151, 0.264194, 0.288588, 0.314515, 0.333029, 0.357064, 0.415437, 0.448529, 0.478789, 0.515163, 0.546829, 0.565572, 0.598741, 0.613896, 0.636475, 0.66658, 0.726791, 0.812083, 0.817493");
-            values ( \
-              "0.0188553, 0.0739591, 0.245869, 0.249805, 0.250683, 0.250366, 0.248857, 0.236586, 0.221408, 0.208451, 0.186752, 0.123303, 0.0914716, 0.0675474, 0.0455748, 0.0318021, 0.0255435, 0.017142, 0.0142807, 0.010852, 0.00747584, 0.00342731, 0.00104794, 0.00100198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.155524, 0.198892, 0.251346, 0.2548, 0.258016, 0.261844, 0.272793, 0.282042, 0.382684, 0.435028, 0.472932, 0.491684, 0.520981, 0.556204, 0.631326, 0.692306, 0.747481, 0.779918, 0.801414, 0.844407, 0.874274, 0.894969, 0.922561, 0.977746, 1.0176, 1.05814, 1.11219, 1.19748, 1.28277, 1.36806, 1.45335, 1.53864, 1.70923, 1.9651, 4.26458");
-            values ( \
-              "0.0722984, 0.141194, 0.266144, 0.272202, 0.273493, 0.273623, 0.271602, 0.269458, 0.24365, 0.228376, 0.21499, 0.207173, 0.193267, 0.174424, 0.132427, 0.101179, 0.0770415, 0.0650505, 0.0579513, 0.0456413, 0.0385145, 0.034182, 0.0290867, 0.0209088, 0.0164263, 0.0128321, 0.00918644, 0.00535105, 0.00310776, 0.00179776, 0.00104155, 0.000600167, 0.000199567, 3.98035e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.170372, 0.219175, 0.25349, 0.260016, 0.265525, 0.271096, 0.281511, 0.407366, 0.524939, 0.647308, 0.72621, 0.801784, 0.861942, 0.934128, 1.1559, 1.21224, 1.29753, 1.34831, 1.41362, 1.48315, 1.56844, 1.65977, 1.74089, 1.82618, 1.89041, 1.93889, 2.00354, 2.08883, 2.17412, 2.25941, 2.42999, 2.68587, 3.02703, 3.45349, 4.2645");
-            values ( \
-              "0.136095, 0.194412, 0.282172, 0.285591, 0.285586, 0.285235, 0.284207, 0.269286, 0.254415, 0.237505, 0.224934, 0.210297, 0.196375, 0.177566, 0.117281, 0.103382, 0.0843247, 0.0742513, 0.0626833, 0.0520134, 0.041065, 0.0316873, 0.0250412, 0.0194732, 0.0160914, 0.013924, 0.0114627, 0.00885241, 0.00682504, 0.00525871, 0.00311385, 0.00141298, 0.000491358, 0.000131814, 1.11072e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.240923, 0.276368, 0.287692, 0.297904, 0.308519, 0.322328, 0.340173, 0.362395, 0.377018, 0.39036, 0.399158, 0.40298, 0.409206, 0.415311, 0.421455, 0.428328, 0.438409, 0.449894, 0.462678, 0.472795, 0.485553, 0.498359, 0.507033, 0.51337, 0.521298, 0.532082, 0.547327, 0.550115, 0.555691, 0.562125, 0.56763, 0.578642, 0.591561, 0.614855, 0.651666, 0.691658, 0.73669, 0.789101, 0.855217, 4.26448");
-            values ( \
-              "0.00246695, 0.00515501, 0.00718503, 0.00975505, 0.0136318, 0.0211979, 0.032299, 0.0422454, 0.047268, 0.0507451, 0.0523407, 0.0528391, 0.0533713, 0.0530866, 0.0511916, 0.045429, 0.0332825, 0.0222702, 0.0136454, 0.00909026, 0.00518163, 0.00276283, 0.00178, 0.001303, 0.000882215, 0.000545082, 0.000302355, 0.000282587, 0.00177432, 0.00205441, 0.00151312, 0.000805833, 0.000358463, 0.000117981, 2.25741e-05, 3.31867e-05, 1e-22, 1.57521e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.266864, 0.299282, 0.302165, 0.305049, 0.309941, 0.314833, 0.318094, 0.324617, 0.332795, 0.34069, 0.351029, 0.356198, 0.362419, 0.370552, 0.376665, 0.382374, 0.391501, 0.403038, 0.412004, 0.420224, 0.428382, 0.432109, 0.436929, 0.438121, 0.443048, 0.446256, 0.449387, 0.450952, 0.45228, 0.453609, 0.456265, 0.458921, 0.460081, 0.46356, 0.467127, 0.471174, 0.482252, 0.48672, 0.495228, 0.499567, 0.503116, 0.508312, 0.513256, 0.518834, 0.524752, 0.535576, 0.545327, 0.54788, 0.550432, 0.554917");
-            values ( \
-              "0.0137036, 0.0150917, 0.0162704, 0.0175095, 0.0199548, 0.0227714, 0.0248142, 0.0292207, 0.0355244, 0.041259, 0.0482465, 0.0515185, 0.0552512, 0.0599337, 0.0632744, 0.0662396, 0.0706878, 0.0758962, 0.0795999, 0.0826955, 0.0854615, 0.0865421, 0.0877776, 0.0880551, 0.0881694, 0.0880693, 0.0878388, 0.0876743, 0.0872877, 0.0868, 0.0853452, 0.0834353, 0.0824166, 0.0790097, 0.0749694, 0.0698835, 0.0552249, 0.0498124, 0.040192, 0.0356447, 0.032284, 0.027815, 0.024009, 0.0201387, 0.0163114, 0.0111891, 0.00706449, 0.00762053, 0.00791591, 0.00789317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.240644, 0.307204, 0.327096, 0.356534, 0.37055, 0.394793, 0.432795, 0.452116, 0.470575, 0.478393, 0.484945, 0.491269, 0.497195, 0.503869, 0.511927, 0.517935, 0.525946, 0.55013, 0.553629, 0.559462, 0.570826, 0.581643, 0.596629, 0.608753, 0.617488, 0.63496, 0.64078, 0.654046, 0.669206, 0.699527, 0.747184, 0.804893, 0.876074, 4.26449");
-            values ( \
-              "0.000587573, 0.0238797, 0.0366448, 0.0605072, 0.0710559, 0.0881404, 0.113489, 0.124774, 0.133971, 0.137032, 0.138954, 0.139953, 0.139772, 0.137736, 0.13208, 0.125917, 0.116107, 0.0841594, 0.0820077, 0.0758001, 0.0612634, 0.0491982, 0.0358406, 0.0275525, 0.022705, 0.0152689, 0.0133775, 0.00987856, 0.00694587, 0.00334194, 0.000961794, 0.000198126, 2.07921e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.275242, 0.335319, 0.441393, 0.470315, 0.492045, 0.512709, 0.520864, 0.532008, 0.544818, 0.550204, 0.555608, 0.560491, 0.565572, 0.581501, 0.59863, 0.641664, 0.662011, 0.679559, 0.70702, 0.717703, 0.735544, 0.759161, 0.7805, 0.808953, 0.832058, 0.840524, 0.857458, 0.891326, 0.95906, 1.04435, 1.12964, 1.21493, 1.38552, 1.5561, 4.26457");
-            values ( \
-              "0.0197353, 0.0477754, 0.13785, 0.161686, 0.178451, 0.192384, 0.197241, 0.203038, 0.207991, 0.209291, 0.210166, 0.208609, 0.205015, 0.190698, 0.172718, 0.125526, 0.10511, 0.0891157, 0.0675928, 0.0603929, 0.0497658, 0.0381602, 0.0298494, 0.0213041, 0.0161311, 0.0145675, 0.011853, 0.00779115, 0.00319802, 0.000975689, 0.000302183, 8.86682e-05, 6.60872e-06, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.302495, 0.364654, 0.41256, 0.516896, 0.549943, 0.555153, 0.560087, 0.566718, 0.609502, 0.661843, 0.69959, 0.718488, 0.747779, 0.900524, 0.935719, 0.980006, 1.0122, 1.04763, 1.09455, 1.13684, 1.19322, 1.23942, 1.29018, 1.35786, 1.44315, 1.52844, 1.61373, 1.69902, 1.78432, 1.9549, 2.21077, 4.26431");
-            values ( \
-              "0.0535447, 0.0763731, 0.120804, 0.222224, 0.251047, 0.254715, 0.256011, 0.254843, 0.243713, 0.228408, 0.215064, 0.207188, 0.193289, 0.110269, 0.0934642, 0.0748512, 0.0631993, 0.0520995, 0.0399704, 0.0312962, 0.0223644, 0.0169131, 0.0124116, 0.00814018, 0.00473892, 0.00274806, 0.00159141, 0.000919545, 0.000532058, 0.000177844, 3.38017e-05, 5.70932e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.326796, 0.388517, 0.550574, 0.555274, 0.56335, 0.703294, 0.804736, 0.912958, 0.989323, 1.02868, 1.08883, 1.34053, 1.43912, 1.52441, 1.60318, 1.71003, 1.79532, 1.88664, 1.96777, 2.05306, 2.11728, 2.23041, 2.3157, 2.40099, 2.48628, 2.65686, 2.91274, 3.16861, 3.59507, 4.26494");
-            values ( \
-              "0.100113, 0.100143, 0.270789, 0.275199, 0.277913, 0.2607, 0.247342, 0.231583, 0.218316, 0.2103, 0.196381, 0.128286, 0.103384, 0.0843257, 0.0691069, 0.0520144, 0.0410654, 0.0316877, 0.0250417, 0.0194734, 0.0160918, 0.0114629, 0.00885275, 0.00682505, 0.00525896, 0.00311405, 0.00141287, 0.000641332, 0.000170329, 2.08996e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.55582, 0.61806, 0.627495, 0.630751, 0.634007, 0.640518, 0.64703, 0.652375, 0.657719, 0.663063, 0.668408, 0.672027, 0.675646, 0.686503, 0.715386, 0.715656, 0.716194, 0.726784, 0.742192, 0.750035, 0.761103, 0.769457, 0.778787, 0.786262, 0.79088, 0.795847, 0.805023, 0.812274, 0.81944, 0.824188, 0.826565, 0.828942, 0.831319, 0.833696, 0.836182, 0.838668, 0.843837, 0.846593, 0.849742, 0.866366, 0.874514, 0.879224, 0.882919, 0.893409, 0.897528, 0.901452, 0.905376, 0.913023, 0.918221, 0.924394");
-            values ( \
-              "0.00404608, 0.00412078, 0.00472938, 0.00498418, 0.00525549, 0.0059477, 0.0067348, 0.00745171, 0.00823254, 0.00907729, 0.00998597, 0.0107098, 0.0114838, 0.0141807, 0.0216031, 0.0216098, 0.0217202, 0.0241034, 0.0271839, 0.0286299, 0.0301966, 0.0312169, 0.0321935, 0.0328685, 0.0332202, 0.0335576, 0.0340777, 0.034232, 0.0342752, 0.034244, 0.0341386, 0.0339925, 0.0338057, 0.0335783, 0.0332701, 0.0329064, 0.0319648, 0.0309119, 0.0294408, 0.0202637, 0.0163974, 0.014489, 0.0131144, 0.00957203, 0.00837559, 0.00739646, 0.00650394, 0.0050247, 0.00417824, 0.00328218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.502254, 0.597523, 0.608111, 0.629287, 0.645837, 0.678937, 0.73931, 0.752495, 0.77312, 0.802116, 0.828594, 0.845411, 0.85349, 0.862495, 0.872759, 0.877685, 0.885227, 0.893542, 0.902073, 0.933998, 0.951446, 0.970021, 0.980451, 0.995281, 1.00283, 1.01439, 1.0298, 1.06063, 1.10399, 1.15244, 1.21578, 1.22738, 1.24438, 1.25809, 1.28549, 1.31632, 4.26439");
-            values ( \
-              "0.00160207, 0.00521183, 0.00600616, 0.00800064, 0.0101447, 0.0168458, 0.0360437, 0.0395488, 0.0443361, 0.049625, 0.0535347, 0.0552837, 0.0559102, 0.0564309, 0.0567085, 0.0566687, 0.0562276, 0.0547738, 0.0516526, 0.0319421, 0.022446, 0.0145724, 0.011187, 0.00749088, 0.00607914, 0.00437764, 0.00278551, 0.00105088, 0.000270005, 0.000107112, 5.61894e-05, 0.00106077, 0.0009676, 0.000392105, 0.000158318, 1.49233e-05, 2.77601e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.502651, 0.608176, 0.619341, 0.64167, 0.660809, 0.680416, 0.706558, 0.746973, 0.768097, 0.788457, 0.825898, 0.856064, 0.878658, 0.899786, 0.92396, 0.932554, 0.941601, 0.95161, 0.964133, 0.979327, 0.998031, 1.0508, 1.0793, 1.09316, 1.11164, 1.12796, 1.13591, 1.15181, 1.1836, 1.21585, 1.23143, 1.25381, 1.27527, 1.31819, 1.36022, 1.52497, 1.61026, 1.69555, 4.26446");
-            values ( \
-              "0.00253349, 0.00919211, 0.0105693, 0.0138826, 0.0175819, 0.0224712, 0.0310291, 0.0460618, 0.0530118, 0.0589747, 0.0688293, 0.0758348, 0.080528, 0.0845063, 0.0880758, 0.0890314, 0.0897998, 0.0902726, 0.0898475, 0.0865029, 0.0770476, 0.0423064, 0.0271852, 0.0214383, 0.0152921, 0.011179, 0.00956909, 0.00694025, 0.00350575, 0.00171068, 0.00263798, 0.00206841, 0.00101334, 0.000438499, 8.23638e-05, 1e-22, 2.78632e-05, 1e-22, 3.58027e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.458793, 0.502483, 0.587775, 0.630209, 0.674654, 0.702129, 0.817462, 0.893961, 0.941748, 0.979275, 1.02141, 1.03544, 1.05106, 1.07247, 1.09389, 1.12665, 1.21566, 1.27029, 1.28819, 1.32399, 1.33946, 1.38124, 1.429, 1.51362, 1.59891, 1.6842, 1.94008, 4.26427");
-            values ( \
-              "0.00160279, 0.00293961, 0.0101202, 0.0164375, 0.0261409, 0.0344659, 0.0768295, 0.1016, 0.115791, 0.125911, 0.135271, 0.137605, 0.139423, 0.139616, 0.135234, 0.119268, 0.0613919, 0.0352179, 0.0285991, 0.0186249, 0.0154481, 0.00928198, 0.00506061, 0.00158032, 0.000477925, 0.000150307, 8.17596e-07, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.607935, 0.709682, 0.742591, 0.997187, 1.07042, 1.10127, 1.15161, 1.17523, 1.18652, 1.20542, 1.21561, 1.22408, 1.23313, 1.26209, 1.40548, 1.44088, 1.4854, 1.51797, 1.55329, 1.60012, 1.64221, 1.69832, 1.74479, 1.76557, 1.80712, 1.89022, 1.97551, 2.0608, 2.14609, 2.23139, 2.40197, 2.65784, 4.26422");
-            values ( \
-              "0.0337982, 0.0415047, 0.0535405, 0.152369, 0.178581, 0.188311, 0.201361, 0.205596, 0.206972, 0.207934, 0.20756, 0.206362, 0.203212, 0.188843, 0.110588, 0.0936533, 0.0749147, 0.0631221, 0.0520627, 0.0399592, 0.0313226, 0.0224207, 0.0169269, 0.0149223, 0.0115643, 0.00685403, 0.00398337, 0.00230953, 0.00133534, 0.000772932, 0.0002584, 4.91233e-05, 5.08515e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.648621, 0.772193, 0.875771, 1.13246, 1.21564, 1.22686, 1.24197, 1.35917, 1.41564, 1.49255, 1.53135, 1.59152, 1.66368, 1.88543, 1.94176, 2.02706, 2.07784, 2.14314, 2.21266, 2.29795, 2.38927, 2.4704, 2.55569, 2.63607, 2.73303, 2.81833, 2.90362, 2.98891, 3.15949, 3.41536, 3.75653, 4.26425");
-            values ( \
-              "0.0566078, 0.0678488, 0.110641, 0.223769, 0.255764, 0.257162, 0.256341, 0.240115, 0.231576, 0.218223, 0.210315, 0.196393, 0.177585, 0.117291, 0.10339, 0.0843288, 0.0742553, 0.0626866, 0.0520159, 0.0410663, 0.0316889, 0.0250423, 0.0194739, 0.0153342, 0.0114632, 0.00885271, 0.00682522, 0.00525885, 0.00311392, 0.00141299, 0.000491351, 0.00010156" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_fall;
-        when : "(!A * B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0259274, 0.0360535, 0.0565653, 0.098844, 0.186669, 0.369593", \
-            "0.0306973, 0.0409028, 0.0615409, 0.10393, 0.191833, 0.37479", \
-            "0.0387297, 0.051728, 0.0729233, 0.115418, 0.20343, 0.386445", \
-            "0.0459174, 0.0652287, 0.0954744, 0.141557, 0.229581, 0.412537", \
-            "0.0471788, 0.0751029, 0.119651, 0.187439, 0.287813, 0.470673", \
-            "0.0321511, 0.0715437, 0.135206, 0.234424, 0.382992, 0.600698" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0185494, 0.0315138, 0.0586768, 0.115291, 0.233146, 0.478847", \
-            "0.0195508, 0.0316356, 0.0586785, 0.115287, 0.233151, 0.47885", \
-            "0.0277278, 0.0374683, 0.0605316, 0.115295, 0.233151, 0.478851", \
-            "0.0423866, 0.0558811, 0.0775202, 0.122556, 0.233239, 0.478811", \
-            "0.0659306, 0.0855122, 0.11643, 0.164378, 0.254458, 0.480121", \
-            "0.106205, 0.133068, 0.176949, 0.245898, 0.350233, 0.534538" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230044, 0.0246638, 0.0261339, 0.028949, 0.0329886, 0.0372012, 0.0403998, 0.0425434, 0.0453357, 0.0533839, 0.0578006, 0.0612744, 0.0669867, 0.072943, 0.077957");
-            values ( \
-              "-0.170584, -0.216538, -0.228623, -0.23579, -0.233809, -0.225589, -0.21206, -0.198896, -0.173746, -0.0802896, -0.0478152, -0.030911, -0.0146991, -0.00655812, -0.00345882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0230527, 0.0247073, 0.0261922, 0.0273995, 0.0285608, 0.0306978, 0.0332216, 0.0379003, 0.0447832, 0.0475803, 0.0508473, 0.0556844, 0.0582076, 0.0603658, 0.0681323, 0.0754976, 0.0797186, 0.0831988, 0.089471, 0.0942289, 0.0992561, 0.103122, 0.110853, 0.123231, 0.123577");
-            values ( \
-              "-0.06197, -0.268277, -0.283489, -0.28922, -0.29227, -0.293658, -0.293134, -0.289048, -0.279967, -0.274496, -0.265834, -0.247439, -0.234018, -0.218911, -0.14904, -0.0934768, -0.0699172, -0.0545153, -0.0343399, -0.0239245, -0.0162999, -0.0120812, -0.00651889, -0.00227628, -0.00224911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0237071, 0.0258873, 0.0272547, 0.0285081, 0.0306035, 0.0356449, 0.0428806, 0.0611884, 0.0707808, 0.0775985, 0.0814647, 0.0878386, 0.0972607, 0.110218, 0.118736, 0.129133, 0.136128, 0.145006, 0.157967, 0.1742, 0.191515, 0.20517");
-            values ( \
-              "-0.204185, -0.318588, -0.32783, -0.331396, -0.333926, -0.332909, -0.328985, -0.314864, -0.303125, -0.291074, -0.282221, -0.262866, -0.21708, -0.147034, -0.109604, -0.074688, -0.0570889, -0.0402294, -0.0237598, -0.0121683, -0.00585662, -0.00370177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.0242052, 0.0273776, 0.0298967, 0.0320228, 0.0458481, 0.0606911, 0.0770186, 0.0987305, 0.11034, 0.123887, 0.138586, 0.149142, 0.169743, 0.18665, 0.209821, 0.228644, 0.241231, 0.251537, 0.263981, 0.281563, 0.300142, 0.314421, 0.342977, 0.395739, 0.449804");
-            values ( \
-              "-0.30754, -0.351183, -0.356838, -0.358193, -0.354934, -0.349858, -0.343204, -0.332491, -0.325137, -0.314263, -0.297454, -0.279346, -0.223867, -0.173465, -0.11623, -0.0819188, -0.0640717, -0.0522704, -0.0407827, -0.0283437, -0.0193148, -0.014421, -0.00772859, -0.00228708, -0.000573162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.0242728, 0.0273172, 0.0308681, 0.0350093, 0.0577228, 0.097002, 0.161766, 0.198162, 0.214854, 0.236001, 0.274271, 0.281408, 0.306127, 0.363004, 0.38986, 0.407828, 0.438257, 0.463233, 0.483272, 0.5087, 0.544486, 0.582661, 0.61205, 0.67083, 0.736567, 0.868042");
-            values ( \
-              "-0.32173, -0.36323, -0.370773, -0.371389, -0.368151, -0.360615, -0.345853, -0.334753, -0.328526, -0.318529, -0.290729, -0.282992, -0.249138, -0.163523, -0.12993, -0.110695, -0.0835124, -0.0657525, -0.0541055, -0.0420444, -0.0292765, -0.0198685, -0.0146764, -0.00786674, -0.00387289, -0.000934132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0243169, 0.0272949, 0.0308437, 0.035698, 0.070153, 0.233747, 0.278236, 0.362843, 0.417795, 0.458892, 0.524629, 0.578002, 0.689575, 0.749018, 0.794134, 0.843617, 0.905657, 0.952103, 1.02686, 1.0926, 1.12647, 1.19221, 1.25794, 1.38942, 1.45516, 1.52089, 1.7181");
-            values ( \
-              "-0.329269, -0.369374, -0.377327, -0.378305, -0.3759, -0.359648, -0.354458, -0.342756, -0.33291, -0.323323, -0.301221, -0.270811, -0.186893, -0.147033, -0.121316, -0.0974334, -0.0733301, -0.0588419, -0.0410648, -0.0298405, -0.0251398, -0.0182168, -0.0129853, -0.00664904, -0.0048697, -0.00337883, -0.00132259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0338509, 0.0426023, 0.0450852, 0.0509406, 0.0524238, 0.0534229, 0.0544821, 0.0558945, 0.0577462, 0.0591651, 0.0624162, 0.0640999, 0.0698284, 0.0721502, 0.0763828, 0.080528, 0.0835075, 0.0866459, 0.0891141, 0.0940505, 0.100327, 0.10141");
-            values ( \
-              "-0.00816406, -0.141817, -0.163678, -0.204915, -0.222811, -0.225081, -0.225627, -0.223962, -0.218307, -0.211739, -0.190331, -0.173673, -0.103635, -0.0803622, -0.0488367, -0.0291026, -0.0197596, -0.0131053, -0.00943261, -0.00476029, -0.00192974, -0.00181052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.0338862, 0.0462178, 0.05095, 0.0518989, 0.0526047, 0.0540163, 0.0553757, 0.056702, 0.0586304, 0.0614628, 0.0663757, 0.0694524, 0.0711916, 0.0746281, 0.0782833, 0.0804487, 0.0869909, 0.0912728, 0.0943185, 0.0985674, 0.102015, 0.104872, 0.108237, 0.113006, 0.118074, 0.121976, 0.12978, 0.142026, 0.153341, 0.166713");
-            values ( \
-              "-0.00874629, -0.21295, -0.256846, -0.274816, -0.28036, -0.285774, -0.287316, -0.287447, -0.28621, -0.283161, -0.274489, -0.266423, -0.260686, -0.246739, -0.225488, -0.208212, -0.148448, -0.113998, -0.0933147, -0.0696541, -0.0544353, -0.0442063, -0.0344153, -0.0239585, -0.0162716, -0.0120258, -0.00644873, -0.00228224, -0.000931389, -0.000335991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0390614, 0.0462366, 0.0508806, 0.0518993, 0.0537149, 0.0552682, 0.0586081, 0.0625064, 0.0689474, 0.0824965, 0.089651, 0.094614, 0.100197, 0.106715, 0.116068, 0.12872, 0.13761, 0.14846, 0.155028, 0.16339, 0.173314, 0.17675, 0.184801, 0.193696, 0.211401, 0.238983, 0.267312");
-            values ( \
-              "-0.144921, -0.244259, -0.292275, -0.313623, -0.325509, -0.328982, -0.330002, -0.328393, -0.324184, -0.312236, -0.30307, -0.294681, -0.28264, -0.262801, -0.217534, -0.148923, -0.109663, -0.0734582, -0.0570818, -0.0410781, -0.0274713, -0.0238909, -0.0172115, -0.011902, -0.00555199, -0.00152439, -0.000421804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0393346, 0.0455463, 0.0517598, 0.0546726, 0.0578362, 0.0663514, 0.0874251, 0.120345, 0.143215, 0.157744, 0.168297, 0.188899, 0.211267, 0.229082, 0.250076, 0.263384, 0.280305, 0.29774, 0.30841, 0.323757, 0.33964, 0.369459, 0.396373");
-            values ( \
-              "-0.133047, -0.249569, -0.33513, -0.353111, -0.356216, -0.354776, -0.34685, -0.331029, -0.314073, -0.297413, -0.279385, -0.223913, -0.158512, -0.116056, -0.0783118, -0.0604386, -0.0431312, -0.03015, -0.0242152, -0.0176203, -0.0126654, -0.00662212, -0.0041854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0400782, 0.0523932, 0.0547538, 0.0563855, 0.0603995, 0.111062, 0.181014, 0.217376, 0.255216, 0.293527, 0.300545, 0.325209, 0.382475, 0.426936, 0.457311, 0.482383, 0.502601, 0.527986, 0.56374, 0.60179, 0.63107, 0.689631, 0.755368, 0.774144");
-            values ( \
-              "-0.183148, -0.353365, -0.365966, -0.368634, -0.370224, -0.361617, -0.345789, -0.334777, -0.31855, -0.290665, -0.283081, -0.249339, -0.163172, -0.110799, -0.0836372, -0.0657913, -0.054043, -0.0420132, -0.029264, -0.0198852, -0.014706, -0.00790207, -0.00389062, -0.00346903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0402656, 0.0531317, 0.0558457, 0.0597592, 0.0639142, 0.165361, 0.253043, 0.32573, 0.38328, 0.434801, 0.478186, 0.543923, 0.597301, 0.708867, 0.772294, 0.813538, 0.859409, 0.920571, 0.973104, 1.05105, 1.11678, 1.20425, 1.26998, 1.33572, 1.40146, 1.53293, 1.79588");
-            values ( \
-              "-0.188552, -0.366103, -0.374932, -0.37758, -0.377864, -0.368663, -0.3596, -0.350792, -0.342527, -0.333325, -0.323371, -0.301174, -0.270761, -0.186848, -0.144635, -0.121292, -0.0990203, -0.0747885, -0.0584111, -0.040146, -0.0290842, -0.0188514, -0.0135258, -0.00973383, -0.00695188, -0.00355758, -0.000920956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0607206, 0.0652371, 0.0660607, 0.0672088, 0.067945, 0.0687166, 0.0694881, 0.0710311, 0.073416, 0.0754995, 0.0795646, 0.0816182, 0.0844041, 0.0857971, 0.0870184, 0.0906823, 0.0940017, 0.0951082, 0.0963311, 0.0975084, 0.098377, 0.0988114, 0.0997844, 0.100271, 0.100661, 0.101441, 0.10183, 0.102627, 0.103423, 0.103944, 0.104466, 0.105509, 0.105973, 0.106902, 0.107367, 0.107965, 0.111848, 0.112706, 0.113111, 0.113515, 0.113987, 0.114458, 0.115961, 0.117798, 0.119625, 0.121059, 0.121858, 0.123298, 0.12536, 0.127239");
-            values ( \
-              "-0.0140402, -0.0440271, -0.0474272, -0.0529898, -0.0569421, -0.0618237, -0.0661932, -0.0739827, -0.0839156, -0.0917834, -0.106418, -0.113502, -0.122815, -0.127342, -0.131096, -0.141741, -0.150585, -0.153365, -0.156069, -0.158125, -0.159283, -0.159747, -0.159956, -0.159874, -0.159666, -0.15892, -0.158382, -0.156785, -0.154623, -0.152797, -0.15069, -0.145634, -0.143021, -0.137125, -0.133843, -0.129002, -0.0936387, -0.0845011, -0.0833428, -0.0818646, -0.0797373, -0.0771753, -0.0663888, -0.054496, -0.0438258, -0.0364108, -0.0329663, -0.0275588, -0.0212499, -0.0161688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0638395, 0.0687333, 0.0699062, 0.0722518, 0.0734245, 0.0763512, 0.0792776, 0.0822046, 0.0883558, 0.093485, 0.0981053, 0.101745, 0.103516, 0.104802, 0.10653, 0.107729, 0.10855, 0.109811, 0.110804, 0.111798, 0.112254, 0.11271, 0.113216, 0.114045, 0.115014, 0.115619, 0.116224, 0.116829, 0.118039, 0.118956, 0.119582, 0.12111, 0.122879, 0.125823, 0.12848, 0.129366, 0.131614, 0.133862, 0.136511, 0.13792, 0.139291, 0.140662, 0.143333, 0.145251, 0.147308, 0.149792, 0.151801, 0.154165, 0.155346, 0.157199");
-            values ( \
-              "-0.0372689, -0.0744958, -0.0822125, -0.0965846, -0.10324, -0.117542, -0.131167, -0.14391, -0.169838, -0.190408, -0.2081, -0.221139, -0.227009, -0.231018, -0.236077, -0.23929, -0.241251, -0.243659, -0.244964, -0.245768, -0.24779, -0.250385, -0.250479, -0.250333, -0.249689, -0.248381, -0.246739, -0.244705, -0.239748, -0.235196, -0.231469, -0.22053, -0.206109, -0.178853, -0.154942, -0.147117, -0.128748, -0.111753, -0.0936074, -0.0846695, -0.0772745, -0.0703569, -0.0583608, -0.0504554, -0.0430384, -0.036012, -0.0311005, -0.0260785, -0.0238437, -0.020699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.056357, 0.0968309, 0.113858, 0.115345, 0.116841, 0.119527, 0.127877, 0.137145, 0.142085, 0.149031, 0.15833, 0.171618, 0.178271, 0.188478, 0.19544, 0.201734, 0.210126, 0.217147, 0.226675, 0.239379, 0.263763, 0.292205");
-            values ( \
-              "-0.000302538, -0.234617, -0.311981, -0.317116, -0.317788, -0.317151, -0.308691, -0.294269, -0.283681, -0.262801, -0.217858, -0.146094, -0.116313, -0.0801816, -0.0614765, -0.0481054, -0.034371, -0.0258723, -0.0175366, -0.010286, -0.00341331, -0.000894969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0672067, 0.0785075, 0.084856, 0.114207, 0.116502, 0.118585, 0.126922, 0.148037, 0.171822, 0.185829, 0.199935, 0.210616, 0.231212, 0.248148, 0.262503, 0.274356, 0.290086, 0.302692, 0.313033, 0.325468, 0.343042, 0.36159, 0.375841, 0.404344, 0.456435, 0.509866");
-            values ( \
-              "-0.0573915, -0.152875, -0.189637, -0.345126, -0.3495, -0.350307, -0.34794, -0.338756, -0.325174, -0.313778, -0.297576, -0.279403, -0.223833, -0.173347, -0.135988, -0.109981, -0.0819167, -0.0641446, -0.0523028, -0.040721, -0.0283955, -0.0193778, -0.0143864, -0.00779872, -0.00228678, -0.000650712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0727145, 0.0859223, 0.113838, 0.1155, 0.119564, 0.175096, 0.223417, 0.259779, 0.297619, 0.33593, 0.342948, 0.367612, 0.424879, 0.469338, 0.499713, 0.524785, 0.545003, 0.570387, 0.606141, 0.644188, 0.673466, 0.732021, 0.797758, 0.929233");
-            values ( \
-              "-0.171696, -0.203261, -0.357682, -0.364877, -0.367649, -0.35716, -0.345812, -0.334798, -0.318572, -0.290643, -0.283081, -0.24934, -0.163172, -0.1108, -0.0836381, -0.0657925, -0.0540441, -0.0420138, -0.0292651, -0.0198866, -0.014707, -0.00790341, -0.00389091, -0.000938408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0817983, 0.107983, 0.11429, 0.11614, 0.120273, 0.2876, 0.367997, 0.42595, 0.476265, 0.520453, 0.58619, 0.603984, 0.639571, 0.751134, 0.791626, 0.856558, 0.925297, 0.983316, 1.03692, 1.08632, 1.15206, 1.20327, 1.28128, 1.34701, 1.41275, 1.54422, 1.80717");
-            values ( \
-              "-0.314232, -0.331607, -0.367752, -0.374277, -0.376568, -0.360442, -0.350817, -0.342438, -0.333462, -0.323396, -0.301149, -0.292509, -0.270735, -0.186824, -0.159125, -0.120949, -0.0889955, -0.0679614, -0.0527214, -0.0415491, -0.030078, -0.0233836, -0.0158635, -0.0113832, -0.00817495, -0.00419762, -0.00110474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.111663, 0.124524, 0.135812, 0.140734, 0.155844, 0.163494, 0.170395, 0.176817, 0.181385, 0.183002, 0.185822, 0.189386, 0.20327, 0.207547, 0.213784, 0.218258, 0.221821, 0.228946, 0.23756, 0.24894, 0.251614, 0.255216, 0.26452");
-            values ( \
-              "-0.0101746, -0.0261763, -0.0491179, -0.0577961, -0.0804918, -0.0907626, -0.0989622, -0.104974, -0.107057, -0.106604, -0.104039, -0.0956589, -0.0369491, -0.0245818, -0.0128349, -0.00788985, -0.00532339, -0.00225164, -0.000830339, -0.000297364, -0.0021613, -0.00241839, -0.000726027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.115765, 0.124557, 0.129693, 0.134348, 0.141206, 0.150944, 0.170663, 0.177639, 0.188825, 0.19614, 0.198188, 0.202204, 0.205146, 0.20631, 0.208637, 0.213291, 0.218288, 0.226792, 0.232691, 0.236592, 0.239804, 0.24373, 0.248972, 0.252138, 0.260299, 0.266435, 0.274643, 0.286728, 0.300096");
-            values ( \
-              "-0.0234578, -0.034511, -0.0446088, -0.055037, -0.0725365, -0.0924767, -0.127939, -0.139543, -0.156282, -0.164842, -0.166522, -0.168477, -0.167618, -0.16686, -0.163921, -0.151895, -0.128783, -0.0853008, -0.0604633, -0.0471229, -0.0380961, -0.0291532, -0.0199845, -0.0190385, -0.0109474, -0.00675428, -0.00350942, -0.00130429, -0.000439171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.116524, 0.132855, 0.137087, 0.153436, 0.167025, 0.191442, 0.207555, 0.212484, 0.221582, 0.224849, 0.231516, 0.242314, 0.244261, 0.246857, 0.251029, 0.253175, 0.265474, 0.272407, 0.277728, 0.284823, 0.290152, 0.294451, 0.300183, 0.309956, 0.313354, 0.321164, 0.330089, 0.347939, 0.375163, 0.402817");
-            values ( \
-              "-0.00992223, -0.0598911, -0.0695104, -0.111141, -0.140706, -0.19047, -0.220299, -0.228483, -0.241782, -0.245619, -0.25093, -0.246789, -0.243522, -0.236787, -0.223107, -0.213579, -0.147991, -0.11683, -0.0965367, -0.074036, -0.0603962, -0.0510964, -0.0407117, -0.0274137, -0.0238716, -0.0173786, -0.0119987, -0.00556313, -0.00155394, -0.000447931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.124478, 0.158734, 0.175378, 0.197102, 0.219132, 0.232972, 0.250727, 0.253461, 0.259777, 0.268091, 0.280561, 0.284719, 0.293035, 0.304804, 0.325348, 0.342539, 0.357108, 0.36404, 0.374237, 0.384001, 0.396769, 0.407428, 0.419787, 0.437302, 0.445085, 0.455624, 0.469676, 0.497781, 0.5494, 0.602651");
-            values ( \
-              "-0.0413866, -0.131699, -0.171397, -0.2212, -0.268984, -0.296999, -0.330336, -0.332394, -0.329292, -0.323657, -0.313226, -0.309021, -0.299065, -0.279406, -0.224009, -0.172791, -0.134998, -0.119345, -0.0990253, -0.0823505, -0.0642819, -0.052086, -0.0406176, -0.0283539, -0.0241652, -0.0194401, -0.014497, -0.0079278, -0.00235935, -0.000671552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.135227, 0.15518, 0.187409, 0.225518, 0.251175, 0.253465, 0.255805, 0.260485, 0.274101, 0.298542, 0.317641, 0.34644, 0.369138, 0.391846, 0.430079, 0.446984, 0.518614, 0.54565, 0.555301, 0.575056, 0.594245, 0.619133, 0.639008, 0.664472, 0.700284, 0.716451, 0.738561, 0.76804, 0.826998, 0.892736, 0.958473, 1.02421");
-            values ( \
-              "-0.121846, -0.126342, -0.208537, -0.299317, -0.357144, -0.35962, -0.359622, -0.359064, -0.356178, -0.350636, -0.345779, -0.337412, -0.32915, -0.31855, -0.290748, -0.270817, -0.163843, -0.129997, -0.119351, -0.0997724, -0.0834029, -0.0657208, -0.0541664, -0.0420771, -0.0292916, -0.0248699, -0.0198582, -0.0146549, -0.007839, -0.00385894, -0.00189996, -0.000930504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.145961, 0.180251, 0.249528, 0.254945, 0.401131, 0.462136, 0.527873, 0.583819, 0.614596, 0.676148, 0.698071, 0.741421, 0.882399, 0.950627, 1.0208, 1.07803, 1.12981, 1.17963, 1.24537, 1.29771, 1.39225, 1.45798, 1.52372, 1.6552, 1.68394");
-            values ( \
-              "-0.184698, -0.195249, -0.368489, -0.372828, -0.358282, -0.350826, -0.341173, -0.330673, -0.323394, -0.30296, -0.29251, -0.265318, -0.161273, -0.120939, -0.0884031, -0.0677814, -0.0530456, -0.0416906, -0.0302111, -0.0233262, -0.0145456, -0.0104621, -0.0074825, -0.00383257, -0.00352248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.228749, 0.250398, 0.25348, 0.256562, 0.259645, 0.262727, 0.26628, 0.275323, 0.279196, 0.282271, 0.285346, 0.299559, 0.305298, 0.311037, 0.316979, 0.32239, 0.324992, 0.327594, 0.329971, 0.332347, 0.334725, 0.337102, 0.338914, 0.340726, 0.341824, 0.343471, 0.34402, 0.344723, 0.346131, 0.346834, 0.347721, 0.348607, 0.349494, 0.35038, 0.351276, 0.35262, 0.353792, 0.355241, 0.357418, 0.359736, 0.364811, 0.366792, 0.369763, 0.373629, 0.375735, 0.376788, 0.378894, 0.379947, 0.381778, 0.384962");
-            values ( \
-              "-0.0145757, -0.015695, -0.0175143, -0.01949, -0.021622, -0.0239104, -0.0269447, -0.0342268, -0.0371395, -0.0393116, -0.0414426, -0.0508082, -0.0542563, -0.0576196, -0.0608848, -0.0636837, -0.0649331, -0.0661129, -0.0671066, -0.0680278, -0.0687966, -0.0694392, -0.0698224, -0.0701204, -0.0701493, -0.0700944, -0.0700499, -0.0699242, -0.0695401, -0.0692818, -0.0688935, -0.068435, -0.0679065, -0.0673077, -0.0664902, -0.064771, -0.0630139, -0.0605742, -0.0563517, -0.0513707, -0.0395839, -0.0352145, -0.0292164, -0.0221933, -0.0188692, -0.0174752, -0.0149053, -0.0137294, -0.0119184, -0.00900757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.230556, 0.254084, 0.257024, 0.259965, 0.262905, 0.265846, 0.271726, 0.278571, 0.281845, 0.285118, 0.293098, 0.302531, 0.320746, 0.321539, 0.330362, 0.338975, 0.345706, 0.353591, 0.35944, 0.363191, 0.364318, 0.366573, 0.369164, 0.370628, 0.373556, 0.375051, 0.376547, 0.377543, 0.379537, 0.381663, 0.383789, 0.385915, 0.388041, 0.390422, 0.392803, 0.395184, 0.397565, 0.401365, 0.406406, 0.408466, 0.410525, 0.413197, 0.418123, 0.420376, 0.422676, 0.424976, 0.428272, 0.42992, 0.431567, 0.434223");
-            values ( \
-              "-0.0213545, -0.023866, -0.0260042, -0.0282824, -0.030755, -0.0333661, -0.0390039, -0.0460991, -0.0493177, -0.0524378, -0.0595809, -0.0676637, -0.0821396, -0.0826562, -0.0891614, -0.095144, -0.099492, -0.104235, -0.107352, -0.10917, -0.109637, -0.110464, -0.111234, -0.111585, -0.112103, -0.111988, -0.11168, -0.111363, -0.110463, -0.108797, -0.106703, -0.104181, -0.101231, -0.0972672, -0.0928676, -0.0880317, -0.0827595, -0.0734177, -0.0599648, -0.0549787, -0.0502219, -0.044446, -0.034564, -0.0305782, -0.0271647, -0.0240403, -0.020092, -0.0183222, -0.0166888, -0.0143265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.21888, 0.252585, 0.263746, 0.274998, 0.291568, 0.311595, 0.342437, 0.371606, 0.384067, 0.403976, 0.412228, 0.42825, 0.434253, 0.44011, 0.446501, 0.469757, 0.483629, 0.498197, 0.508929, 0.516344, 0.528053, 0.543665, 0.55009, 0.556184, 0.570986, 0.59942");
-            values ( \
-              "-0.00507071, -0.0287446, -0.0375674, -0.0484447, -0.0668785, -0.087392, -0.115789, -0.140293, -0.149797, -0.162943, -0.167132, -0.170481, -0.167988, -0.162799, -0.152233, -0.0922266, -0.0611227, -0.0376684, -0.0256676, -0.0195569, -0.0125712, -0.00673674, -0.00524391, -0.00571916, -0.00334022, -0.000986167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.247023, 0.275172, 0.317895, 0.341917, 0.380321, 0.421412, 0.450399, 0.462528, 0.483271, 0.494651, 0.510909, 0.534665, 0.572137, 0.587856, 0.604034, 0.611172, 0.622894, 0.638522, 0.653536, 0.675617, 0.705057, 0.735102");
-            values ( \
-              "-0.0511273, -0.0539346, -0.10132, -0.126417, -0.164549, -0.202537, -0.226456, -0.235217, -0.247039, -0.250193, -0.247168, -0.211005, -0.121219, -0.0907994, -0.0664228, -0.057751, -0.0457318, -0.0332671, -0.0244445, -0.015446, -0.00819592, -0.00481216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.246851, 0.291264, 0.377312, 0.423402, 0.469315, 0.506299, 0.525117, 0.549966, 0.556479, 0.562764, 0.571399, 0.585659, 0.600608, 0.630505, 0.641262, 0.662372, 0.710239, 0.730916, 0.757503, 0.777396, 0.798269, 0.826084, 0.851235, 0.884771, 0.912762, 0.950547, 1.00093, 1.06666, 1.1324, 1.19814, 1.26388");
-            values ( \
-              "-0.0363504, -0.0751258, -0.171069, -0.219444, -0.265435, -0.299801, -0.31585, -0.334747, -0.336099, -0.335003, -0.331581, -0.325877, -0.318545, -0.298456, -0.288136, -0.261461, -0.18812, -0.159141, -0.126556, -0.105847, -0.0872247, -0.0668636, -0.0523368, -0.0374362, -0.0282074, -0.0191913, -0.0113197, -0.00558719, -0.00274764, -0.00134967, -0.000662759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.274832, 0.325292, 0.419699, 0.521082, 0.549793, 0.555992, 0.565316, 0.666247, 0.734781, 0.766767, 0.809415, 0.851053, 0.906664, 0.972401, 1.06474, 1.15412, 1.22962, 1.28723, 1.33789, 1.38797, 1.45371, 1.50674, 1.60268, 1.66842, 1.79989, 1.93137, 2.12858");
-            values ( \
-              "-0.109909, -0.116257, -0.223161, -0.331499, -0.360327, -0.363193, -0.363515, -0.351438, -0.34148, -0.335866, -0.326837, -0.315409, -0.292561, -0.248942, -0.179253, -0.123738, -0.0884044, -0.0676716, -0.0532408, -0.0417835, -0.0302881, -0.0233019, -0.0144268, -0.0103776, -0.00533577, -0.00273899, -0.000988095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.428866, 0.480583, 0.501827, 0.515709, 0.540037, 0.579779, 0.622798, 0.638486, 0.655049, 0.666404, 0.675695, 0.679655, 0.685942, 0.6912, 0.724368, 0.737047, 0.745649, 0.75613, 0.772972, 0.783829, 0.807248, 0.809645");
-            values ( \
-              "-0.00117131, -0.00389787, -0.00580201, -0.00767753, -0.0123121, -0.0248512, -0.0360475, -0.0394443, -0.0424084, -0.0438477, -0.0443572, -0.0441925, -0.043197, -0.0409317, -0.0113392, -0.00549237, -0.003346, -0.00185327, -0.00086993, -0.00054017, -0.000272078, -0.000264593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.428675, 0.490366, 0.49904, 0.516387, 0.529058, 0.554399, 0.563786, 0.604196, 0.631828, 0.649767, 0.675706, 0.690006, 0.699485, 0.708729, 0.718423, 0.737188, 0.744695, 0.751022, 0.765465, 0.776718, 0.786806, 0.795898, 0.805613, 0.813259, 0.828553, 0.84553, 0.86433, 0.884691, 0.939932, 1.17284");
-            values ( \
-              "-0.00150948, -0.0073541, -0.00857422, -0.0116779, -0.0143878, -0.0219006, -0.0258397, -0.0416809, -0.0514675, -0.0573275, -0.0649645, -0.068571, -0.0706349, -0.0722872, -0.0733749, -0.0713713, -0.0663519, -0.05986, -0.041339, -0.0281631, -0.0190302, -0.0129506, -0.00843681, -0.00595003, -0.00285631, -0.00126965, -0.000548116, -0.000264211, -8.5397e-05, -1.49295e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.428627, 0.507706, 0.525864, 0.542412, 0.569129, 0.61748, 0.643797, 0.67337, 0.700516, 0.727528, 0.757336, 0.769885, 0.790472, 0.79538, 0.805197, 0.820789, 0.840124, 0.864904, 0.878284, 0.892801, 0.905174, 0.920898, 0.941865, 0.974839, 1.01285, 1.05749, 1.17797");
-            values ( \
-              "-0.000562452, -0.013849, -0.0183505, -0.0231768, -0.0330366, -0.0553578, -0.0667713, -0.0788065, -0.0890475, -0.0983253, -0.107094, -0.110087, -0.113443, -0.113688, -0.113339, -0.107779, -0.0878173, -0.0544974, -0.0394958, -0.026889, -0.0189005, -0.0118424, -0.00606738, -0.00186978, -0.000465663, -0.000100864, -2.19276e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.494258, 0.555329, 0.585659, 0.650039, 0.695783, 0.732653, 0.78751, 0.823816, 0.8424, 0.871681, 0.894953, 0.914816, 0.950004, 0.970318, 1.00469, 1.02893, 1.0512, 1.06482, 1.08364, 1.10636, 1.13665, 1.19116, 1.21587, 1.23354, 1.27664");
-            values ( \
-              "-0.0260421, -0.0326564, -0.0452493, -0.0769665, -0.0980893, -0.114022, -0.135942, -0.149082, -0.155175, -0.163519, -0.168183, -0.169357, -0.155674, -0.132377, -0.0877611, -0.0614624, -0.0428949, -0.0339955, -0.0243235, -0.0160229, -0.00888708, -0.00269351, -0.0016254, -0.00195371, -0.000766788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.494189, 0.570542, 0.764849, 0.844032, 0.934614, 0.990961, 1.04319, 1.09401, 1.14468, 1.20543, 1.25147, 1.291, 1.3229, 1.35937, 1.38612, 1.42725, 1.48208, 1.54782, 1.57462");
-            values ( \
-              "-0.0219788, -0.0428725, -0.136832, -0.172349, -0.209766, -0.229877, -0.243636, -0.244684, -0.210333, -0.139662, -0.0944151, -0.0648459, -0.0474554, -0.0328745, -0.025078, -0.0164614, -0.00922482, -0.00454746, -0.00384404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.550976, 0.634359, 0.800019, 0.907954, 0.987929, 1.03898, 1.12812, 1.19386, 1.21562, 1.22508, 1.23659, 1.28636, 1.32566, 1.36972, 1.43545, 1.52766, 1.59339, 1.6171, 1.65247, 1.69288, 1.7503, 1.80076, 1.85088, 1.91662, 1.96975, 2.00181, 2.06591, 2.13165, 2.19739, 2.26312, 2.3946, 2.59181");
-            values ( \
-              "-0.0750589, -0.0756784, -0.159224, -0.211155, -0.248157, -0.270736, -0.307208, -0.330169, -0.336479, -0.336452, -0.334695, -0.323371, -0.31158, -0.29252, -0.248916, -0.179322, -0.137036, -0.123753, -0.10601, -0.0883023, -0.0676542, -0.0532795, -0.0418049, -0.030304, -0.0233012, -0.0199023, -0.0144097, -0.010366, -0.00741083, -0.00532959, -0.00273576, -0.000985528" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0342619, 0.0482057, 0.0765112, 0.134582, 0.254845, 0.505229", \
-            "0.0404402, 0.0543619, 0.082783, 0.141004, 0.261379, 0.511821", \
-            "0.0552929, 0.0694727, 0.0977178, 0.155975, 0.276469, 0.526715", \
-            "0.07891, 0.100125, 0.132329, 0.1901, 0.31046, 0.561019", \
-            "0.115382, 0.146851, 0.195604, 0.267234, 0.386973, 0.637004", \
-            "0.177053, 0.220632, 0.291872, 0.399678, 0.556479, 0.807288" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0282779, 0.0481342, 0.0892202, 0.17436, 0.351839, 0.722138", \
-            "0.0286217, 0.0481905, 0.0892101, 0.174349, 0.351835, 0.722079", \
-            "0.0349877, 0.050912, 0.0893557, 0.174336, 0.351827, 0.722078", \
-            "0.0539423, 0.0690371, 0.0992309, 0.175515, 0.351827, 0.722076", \
-            "0.082852, 0.1062, 0.141067, 0.201936, 0.35677, 0.722054", \
-            "0.128246, 0.163333, 0.216584, 0.294354, 0.421564, 0.737675" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0265546, 0.0275672, 0.0287382, 0.0306051, 0.0332287, 0.0352109, 0.0379076, 0.0424761, 0.0464767, 0.0501821, 0.0583864, 0.0647024, 0.0715743, 0.0799941, 0.0849679, 0.0895473, 0.0956532, 0.103486, 0.108861, 0.119676, 0.126272, 0.132369, 0.138973, 0.144349");
-            values ( \
-              "0.0962963, 0.162977, 0.172131, 0.178706, 0.181466, 0.179756, 0.175547, 0.165387, 0.153968, 0.139649, 0.0945275, 0.066367, 0.0447644, 0.027309, 0.0202051, 0.0153128, 0.0105034, 0.00660723, 0.00504857, 0.00292309, 0.00202909, 0.00144787, 0.000985668, 0.000752564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0265375, 0.0288035, 0.0307164, 0.0332847, 0.0347741, 0.0367599, 0.0398648, 0.0461354, 0.0542176, 0.0621649, 0.0673134, 0.0726869, 0.0831807, 0.0932773, 0.0985094, 0.105192, 0.11035, 0.115737, 0.122961, 0.129614, 0.135901, 0.143999, 0.148739, 0.154768, 0.162175, 0.173464, 0.186113, 0.201791, 0.219268");
-            values ( \
-              "0.0324015, 0.208724, 0.219631, 0.224955, 0.22567, 0.224876, 0.222095, 0.213062, 0.199267, 0.182871, 0.169027, 0.150781, 0.111634, 0.0813546, 0.0682982, 0.0541819, 0.0450868, 0.037018, 0.0282877, 0.0221229, 0.0174398, 0.0127663, 0.0106174, 0.00838065, 0.00624158, 0.00394791, 0.00234941, 0.00121932, 0.000602815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0279356, 0.0304238, 0.0322023, 0.0338412, 0.0366582, 0.0394061, 0.0440648, 0.0507033, 0.0748539, 0.0835356, 0.0926015, 0.102235, 0.112264, 0.131392, 0.13974, 0.148905, 0.158264, 0.17302, 0.180577, 0.195228, 0.204044, 0.215227, 0.230138, 0.240314, 0.25068, 0.2645, 0.292141, 0.331695, 0.384064, 0.450478, 0.535743, 4.26324");
-            values ( \
-              "0.213951, 0.243817, 0.250918, 0.25422, 0.256408, 0.255808, 0.253043, 0.247315, 0.223737, 0.214493, 0.203516, 0.189047, 0.170149, 0.129688, 0.113449, 0.0969445, 0.0817944, 0.0615981, 0.0529023, 0.0388806, 0.0321627, 0.0251486, 0.017951, 0.0142243, 0.0112055, 0.00811512, 0.00414907, 0.00150852, 0.000352056, 4.18092e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.0297343, 0.0297543, 0.0725928, 0.111847, 0.132609, 0.150593, 0.164272, 0.173983, 0.193404, 0.231143, 0.263522, 0.280967, 0.304148, 0.321441, 0.338939, 0.362238, 0.382904, 0.41046, 0.434445, 0.445184, 0.466663, 0.50962, 0.59048, 0.675745, 0.76101, 0.846275, 1.01681, 4.26325");
-            values ( \
-              "1e-22, 0.293191, 0.26077, 0.241551, 0.230286, 0.219172, 0.209303, 0.201177, 0.182154, 0.140173, 0.106643, 0.0905475, 0.0718201, 0.0599097, 0.0495274, 0.0381002, 0.0300288, 0.0216597, 0.0162264, 0.0142505, 0.0109616, 0.00639046, 0.00212417, 0.000649563, 0.000197674, 6.04669e-05, 5.69793e-06, 7.99631e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.0318605, 0.0318805, 0.117537, 0.186974, 0.248241, 0.284478, 0.322726, 0.362489, 0.502634, 0.583567, 0.617646, 0.652593, 0.699075, 0.740394, 0.795484, 0.843015, 0.906835, 0.99193, 1.0772, 1.16246, 1.24773, 1.25159");
-            values ( \
-              "1e-22, 0.308572, 0.268881, 0.251439, 0.234192, 0.222422, 0.207299, 0.187972, 0.111346, 0.0751087, 0.062785, 0.051886, 0.0398999, 0.0314174, 0.0226318, 0.0169776, 0.0114929, 0.00672128, 0.00390698, 0.00226475, 0.00131023, 0.00129044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0346643, 0.0346843, 0.210733, 0.356365, 0.494011, 0.553647, 0.591568, 0.632654, 0.714825, 0.933802, 1.03442, 1.11969, 1.18819, 1.25434, 1.32029, 1.40556, 1.47821, 1.56347, 1.64874, 1.71859, 1.77326, 1.84614, 1.93141, 2.01667, 2.10194, 2.27247, 2.52827, 2.86933, 3.38092, 4.26313");
-            values ( \
-              "1e-22, 0.312441, 0.273127, 0.25446, 0.235207, 0.225589, 0.218703, 0.210351, 0.190904, 0.131163, 0.105478, 0.0861597, 0.0725656, 0.0610736, 0.0511362, 0.0403523, 0.0328415, 0.025654, 0.0199568, 0.016218, 0.0137766, 0.0110593, 0.00854026, 0.006583, 0.00507259, 0.00300363, 0.0013625, 0.000473662, 9.6469e-05, 6.95811e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.039946, 0.0463453, 0.0508874, 0.0529491, 0.0560094, 0.0578701, 0.0611042, 0.0674701, 0.0847972, 0.091747, 0.0998731, 0.108617, 0.114863, 0.120848, 0.128859, 0.140101, 0.152419, 0.165744");
-            values ( \
-              "0.00562848, 0.0967247, 0.131053, 0.156596, 0.169202, 0.170137, 0.167069, 0.150595, 0.0662743, 0.0444957, 0.0276276, 0.0163081, 0.0111496, 0.00775113, 0.00511886, 0.00283675, 0.00140907, 0.000680823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.0423553, 0.0464606, 0.0509181, 0.0517702, 0.0526679, 0.0534572, 0.0550359, 0.0567104, 0.0594808, 0.060039, 0.0605306, 0.0611861, 0.062497, 0.0651189, 0.0683656, 0.072782, 0.0790311, 0.0821984, 0.0846603, 0.0873882, 0.092844, 0.103092, 0.108019, 0.11131, 0.114453, 0.119308, 0.125521, 0.129911, 0.135488, 0.142877, 0.145223, 0.149916, 0.156095, 0.164334, 0.167813, 0.170611, 0.174341, 0.181174, 0.191194, 0.204207, 0.219363, 0.239154, 0.266052, 0.310328, 4.2632");
-            values ( \
-              "0.0170694, 0.11616, 0.161128, 0.177995, 0.191057, 0.198557, 0.209329, 0.215039, 0.218394, 0.218317, 0.218188, 0.217885, 0.21692, 0.21402, 0.209324, 0.201973, 0.190028, 0.182977, 0.176821, 0.169047, 0.150486, 0.112189, 0.0965171, 0.0869588, 0.0784801, 0.0666635, 0.0537278, 0.0459586, 0.0374727, 0.0284914, 0.0261382, 0.0219569, 0.0173584, 0.0126359, 0.0110181, 0.00987735, 0.00854275, 0.00649609, 0.00434465, 0.00253279, 0.00135671, 0.000570825, 0.000177373, 2.97585e-06, 6.29212e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0449558, 0.0536577, 0.0554904, 0.057283, 0.0595257, 0.0629539, 0.0668919, 0.0716452, 0.0950312, 0.108388, 0.117459, 0.122409, 0.132311, 0.151812, 0.168933, 0.178243, 0.189228, 0.201082, 0.215846, 0.224214, 0.234762, 0.248826, 0.260003, 0.271321, 0.286412, 0.316594, 0.357553, 0.39086");
-            values ( \
-              "0.0988854, 0.226436, 0.240246, 0.247044, 0.25123, 0.252288, 0.250426, 0.246496, 0.223767, 0.209122, 0.196971, 0.189073, 0.17043, 0.129189, 0.0972023, 0.0821001, 0.0665988, 0.0525432, 0.0385068, 0.0321575, 0.0255011, 0.0185683, 0.0143797, 0.0110809, 0.00778402, 0.00371796, 0.00129296, 0.000674917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0480006, 0.0597008, 0.0628504, 0.0667368, 0.098916, 0.140496, 0.166306, 0.184553, 0.194263, 0.213684, 0.266901, 0.301241, 0.330187, 0.359212, 0.389398, 0.403174, 0.430726, 0.45471, 0.465448, 0.486923, 0.529874, 0.610698, 0.695963, 0.781229, 0.866494, 1.03702, 4.26315");
-            values ( \
-              "0.23548, 0.270342, 0.272814, 0.272839, 0.258037, 0.237133, 0.222183, 0.209307, 0.201184, 0.182157, 0.123666, 0.0905535, 0.0676712, 0.0495295, 0.0352215, 0.0300319, 0.0216618, 0.016229, 0.014252, 0.010964, 0.00639158, 0.00212646, 0.000649622, 0.00019833, 6.00284e-05, 5.21462e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0517554, 0.0517754, 0.13808, 0.185052, 0.234366, 0.28666, 0.323375, 0.34326, 0.383028, 0.484989, 0.523172, 0.558186, 0.6041, 0.638171, 0.673119, 0.719603, 0.760924, 0.816018, 0.863541, 0.884811, 0.92735, 1.01243, 1.09769, 1.18296, 1.26822, 1.35349, 1.43875, 1.60928, 1.86508, 4.26321");
-            values ( \
-              "1e-22, 0.304146, 0.269003, 0.25734, 0.244131, 0.228606, 0.215594, 0.2073, 0.187971, 0.131383, 0.111345, 0.0945169, 0.0751085, 0.0627876, 0.0518881, 0.0399009, 0.0314177, 0.0226318, 0.016978, 0.0149222, 0.011494, 0.00672297, 0.00390767, 0.00226545, 0.00131034, 0.000758166, 0.00043754, 0.000145801, 2.84702e-05, 1.13488e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0569743, 0.0569943, 0.231318, 0.413123, 0.526328, 0.58921, 0.652902, 0.785521, 1.00294, 1.14012, 1.19762, 1.34078, 1.45024, 1.58389, 1.66915, 1.73904, 1.86666, 2.03719, 2.29298, 2.52449");
-            values ( \
-              "1e-22, 0.318438, 0.273114, 0.249621, 0.23339, 0.222942, 0.210402, 0.177605, 0.1184, 0.0861678, 0.0746401, 0.0511325, 0.0376965, 0.0256569, 0.0199605, 0.0162183, 0.0110583, 0.00658268, 0.00300253, 0.00151862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0693778, 0.0772535, 0.100432, 0.106138, 0.114805, 0.118748, 0.131887, 0.140572, 0.14808, 0.157671, 0.161556, 0.169673, 0.17903, 0.191494, 0.204652, 0.209935");
-            values ( \
-              "0.0218963, 0.038465, 0.108043, 0.120939, 0.135246, 0.124736, 0.063083, 0.0380786, 0.0246134, 0.0137857, 0.0108707, 0.00682366, 0.00421023, 0.00208485, 0.000967259, 0.000754768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0736098, 0.0815267, 0.090595, 0.103175, 0.107519, 0.112601, 0.113745, 0.115315, 0.11665, 0.118659, 0.121489, 0.125728, 0.130688, 0.133352, 0.138678, 0.14931, 0.156261, 0.16284, 0.17079, 0.172914, 0.177593, 0.182703, 0.191154, 0.194826, 0.201341, 0.210332, 0.21648, 0.220274, 0.227853, 0.238623, 0.252405, 0.268616, 0.290156, 0.320486, 0.368454, 4.26321");
-            values ( \
-              "0.0468876, 0.062788, 0.10002, 0.148444, 0.163821, 0.179983, 0.187629, 0.193929, 0.196024, 0.196943, 0.194645, 0.187933, 0.176533, 0.169017, 0.150954, 0.111326, 0.0898723, 0.0724086, 0.0549929, 0.051067, 0.0431877, 0.0357948, 0.0262096, 0.02287, 0.0178447, 0.012596, 0.00988755, 0.00852917, 0.00628415, 0.00407095, 0.00228814, 0.00117086, 0.000447005, 0.000117537, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.0772239, 0.0899764, 0.102423, 0.112602, 0.11376, 0.115731, 0.117322, 0.118148, 0.119801, 0.123107, 0.128451, 0.134436, 0.147095, 0.15418, 0.163249, 0.168199, 0.178098, 0.197596, 0.205697, 0.214715, 0.224024, 0.235266, 0.238955, 0.246331, 0.261084, 0.269953, 0.281272, 0.296363, 0.306304, 0.31644, 0.329954, 0.356982, 0.397726, 0.451352, 0.517871, 0.603136, 4.26321");
-            values ( \
-              "0.0650611, 0.109588, 0.168535, 0.214259, 0.223785, 0.234023, 0.237661, 0.238804, 0.239978, 0.239662, 0.235874, 0.230251, 0.217226, 0.209146, 0.197005, 0.189105, 0.170459, 0.129208, 0.113472, 0.0972234, 0.0821245, 0.0662754, 0.0616368, 0.0531255, 0.0389564, 0.0321851, 0.0250865, 0.017828, 0.0142008, 0.0112455, 0.0082037, 0.00426118, 0.00149472, 0.000331393, 3.96179e-05, 1e-22, 1.34797e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0809593, 0.0994912, 0.116299, 0.122459, 0.132058, 0.186358, 0.212168, 0.230415, 0.259542, 0.31276, 0.347092, 0.376043, 0.405068, 0.43525, 0.476571, 0.511294, 0.532769, 0.575718, 0.656446, 0.741711, 0.826976, 1.08277, 4.26321");
-            values ( \
-              "0.0899764, 0.166591, 0.258282, 0.266877, 0.264108, 0.237136, 0.222176, 0.209314, 0.182163, 0.123672, 0.0905632, 0.0676749, 0.0495315, 0.0352239, 0.0216649, 0.0142539, 0.0109652, 0.00639268, 0.00212989, 0.000650976, 0.000198442, 5.45294e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0852918, 0.112201, 0.116176, 0.120244, 0.124791, 0.130273, 0.136877, 0.174677, 0.228153, 0.268907, 0.332481, 0.369531, 0.389093, 0.428217, 0.56838, 0.602729, 0.649626, 0.684582, 0.731191, 0.768021, 0.79733, 0.836408, 0.900679, 0.93006, 0.972878, 1.02997, 1.11523, 1.2005, 1.28576, 1.37103, 1.45629, 1.62682, 1.88262, 4.26311");
-            values ( \
-              "0.127829, 0.243391, 0.271276, 0.279502, 0.281625, 0.281095, 0.279784, 0.271268, 0.258052, 0.247242, 0.228614, 0.215468, 0.2073, 0.188309, 0.11166, 0.0951071, 0.0752246, 0.0625905, 0.0484414, 0.0393132, 0.0331957, 0.0263733, 0.0178798, 0.0149629, 0.0115174, 0.00807743, 0.00470295, 0.00272732, 0.00157986, 0.000912685, 0.000528414, 0.000176746, 3.33812e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0918704, 0.112582, 0.118209, 0.126019, 0.150685, 0.208658, 0.373318, 0.507097, 0.634679, 0.698362, 0.759697, 1.10031, 1.24308, 1.32029, 1.38623, 1.49569, 1.62934, 1.71461, 1.78449, 1.91211, 2.08264, 2.33843, 2.56844");
-            values ( \
-              "0.252559, 0.255743, 0.283924, 0.289279, 0.287543, 0.281484, 0.260859, 0.242922, 0.222941, 0.210403, 0.196183, 0.105485, 0.0746405, 0.0610696, 0.0511329, 0.0376969, 0.0256573, 0.0199607, 0.0162187, 0.0110586, 0.0065828, 0.00300272, 0.00152821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.130077, 0.145543, 0.16967, 0.185563, 0.194917, 0.203369, 0.211294, 0.215207, 0.219188, 0.234911, 0.248958, 0.250108, 0.251823, 0.265272, 0.273569, 0.27952, 0.290562, 0.297108, 0.304572, 0.306369");
-            values ( \
-              "0.0130385, 0.0182866, 0.0489237, 0.0657314, 0.074387, 0.080681, 0.0840355, 0.0837592, 0.0802429, 0.0446064, 0.0226924, 0.0236045, 0.023325, 0.0121036, 0.00771092, 0.00551808, 0.00289129, 0.00195392, 0.00125695, 0.00115264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.132724, 0.149432, 0.157249, 0.170448, 0.188419, 0.202166, 0.213687, 0.217734, 0.22494, 0.231363, 0.234618, 0.239594, 0.244325, 0.248934, 0.249365, 0.250228, 0.252752, 0.265092, 0.272904, 0.279917, 0.28468, 0.294491, 0.302578, 0.311377, 0.317684, 0.326812, 0.338982, 0.353319, 0.371197, 0.39372, 0.427887, 0.477592, 4.26324");
-            values ( \
-              "0.0196986, 0.0293049, 0.0408579, 0.0624967, 0.0893732, 0.108756, 0.123091, 0.127356, 0.133634, 0.136982, 0.136903, 0.133286, 0.12447, 0.112891, 0.113345, 0.11275, 0.107768, 0.0753373, 0.0577399, 0.0450895, 0.0379613, 0.02645, 0.0194716, 0.0138096, 0.0107754, 0.00748954, 0.00455221, 0.00250526, 0.00117198, 0.00043413, 7.94777e-05, 1e-22, 9.6315e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.13869, 0.160523, 0.209441, 0.220111, 0.227374, 0.235863, 0.242765, 0.248929, 0.25221, 0.25675, 0.260889, 0.265095, 0.271006, 0.282828, 0.296232, 0.306754, 0.315011, 0.321648, 0.333253, 0.340254, 0.3499, 0.358554, 0.369877, 0.379066, 0.390211, 0.405919, 0.4129, 0.422348, 0.434947, 0.460143, 0.505097, 0.559313, 0.628256, 0.713521, 0.798786, 4.26323");
-            values ( \
-              "0.030764, 0.0525654, 0.143064, 0.161596, 0.173545, 0.186454, 0.195742, 0.202463, 0.207209, 0.207867, 0.203771, 0.198349, 0.189163, 0.166558, 0.137961, 0.116737, 0.10163, 0.0903656, 0.072774, 0.0635357, 0.0523221, 0.043701, 0.0342852, 0.0280482, 0.0218665, 0.0152677, 0.0130102, 0.0104602, 0.0077907, 0.00424181, 0.00129927, 0.000282481, 2.74648e-05, 6.63468e-07, 1e-22, 4.24844e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.149425, 0.172531, 0.250818, 0.25544, 0.259881, 0.264299, 0.28868, 0.314484, 0.332805, 0.356898, 0.415083, 0.448367, 0.478335, 0.514349, 0.546354, 0.565294, 0.598206, 0.613252, 0.635553, 0.665287, 0.724756, 0.805601");
-            values ( \
-              "0.0669471, 0.0797408, 0.245555, 0.251289, 0.251284, 0.249514, 0.237183, 0.222212, 0.209266, 0.187317, 0.123663, 0.0914771, 0.0676851, 0.045807, 0.03182, 0.0254851, 0.0171458, 0.0142988, 0.0108987, 0.00753842, 0.00349088, 0.00119128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.160491, 0.199986, 0.252527, 0.257889, 0.26307, 0.286025, 0.333036, 0.38234, 0.434928, 0.471288, 0.491235, 0.531128, 0.671265, 0.706409, 0.752128, 0.786023, 0.821014, 0.867537, 0.908945, 0.964155, 1.01156, 1.03277, 1.07519, 1.16003, 1.2453, 1.33056, 1.41583, 1.50109, 1.58636, 1.75689, 2.01268, 4.26311");
-            values ( \
-              "0.0961952, 0.144241, 0.268444, 0.274544, 0.274175, 0.269123, 0.257345, 0.244131, 0.228511, 0.21562, 0.207302, 0.18791, 0.111286, 0.0944033, 0.0750884, 0.062829, 0.0519102, 0.0399096, 0.0314085, 0.0226085, 0.0169735, 0.0149232, 0.0115034, 0.0067388, 0.00391716, 0.00227074, 0.00131363, 0.000759842, 0.000438739, 0.000146295, 2.83986e-05, 2.02845e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.175459, 0.220221, 0.25208, 0.261937, 0.357262, 0.561021, 0.674226, 0.73711, 0.8008, 0.862222, 1.20275, 1.34552, 1.42273, 1.48867, 1.59813, 1.73177, 1.81704, 1.88692, 2.01453, 2.18506, 2.44086, 2.66534");
-            values ( \
-              "0.171744, 0.19826, 0.278968, 0.286416, 0.275923, 0.24962, 0.233391, 0.222943, 0.210404, 0.196163, 0.105485, 0.0746408, 0.0610701, 0.0511332, 0.0376971, 0.0256575, 0.0199609, 0.0162189, 0.0110589, 0.00658304, 0.00300275, 0.00156369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.260527, 0.289506, 0.293568, 0.295599, 0.297153, 0.301817, 0.304784, 0.307752, 0.310719, 0.313686, 0.327082, 0.340269, 0.352075, 0.357571, 0.362755, 0.370075, 0.377395, 0.384066, 0.390737, 0.396166, 0.399289, 0.403311, 0.406412, 0.407963, 0.411035, 0.414079, 0.415601, 0.417133, 0.418666, 0.420199, 0.421732, 0.425166, 0.426884, 0.429054, 0.434491, 0.438116, 0.441911, 0.445706, 0.452193, 0.455999, 0.460776, 0.464284, 0.467533, 0.472022, 0.474502, 0.477149, 0.481373, 0.484703, 0.488033, 0.495043");
-            values ( \
-              "0.00712615, 0.0076814, 0.00865496, 0.00917876, 0.00963834, 0.0111848, 0.0123171, 0.0135625, 0.014921, 0.0163925, 0.0241756, 0.0320416, 0.0378423, 0.0403219, 0.0425003, 0.0450148, 0.0473045, 0.0491951, 0.050899, 0.0520316, 0.0525921, 0.0532461, 0.0533625, 0.0533642, 0.0532565, 0.0530043, 0.0528238, 0.0524021, 0.051862, 0.0512033, 0.0504261, 0.0480337, 0.0466981, 0.0448316, 0.0379877, 0.0337672, 0.0297255, 0.0260695, 0.0204738, 0.0177709, 0.0147968, 0.0129094, 0.0114119, 0.00953873, 0.00859319, 0.00767273, 0.00638598, 0.00551811, 0.00474671, 0.00338466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.259102, 0.290995, 0.299511, 0.311314, 0.326322, 0.352909, 0.369845, 0.385956, 0.40462, 0.421787, 0.432656, 0.437696, 0.445521, 0.453181, 0.460959, 0.485728, 0.499897, 0.515988, 0.529215, 0.542632, 0.550082, 0.553529, 0.556283, 0.561791, 0.568769, 0.579852, 0.595562, 0.620832, 0.628612");
-            values ( \
-              "0.00994824, 0.0122349, 0.0152243, 0.0206598, 0.0303688, 0.0496617, 0.0596853, 0.0682105, 0.0768837, 0.0835062, 0.0866962, 0.0877714, 0.0885575, 0.0872358, 0.081925, 0.0507723, 0.0354526, 0.021992, 0.0142053, 0.00878804, 0.00671119, 0.0083924, 0.00819631, 0.00723593, 0.00551614, 0.00355523, 0.00178642, 0.000605091, 0.000489726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.272938, 0.306575, 0.318847, 0.327832, 0.356856, 0.378963, 0.40975, 0.437106, 0.460351, 0.470939, 0.484621, 0.491249, 0.501434, 0.511887, 0.526346, 0.550151, 0.555274, 0.577156, 0.590347, 0.599039, 0.615317, 0.633233, 0.63934, 0.653943, 0.670632, 0.70401, 0.752054, 0.810891, 0.886073, 4.26321");
-            values ( \
-              "0.0226244, 0.0237597, 0.0309801, 0.0373336, 0.0608388, 0.0771475, 0.0985025, 0.11628, 0.129538, 0.134464, 0.139154, 0.140274, 0.13923, 0.132726, 0.116112, 0.0844951, 0.0805358, 0.0541157, 0.0410369, 0.0340336, 0.0237518, 0.0157494, 0.0136944, 0.00976428, 0.00658503, 0.00287144, 0.000789696, 0.000138767, 8.86553e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.29061, 0.34561, 0.453356, 0.486841, 0.500409, 0.512661, 0.533887, 0.543264, 0.554387, 0.558994, 0.563913, 0.58144, 0.598356, 0.641258, 0.660526, 0.678383, 0.706485, 0.717628, 0.735258, 0.758675, 0.765658, 0.779625, 0.807558, 0.831133, 0.84168, 0.862776, 0.904966, 0.985281, 1.07055, 1.15581, 1.24108, 1.49687, 4.26319");
-            values ( \
-              "0.03501, 0.0564387, 0.148226, 0.175136, 0.185018, 0.193148, 0.204704, 0.208247, 0.211189, 0.210119, 0.206783, 0.191043, 0.173181, 0.125833, 0.106343, 0.0898966, 0.0677141, 0.0601892, 0.0496849, 0.0381632, 0.0352402, 0.0299745, 0.0215187, 0.0161964, 0.0142593, 0.011017, 0.00649018, 0.00217484, 0.000667132, 0.00020096, 6.39614e-05, 1e-22, 1.551e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.310288, 0.369104, 0.516648, 0.550405, 0.555618, 0.560529, 0.567695, 0.609836, 0.662111, 0.699073, 0.718717, 0.758006, 0.864703, 0.898141, 0.932657, 0.979294, 1.01402, 1.0488, 1.09512, 1.1361, 1.19074, 1.23872, 1.26019, 1.30315, 1.38841, 1.47368, 1.55894, 1.64421, 1.72947, 1.9, 2.1558, 4.26346");
-            values ( \
-              "0.0650232, 0.0799852, 0.222444, 0.251854, 0.255489, 0.256746, 0.255415, 0.244136, 0.228628, 0.215511, 0.207317, 0.188248, 0.129063, 0.111592, 0.0949655, 0.0752022, 0.062647, 0.0518168, 0.0398785, 0.0314615, 0.022727, 0.0170024, 0.0149254, 0.0114662, 0.00669859, 0.00389286, 0.00225729, 0.00130512, 0.000755615, 0.000252663, 4.79951e-05, 2.00631e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.324043, 0.397404, 0.54819, 0.5599, 0.702742, 0.83711, 0.9361, 1.01222, 1.05979, 1.12321, 1.32912, 1.42976, 1.51502, 1.60555, 1.71559, 1.80085, 1.87353, 1.95879, 2.04406, 2.11389, 2.24138, 2.32665, 2.41191, 2.58244, 2.83824, 3.09403, 3.52036, 4.26319");
-            values ( \
-              "0.0826238, 0.109329, 0.269714, 0.278501, 0.260859, 0.242842, 0.227786, 0.213695, 0.203245, 0.187519, 0.13117, 0.105476, 0.0861596, 0.0685666, 0.0511389, 0.0403559, 0.0328419, 0.0256528, 0.0199571, 0.0162181, 0.0110626, 0.00854168, 0.00658519, 0.00390394, 0.00177524, 0.000804385, 0.000215233, 2.1258e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.529822, 0.596143, 0.60828, 0.625096, 0.633507, 0.650328, 0.67242, 0.712142, 0.731942, 0.741729, 0.761303, 0.770605, 0.783009, 0.792802, 0.804535, 0.814146, 0.823608, 0.833116, 0.843091, 0.874475, 0.890373, 0.905295, 0.914293, 0.924933, 0.931632, 0.94503, 0.968299, 0.994014, 1.02398, 1.05914, 1.17382, 1.21595, 1.23702, 1.25865");
-            values ( \
-              "0.00245756, 0.00295068, 0.00352134, 0.00454533, 0.00521842, 0.00697402, 0.0107137, 0.0208413, 0.0252174, 0.0270929, 0.030241, 0.0314393, 0.0327269, 0.033489, 0.0341235, 0.0343569, 0.0343897, 0.0338451, 0.0320786, 0.0163062, 0.0104899, 0.00651762, 0.00479012, 0.00327139, 0.00256342, 0.0015301, 0.00059895, 0.000212005, 9.26628e-05, 4.20975e-05, 1.64405e-05, 2.30015e-05, 0.000545083, 0.000272263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.528666, 0.589653, 0.608245, 0.624906, 0.645804, 0.684386, 0.727912, 0.749373, 0.77305, 0.797094, 0.812007, 0.826946, 0.841682, 0.853428, 0.870484, 0.877675, 0.889692, 0.900208, 0.911959, 0.935225, 0.955064, 0.974048, 0.987748, 1.00042, 1.00727, 1.02098, 1.04841, 1.08865, 1.13733, 1.21592, 1.22411, 1.24051, 1.2497");
-            values ( \
-              "0.00463504, 0.00472018, 0.0060322, 0.00755509, 0.0101388, 0.0183339, 0.0326928, 0.0387036, 0.0443549, 0.048918, 0.0512463, 0.0532455, 0.0549014, 0.0559022, 0.0567107, 0.0566687, 0.0556514, 0.0526064, 0.0462108, 0.0314221, 0.0208132, 0.0132387, 0.00922764, 0.00650468, 0.00534896, 0.00356451, 0.00147205, 0.000343031, 8.15088e-05, 3.99215e-05, 0.00106087, 0.00109919, 0.000658184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.553883, 0.622163, 0.640842, 0.660698, 0.681067, 0.708225, 0.743568, 0.779952, 0.825798, 0.864577, 0.883359, 0.90018, 0.922035, 0.932294, 0.94478, 0.958733, 0.963809, 0.97396, 0.989035, 1.05349, 1.07919, 1.10142, 1.12338, 1.13414, 1.15116, 1.17386, 1.21582, 1.23085, 1.25456, 1.27838, 1.32189, 1.36613, 1.44551, 1.53078, 1.61604, 1.70131, 4.26313");
-            values ( \
-              "0.0109266, 0.0110977, 0.0138677, 0.0176458, 0.0227209, 0.0316901, 0.0448925, 0.0566952, 0.0688579, 0.0777563, 0.0816072, 0.0847147, 0.0880649, 0.0893439, 0.0904542, 0.0906962, 0.0903062, 0.0884894, 0.0824273, 0.0404625, 0.0269774, 0.0182493, 0.0119997, 0.00971485, 0.00688575, 0.00425714, 0.00161234, 0.00257139, 0.00198729, 0.000871968, 0.000394693, 4.50983e-05, 4.68276e-05, 1e-22, 3.07365e-05, 1e-22, 2.40135e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.574333, 0.660275, 0.690941, 0.702843, 0.766352, 0.847467, 0.90881, 0.947146, 0.98559, 1.02286, 1.03709, 1.05074, 1.07209, 1.09351, 1.12637, 1.21578, 1.22361, 1.251, 1.28572, 1.306, 1.33415, 1.36907, 1.41563, 1.49646, 1.55381");
-            values ( \
-              "0.0181505, 0.0226755, 0.030904, 0.0347634, 0.0589717, 0.0868489, 0.106366, 0.117778, 0.127974, 0.135964, 0.138215, 0.139701, 0.139829, 0.135393, 0.1193, 0.0610923, 0.0584939, 0.0436085, 0.0292971, 0.0230679, 0.0164223, 0.0107276, 0.00596394, 0.00197528, 0.00105648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.61737, 0.715928, 0.975331, 1.03165, 1.07037, 1.10147, 1.16208, 1.17884, 1.19325, 1.21621, 1.22436, 1.24043, 1.28888, 1.36523, 1.42086, 1.47195, 1.52056, 1.55304, 1.60055, 1.6419, 1.69704, 1.7445, 1.76574, 1.80822, 1.89317, 1.97844, 2.0637, 2.14897, 2.15248");
-            values ( \
-              "0.0383852, 0.0435395, 0.14444, 0.165376, 0.178679, 0.188395, 0.203442, 0.206081, 0.207531, 0.207579, 0.20646, 0.200259, 0.174637, 0.131815, 0.103155, 0.0803332, 0.0623287, 0.0522073, 0.0399113, 0.0314181, 0.0226254, 0.0169782, 0.0149259, 0.0115001, 0.00673245, 0.00391241, 0.00226882, 0.00131162, 0.00128888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.635105, 0.773692, 0.884793, 1.12753, 1.20518, 1.21555, 1.22698, 1.24306, 1.35839, 1.41513, 1.49052, 1.53087, 1.61158, 1.88066, 2.01785, 2.07533, 2.15249, 2.21844, 2.30371, 2.37634, 2.4616, 2.54687, 2.63493, 2.74425, 2.82951, 2.91478, 3.00004, 3.17057, 3.42637, 3.76743, 4.26286");
-            values ( \
-              "0.0432764, 0.0685519, 0.114882, 0.221967, 0.252172, 0.25585, 0.257224, 0.25627, 0.240237, 0.231649, 0.218585, 0.210375, 0.191298, 0.118406, 0.0861654, 0.0746446, 0.0610777, 0.0511393, 0.0403544, 0.032845, 0.0256562, 0.0199585, 0.0153608, 0.011061, 0.00854141, 0.006584, 0.0050732, 0.00300395, 0.00136275, 0.000473793, 0.000101589" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * !B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0344287, 0.0484762, 0.0770299, 0.135752, 0.2574, 0.510529", \
-            "0.0406493, 0.0546786, 0.0833549, 0.142234, 0.263994, 0.517191", \
-            "0.0556671, 0.0699009, 0.0984078, 0.157331, 0.279212, 0.532511", \
-            "0.0797067, 0.100975, 0.133222, 0.191683, 0.313431, 0.566756", \
-            "0.116907, 0.148592, 0.197485, 0.269406, 0.390447, 0.643235", \
-            "0.179909, 0.223948, 0.295574, 0.404126, 0.561367, 0.814539" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0285602, 0.048639, 0.0903493, 0.176808, 0.356697, 0.731926", \
-            "0.0288991, 0.0486978, 0.0903507, 0.176797, 0.356692, 0.731925", \
-            "0.0351592, 0.0513326, 0.0904996, 0.176785, 0.356687, 0.731973", \
-            "0.0541108, 0.0693488, 0.100099, 0.177872, 0.356682, 0.731922", \
-            "0.0833077, 0.106624, 0.141947, 0.203758, 0.361282, 0.731913", \
-            "0.128958, 0.164121, 0.21809, 0.296135, 0.424888, 0.746438" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0262688, 0.0287875, 0.0309634, 0.0327822, 0.0348339, 0.0379695, 0.0417939, 0.0465897, 0.0502592, 0.0594296, 0.0641827, 0.0691283, 0.073351, 0.0813938, 0.086387, 0.0930643, 0.0963036, 0.102693, 0.105531, 0.111205, 0.117129, 0.122932, 0.12976, 0.137653, 0.144809, 0.152715, 0.162078, 0.175057, 0.221156, 0.290378, 4.30327");
-            values ( \
-              "0.0877078, 0.171235, 0.178477, 0.180068, 0.179089, 0.174527, 0.166331, 0.153056, 0.138976, 0.0891678, 0.0684166, 0.0516713, 0.0407351, 0.025289, 0.018769, 0.0124094, 0.0101274, 0.00678822, 0.00570328, 0.00406438, 0.00303791, 0.00230242, 0.00163217, 0.00107016, 0.000733557, 0.000476805, 0.000304422, 0.000158882, 2.72949e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0264081, 0.030598, 0.0318452, 0.0332707, 0.0338464, 0.0349979, 0.0373009, 0.040128, 0.0438955, 0.0528112, 0.061111, 0.0674592, 0.0714266, 0.0831978, 0.0928013, 0.100714, 0.105221, 0.110845, 0.118257, 0.125243, 0.128278, 0.134349, 0.141619, 0.149462, 0.152996, 0.160064, 0.169258, 0.180176, 0.192019, 0.206143, 0.223842, 0.248663, 0.284827, 0.33055, 4.30327");
-            values ( \
-              "0.0884033, 0.217751, 0.221245, 0.223345, 0.223862, 0.224113, 0.223306, 0.220487, 0.215371, 0.200668, 0.184229, 0.167813, 0.154807, 0.111735, 0.0828046, 0.0634573, 0.0542052, 0.0443036, 0.033646, 0.0258364, 0.0230057, 0.0182566, 0.0138736, 0.0102944, 0.00900703, 0.00684794, 0.00479033, 0.00310229, 0.00194573, 0.00109863, 0.000547803, 0.000196833, 4.84069e-05, 4.9659e-06, 3.0475e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0277279, 0.0322622, 0.0339019, 0.0367234, 0.0394763, 0.0441488, 0.0507957, 0.0813664, 0.0933832, 0.10235, 0.107919, 0.138462, 0.155873, 0.173128, 0.182046, 0.190845, 0.202197, 0.211385, 0.222664, 0.238542, 0.244011, 0.255174, 0.267932, 0.293447, 0.327171, 0.370708, 0.429481, 0.515463, 4.30327");
-            values ( \
-              "0.194134, 0.249526, 0.252768, 0.254933, 0.254343, 0.251544, 0.245718, 0.215423, 0.201134, 0.187687, 0.17769, 0.115558, 0.0854752, 0.0615436, 0.0515011, 0.0429659, 0.0337748, 0.0276891, 0.0215817, 0.0150661, 0.0133083, 0.0103122, 0.00767357, 0.00416877, 0.00181012, 0.000585209, 0.000106069, 1e-22, 2.26315e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.0292657, 0.0292857, 0.0727542, 0.0897963, 0.108965, 0.143798, 0.157725, 0.169612, 0.185143, 0.206903, 0.23887, 0.262022, 0.278124, 0.310338, 0.326283, 0.343195, 0.364952, 0.382899, 0.404048, 0.433916, 0.447122, 0.464938, 0.488693, 0.536202, 0.622268, 0.708334, 0.7944, 0.880466, 4.30327");
-            values ( \
-              "1e-22, 0.285116, 0.25859, 0.25047, 0.240629, 0.221405, 0.212369, 0.203417, 0.189547, 0.166811, 0.131671, 0.108381, 0.0934367, 0.0678392, 0.0573591, 0.0477319, 0.03738, 0.0304311, 0.0237639, 0.0165979, 0.0141598, 0.0114111, 0.00853815, 0.00467349, 0.0014315, 0.000425876, 0.000137607, 3.05314e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.0309776, 0.0309976, 0.117991, 0.187373, 0.240103, 0.277299, 0.305756, 0.324567, 0.35402, 0.389413, 0.471541, 0.527216, 0.583219, 0.602718, 0.631539, 0.663805, 0.70555, 0.733752, 0.771354, 0.837485, 0.867743, 0.912794, 0.972861, 1.05893, 1.14499, 1.23106, 1.31712, 1.40319, 1.57532, 1.83352, 4.30325");
-            values ( \
-              "1e-22, 0.30012, 0.266031, 0.248852, 0.234546, 0.22315, 0.213001, 0.205288, 0.191542, 0.172907, 0.127795, 0.0998431, 0.0757722, 0.0685194, 0.0587933, 0.0492708, 0.0389313, 0.0331075, 0.0265627, 0.0178343, 0.0148559, 0.0112904, 0.00778013, 0.00451338, 0.00260888, 0.0015054, 0.000867311, 0.000499755, 0.000165695, 3.14698e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0355098, 0.0355298, 0.211882, 0.358349, 0.497166, 0.562036, 0.636369, 0.769417, 0.989124, 1.04833, 1.18539, 1.3209, 1.40696, 1.497, 1.5788, 1.7294, 1.84354, 2.01567, 2.27387, 2.53207, 2.87633, 4.30316");
-            values ( \
-              "1e-22, 0.312143, 0.270248, 0.251847, 0.232777, 0.222458, 0.20831, 0.175987, 0.117095, 0.102645, 0.0736661, 0.0515931, 0.0406879, 0.0315446, 0.0249004, 0.0159761, 0.0113624, 0.00674618, 0.00306293, 0.00138484, 0.00047904, 6.06001e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0410889, 0.0463592, 0.0526827, 0.0548535, 0.0568457, 0.0581269, 0.0620237, 0.0695508, 0.0799112, 0.0873091, 0.0947309, 0.101211, 0.113053, 0.122938, 0.131822, 0.137462, 0.15081, 0.165249, 0.183332, 0.196988, 0.208486");
-            values ( \
-              "0.0205137, 0.0937334, 0.152487, 0.164827, 0.168857, 0.169216, 0.164686, 0.14306, 0.0881096, 0.0582148, 0.0380608, 0.0260849, 0.0126396, 0.0068223, 0.00403067, 0.00306156, 0.00154676, 0.000702808, 0.000269509, 0.000160092, 0.000101247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.0466751, 0.0531903, 0.0536503, 0.0541104, 0.0550304, 0.0554904, 0.0568164, 0.0579634, 0.0586682, 0.0593731, 0.060078, 0.0610427, 0.0618144, 0.0631007, 0.0641297, 0.0664079, 0.0698252, 0.0730064, 0.0763661, 0.0800152, 0.0805696, 0.0833694, 0.0851166, 0.0863871, 0.0876577, 0.0896416, 0.0916254, 0.101211, 0.106862, 0.111701, 0.113931, 0.115594, 0.118749, 0.123002, 0.126519, 0.129003, 0.132615, 0.135037, 0.13746, 0.140686, 0.144786, 0.146534, 0.149157, 0.152527, 0.155896, 0.161144, 0.164235, 0.169699, 0.172581, 0.176903");
-            values ( \
-              "0.194497, 0.195365, 0.199761, 0.203199, 0.208744, 0.210851, 0.214009, 0.215868, 0.216609, 0.217044, 0.217173, 0.216795, 0.216269, 0.215119, 0.214019, 0.21105, 0.205877, 0.200515, 0.194222, 0.186747, 0.185816, 0.179279, 0.174782, 0.171218, 0.167452, 0.161087, 0.154381, 0.119355, 0.100744, 0.0860822, 0.0798656, 0.0755195, 0.0680903, 0.0589952, 0.0520979, 0.0474866, 0.0414936, 0.0380625, 0.0348478, 0.0309919, 0.0263884, 0.0245747, 0.0221398, 0.0195224, 0.0171981, 0.014207, 0.0126727, 0.010242, 0.00909663, 0.00764447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0431304, 0.0528126, 0.0555753, 0.0573918, 0.0596073, 0.062826, 0.0668797, 0.0713429, 0.101563, 0.108387, 0.117901, 0.124447, 0.130626, 0.158636, 0.175952, 0.19331, 0.202314, 0.21108, 0.222395, 0.231517, 0.242805, 0.258687, 0.265761, 0.27535, 0.288136, 0.313706, 0.345857, 0.389983, 0.447969, 0.533972, 4.30319");
-            values ( \
-              "0.0318374, 0.215978, 0.239137, 0.245787, 0.249745, 0.250769, 0.248913, 0.245198, 0.215447, 0.207826, 0.195075, 0.184414, 0.172844, 0.115594, 0.0856585, 0.0615631, 0.0514241, 0.0429458, 0.0337641, 0.0277151, 0.021616, 0.0150673, 0.0128312, 0.0103023, 0.00767827, 0.00414903, 0.00189568, 0.000587962, 0.00012242, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0493911, 0.0494111, 0.09322, 0.110125, 0.135747, 0.151817, 0.1655, 0.177849, 0.189341, 0.207081, 0.227379, 0.259362, 0.282479, 0.298579, 0.324986, 0.330794, 0.34674, 0.36365, 0.385405, 0.403347, 0.424496, 0.454361, 0.467565, 0.485377, 0.509127, 0.556627, 0.642693, 0.728759, 0.814824, 0.90089, 1.07302, 4.3033");
-            values ( \
-              "1e-22, 0.280797, 0.258614, 0.250591, 0.237326, 0.228645, 0.22066, 0.212612, 0.204026, 0.18813, 0.166783, 0.131659, 0.108382, 0.0934518, 0.071999, 0.0678499, 0.0573671, 0.0477285, 0.0373884, 0.03044, 0.0237608, 0.0166058, 0.0141676, 0.0114189, 0.00853463, 0.0046809, 0.00142638, 0.000431678, 0.00013201, 3.61897e-05, 9.75906e-07, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0518112, 0.0518312, 0.138549, 0.208366, 0.260659, 0.297842, 0.326299, 0.34511, 0.374563, 0.409956, 0.492083, 0.547756, 0.603758, 0.623257, 0.652079, 0.684344, 0.726089, 0.754289, 0.791889, 0.858018, 0.888274, 0.933321, 0.993384, 1.07945, 1.16552, 1.25158, 1.33765, 1.42371, 1.59584, 1.85404, 4.30325");
-            values ( \
-              "1e-22, 0.30197, 0.266041, 0.248734, 0.234537, 0.223152, 0.213002, 0.205289, 0.191543, 0.172908, 0.127796, 0.0998447, 0.0757738, 0.0685201, 0.0587937, 0.0492719, 0.0389319, 0.0331083, 0.0265641, 0.0178349, 0.0148566, 0.0112911, 0.00778132, 0.00451363, 0.00260946, 0.0015053, 0.000867688, 0.00049954, 0.000165442, 3.17437e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0560154, 0.0560354, 0.23249, 0.378956, 0.517774, 0.582643, 0.656976, 0.790024, 1.00973, 1.06893, 1.206, 1.3415, 1.42757, 1.5176, 1.5994, 1.74999, 1.86413, 2.03627, 2.29446, 2.55266, 2.89693, 4.30315");
-            values ( \
-              "1e-22, 0.31183, 0.270222, 0.251849, 0.232779, 0.222457, 0.208309, 0.175986, 0.117096, 0.102644, 0.0736657, 0.0515927, 0.040689, 0.0315459, 0.0249001, 0.0159758, 0.0113635, 0.00674715, 0.00306236, 0.00138558, 0.000479732, 5.82345e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0756247, 0.0854924, 0.0943979, 0.0990163, 0.102523, 0.104071, 0.10537, 0.106668, 0.107977, 0.109286, 0.110595, 0.111904, 0.112302, 0.112676, 0.11305, 0.113424, 0.113802, 0.11418, 0.114808, 0.115464, 0.115911, 0.116804, 0.117889, 0.118973, 0.123199, 0.126408, 0.129183, 0.130744, 0.132198, 0.134568, 0.135836, 0.137103, 0.140539, 0.142691, 0.144126, 0.145561, 0.14777, 0.148874, 0.149979, 0.153079, 0.154861, 0.156643, 0.158478, 0.160313, 0.163497, 0.165089, 0.16668, 0.17115, 0.173378, 0.175426");
-            values ( \
-              "0.0622775, 0.0635365, 0.0900803, 0.102899, 0.11201, 0.115811, 0.11838, 0.120775, 0.123013, 0.125075, 0.12696, 0.128668, 0.12884, 0.132416, 0.132747, 0.133292, 0.134064, 0.135056, 0.134643, 0.133937, 0.133296, 0.131622, 0.128271, 0.124258, 0.103481, 0.0872047, 0.0751809, 0.0686519, 0.0633367, 0.055472, 0.0516619, 0.0480893, 0.0395993, 0.0347016, 0.0319297, 0.0293597, 0.0258676, 0.0242489, 0.0227151, 0.0188243, 0.0167556, 0.014878, 0.0133164, 0.0118949, 0.0098003, 0.00888237, 0.0080507, 0.00605269, 0.00528218, 0.00472108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0751487, 0.0851002, 0.0995233, 0.106402, 0.112573, 0.114848, 0.116004, 0.118317, 0.120056, 0.122373, 0.126166, 0.131091, 0.137604, 0.152259, 0.16219, 0.166508, 0.173261, 0.177466, 0.185741, 0.191463, 0.198972, 0.20655, 0.213255, 0.219579, 0.22801, 0.238313, 0.249718, 0.262549, 0.278147, 0.299051, 0.3286, 0.370539, 4.30322");
-            values ( \
-              "0.056345, 0.0759315, 0.13354, 0.15881, 0.178844, 0.191721, 0.194173, 0.196035, 0.195089, 0.192452, 0.186043, 0.174833, 0.154859, 0.102624, 0.0744184, 0.0642809, 0.0507399, 0.0436086, 0.0320119, 0.0257888, 0.0194029, 0.0145922, 0.0112718, 0.00884457, 0.00638719, 0.00423343, 0.00270572, 0.00160154, 0.000869731, 0.000361669, 0.000118655, 1.04488e-05, 9.45908e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.079139, 0.0892711, 0.114413, 0.116855, 0.120994, 0.124899, 0.128852, 0.141284, 0.154435, 0.16429, 0.173872, 0.204704, 0.222112, 0.239952, 0.257052, 0.27759, 0.288858, 0.310182, 0.321326, 0.334062, 0.359533, 0.394275, 0.438887, 0.500035, 0.5861, 4.30335");
-            values ( \
-              "0.0905545, 0.104069, 0.224577, 0.234803, 0.238442, 0.237047, 0.233896, 0.221932, 0.207758, 0.194553, 0.178273, 0.115595, 0.0855038, 0.0608622, 0.0430044, 0.0277111, 0.0215972, 0.0133197, 0.0103292, 0.0076821, 0.00418287, 0.00175903, 0.000554256, 8.50368e-05, 3.7391e-06, 3.82116e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0791007, 0.0957303, 0.116635, 0.119134, 0.123777, 0.132354, 0.151443, 0.187017, 0.213044, 0.231876, 0.241189, 0.255754, 0.305529, 0.328399, 0.344481, 0.376722, 0.392695, 0.409595, 0.431338, 0.44926, 0.470411, 0.500278, 0.513484, 0.531302, 0.555058, 0.602571, 0.688637, 0.774703, 0.860769, 0.946835, 1.11897, 4.30331");
-            values ( \
-              "0.0627737, 0.144462, 0.257041, 0.262353, 0.265011, 0.261918, 0.252846, 0.23451, 0.219678, 0.206704, 0.19908, 0.185422, 0.13142, 0.1084, 0.0934829, 0.0678551, 0.0573543, 0.0477224, 0.037388, 0.0304467, 0.0237649, 0.0166084, 0.0141694, 0.0114194, 0.0085346, 0.00467967, 0.00142628, 0.000431341, 0.000132214, 3.59417e-05, 7.26602e-07, 1.96256e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0895225, 0.11198, 0.117036, 0.119501, 0.123102, 0.127931, 0.156173, 0.231871, 0.281507, 0.334137, 0.372275, 0.391086, 0.420539, 0.455931, 0.538058, 0.593729, 0.649732, 0.669231, 0.698053, 0.730317, 0.772061, 0.800259, 0.837858, 0.903986, 0.934241, 0.979285, 1.03934, 1.12541, 1.21148, 1.29754, 1.38361, 1.46967, 1.6418, 1.9, 4.30317");
-            values ( \
-              "0.191564, 0.240041, 0.271638, 0.276515, 0.27913, 0.279218, 0.27311, 0.254473, 0.24155, 0.226287, 0.213003, 0.205289, 0.191544, 0.172909, 0.127797, 0.0998462, 0.075775, 0.0685209, 0.0587942, 0.0492727, 0.0389324, 0.0331089, 0.0265651, 0.0178354, 0.0148571, 0.0112917, 0.00778212, 0.00451391, 0.00260981, 0.00150532, 0.00086788, 0.000499467, 0.00016534, 3.1862e-05, 4.71866e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0946611, 0.11796, 0.129469, 0.278439, 0.477717, 0.585958, 0.656359, 0.789044, 1.10119, 1.16472, 1.29608, 1.36389, 1.49416, 1.61406, 1.684, 1.80672, 1.9661, 2.13823, 2.39643, 2.65462, 2.99889, 4.303");
-            values ( \
-              "0.277067, 0.280888, 0.287253, 0.270332, 0.244929, 0.229442, 0.217506, 0.188237, 0.105932, 0.0913698, 0.0658067, 0.0549889, 0.0384093, 0.027291, 0.0222717, 0.0154964, 0.00960415, 0.00569427, 0.00257693, 0.00116898, 0.000405755, 7.34627e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129104, 0.143391, 0.150309, 0.168673, 0.186138, 0.195466, 0.203904, 0.207255, 0.21083, 0.211842, 0.213865, 0.217912, 0.21972, 0.223336, 0.235751, 0.242049, 0.248868, 0.251217, 0.253784, 0.261789, 0.268816, 0.272847, 0.277836, 0.282632, 0.289543, 0.297413, 0.304671, 0.312325, 0.321193, 0.332946, 0.349236, 0.37404, 0.403359, 0.478643, 4.30321");
-            values ( \
-              "0.0112338, 0.0158241, 0.0229199, 0.046908, 0.0655189, 0.0741302, 0.0804417, 0.0822234, 0.0835444, 0.0837211, 0.0838272, 0.0820728, 0.0797722, 0.0729095, 0.0438422, 0.0327611, 0.0234689, 0.0237777, 0.0224064, 0.0149368, 0.00984841, 0.00769687, 0.00582809, 0.00449447, 0.00305008, 0.00194692, 0.00127641, 0.000828217, 0.000502392, 0.000272955, 0.000124061, 5.00663e-05, 2.18116e-05, 2.07509e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.134869, 0.150752, 0.181571, 0.2028, 0.214535, 0.224835, 0.229167, 0.23222, 0.235222, 0.240197, 0.245567, 0.248907, 0.251446, 0.266502, 0.275984, 0.282552, 0.290058, 0.295257, 0.301531, 0.307524, 0.316006, 0.325903, 0.335537, 0.346997, 0.359893, 0.37526, 0.395274, 0.423835, 0.464261, 0.573714, 4.30324");
-            values ( \
-              "0.0234737, 0.0301946, 0.078062, 0.108442, 0.122886, 0.132699, 0.135396, 0.1365, 0.13648, 0.132894, 0.122754, 0.114276, 0.111741, 0.0729826, 0.0526749, 0.0415838, 0.0314397, 0.0258747, 0.0204524, 0.0162889, 0.0117534, 0.00800085, 0.00545689, 0.00345552, 0.00204791, 0.00110407, 0.000483101, 0.000152591, 2.13426e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.141722, 0.159103, 0.169756, 0.202195, 0.222795, 0.228024, 0.238801, 0.248904, 0.251098, 0.254024, 0.257329, 0.262617, 0.266959, 0.27166, 0.277731, 0.304942, 0.316572, 0.324746, 0.339022, 0.342211, 0.351328, 0.360042, 0.371296, 0.380321, 0.391615, 0.407493, 0.414579, 0.424195, 0.437018, 0.462662, 0.499275, 0.546784, 0.612583, 0.698649, 4.30324");
-            values ( \
-              "0.0428568, 0.048833, 0.0678649, 0.128206, 0.164491, 0.173004, 0.189166, 0.201416, 0.206916, 0.208196, 0.206536, 0.200734, 0.194786, 0.18737, 0.17643, 0.12062, 0.0994058, 0.0859103, 0.0655743, 0.0616554, 0.0513788, 0.0429249, 0.033797, 0.0277933, 0.0216522, 0.0151006, 0.0128567, 0.0103161, 0.0076621, 0.00414565, 0.00165755, 0.000469414, 5.89162e-05, 1e-22, 4.90672e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.152687, 0.174684, 0.222687, 0.251811, 0.255208, 0.258737, 0.263116, 0.268771, 0.300782, 0.320346, 0.334415, 0.343681, 0.358246, 0.4071, 0.430937, 0.447087, 0.473421, 0.479211, 0.495067, 0.51198, 0.533782, 0.551796, 0.572923, 0.602769, 0.615952, 0.633725, 0.657422, 0.704816, 0.790882, 0.876948, 0.963014, 1.04908, 1.22121, 4.30329");
-            values ( \
-              "0.081522, 0.0822974, 0.184429, 0.244809, 0.249149, 0.249341, 0.248012, 0.245306, 0.228379, 0.216625, 0.206675, 0.199088, 0.185427, 0.13242, 0.108363, 0.0933851, 0.0719958, 0.0678562, 0.0574268, 0.0477764, 0.0374051, 0.0304277, 0.0237562, 0.0166058, 0.014171, 0.0114267, 0.00854637, 0.00469359, 0.00143053, 0.000432621, 0.000130555, 3.94979e-05, 3.73462e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.162154, 0.192723, 0.251319, 0.254091, 0.256401, 0.260756, 0.270746, 0.318286, 0.384145, 0.436765, 0.474903, 0.493714, 0.523167, 0.640683, 0.696352, 0.752355, 0.800677, 0.83294, 0.874682, 0.902879, 0.940475, 1.0066, 1.03686, 1.0819, 1.14196, 1.22802, 1.31409, 1.40015, 1.48622, 1.57229, 1.74442, 2.00261, 4.30342");
-            values ( \
-              "0.11188, 0.124784, 0.264277, 0.269736, 0.271297, 0.272046, 0.270081, 0.258501, 0.241542, 0.22628, 0.213004, 0.20529, 0.191546, 0.1278, 0.0998493, 0.0757765, 0.0587949, 0.0492732, 0.0389333, 0.03311, 0.0265661, 0.0178363, 0.0148579, 0.0112924, 0.00778249, 0.0045143, 0.00260985, 0.00150552, 0.000867816, 0.000499612, 0.000165465, 3.17492e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.177095, 0.220823, 0.252084, 0.256758, 0.260838, 0.265902, 0.343368, 0.527411, 0.666228, 0.731098, 0.80543, 0.865952, 0.938477, 1.15818, 1.21739, 1.30345, 1.35445, 1.4202, 1.48995, 1.57602, 1.66605, 1.74784, 1.83391, 1.89844, 2.01257, 2.09863, 2.1847, 2.27077, 2.4429, 2.7011, 3.04536, 3.47569, 4.30305");
-            values ( \
-              "0.177551, 0.196998, 0.27622, 0.28297, 0.283688, 0.283552, 0.27473, 0.251849, 0.232779, 0.222458, 0.20831, 0.194566, 0.175987, 0.117097, 0.102645, 0.0836648, 0.0736663, 0.0621712, 0.0515932, 0.0406896, 0.0315468, 0.0249006, 0.0193429, 0.0159761, 0.0113643, 0.00876037, 0.0067477, 0.00518817, 0.00306232, 0.00138586, 0.000479964, 0.000125995, 9.18914e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.253178, 0.280114, 0.294544, 0.302667, 0.313407, 0.347114, 0.363484, 0.378124, 0.391492, 0.404126, 0.407519, 0.414304, 0.420623, 0.427917, 0.450948, 0.460877, 0.474135, 0.492555, 0.506285, 0.514977, 0.525221, 0.537418, 0.549991, 0.556097, 0.565278, 0.57415, 0.591894, 0.608354, 0.678452, 4.30309");
-            values ( \
-              "0.00515284, 0.00563347, 0.00857117, 0.0109783, 0.0154562, 0.0349057, 0.0419607, 0.0469912, 0.0505738, 0.052717, 0.0530356, 0.0531204, 0.0518433, 0.046998, 0.0223934, 0.0152585, 0.00871871, 0.00393499, 0.00205094, 0.00135697, 0.000821665, 0.000486191, 0.00028792, 0.00177677, 0.00189197, 0.000984633, 0.000326347, 0.000100459, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.252638, 0.292952, 0.307218, 0.323632, 0.357505, 0.380912, 0.397587, 0.420154, 0.429493, 0.436243, 0.439119, 0.44487, 0.453586, 0.460453, 0.465433, 0.48323, 0.499368, 0.509423, 0.517623, 0.533042, 0.544066, 0.550049, 0.553066, 0.559102, 0.564761, 0.576079, 0.587568, 0.608339, 0.640167, 0.67869, 0.723636, 0.779284, 0.855092, 0.941158, 1.02722, 1.11329, 1.19936, 1.28542, 1.37149, 1.45755, 1.54362, 1.62968, 1.71575, 1.80182, 1.88788, 4.30314");
-            values ( \
-              "0.00536788, 0.0123949, 0.0179256, 0.0275146, 0.0515493, 0.0646088, 0.0727719, 0.0820095, 0.0850753, 0.0868652, 0.087437, 0.0882019, 0.0873679, 0.0833032, 0.0782097, 0.0550031, 0.036805, 0.0277399, 0.0216183, 0.0130185, 0.00882116, 0.00710471, 0.00826884, 0.00821754, 0.00673713, 0.00435622, 0.00267611, 0.00114325, 0.000236121, 6.74481e-05, 1e-22, 2.30695e-05, 1e-22, 2.08565e-05, 1e-22, 2.02546e-05, 1e-22, 1.96912e-05, 1e-22, 1.91654e-05, 1e-22, 1.86707e-05, 1e-22, 1.82019e-05, 1e-22, 1.25687e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.275202, 0.308411, 0.318371, 0.329329, 0.358296, 0.382283, 0.406886, 0.433421, 0.449976, 0.466056, 0.480263, 0.489011, 0.492777, 0.500309, 0.513432, 0.537049, 0.550163, 0.555259, 0.572318, 0.583208, 0.598083, 0.610019, 0.618589, 0.635729, 0.641788, 0.655512, 0.671196, 0.702565, 0.750286, 0.808302, 0.882274, 4.30326");
-            values ( \
-              "0.0232601, 0.0239216, 0.0296973, 0.0373443, 0.0605966, 0.0781276, 0.0951235, 0.112566, 0.122647, 0.131044, 0.136721, 0.138988, 0.139457, 0.13906, 0.132009, 0.103683, 0.0860586, 0.0821351, 0.0611328, 0.0490605, 0.0358167, 0.0276535, 0.0228708, 0.0154892, 0.0134924, 0.00984503, 0.00682177, 0.0031687, 0.000888897, 0.000169124, 9.31178e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.291073, 0.346778, 0.457368, 0.489509, 0.514224, 0.529984, 0.543776, 0.550132, 0.55239, 0.55545, 0.558522, 0.564666, 0.572331, 0.585615, 0.645121, 0.665158, 0.68226, 0.70891, 0.719016, 0.73714, 0.761031, 0.782901, 0.81206, 0.834573, 0.842857, 0.859426, 0.892564, 0.958839, 1.0449, 1.13097, 1.21704, 1.3031, 1.5613, 4.30323");
-            values ( \
-              "0.0331918, 0.0560021, 0.149773, 0.17501, 0.192062, 0.201024, 0.206871, 0.208612, 0.210223, 0.210637, 0.209394, 0.205385, 0.199089, 0.186732, 0.122854, 0.103073, 0.0877505, 0.0671369, 0.0603753, 0.0496359, 0.0379758, 0.0295446, 0.0209244, 0.0159686, 0.0144564, 0.0118219, 0.00785286, 0.00330345, 0.000999422, 0.000305792, 8.9504e-05, 2.87059e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.310335, 0.367133, 0.413964, 0.518857, 0.549913, 0.555947, 0.561736, 0.611374, 0.664021, 0.701951, 0.720935, 0.750382, 0.900656, 0.931321, 0.981866, 1.02022, 1.04979, 1.09887, 1.14051, 1.19604, 1.24348, 1.26469, 1.30711, 1.39196, 1.47803, 1.5641, 1.65016, 1.73623, 1.90836, 2.16656, 4.30301");
-            values ( \
-              "0.063971, 0.0770019, 0.120422, 0.222252, 0.249377, 0.253112, 0.254189, 0.241555, 0.226277, 0.213075, 0.205297, 0.191561, 0.110944, 0.0962743, 0.0749192, 0.0612896, 0.0521955, 0.0396051, 0.0311568, 0.0224125, 0.0168423, 0.0148134, 0.011431, 0.00670878, 0.00388805, 0.00224526, 0.00129555, 0.000745806, 0.000246976, 4.75833e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.333013, 0.403339, 0.550142, 0.56769, 0.807449, 0.918536, 0.994106, 1.09324, 1.44466, 1.6099, 1.71722, 1.80329, 1.89332, 1.97511, 2.1257, 2.23983, 2.41196, 2.67016, 3.18656, 4.30324");
-            values ( \
-              "0.0998196, 0.113264, 0.268753, 0.275566, 0.244887, 0.228964, 0.216037, 0.194571, 0.102646, 0.0685515, 0.0515937, 0.0406905, 0.0315475, 0.0249006, 0.0159761, 0.011365, 0.00674821, 0.00306211, 0.000623459, 2.08501e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.514707, 0.593729, 0.608229, 0.627698, 0.636262, 0.653388, 0.671319, 0.72204, 0.736443, 0.756461, 0.766697, 0.78717, 0.790902, 0.801021, 0.807, 0.816645, 0.826137, 0.835676, 0.845709, 0.8568, 0.868054, 0.883788, 0.894101, 0.907804, 0.918253, 0.933503, 0.945242, 0.961578, 0.982607, 1.0131, 1.04888, 1.12875, 1.2159, 1.23525, 1.26157, 1.28593, 1.33464, 1.38353, 1.4696, 1.55567, 1.64173, 1.7278, 1.81386, 1.89993, 1.986, 2.07206, 4.30324");
-            values ( \
-              "0.00138468, 0.00273653, 0.00337497, 0.00454904, 0.00523973, 0.00707076, 0.0100325, 0.0225243, 0.0255366, 0.0290062, 0.0304471, 0.0326636, 0.0329455, 0.0335799, 0.0338566, 0.0341175, 0.0341248, 0.0336478, 0.0319007, 0.0268252, 0.0203803, 0.0133941, 0.00994933, 0.00649831, 0.00455604, 0.00262539, 0.00167049, 0.000878829, 0.000383007, 0.000142107, 6.54305e-05, 2.56658e-05, 9.10627e-06, 0.000561989, 0.000222893, 1e-22, 5.50637e-05, 1e-22, 3.39891e-05, 1e-22, 2.3944e-05, 1e-22, 1.67693e-05, 1e-22, 1.17176e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.547169, 0.612912, 0.631871, 0.648687, 0.678678, 0.741842, 0.753977, 0.775672, 0.791484, 0.804695, 0.831219, 0.840417, 0.856209, 0.86851, 0.879657, 0.884999, 0.891104, 0.897915, 0.905291, 0.951816, 0.967257, 0.988602, 0.999354, 1.01528, 1.03652, 1.07438, 1.11595, 1.16361, 1.21584, 1.23374, 1.26272, 1.29007, 1.33965, 1.39038, 1.47645, 1.56251, 1.64858, 1.73465, 1.82071, 1.90678, 1.99284, 2.07891, 4.30319");
-            values ( \
-              "0.00577787, 0.00617079, 0.0080111, 0.0101972, 0.0161602, 0.0358248, 0.0390641, 0.0441059, 0.0471806, 0.0493808, 0.0530869, 0.0541417, 0.0555984, 0.0563066, 0.0564459, 0.056191, 0.0555143, 0.0539779, 0.0510743, 0.0237052, 0.0167691, 0.00977268, 0.00730525, 0.00465481, 0.00244074, 0.000661416, 0.000168646, 5.64999e-05, 3.09055e-05, 0.000956683, 0.000557091, 1e-22, 8.48914e-05, 1e-22, 5.09062e-05, 1e-22, 3.51974e-05, 1e-22, 2.44756e-05, 1e-22, 1.71756e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.547267, 0.613084, 0.63315, 0.655647, 0.670467, 0.700107, 0.764925, 0.784963, 0.815365, 0.841373, 0.880268, 0.915611, 0.929533, 0.947231, 0.96574, 0.9749, 0.982831, 0.998692, 1.05231, 1.08138, 1.09652, 1.11671, 1.13103, 1.14799, 1.1706, 1.21574, 1.23022, 1.25454, 1.27903, 1.32171, 1.36552, 1.53001, 1.61608, 1.70215, 4.30308");
-            values ( \
-              "0.00928963, 0.00958546, 0.012204, 0.0160227, 0.0192481, 0.0278123, 0.0509938, 0.0569908, 0.0650896, 0.0714626, 0.0799838, 0.086059, 0.0879347, 0.0895881, 0.0896396, 0.0882153, 0.0859319, 0.0781746, 0.0430357, 0.0274608, 0.0211725, 0.0145781, 0.0110571, 0.00788318, 0.00490253, 0.00170362, 0.00264827, 0.00202346, 0.000861098, 0.000409806, 4.82189e-05, 1e-22, 3.22663e-05, 1e-22, 2.25385e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.583249, 0.682023, 0.706111, 0.769439, 0.809989, 0.871501, 0.929095, 0.964286, 1.01214, 1.02871, 1.04764, 1.05452, 1.06827, 1.09726, 1.12781, 1.21585, 1.22603, 1.26018, 1.28522, 1.30074, 1.33179, 1.3583, 1.37571, 1.41055, 1.48021, 1.56628, 1.65234, 1.73841, 4.30319");
-            values ( \
-              "0.0172875, 0.0273655, 0.0347836, 0.0587368, 0.0728773, 0.0930946, 0.110707, 0.120711, 0.132373, 0.13555, 0.138309, 0.138954, 0.13946, 0.13484, 0.120058, 0.063005, 0.0590481, 0.0407442, 0.0306197, 0.0255516, 0.0176156, 0.0128039, 0.0103536, 0.00672095, 0.00268193, 0.000809136, 0.000248438, 7.15888e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.608078, 0.703017, 0.734341, 1.01085, 1.07341, 1.10475, 1.15531, 1.17243, 1.19118, 1.21575, 1.22756, 1.24489, 1.29254, 1.37457, 1.42993, 1.48613, 1.53479, 1.56695, 1.60857, 1.63661, 1.67399, 1.7403, 1.77064, 1.81593, 1.87632, 1.96238, 2.04845, 2.13451, 2.22058, 2.39271, 2.65091, 4.30309");
-            values ( \
-              "0.0327571, 0.0382075, 0.0490617, 0.155777, 0.177844, 0.187652, 0.200668, 0.203862, 0.206296, 0.206968, 0.204706, 0.197744, 0.172976, 0.127847, 0.100011, 0.0758236, 0.0587193, 0.0492335, 0.0389252, 0.0331324, 0.0266166, 0.0178492, 0.0148606, 0.0112771, 0.00775522, 0.00449844, 0.00260035, 0.00150022, 0.000864539, 0.000286685, 5.46084e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.661483, 0.800644, 0.913958, 1.11672, 1.20909, 1.2247, 1.23774, 1.3529, 1.41957, 1.49802, 1.53663, 1.59715, 1.66967, 1.88936, 1.94856, 2.03463, 2.08561, 2.15134, 2.22109, 2.30716, 2.39721, 2.47899, 2.56506, 2.64588, 2.74368, 2.82975, 2.91582, 3.00188, 3.17401, 3.43221, 3.77647, 4.30298");
-            values ( \
-              "0.0576396, 0.0776463, 0.125314, 0.215027, 0.251335, 0.255204, 0.254802, 0.239188, 0.229427, 0.216049, 0.208327, 0.194585, 0.176005, 0.117104, 0.102649, 0.0836659, 0.0736695, 0.0621768, 0.0515968, 0.040692, 0.0315468, 0.0249008, 0.0193431, 0.0152253, 0.0113655, 0.00876113, 0.0067484, 0.00518856, 0.0030625, 0.00138605, 0.000480091, 9.34878e-05" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational_rise;
-        when : "(!A * !B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0345194, 0.048605, 0.0771537, 0.135928, 0.257963, 0.512068", \
-            "0.040729, 0.0547819, 0.0834458, 0.142368, 0.264516, 0.518687", \
-            "0.0558001, 0.070034, 0.0984743, 0.157421, 0.27968, 0.533504", \
-            "0.0800057, 0.101211, 0.133336, 0.19147, 0.31343, 0.56762", \
-            "0.117415, 0.148944, 0.197673, 0.269601, 0.390579, 0.643506", \
-            "0.180684, 0.224675, 0.296358, 0.404885, 0.562316, 0.81629" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0286763, 0.0486678, 0.090349, 0.177183, 0.358201, 0.735408", \
-            "0.0290049, 0.0487236, 0.0903505, 0.177183, 0.358201, 0.735408", \
-            "0.0352033, 0.0513353, 0.0905013, 0.177182, 0.358201, 0.735408", \
-            "0.0541693, 0.0692766, 0.100132, 0.178346, 0.358208, 0.735408", \
-            "0.0835242, 0.106835, 0.142056, 0.204433, 0.362916, 0.735411", \
-            "0.129002, 0.164447, 0.218437, 0.29682, 0.426243, 0.749927" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0266688, 0.0287813, 0.0306543, 0.0333118, 0.0357868, 0.0392924, 0.0470041, 0.0503173, 0.0604725, 0.0639845, 0.0732189, 0.0798628, 0.0884534, 0.0939284, 0.0985083, 0.103607, 0.110406, 0.124003, 0.141404, 0.16301, 0.190337, 4.31875");
-            values ( \
-              "0.137682, 0.170658, 0.177093, 0.179798, 0.177411, 0.171212, 0.151094, 0.138449, 0.0847047, 0.0699444, 0.0415656, 0.0282599, 0.0168668, 0.0120232, 0.00904741, 0.00658055, 0.00426645, 0.00171542, 0.000495371, 0.000106385, 4.13117e-06, 5.56623e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0267264, 0.0308021, 0.0334313, 0.0347727, 0.0365611, 0.0399853, 0.0451032, 0.0528847, 0.0618243, 0.0675213, 0.0750685, 0.0828436, 0.0873827, 0.0934629, 0.0985442, 0.105071, 0.10994, 0.11524, 0.12229, 0.128708, 0.137266, 0.144215, 0.151892, 0.162129, 0.182603, 0.209358, 0.242418, 0.284177, 4.31877");
-            values ( \
-              "0.115516, 0.217683, 0.222972, 0.223645, 0.22297, 0.220023, 0.212777, 0.200086, 0.182818, 0.168252, 0.142527, 0.113931, 0.0991189, 0.0816252, 0.0689021, 0.0549542, 0.046192, 0.0380149, 0.0291073, 0.0227254, 0.0161874, 0.0122513, 0.00898521, 0.00589181, 0.00241255, 0.000692511, 0.000135909, 6.16129e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0279034, 0.0318861, 0.0339245, 0.0367579, 0.0395094, 0.0446092, 0.0674618, 0.0875969, 0.0955328, 0.102497, 0.116426, 0.134123, 0.149529, 0.158701, 0.17038, 0.188315, 0.200712, 0.211903, 0.226825, 0.239089, 0.252579, 0.270565, 0.306538, 0.353495, 0.411516, 0.484788, 4.31875");
-            values ( \
-              "0.207699, 0.24751, 0.251939, 0.2541, 0.253437, 0.250384, 0.229587, 0.208589, 0.19843, 0.187807, 0.16119, 0.124194, 0.0959689, 0.081215, 0.0648969, 0.0450393, 0.0345419, 0.0270401, 0.0193205, 0.0146023, 0.0107048, 0.00701028, 0.0028608, 0.000814093, 0.00015816, 5.23165e-06, 3.78856e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.0297957, 0.0298157, 0.0728091, 0.120612, 0.145339, 0.160833, 0.174721, 0.202497, 0.245791, 0.266473, 0.283882, 0.306013, 0.321944, 0.339886, 0.363622, 0.38513, 0.413807, 0.436887, 0.462293, 0.496169, 0.56392, 0.650295, 0.736671, 0.823047, 4.31875");
-            values ( \
-              "1e-22, 0.290312, 0.258618, 0.234509, 0.220368, 0.209963, 0.19893, 0.171529, 0.124478, 0.103935, 0.088257, 0.0707642, 0.0599252, 0.0493532, 0.0378282, 0.0295568, 0.0210594, 0.0159696, 0.0117472, 0.00773073, 0.00318759, 0.000957968, 0.000295178, 8.31492e-05, 1.96314e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.032141, 0.032161, 0.118052, 0.215046, 0.265229, 0.324791, 0.381069, 0.50919, 0.543977, 0.619783, 0.655581, 0.702911, 0.745781, 0.802943, 0.848883, 0.899441, 0.966852, 1.05323, 1.1396, 1.22598, 1.39873, 1.83061, 4.31874");
-            values ( \
-              "1e-22, 0.306802, 0.265961, 0.240892, 0.226415, 0.204733, 0.177251, 0.108487, 0.0921932, 0.0626943, 0.0516342, 0.0395824, 0.0309276, 0.0220323, 0.016714, 0.0122996, 0.00809623, 0.00469435, 0.00270847, 0.00156297, 0.000518395, 3.11795e-05, 9.89473e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0349407, 0.0349607, 0.211964, 0.346086, 0.497926, 0.575277, 0.637224, 0.7236, 1.02473, 1.09249, 1.19727, 1.31053, 1.39691, 1.47887, 1.60717, 1.74978, 1.92253, 2.09528, 2.26804, 2.52716, 2.87267, 4.31863");
-            values ( \
-              "1e-22, 0.310025, 0.269362, 0.252601, 0.231962, 0.21955, 0.20766, 0.187639, 0.108343, 0.0926902, 0.0715965, 0.0531803, 0.0419579, 0.0333328, 0.0230242, 0.0151145, 0.00899487, 0.00532208, 0.00313811, 0.00141741, 0.000489714, 4.73679e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0421009, 0.0509057, 0.0526809, 0.0549201, 0.0575125, 0.0590483, 0.0619305, 0.0680948, 0.08477, 0.0935054, 0.0998303, 0.108741, 0.114517, 0.120387, 0.129993, 0.1428, 0.160023, 0.181243, 0.208063, 0.24698, 4.31872");
-            values ( \
-              "0.058062, 0.129077, 0.152973, 0.164761, 0.168539, 0.167934, 0.163864, 0.147579, 0.0675115, 0.0412414, 0.0285605, 0.0167181, 0.0116933, 0.00811904, 0.00442514, 0.00188543, 0.000557424, 0.000119593, 9.40458e-06, 3.637e-06, 1.81428e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.043376, 0.0475395, 0.0536378, 0.0568744, 0.0600788, 0.0625568, 0.0651147, 0.0690369, 0.0797124, 0.0839579, 0.0876697, 0.10522, 0.113191, 0.125118, 0.135502, 0.142483, 0.148749, 0.157102, 0.164253, 0.1739, 0.186763, 0.210923, 0.239065, 0.274484, 0.320184, 4.31879");
-            values ( \
-              "0.058471, 0.124807, 0.198017, 0.21339, 0.216313, 0.214921, 0.212149, 0.206536, 0.187675, 0.178206, 0.168254, 0.106322, 0.0827575, 0.0551509, 0.0378523, 0.0290587, 0.0228234, 0.0163905, 0.0123083, 0.0083294, 0.00486917, 0.00164214, 0.000434882, 6.92122e-05, 1.03388e-06, 2.49821e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0436343, 0.052654, 0.0563974, 0.0593721, 0.0615491, 0.064037, 0.069013, 0.0828941, 0.0952946, 0.107819, 0.115676, 0.122729, 0.136834, 0.159212, 0.170021, 0.179096, 0.190669, 0.199088, 0.208474, 0.22091, 0.232189, 0.247228, 0.259369, 0.272741, 0.290572, 0.326232, 0.373109, 0.430978, 0.504042, 4.31873");
-            values ( \
-              "0.0459267, 0.212735, 0.241478, 0.248671, 0.249855, 0.249547, 0.24656, 0.234144, 0.222094, 0.208594, 0.198555, 0.187811, 0.160825, 0.114916, 0.0955269, 0.0809724, 0.0648253, 0.0547811, 0.0451104, 0.0345674, 0.0270075, 0.0192458, 0.0145856, 0.0107213, 0.00704941, 0.00290116, 0.000829537, 0.000160723, 6.86849e-06, 2.57073e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0435928, 0.0550178, 0.0571778, 0.0594334, 0.0624983, 0.0655638, 0.0707931, 0.0776228, 0.125318, 0.165687, 0.181179, 0.195067, 0.222843, 0.268087, 0.304227, 0.331668, 0.360229, 0.383965, 0.405471, 0.434145, 0.457224, 0.482627, 0.516497, 0.584237, 0.670612, 0.756988, 0.843364, 1.18887, 4.3187");
-            values ( \
-              "0.0440512, 0.250694, 0.262071, 0.267202, 0.27007, 0.270403, 0.269033, 0.266015, 0.242732, 0.220365, 0.209963, 0.198931, 0.17153, 0.122456, 0.0882585, 0.0670008, 0.049355, 0.03783, 0.0295588, 0.0210614, 0.0159714, 0.0117492, 0.00773257, 0.0031889, 0.000958352, 0.000295308, 8.31741e-05, 1e-22, 1.9617e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0515339, 0.0515539, 0.138538, 0.235531, 0.285715, 0.345276, 0.401553, 0.529674, 0.564461, 0.640266, 0.676064, 0.723393, 0.766262, 0.823421, 0.86936, 0.919914, 0.98732, 1.0737, 1.16007, 1.24645, 1.4192, 1.85108, 4.31872");
-            values ( \
-              "1e-22, 0.299699, 0.265869, 0.240889, 0.226419, 0.204733, 0.177251, 0.108487, 0.092194, 0.0626951, 0.0516351, 0.0395832, 0.0309285, 0.0220333, 0.0167149, 0.0123005, 0.00809714, 0.00469483, 0.0027088, 0.00156311, 0.000518419, 3.12154e-05, 9.57083e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0548372, 0.0548572, 0.232549, 0.43213, 0.518506, 0.595856, 0.657803, 0.744179, 1.04531, 1.11307, 1.21785, 1.33111, 1.41748, 1.49945, 1.62774, 1.77035, 1.9431, 2.11586, 2.28861, 2.54773, 2.89324, 4.31864");
-            values ( \
-              "1e-22, 0.307777, 0.26938, 0.244039, 0.231961, 0.219551, 0.207659, 0.18764, 0.108344, 0.0926898, 0.0715963, 0.0531801, 0.0419588, 0.0333338, 0.0230252, 0.0151155, 0.00899569, 0.00532279, 0.00313874, 0.0014169, 0.00048919, 5.63e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0733289, 0.0808312, 0.0830117, 0.0860121, 0.0944545, 0.0947535, 0.0975445, 0.0999368, 0.1017, 0.103483, 0.104972, 0.106762, 0.108136, 0.11013, 0.11199, 0.112502, 0.112669, 0.113004, 0.113489, 0.114001, 0.114768, 0.115385, 0.116465, 0.116887, 0.11773, 0.119416, 0.127216, 0.13024, 0.131652, 0.132593, 0.133393, 0.135795, 0.138912, 0.140775, 0.142149, 0.143247, 0.144916, 0.146586, 0.147707, 0.14995, 0.152442, 0.155182, 0.157921, 0.159291, 0.160661, 0.164088, 0.167308, 0.169384, 0.171461, 0.173538");
-            values ( \
-              "0.0478753, 0.0480278, 0.0552703, 0.064641, 0.0896926, 0.0903776, 0.0982007, 0.104563, 0.109098, 0.113425, 0.116827, 0.120679, 0.123003, 0.125942, 0.128219, 0.128502, 0.13079, 0.131651, 0.133485, 0.134721, 0.134517, 0.134178, 0.133211, 0.132377, 0.129787, 0.122984, 0.0841597, 0.0715134, 0.0659078, 0.0624362, 0.0598142, 0.0524524, 0.0440922, 0.0395059, 0.0363756, 0.0340559, 0.0309951, 0.0281489, 0.0264273, 0.0231343, 0.0197112, 0.0165786, 0.0141076, 0.0129852, 0.0119381, 0.00969387, 0.00776853, 0.00667637, 0.0057139, 0.00498352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0710263, 0.0898582, 0.0987414, 0.105391, 0.112614, 0.114522, 0.115583, 0.117329, 0.119026, 0.122422, 0.1261, 0.129675, 0.133728, 0.141556, 0.148745, 0.153519, 0.160178, 0.165116, 0.171407, 0.175917, 0.181308, 0.188437, 0.195041, 0.203846, 0.210536, 0.217967, 0.227876, 0.247692, 0.274304, 0.307039, 0.34838, 4.31875");
-            values ( \
-              "0.017937, 0.0947532, 0.129571, 0.154301, 0.178165, 0.189927, 0.192793, 0.195292, 0.195368, 0.192466, 0.186403, 0.178837, 0.168219, 0.141501, 0.114993, 0.0993506, 0.0803026, 0.0680782, 0.0547299, 0.0465988, 0.0382268, 0.0291864, 0.0226242, 0.0159519, 0.0122005, 0.00903829, 0.00600996, 0.00254306, 0.000737222, 0.000147575, 8.05372e-06, 1.64951e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.0711113, 0.0871609, 0.102691, 0.112589, 0.113775, 0.116145, 0.11791, 0.11919, 0.121749, 0.12667, 0.132859, 0.141157, 0.153008, 0.161052, 0.168588, 0.183218, 0.19607, 0.204927, 0.215981, 0.225021, 0.236556, 0.244913, 0.254314, 0.266763, 0.278073, 0.293154, 0.305249, 0.309692, 0.318578, 0.336349, 0.371893, 0.418745, 0.476552, 0.549539, 4.31873");
-            values ( \
-              "0.00592838, 0.0937174, 0.167249, 0.211812, 0.221591, 0.23322, 0.236451, 0.237633, 0.238123, 0.235623, 0.230165, 0.222083, 0.209358, 0.199215, 0.187818, 0.159734, 0.132607, 0.115191, 0.0953673, 0.0808864, 0.0647996, 0.0548286, 0.0451372, 0.0345774, 0.0269971, 0.0192209, 0.0145809, 0.0131719, 0.0107286, 0.00706508, 0.00291666, 0.000835431, 0.000161622, 7.59028e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0711178, 0.103105, 0.112561, 0.115102, 0.118073, 0.12011, 0.124184, 0.129563, 0.136645, 0.181187, 0.19822, 0.212827, 0.226029, 0.240947, 0.269764, 0.316655, 0.33274, 0.350138, 0.377564, 0.388173, 0.406118, 0.429856, 0.451369, 0.480054, 0.50312, 0.511583, 0.528509, 0.562361, 0.630065, 0.716441, 0.802817, 0.889193, 1.2347, 4.3187");
-            values ( \
-              "0.00178998, 0.182708, 0.231654, 0.251737, 0.260715, 0.263253, 0.264524, 0.263004, 0.259819, 0.237534, 0.228237, 0.219562, 0.210713, 0.198947, 0.170421, 0.11973, 0.103913, 0.0882475, 0.0670025, 0.0599348, 0.0493601, 0.0378322, 0.0295582, 0.021059, 0.0159716, 0.0144265, 0.0117513, 0.00773589, 0.00319183, 0.000959395, 0.000295477, 8.337e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0831309, 0.109327, 0.116236, 0.121039, 0.12487, 0.130448, 0.156626, 0.249576, 0.331604, 0.36303, 0.391169, 0.447446, 0.534289, 0.575566, 0.610353, 0.654514, 0.686157, 0.721954, 0.769283, 0.812151, 0.869309, 0.915247, 0.965799, 1.0332, 1.11958, 1.20595, 1.29233, 1.3787, 1.46508, 1.63783, 1.89696, 4.31861");
-            values ( \
-              "0.0992748, 0.224732, 0.268912, 0.277616, 0.279105, 0.278674, 0.272686, 0.249365, 0.226419, 0.215869, 0.204733, 0.177252, 0.129666, 0.108488, 0.0921945, 0.0739698, 0.0626958, 0.0516357, 0.0395838, 0.0309292, 0.022034, 0.0167155, 0.0123011, 0.00809774, 0.0046952, 0.002709, 0.00156324, 0.000897637, 0.000518471, 0.000172252, 3.12065e-05, 9.57363e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0833774, 0.116013, 0.121145, 0.125473, 0.130839, 0.263259, 0.477994, 0.564369, 0.64172, 0.703668, 0.790043, 0.991936, 1.09118, 1.15893, 1.24444, 1.30225, 1.37697, 1.46335, 1.54531, 1.59871, 1.6736, 1.75998, 1.81621, 1.90259, 1.98896, 2.07534, 2.16171, 2.33447, 2.59359, 2.9391, 4.31885");
-            values ( \
-              "0.0988906, 0.273351, 0.284603, 0.286621, 0.286807, 0.271298, 0.24404, 0.23196, 0.219552, 0.207659, 0.18764, 0.133589, 0.108344, 0.0926895, 0.0751723, 0.0648365, 0.0531799, 0.0419595, 0.0333346, 0.0286145, 0.023026, 0.0178559, 0.0151162, 0.0116719, 0.0089963, 0.00692377, 0.00532333, 0.00313924, 0.0014165, 0.000488767, 6.90318e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.13329, 0.146969, 0.150533, 0.152315, 0.157661, 0.161413, 0.168916, 0.1756, 0.176092, 0.180682, 0.18765, 0.189945, 0.19585, 0.198481, 0.201112, 0.206083, 0.2071, 0.208116, 0.211186, 0.21417, 0.21613, 0.21809, 0.22005, 0.220121, 0.222265, 0.22398, 0.229318, 0.232314, 0.234086, 0.237003, 0.23923, 0.241739, 0.244935, 0.246877, 0.247943, 0.249008, 0.250144, 0.25128, 0.2526, 0.253921, 0.255244, 0.256568, 0.259848, 0.260927, 0.264164, 0.267147, 0.270131, 0.273097, 0.276442, 0.278875");
-            values ( \
-              "0.0178793, 0.0189387, 0.0229447, 0.0252377, 0.0323854, 0.0376432, 0.0464929, 0.0541344, 0.0545269, 0.0593828, 0.0664185, 0.0686123, 0.0739765, 0.0760918, 0.0780631, 0.081415, 0.0822806, 0.0833262, 0.0829793, 0.082415, 0.0819223, 0.0813328, 0.0806467, 0.0805686, 0.076382, 0.0724712, 0.059315, 0.0521463, 0.0481692, 0.0424561, 0.0384305, 0.0342165, 0.029219, 0.0258284, 0.0251594, 0.0246265, 0.0243453, 0.0239452, 0.0233305, 0.0225551, 0.0215085, 0.0203175, 0.0169545, 0.0159899, 0.0133276, 0.0111812, 0.00933031, 0.00775174, 0.00612789, 0.00513681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.124535, 0.14703, 0.151602, 0.171234, 0.189462, 0.20304, 0.214765, 0.225363, 0.230792, 0.235376, 0.244543, 0.248951, 0.250619, 0.25256, 0.268325, 0.276735, 0.285392, 0.292388, 0.298676, 0.307061, 0.314192, 0.323807, 0.336628, 0.360779, 0.388916, 0.424339, 0.470003, 4.31878");
-            values ( \
-              "0.00391487, 0.0250331, 0.0308151, 0.0617659, 0.0889847, 0.108165, 0.122886, 0.13326, 0.136408, 0.136964, 0.126743, 0.115212, 0.114224, 0.110326, 0.069377, 0.0518634, 0.0378394, 0.0290435, 0.0227964, 0.016354, 0.0122958, 0.00833596, 0.00488292, 0.00165211, 0.000436854, 7.14685e-05, 1.84124e-06, 2.14296e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.129171, 0.146193, 0.153477, 0.158785, 0.165282, 0.211125, 0.218769, 0.22824, 0.243619, 0.249095, 0.251294, 0.254434, 0.25754, 0.260668, 0.264243, 0.271827, 0.283566, 0.29709, 0.30762, 0.314825, 0.320229, 0.328965, 0.340032, 0.342589, 0.347702, 0.357278, 0.369884, 0.373774, 0.381554, 0.397113, 0.408718, 0.413009, 0.421589, 0.43875, 0.473071, 0.51967, 0.576889, 0.649125, 4.31892");
-            values ( \
-              "0.012964, 0.0287753, 0.038936, 0.0474613, 0.058744, 0.143505, 0.157096, 0.173005, 0.195186, 0.201281, 0.205679, 0.207892, 0.206307, 0.203317, 0.199001, 0.187752, 0.165648, 0.137157, 0.116131, 0.102974, 0.0936653, 0.0798244, 0.0645044, 0.0613334, 0.0553391, 0.0454001, 0.0346701, 0.0318684, 0.0268569, 0.0189058, 0.0145057, 0.0131475, 0.0107874, 0.0072083, 0.00308205, 0.000890535, 0.000177001, 9.05215e-06, 3.21761e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.169537, 0.208679, 0.240163, 0.241263, 0.245667, 0.247323, 0.248978, 0.250435, 0.251892, 0.253513, 0.255134, 0.257593, 0.259974, 0.264656, 0.271522, 0.280122, 0.298221, 0.311208, 0.319553, 0.328366, 0.335814, 0.343261, 0.350466, 0.357671, 0.364875, 0.375535, 0.392813, 0.409566, 0.424333, 0.43506, 0.448107, 0.452456, 0.463515, 0.479877, 0.490483, 0.499456, 0.508429, 0.520298, 0.532167, 0.539339, 0.54651, 0.553682, 0.568025, 0.575196, 0.582368, 0.599664, 0.613891, 0.626582, 0.630813, 0.647735");
-            values ( \
-              "0.147511, 0.154065, 0.220778, 0.22252, 0.231083, 0.236465, 0.243433, 0.244268, 0.245406, 0.247031, 0.249032, 0.248663, 0.248158, 0.246737, 0.243612, 0.239204, 0.229356, 0.22187, 0.216696, 0.210452, 0.204358, 0.197952, 0.191457, 0.184671, 0.177591, 0.166579, 0.147439, 0.12948, 0.114091, 0.103372, 0.0917614, 0.0880705, 0.079186, 0.0667474, 0.0594082, 0.0541124, 0.0491621, 0.0432948, 0.0377321, 0.0345187, 0.0316818, 0.0290746, 0.0247492, 0.0227806, 0.0209413, 0.017107, 0.0142053, 0.0118848, 0.0111717, 0.00888468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.124439, 0.275658, 0.383401, 0.433553, 0.493148, 0.549424, 0.677544, 0.712331, 0.788134, 0.823932, 0.87126, 0.914127, 0.971283, 1.01722, 1.06777, 1.13517, 1.22154, 1.30792, 1.3943, 1.56705, 1.99893, 4.31868");
-            values ( \
-              "0.0188603, 0.268499, 0.240889, 0.226429, 0.204733, 0.177253, 0.108488, 0.092195, 0.0626964, 0.0516363, 0.0395843, 0.0309298, 0.0220346, 0.0167161, 0.0123017, 0.00809834, 0.00469554, 0.0027092, 0.00156335, 0.000518504, 3.12145e-05, 9.8195e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.16722, 0.2085, 0.252425, 0.262434, 0.383898, 0.579781, 0.666156, 0.743505, 0.805452, 0.891827, 1.19296, 1.26072, 1.40403, 1.47875, 1.56513, 1.64709, 1.77538, 1.91799, 2.09074, 2.26349, 2.43624, 2.69537, 3.04087, 4.31836");
-            values ( \
-              "0.132096, 0.166188, 0.276262, 0.283233, 0.268958, 0.244038, 0.231961, 0.219551, 0.20766, 0.18764, 0.108344, 0.0926897, 0.0648368, 0.0531802, 0.0419597, 0.033335, 0.0230264, 0.0151166, 0.00899655, 0.00532352, 0.00313939, 0.00141644, 0.000488688, 9.63663e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.207771, 0.273673, 0.286475, 0.297908, 0.303094, 0.313468, 0.340312, 0.351205, 0.364343, 0.379059, 0.395538, 0.405042, 0.417316, 0.429593, 0.447515, 0.457311, 0.471352, 0.480605, 0.488029, 0.499528, 0.508577, 0.526675, 0.550525, 0.559067, 0.571997, 0.58447, 0.609149, 0.629619, 0.670559, 0.73675, 0.823126, 0.909502, 0.995878, 1.08225, 1.16863, 1.255, 4.31911");
-            values ( \
-              "0.000540214, 0.00372878, 0.00623447, 0.00907529, 0.0106974, 0.0150147, 0.030909, 0.0362612, 0.0417464, 0.0468007, 0.0511317, 0.0528009, 0.0533048, 0.0470817, 0.0264102, 0.0184323, 0.0103253, 0.00677653, 0.00475957, 0.00271062, 0.00171067, 0.000637544, 0.000183602, 0.00165531, 0.0014542, 0.000440861, 0.000120071, 1e-22, 2.05198e-05, 1e-22, 1.65575e-05, 1e-22, 1.42956e-05, 1e-22, 1.2551e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.209291, 0.27505, 0.299848, 0.318499, 0.375618, 0.406347, 0.423361, 0.439215, 0.454707, 0.470221, 0.499744, 0.526956, 0.550011, 0.555939, 0.578343, 0.593841, 0.615988, 0.652191, 0.698202, 4.3186");
-            values ( \
-              "0.00107457, 0.00652515, 0.0143229, 0.0238176, 0.0613518, 0.0764717, 0.0832701, 0.08764, 0.0874695, 0.0735516, 0.0368555, 0.0158494, 0.00691825, 0.00849765, 0.00378572, 0.00202074, 0.000727698, 0.000140453, 1e-22, 1.45324e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.296001, 0.338962, 0.349357, 0.358079, 0.380753, 0.406305, 0.437533, 0.446939, 0.455604, 0.461136, 0.472198, 0.474382, 0.477293, 0.480204, 0.483115, 0.485546, 0.490409, 0.497945, 0.503049, 0.508154, 0.513259, 0.513607, 0.518475, 0.522647, 0.536918, 0.546626, 0.548446, 0.550265, 0.552536, 0.554806, 0.55608, 0.558628, 0.559903, 0.57209, 0.577485, 0.582881, 0.590316, 0.594033, 0.599968, 0.609709, 0.614009, 0.618309, 0.622608, 0.626908, 0.631208, 0.635507, 0.645216, 0.649033, 0.654757, 0.662389");
-            values ( \
-              "0.0407796, 0.0445815, 0.0530277, 0.0599187, 0.0764161, 0.0943518, 0.115299, 0.120609, 0.125323, 0.128242, 0.133869, 0.134604, 0.13592, 0.137503, 0.139354, 0.139043, 0.138295, 0.136805, 0.135567, 0.134144, 0.132538, 0.132321, 0.12715, 0.122156, 0.103881, 0.0908629, 0.0876744, 0.0840144, 0.0828383, 0.0813285, 0.0803349, 0.0780321, 0.076723, 0.0606022, 0.0545569, 0.0489627, 0.0420102, 0.0388431, 0.0342385, 0.0273082, 0.0248841, 0.0226369, 0.0206136, 0.018736, 0.017004, 0.0154178, 0.0122771, 0.0111266, 0.00953266, 0.00778548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.275239, 0.317697, 0.331183, 0.345983, 0.442964, 0.481474, 0.514225, 0.543834, 0.554612, 0.559279, 0.564342, 0.572406, 0.58351, 0.601227, 0.643027, 0.667809, 0.690338, 0.708667, 0.727485, 0.752576, 0.765148, 0.774277, 0.786449, 0.806199, 0.833848, 0.844873, 0.866923, 0.911023, 0.991949, 1.07832, 1.1647, 1.25108, 1.5102, 4.31879");
-            values ( \
-              "0.0257408, 0.0334937, 0.0429744, 0.0547547, 0.137287, 0.168674, 0.191794, 0.206577, 0.209602, 0.208613, 0.205315, 0.198815, 0.188597, 0.170234, 0.124862, 0.100476, 0.0809373, 0.0672112, 0.0550707, 0.0417315, 0.0362058, 0.0326172, 0.0283323, 0.0224604, 0.0161192, 0.0141168, 0.0107952, 0.00621588, 0.00207598, 0.000627637, 0.000188028, 5.77384e-05, 4.3241e-07, 4.91239e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.275311, 0.371281, 0.520139, 0.550273, 0.556156, 0.561684, 0.569184, 0.611383, 0.664069, 0.690849, 0.721077, 0.779477, 0.863462, 0.905668, 0.940393, 0.98449, 1.01603, 1.05185, 1.0992, 1.14212, 1.19935, 1.24521, 1.26204, 1.29569, 1.363, 1.44938, 1.53575, 1.62213, 1.7085, 1.79488, 1.96763, 2.22676, 4.31886");
-            values ( \
-              "0.0128983, 0.0797817, 0.222582, 0.248683, 0.252392, 0.253495, 0.251924, 0.240878, 0.225628, 0.216629, 0.204748, 0.176129, 0.130067, 0.108408, 0.0921532, 0.0739557, 0.0627182, 0.0516499, 0.0395875, 0.0309229, 0.0220219, 0.0167121, 0.0150974, 0.0123039, 0.00810581, 0.00469874, 0.0027122, 0.00156397, 0.000899152, 0.000518275, 0.00017177, 3.18294e-05, 6.57398e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.275054, 0.404315, 0.55289, 0.560249, 0.74102, 0.892902, 0.970252, 1.0322, 1.11858, 1.41971, 1.48747, 1.63078, 1.7055, 1.79188, 1.87384, 2.00213, 2.14473, 2.23111, 2.31748, 2.49024, 2.66299, 2.92211, 3.18124, 4.3186");
-            values ( \
-              "0.00979555, 0.113788, 0.271518, 0.274539, 0.252607, 0.231961, 0.219551, 0.207659, 0.18764, 0.108345, 0.0926898, 0.0648371, 0.0531803, 0.0419599, 0.0333352, 0.0230267, 0.0151168, 0.0116721, 0.0089967, 0.00532361, 0.00313945, 0.00141644, 0.000638139, 1.92854e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.453025, 0.530541, 0.569321, 0.581551, 0.608101, 0.636662, 0.645044, 0.661808, 0.673887, 0.720249, 0.751207, 0.772416, 0.788539, 0.797909, 0.807404, 0.826473, 0.84554, 0.881456, 0.893293, 0.909725, 0.91836, 0.9314, 0.948787, 0.978389, 1.01302, 1.05664, 1.20256, 1.21577, 1.22863, 1.24909, 1.26433, 1.29482, 1.32868, 1.39198, 1.47836, 1.56473, 1.65111, 1.73748, 1.82386, 1.91023, 1.99661, 2.08299, 2.16936, 2.25574, 2.34211, 2.42849, 2.51486, 4.31861");
-            values ( \
-              "0.000210468, 0.00102556, 0.00190216, 0.00228326, 0.00332322, 0.00522637, 0.00604986, 0.00823612, 0.0105251, 0.0219659, 0.0279944, 0.0309922, 0.0326736, 0.0334036, 0.0339549, 0.034357, 0.0324422, 0.0145767, 0.0102968, 0.00601685, 0.00444051, 0.00275084, 0.00138395, 0.000357923, 8.93645e-05, 3.0978e-05, 5.55046e-06, 1.37798e-05, 0.000647459, 0.000391535, 1.77688e-05, 5.05486e-05, 1e-22, 2.94383e-05, 1e-22, 2.43447e-05, 1e-22, 2.05068e-05, 1e-22, 1.76855e-05, 1e-22, 1.56051e-05, 1e-22, 1.40532e-05, 1e-22, 1.28765e-05, 1e-22, 8.02184e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.530689, 0.595789, 0.614604, 0.627348, 0.649325, 0.687801, 0.730702, 0.750136, 0.776277, 0.805379, 0.831829, 0.856714, 0.880894, 0.905074, 0.952208, 0.967217, 0.987476, 0.998614, 1.01492, 1.03667, 1.07416, 1.11786, 1.17275, 1.2159, 1.23748, 1.26576, 1.29176, 1.34377, 1.39573, 1.48211, 1.56848, 1.65486, 1.74124, 1.82761, 1.91399, 4.31874");
-            values ( \
-              "0.00415763, 0.00485262, 0.00625752, 0.0074565, 0.0101922, 0.018456, 0.0323962, 0.0377538, 0.0439377, 0.0494032, 0.0532314, 0.0557057, 0.056592, 0.0521843, 0.0237731, 0.0169445, 0.0101413, 0.00747867, 0.00469145, 0.00239951, 0.000624265, 0.00013119, 2.84328e-05, 2.65068e-05, 0.000906686, 0.000517328, 8.39443e-06, 7.43867e-05, 1e-22, 4.95334e-05, 1e-22, 3.64801e-05, 1e-22, 2.72588e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.53066, 0.608199, 0.624169, 0.641203, 0.663482, 0.68636, 0.712053, 0.74603, 0.784159, 0.804924, 0.835458, 0.868138, 0.911315, 0.935921, 0.967638, 0.999372, 1.05421, 1.0826, 1.09637, 1.11472, 1.13088, 1.15435, 1.18564, 1.21587, 1.23098, 1.25263, 1.27334, 1.31474, 1.35542, 1.43265, 1.51902, 4.31871");
-            values ( \
-              "0.00584931, 0.00886958, 0.0108006, 0.0132944, 0.0174721, 0.023249, 0.0318012, 0.0442627, 0.0564248, 0.0621897, 0.0699332, 0.0773352, 0.0854293, 0.0887147, 0.0896919, 0.0787453, 0.0423295, 0.0272266, 0.0214936, 0.015343, 0.011238, 0.00700492, 0.0035428, 0.00179083, 0.00270785, 0.00214904, 0.0010909, 0.000476455, 0.000101342, 4.29452e-05, 1e-22, 3.38955e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.530553, 0.640485, 0.676846, 0.706159, 0.798864, 0.851017, 0.920925, 0.96455, 1.01133, 1.0344, 1.0548, 1.09774, 1.11149, 1.12545, 1.14871, 1.21577, 1.22307, 1.25035, 1.26991, 1.29699, 1.32793, 1.34436, 1.36126, 1.3838, 1.42888, 1.5132, 1.59957, 1.68595, 1.77233, 4.31861");
-            values ( \
-              "0.00577697, 0.0175644, 0.0257361, 0.0345423, 0.0687901, 0.0862527, 0.108138, 0.1206, 0.131932, 0.136172, 0.138651, 0.134789, 0.128907, 0.121545, 0.107134, 0.0632707, 0.0608715, 0.0456589, 0.0366641, 0.0268211, 0.0185602, 0.0152304, 0.0124204, 0.00943815, 0.0053508, 0.00168992, 0.00050598, 0.000155946, 4.34534e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.607969, 0.711284, 0.748038, 0.991149, 1.06129, 1.12343, 1.15999, 1.18223, 1.19835, 1.207, 1.21564, 1.22796, 1.24015, 1.27814, 1.36809, 1.41674, 1.45015, 1.49231, 1.52106, 1.5576, 1.60558, 1.64995, 1.70912, 1.753, 1.80164, 1.86649, 1.95286, 2.03924, 2.12561, 2.21199, 2.38474, 2.64387, 4.31848");
-            values ( \
-              "0.0312078, 0.0406062, 0.0539006, 0.147946, 0.173192, 0.192306, 0.201006, 0.204727, 0.206297, 0.206596, 0.206462, 0.204085, 0.199425, 0.18045, 0.131246, 0.106359, 0.0908809, 0.0736006, 0.0633374, 0.0519746, 0.039698, 0.0307491, 0.0216393, 0.0166166, 0.0123716, 0.00828153, 0.00480002, 0.00277241, 0.00159736, 0.000919792, 0.000304372, 5.75868e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.60842, 0.740692, 0.840588, 1.12371, 1.21609, 1.22814, 1.2441, 1.36462, 1.42094, 1.47603, 1.53817, 1.62455, 1.82645, 1.92569, 1.99345, 2.07895, 2.13676, 2.21148, 2.29786, 2.3331, 2.43321, 2.50811, 2.59448, 2.65071, 2.73709, 2.82346, 2.90984, 2.99621, 3.16897, 3.42809, 3.7736, 4.31893");
-            values ( \
-              "0.0292329, 0.0538108, 0.0934107, 0.216877, 0.252804, 0.254165, 0.253233, 0.236924, 0.228609, 0.219588, 0.207661, 0.187644, 0.133589, 0.108346, 0.0926896, 0.0751734, 0.0648376, 0.05318, 0.0419605, 0.0380298, 0.0286151, 0.0230273, 0.0178561, 0.0151173, 0.011672, 0.00899713, 0.00692369, 0.00532398, 0.00313979, 0.00141618, 0.000488411, 9.1476e-05" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0345194, 0.048605, 0.0771537, 0.135928, 0.257963, 0.512068", \
-            "0.040729, 0.0547819, 0.0834458, 0.142368, 0.264516, 0.518687", \
-            "0.0558001, 0.070034, 0.0984743, 0.157421, 0.27968, 0.533504", \
-            "0.0800057, 0.101211, 0.133336, 0.19147, 0.31343, 0.56762", \
-            "0.117415, 0.148944, 0.197673, 0.269601, 0.390579, 0.643506", \
-            "0.180684, 0.224675, 0.296358, 0.404885, 0.562316, 0.81629" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0286763, 0.0486678, 0.090349, 0.177183, 0.358201, 0.735408", \
-            "0.0290049, 0.0487236, 0.0903505, 0.177183, 0.358201, 0.735408", \
-            "0.0352033, 0.0513353, 0.0905013, 0.177182, 0.358201, 0.735408", \
-            "0.0541693, 0.0692766, 0.100132, 0.178346, 0.358208, 0.735408", \
-            "0.0835242, 0.106835, 0.142056, 0.204433, 0.362916, 0.735411", \
-            "0.129002, 0.164447, 0.218437, 0.29682, 0.426243, 0.749927" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0259328, 0.0360521, 0.0565659, 0.0988462, 0.186672, 0.369609", \
-            "0.0307025, 0.0409024, 0.061544, 0.103937, 0.191669, 0.374795", \
-            "0.0388347, 0.0516608, 0.0728376, 0.115311, 0.203303, 0.386308", \
-            "0.0458843, 0.0649924, 0.0952815, 0.14057, 0.228582, 0.411393", \
-            "0.0472574, 0.0753345, 0.119177, 0.18709, 0.287482, 0.470299", \
-            "0.0324765, 0.0719413, 0.135491, 0.234712, 0.383148, 0.600771" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0185381, 0.0315282, 0.0586251, 0.115153, 0.233013, 0.478788", \
-            "0.0195394, 0.0316397, 0.0586263, 0.115156, 0.233045, 0.478821", \
-            "0.0277226, 0.0375209, 0.0605206, 0.115274, 0.233002, 0.478717", \
-            "0.0425105, 0.0562191, 0.0778134, 0.122514, 0.233242, 0.478716", \
-            "0.0660248, 0.0855131, 0.117206, 0.164913, 0.254644, 0.480022", \
-            "0.106352, 0.13319, 0.177262, 0.245995, 0.350054, 0.534431" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0266688, 0.0287813, 0.0306543, 0.0333118, 0.0357868, 0.0392924, 0.0470041, 0.0503173, 0.0604725, 0.0639845, 0.0732189, 0.0798628, 0.0884534, 0.0939284, 0.0985083, 0.103607, 0.110406, 0.124003, 0.141404, 0.16301, 0.190337, 4.31875");
-            values ( \
-              "0.137682, 0.170658, 0.177093, 0.179798, 0.177411, 0.171212, 0.151094, 0.138449, 0.0847047, 0.0699444, 0.0415656, 0.0282599, 0.0168668, 0.0120232, 0.00904741, 0.00658055, 0.00426645, 0.00171542, 0.000495371, 0.000106385, 4.13117e-06, 5.56623e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0267264, 0.0308021, 0.0334313, 0.0347727, 0.0365611, 0.0399853, 0.0451032, 0.0528847, 0.0618243, 0.0675213, 0.0750685, 0.0828436, 0.0873827, 0.0934629, 0.0985442, 0.105071, 0.10994, 0.11524, 0.12229, 0.128708, 0.137266, 0.144215, 0.151892, 0.162129, 0.182603, 0.209358, 0.242418, 0.284177, 4.31877");
-            values ( \
-              "0.115516, 0.217683, 0.222972, 0.223645, 0.22297, 0.220023, 0.212777, 0.200086, 0.182818, 0.168252, 0.142527, 0.113931, 0.0991189, 0.0816252, 0.0689021, 0.0549542, 0.046192, 0.0380149, 0.0291073, 0.0227254, 0.0161874, 0.0122513, 0.00898521, 0.00589181, 0.00241255, 0.000692511, 0.000135909, 6.16129e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0279034, 0.0318861, 0.0339245, 0.0367579, 0.0395094, 0.0446092, 0.0674618, 0.0875969, 0.0955328, 0.102497, 0.116426, 0.134123, 0.149529, 0.158701, 0.17038, 0.188315, 0.200712, 0.211903, 0.226825, 0.239089, 0.252579, 0.270565, 0.306538, 0.353495, 0.411516, 0.484788, 4.31875");
-            values ( \
-              "0.207699, 0.24751, 0.251939, 0.2541, 0.253437, 0.250384, 0.229587, 0.208589, 0.19843, 0.187807, 0.16119, 0.124194, 0.0959689, 0.081215, 0.0648969, 0.0450393, 0.0345419, 0.0270401, 0.0193205, 0.0146023, 0.0107048, 0.00701028, 0.0028608, 0.000814093, 0.00015816, 5.23165e-06, 3.78856e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.0297957, 0.0298157, 0.0728091, 0.120612, 0.145339, 0.160833, 0.174721, 0.202497, 0.245791, 0.266473, 0.283882, 0.306013, 0.321944, 0.339886, 0.363622, 0.38513, 0.413807, 0.436887, 0.462293, 0.496169, 0.56392, 0.650295, 0.736671, 0.823047, 4.31875");
-            values ( \
-              "1e-22, 0.290312, 0.258618, 0.234509, 0.220368, 0.209963, 0.19893, 0.171529, 0.124478, 0.103935, 0.088257, 0.0707642, 0.0599252, 0.0493532, 0.0378282, 0.0295568, 0.0210594, 0.0159696, 0.0117472, 0.00773073, 0.00318759, 0.000957968, 0.000295178, 8.31492e-05, 1.96314e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.032141, 0.032161, 0.118052, 0.215046, 0.265229, 0.324791, 0.381069, 0.50919, 0.543977, 0.619783, 0.655581, 0.702911, 0.745781, 0.802943, 0.848883, 0.899441, 0.966852, 1.05323, 1.1396, 1.22598, 1.39873, 1.83061, 4.31874");
-            values ( \
-              "1e-22, 0.306802, 0.265961, 0.240892, 0.226415, 0.204733, 0.177251, 0.108487, 0.0921932, 0.0626943, 0.0516342, 0.0395824, 0.0309276, 0.0220323, 0.016714, 0.0122996, 0.00809623, 0.00469435, 0.00270847, 0.00156297, 0.000518395, 3.11795e-05, 9.89473e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0349407, 0.0349607, 0.211964, 0.346086, 0.497926, 0.575277, 0.637224, 0.7236, 1.02473, 1.09249, 1.19727, 1.31053, 1.39691, 1.47887, 1.60717, 1.74978, 1.92253, 2.09528, 2.26804, 2.52716, 2.87267, 4.31863");
-            values ( \
-              "1e-22, 0.310025, 0.269362, 0.252601, 0.231962, 0.21955, 0.20766, 0.187639, 0.108343, 0.0926902, 0.0715965, 0.0531803, 0.0419579, 0.0333328, 0.0230242, 0.0151145, 0.00899487, 0.00532208, 0.00313811, 0.00141741, 0.000489714, 4.73679e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0421009, 0.0509057, 0.0526809, 0.0549201, 0.0575125, 0.0590483, 0.0619305, 0.0680948, 0.08477, 0.0935054, 0.0998303, 0.108741, 0.114517, 0.120387, 0.129993, 0.1428, 0.160023, 0.181243, 0.208063, 0.24698, 4.31872");
-            values ( \
-              "0.058062, 0.129077, 0.152973, 0.164761, 0.168539, 0.167934, 0.163864, 0.147579, 0.0675115, 0.0412414, 0.0285605, 0.0167181, 0.0116933, 0.00811904, 0.00442514, 0.00188543, 0.000557424, 0.000119593, 9.40458e-06, 3.637e-06, 1.81428e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.043376, 0.0475395, 0.0536378, 0.0568744, 0.0600788, 0.0625568, 0.0651147, 0.0690369, 0.0797124, 0.0839579, 0.0876697, 0.10522, 0.113191, 0.125118, 0.135502, 0.142483, 0.148749, 0.157102, 0.164253, 0.1739, 0.186763, 0.210923, 0.239065, 0.274484, 0.320184, 4.31879");
-            values ( \
-              "0.058471, 0.124807, 0.198017, 0.21339, 0.216313, 0.214921, 0.212149, 0.206536, 0.187675, 0.178206, 0.168254, 0.106322, 0.0827575, 0.0551509, 0.0378523, 0.0290587, 0.0228234, 0.0163905, 0.0123083, 0.0083294, 0.00486917, 0.00164214, 0.000434882, 6.92122e-05, 1.03388e-06, 2.49821e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0436343, 0.052654, 0.0563974, 0.0593721, 0.0615491, 0.064037, 0.069013, 0.0828941, 0.0952946, 0.107819, 0.115676, 0.122729, 0.136834, 0.159212, 0.170021, 0.179096, 0.190669, 0.199088, 0.208474, 0.22091, 0.232189, 0.247228, 0.259369, 0.272741, 0.290572, 0.326232, 0.373109, 0.430978, 0.504042, 4.31873");
-            values ( \
-              "0.0459267, 0.212735, 0.241478, 0.248671, 0.249855, 0.249547, 0.24656, 0.234144, 0.222094, 0.208594, 0.198555, 0.187811, 0.160825, 0.114916, 0.0955269, 0.0809724, 0.0648253, 0.0547811, 0.0451104, 0.0345674, 0.0270075, 0.0192458, 0.0145856, 0.0107213, 0.00704941, 0.00290116, 0.000829537, 0.000160723, 6.86849e-06, 2.57073e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0435928, 0.0550178, 0.0571778, 0.0594334, 0.0624983, 0.0655638, 0.0707931, 0.0776228, 0.125318, 0.165687, 0.181179, 0.195067, 0.222843, 0.268087, 0.304227, 0.331668, 0.360229, 0.383965, 0.405471, 0.434145, 0.457224, 0.482627, 0.516497, 0.584237, 0.670612, 0.756988, 0.843364, 1.18887, 4.3187");
-            values ( \
-              "0.0440512, 0.250694, 0.262071, 0.267202, 0.27007, 0.270403, 0.269033, 0.266015, 0.242732, 0.220365, 0.209963, 0.198931, 0.17153, 0.122456, 0.0882585, 0.0670008, 0.049355, 0.03783, 0.0295588, 0.0210614, 0.0159714, 0.0117492, 0.00773257, 0.0031889, 0.000958352, 0.000295308, 8.31741e-05, 1e-22, 1.9617e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0515339, 0.0515539, 0.138538, 0.235531, 0.285715, 0.345276, 0.401553, 0.529674, 0.564461, 0.640266, 0.676064, 0.723393, 0.766262, 0.823421, 0.86936, 0.919914, 0.98732, 1.0737, 1.16007, 1.24645, 1.4192, 1.85108, 4.31872");
-            values ( \
-              "1e-22, 0.299699, 0.265869, 0.240889, 0.226419, 0.204733, 0.177251, 0.108487, 0.092194, 0.0626951, 0.0516351, 0.0395832, 0.0309285, 0.0220333, 0.0167149, 0.0123005, 0.00809714, 0.00469483, 0.0027088, 0.00156311, 0.000518419, 3.12154e-05, 9.57083e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0548372, 0.0548572, 0.232549, 0.43213, 0.518506, 0.595856, 0.657803, 0.744179, 1.04531, 1.11307, 1.21785, 1.33111, 1.41748, 1.49945, 1.62774, 1.77035, 1.9431, 2.11586, 2.28861, 2.54773, 2.89324, 4.31864");
-            values ( \
-              "1e-22, 0.307777, 0.26938, 0.244039, 0.231961, 0.219551, 0.207659, 0.18764, 0.108344, 0.0926898, 0.0715963, 0.0531801, 0.0419588, 0.0333338, 0.0230252, 0.0151155, 0.00899569, 0.00532279, 0.00313874, 0.0014169, 0.00048919, 5.63e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0733289, 0.0808312, 0.0830117, 0.0860121, 0.0944545, 0.0947535, 0.0975445, 0.0999368, 0.1017, 0.103483, 0.104972, 0.106762, 0.108136, 0.11013, 0.11199, 0.112502, 0.112669, 0.113004, 0.113489, 0.114001, 0.114768, 0.115385, 0.116465, 0.116887, 0.11773, 0.119416, 0.127216, 0.13024, 0.131652, 0.132593, 0.133393, 0.135795, 0.138912, 0.140775, 0.142149, 0.143247, 0.144916, 0.146586, 0.147707, 0.14995, 0.152442, 0.155182, 0.157921, 0.159291, 0.160661, 0.164088, 0.167308, 0.169384, 0.171461, 0.173538");
-            values ( \
-              "0.0478753, 0.0480278, 0.0552703, 0.064641, 0.0896926, 0.0903776, 0.0982007, 0.104563, 0.109098, 0.113425, 0.116827, 0.120679, 0.123003, 0.125942, 0.128219, 0.128502, 0.13079, 0.131651, 0.133485, 0.134721, 0.134517, 0.134178, 0.133211, 0.132377, 0.129787, 0.122984, 0.0841597, 0.0715134, 0.0659078, 0.0624362, 0.0598142, 0.0524524, 0.0440922, 0.0395059, 0.0363756, 0.0340559, 0.0309951, 0.0281489, 0.0264273, 0.0231343, 0.0197112, 0.0165786, 0.0141076, 0.0129852, 0.0119381, 0.00969387, 0.00776853, 0.00667637, 0.0057139, 0.00498352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0710263, 0.0898582, 0.0987414, 0.105391, 0.112614, 0.114522, 0.115583, 0.117329, 0.119026, 0.122422, 0.1261, 0.129675, 0.133728, 0.141556, 0.148745, 0.153519, 0.160178, 0.165116, 0.171407, 0.175917, 0.181308, 0.188437, 0.195041, 0.203846, 0.210536, 0.217967, 0.227876, 0.247692, 0.274304, 0.307039, 0.34838, 4.31875");
-            values ( \
-              "0.017937, 0.0947532, 0.129571, 0.154301, 0.178165, 0.189927, 0.192793, 0.195292, 0.195368, 0.192466, 0.186403, 0.178837, 0.168219, 0.141501, 0.114993, 0.0993506, 0.0803026, 0.0680782, 0.0547299, 0.0465988, 0.0382268, 0.0291864, 0.0226242, 0.0159519, 0.0122005, 0.00903829, 0.00600996, 0.00254306, 0.000737222, 0.000147575, 8.05372e-06, 1.64951e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.0711113, 0.0871609, 0.102691, 0.112589, 0.113775, 0.116145, 0.11791, 0.11919, 0.121749, 0.12667, 0.132859, 0.141157, 0.153008, 0.161052, 0.168588, 0.183218, 0.19607, 0.204927, 0.215981, 0.225021, 0.236556, 0.244913, 0.254314, 0.266763, 0.278073, 0.293154, 0.305249, 0.309692, 0.318578, 0.336349, 0.371893, 0.418745, 0.476552, 0.549539, 4.31873");
-            values ( \
-              "0.00592838, 0.0937174, 0.167249, 0.211812, 0.221591, 0.23322, 0.236451, 0.237633, 0.238123, 0.235623, 0.230165, 0.222083, 0.209358, 0.199215, 0.187818, 0.159734, 0.132607, 0.115191, 0.0953673, 0.0808864, 0.0647996, 0.0548286, 0.0451372, 0.0345774, 0.0269971, 0.0192209, 0.0145809, 0.0131719, 0.0107286, 0.00706508, 0.00291666, 0.000835431, 0.000161622, 7.59028e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0711178, 0.103105, 0.112561, 0.115102, 0.118073, 0.12011, 0.124184, 0.129563, 0.136645, 0.181187, 0.19822, 0.212827, 0.226029, 0.240947, 0.269764, 0.316655, 0.33274, 0.350138, 0.377564, 0.388173, 0.406118, 0.429856, 0.451369, 0.480054, 0.50312, 0.511583, 0.528509, 0.562361, 0.630065, 0.716441, 0.802817, 0.889193, 1.2347, 4.3187");
-            values ( \
-              "0.00178998, 0.182708, 0.231654, 0.251737, 0.260715, 0.263253, 0.264524, 0.263004, 0.259819, 0.237534, 0.228237, 0.219562, 0.210713, 0.198947, 0.170421, 0.11973, 0.103913, 0.0882475, 0.0670025, 0.0599348, 0.0493601, 0.0378322, 0.0295582, 0.021059, 0.0159716, 0.0144265, 0.0117513, 0.00773589, 0.00319183, 0.000959395, 0.000295477, 8.337e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0831309, 0.109327, 0.116236, 0.121039, 0.12487, 0.130448, 0.156626, 0.249576, 0.331604, 0.36303, 0.391169, 0.447446, 0.534289, 0.575566, 0.610353, 0.654514, 0.686157, 0.721954, 0.769283, 0.812151, 0.869309, 0.915247, 0.965799, 1.0332, 1.11958, 1.20595, 1.29233, 1.3787, 1.46508, 1.63783, 1.89696, 4.31861");
-            values ( \
-              "0.0992748, 0.224732, 0.268912, 0.277616, 0.279105, 0.278674, 0.272686, 0.249365, 0.226419, 0.215869, 0.204733, 0.177252, 0.129666, 0.108488, 0.0921945, 0.0739698, 0.0626958, 0.0516357, 0.0395838, 0.0309292, 0.022034, 0.0167155, 0.0123011, 0.00809774, 0.0046952, 0.002709, 0.00156324, 0.000897637, 0.000518471, 0.000172252, 3.12065e-05, 9.57363e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0833774, 0.116013, 0.121145, 0.125473, 0.130839, 0.263259, 0.477994, 0.564369, 0.64172, 0.703668, 0.790043, 0.991936, 1.09118, 1.15893, 1.24444, 1.30225, 1.37697, 1.46335, 1.54531, 1.59871, 1.6736, 1.75998, 1.81621, 1.90259, 1.98896, 2.07534, 2.16171, 2.33447, 2.59359, 2.9391, 4.31885");
-            values ( \
-              "0.0988906, 0.273351, 0.284603, 0.286621, 0.286807, 0.271298, 0.24404, 0.23196, 0.219552, 0.207659, 0.18764, 0.133589, 0.108344, 0.0926895, 0.0751723, 0.0648365, 0.0531799, 0.0419595, 0.0333346, 0.0286145, 0.023026, 0.0178559, 0.0151162, 0.0116719, 0.0089963, 0.00692377, 0.00532333, 0.00313924, 0.0014165, 0.000488767, 6.90318e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.13329, 0.146969, 0.150533, 0.152315, 0.157661, 0.161413, 0.168916, 0.1756, 0.176092, 0.180682, 0.18765, 0.189945, 0.19585, 0.198481, 0.201112, 0.206083, 0.2071, 0.208116, 0.211186, 0.21417, 0.21613, 0.21809, 0.22005, 0.220121, 0.222265, 0.22398, 0.229318, 0.232314, 0.234086, 0.237003, 0.23923, 0.241739, 0.244935, 0.246877, 0.247943, 0.249008, 0.250144, 0.25128, 0.2526, 0.253921, 0.255244, 0.256568, 0.259848, 0.260927, 0.264164, 0.267147, 0.270131, 0.273097, 0.276442, 0.278875");
-            values ( \
-              "0.0178793, 0.0189387, 0.0229447, 0.0252377, 0.0323854, 0.0376432, 0.0464929, 0.0541344, 0.0545269, 0.0593828, 0.0664185, 0.0686123, 0.0739765, 0.0760918, 0.0780631, 0.081415, 0.0822806, 0.0833262, 0.0829793, 0.082415, 0.0819223, 0.0813328, 0.0806467, 0.0805686, 0.076382, 0.0724712, 0.059315, 0.0521463, 0.0481692, 0.0424561, 0.0384305, 0.0342165, 0.029219, 0.0258284, 0.0251594, 0.0246265, 0.0243453, 0.0239452, 0.0233305, 0.0225551, 0.0215085, 0.0203175, 0.0169545, 0.0159899, 0.0133276, 0.0111812, 0.00933031, 0.00775174, 0.00612789, 0.00513681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.124535, 0.14703, 0.151602, 0.171234, 0.189462, 0.20304, 0.214765, 0.225363, 0.230792, 0.235376, 0.244543, 0.248951, 0.250619, 0.25256, 0.268325, 0.276735, 0.285392, 0.292388, 0.298676, 0.307061, 0.314192, 0.323807, 0.336628, 0.360779, 0.388916, 0.424339, 0.470003, 4.31878");
-            values ( \
-              "0.00391487, 0.0250331, 0.0308151, 0.0617659, 0.0889847, 0.108165, 0.122886, 0.13326, 0.136408, 0.136964, 0.126743, 0.115212, 0.114224, 0.110326, 0.069377, 0.0518634, 0.0378394, 0.0290435, 0.0227964, 0.016354, 0.0122958, 0.00833596, 0.00488292, 0.00165211, 0.000436854, 7.14685e-05, 1.84124e-06, 2.14296e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.129171, 0.146193, 0.153477, 0.158785, 0.165282, 0.211125, 0.218769, 0.22824, 0.243619, 0.249095, 0.251294, 0.254434, 0.25754, 0.260668, 0.264243, 0.271827, 0.283566, 0.29709, 0.30762, 0.314825, 0.320229, 0.328965, 0.340032, 0.342589, 0.347702, 0.357278, 0.369884, 0.373774, 0.381554, 0.397113, 0.408718, 0.413009, 0.421589, 0.43875, 0.473071, 0.51967, 0.576889, 0.649125, 4.31892");
-            values ( \
-              "0.012964, 0.0287753, 0.038936, 0.0474613, 0.058744, 0.143505, 0.157096, 0.173005, 0.195186, 0.201281, 0.205679, 0.207892, 0.206307, 0.203317, 0.199001, 0.187752, 0.165648, 0.137157, 0.116131, 0.102974, 0.0936653, 0.0798244, 0.0645044, 0.0613334, 0.0553391, 0.0454001, 0.0346701, 0.0318684, 0.0268569, 0.0189058, 0.0145057, 0.0131475, 0.0107874, 0.0072083, 0.00308205, 0.000890535, 0.000177001, 9.05215e-06, 3.21761e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.169537, 0.208679, 0.240163, 0.241263, 0.245667, 0.247323, 0.248978, 0.250435, 0.251892, 0.253513, 0.255134, 0.257593, 0.259974, 0.264656, 0.271522, 0.280122, 0.298221, 0.311208, 0.319553, 0.328366, 0.335814, 0.343261, 0.350466, 0.357671, 0.364875, 0.375535, 0.392813, 0.409566, 0.424333, 0.43506, 0.448107, 0.452456, 0.463515, 0.479877, 0.490483, 0.499456, 0.508429, 0.520298, 0.532167, 0.539339, 0.54651, 0.553682, 0.568025, 0.575196, 0.582368, 0.599664, 0.613891, 0.626582, 0.630813, 0.647735");
-            values ( \
-              "0.147511, 0.154065, 0.220778, 0.22252, 0.231083, 0.236465, 0.243433, 0.244268, 0.245406, 0.247031, 0.249032, 0.248663, 0.248158, 0.246737, 0.243612, 0.239204, 0.229356, 0.22187, 0.216696, 0.210452, 0.204358, 0.197952, 0.191457, 0.184671, 0.177591, 0.166579, 0.147439, 0.12948, 0.114091, 0.103372, 0.0917614, 0.0880705, 0.079186, 0.0667474, 0.0594082, 0.0541124, 0.0491621, 0.0432948, 0.0377321, 0.0345187, 0.0316818, 0.0290746, 0.0247492, 0.0227806, 0.0209413, 0.017107, 0.0142053, 0.0118848, 0.0111717, 0.00888468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.124439, 0.275658, 0.383401, 0.433553, 0.493148, 0.549424, 0.677544, 0.712331, 0.788134, 0.823932, 0.87126, 0.914127, 0.971283, 1.01722, 1.06777, 1.13517, 1.22154, 1.30792, 1.3943, 1.56705, 1.99893, 4.31868");
-            values ( \
-              "0.0188603, 0.268499, 0.240889, 0.226429, 0.204733, 0.177253, 0.108488, 0.092195, 0.0626964, 0.0516363, 0.0395843, 0.0309298, 0.0220346, 0.0167161, 0.0123017, 0.00809834, 0.00469554, 0.0027092, 0.00156335, 0.000518504, 3.12145e-05, 9.8195e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.16722, 0.2085, 0.252425, 0.262434, 0.383898, 0.579781, 0.666156, 0.743505, 0.805452, 0.891827, 1.19296, 1.26072, 1.40403, 1.47875, 1.56513, 1.64709, 1.77538, 1.91799, 2.09074, 2.26349, 2.43624, 2.69537, 3.04087, 4.31836");
-            values ( \
-              "0.132096, 0.166188, 0.276262, 0.283233, 0.268958, 0.244038, 0.231961, 0.219551, 0.20766, 0.18764, 0.108344, 0.0926897, 0.0648368, 0.0531802, 0.0419597, 0.033335, 0.0230264, 0.0151166, 0.00899655, 0.00532352, 0.00313939, 0.00141644, 0.000488688, 9.63663e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.207771, 0.273673, 0.286475, 0.297908, 0.303094, 0.313468, 0.340312, 0.351205, 0.364343, 0.379059, 0.395538, 0.405042, 0.417316, 0.429593, 0.447515, 0.457311, 0.471352, 0.480605, 0.488029, 0.499528, 0.508577, 0.526675, 0.550525, 0.559067, 0.571997, 0.58447, 0.609149, 0.629619, 0.670559, 0.73675, 0.823126, 0.909502, 0.995878, 1.08225, 1.16863, 1.255, 4.31911");
-            values ( \
-              "0.000540214, 0.00372878, 0.00623447, 0.00907529, 0.0106974, 0.0150147, 0.030909, 0.0362612, 0.0417464, 0.0468007, 0.0511317, 0.0528009, 0.0533048, 0.0470817, 0.0264102, 0.0184323, 0.0103253, 0.00677653, 0.00475957, 0.00271062, 0.00171067, 0.000637544, 0.000183602, 0.00165531, 0.0014542, 0.000440861, 0.000120071, 1e-22, 2.05198e-05, 1e-22, 1.65575e-05, 1e-22, 1.42956e-05, 1e-22, 1.2551e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.209291, 0.27505, 0.299848, 0.318499, 0.375618, 0.406347, 0.423361, 0.439215, 0.454707, 0.470221, 0.499744, 0.526956, 0.550011, 0.555939, 0.578343, 0.593841, 0.615988, 0.652191, 0.698202, 4.3186");
-            values ( \
-              "0.00107457, 0.00652515, 0.0143229, 0.0238176, 0.0613518, 0.0764717, 0.0832701, 0.08764, 0.0874695, 0.0735516, 0.0368555, 0.0158494, 0.00691825, 0.00849765, 0.00378572, 0.00202074, 0.000727698, 0.000140453, 1e-22, 1.45324e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.296001, 0.338962, 0.349357, 0.358079, 0.380753, 0.406305, 0.437533, 0.446939, 0.455604, 0.461136, 0.472198, 0.474382, 0.477293, 0.480204, 0.483115, 0.485546, 0.490409, 0.497945, 0.503049, 0.508154, 0.513259, 0.513607, 0.518475, 0.522647, 0.536918, 0.546626, 0.548446, 0.550265, 0.552536, 0.554806, 0.55608, 0.558628, 0.559903, 0.57209, 0.577485, 0.582881, 0.590316, 0.594033, 0.599968, 0.609709, 0.614009, 0.618309, 0.622608, 0.626908, 0.631208, 0.635507, 0.645216, 0.649033, 0.654757, 0.662389");
-            values ( \
-              "0.0407796, 0.0445815, 0.0530277, 0.0599187, 0.0764161, 0.0943518, 0.115299, 0.120609, 0.125323, 0.128242, 0.133869, 0.134604, 0.13592, 0.137503, 0.139354, 0.139043, 0.138295, 0.136805, 0.135567, 0.134144, 0.132538, 0.132321, 0.12715, 0.122156, 0.103881, 0.0908629, 0.0876744, 0.0840144, 0.0828383, 0.0813285, 0.0803349, 0.0780321, 0.076723, 0.0606022, 0.0545569, 0.0489627, 0.0420102, 0.0388431, 0.0342385, 0.0273082, 0.0248841, 0.0226369, 0.0206136, 0.018736, 0.017004, 0.0154178, 0.0122771, 0.0111266, 0.00953266, 0.00778548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.275239, 0.317697, 0.331183, 0.345983, 0.442964, 0.481474, 0.514225, 0.543834, 0.554612, 0.559279, 0.564342, 0.572406, 0.58351, 0.601227, 0.643027, 0.667809, 0.690338, 0.708667, 0.727485, 0.752576, 0.765148, 0.774277, 0.786449, 0.806199, 0.833848, 0.844873, 0.866923, 0.911023, 0.991949, 1.07832, 1.1647, 1.25108, 1.5102, 4.31879");
-            values ( \
-              "0.0257408, 0.0334937, 0.0429744, 0.0547547, 0.137287, 0.168674, 0.191794, 0.206577, 0.209602, 0.208613, 0.205315, 0.198815, 0.188597, 0.170234, 0.124862, 0.100476, 0.0809373, 0.0672112, 0.0550707, 0.0417315, 0.0362058, 0.0326172, 0.0283323, 0.0224604, 0.0161192, 0.0141168, 0.0107952, 0.00621588, 0.00207598, 0.000627637, 0.000188028, 5.77384e-05, 4.3241e-07, 4.91239e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.275311, 0.371281, 0.520139, 0.550273, 0.556156, 0.561684, 0.569184, 0.611383, 0.664069, 0.690849, 0.721077, 0.779477, 0.863462, 0.905668, 0.940393, 0.98449, 1.01603, 1.05185, 1.0992, 1.14212, 1.19935, 1.24521, 1.26204, 1.29569, 1.363, 1.44938, 1.53575, 1.62213, 1.7085, 1.79488, 1.96763, 2.22676, 4.31886");
-            values ( \
-              "0.0128983, 0.0797817, 0.222582, 0.248683, 0.252392, 0.253495, 0.251924, 0.240878, 0.225628, 0.216629, 0.204748, 0.176129, 0.130067, 0.108408, 0.0921532, 0.0739557, 0.0627182, 0.0516499, 0.0395875, 0.0309229, 0.0220219, 0.0167121, 0.0150974, 0.0123039, 0.00810581, 0.00469874, 0.0027122, 0.00156397, 0.000899152, 0.000518275, 0.00017177, 3.18294e-05, 6.57398e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.275054, 0.404315, 0.55289, 0.560249, 0.74102, 0.892902, 0.970252, 1.0322, 1.11858, 1.41971, 1.48747, 1.63078, 1.7055, 1.79188, 1.87384, 2.00213, 2.14473, 2.23111, 2.31748, 2.49024, 2.66299, 2.92211, 3.18124, 4.3186");
-            values ( \
-              "0.00979555, 0.113788, 0.271518, 0.274539, 0.252607, 0.231961, 0.219551, 0.207659, 0.18764, 0.108345, 0.0926898, 0.0648371, 0.0531803, 0.0419599, 0.0333352, 0.0230267, 0.0151168, 0.0116721, 0.0089967, 0.00532361, 0.00313945, 0.00141644, 0.000638139, 1.92854e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.453025, 0.530541, 0.569321, 0.581551, 0.608101, 0.636662, 0.645044, 0.661808, 0.673887, 0.720249, 0.751207, 0.772416, 0.788539, 0.797909, 0.807404, 0.826473, 0.84554, 0.881456, 0.893293, 0.909725, 0.91836, 0.9314, 0.948787, 0.978389, 1.01302, 1.05664, 1.20256, 1.21577, 1.22863, 1.24909, 1.26433, 1.29482, 1.32868, 1.39198, 1.47836, 1.56473, 1.65111, 1.73748, 1.82386, 1.91023, 1.99661, 2.08299, 2.16936, 2.25574, 2.34211, 2.42849, 2.51486, 4.31861");
-            values ( \
-              "0.000210468, 0.00102556, 0.00190216, 0.00228326, 0.00332322, 0.00522637, 0.00604986, 0.00823612, 0.0105251, 0.0219659, 0.0279944, 0.0309922, 0.0326736, 0.0334036, 0.0339549, 0.034357, 0.0324422, 0.0145767, 0.0102968, 0.00601685, 0.00444051, 0.00275084, 0.00138395, 0.000357923, 8.93645e-05, 3.0978e-05, 5.55046e-06, 1.37798e-05, 0.000647459, 0.000391535, 1.77688e-05, 5.05486e-05, 1e-22, 2.94383e-05, 1e-22, 2.43447e-05, 1e-22, 2.05068e-05, 1e-22, 1.76855e-05, 1e-22, 1.56051e-05, 1e-22, 1.40532e-05, 1e-22, 1.28765e-05, 1e-22, 8.02184e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.530689, 0.595789, 0.614604, 0.627348, 0.649325, 0.687801, 0.730702, 0.750136, 0.776277, 0.805379, 0.831829, 0.856714, 0.880894, 0.905074, 0.952208, 0.967217, 0.987476, 0.998614, 1.01492, 1.03667, 1.07416, 1.11786, 1.17275, 1.2159, 1.23748, 1.26576, 1.29176, 1.34377, 1.39573, 1.48211, 1.56848, 1.65486, 1.74124, 1.82761, 1.91399, 4.31874");
-            values ( \
-              "0.00415763, 0.00485262, 0.00625752, 0.0074565, 0.0101922, 0.018456, 0.0323962, 0.0377538, 0.0439377, 0.0494032, 0.0532314, 0.0557057, 0.056592, 0.0521843, 0.0237731, 0.0169445, 0.0101413, 0.00747867, 0.00469145, 0.00239951, 0.000624265, 0.00013119, 2.84328e-05, 2.65068e-05, 0.000906686, 0.000517328, 8.39443e-06, 7.43867e-05, 1e-22, 4.95334e-05, 1e-22, 3.64801e-05, 1e-22, 2.72588e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.53066, 0.608199, 0.624169, 0.641203, 0.663482, 0.68636, 0.712053, 0.74603, 0.784159, 0.804924, 0.835458, 0.868138, 0.911315, 0.935921, 0.967638, 0.999372, 1.05421, 1.0826, 1.09637, 1.11472, 1.13088, 1.15435, 1.18564, 1.21587, 1.23098, 1.25263, 1.27334, 1.31474, 1.35542, 1.43265, 1.51902, 4.31871");
-            values ( \
-              "0.00584931, 0.00886958, 0.0108006, 0.0132944, 0.0174721, 0.023249, 0.0318012, 0.0442627, 0.0564248, 0.0621897, 0.0699332, 0.0773352, 0.0854293, 0.0887147, 0.0896919, 0.0787453, 0.0423295, 0.0272266, 0.0214936, 0.015343, 0.011238, 0.00700492, 0.0035428, 0.00179083, 0.00270785, 0.00214904, 0.0010909, 0.000476455, 0.000101342, 4.29452e-05, 1e-22, 3.38955e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.530553, 0.640485, 0.676846, 0.706159, 0.798864, 0.851017, 0.920925, 0.96455, 1.01133, 1.0344, 1.0548, 1.09774, 1.11149, 1.12545, 1.14871, 1.21577, 1.22307, 1.25035, 1.26991, 1.29699, 1.32793, 1.34436, 1.36126, 1.3838, 1.42888, 1.5132, 1.59957, 1.68595, 1.77233, 4.31861");
-            values ( \
-              "0.00577697, 0.0175644, 0.0257361, 0.0345423, 0.0687901, 0.0862527, 0.108138, 0.1206, 0.131932, 0.136172, 0.138651, 0.134789, 0.128907, 0.121545, 0.107134, 0.0632707, 0.0608715, 0.0456589, 0.0366641, 0.0268211, 0.0185602, 0.0152304, 0.0124204, 0.00943815, 0.0053508, 0.00168992, 0.00050598, 0.000155946, 4.34534e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.607969, 0.711284, 0.748038, 0.991149, 1.06129, 1.12343, 1.15999, 1.18223, 1.19835, 1.207, 1.21564, 1.22796, 1.24015, 1.27814, 1.36809, 1.41674, 1.45015, 1.49231, 1.52106, 1.5576, 1.60558, 1.64995, 1.70912, 1.753, 1.80164, 1.86649, 1.95286, 2.03924, 2.12561, 2.21199, 2.38474, 2.64387, 4.31848");
-            values ( \
-              "0.0312078, 0.0406062, 0.0539006, 0.147946, 0.173192, 0.192306, 0.201006, 0.204727, 0.206297, 0.206596, 0.206462, 0.204085, 0.199425, 0.18045, 0.131246, 0.106359, 0.0908809, 0.0736006, 0.0633374, 0.0519746, 0.039698, 0.0307491, 0.0216393, 0.0166166, 0.0123716, 0.00828153, 0.00480002, 0.00277241, 0.00159736, 0.000919792, 0.000304372, 5.75868e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.60842, 0.740692, 0.840588, 1.12371, 1.21609, 1.22814, 1.2441, 1.36462, 1.42094, 1.47603, 1.53817, 1.62455, 1.82645, 1.92569, 1.99345, 2.07895, 2.13676, 2.21148, 2.29786, 2.3331, 2.43321, 2.50811, 2.59448, 2.65071, 2.73709, 2.82346, 2.90984, 2.99621, 3.16897, 3.42809, 3.7736, 4.31893");
-            values ( \
-              "0.0292329, 0.0538108, 0.0934107, 0.216877, 0.252804, 0.254165, 0.253233, 0.236924, 0.228609, 0.219588, 0.207661, 0.187644, 0.133589, 0.108346, 0.0926896, 0.0751734, 0.0648376, 0.05318, 0.0419605, 0.0380298, 0.0286151, 0.0230273, 0.0178561, 0.0151173, 0.011672, 0.00899713, 0.00692369, 0.00532398, 0.00313979, 0.00141618, 0.000488411, 9.1476e-05" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230456, 0.0237795, 0.0247217, 0.0261901, 0.029003, 0.0317825, 0.0345874, 0.0374543, 0.0404451, 0.044607, 0.0537986, 0.0583068, 0.0620956, 0.0660235, 0.0731459, 0.075793");
-            values ( \
-              "-0.158933, -0.199086, -0.216704, -0.228766, -0.235946, -0.235475, -0.231915, -0.225294, -0.212285, -0.182035, -0.0770259, -0.0450718, -0.0280285, -0.0168532, -0.00646376, -0.00496526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00758863");
-            index_3 ("0.0235683, 0.02471, 0.025758, 0.0270658, 0.0306686, 0.0355462, 0.0426158, 0.0475684, 0.0526785, 0.0589256, 0.0755968, 0.0808865, 0.0878257, 0.0961523, 0.108076, 0.111887");
-            values ( \
-              "-0.211719, -0.267563, -0.280519, -0.28829, -0.294079, -0.291603, -0.28359, -0.274544, -0.259751, -0.229508, -0.0930325, -0.0645014, -0.0389624, -0.0207425, -0.00818073, -0.00659104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158193");
-            index_3 ("0.0240142, 0.0249413, 0.026954, 0.0285123, 0.0304651, 0.032785, 0.0471038, 0.0634312, 0.0680822, 0.0772833, 0.0820302, 0.0878245, 0.0922104, 0.111842, 0.121637, 0.134038, 0.14056, 0.149256, 0.155901, 0.163281, 0.173121, 0.192801, 0.203957");
-            values ( \
-              "-0.283298, -0.306954, -0.325986, -0.331652, -0.333752, -0.334214, -0.32637, -0.312509, -0.306765, -0.291941, -0.280948, -0.263018, -0.243927, -0.139103, -0.0986594, -0.0618558, -0.0479728, -0.0338494, -0.0258679, -0.0191475, -0.0127122, -0.00536798, -0.00376101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.032977");
-            index_3 ("0.024222, 0.0267626, 0.0295885, 0.0317642, 0.0410275, 0.0648036, 0.0966366, 0.110346, 0.128449, 0.137469, 0.14709, 0.153064, 0.161029, 0.190162, 0.211065, 0.228646, 0.241073, 0.251163, 0.263631, 0.281207, 0.299817, 0.314122, 0.342733, 0.394381, 0.455717");
-            values ( \
-              "-0.313568, -0.3476, -0.356636, -0.358339, -0.356503, -0.348161, -0.333637, -0.32523, -0.309819, -0.29903, -0.283545, -0.270782, -0.249701, -0.163397, -0.113397, -0.0816465, -0.0641422, -0.0525594, -0.0408967, -0.0285045, -0.0194202, -0.0143968, -0.00777107, -0.00229594, -0.000492491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.068744");
-            index_3 ("0.0243834, 0.0268018, 0.0298816, 0.0312697, 0.0338812, 0.0465509, 0.098876, 0.12655, 0.161903, 0.176716, 0.198254, 0.234852, 0.253676, 0.273756, 0.29399, 0.341228, 0.373378, 0.393771, 0.410994, 0.432534, 0.460938, 0.487465, 0.522834, 0.54891, 0.577975, 0.616728, 0.682579, 0.74843, 0.814281, 0.880131");
-            values ( \
-              "-0.326628, -0.359497, -0.369561, -0.370987, -0.371521, -0.369929, -0.360293, -0.354469, -0.345909, -0.341664, -0.334927, -0.319266, -0.30801, -0.291236, -0.26692, -0.19476, -0.149934, -0.125606, -0.107613, -0.0881607, -0.0672098, -0.0519001, -0.0364195, -0.0279754, -0.0208146, -0.0139307, -0.00687209, -0.00337407, -0.00165282, -0.000808466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.143304");
-            index_3 ("0.0241992, 0.0281982, 0.0324678, 0.0380672, 0.233631, 0.306311, 0.372161, 0.427878, 0.458765, 0.52054, 0.542237, 0.585591, 0.684083, 0.726538, 0.79476, 0.864922, 0.92213, 0.973882, 1.02369, 1.08954, 1.12597, 1.17304, 1.2358, 1.30166, 1.43336, 1.49921, 1.76261");
-            values ( \
-              "-0.333375, -0.373336, -0.378311, -0.378482, -0.359671, -0.350772, -0.341253, -0.330642, -0.32349, -0.302808, -0.292609, -0.265257, -0.190619, -0.161381, -0.121041, -0.0885027, -0.067726, -0.052992, -0.0417852, -0.0301305, -0.0251767, -0.0199312, -0.0146569, -0.0104103, -0.00530971, -0.00390213, -0.00106231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0348907, 0.0400998, 0.0447202, 0.0509212, 0.052407, 0.0534371, 0.0546481, 0.0561392, 0.0590598, 0.0618703, 0.0634558, 0.0720868, 0.0767766, 0.0798944, 0.0824926, 0.0857207, 0.0920895, 0.0965114");
-            values ( \
-              "-0.00575528, -0.120189, -0.160011, -0.205301, -0.222961, -0.225353, -0.225802, -0.223674, -0.212792, -0.194631, -0.18051, -0.0807443, -0.0463422, -0.0314383, -0.0225187, -0.0148142, -0.00630707, -0.00388219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00758863");
-            index_3 ("0.0358986, 0.0414015, 0.0447224, 0.0509377, 0.0518941, 0.0525817, 0.0539569, 0.0553425, 0.0566933, 0.0614709, 0.0663585, 0.071474, 0.0754816, 0.079863, 0.0890401, 0.0953318, 0.0981151, 0.101822, 0.105146, 0.109578, 0.113345, 0.117485, 0.123006, 0.134047, 0.148439, 0.166261");
-            values ( \
-              "-0.00374003, -0.163175, -0.198352, -0.256744, -0.274887, -0.280411, -0.285931, -0.287614, -0.287768, -0.283248, -0.274584, -0.259643, -0.242445, -0.213182, -0.131339, -0.0872973, -0.0720049, -0.055314, -0.0434244, -0.0311462, -0.0234306, -0.017082, -0.0110875, -0.00446059, -0.00122928, -0.000238632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158193");
-            index_3 ("0.0361628, 0.0456904, 0.0526575, 0.0551435, 0.05718, 0.0690422, 0.0824056, 0.0962572, 0.101003, 0.106798, 0.111195, 0.130662, 0.140681, 0.153031, 0.168117, 0.182287, 0.19222, 0.212085, 0.216403");
-            values ( \
-              "-0.0288983, -0.235565, -0.319914, -0.328984, -0.330415, -0.32415, -0.31242, -0.291857, -0.280955, -0.263022, -0.243877, -0.139833, -0.0984084, -0.0618117, -0.0340089, -0.0191218, -0.0126474, -0.00528913, -0.00467607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.032977");
-            index_3 ("0.0359721, 0.0454571, 0.0509234, 0.0516738, 0.0542464, 0.057512, 0.0663625, 0.0939988, 0.120138, 0.129421, 0.147517, 0.156639, 0.16637, 0.171753, 0.17893, 0.211659, 0.228835, 0.235943, 0.250159, 0.263115, 0.279591, 0.299076, 0.305798, 0.321426, 0.339287, 0.375009, 0.402618");
-            values ( \
-              "-0.00243672, -0.248168, -0.312738, -0.335178, -0.352575, -0.356564, -0.354492, -0.344105, -0.331127, -0.325251, -0.309805, -0.298902, -0.283152, -0.271693, -0.25305, -0.156927, -0.116144, -0.10196, -0.0778655, -0.0604968, -0.0435513, -0.0291817, -0.0254172, -0.018398, -0.012635, -0.00575724, -0.00361308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.068744");
-            index_3 ("0.0359779, 0.0523638, 0.0549124, 0.0565844, 0.059206, 0.0651751, 0.0869864, 0.110759, 0.145337, 0.180685, 0.216249, 0.240879, 0.254886, 0.2829, 0.295518, 0.31657, 0.364521, 0.38518, 0.418361, 0.431598, 0.45247, 0.480278, 0.505421, 0.538946, 0.5669, 0.579471, 0.604612, 0.654894, 0.720745, 0.786596, 0.852447, 0.918298");
-            values ( \
-              "-0.0497077, -0.35445, -0.366974, -0.369286, -0.370435, -0.369845, -0.366074, -0.361607, -0.354415, -0.345869, -0.335159, -0.325447, -0.31862, -0.300063, -0.288192, -0.261586, -0.188115, -0.15916, -0.119374, -0.105911, -0.0872797, -0.0669049, -0.0523698, -0.0374624, -0.0282316, -0.0248586, -0.0192174, -0.0113453, -0.00558522, -0.00274194, -0.00134023, -0.000657973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.143304");
-            index_3 ("0.0397731, 0.052525, 0.0550873, 0.0611802, 0.253008, 0.382506, 0.436312, 0.478168, 0.544019, 0.59704, 0.708983, 0.805843, 0.85932, 0.920435, 1.00268, 1.05095, 1.1168, 1.20365, 1.2695, 1.33535, 1.46706, 1.52999");
-            values ( \
-              "-0.180184, -0.35534, -0.375409, -0.378384, -0.359652, -0.342689, -0.333055, -0.323375, -0.301158, -0.270959, -0.186774, -0.125436, -0.0990495, -0.0748382, -0.0507553, -0.0401528, -0.0290799, -0.0189059, -0.0135465, -0.00975023, -0.00500832, -0.00412769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0619644, 0.0654889, 0.0709942, 0.0803085, 0.0907268, 0.0951559, 0.0993219, 0.103466, 0.107606, 0.112633, 0.117995, 0.125791, 0.132252, 0.138001, 0.138121");
-            values ( \
-              "-0.0353, -0.043803, -0.0736435, -0.108887, -0.142149, -0.153806, -0.160673, -0.155997, -0.132916, -0.0877904, -0.0538621, -0.0203413, -0.00871751, -0.00390641, -0.00388279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00758863");
-            index_3 ("0.0593319, 0.0790059, 0.0881267, 0.10143, 0.107939, 0.112588, 0.11311, 0.11393, 0.115522, 0.119105, 0.121973, 0.131143, 0.13565, 0.140234, 0.147254, 0.151676, 0.155451, 0.160538, 0.167319, 0.18018, 0.195165");
-            values ( \
-              "-0.00881304, -0.130114, -0.169188, -0.220251, -0.23966, -0.246704, -0.250515, -0.251548, -0.249108, -0.233553, -0.213141, -0.13146, -0.0984574, -0.072003, -0.0434357, -0.0311957, -0.023436, -0.0158801, -0.00930148, -0.00310584, -0.000809011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158193");
-            index_3 ("0.0603316, 0.0876928, 0.100522, 0.112602, 0.114027, 0.115905, 0.120005, 0.128121, 0.131145, 0.138653, 0.146347, 0.148906, 0.154023, 0.166289, 0.178405, 0.187662, 0.194977, 0.20188, 0.211084, 0.217206, 0.224087, 0.233261, 0.251609, 0.277847, 0.309861");
-            values ( \
-              "-0.0176141, -0.191041, -0.251725, -0.303927, -0.312383, -0.31768, -0.316583, -0.308237, -0.304084, -0.29106, -0.271624, -0.262986, -0.240138, -0.172497, -0.115094, -0.0821824, -0.0621653, -0.0474987, -0.0328224, -0.0256101, -0.0193481, -0.0132249, -0.00595575, -0.00174337, -0.000350999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.032977");
-            index_3 ("0.0606674, 0.0880374, 0.114385, 0.116776, 0.119098, 0.126941, 0.141269, 0.164719, 0.171613, 0.185401, 0.199847, 0.210396, 0.230992, 0.247866, 0.26217, 0.27412, 0.289861, 0.302419, 0.312672, 0.325101, 0.342646, 0.361138, 0.37534, 0.403744, 0.455047, 0.515909");
-            values ( \
-              "-0.009624, -0.207434, -0.343158, -0.349817, -0.349981, -0.347759, -0.341804, -0.32971, -0.325226, -0.314071, -0.297488, -0.279483, -0.223997, -0.173563, -0.136294, -0.110034, -0.0819372, -0.0642232, -0.0524565, -0.0408367, -0.0284914, -0.0194592, -0.0144493, -0.00784419, -0.00233563, -0.000515345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.068744");
-            index_3 ("0.0696042, 0.0918618, 0.114536, 0.118462, 0.119429, 0.145012, 0.187934, 0.222679, 0.241461, 0.259643, 0.296293, 0.314316, 0.33354, 0.347298, 0.364596, 0.41761, 0.451174, 0.459164, 0.483873, 0.51023, 0.530835, 0.554165, 0.585272, 0.611483, 0.638106, 0.673604, 0.739455, 0.805306, 0.871157, 0.937008");
-            values ( \
-              "-0.107225, -0.236431, -0.359514, -0.368458, -0.367728, -0.363199, -0.354388, -0.346037, -0.34073, -0.334859, -0.319304, -0.308523, -0.292821, -0.277566, -0.253554, -0.17298, -0.130067, -0.121183, -0.0968169, -0.0755122, -0.0619246, -0.0492807, -0.0360924, -0.0276868, -0.0211206, -0.0146323, -0.00722397, -0.00354512, -0.0017395, -0.000848165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.143304");
-            index_3 ("0.0701008, 0.113911, 0.115969, 0.120243, 0.175438, 0.251485, 0.295336, 0.381328, 0.442685, 0.508536, 0.544332, 0.59206, 0.627505, 0.674764, 0.758559, 0.82441, 0.867112, 0.889617, 0.931245, 0.985456, 1.03185, 1.08291, 1.14876, 1.2042, 1.23788, 1.30373, 1.36958, 1.43544, 1.56714, 1.69884, 1.83054");
-            values ( \
-              "-0.114307, -0.366129, -0.374283, -0.376397, -0.371731, -0.36428, -0.359621, -0.349027, -0.339675, -0.326427, -0.31668, -0.298402, -0.278676, -0.244732, -0.181427, -0.138628, -0.115368, -0.104415, -0.0865126, -0.0672064, -0.0539538, -0.0421983, -0.0305248, -0.0232493, -0.0196532, -0.0141395, -0.0101249, -0.00727086, -0.00372741, -0.00190959, -0.000979665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.110484, 0.119988, 0.124666, 0.127704, 0.140825, 0.155935, 0.163593, 0.170507, 0.176925, 0.183126, 0.19009, 0.199981, 0.204781, 0.209692, 0.215121, 0.218903, 0.223227, 0.231873, 0.243524, 0.249081, 0.252894, 0.253649");
-            values ( \
-              "-0.012319, -0.0200807, -0.0264514, -0.0314358, -0.0577572, -0.0804739, -0.0906045, -0.098965, -0.104918, -0.106981, -0.0941216, -0.0500847, -0.03277, -0.0202534, -0.0114238, -0.00757777, -0.00466279, -0.0016382, -0.000383613, -0.000248594, -0.00207404, -0.00205988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00758863");
-            index_3 ("0.126826, 0.146303, 0.148681, 0.151059, 0.153438, 0.158682, 0.161304, 0.166548, 0.170966, 0.173784, 0.176851, 0.178647, 0.180442, 0.185829, 0.190477, 0.19333, 0.19449, 0.19565, 0.19681, 0.19797, 0.200022, 0.202074, 0.204125, 0.206177, 0.208228, 0.210278, 0.212328, 0.214378, 0.214885, 0.215898, 0.216404, 0.217924, 0.22143, 0.224691, 0.226778, 0.227778, 0.228777, 0.230394, 0.23201, 0.233077, 0.235213, 0.237576, 0.239519, 0.241462, 0.242758, 0.244053, 0.245349, 0.247169, 0.248989, 0.251972");
-            values ( \
-              "-0.0769298, -0.0831897, -0.0878877, -0.0924899, -0.0969964, -0.106595, -0.111276, -0.120565, -0.12829, -0.133068, -0.138196, -0.14108, -0.143897, -0.151877, -0.158647, -0.162928, -0.163665, -0.164235, -0.164638, -0.164872, -0.164877, -0.164358, -0.163314, -0.161745, -0.159085, -0.155674, -0.151512, -0.146599, -0.144932, -0.14042, -0.137929, -0.13032, -0.111984, -0.0954114, -0.085042, -0.0802659, -0.0756585, -0.0689911, -0.0627061, -0.05889, -0.0515058, -0.0437196, -0.0383142, -0.0333017, -0.0301784, -0.0272298, -0.0244558, -0.0229105, -0.0214179, -0.0190861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158193");
-            index_3 ("0.115817, 0.125091, 0.131122, 0.132383, 0.134904, 0.139946, 0.144315, 0.153054, 0.15804, 0.168011, 0.178213, 0.194189, 0.207935, 0.220344, 0.231957, 0.243448, 0.246477, 0.252306, 0.25546, 0.256029, 0.259439, 0.263987, 0.271977, 0.274658, 0.27734, 0.280917, 0.28807, 0.29142, 0.29219, 0.29373, 0.29681, 0.302907, 0.311352, 0.314898, 0.321991, 0.336176, 0.338797");
-            values ( \
-              "-0.0300567, -0.0429017, -0.0542839, -0.0573336, -0.0630136, -0.075326, -0.0870789, -0.1087, -0.11953, -0.141336, -0.162412, -0.19455, -0.219632, -0.239324, -0.250843, -0.245629, -0.239338, -0.219544, -0.204362, -0.201085, -0.18226, -0.158203, -0.120805, -0.10991, -0.0998162, -0.0875601, -0.066794, -0.0587156, -0.0569844, -0.0536785, -0.0475703, -0.0373306, -0.0265009, -0.0229506, -0.017146, -0.00940446, -0.00872335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.032977");
-            index_3 ("0.124074, 0.139228, 0.165515, 0.204823, 0.227413, 0.250716, 0.253082, 0.26008, 0.26459, 0.272794, 0.283324, 0.302236, 0.310566, 0.34598, 0.364033, 0.373704, 0.383181, 0.395466, 0.405288, 0.417817, 0.435438, 0.45422, 0.468678, 0.497594, 0.54895, 0.609998");
-            values ( \
-              "-0.0747692, -0.0820358, -0.149027, -0.238774, -0.286571, -0.330031, -0.331671, -0.328291, -0.325208, -0.319042, -0.309069, -0.281934, -0.262918, -0.159299, -0.116174, -0.0972582, -0.0812879, -0.0640374, -0.052752, -0.0409957, -0.0285497, -0.0193814, -0.0143202, -0.00767653, -0.0022865, -0.000496572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.068744");
-            index_3 ("0.124301, 0.152672, 0.17038, 0.190534, 0.20552, 0.231935, 0.243483, 0.251102, 0.253863, 0.256592, 0.26205, 0.267997, 0.277164, 0.289246, 0.302738, 0.316555, 0.344189, 0.352913, 0.370361, 0.390747, 0.429031, 0.436113, 0.445555, 0.460802, 0.479815, 0.517842, 0.544599, 0.554161, 0.562475, 0.57356, 0.592861, 0.61787, 0.624571, 0.637973, 0.663359, 0.699092, 0.715172, 0.722486, 0.737114, 0.76637, 0.824882, 0.890732, 0.956583, 1.02243");
-            values ( \
-              "-0.0542779, -0.120312, -0.166653, -0.216401, -0.252124, -0.314249, -0.340043, -0.356967, -0.359665, -0.359375, -0.358567, -0.357206, -0.355326, -0.352603, -0.349353, -0.345868, -0.337788, -0.334846, -0.328207, -0.31862, -0.290706, -0.28308, -0.271292, -0.24928, -0.219603, -0.163418, -0.129951, -0.119403, -0.110804, -0.100143, -0.0836291, -0.0658227, -0.0616832, -0.0541272, -0.0420754, -0.0293104, -0.0249059, -0.0231177, -0.0199184, -0.0147297, -0.00791437, -0.00388559, -0.00190754, -0.000929861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.143304");
-            index_3 ("0.150334, 0.190826, 0.207514, 0.212239, 0.221689, 0.226414, 0.229285, 0.232156, 0.235027, 0.239255, 0.243324, 0.248366, 0.249383, 0.25332, 0.255406, 0.264586, 0.300279, 0.371162, 0.454986, 0.495264, 0.536484, 0.585873, 0.602335, 0.614267, 0.638131, 0.661995, 0.685859, 0.697674, 0.709489, 0.733119, 0.756748, 0.768563, 0.78994, 0.810888, 0.838535, 0.868821, 0.885284, 0.918209, 0.960909, 0.983415, 1.01464, 1.02504, 1.05215, 1.09472, 1.12565, 1.16395, 1.19317, 1.2261, 1.24256, 1.27905");
-            values ( \
-              "-0.21834, -0.220323, -0.261912, -0.276498, -0.311465, -0.331846, -0.332871, -0.33469, -0.337301, -0.342589, -0.349302, -0.361263, -0.368453, -0.37209, -0.37263, -0.372138, -0.368768, -0.361469, -0.351688, -0.346085, -0.339212, -0.329654, -0.326162, -0.323289, -0.315873, -0.307399, -0.297866, -0.292184, -0.285093, -0.269827, -0.253113, -0.244213, -0.226799, -0.210576, -0.190285, -0.169446, -0.158727, -0.138576, -0.114954, -0.103546, -0.0903151, -0.0861944, -0.0763249, -0.0620672, -0.0531924, -0.044434, -0.0386982, -0.0330456, -0.030496, -0.0254581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.23213, 0.254303, 0.258074, 0.260533, 0.262991, 0.265449, 0.268798, 0.271309, 0.275282, 0.279256, 0.284838, 0.287519, 0.291808, 0.299592, 0.306044, 0.307296, 0.310555, 0.313814, 0.31816, 0.326619, 0.329501, 0.332383, 0.334755, 0.337128, 0.3395, 0.341872, 0.344245, 0.346617, 0.34899, 0.351362, 0.351424, 0.353268, 0.354252, 0.355236, 0.356873, 0.35851, 0.360425, 0.362339, 0.364308, 0.366934, 0.370291, 0.372711, 0.374013, 0.375314, 0.376616, 0.377917, 0.379219, 0.38052, 0.382901, 0.384993");
-            values ( \
-              "-0.0176511, -0.0177276, -0.0203369, -0.0221535, -0.0240613, -0.0260604, -0.0289547, -0.0310443, -0.0341246, -0.037046, -0.0410416, -0.0428744, -0.0457201, -0.0507629, -0.0547314, -0.0554795, -0.0573413, -0.0591281, -0.0614517, -0.0658573, -0.0675152, -0.0693099, -0.0693426, -0.0692806, -0.069124, -0.0688727, -0.0685268, -0.0680862, -0.067551, -0.0669212, -0.0668805, -0.0642831, -0.0626733, -0.0609432, -0.0577131, -0.0543193, -0.0501322, -0.0457421, -0.0410065, -0.035219, -0.0284967, -0.0238409, -0.0216644, -0.0196531, -0.017883, -0.0162275, -0.0146867, -0.0132607, -0.0109877, -0.00911459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00758863");
-            index_3 ("0.213228, 0.221566, 0.239244, 0.247515, 0.260663, 0.268043, 0.291238, 0.302958, 0.321158, 0.336719, 0.350657, 0.363542, 0.375904, 0.388242, 0.395596, 0.407055, 0.417506, 0.423178, 0.430741, 0.436665, 0.440797, 0.44906, 0.465587, 0.473338");
-            values ( \
-              "-0.00619941, -0.0076972, -0.0146744, -0.0192861, -0.0283382, -0.034965, -0.0577293, -0.0677857, -0.082281, -0.0935175, -0.102484, -0.109163, -0.112385, -0.104988, -0.0896986, -0.0595771, -0.0365927, -0.027232, -0.017842, -0.0126623, -0.00991353, -0.00594776, -0.00193612, -0.00136904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158193");
-            index_3 ("0.203752, 0.24889, 0.267431, 0.329944, 0.375997, 0.394999, 0.41257, 0.429522, 0.447134, 0.481752, 0.493816, 0.511588, 0.525253, 0.536309, 0.546973");
-            values ( \
-              "-0.0079146, -0.0246587, -0.0399688, -0.103685, -0.142923, -0.156795, -0.166773, -0.170121, -0.152161, -0.0661712, -0.044743, -0.0238026, -0.0142984, -0.00929931, -0.00627708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.032977");
-            index_3 ("0.213656, 0.246556, 0.274856, 0.406031, 0.46188, 0.486424, 0.510448, 0.534409, 0.585529, 0.610714, 0.644636, 0.681261, 0.713645, 0.723536");
-            values ( \
-              "-0.0138087, -0.0280573, -0.0537067, -0.189017, -0.235033, -0.248187, -0.247191, -0.210108, -0.0933858, -0.0573053, -0.0287683, -0.0134402, -0.00663585, -0.00574422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.068744");
-            index_3 ("0.246463, 0.289328, 0.372165, 0.43458, 0.483152, 0.537358, 0.549953, 0.556299, 0.562421, 0.570998, 0.600259, 0.63021, 0.640908, 0.662017, 0.730538, 0.757119, 0.797867, 0.825667, 0.850794, 0.884296, 0.912269, 0.950005, 1.00032, 1.06617, 1.13202, 1.26372");
-            values ( \
-              "-0.0426516, -0.0728526, -0.165521, -0.230936, -0.278704, -0.325578, -0.334635, -0.336063, -0.335039, -0.331643, -0.318585, -0.298453, -0.288177, -0.26151, -0.159196, -0.126608, -0.0872695, -0.0669067, -0.0523804, -0.0374739, -0.0282396, -0.0192191, -0.0113379, -0.00558561, -0.00273816, -0.000655078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.143304");
-            index_3 ("0.274981, 0.326594, 0.413458, 0.482712, 0.549942, 0.558225, 0.573033, 0.585442, 0.597934, 0.670616, 0.724516, 0.783129, 0.823058, 0.888909, 0.906581, 0.941926, 1.05387, 1.11749, 1.1508, 1.20384, 1.26446, 1.30304, 1.34852, 1.39648, 1.46234, 1.49066, 1.54731, 1.61316, 1.67901, 1.74486, 1.81071, 1.94241, 2.13997");
-            values ( \
-              "-0.115115, -0.117554, -0.216019, -0.291323, -0.360328, -0.363145, -0.362745, -0.360751, -0.35974, -0.35077, -0.343162, -0.332665, -0.323376, -0.301152, -0.292504, -0.270947, -0.186756, -0.144454, -0.125377, -0.0992293, -0.0751205, -0.062703, -0.0505064, -0.0400461, -0.0289704, -0.0251928, -0.0190071, -0.0136504, -0.00979439, -0.00701164, -0.00502253, -0.00256951, -0.000934471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.435579, 0.481113, 0.487334, 0.495628, 0.509309, 0.51622, 0.530042, 0.542561, 0.571852, 0.594145, 0.616283, 0.623149, 0.63688, 0.647691, 0.656422, 0.671554, 0.686575, 0.69783, 0.716362, 0.724032, 0.7304, 0.73579, 0.742242, 0.750844, 0.768049, 0.789621, 0.817455, 0.85491, 0.984223, 1.18178");
-            values ( \
-              "-0.00188885, -0.00387069, -0.00439996, -0.00518709, -0.00671416, -0.00769991, -0.0100696, -0.0132179, -0.0222915, -0.0286298, -0.0343039, -0.0359526, -0.0389352, -0.0410291, -0.0424918, -0.0441482, -0.0432051, -0.0363008, -0.0177684, -0.0119558, -0.00836609, -0.00615972, -0.00426641, -0.00261435, -0.00105536, -0.000459289, -0.000268803, -0.000102166, -1.18444e-05, -1.75104e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00758863");
-            index_3 ("0.437782, 0.491469, 0.509781, 0.529693, 0.556306, 0.604651, 0.633786, 0.65826, 0.679953, 0.699919, 0.718955, 0.737956, 0.752114, 0.778265, 0.792505, 0.804877, 0.813751, 0.8315, 0.856558, 0.859296");
-            values ( \
-              "-0.00265418, -0.00752793, -0.0103287, -0.0144977, -0.0226261, -0.0417273, -0.0520185, -0.0598415, -0.0659273, -0.0705972, -0.0732824, -0.0711601, -0.0591037, -0.0273404, -0.015472, -0.00905053, -0.00605984, -0.00255341, -0.000722473, -0.000675949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158193");
-            index_3 ("0.436682, 0.507671, 0.538503, 0.551478, 0.577426, 0.60823, 0.652987, 0.68219, 0.714579, 0.74347, 0.770169, 0.795654, 0.821106, 0.82766, 0.870819, 0.881126, 0.894737, 0.910728, 0.919692, 0.937618, 0.971409, 1.01026, 1.05879, 1.18739");
-            values ( \
-              "-0.00229134, -0.0137657, -0.0218724, -0.0260912, -0.0365622, -0.050998, -0.0705378, -0.0821348, -0.0938862, -0.103144, -0.11005, -0.11384, -0.108231, -0.102871, -0.0478344, -0.0370394, -0.0257158, -0.0162381, -0.0124202, -0.00706133, -0.00211536, -0.000489682, -9.21935e-05, -2.53875e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.032977");
-            index_3 ("0.448161, 0.50216, 0.534618, 0.564161, 0.58587, 0.705725, 0.758067, 0.80265, 0.842727, 0.879673, 0.915197, 0.951744, 1.00311, 1.02895, 1.05226, 1.08416, 1.10609, 1.13533, 1.18955, 1.21588, 1.23408, 1.25753");
-            values ( \
-              "-0.00598702, -0.0156415, -0.0252506, -0.0359536, -0.0452033, -0.102355, -0.124362, -0.141562, -0.155307, -0.165463, -0.169634, -0.154431, -0.0901508, -0.0617831, -0.0423795, -0.0242345, -0.0161968, -0.00917925, -0.00280643, -0.00163201, -0.00194528, -0.00131133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.068744");
-            index_3 ("0.50172, 0.57072, 0.619451, 0.703407, 0.798558, 0.889436, 0.956078, 0.991008, 1.04326, 1.09404, 1.14469, 1.2106, 1.25178, 1.2921, 1.31789, 1.34121, 1.38701, 1.4131, 1.4653, 1.53115, 1.57915");
-            values ( \
-              "-0.0289226, -0.0428465, -0.0652949, -0.107791, -0.1522, -0.191702, -0.217919, -0.229929, -0.243758, -0.244721, -0.210431, -0.134112, -0.0941651, -0.0641633, -0.0498744, -0.0395473, -0.0248681, -0.0190392, -0.011001, -0.00541763, -0.00340488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.143304");
-            index_3 ("0.554543, 0.64043, 0.800124, 0.931826, 1.0094, 1.12806, 1.19391, 1.21548, 1.22486, 1.23627, 1.28629, 1.32589, 1.36964, 1.43549, 1.52737, 1.59322, 1.61697, 1.65246, 1.69301, 1.75023, 1.80048, 1.85063, 1.91648, 1.96953, 2.00155, 2.06558, 2.13143, 2.19728, 2.26314, 2.39484, 2.59239");
-            values ( \
-              "-0.0786417, -0.0786882, -0.159289, -0.222431, -0.257848, -0.307273, -0.330251, -0.336503, -0.336497, -0.334764, -0.323391, -0.311496, -0.292542, -0.24885, -0.179477, -0.137096, -0.123786, -0.10598, -0.0882176, -0.0676507, -0.0533296, -0.041836, -0.0303081, -0.0233127, -0.0199152, -0.0144224, -0.0103683, -0.00740667, -0.00532304, -0.00272817, -0.000979745" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00358018, 0.00361938, 0.00367183, 0.00375979, 0.00403688, 0.00450312", \
-            "0.00342643, 0.00347103, 0.00353015, 0.0036236, 0.00388466, 0.00436154", \
-            "0.00323873, 0.00329149, 0.00337162, 0.0034952, 0.00370024, 0.00422719", \
-            "0.00310677, 0.00314487, 0.00320926, 0.0033061, 0.00355574, 0.00411916", \
-            "0.00305425, 0.00309325, 0.00316593, 0.00324579, 0.00345019, 0.0039875", \
-            "0.00336578, 0.00334612, 0.0033328, 0.00344626, 0.0036431, 0.00403153" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0164526, 0.0165291, 0.0166285, 0.0167872, 0.0171795, 0.0182361", \
-            "0.0164074, 0.0164982, 0.0165997, 0.0167702, 0.0171781, 0.0182276", \
-            "0.0163526, 0.0164363, 0.0165586, 0.0167498, 0.0171457, 0.0182151", \
-            "0.0162816, 0.0163604, 0.0164843, 0.0166871, 0.0171732, 0.0182086", \
-            "0.0162149, 0.0163254, 0.0164396, 0.0166253, 0.017087, 0.0181619", \
-            "0.016462, 0.0164923, 0.0165663, 0.0168002, 0.0171187, 0.018139" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00296274, 0.00300931, 0.00310956, 0.00327676, 0.00358422, 0.00430779", \
-            "0.0029076, 0.00296991, 0.00307239, 0.00321818, 0.00362729, 0.0042848", \
-            "0.00279101, 0.00287647, 0.00298767, 0.00313843, 0.00346978, 0.00424626", \
-            "0.00271874, 0.00279045, 0.00285949, 0.00302319, 0.00345041, 0.00420782", \
-            "0.00266013, 0.00273523, 0.00285672, 0.00300995, 0.00331303, 0.00410154", \
-            "0.00298774, 0.00298643, 0.00303253, 0.00316049, 0.00347139, 0.00410133" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0175879, 0.017627, 0.0176979, 0.0177443, 0.017874, 0.0184279", \
-            "0.0175118, 0.0175877, 0.0176214, 0.0176894, 0.017887, 0.018415", \
-            "0.0174546, 0.0175145, 0.0175817, 0.0176745, 0.0178255, 0.0183979", \
-            "0.0173764, 0.0174196, 0.0174957, 0.0176136, 0.0177932, 0.0183839", \
-            "0.0173485, 0.0173776, 0.0174769, 0.0175341, 0.0177491, 0.018349", \
-            "0.0176473, 0.0176328, 0.0176415, 0.0176454, 0.0177868, 0.0183577" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00358018, 0.00361938, 0.00367183, 0.00375979, 0.00403688, 0.00450312", \
-            "0.00342643, 0.00347103, 0.00353015, 0.0036236, 0.00388466, 0.00436154", \
-            "0.00323873, 0.00329149, 0.00337162, 0.0034952, 0.00370024, 0.00422719", \
-            "0.00310677, 0.00314487, 0.00320926, 0.0033061, 0.00355574, 0.00411916", \
-            "0.00305425, 0.00309325, 0.00316593, 0.00324579, 0.00345019, 0.0039875", \
-            "0.00336578, 0.00334612, 0.0033328, 0.00344626, 0.0036431, 0.00403153" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0175879, 0.017627, 0.0176979, 0.0177443, 0.017874, 0.0184279", \
-            "0.0175118, 0.0175877, 0.0176214, 0.0176894, 0.017887, 0.018415", \
-            "0.0174546, 0.0175145, 0.0175817, 0.0176745, 0.0178255, 0.0183979", \
-            "0.0173764, 0.0174196, 0.0174957, 0.0176136, 0.0177932, 0.0183839", \
-            "0.0173485, 0.0173776, 0.0174769, 0.0175341, 0.0177491, 0.018349", \
-            "0.0176473, 0.0176328, 0.0176415, 0.0176454, 0.0177868, 0.0183577" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00520447, 0.00524689, 0.00530473, 0.00540233, 0.00557919, 0.00612788", \
-            "0.00509154, 0.0051617, 0.00523308, 0.00532713, 0.00557165, 0.00609579", \
-            "0.00491502, 0.00500239, 0.00510592, 0.00525306, 0.00551002, 0.00604536", \
-            "0.00465165, 0.00473732, 0.00488894, 0.00505583, 0.00536212, 0.0059679", \
-            "0.00439505, 0.00448054, 0.00462299, 0.00491343, 0.00521241, 0.00582014", \
-            "0.00456344, 0.00462109, 0.0047895, 0.00496047, 0.00533255, 0.00578447" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0173489, 0.0174972, 0.0176814, 0.0178868, 0.0181364, 0.0187842", \
-            "0.0172859, 0.0174435, 0.0176432, 0.0178638, 0.0181394, 0.0187818", \
-            "0.017196, 0.0173436, 0.0175581, 0.0178135, 0.0180864, 0.0187657", \
-            "0.0170111, 0.0171702, 0.0174058, 0.0177004, 0.0180462, 0.0187264", \
-            "0.0167351, 0.0168836, 0.0171191, 0.0174725, 0.0178966, 0.0186705", \
-            "0.0168254, 0.0169047, 0.0170552, 0.0172211, 0.0178033, 0.0185611" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00353346, 0.00360415, 0.00368695, 0.00380489, 0.00402882, 0.00459895", \
-            "0.00347272, 0.00357369, 0.00366755, 0.00379552, 0.00404099, 0.00460259", \
-            "0.00335261, 0.00344966, 0.00358856, 0.00374533, 0.00401743, 0.00459545", \
-            "0.00306556, 0.00323491, 0.00341567, 0.00361297, 0.0039428, 0.00454586", \
-            "0.00293193, 0.00303874, 0.00323195, 0.00351972, 0.00385501, 0.0044797", \
-            "0.0031679, 0.00317801, 0.0032603, 0.00348729, 0.00382696, 0.00449878" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0168262, 0.0169529, 0.0170842, 0.0172289, 0.0174001, 0.0179801", \
-            "0.0167069, 0.016856, 0.0170167, 0.0171706, 0.0173781, 0.0179725", \
-            "0.016533, 0.0166868, 0.0168856, 0.0170801, 0.0173141, 0.0179397", \
-            "0.0163573, 0.0165162, 0.0167217, 0.0170117, 0.0173001, 0.0179146", \
-            "0.0164085, 0.0165216, 0.0166565, 0.0169489, 0.017253, 0.0178989", \
-            "0.0169825, 0.0171354, 0.0170848, 0.0171752, 0.0174074, 0.0179757" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00520447, 0.00524689, 0.00530473, 0.00540233, 0.00557919, 0.00612788", \
-            "0.00509154, 0.0051617, 0.00523308, 0.00532713, 0.00557165, 0.00609579", \
-            "0.00491502, 0.00500239, 0.00510592, 0.00525306, 0.00551002, 0.00604536", \
-            "0.00465165, 0.00473732, 0.00488894, 0.00505583, 0.00536212, 0.0059679", \
-            "0.00439505, 0.00448054, 0.00462299, 0.00491343, 0.00521241, 0.00582014", \
-            "0.00456344, 0.00462109, 0.0047895, 0.00496047, 0.00533255, 0.00578447" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0173489, 0.0174972, 0.0176814, 0.0178868, 0.0181364, 0.0187842", \
-            "0.0172859, 0.0174435, 0.0176432, 0.0178638, 0.0181394, 0.0187818", \
-            "0.017196, 0.0173436, 0.0175581, 0.0178135, 0.0180864, 0.0187657", \
-            "0.0170111, 0.0171702, 0.0174058, 0.0177004, 0.0180462, 0.0187264", \
-            "0.0167351, 0.0168836, 0.0171191, 0.0174725, 0.0178966, 0.0186705", \
-            "0.0168254, 0.0169047, 0.0170552, 0.0172211, 0.0178033, 0.0185611" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00552559, 0.00558474, 0.0056866, 0.0058431, 0.00621176, 0.00689601", \
-            "0.00550083, 0.00558024, 0.00567096, 0.00584439, 0.00624113, 0.00691782", \
-            "0.00541893, 0.00550074, 0.00561505, 0.00579236, 0.00621007, 0.00691057", \
-            "0.00540991, 0.00545103, 0.00553411, 0.00570117, 0.00609574, 0.00688499", \
-            "0.00545467, 0.00552173, 0.00558436, 0.0057049, 0.00601716, 0.00681345", \
-            "0.00586681, 0.00586263, 0.00592197, 0.00609159, 0.0062524, 0.0067846" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0150755, 0.0152596, 0.0155106, 0.0157937, 0.0161156, 0.0167689", \
-            "0.0149976, 0.0151916, 0.0154634, 0.015774, 0.0161097, 0.0167619", \
-            "0.0148955, 0.0150908, 0.0153848, 0.0157143, 0.016061, 0.0167513", \
-            "0.0148394, 0.0150138, 0.015287, 0.015644, 0.0160436, 0.016736", \
-            "0.0149517, 0.0150923, 0.0152418, 0.0155928, 0.0160116, 0.0167361", \
-            "0.0158388, 0.0157984, 0.0157944, 0.015935, 0.016179, 0.0168384" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00438027, 0.00446719, 0.00457352, 0.00471772, 0.00499218, 0.00558238", \
-            "0.00433143, 0.00441909, 0.00452494, 0.00466913, 0.00493626, 0.00552025", \
-            "0.00423962, 0.0043269, 0.00447877, 0.00461608, 0.0048883, 0.00546862", \
-            "0.00404338, 0.0041678, 0.00433791, 0.00454529, 0.0048529, 0.0054258", \
-            "0.00401349, 0.0040729, 0.00419499, 0.00438851, 0.00477557, 0.00537162", \
-            "0.00445374, 0.00443513, 0.00445124, 0.00460415, 0.00487294, 0.00542082" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0135662, 0.013647, 0.0137569, 0.0139349, 0.0143842, 0.0154208", \
-            "0.0135052, 0.013589, 0.0137105, 0.0139006, 0.0143699, 0.0154158", \
-            "0.0134231, 0.0135112, 0.0136462, 0.0138617, 0.0143366, 0.0154008", \
-            "0.0134388, 0.0134904, 0.0136213, 0.0138436, 0.014325, 0.0154076", \
-            "0.0135786, 0.013706, 0.0136884, 0.0138492, 0.0142767, 0.015371", \
-            "0.0144337, 0.0144017, 0.0144033, 0.0143826, 0.0146072, 0.0154942" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.00552559, 0.00558474, 0.0056866, 0.0058431, 0.00621176, 0.00689601", \
-            "0.00550083, 0.00558024, 0.00567096, 0.00584439, 0.00624113, 0.00691782", \
-            "0.00541893, 0.00550074, 0.00561505, 0.00579236, 0.00621007, 0.00691057", \
-            "0.00540991, 0.00545103, 0.00553411, 0.00570117, 0.00609574, 0.00688499", \
-            "0.00545467, 0.00552173, 0.00558436, 0.0057049, 0.00601716, 0.00681345", \
-            "0.00586681, 0.00586263, 0.00592197, 0.00609159, 0.0062524, 0.0067846" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00758863, 0.0158193, 0.032977, 0.068744, 0.143304");
-          values ( \
-            "0.0150755, 0.0152596, 0.0155106, 0.0157937, 0.0161156, 0.0167689", \
-            "0.0149976, 0.0151916, 0.0154634, 0.015774, 0.0161097, 0.0167619", \
-            "0.0148955, 0.0150908, 0.0153848, 0.0157143, 0.016061, 0.0167513", \
-            "0.0148394, 0.0150138, 0.015287, 0.015644, 0.0160436, 0.016736", \
-            "0.0149517, 0.0150923, 0.0152418, 0.0155928, 0.0160116, 0.0167361", \
-            "0.0158388, 0.0157984, 0.0157944, 0.015935, 0.016179, 0.0168384" \
-          );
-        }
-      }
-    }
-    pin (CON) {
-      direction : output;
-      function : "(!A * !B) + (!A * !CI) + (!B * !CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0898624;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.128725, 0.144946, 0.175366, 0.232298, 0.339188, 0.541018", \
-            "0.134529, 0.150819, 0.181321, 0.238394, 0.345444, 0.547395", \
-            "0.147885, 0.164163, 0.194742, 0.251956, 0.359162, 0.561301", \
-            "0.17601, 0.192006, 0.222726, 0.279925, 0.387301, 0.58964", \
-            "0.234, 0.252191, 0.284637, 0.341828, 0.449368, 0.651797", \
-            "0.330984, 0.354447, 0.395909, 0.466659, 0.585457, 0.789366" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.137722, 0.159544, 0.20077, 0.279553, 0.429128, 0.714668", \
-            "0.137707, 0.159533, 0.200758, 0.279562, 0.429128, 0.714671", \
-            "0.137609, 0.159467, 0.200746, 0.279555, 0.429129, 0.71469", \
-            "0.139127, 0.160079, 0.200732, 0.279443, 0.429111, 0.71469", \
-            "0.159602, 0.178237, 0.214435, 0.286851, 0.430275, 0.714679", \
-            "0.217096, 0.237128, 0.271394, 0.335532, 0.463223, 0.726942" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0707938, 0.07988, 0.0967291, 0.127995, 0.186341, 0.296018", \
-            "0.0747725, 0.0838939, 0.100765, 0.132033, 0.190411, 0.300058", \
-            "0.0834404, 0.0926028, 0.109553, 0.141042, 0.199427, 0.309187", \
-            "0.101637, 0.111563, 0.129241, 0.160866, 0.219506, 0.329466", \
-            "0.125142, 0.138274, 0.161061, 0.199403, 0.263341, 0.374309", \
-            "0.140758, 0.159345, 0.191588, 0.245189, 0.330561, 0.46406" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0694222, 0.0804055, 0.101604, 0.142167, 0.219788, 0.367714", \
-            "0.0692853, 0.0801748, 0.101496, 0.142144, 0.219587, 0.367494", \
-            "0.0692648, 0.0799992, 0.101267, 0.14203, 0.219502, 0.367401", \
-            "0.0782667, 0.0876312, 0.106717, 0.144613, 0.219735, 0.367354", \
-            "0.106834, 0.117019, 0.13547, 0.169472, 0.235624, 0.372567", \
-            "0.159283, 0.172036, 0.19474, 0.234578, 0.302466, 0.423706" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0507342, 0.0541723, 0.0589632, 0.0657593, 0.0669817, 0.0694266, 0.0743164, 0.0836774, 0.10057, 0.101264, 0.110982, 0.119892, 0.14034, 0.155457, 0.162222, 0.18696, 0.199151, 0.209777, 0.212848, 0.220021, 0.23052, 0.238116, 0.2565, 0.27039, 0.295615, 0.313589, 0.339127, 0.368302, 0.41617, 0.466294, 0.487086");
-            values ( \
-              "0.0358593, 0.0404852, 0.0416506, 0.0401065, 0.0408105, 0.0396712, 0.0397912, 0.037833, 0.0367343, 0.0362979, 0.0352487, 0.0339547, 0.0302516, 0.0296964, 0.030035, 0.0228326, 0.0200978, 0.0171845, 0.0170484, 0.0162342, 0.0148105, 0.0143869, 0.0124566, 0.010694, 0.00689061, 0.00511075, 0.00342181, 0.00215697, 0.000984344, 0.000430273, 0.00032956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0506625, 0.0554567, 0.0568463, 0.0589829, 0.0611074, 0.0653563, 0.0706023, 0.0801385, 0.0902998, 0.110257, 0.111, 0.121407, 0.132844, 0.156564, 0.16883, 0.180702, 0.20489, 0.222454, 0.228245, 0.244228, 0.250039, 0.268596, 0.279496, 0.290665, 0.307113, 0.316431, 0.342573, 0.355898, 0.370067, 0.407029, 0.440361, 0.464779, 0.507632, 0.579911, 0.66401");
-            values ( \
-              "0.0377615, 0.066232, 0.0656687, 0.0666051, 0.0657212, 0.0660445, 0.064498, 0.0636014, 0.0611625, 0.0591309, 0.0586475, 0.0572173, 0.055139, 0.0499265, 0.0490584, 0.0501042, 0.0405919, 0.0347134, 0.0315648, 0.0244601, 0.0236572, 0.0236994, 0.0224229, 0.020673, 0.0175653, 0.0154507, 0.0104921, 0.00862783, 0.0070403, 0.00416169, 0.00258711, 0.00192101, 0.000938468, 0.000373703, 2.67115e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0505175, 0.0573086, 0.0633976, 0.0646109, 0.0670376, 0.0718908, 0.0768797, 0.0868574, 0.102314, 0.127998, 0.141866, 0.186931, 0.213268, 0.253864, 0.282934, 0.292165, 0.35056, 0.406844, 0.451622, 0.501299, 0.575354, 0.632328, 0.665114");
-            values ( \
-              "0.0421689, 0.0971839, 0.0970509, 0.0977066, 0.0964976, 0.0965787, 0.0948026, 0.0937476, 0.0903361, 0.0873681, 0.0849385, 0.0753214, 0.0748392, 0.0600714, 0.044579, 0.0420233, 0.030866, 0.0186365, 0.0112267, 0.00638156, 0.00277057, 0.00139617, 0.00114734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0505495, 0.060334, 0.0707207, 0.105948, 0.162408, 0.243951, 0.252731, 0.282834, 0.311755, 0.350686, 0.404321, 0.4407, 0.534309, 0.604184, 0.668564, 0.761897, 0.917458, 1.08565");
-            values ( \
-              "0.0539626, 0.129737, 0.129616, 0.123111, 0.116894, 0.103134, 0.104145, 0.0992541, 0.091593, 0.0720171, 0.0604504, 0.0479843, 0.0268141, 0.0157876, 0.00929197, 0.00411016, 0.000768354, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0546489, 0.0546689, 0.165756, 0.209432, 0.224671, 0.254521, 0.286083, 0.31718, 0.355943, 0.411701, 0.449574, 0.477132, 0.513922, 0.531883, 0.670968, 0.728864, 0.817097, 0.86831, 0.923533, 1.0374, 1.12091, 1.20501, 1.2891, 1.43501");
-            values ( \
-              "1e-22, 0.160239, 0.146821, 0.14385, 0.141672, 0.13942, 0.134706, 0.131171, 0.128252, 0.120764, 0.110549, 0.101251, 0.0965312, 0.0925518, 0.0557832, 0.0430257, 0.0297187, 0.0233708, 0.0178985, 0.0100152, 0.00645602, 0.00422389, 0.00258654, 0.00121735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0600822, 0.0601022, 0.187715, 0.341737, 0.511756, 0.542834, 0.581242, 0.618785, 0.705132, 0.723162, 0.768609, 1.02492, 1.17869, 1.32123, 1.44383, 1.59722, 1.7438, 1.912, 2.24839, 2.75299");
-            values ( \
-              "1e-22, 0.19334, 0.168984, 0.161147, 0.148303, 0.147574, 0.142951, 0.140866, 0.126089, 0.126778, 0.12183, 0.0733896, 0.0492791, 0.033688, 0.0238253, 0.0150267, 0.00945393, 0.00541526, 0.0015773, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.068192, 0.073836, 0.0786872, 0.0867397, 0.103437, 0.130205, 0.139641, 0.160087, 0.175065, 0.181969, 0.206704, 0.218893, 0.229513, 0.232588, 0.239771, 0.250234, 0.257828, 0.276203, 0.290132, 0.315349, 0.33333, 0.358869, 0.388039, 0.435896, 0.486004, 0.507096");
-            values ( \
-              "0.00639897, 0.0401095, 0.0409958, 0.0404096, 0.0381632, 0.0353244, 0.0339545, 0.0302483, 0.0296999, 0.0300472, 0.0228353, 0.0200975, 0.0171864, 0.017048, 0.0162328, 0.0148148, 0.0143888, 0.0124609, 0.0106931, 0.0068929, 0.00511215, 0.00342298, 0.0021582, 0.000985335, 0.000429844, 0.000328051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0680762, 0.0751147, 0.0808351, 0.0903517, 0.110055, 0.151706, 0.176318, 0.187824, 0.199749, 0.223959, 0.242199, 0.248605, 0.267059, 0.269672, 0.274898, 0.283829, 0.294651, 0.306055, 0.353697, 0.375832, 0.391543, 0.407689, 0.441829, 0.505352, 0.561679, 0.641448, 0.725547");
-            values ( \
-              "0.00617785, 0.0646096, 0.0660197, 0.0649529, 0.0614481, 0.0554272, 0.0499383, 0.0490563, 0.0502483, 0.0408187, 0.0346935, 0.0312095, 0.0236575, 0.0238088, 0.0235685, 0.0238745, 0.0229975, 0.0214755, 0.0119695, 0.00861538, 0.00696806, 0.0054624, 0.00336494, 0.00131814, 0.000635684, 0.000111075, 9.43967e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0720171, 0.0771909, 0.0810842, 0.0906451, 0.122274, 0.160979, 0.176796, 0.206822, 0.211156, 0.219825, 0.229057, 0.238411, 0.269941, 0.30259, 0.311937, 0.322317, 0.346431, 0.3743, 0.42773, 0.450801, 0.471003, 0.493235, 0.535414, 0.596369, 0.654582, 0.728745, 0.812844");
-            values ( \
-              "0.0870579, 0.0958625, 0.09687, 0.0962831, 0.090652, 0.0850771, 0.082071, 0.0753487, 0.075031, 0.0754996, 0.0753694, 0.072838, 0.0616797, 0.0447064, 0.0420296, 0.04031, 0.0350513, 0.0300858, 0.0184001, 0.0142416, 0.0113448, 0.00877781, 0.00543498, 0.00270683, 0.00138683, 0.000541167, 0.000215924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0719963, 0.0802757, 0.0874814, 0.125937, 0.182417, 0.218533, 0.262681, 0.271965, 0.280711, 0.29409, 0.33501, 0.372152, 0.408521, 0.42441, 0.481712, 0.523082, 0.575247, 0.611931, 0.649595, 0.688859, 0.744597, 0.780985, 0.852264, 0.936362, 1.10456");
-            values ( \
-              "0.0885337, 0.128425, 0.129241, 0.123399, 0.116584, 0.111149, 0.10328, 0.103586, 0.10297, 0.100771, 0.0899664, 0.0719349, 0.0641952, 0.0600093, 0.0421687, 0.0332563, 0.0233797, 0.0177829, 0.0132597, 0.00977495, 0.00615011, 0.00464745, 0.00248178, 0.00128116, 0.000342839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0764737, 0.0764937, 0.156759, 0.229785, 0.27464, 0.337297, 0.37606, 0.437839, 0.469523, 0.496794, 0.53417, 0.552213, 0.691124, 0.74902, 0.800135, 0.888717, 0.943079, 0.989776, 1.05731, 1.14139, 1.22549, 1.30959, 1.47778, 1.73008");
-            values ( \
-              "1e-22, 0.167168, 0.149843, 0.143505, 0.139092, 0.130842, 0.128248, 0.11973, 0.110598, 0.101378, 0.0964966, 0.092509, 0.0557716, 0.0430206, 0.0348255, 0.0233423, 0.0179527, 0.0143289, 0.0100293, 0.00644684, 0.00421198, 0.00258492, 0.00100967, 0.000334465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0762208, 0.0762408, 0.206893, 0.361782, 0.634286, 0.725397, 0.743444, 0.788785, 1.05639, 1.20594, 1.33577, 1.46372, 1.63172, 1.76463, 1.93282, 2.26922, 2.32667");
-            values ( \
-              "1e-22, 0.182298, 0.16935, 0.160822, 0.141049, 0.126441, 0.126393, 0.121441, 0.0717727, 0.0486886, 0.0347619, 0.0241903, 0.0147487, 0.00981087, 0.00577954, 0.0019484, 0.00176515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.11265, 0.116928, 0.122347, 0.126783, 0.130843, 0.138965, 0.173624, 0.183825, 0.204262, 0.214906, 0.225771, 0.248633, 0.27363, 0.283875, 0.294543, 0.302189, 0.320701, 0.334269, 0.359575, 0.377461, 0.402974, 0.432162, 0.479913, 0.530384, 0.558424");
-            values ( \
-              "0.0264294, 0.034909, 0.0375567, 0.0388076, 0.0392418, 0.0391686, 0.0354705, 0.0339786, 0.03026, 0.0295168, 0.0305027, 0.023469, 0.0171967, 0.0162459, 0.0147796, 0.0143931, 0.0124381, 0.0107181, 0.00685637, 0.00508705, 0.00339959, 0.00213411, 0.000964833, 0.000450205, 0.000300492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.114895, 0.129864, 0.134599, 0.143803, 0.18526, 0.196875, 0.220591, 0.23289, 0.244635, 0.26906, 0.285676, 0.294257, 0.306433, 0.314031, 0.322357, 0.332432, 0.343059, 0.365932, 0.392968, 0.40803, 0.419956, 0.432273, 0.448696, 0.467485, 0.485826, 0.509008, 0.539918, 0.58856, 0.667734, 0.751832");
-            values ( \
-              "0.0629563, 0.063236, 0.0637156, 0.063216, 0.0572949, 0.0551756, 0.0498999, 0.0491282, 0.0500835, 0.0405464, 0.0350873, 0.0307065, 0.0252153, 0.0234449, 0.0238289, 0.0235753, 0.0225945, 0.0184925, 0.0129609, 0.0103296, 0.00867051, 0.00726798, 0.00578871, 0.00442003, 0.00341501, 0.00245728, 0.00160421, 0.000752435, 0.000233045, 3.87266e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.114653, 0.124769, 0.128439, 0.134904, 0.141008, 0.205635, 0.220989, 0.251047, 0.266526, 0.279651, 0.314476, 0.347175, 0.35635, 0.367404, 0.378621, 0.420431, 0.485565, 0.518341, 0.540186, 0.566598, 0.605373, 0.634148, 0.683444, 0.755348, 0.759944");
-            values ( \
-              "0.0760443, 0.0901562, 0.0926596, 0.0944552, 0.094635, 0.0849809, 0.0821049, 0.0753699, 0.0752887, 0.0742824, 0.061551, 0.0445284, 0.0420261, 0.0401168, 0.0373651, 0.0296842, 0.0158288, 0.0109334, 0.00853321, 0.00632327, 0.00407311, 0.00294292, 0.00164446, 0.000700494, 0.000684007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.118011, 0.118031, 0.226889, 0.305506, 0.314461, 0.339179, 0.376409, 0.416757, 0.453105, 0.526227, 0.597926, 0.668914, 0.733131, 0.825675, 0.954782");
-            values ( \
-              "1e-22, 0.131562, 0.116454, 0.103428, 0.103802, 0.100724, 0.0911165, 0.0718128, 0.0642546, 0.0422398, 0.0274161, 0.0161918, 0.00972065, 0.00457015, 0.00177855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.120641, 0.120661, 0.195175, 0.289252, 0.319079, 0.381762, 0.420524, 0.482304, 0.514051, 0.541418, 0.551934, 0.578592, 0.596607, 0.735574, 0.793475, 0.844516, 0.933067, 0.987753, 1.03437, 1.10184, 1.18569, 1.26979, 1.35389, 1.52208, 1.77438");
-            values ( \
-              "1e-22, 0.166686, 0.150387, 0.142067, 0.139024, 0.13077, 0.128253, 0.119733, 0.110579, 0.101333, 0.100309, 0.0965101, 0.0925218, 0.0557784, 0.0430203, 0.0348385, 0.0233553, 0.0179346, 0.0143195, 0.0100263, 0.00645281, 0.00421563, 0.00258744, 0.00101075, 0.000334629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.120428, 0.120448, 0.270129, 0.454822, 0.561482, 0.606185, 0.680996, 0.753734, 0.790235, 0.835314, 1.10657, 1.25649, 1.3667, 1.50791, 1.72795, 1.98874, 2.24104, 2.3129");
-            values ( \
-              "1e-22, 0.180477, 0.16843, 0.15752, 0.149016, 0.147645, 0.140894, 0.128371, 0.126071, 0.120956, 0.0708469, 0.0479439, 0.036028, 0.0242369, 0.0126131, 0.00569546, 0.00249427, 0.00204434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.187779, 0.199749, 0.208494, 0.227796, 0.235315, 0.248656, 0.252805, 0.261225, 0.269625, 0.278596, 0.30498, 0.313528, 0.322092, 0.367876, 0.386929, 0.412279, 0.458949, 0.480028, 0.492574, 0.524946, 0.562679, 0.605803, 0.670737, 0.747394");
-            values ( \
-              "0.0017593, 0.0201591, 0.0246362, 0.0302962, 0.0315043, 0.0329258, 0.0349984, 0.0354316, 0.0353487, 0.0343471, 0.0296949, 0.0303058, 0.0300735, 0.0175279, 0.0151468, 0.01291, 0.0065006, 0.00463528, 0.00378898, 0.00227388, 0.00125089, 0.000585311, 0.000190779, 3.3661e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.187791, 0.201784, 0.207589, 0.219425, 0.225475, 0.237577, 0.24869, 0.24943, 0.25091, 0.253869, 0.256347, 0.261302, 0.268798, 0.270885, 0.27506, 0.283411, 0.29263, 0.308766, 0.316276, 0.328531, 0.340392, 0.34136, 0.343295, 0.347165, 0.352362, 0.360175, 0.364524, 0.369368, 0.369943, 0.382117, 0.403872, 0.409694, 0.428179, 0.4391, 0.46673, 0.502305, 0.529511, 0.566504, 0.600075, 0.624686, 0.668139, 0.740653, 0.824751");
-            values ( \
-              "0.00185866, 0.0337572, 0.0387479, 0.0456605, 0.0481479, 0.0518234, 0.0538753, 0.058794, 0.0546617, 0.0594889, 0.0555103, 0.0607367, 0.0564692, 0.060862, 0.0560114, 0.0593858, 0.0531447, 0.0538493, 0.047757, 0.051477, 0.0478471, 0.0517362, 0.0465134, 0.0494477, 0.04314, 0.0444786, 0.0384262, 0.041323, 0.0389039, 0.0347361, 0.0245072, 0.0236466, 0.0236826, 0.0224759, 0.0175316, 0.0105125, 0.00709703, 0.00420883, 0.00262149, 0.00187641, 0.000962518, 0.000330777, 6.25884e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.187958, 0.205203, 0.217146, 0.227101, 0.233829, 0.248861, 0.250878, 0.254207, 0.261604, 0.268579, 0.276551, 0.289312, 0.307862, 0.347175, 0.360806, 0.374334, 0.410316, 0.439054, 0.447945, 0.474108, 0.515372, 0.563072, 0.595685, 0.625849, 0.672888, 0.691695, 0.761996, 0.910836, 0.994934");
-            values ( \
-              "0.00179572, 0.0530426, 0.0657009, 0.071937, 0.0755623, 0.0809047, 0.0848077, 0.0862268, 0.0882895, 0.089062, 0.0887839, 0.0872162, 0.0841178, 0.0753605, 0.0752874, 0.074713, 0.0616862, 0.0464537, 0.0430167, 0.0375068, 0.0299787, 0.0193521, 0.0134101, 0.00953116, 0.0055598, 0.00448303, 0.00195197, 0.000258252, 0.000193361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.187882, 0.210222, 0.211968, 0.225635, 0.237907, 0.248795, 0.251355, 0.254495, 0.262066, 0.269359, 0.277249, 0.285023, 0.322751, 0.362278, 0.383961, 0.403421, 0.409863, 0.417224, 0.430405, 0.445182, 0.472091, 0.49668, 0.511351, 0.522286, 0.534407, 0.547292, 0.563396, 0.603754, 0.627183, 0.663504, 0.71427, 0.752134, 0.791971, 0.832998, 0.858765, 0.883374, 0.916187, 0.981811, 1.06556, 1.14966, 1.23375");
-            values ( \
-              "0.00268555, 0.0777022, 0.0805913, 0.0951651, 0.10389, 0.109462, 0.114723, 0.116899, 0.120334, 0.121947, 0.122113, 0.121386, 0.116563, 0.110553, 0.106309, 0.103305, 0.103703, 0.103358, 0.101598, 0.0982019, 0.0911403, 0.0798452, 0.0723266, 0.0694475, 0.0673586, 0.0646198, 0.0603513, 0.0475715, 0.0409277, 0.0332664, 0.0236385, 0.0178306, 0.0130754, 0.00944617, 0.00763701, 0.00625774, 0.00481465, 0.00275688, 0.00137459, 0.00064921, 0.000341691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.198212, 0.217819, 0.230486, 0.248788, 0.253671, 0.259489, 0.26963, 0.278975, 0.292441, 0.385403, 0.450874, 0.475058, 0.503827, 0.511704, 0.575328, 0.616934, 0.631588, 0.64484, 0.659477, 0.676489, 0.695136, 0.832304, 0.890028, 0.940441, 0.977763, 1.02912, 1.08405, 1.13061, 1.19803, 1.2817, 1.3658, 1.4499, 1.53399, 1.70219, 1.87039");
-            values ( \
-              "0.0674102, 0.106537, 0.120199, 0.134048, 0.142193, 0.146534, 0.150705, 0.151467, 0.150705, 0.142094, 0.134711, 0.131278, 0.129024, 0.128806, 0.120296, 0.10859, 0.10323, 0.100318, 0.0988946, 0.0960374, 0.0920972, 0.0556008, 0.0429833, 0.0349275, 0.0296747, 0.0234185, 0.017974, 0.0142608, 0.0100769, 0.00651009, 0.00416621, 0.00264193, 0.00168986, 0.000686728, 0.000282381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.211221, 0.252795, 0.269898, 0.278759, 0.292209, 0.503071, 0.658156, 0.702666, 0.737234, 0.774921, 0.850651, 0.868418, 0.886879, 0.931815, 1.20325, 1.35315, 1.46333, 1.54743, 1.62399, 1.74053, 1.82463, 1.93652, 2.0853, 2.2535, 2.4217, 2.5289");
-            values ( \
-              "0.160166, 0.160557, 0.172132, 0.173356, 0.173106, 0.160757, 0.148982, 0.147688, 0.143709, 0.141206, 0.128418, 0.126496, 0.126151, 0.12106, 0.0709097, 0.0480104, 0.0359658, 0.0285199, 0.0229883, 0.0163272, 0.0126702, 0.00898197, 0.00564223, 0.00331367, 0.00193892, 0.00160837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.350945, 0.382088, 0.420076, 0.434216, 0.460443, 0.48472, 0.508373, 0.513843, 0.520095, 0.529543, 0.540386, 0.550208, 0.55264, 0.563385, 0.578294, 0.583265, 0.593824, 0.618157, 0.636513, 0.66809, 0.678391, 0.698165, 0.731291, 0.758211, 0.778134, 0.81798, 0.883364, 0.961946");
-            values ( \
-              "0.00724121, 0.0139735, 0.0211182, 0.023387, 0.026608, 0.0274337, 0.0261863, 0.0266493, 0.0276977, 0.0277834, 0.025956, 0.02384, 0.0240802, 0.0220591, 0.018013, 0.0177026, 0.0161406, 0.0138365, 0.0114406, 0.00680404, 0.0057338, 0.00419193, 0.00248659, 0.00160388, 0.00119398, 0.000575371, 0.00020102, 1.97242e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.350788, 0.368884, 0.386829, 0.409454, 0.44416, 0.473166, 0.500191, 0.52611, 0.542847, 0.550132, 0.553908, 0.580334, 0.59178, 0.615901, 0.622559, 0.631246, 0.641255, 0.652564, 0.70758, 0.728049, 0.75596, 0.790048, 0.845906, 0.901106, 0.979626, 1.06372");
-            values ( \
-              "0.00976047, 0.017768, 0.0239935, 0.0310421, 0.0404503, 0.0453837, 0.0467695, 0.0451141, 0.0477279, 0.0470903, 0.0474867, 0.0400064, 0.0359554, 0.0248278, 0.0242423, 0.0242947, 0.0239936, 0.0224656, 0.0116142, 0.00859449, 0.00577552, 0.00356521, 0.0015926, 0.000750127, 0.00016286, 9.1717e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.350665, 0.373922, 0.394851, 0.441625, 0.461261, 0.49542, 0.527608, 0.550016, 0.573695, 0.586261, 0.619575, 0.655705, 0.773987, 0.823491, 0.868396, 0.891625, 0.965814, 1.02712, 1.07186");
-            values ( \
-              "0.0118669, 0.0288162, 0.0391324, 0.0593415, 0.0658425, 0.0725522, 0.0743534, 0.0730974, 0.0761895, 0.0747438, 0.0629836, 0.0445164, 0.0197135, 0.0112883, 0.00677361, 0.00520152, 0.00226894, 0.00104544, 0.00079397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.367462, 0.408074, 0.440198, 0.469333, 0.490142, 0.533845, 0.550049, 0.558974, 0.572199, 0.574844, 0.580134, 0.608598, 0.63318, 0.646704, 0.676176, 0.68437, 0.725247, 0.761505, 0.794054, 0.812716, 0.834483, 0.87586, 0.906156, 0.928039, 0.958427, 0.977228, 1.0023, 1.04164, 1.07913, 1.13368, 1.20351, 1.2876, 1.3717, 1.4558");
-            values ( \
-              "0.0502587, 0.0602782, 0.0791753, 0.0923587, 0.0988407, 0.106802, 0.10818, 0.110565, 0.110543, 0.110331, 0.109682, 0.104203, 0.103241, 0.100879, 0.0935911, 0.0912148, 0.0717508, 0.0642611, 0.0548628, 0.0486517, 0.0422609, 0.03334, 0.02743, 0.0234529, 0.0187301, 0.0161947, 0.013335, 0.00970957, 0.00716996, 0.0045886, 0.00257902, 0.00123596, 0.000628821, 0.000284959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.367387, 0.39463, 0.428468, 0.470516, 0.512535, 0.549977, 0.558254, 0.56898, 0.578408, 0.597265, 0.623521, 0.70016, 0.72643, 0.791, 0.832151, 0.848356, 0.88791, 0.906268, 1.04452, 1.10238, 1.15388, 1.1913, 1.2427, 1.29487, 1.34207, 1.41001, 1.49551, 1.57961, 1.66371, 1.83191, 2.0842");
-            values ( \
-              "0.0625522, 0.0626081, 0.0883866, 0.11439, 0.130033, 0.138181, 0.141881, 0.143568, 0.143828, 0.142519, 0.139608, 0.129764, 0.128767, 0.119757, 0.10771, 0.101901, 0.0963401, 0.092369, 0.0557172, 0.0430253, 0.034757, 0.029603, 0.0232674, 0.018089, 0.0143943, 0.0100631, 0.00642176, 0.00418753, 0.00257762, 0.00100963, 0.000328014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.367408, 0.45928, 0.517812, 0.562821, 0.578703, 0.594072, 0.715729, 0.871155, 0.91198, 0.991707, 1.06306, 1.0807, 1.09908, 1.1441, 1.40375, 1.55384, 1.70352, 1.81785, 1.95697, 2.04107, 2.14737, 2.29232, 2.46052, 2.62872, 2.70784");
-            values ( \
-              "0.0544294, 0.123192, 0.1512, 0.165727, 0.168226, 0.168056, 0.160688, 0.14898, 0.147898, 0.140664, 0.128498, 0.126476, 0.12622, 0.121158, 0.0730424, 0.0496241, 0.0333625, 0.0242485, 0.0161283, 0.0125326, 0.00901197, 0.00572333, 0.00335387, 0.00195432, 0.0017059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.660721, 0.704214, 0.73875, 0.809966, 0.869682, 0.906303, 0.910139, 0.925482, 0.938858, 0.953923, 0.96749, 0.970071, 0.975232, 0.990429, 0.996206, 1.00452, 1.0085, 1.0126, 1.01607, 1.02003, 1.02471, 1.03159, 1.04619, 1.05612, 1.06532, 1.0745, 1.09978, 1.12476, 1.14862, 1.17499, 1.2143, 1.21577, 1.21871, 1.2246, 1.25568, 1.28193, 1.32087, 1.39092, 1.47502");
-            values ( \
-              "0.00144919, 0.00457798, 0.00690766, 0.013129, 0.0176697, 0.0193686, 0.019451, 0.0193252, 0.019807, 0.0218195, 0.0205511, 0.0205798, 0.020003, 0.0187925, 0.0185325, 0.0173962, 0.0163832, 0.0159653, 0.0160646, 0.0160961, 0.0158982, 0.0152584, 0.0147418, 0.014141, 0.0133543, 0.0123861, 0.00886473, 0.00635557, 0.0046019, 0.0031591, 0.00173187, 0.00173076, 0.00195467, 0.00176836, 0.00113292, 0.000729576, 0.000383444, 0.000104758, 2.59162e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.686632, 0.745713, 0.80792, 0.887623, 0.927611, 0.951376, 0.962218, 0.977835, 1.02622, 1.06345, 1.07216, 1.08107, 1.09239, 1.16733, 1.21566, 1.29077, 1.34032, 1.41054, 1.49464");
-            values ( \
-              "0.0088808, 0.0121768, 0.0210126, 0.0309409, 0.0341002, 0.0342942, 0.0350756, 0.0377845, 0.0325779, 0.0235341, 0.024386, 0.0244188, 0.0238817, 0.0110559, 0.00596431, 0.00238052, 0.00114424, 0.000387556, 9.99284e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.686653, 0.744122, 0.837507, 0.918892, 0.964169, 0.990797, 1.00375, 1.01971, 1.03655, 1.06423, 1.07318, 1.10471, 1.12656, 1.17562, 1.25429, 1.3005, 1.33059, 1.35197, 1.40349, 1.46237, 1.54433, 1.62843");
-            values ( \
-              "0.013131, 0.0180067, 0.0370521, 0.0518199, 0.0567348, 0.0571941, 0.0584174, 0.0609434, 0.059991, 0.0565248, 0.0545097, 0.0435106, 0.0406538, 0.0327558, 0.0169024, 0.0101533, 0.00724949, 0.00569715, 0.00318281, 0.00158851, 0.000584062, 0.000207121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.68728, 0.731604, 0.91086, 0.970728, 1.02396, 1.05068, 1.09716, 1.13541, 1.14425, 1.19077, 1.22698, 1.28851, 1.36818, 1.42396, 1.48614, 1.54924, 1.64693, 1.72935, 1.74742");
-            values ( \
-              "0.0186433, 0.0218615, 0.0687035, 0.0812607, 0.0869889, 0.0875485, 0.0907624, 0.0871949, 0.0856918, 0.0700533, 0.0655698, 0.0465352, 0.0287546, 0.0191915, 0.0118031, 0.00709024, 0.00315935, 0.00158981, 0.00141079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.712844, 0.785095, 0.924303, 0.974792, 1.05235, 1.12371, 1.15942, 1.19332, 1.22988, 1.25837, 1.31278, 1.3784, 1.57251, 1.65949, 1.76781, 1.88078, 2.04703, 2.13575");
-            values ( \
-              "0.0389065, 0.0432876, 0.0889467, 0.102804, 0.116911, 0.121835, 0.122141, 0.123859, 0.123463, 0.120303, 0.103676, 0.0919997, 0.0430147, 0.029781, 0.017822, 0.0100302, 0.00420942, 0.00309843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.713025, 0.783589, 0.917265, 1.00684, 1.07516, 1.15926, 1.23555, 1.258, 1.34371, 1.37018, 1.46171, 1.53687, 1.57412, 1.61871, 1.88652, 2.03637, 2.14645, 2.30723, 2.5081, 2.61959, 2.76809, 3.08645");
-            values ( \
-              "0.0433049, 0.04845, 0.0989229, 0.127014, 0.140534, 0.151319, 0.156353, 0.155967, 0.149117, 0.148511, 0.140725, 0.127911, 0.125797, 0.120424, 0.0709124, 0.0480175, 0.0359735, 0.0229866, 0.0126607, 0.00898589, 0.00564952, 0.00213981" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0125363, 0.0125482, 0.0125616, 0.0125742, 0.012584, 0.0125906", \
-            "0.0167199, 0.0167316, 0.0167465, 0.0167618, 0.0167747, 0.016784", \
-            "0.0197988, 0.0198081, 0.0198215, 0.0198375, 0.0198527, 0.0198645", \
-            "0.0214866, 0.0214886, 0.0214933, 0.0215017, 0.0215122, 0.0215222", \
-            "0.0222995, 0.0222862, 0.0222695, 0.0222527, 0.0222407, 0.0222344", \
-            "0.0227842, 0.0227628, 0.0227303, 0.0226877, 0.0226406, 0.0226007" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0206655, 0.0206795, 0.0206976, 0.0207165, 0.0207324, 0.020744", \
-            "0.0227402, 0.0227315, 0.0227263, 0.022727, 0.0227316, 0.0227368", \
-            "0.0215329, 0.0214703, 0.0214026, 0.0213416, 0.0212698, 0.0212335", \
-            "0.0204195, 0.0203202, 0.0201861, 0.0200424, 0.0199124, 0.0198025", \
-            "0.020659, 0.020419, 0.0201125, 0.0198141, 0.0195462, 0.0193424", \
-            "0.0200063, 0.0200481, 0.0201062, 0.0202318, 0.0198503, 0.0194313" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0267918, 0.0289206, 0.0319449, 0.040231, 0.04867, 0.0543664, 0.0600846, 0.0714517, 0.0823833, 0.0929889, 0.104271, 0.121528, 0.141292, 0.163139, 0.180519, 0.193882, 0.202931, 0.218602, 0.224796, 0.23028, 0.237487, 0.244418, 0.252719, 0.262658, 0.324507, 0.338625");
-            values ( \
-              "-0.055791, -0.0675482, -0.0627188, -0.0579133, -0.0572444, -0.0576237, -0.0571654, -0.0582147, -0.0615748, -0.0623122, -0.054442, -0.0356288, -0.0184001, -0.00744906, -0.0047245, -0.0031135, -0.00322936, -0.00225172, -0.0013744, -0.00155681, -0.0010654, -0.001489, -0.00110747, -0.00147766, -0.000312691, -0.000288862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0267845, 0.0297068, 0.0362662, 0.0438878, 0.065665, 0.0787931, 0.0914697, 0.103852, 0.121374, 0.142826, 0.159253, 0.19777, 0.205291, 0.215703, 0.227195, 0.239242, 0.267914, 0.33925");
-            values ( \
-              "-0.0619771, -0.108589, -0.0985699, -0.0954611, -0.0945709, -0.0955603, -0.100339, -0.101007, -0.0834982, -0.0511363, -0.0316721, -0.00643588, -0.00497396, -0.00442217, -0.00537009, -0.0050769, -0.00288567, -0.00115514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0267419, 0.0308677, 0.0391157, 0.0483948, 0.0758701, 0.0922694, 0.108286, 0.124063, 0.142663, 0.173213, 0.192676, 0.222007, 0.236912, 0.255654, 0.371144, 0.411755");
-            values ( \
-              "-0.0696767, -0.15941, -0.148467, -0.145648, -0.144168, -0.144501, -0.150379, -0.149506, -0.130317, -0.0772588, -0.0505736, -0.0228472, -0.0138648, -0.00970116, -0.00268839, -0.00187109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0285429, 0.0305572, 0.0391575, 0.0481896, 0.0501448, 0.0540552, 0.061876, 0.0924882, 0.0947072, 0.099145, 0.108021, 0.117271, 0.13577, 0.139669, 0.147466, 0.16179, 0.18038, 0.185511, 0.230141, 0.26933, 0.278863, 0.288102, 0.315152, 0.350243, 0.385366, 0.395222, 0.43119, 0.480972, 0.540026, 0.630256, 0.739641");
-            values ( \
-              "-0.21407, -0.215935, -0.206001, -0.202803, -0.203139, -0.202235, -0.202415, -0.200221, -0.199383, -0.199488, -0.19835, -0.199021, -0.201951, -0.203721, -0.203747, -0.199782, -0.183476, -0.177264, -0.10615, -0.0552083, -0.0449922, -0.038092, -0.0279, -0.0181257, -0.0102412, -0.00866629, -0.00511414, -0.00310664, -0.00173833, -0.000533087, -8.13736e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0289403, 0.0328563, 0.0454023, 0.0806736, 0.150493, 0.189753, 0.197977, 0.214425, 0.232469, 0.255686, 0.269598, 0.378188, 0.446667, 0.513214, 0.556346, 0.615456, 0.702764, 0.778787");
-            values ( \
-              "-0.255707, -0.261284, -0.256335, -0.254878, -0.247912, -0.248387, -0.249932, -0.247725, -0.242212, -0.227394, -0.213418, -0.0845615, -0.0486393, -0.0251987, -0.015361, -0.00715131, -0.00292278, -0.00205233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0292825, 0.0305189, 0.0357447, 0.039162, 0.0402543, 0.0424387, 0.0468077, 0.0536454, 0.0565866, 0.105152, 0.247387, 0.307683, 0.330866, 0.369797, 0.38568, 0.406027, 0.428642, 0.461502, 0.498028, 0.520533, 0.533473, 0.629991, 0.685658, 0.729926, 0.769525, 0.822325, 0.891902, 0.936941, 0.991633, 1.10102, 1.2104, 1.31979, 1.64794");
-            values ( \
-              "-0.285008, -0.292176, -0.297381, -0.296909, -0.297454, -0.296932, -0.297481, -0.297118, -0.297638, -0.295945, -0.284709, -0.282914, -0.280338, -0.270886, -0.264523, -0.25386, -0.238902, -0.213508, -0.181775, -0.159506, -0.150243, -0.0965053, -0.0705434, -0.0543467, -0.0426203, -0.0302483, -0.0190912, -0.0142836, -0.00947778, -0.00446444, -0.00237233, -0.00139435, -0.000312502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0422841, 0.0461411, 0.0509129, 0.051599, 0.0533313, 0.0549188, 0.0592955, 0.0628201, 0.066566, 0.0779339, 0.0871484, 0.0936244, 0.100225, 0.110796, 0.121352, 0.122063, 0.126329, 0.143756, 0.152777, 0.158932, 0.173359, 0.180674, 0.188433, 0.202701, 0.209635, 0.227544, 0.234284, 0.24041, 0.254465, 0.261078, 0.278175, 0.334751, 0.405351, 0.510682");
-            values ( \
-              "-0.0222741, -0.0457613, -0.0525402, -0.0619005, -0.0627663, -0.0624574, -0.0598433, -0.0586129, -0.0579561, -0.0574476, -0.0578386, -0.0594017, -0.0619214, -0.0622235, -0.0545793, -0.054398, -0.0505104, -0.0313405, -0.0231728, -0.0186925, -0.0104914, -0.00767526, -0.00636358, -0.00426266, -0.00339404, -0.00276089, -0.00233414, -0.00164494, -0.00120786, -0.00131106, -0.00131375, -0.000556993, -9.94947e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0419557, 0.0541142, 0.0690413, 0.0701666, 0.0832527, 0.0999879, 0.10726, 0.109044, 0.112612, 0.119747, 0.121321, 0.124471, 0.158036, 0.164855, 0.212586, 0.219203, 0.227319, 0.238127, 0.24969, 0.261453, 0.270495, 0.27597, 0.276449");
-            values ( \
-              "-0.0257938, -0.113946, -0.084858, -0.106288, -0.0842497, -0.107263, -0.0894537, -0.111068, -0.0913314, -0.111615, -0.0902805, -0.10918, -0.0441653, -0.0549441, -1e-22, -0.0145814, -1e-22, -0.0140425, -1e-22, -0.0141348, -1e-22, -0.0127395, -0.0121821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0423384, 0.0486911, 0.052094, 0.0552737, 0.0658016, 0.0776393, 0.0939354, 0.110262, 0.12632, 0.142083, 0.160653, 0.191153, 0.210531, 0.239539, 0.249205, 0.262091, 0.286755, 0.302804, 0.337399, 0.405322, 0.456813");
-            values ( \
-              "-0.028386, -0.120611, -0.147097, -0.154581, -0.147518, -0.145572, -0.144479, -0.144526, -0.150332, -0.149812, -0.130254, -0.0777803, -0.05111, -0.0229808, -0.0159935, -0.0116446, -0.00836539, -0.0080979, -0.00503586, -0.00212008, -0.000899648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0454829, 0.0558193, 0.0739093, 0.12402, 0.135345, 0.157694, 0.179939, 0.203684, 0.246745, 0.293248, 0.31337, 0.332168, 0.400148, 0.455942, 0.542656");
-            values ( \
-              "-0.156459, -0.211538, -0.203003, -0.19893, -0.198715, -0.203342, -0.200292, -0.177333, -0.108963, -0.0483965, -0.0347865, -0.0283459, -0.0108807, -0.00479535, -0.00232857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0457985, 0.052981, 0.057734, 0.0739139, 0.1137, 0.164527, 0.181798, 0.216142, 0.249852, 0.255842, 0.274556, 0.287723, 0.389559, 0.408147, 0.437061, 0.476135, 0.536425, 0.570972, 0.622297, 0.663208, 0.707296, 0.834802");
-            values ( \
-              "-0.171478, -0.247958, -0.262381, -0.256559, -0.253681, -0.248673, -0.247634, -0.249317, -0.243174, -0.240248, -0.227016, -0.213749, -0.0901129, -0.0775799, -0.0621361, -0.0440918, -0.0240456, -0.0162283, -0.00846822, -0.00551098, -0.00351741, -0.00187718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0462126, 0.0532687, 0.0586487, 0.074842, 0.156837, 0.263813, 0.32576, 0.372816, 0.383957, 0.40624, 0.446777, 0.488784, 0.550776, 0.637864, 0.701741, 0.74679, 0.788193, 0.842885, 0.887524, 0.95117, 1.00586, 1.06055, 1.11525, 1.27932, 1.30679");
-            values ( \
-              "-0.195064, -0.282886, -0.30108, -0.298229, -0.29381, -0.284997, -0.28312, -0.275581, -0.272668, -0.263629, -0.239172, -0.206069, -0.150701, -0.101983, -0.0715493, -0.0545586, -0.042271, -0.0298797, -0.0222441, -0.0144721, -0.00985837, -0.0066595, -0.00469163, -0.00191787, -0.00181143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.070697, 0.0779839, 0.0925943, 0.106111, 0.112645, 0.114627, 0.125316, 0.139787, 0.150371, 0.165907, 0.192184, 0.207576, 0.221129, 0.247366, 0.290315, 0.38739");
-            values ( \
-              "-0.00770588, -0.028316, -0.0434711, -0.0530591, -0.0555006, -0.0595163, -0.0583405, -0.0620519, -0.0622418, -0.0504681, -0.0233307, -0.0133351, -0.00752228, -0.0035656, -0.00127734, -0.000492618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0737044, 0.0792859, 0.0914909, 0.0952912, 0.102892, 0.110248, 0.112571, 0.114338, 0.116788, 0.11882, 0.122886, 0.125009, 0.127435, 0.131814, 0.136211, 0.145006, 0.148881, 0.155115, 0.161157, 0.174302, 0.180658, 0.195694, 0.206486, 0.216461, 0.221547, 0.229462, 0.239307, 0.246044, 0.254868, 0.26176, 0.271534, 0.282614, 0.294238, 0.303725, 0.315695, 0.331213, 0.341363, 0.352318, 0.365709, 0.382528, 0.441333, 0.540444");
-            values ( \
-              "-0.0409296, -0.0483501, -0.069515, -0.0747775, -0.084241, -0.0899151, -0.0914206, -0.0974388, -0.0981157, -0.0976102, -0.0962727, -0.0958377, -0.0955615, -0.0954411, -0.0959719, -0.0988905, -0.100825, -0.101997, -0.100587, -0.0888919, -0.0806106, -0.0574722, -0.0427948, -0.0319823, -0.0275724, -0.0216398, -0.0155701, -0.0110256, -0.00668873, -0.00493952, -0.00455415, -0.00512479, -0.0053062, -0.00470728, -0.00356985, -0.00277533, -0.00257246, -0.00220073, -0.00195827, -0.00142559, -0.000416188, -2.24174e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0736842, 0.0813809, 0.0880583, 0.0999452, 0.107055, 0.11254, 0.114731, 0.117357, 0.125877, 0.130886, 0.138548, 0.149794, 0.165804, 0.181567, 0.19825, 0.20013, 0.230731, 0.244569, 0.250028, 0.259337, 0.278587, 0.288466, 0.301708, 0.313753, 0.327516, 0.342935, 0.358398, 0.363879, 0.374841, 0.407394, 0.423468, 0.441033, 0.492995, 0.586647, 0.64134");
-            values ( \
-              "-0.0447134, -0.0782399, -0.0963779, -0.122427, -0.133111, -0.138973, -0.147404, -0.149142, -0.146259, -0.145272, -0.144527, -0.144771, -0.150314, -0.149853, -0.132814, -0.130321, -0.0776428, -0.0578533, -0.0510998, -0.0412926, -0.0233925, -0.0161685, -0.0116623, -0.00981468, -0.00834647, -0.00804942, -0.00662107, -0.00634949, -0.00522084, -0.00350284, -0.00306133, -0.00227522, -0.000963822, -0.000106248, -0.000150754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0781785, 0.112667, 0.115201, 0.11882, 0.130229, 0.152565, 0.169779, 0.185744, 0.197441, 0.219641, 0.243355, 0.296567, 0.333654, 0.343822, 0.368493, 0.409755, 0.433798, 0.465632, 0.48594, 0.535458, 0.607752");
-            values ( \
-              "-0.121146, -0.191955, -0.202977, -0.20636, -0.2026, -0.199803, -0.198535, -0.200517, -0.203848, -0.200188, -0.177578, -0.0944557, -0.0478716, -0.0395882, -0.0295472, -0.0179009, -0.0121925, -0.00717991, -0.00552107, -0.00339361, -0.00182763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0780506, 0.119753, 0.1364, 0.221112, 0.255798, 0.283539, 0.290168, 0.303425, 0.327341, 0.431892, 0.507771, 0.544501, 0.580181, 0.626703, 0.699262, 0.787913, 0.813121");
-            values ( \
-              "-0.143889, -0.259331, -0.255282, -0.247352, -0.249888, -0.245234, -0.24246, -0.235528, -0.21385, -0.0879752, -0.0476452, -0.0334585, -0.023156, -0.0133839, -0.00552011, -0.00263534, -0.00245286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0782686, 0.0959872, 0.114907, 0.119958, 0.134563, 0.196805, 0.308749, 0.370467, 0.398573, 0.423842, 0.451289, 0.4866, 0.537294, 0.592739, 0.68758, 0.742289, 0.78682, 0.827066, 0.880726, 0.947843, 0.991037, 1.04573, 1.15511, 1.2645, 1.33783");
-            values ( \
-              "-0.142114, -0.223209, -0.293906, -0.300293, -0.297633, -0.293699, -0.284641, -0.282735, -0.278743, -0.272371, -0.261294, -0.239289, -0.198733, -0.14951, -0.0968594, -0.0712079, -0.0546607, -0.0426758, -0.0301881, -0.019365, -0.0145768, -0.00975107, -0.00458267, -0.00243102, -0.00176127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129672, 0.140621, 0.151246, 0.1781, 0.197122, 0.21398, 0.226175, 0.237412, 0.24898, 0.249074, 0.25038, 0.270191, 0.280024, 0.288179, 0.299764, 0.306865, 0.313205, 0.329333, 0.336939, 0.345689, 0.354777, 0.360932, 0.371545, 0.385767, 0.393516, 0.414404, 0.431209, 0.486289, 0.569885, 0.624577");
-            values ( \
-              "-0.00785569, -0.0161186, -0.0208696, -0.0351544, -0.0436684, -0.0504695, -0.0572126, -0.0595616, -0.052938, -0.0548233, -0.0542237, -0.0317299, -0.0229684, -0.0171175, -0.0105936, -0.00795831, -0.00668356, -0.00415384, -0.00347742, -0.0030602, -0.00285644, -0.00218595, -0.0014068, -0.00123458, -0.00137071, -0.00126673, -0.00091956, -0.000278744, -1e-22, -8.48333e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.135368, 0.154158, 0.183048, 0.203806, 0.222334, 0.236019, 0.242457, 0.245584, 0.247137, 0.24791, 0.248298, 0.248896, 0.249105, 0.249524, 0.250061, 0.251136, 0.25304, 0.256552, 0.261644, 0.268403, 0.28542, 0.295781, 0.301944, 0.307573, 0.315079, 0.333741, 0.345254, 0.353414, 0.363477, 0.374795, 0.386124, 0.393211, 0.401616, 0.420466, 0.430127, 0.441166, 0.454435, 0.472577, 0.532129, 0.631228");
-            values ( \
-              "-0.0294835, -0.0367674, -0.0615939, -0.0759027, -0.0862887, -0.0958332, -0.0980679, -0.0986738, -0.0986065, -0.0982867, -0.0983906, -0.0982037, -0.100481, -0.10054, -0.100536, -0.0999782, -0.0986043, -0.0951948, -0.089108, -0.0802426, -0.0539829, -0.0405489, -0.0338537, -0.0286493, -0.0229049, -0.0106788, -0.00558759, -0.00473252, -0.00477867, -0.00540854, -0.0050686, -0.00451687, -0.0036276, -0.00269699, -0.0025513, -0.00215749, -0.00192159, -0.00135619, -0.000383256, -4.74329e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.135413, 0.146037, 0.159142, 0.175376, 0.187732, 0.199608, 0.219473, 0.237336, 0.24893, 0.251432, 0.253747, 0.256787, 0.262868, 0.269312, 0.277147, 0.28594, 0.301459, 0.316002, 0.324706, 0.336049, 0.345422, 0.365335, 0.376028, 0.381685, 0.391902, 0.404757, 0.419784, 0.433492, 0.448011, 0.451704, 0.459088, 0.48712, 0.519063, 0.56412, 0.647445, 0.702137");
-            values ( \
-              "-0.0425361, -0.0465169, -0.0619971, -0.0835266, -0.0982082, -0.110854, -0.127168, -0.137408, -0.145622, -0.150203, -0.15196, -0.152531, -0.152188, -0.149552, -0.143261, -0.132823, -0.10707, -0.0816641, -0.0681277, -0.0532176, -0.0429352, -0.0242472, -0.0163087, -0.0141968, -0.0111457, -0.0093884, -0.00817043, -0.00790302, -0.00643221, -0.00630041, -0.00550842, -0.00387748, -0.00263116, -0.00132561, -0.000249519, -0.000165631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.143617, 0.166777, 0.18614, 0.203107, 0.215463, 0.240234, 0.248883, 0.253625, 0.260638, 0.267827, 0.285327, 0.305113, 0.321163, 0.331087, 0.388637, 0.422456, 0.430914, 0.442202, 0.459625, 0.506917, 0.524771, 0.543379, 0.561546, 0.608349, 0.693767, 0.69766");
-            values ( \
-              "-0.0950007, -0.0988316, -0.132403, -0.157107, -0.171731, -0.190657, -0.194265, -0.199204, -0.200133, -0.199853, -0.203887, -0.201392, -0.189454, -0.177444, -0.0886625, -0.0467663, -0.0401439, -0.0343909, -0.0284686, -0.0155987, -0.0115096, -0.00842693, -0.00648703, -0.00391027, -0.00170891, -0.00167363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.143542, 0.167516, 0.199811, 0.20908, 0.221438, 0.241344, 0.2488, 0.253321, 0.259688, 0.264983, 0.275382, 0.290936, 0.306613, 0.315641, 0.327677, 0.343984, 0.376597, 0.389705, 0.40192, 0.415495, 0.43482, 0.505661, 0.516575, 0.536234, 0.569009, 0.60553, 0.642645, 0.677802, 0.693863, 0.710204, 0.731993, 0.775571, 0.815794, 0.861922, 0.962509, 1.18128");
-            values ( \
-              "-0.10743, -0.125238, -0.191176, -0.206115, -0.223081, -0.24203, -0.246332, -0.252384, -0.253468, -0.251933, -0.250483, -0.24884, -0.247779, -0.247804, -0.248463, -0.2499, -0.243383, -0.236736, -0.227472, -0.213793, -0.191134, -0.103158, -0.0908372, -0.0774352, -0.0600804, -0.0434431, -0.0301693, -0.0210165, -0.0172058, -0.0141227, -0.0109369, -0.00618926, -0.00440372, -0.00282814, -0.0014504, -0.000274622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.143638, 0.168551, 0.195588, 0.212785, 0.229063, 0.254041, 0.262906, 0.271206, 0.285003, 0.386661, 0.41748, 0.453928, 0.482523, 0.519885, 0.546584, 0.57483, 0.607442, 0.643734, 0.666234, 0.678709, 0.775733, 0.805284, 0.846903, 0.887351, 0.935574, 0.985027, 1.02183, 1.05831, 1.10696, 1.16166, 1.21635, 1.27104, 1.38042, 1.59919, 1.76327");
-            values ( \
-              "-0.113051, -0.146762, -0.211183, -0.244374, -0.268529, -0.294714, -0.296565, -0.294579, -0.293849, -0.285227, -0.28391, -0.283104, -0.279738, -0.269795, -0.257428, -0.239232, -0.214045, -0.182494, -0.160213, -0.151072, -0.0968542, -0.0825556, -0.0645724, -0.0505248, -0.0373969, -0.027419, -0.0212962, -0.0166557, -0.0120751, -0.00796671, -0.0056709, -0.00383108, -0.0020836, -0.000765, -0.000557648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.253784, 0.275116, 0.285809, 0.302653, 0.339446, 0.363239, 0.383293, 0.404408, 0.412844, 0.414993, 0.419289, 0.42955, 0.432884, 0.438829, 0.466774, 0.480157, 0.487131, 0.496368, 0.503943, 0.505814, 0.509557, 0.515444, 0.520756, 0.529413, 0.537004, 0.543401, 0.549246, 0.55174, 0.557589, 0.565062, 0.633426, 0.663435, 0.745378, 0.80007");
-            values ( \
-              "-0.00982066, -0.00993157, -0.0119766, -0.0157503, -0.0248496, -0.0302086, -0.0352964, -0.043832, -0.0455286, -0.0455451, -0.0453468, -0.043852, -0.0424286, -0.0388978, -0.019793, -0.0126043, -0.00975098, -0.00766708, -0.0063004, -0.00626532, -0.00511233, -0.00469944, -0.00388736, -0.00379278, -0.00301338, -0.00255203, -0.00181905, -0.00265734, -0.00217185, -0.0018336, -0.00074012, -0.000375446, -5.09195e-05, -3.58205e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.253941, 0.272633, 0.29053, 0.330881, 0.373328, 0.394999, 0.413467, 0.429828, 0.446123, 0.460592, 0.464256, 0.476937, 0.492997, 0.524273, 0.527928, 0.529139, 0.531562, 0.535402, 0.540161, 0.547895, 0.554877, 0.565181, 0.581219, 0.59063, 0.596818, 0.615791, 0.661952, 0.689811, 0.729047, 0.778839, 0.833531");
-            values ( \
-              "-0.0150798, -0.0159527, -0.021379, -0.0374432, -0.0532924, -0.0617752, -0.0730144, -0.078908, -0.0739937, -0.0632669, -0.0575269, -0.0445355, -0.0298996, -0.00929716, -0.00832962, -0.00723293, -0.00717086, -0.00608152, -0.00612617, -0.00578254, -0.00713921, -0.00650205, -0.00471498, -0.0038182, -0.00344191, -0.0026972, -0.00139196, -0.000766497, -0.00036973, -8.78768e-05, -7.17024e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.253994, 0.275762, 0.295333, 0.343135, 0.361698, 0.415008, 0.436282, 0.455478, 0.476173, 0.487312, 0.515366, 0.531982, 0.55025, 0.5552, 0.561538, 0.568769, 0.576365, 0.586492, 0.598886, 0.631036, 0.643136, 0.674209, 0.705511, 0.749666, 0.783162, 0.832097, 0.88679");
-            values ( \
-              "-0.0181887, -0.0260214, -0.0353416, -0.063843, -0.0743493, -0.103084, -0.119078, -0.127059, -0.117097, -0.104191, -0.0661468, -0.0472615, -0.0296227, -0.027387, -0.0218679, -0.0172805, -0.0146146, -0.0119241, -0.0100781, -0.00758555, -0.00622084, -0.0041433, -0.00282342, -0.00145357, -0.000858305, -0.00030629, -0.000145945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.262045, 0.283726, 0.300642, 0.340859, 0.363637, 0.384505, 0.418756, 0.448271, 0.474608, 0.499157, 0.522772, 0.527123, 0.55023, 0.554124, 0.561265, 0.568146, 0.577029, 0.590469, 0.598677, 0.60027, 0.603457, 0.609831, 0.618756, 0.631456, 0.644703, 0.653763, 0.671007, 0.688152, 0.713429, 0.722335, 0.72537, 0.731439, 0.743576, 0.765209, 0.788266, 0.813451, 0.839272, 0.869009, 0.904742, 0.951304, 1.006, 1.06069");
-            values ( \
-              "-0.0413874, -0.0414715, -0.0531312, -0.0859763, -0.103779, -0.119412, -0.142894, -0.161027, -0.179723, -0.186158, -0.171667, -0.166938, -0.134905, -0.132724, -0.118237, -0.110069, -0.0949019, -0.0794223, -0.0665585, -0.0667487, -0.0604984, -0.0546718, -0.0434746, -0.0379113, -0.0306468, -0.029867, -0.022448, -0.019838, -0.0114306, -0.011892, -0.00913678, -0.0103629, -0.00649481, -0.00674796, -0.00328859, -0.00459512, -0.00165899, -0.00320843, -0.000342513, -0.00197552, -1e-22, -0.001341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.275197, 0.322692, 0.361834, 0.390191, 0.420284, 0.463817, 0.502474, 0.538436, 0.550158, 0.558678, 0.570519, 0.578497, 0.589135, 0.610242, 0.629335, 0.711083, 0.731053, 0.764459, 0.800513, 0.835657, 0.870592, 0.887965, 0.905918, 0.929854, 0.975208, 1.01559, 1.06178, 1.16272, 1.2721, 1.38149");
-            values ( \
-              "-0.0692104, -0.0886094, -0.128077, -0.154584, -0.180526, -0.211206, -0.229487, -0.243701, -0.24638, -0.247125, -0.243608, -0.239775, -0.23336, -0.21368, -0.191417, -0.0911255, -0.0774637, -0.0597936, -0.0433967, -0.0307583, -0.021464, -0.0173791, -0.0139891, -0.010501, -0.00588012, -0.00420826, -0.00274912, -0.00142272, -0.000678034, -0.000283599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.27519, 0.312515, 0.379445, 0.403359, 0.448401, 0.475396, 0.529386, 0.550151, 0.553379, 0.558375, 0.570718, 0.592458, 0.615269, 0.649403, 0.698303, 0.707477, 0.725824, 0.755931, 0.799387, 0.841078, 0.86258, 0.874726, 0.891702, 0.97122, 1.00362, 1.04528, 1.083, 1.12709, 1.16187, 1.2121, 1.27028, 1.32497, 1.37966, 1.48905, 1.59843, 1.65312, 1.70782, 1.76251, 1.8172, 1.87189, 1.92659");
-            values ( \
-              "-0.0820947, -0.091311, -0.167077, -0.192144, -0.233913, -0.254026, -0.279187, -0.284278, -0.286963, -0.287719, -0.286311, -0.284829, -0.283846, -0.283135, -0.275193, -0.272817, -0.265579, -0.24924, -0.216966, -0.180948, -0.159445, -0.150816, -0.140445, -0.0968524, -0.0812829, -0.0634496, -0.0504509, -0.0383221, -0.030993, -0.0220306, -0.0148323, -0.0103262, -0.0067465, -0.00330493, -0.00182065, -0.00168877, -0.00107975, -0.00112896, -0.000650624, -0.000785463, -0.00037885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.49927, 0.56453, 0.604681, 0.723322, 0.748881, 0.771057, 0.790412, 0.803339, 0.814776, 0.853972, 0.880214, 0.915029, 0.995838, 1.05084");
-            values ( \
-              "-0.00382691, -0.00635344, -0.00999285, -0.0231002, -0.028313, -0.0305842, -0.0313729, -0.0293984, -0.0261382, -0.0111104, -0.00612937, -0.00273269, -0.000965613, -0.000346082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.499246, 0.532435, 0.539439, 0.571448, 0.608296, 0.644038, 0.706893, 0.739852, 0.767454, 0.791532, 0.814626, 0.832734, 0.889464, 0.897142, 0.904455, 0.914754, 0.921386, 0.933261, 0.959317, 0.978847, 0.98432, 0.995265, 1.00914, 1.03057, 1.0567, 1.09732, 1.19944, 1.21597, 1.23095, 1.25145, 1.26877");
-            values ( \
-              "-0.00728751, -0.00771274, -0.00813936, -0.0116251, -0.0172327, -0.0239323, -0.0348551, -0.040849, -0.0493277, -0.0536508, -0.054428, -0.0488469, -0.0156869, -0.0117483, -0.0093107, -0.00851003, -0.00820474, -0.00800257, -0.00505772, -0.00390347, -0.00378671, -0.00316846, -0.00270029, -0.00178392, -0.00117444, -0.000414622, -9.37232e-06, -0.000106907, -0.00039918, -0.000149859, -8.53402e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.509799, 0.565576, 0.60842, 0.628548, 0.687617, 0.744623, 0.776238, 0.799758, 0.821174, 0.839364, 0.853611, 0.874769, 0.934943, 0.942243, 0.952172, 0.974696, 1.02914, 1.04396, 1.04663, 1.05199, 1.06269, 1.0967, 1.15104, 1.21609, 1.23416, 1.27696, 1.31794");
-            values ( \
-              "-0.0131536, -0.0171597, -0.0263606, -0.0321117, -0.0480422, -0.0624922, -0.0721947, -0.0822165, -0.0884295, -0.0902089, -0.0891173, -0.0791135, -0.0303565, -0.0252548, -0.0201604, -0.014195, -0.00748435, -0.00637535, -0.00601492, -0.00579522, -0.00495423, -0.00312917, -0.00126034, -0.000341771, -0.000821007, -0.000261937, -0.000140441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.523837, 0.60198, 0.608241, 0.720938, 0.772517, 0.815873, 0.853253, 0.886642, 0.91521, 0.919183, 0.927127, 0.939992, 0.955535, 0.987954, 1.01067, 1.01947, 1.0378, 1.0658, 1.09667, 1.11956, 1.1375, 1.1586, 1.1804, 1.21591, 1.22806, 1.25727, 1.31787, 1.37256, 1.42725, 1.48195");
-            values ( \
-              "-0.0221838, -0.0352445, -0.037093, -0.0782966, -0.095891, -0.111278, -0.128789, -0.139394, -0.136912, -0.135535, -0.13149, -0.122273, -0.108493, -0.076973, -0.0531273, -0.0470412, -0.0387249, -0.0290491, -0.0194829, -0.0135342, -0.0101825, -0.00763577, -0.00595925, -0.00416564, -0.0045005, -0.00309341, -0.00150147, -0.000797825, -0.000368298, -0.000218684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.523792, 0.574902, 0.608228, 0.773697, 0.891159, 0.9427, 0.956709, 0.981988, 0.995188, 1.01812, 1.03995, 1.06734, 1.1344, 1.2159, 1.25776, 1.30883, 1.34459, 1.38871, 1.43132, 1.47667, 1.57136");
-            values ( \
-              "-0.031081, -0.0356141, -0.0475994, -0.12112, -0.168954, -0.191999, -0.196719, -0.200619, -0.199728, -0.191609, -0.177285, -0.153911, -0.0882562, -0.0470953, -0.0321571, -0.0183515, -0.0118325, -0.0069082, -0.00462779, -0.00315722, -0.00171109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.550856, 0.637817, 0.795567, 0.870219, 0.935512, 1.00691, 1.04032, 1.07196, 1.10388, 1.1343, 1.16412, 1.19724, 1.22574, 1.3046, 1.4254, 1.50031, 1.53724, 1.57686, 1.63156, 1.67276, 1.74591, 1.8006, 1.90999, 2.01937, 2.02216");
-            values ( \
-              "-0.0536273, -0.0705051, -0.150923, -0.186155, -0.213801, -0.239224, -0.24927, -0.257845, -0.261483, -0.260226, -0.252816, -0.236822, -0.21879, -0.150167, -0.084363, -0.0542322, -0.0431947, -0.0336871, -0.0235342, -0.0179213, -0.010795, -0.00726744, -0.00360159, -0.00202637, -0.00201352" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0123158, 0.0123271, 0.0123403, 0.0123533, 0.0123639, 0.0123711", \
-            "0.0146416, 0.0146475, 0.0146561, 0.0146657, 0.0146747, 0.0146816", \
-            "0.0160671, 0.0160693, 0.016073, 0.0160784, 0.0160845, 0.0160899", \
-            "0.0169171, 0.0169177, 0.0169187, 0.0169206, 0.0169235, 0.0169268", \
-            "0.0173771, 0.0173773, 0.0173777, 0.0173783, 0.0173792, 0.0173805", \
-            "0.0176262, 0.0176265, 0.017627, 0.0176276, 0.0176282, 0.0176289" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0168306, 0.0168399, 0.0168522, 0.0168656, 0.0168769, 0.0168851", \
-            "0.0190039, 0.0190117, 0.0190218, 0.0190325, 0.0190418, 0.0190485", \
-            "0.020573, 0.0205821, 0.0205927, 0.0206031, 0.0206116, 0.0206175", \
-            "0.0218756, 0.0218568, 0.0218359, 0.0218167, 0.0218035, 0.0217946", \
-            "0.0229675, 0.0228685, 0.0227451, 0.0226169, 0.022509, 0.0224315", \
-            "0.0237263, 0.023668, 0.0235177, 0.0232581, 0.022988, 0.0227858" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.123115, 0.138096, 0.166236, 0.219087, 0.318477, 0.506231", \
-            "0.128762, 0.143787, 0.172025, 0.224981, 0.324497, 0.512331", \
-            "0.141843, 0.156911, 0.185227, 0.238321, 0.337968, 0.525948", \
-            "0.170749, 0.185801, 0.214141, 0.267286, 0.367195, 0.555328", \
-            "0.229907, 0.247131, 0.278331, 0.331916, 0.432046, 0.620506", \
-            "0.329336, 0.35221, 0.39227, 0.460639, 0.573491, 0.764702" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.1276, 0.147331, 0.186975, 0.261577, 0.401911, 0.670196", \
-            "0.127604, 0.147311, 0.18701, 0.261609, 0.401888, 0.670158", \
-            "0.127622, 0.147373, 0.187003, 0.261615, 0.401884, 0.670149", \
-            "0.130154, 0.148752, 0.187486, 0.261607, 0.401922, 0.670152", \
-            "0.153954, 0.170025, 0.203809, 0.270953, 0.403986, 0.670137", \
-            "0.215073, 0.234088, 0.265956, 0.325589, 0.441487, 0.685399" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0787614, 0.0890074, 0.108079, 0.143616, 0.210197, 0.33569", \
-            "0.0825318, 0.0927903, 0.111937, 0.147549, 0.214184, 0.339718", \
-            "0.0901688, 0.100517, 0.119792, 0.155475, 0.222316, 0.347926", \
-            "0.106585, 0.117617, 0.137235, 0.172874, 0.239914, 0.366126", \
-            "0.128783, 0.142707, 0.16709, 0.208685, 0.279403, 0.406311", \
-            "0.143854, 0.163379, 0.197315, 0.253699, 0.344225, 0.488918" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0781866, 0.0910319, 0.115763, 0.163407, 0.254093, 0.426172", \
-            "0.0780866, 0.0910267, 0.115905, 0.163383, 0.254099, 0.426174", \
-            "0.0779793, 0.0906287, 0.115814, 0.163396, 0.254066, 0.426152", \
-            "0.085425, 0.096833, 0.119777, 0.164789, 0.254043, 0.426163", \
-            "0.112365, 0.123706, 0.145388, 0.185859, 0.26582, 0.429275", \
-            "0.164845, 0.178451, 0.203418, 0.247458, 0.325807, 0.470266" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0459822, 0.0517866, 0.0565625, 0.0631765, 0.0645296, 0.0672358, 0.0726482, 0.0808469, 0.097296, 0.0977729, 0.10445, 0.115509, 0.13466, 0.147942, 0.155046, 0.165161, 0.189996, 0.197734, 0.204387, 0.208587, 0.215999, 0.223603, 0.230257, 0.246313, 0.267352, 0.281466, 0.294662, 0.313589, 0.339009, 0.358124, 0.379969, 0.413907, 0.457968, 0.527601");
-            values ( \
-              "0.00415873, 0.0410847, 0.0412796, 0.0410073, 0.0407887, 0.0407137, 0.0401453, 0.039568, 0.0379019, 0.037949, 0.0372914, 0.0358404, 0.0325196, 0.0318905, 0.0328205, 0.0303374, 0.0215646, 0.0185393, 0.0174718, 0.0169495, 0.0155762, 0.013714, 0.0136333, 0.0127079, 0.0098661, 0.00756465, 0.00578356, 0.00407733, 0.00259765, 0.00184202, 0.00126831, 0.000629338, 0.000294569, 4.03781e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0477772, 0.0530307, 0.0553869, 0.0651077, 0.0679582, 0.0736591, 0.0988008, 0.12296, 0.149771, 0.166524, 0.173166, 0.18484, 0.21176, 0.234496, 0.240815, 0.263598, 0.280952, 0.29066, 0.301854, 0.312807, 0.333357, 0.334992, 0.338262, 0.344802, 0.355235, 0.368765, 0.386618, 0.393085, 0.406018, 0.430418, 0.464737, 0.509278, 0.571676, 0.652185");
-            values ( \
-              "0.0286397, 0.0662657, 0.0669322, 0.0666883, 0.0660684, 0.0658613, 0.0628189, 0.0593997, 0.0535319, 0.0529541, 0.0536462, 0.0501383, 0.0371397, 0.0246814, 0.0224283, 0.0234845, 0.0209493, 0.0183756, 0.0165454, 0.0137502, 0.00976239, 0.00991343, 0.00897943, 0.00851279, 0.00678879, 0.0059058, 0.00405764, 0.00411177, 0.00292991, 0.00237365, 0.000983194, 0.000810823, 1e-22, 0.000282832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0476138, 0.0547666, 0.0612882, 0.081947, 0.122721, 0.149833, 0.177942, 0.185001, 0.196148, 0.20731, 0.239533, 0.283305, 0.285298, 0.32893, 0.386412, 0.420668, 0.46344, 0.517648, 0.599146, 0.737651");
-            values ( \
-              "0.0339314, 0.0983777, 0.0997089, 0.0977841, 0.092119, 0.0872729, 0.0809665, 0.0800931, 0.0808565, 0.0800312, 0.0653632, 0.0396298, 0.0405471, 0.0328924, 0.0195858, 0.0129009, 0.00759313, 0.00380165, 0.00125063, 0.000103669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0475559, 0.0574138, 0.0615087, 0.0794381, 0.0843264, 0.0941028, 0.113656, 0.164671, 0.191432, 0.230746, 0.2365, 0.248009, 0.267461, 0.29761, 0.345119, 0.353612, 0.371753, 0.392646, 0.443135, 0.473562, 0.516062, 0.558027, 0.619503, 0.661894, 0.725363, 0.858018, 1.01904");
-            values ( \
-              "0.0489898, 0.133266, 0.134005, 0.13323, 0.132307, 0.131609, 0.128791, 0.12256, 0.118077, 0.110215, 0.110573, 0.110037, 0.107796, 0.0964684, 0.0699691, 0.0697103, 0.0665455, 0.0600959, 0.0420418, 0.0347822, 0.0260633, 0.0188361, 0.0112486, 0.00774254, 0.00432331, 0.00113867, 0.000119821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0513815, 0.0514015, 0.129505, 0.156729, 0.210586, 0.211946, 0.220104, 0.249822, 0.298649, 0.329322, 0.335005, 0.341499, 0.354486, 0.380462, 0.393924, 0.420849, 0.440554, 0.45123, 0.464305, 0.468061, 0.475572, 0.48632, 0.499221, 0.621901, 0.680959, 0.707, 0.74662, 0.780413, 0.824079, 0.850076, 0.88474, 0.941194, 0.998262, 1.08012, 1.16063, 1.24113, 1.40215, 1.56317");
-            values ( \
-              "1e-22, 0.166871, 0.159301, 0.1572, 0.151519, 0.151609, 0.150779, 0.147262, 0.140119, 0.137211, 0.137234, 0.136757, 0.135174, 0.131002, 0.127832, 0.119484, 0.111313, 0.105951, 0.103219, 0.103468, 0.102824, 0.101363, 0.0985436, 0.0616553, 0.0463917, 0.0413606, 0.0346183, 0.0296132, 0.0239818, 0.021062, 0.0176461, 0.01305, 0.0095416, 0.00601077, 0.00378363, 0.00233719, 0.000892036, 0.000336243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.056488, 0.056508, 0.182741, 0.344694, 0.465858, 0.511283, 0.585845, 0.656706, 0.699892, 0.748099, 0.979407, 1.11979, 1.35503, 1.59113, 1.7184, 2.04044, 2.09004");
-            values ( \
-              "1e-22, 0.202237, 0.180979, 0.170594, 0.159344, 0.15801, 0.149348, 0.133264, 0.1315, 0.12362, 0.0747839, 0.0506228, 0.0256757, 0.0121226, 0.00787686, 0.00244858, 0.00222127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0655288, 0.0712083, 0.0760626, 0.0831146, 0.0899459, 0.117348, 0.136552, 0.154285, 0.168963, 0.174611, 0.184746, 0.215826, 0.224467, 0.228169, 0.243474, 0.257626, 0.266132, 0.314199, 0.334268, 0.376389, 0.430156, 0.468615");
-            values ( \
-              "0.00603891, 0.0401859, 0.0408505, 0.0408173, 0.0403531, 0.0379433, 0.0356461, 0.0325876, 0.031948, 0.0327041, 0.0304022, 0.0191928, 0.0171101, 0.0171221, 0.0138044, 0.0132916, 0.0126146, 0.00580388, 0.0040176, 0.00191631, 0.000702774, 0.000330811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0654815, 0.0724162, 0.078098, 0.0874839, 0.0988748, 0.135125, 0.147095, 0.16932, 0.180777, 0.192759, 0.204394, 0.217931, 0.24799, 0.254007, 0.26039, 0.266678, 0.283275, 0.30056, 0.310181, 0.321378, 0.332326, 0.352862, 0.374743, 0.406114, 0.412538, 0.425388, 0.484022, 0.590589, 0.671098");
-            values ( \
-              "0.00676834, 0.0650869, 0.0664189, 0.0661221, 0.0650402, 0.0605087, 0.0583342, 0.0537026, 0.0525323, 0.0542038, 0.0498265, 0.0436006, 0.028668, 0.0247789, 0.0225097, 0.0226259, 0.0233697, 0.0208319, 0.0184806, 0.0164448, 0.0138597, 0.00987407, 0.0069054, 0.00417427, 0.00400224, 0.00305322, 0.00110358, 7.62334e-05, 0.000167517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0688375, 0.0742304, 0.0808836, 0.082607, 0.0891599, 0.101947, 0.15325, 0.169424, 0.197511, 0.226894, 0.241085, 0.259154, 0.292086, 0.302935, 0.304924, 0.308901, 0.315851, 0.32566, 0.336589, 0.359675, 0.406001, 0.426265, 0.440227, 0.458844, 0.482969, 0.505689, 0.537097, 0.571224, 0.618852, 0.757452");
-            values ( \
-              "0.0782987, 0.0971922, 0.0988085, 0.0988698, 0.0987027, 0.0975129, 0.0902988, 0.0872741, 0.0809074, 0.0799045, 0.0745067, 0.0653887, 0.045446, 0.0395829, 0.040631, 0.0398178, 0.039057, 0.0369864, 0.0352231, 0.0303955, 0.0195594, 0.0153487, 0.0129372, 0.0104138, 0.00762601, 0.00572562, 0.00382847, 0.00260438, 0.001267, 0.000121007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0687545, 0.0770004, 0.0871465, 0.108756, 0.179769, 0.199043, 0.250483, 0.277491, 0.291591, 0.312397, 0.33418, 0.356816, 0.365286, 0.374276, 0.394386, 0.461716, 0.48381, 0.51216, 0.565433, 0.602284, 0.668107, 0.714601, 0.763164, 0.826687, 0.902187, 0.982697, 1.06321");
-            values ( \
-              "0.0863085, 0.13153, 0.133568, 0.131986, 0.123007, 0.120056, 0.110274, 0.109505, 0.106247, 0.0986699, 0.0881768, 0.0739602, 0.0699661, 0.0694114, 0.065618, 0.042577, 0.0370993, 0.0309055, 0.0208832, 0.0155091, 0.0088176, 0.00582209, 0.00388952, 0.00202438, 0.00112089, 0.00039544, 0.000314327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0729761, 0.0729961, 0.149523, 0.230603, 0.250975, 0.289454, 0.318661, 0.349333, 0.355015, 0.361508, 0.374494, 0.400467, 0.413931, 0.44086, 0.460558, 0.471229, 0.484303, 0.488062, 0.495579, 0.506323, 0.51922, 0.641926, 0.700979, 0.726946, 0.766439, 0.800272, 0.852663, 0.904764, 0.961204, 1.01809, 1.09959, 1.1801, 1.26061, 1.42163, 1.58265");
-            values ( \
-              "1e-22, 0.173323, 0.15958, 0.151806, 0.149592, 0.144555, 0.140126, 0.137216, 0.13724, 0.136764, 0.13518, 0.13101, 0.127841, 0.119491, 0.111323, 0.105963, 0.103225, 0.103475, 0.10283, 0.10137, 0.098552, 0.0616543, 0.0463914, 0.0413741, 0.0346496, 0.0296349, 0.022996, 0.0176445, 0.0130496, 0.00955081, 0.00602851, 0.00379448, 0.00234379, 0.000894149, 0.000336669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0729542, 0.0729742, 0.20275, 0.364704, 0.436954, 0.485896, 0.531287, 0.606398, 0.645586, 0.675675, 0.701778, 0.718784, 0.751059, 0.999385, 1.07989, 1.13974, 1.17871, 1.28624, 1.37491, 1.4644, 1.53046, 1.61097, 1.73813, 1.89915, 2.06017, 2.22119, 2.54323");
-            values ( \
-              "1e-22, 0.191901, 0.180826, 0.170438, 0.164251, 0.159514, 0.157853, 0.149105, 0.140895, 0.133313, 0.133081, 0.131765, 0.126662, 0.0749542, 0.0605245, 0.0507949, 0.045508, 0.0335548, 0.0258569, 0.0196698, 0.0161605, 0.0123021, 0.00805756, 0.00463566, 0.00262539, 0.00146131, 0.000411367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.102274, 0.116666, 0.119067, 0.123009, 0.127739, 0.134528, 0.142833, 0.144349, 0.147381, 0.153445, 0.161309, 0.177037, 0.17907, 0.183136, 0.190326, 0.198222, 0.213341, 0.218541, 0.228684, 0.24046, 0.240721, 0.259941, 0.267034, 0.27211, 0.287525, 0.301837, 0.317857, 0.358105, 0.378146, 0.389295, 0.403306, 0.40897, 0.420298, 0.47378, 0.582914");
-            values ( \
-              "0.0030292, 0.0306455, 0.040609, 0.0334387, 0.0434341, 0.0352741, 0.043842, 0.03496, 0.0434966, 0.0342655, 0.0423014, 0.0317625, 0.0402214, 0.0308617, 0.0382162, 0.0282856, 0.0362631, 0.0283542, 0.0347236, 0.0218398, 0.0260627, 0.0192301, 0.0172986, 0.0171484, 0.013813, 0.0133063, 0.0116908, 0.0057125, 0.0039238, 0.00342813, 0.00248439, 0.00244623, 0.00181256, 0.000601326, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.102213, 0.118945, 0.125844, 0.131217, 0.139174, 0.150519, 0.170339, 0.191075, 0.213266, 0.231976, 0.236628, 0.245932, 0.258719, 0.293018, 0.297282, 0.302589, 0.30767, 0.323818, 0.332629, 0.342189, 0.389815, 0.40689, 0.428542, 0.457722, 0.506365, 0.54418, 0.590715, 0.667432");
-            values ( \
-              "6.63828e-06, 0.058176, 0.0625856, 0.064191, 0.0648151, 0.0639981, 0.0616252, 0.0584416, 0.0537824, 0.0528139, 0.0535762, 0.050913, 0.0451972, 0.0280088, 0.0250875, 0.0230446, 0.0224707, 0.0234252, 0.0226929, 0.0211746, 0.0111905, 0.00844892, 0.00599085, 0.00378443, 0.00172079, 0.000958672, 0.000399873, 0.000131293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.112641, 0.133055, 0.137387, 0.146051, 0.161888, 0.181737, 0.197948, 0.213498, 0.241617, 0.256061, 0.270974, 0.292461, 0.303296, 0.32068, 0.335422, 0.346928, 0.348974, 0.36028, 0.39318, 0.404096, 0.41787, 0.434916, 0.470384, 0.474974, 0.484154, 0.526614, 0.544337, 0.549672, 0.560342, 0.581681, 0.661786, 0.718869, 0.79929");
-            values ( \
-              "0.0944906, 0.0961602, 0.0968802, 0.0970206, 0.0953382, 0.0926082, 0.0901927, 0.0872307, 0.080949, 0.0801058, 0.0805196, 0.0708587, 0.0652872, 0.0549723, 0.0457213, 0.0397744, 0.0405188, 0.0388809, 0.032854, 0.0302012, 0.0271285, 0.0229215, 0.0152288, 0.0146845, 0.0128544, 0.00756357, 0.00637282, 0.00563464, 0.00525782, 0.0037091, 0.00119493, 0.000815286, 3.49726e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.114262, 0.114282, 0.168881, 0.234476, 0.294714, 0.314848, 0.335794, 0.356526, 0.379575, 0.404144, 0.432291, 0.444466, 0.506763, 0.528481, 0.580363, 0.608799, 0.64803, 0.715098, 0.788741, 0.848931, 0.917147, 0.94005");
-            values ( \
-              "1e-22, 0.1338, 0.130032, 0.121473, 0.11047, 0.110053, 0.106484, 0.0986001, 0.0875282, 0.0718081, 0.0671272, 0.0638499, 0.0423254, 0.037019, 0.0261886, 0.0210595, 0.0153564, 0.00865247, 0.00454584, 0.00255606, 0.00140273, 0.0012507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.118655, 0.118675, 0.187853, 0.29647, 0.333648, 0.362863, 0.393526, 0.399208, 0.4057, 0.418686, 0.444657, 0.458125, 0.485061, 0.504761, 0.515433, 0.528506, 0.532253, 0.539748, 0.550482, 0.563374, 0.686267, 0.745363, 0.771053, 0.810088, 0.844111, 0.888034, 0.91435, 0.949439, 1.006, 1.06227, 1.14274, 1.22325, 1.30376, 1.46478, 1.62579");
-            values ( \
-              "1e-22, 0.181108, 0.160067, 0.149443, 0.144557, 0.140125, 0.137217, 0.13724, 0.136764, 0.135181, 0.131012, 0.127843, 0.119491, 0.111322, 0.105961, 0.103226, 0.103475, 0.102834, 0.101377, 0.0985639, 0.0616145, 0.0463494, 0.0413902, 0.0347355, 0.0296852, 0.0240113, 0.0210546, 0.0176008, 0.013007, 0.00955126, 0.00606478, 0.00381806, 0.00235804, 0.0008994, 0.000338418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.122487, 0.122507, 0.24701, 0.408981, 0.530175, 0.575561, 0.650475, 0.720384, 0.763488, 0.795552, 1.12416, 1.22737, 1.36171, 1.55537, 1.77746, 2.06751");
-            values ( \
-              "1e-22, 0.207682, 0.180775, 0.170392, 0.159561, 0.157808, 0.14909, 0.133176, 0.131784, 0.126682, 0.0604751, 0.0449969, 0.0306848, 0.0171292, 0.0082417, 0.00326437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.184159, 0.194335, 0.203503, 0.211294, 0.216792, 0.227786, 0.238692, 0.248811, 0.252972, 0.263363, 0.274373, 0.275945, 0.27909, 0.295123, 0.309509, 0.31542, 0.325532, 0.336168, 0.33742, 0.339923, 0.344929, 0.350923, 0.358435, 0.368976, 0.376617, 0.384014, 0.390686, 0.406769, 0.414399, 0.41658, 0.427172, 0.441022, 0.449701, 0.454931, 0.464795, 0.474981, 0.500138, 0.517023, 0.537671, 0.570398, 0.612252, 0.679933");
-            values ( \
-              "0.0103649, 0.0179194, 0.02204, 0.0252061, 0.027088, 0.0302218, 0.0322272, 0.0335684, 0.0356659, 0.0364493, 0.0359241, 0.0357443, 0.035301, 0.0326078, 0.032022, 0.0327685, 0.0303812, 0.026582, 0.0259637, 0.0252814, 0.0233204, 0.0213873, 0.0184102, 0.0169344, 0.0155363, 0.0137161, 0.0136983, 0.0127324, 0.0116263, 0.0114365, 0.0099526, 0.00768938, 0.00648467, 0.00579877, 0.00487183, 0.00400926, 0.00256911, 0.00190007, 0.00132315, 0.000688222, 0.000321781, 6.02925e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.184082, 0.195756, 0.206803, 0.219615, 0.23336, 0.248846, 0.251553, 0.258654, 0.264987, 0.267223, 0.271697, 0.27973, 0.288018, 0.310225, 0.321658, 0.333632, 0.345252, 0.358803, 0.388905, 0.393827, 0.401247, 0.407614, 0.415418, 0.424197, 0.441431, 0.473132, 0.493676, 0.515513, 0.546876, 0.553302, 0.566154, 0.625235, 0.732684, 0.813194");
-            values ( \
-              "0.0127065, 0.0299666, 0.0378648, 0.0452569, 0.0511098, 0.0551066, 0.0581831, 0.0596453, 0.0605426, 0.0605845, 0.060526, 0.0597515, 0.0584126, 0.0537971, 0.0525855, 0.0542904, 0.049766, 0.0436701, 0.0286967, 0.0252901, 0.0225821, 0.0226236, 0.0231368, 0.0233422, 0.0207898, 0.0139062, 0.00991764, 0.00695111, 0.00421726, 0.00397011, 0.00309405, 0.00113277, 0.000108525, 0.000129939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.18406, 0.199241, 0.212285, 0.222545, 0.229668, 0.243915, 0.248864, 0.252435, 0.25732, 0.260686, 0.265173, 0.273033, 0.284115, 0.296952, 0.310492, 0.338599, 0.353041, 0.367934, 0.389865, 0.400266, 0.417678, 0.435999, 0.444878, 0.446086, 0.453334, 0.46114, 0.483851, 0.496382, 0.510246, 0.514543, 0.523135, 0.558996, 0.570218, 0.572524, 0.577135, 0.586358, 0.604803, 0.629373, 0.643201, 0.64705, 0.654747, 0.670142, 0.700931, 0.746123, 0.801848, 0.874924, 0.955433");
-            values ( \
-              "0.0146336, 0.0476794, 0.0610618, 0.0694589, 0.0743439, 0.081312, 0.0831996, 0.0878706, 0.0899348, 0.0910194, 0.09204, 0.0925628, 0.0917181, 0.0898782, 0.0872921, 0.0809895, 0.0801823, 0.0805205, 0.0706374, 0.0652848, 0.0549394, 0.0430197, 0.040533, 0.0405536, 0.0397399, 0.0381471, 0.0339986, 0.0314735, 0.0280349, 0.0272043, 0.0249534, 0.0168645, 0.0149462, 0.0143289, 0.0137556, 0.0121191, 0.00984911, 0.00709879, 0.00615902, 0.00568654, 0.00534234, 0.00423809, 0.00301093, 0.00152862, 0.000859736, 0.000195036, 0.000185619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.183888, 0.204443, 0.220908, 0.233055, 0.248729, 0.252566, 0.257385, 0.270448, 0.279556, 0.293231, 0.324549, 0.343392, 0.39163, 0.418534, 0.432733, 0.453536, 0.475316, 0.506388, 0.515382, 0.535509, 0.60292, 0.624998, 0.677804, 0.706423, 0.743603, 0.809247, 0.855464, 0.904035, 0.967499, 1.04299, 1.1235, 1.20401");
-            values ( \
-              "0.01647, 0.070957, 0.091926, 0.103063, 0.113302, 0.119665, 0.123027, 0.127699, 0.127804, 0.126656, 0.122501, 0.119516, 0.11027, 0.109548, 0.106239, 0.0986802, 0.0881689, 0.069991, 0.0694398, 0.0656381, 0.0425558, 0.0370969, 0.0261253, 0.0209138, 0.0154918, 0.00882316, 0.00584207, 0.00389363, 0.00203599, 0.00111896, 0.000402063, 0.00030982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.195974, 0.248804, 0.259404, 0.264493, 0.27467, 0.284483, 0.299811, 0.373448, 0.434608, 0.456461, 0.485599, 0.491609, 0.503627, 0.526822, 0.555431, 0.584858, 0.604737, 0.615278, 0.641242, 0.666716, 0.789523, 0.845543, 0.898331, 0.935636, 0.983275, 1.01444, 1.056, 1.1163, 1.16045, 1.22185, 1.30236, 1.38287, 1.54389, 1.70491");
-            values ( \
-              "0.093353, 0.13998, 0.153367, 0.156315, 0.159585, 0.15973, 0.158753, 0.151596, 0.144089, 0.14078, 0.137516, 0.137617, 0.136827, 0.133611, 0.128079, 0.118397, 0.110301, 0.10474, 0.102409, 0.0970262, 0.0599191, 0.0457581, 0.0361516, 0.0305612, 0.0242069, 0.0207123, 0.0168152, 0.0120653, 0.00945379, 0.0066825, 0.00428633, 0.00257872, 0.000957402, 0.00033321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.196084, 0.25631, 0.268685, 0.277126, 0.289057, 0.482825, 0.529619, 0.627608, 0.677376, 0.75097, 0.814351, 0.827151, 0.840124, 0.857236, 0.91049, 1.14139, 1.28174, 1.38294, 1.45945, 1.51813, 1.65308, 1.73359, 1.87514, 1.95565, 2.03616, 2.19718, 2.3582, 2.68024");
-            values ( \
-              "0.0974419, 0.172546, 0.181554, 0.183528, 0.18398, 0.172105, 0.168564, 0.159609, 0.157512, 0.148734, 0.134065, 0.132888, 0.133331, 0.132064, 0.123429, 0.0749758, 0.0508212, 0.0383646, 0.030679, 0.0258149, 0.0171177, 0.0131612, 0.00826453, 0.00636342, 0.00478447, 0.00273874, 0.00155396, 0.000485443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.324534, 0.35434, 0.396542, 0.430258, 0.457211, 0.481411, 0.505089, 0.517044, 0.528173, 0.543776, 0.550275, 0.552363, 0.568237, 0.57505, 0.581403, 0.596502, 0.603424, 0.618976, 0.645509, 0.65342, 0.669136, 0.679091, 0.689009, 0.700226, 0.713654, 0.719816, 0.732139, 0.779817, 0.881586, 0.962096");
-            values ( \
-              "0.000269495, 0.00852876, 0.0164339, 0.0224378, 0.0262903, 0.0278274, 0.0274061, 0.0285374, 0.0294419, 0.0264581, 0.0246734, 0.0249501, 0.019999, 0.0185427, 0.017838, 0.0145618, 0.0143918, 0.0132412, 0.00936169, 0.00782559, 0.00571043, 0.00493844, 0.00396693, 0.00342265, 0.00254438, 0.00243233, 0.00181186, 0.000702908, 3.35103e-05, 8.64544e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.339979, 0.357713, 0.426813, 0.440174, 0.466896, 0.485457, 0.496118, 0.522249, 0.547792, 0.550191, 0.554989, 0.560729, 0.573899, 0.613238, 0.619098, 0.626724, 0.6354, 0.644633, 0.704497, 0.73419, 0.750998, 0.776029, 0.821145, 0.862952, 0.893134");
-            values ( \
-              "0.0132198, 0.0148657, 0.0355926, 0.0392159, 0.0449739, 0.0472743, 0.0477875, 0.0472881, 0.050517, 0.0498133, 0.0498519, 0.0482624, 0.0431377, 0.0237447, 0.0232436, 0.023888, 0.0239395, 0.0229622, 0.0105602, 0.00654501, 0.00506127, 0.00339395, 0.0016316, 0.000834665, 0.00054333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.340111, 0.363312, 0.436624, 0.457201, 0.491255, 0.522619, 0.550108, 0.553469, 0.571758, 0.58308, 0.597726, 0.615261, 0.647776, 0.659106, 0.661085, 0.704764, 0.761752, 0.795711, 0.837987, 0.861295, 0.893834, 0.93344, 0.9821, 1.12461");
-            values ( \
-              "0.0163863, 0.0243628, 0.0572792, 0.0650251, 0.073798, 0.0768601, 0.0764146, 0.0778521, 0.0812082, 0.0798321, 0.0742231, 0.0652874, 0.0454895, 0.0400515, 0.0409896, 0.032942, 0.0195864, 0.0129706, 0.00768931, 0.00573141, 0.00377512, 0.00240147, 0.00113213, 8.69186e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.3554, 0.389365, 0.435126, 0.459757, 0.485477, 0.52807, 0.539059, 0.550049, 0.557466, 0.566427, 0.574069, 0.582802, 0.60027, 0.606968, 0.620365, 0.640228, 0.663532, 0.684826, 0.708282, 0.716757, 0.744943, 0.768936, 0.798611, 0.818727, 0.84048, 0.892692, 0.921413, 0.959215, 0.994557, 1.02679, 1.05923, 1.10182, 1.16229, 1.23103, 1.31154, 1.39205");
-            values ( \
-              "0.0475352, 0.0482603, 0.0765292, 0.0896922, 0.100175, 0.110572, 0.112157, 0.113289, 0.115766, 0.11633, 0.115957, 0.114775, 0.111403, 0.110779, 0.110439, 0.108427, 0.100906, 0.0912491, 0.0774489, 0.0713792, 0.0671142, 0.0598797, 0.0489628, 0.0423831, 0.0370684, 0.0261501, 0.0209954, 0.0154945, 0.011533, 0.00870356, 0.00654589, 0.00448461, 0.0025496, 0.00135713, 0.000614316, 0.000305481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.362311, 0.401705, 0.422504, 0.465448, 0.508426, 0.531571, 0.550033, 0.560268, 0.572804, 0.584419, 0.598119, 0.616386, 0.646449, 0.675521, 0.706317, 0.712002, 0.718499, 0.731493, 0.757481, 0.770912, 0.797773, 0.817449, 0.828114, 0.841173, 0.845041, 0.852777, 0.863627, 0.8766, 0.890672, 0.956603, 0.997091, 1.05566, 1.08473, 1.12939, 1.17214, 1.20396, 1.24776, 1.29186, 1.3528, 1.37701, 1.42543, 1.50594, 1.58645, 1.66696, 1.82798, 1.90849");
-            values ( \
-              "0.0675107, 0.0682222, 0.0844423, 0.113921, 0.134229, 0.140987, 0.145635, 0.149918, 0.151912, 0.151961, 0.150698, 0.148651, 0.144552, 0.140213, 0.137235, 0.137262, 0.136777, 0.135183, 0.131008, 0.127841, 0.119506, 0.111356, 0.105999, 0.103268, 0.103514, 0.102832, 0.101334, 0.0984599, 0.0945943, 0.0740457, 0.0621648, 0.0469182, 0.0412349, 0.0337257, 0.02761, 0.0236464, 0.0189733, 0.0150396, 0.0108179, 0.00944349, 0.00721802, 0.00451357, 0.00283675, 0.00174032, 0.000660111, 0.000432555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.362207, 0.405968, 0.453752, 0.50583, 0.523879, 0.549986, 0.554069, 0.560323, 0.574264, 0.586405, 0.605989, 0.698462, 0.73802, 0.833427, 0.874667, 0.895411, 0.936901, 0.966654, 1.00338, 1.03649, 1.0484, 1.06284, 1.07938, 1.11049, 1.33905, 1.40317, 1.48368, 1.53567, 1.63124, 1.73458, 1.78024, 1.85802, 1.93853, 1.99815, 2.04411, 2.18591, 2.34693, 2.50795, 2.66897, 2.82999, 2.9105");
-            values ( \
-              "0.0669213, 0.0810331, 0.121503, 0.153487, 0.160865, 0.169781, 0.173475, 0.176123, 0.179031, 0.179081, 0.178088, 0.172069, 0.169193, 0.160548, 0.158345, 0.156901, 0.152446, 0.148728, 0.140834, 0.132943, 0.133318, 0.132845, 0.131508, 0.126409, 0.0785427, 0.0664752, 0.052959, 0.0456698, 0.0348562, 0.0257486, 0.0225331, 0.0176069, 0.0137145, 0.0112031, 0.00962699, 0.00596817, 0.00342026, 0.00193666, 0.00108224, 0.000593303, 0.000544559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.639534, 0.685733, 0.713273, 0.727767, 0.797987, 0.867683, 0.904609, 0.912718, 0.921986, 0.935694, 0.947391, 0.957971, 0.967897, 0.982856, 0.998791, 1.00774, 1.02041, 1.03789, 1.04097, 1.05524, 1.0632, 1.07932, 1.10041, 1.11971, 1.13731, 1.16594, 1.20582, 1.21589, 1.22719, 1.27757, 1.30561, 1.36167, 1.44218");
-            values ( \
-              "0.00199319, 0.00368285, 0.00492258, 0.00586492, 0.0118639, 0.0172535, 0.0192113, 0.0193777, 0.0194511, 0.0198593, 0.0214998, 0.0219862, 0.0217536, 0.0206064, 0.018606, 0.0168423, 0.0162661, 0.0142105, 0.0145917, 0.0143824, 0.0136869, 0.0118881, 0.00860196, 0.00640488, 0.00490718, 0.00310107, 0.00154723, 0.00136026, 0.00144221, 0.000623434, 0.000372319, 0.000119936, 2.61787e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.667839, 0.735432, 0.84018, 0.885294, 0.925249, 0.935919, 0.948114, 0.960048, 0.977779, 0.992995, 1.00914, 1.02753, 1.04554, 1.05724, 1.0689, 1.07459, 1.09248, 1.11279, 1.15697, 1.18467, 1.21573, 1.2178, 1.24672, 1.26774, 1.29732, 1.34801, 1.41962, 1.50012");
-            values ( \
-              "0.00776235, 0.0106535, 0.0248507, 0.0303317, 0.0339547, 0.0344437, 0.0346814, 0.0355324, 0.0387744, 0.0383037, 0.0366037, 0.0326874, 0.0275649, 0.0235086, 0.0233425, 0.0248666, 0.0243019, 0.0208356, 0.0118959, 0.00805762, 0.00513473, 0.00551514, 0.00377037, 0.00271753, 0.00167812, 0.000712145, 0.000197957, 5.09011e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.66788, 0.735676, 0.843235, 0.915963, 0.928981, 0.959503, 0.968476, 0.984637, 1.00019, 1.02029, 1.03822, 1.05696, 1.07679, 1.10334, 1.11136, 1.12021, 1.12976, 1.14959, 1.17802, 1.2129, 1.22159, 1.26107, 1.27892, 1.29733, 1.32473, 1.33569, 1.35762, 1.39574, 1.44936, 1.51755, 1.59805");
-            values ( \
-              "0.0118442, 0.0161821, 0.0377181, 0.0512218, 0.0533287, 0.0569175, 0.0575699, 0.0582352, 0.0593392, 0.0633637, 0.0627814, 0.0597717, 0.0542275, 0.0429097, 0.0412747, 0.0412167, 0.0400458, 0.0371005, 0.0316175, 0.0232719, 0.0220944, 0.0143608, 0.0116142, 0.00926122, 0.00660465, 0.00574648, 0.00437209, 0.00266379, 0.00132037, 0.000514241, 0.000180377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.663139, 0.715885, 0.748035, 0.906292, 0.966422, 1.01995, 1.02902, 1.06012, 1.08583, 1.10951, 1.12958, 1.14719, 1.16757, 1.1796, 1.18682, 1.19626, 1.2075, 1.22308, 1.24199, 1.28217, 1.30583, 1.33759, 1.3829, 1.40364, 1.4339, 1.46107, 1.49904, 1.51396, 1.54379, 1.59462, 1.66129, 1.74175, 1.82226, 1.90277");
-            values ( \
-              "0.0155038, 0.0185406, 0.0253591, 0.0676936, 0.0816403, 0.0891233, 0.0897775, 0.0911559, 0.0947239, 0.0945606, 0.0914271, 0.0866512, 0.0780915, 0.072051, 0.0704611, 0.0701321, 0.0689696, 0.0664887, 0.0607716, 0.0460999, 0.0398583, 0.0324619, 0.0233373, 0.0198311, 0.0155074, 0.0123375, 0.00887633, 0.00779125, 0.00597709, 0.00376682, 0.00202198, 0.000942509, 0.000438131, 0.000208953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.688317, 0.786901, 0.937263, 0.970118, 1.03583, 1.06714, 1.10889, 1.12745, 1.1522, 1.1814, 1.20984, 1.21572, 1.22748, 1.24082, 1.24692, 1.25912, 1.29172, 1.30539, 1.33058, 1.35634, 1.48245, 1.53733, 1.5879, 1.62443, 1.67384, 1.71185, 1.75864, 1.81955, 1.89493, 1.95192, 2.03243, 2.11294, 2.27395, 2.43497");
-            values ( \
-              "0.0262104, 0.0438905, 0.0935465, 0.103252, 0.118252, 0.123014, 0.126757, 0.127584, 0.128093, 0.130123, 0.130548, 0.130166, 0.130221, 0.128835, 0.127527, 0.1243, 0.112016, 0.105354, 0.103265, 0.0976566, 0.0595472, 0.045647, 0.0365371, 0.0308967, 0.0243666, 0.0201399, 0.0157864, 0.0113467, 0.00745933, 0.00539912, 0.00336588, 0.00210216, 0.000811191, 0.000315701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.704676, 0.835347, 0.941682, 1.02219, 1.06797, 1.14848, 1.1733, 1.23623, 1.2615, 1.32477, 1.36468, 1.42122, 1.44771, 1.51351, 1.52539, 1.53993, 1.55652, 1.58765, 1.8153, 1.96028, 2.01337, 2.10421, 2.2117, 2.3405, 2.42101, 2.51902, 2.65561, 2.81663, 2.97765, 3.13867, 3.3802");
-            values ( \
-              "0.0355234, 0.0681538, 0.10861, 0.134437, 0.145263, 0.15826, 0.161019, 0.165876, 0.165317, 0.159507, 0.15784, 0.15173, 0.147965, 0.132988, 0.133341, 0.132875, 0.131519, 0.12641, 0.078724, 0.0530459, 0.0456006, 0.0352785, 0.0257545, 0.0173179, 0.0134808, 0.00970375, 0.00612653, 0.00351458, 0.00199266, 0.00111567, 0.000554392" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.01252, 0.0125343, 0.0125504, 0.0125655, 0.0125773, 0.0125852", \
-            "0.0167118, 0.0167265, 0.0167452, 0.0167644, 0.0167807, 0.0167923", \
-            "0.0198053, 0.0198169, 0.0198337, 0.0198538, 0.019873, 0.0198879", \
-            "0.0215499, 0.0215557, 0.0215652, 0.0215789, 0.0215946, 0.0216088", \
-            "0.0224217, 0.0224106, 0.0223979, 0.0223864, 0.0223797, 0.022378", \
-            "0.0229209, 0.0228981, 0.022865, 0.022821, 0.0227748, 0.0227369" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0206286, 0.020644, 0.0206642, 0.0206854, 0.0207036, 0.0207166", \
-            "0.0230305, 0.0230314, 0.0230383, 0.0230511, 0.0230655, 0.0230774", \
-            "0.0218905, 0.0218396, 0.0217773, 0.0217047, 0.0216525, 0.0216145", \
-            "0.02064, 0.0205359, 0.0204002, 0.0202523, 0.020128, 0.0200167", \
-            "0.0206714, 0.0204566, 0.0201687, 0.0198772, 0.0196154, 0.0194097", \
-            "0.0201305, 0.0201809, 0.0202766, 0.0202141, 0.019868, 0.0194719" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.029386, 0.0321864, 0.0385084, 0.0463661, 0.0523223, 0.0537104, 0.0564865, 0.0611971, 0.0659316, 0.0754008, 0.0840686, 0.0903481, 0.102158, 0.113957, 0.117799, 0.131961, 0.145348, 0.160185, 0.172181, 0.185239, 0.191774, 0.195819, 0.203909, 0.210074, 0.215916, 0.221475, 0.228694, 0.234713, 0.241571, 0.251788, 0.260186, 0.26884, 0.278083, 0.291144, 0.308063, 0.33653, 0.372124, 0.413645, 0.461478, 0.520127");
-            values ( \
-              "-0.0350642, -0.0587187, -0.0548311, -0.053865, -0.0539474, -0.0536844, -0.0538328, -0.0534116, -0.0533986, -0.0528631, -0.0539528, -0.0561102, -0.0549776, -0.0461303, -0.0443191, -0.0319714, -0.0224031, -0.0148179, -0.00979762, -0.00750272, -0.00748812, -0.00638875, -0.00617303, -0.0047988, -0.0047645, -0.00341683, -0.00319058, -0.00180635, -0.00170524, -0.000674254, -0.00111828, -0.000601155, -0.00111754, -0.00063171, -0.00107093, -0.000452119, -0.000711306, -4.31854e-05, -0.000368928, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0293507, 0.033035, 0.0359036, 0.0393189, 0.0490399, 0.0564906, 0.0579943, 0.0610016, 0.0665531, 0.0721654, 0.0833901, 0.0926632, 0.100571, 0.114447, 0.128314, 0.132535, 0.149098, 0.164779, 0.181104, 0.181858, 0.191996, 0.204168, 0.21902, 0.220481, 0.223403, 0.228131, 0.233374, 0.240243, 0.246488, 0.254571, 0.26593, 0.275283, 0.28528, 0.293617, 0.30458, 0.315776, 0.329715, 0.346247, 0.369896, 0.402674, 0.448676, 0.501811, 0.56046");
-            values ( \
-              "-0.0400258, -0.095284, -0.0921747, -0.0901071, -0.0885061, -0.088557, -0.0881762, -0.0883058, -0.0876404, -0.0874543, -0.0864602, -0.0876853, -0.0907121, -0.0887817, -0.075459, -0.0724644, -0.052823, -0.0373521, -0.0257676, -0.0258815, -0.0199224, -0.0137809, -0.00897398, -0.00938656, -0.00829084, -0.00816649, -0.00686713, -0.00655071, -0.0054173, -0.00528881, -0.00395202, -0.00359158, -0.00251882, -0.0026915, -0.00198833, -0.00229811, -0.00163687, -0.00187815, -0.00106666, -0.00112701, -0.000242706, -0.000455061, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0293515, 0.0343795, 0.0374374, 0.0435747, 0.0551425, 0.0691084, 0.0997783, 0.10174, 0.105662, 0.119665, 0.133469, 0.14528, 0.156846, 0.184247, 0.207318, 0.228404, 0.245065, 0.267005, 0.281879, 0.293695, 0.308467, 0.327673, 0.360018, 0.419591, 0.47691, 0.581626, 0.640274");
-            values ( \
-              "-0.0469581, -0.141291, -0.138188, -0.135126, -0.133894, -0.133349, -0.129973, -0.130471, -0.13054, -0.134174, -0.133193, -0.123817, -0.111114, -0.0760795, -0.052195, -0.0356682, -0.0256872, -0.0147132, -0.00980347, -0.00824471, -0.00716064, -0.00662463, -0.00466308, -0.00272658, -0.00136797, -0.000239066, -0.00024583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0313162, 0.0363418, 0.0435124, 0.0488515, 0.101621, 0.124108, 0.141791, 0.155188, 0.180539, 0.197855, 0.249895, 0.281419, 0.326883, 0.347304, 0.364472, 0.42088, 0.495714, 0.611333");
-            values ( \
-              "-0.167493, -0.190008, -0.185771, -0.184986, -0.180428, -0.177393, -0.177726, -0.180332, -0.173683, -0.159373, -0.0994033, -0.0697341, -0.0361557, -0.0272135, -0.0222529, -0.011576, -0.00587705, -0.00238589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0322813, 0.0338717, 0.0436058, 0.0506046, 0.106498, 0.182924, 0.202355, 0.221908, 0.24136, 0.261459, 0.281484, 0.36077, 0.420056, 0.442208, 0.47024, 0.496094, 0.529943, 0.570921, 0.648569, 0.702058, 0.744342, 0.79286, 0.902993, 1.13759");
-            values ( \
-              "-0.234243, -0.235682, -0.231295, -0.230184, -0.227094, -0.219186, -0.218722, -0.219341, -0.216678, -0.209044, -0.197635, -0.129364, -0.081864, -0.067377, -0.0547847, -0.0459109, -0.0361424, -0.0266244, -0.0132731, -0.00838895, -0.00627778, -0.00448474, -0.0022777, -0.000464029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0326117, 0.0366833, 0.0459401, 0.0937317, 0.155563, 0.2725, 0.341349, 0.359512, 0.38373, 0.413527, 0.43601, 0.488364, 0.562954, 0.616451, 0.641278, 0.670114, 0.734417, 0.779, 0.832369, 0.867948, 0.926909, 0.972698, 1.03135, 1.06649, 1.12514, 1.18378, 1.30108, 1.41838, 1.59432, 1.82892");
-            values ( \
-              "-0.262995, -0.266303, -0.265195, -0.263629, -0.260258, -0.250872, -0.247804, -0.24647, -0.242297, -0.234855, -0.226145, -0.199334, -0.15706, -0.122993, -0.110698, -0.0991376, -0.0766645, -0.0631155, -0.0493352, -0.0415899, -0.031072, -0.0245432, -0.0179578, -0.014782, -0.0107402, -0.00792943, -0.0045935, -0.00280833, -0.00140599, -0.000560741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0463304, 0.0500758, 0.0509088, 0.0516286, 0.0536444, 0.0546287, 0.0593633, 0.0641461, 0.0713506, 0.0754772, 0.0835867, 0.0959207, 0.101233, 0.106717, 0.110592, 0.115131, 0.119713, 0.132761, 0.139725, 0.147932, 0.159022, 0.168875, 0.183419, 0.19048, 0.197689, 0.203911, 0.210458, 0.21349, 0.219553, 0.225492, 0.231732, 0.236909, 0.245152, 0.25122, 0.265184, 0.268673, 0.27565, 0.283021, 0.293304, 0.304568, 0.461442, 0.573194");
-            values ( \
-              "-0.0232949, -0.0467944, -0.0477621, -0.0571049, -0.0583144, -0.0582627, -0.0559052, -0.0545714, -0.0539022, -0.0537925, -0.0532571, -0.0530252, -0.0540182, -0.0555758, -0.0563591, -0.0561679, -0.0547018, -0.0458338, -0.0406452, -0.0331915, -0.02513, -0.0191338, -0.0125292, -0.00974475, -0.00838131, -0.00757765, -0.00720747, -0.00653949, -0.00623858, -0.00522007, -0.00481992, -0.00387294, -0.00315974, -0.00213054, -0.00098739, -0.00105077, -0.000793874, -0.000906284, -0.000795492, -0.000923047, -0.000132611, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0468229, 0.0515251, 0.0555338, 0.0563618, 0.0580179, 0.0648635, 0.0696573, 0.0761823, 0.0831975, 0.0903426, 0.104547, 0.104886, 0.118751, 0.132626, 0.183049, 0.210324, 0.23719, 0.265901, 0.322578, 0.428664");
-            values ( \
-              "-0.0069374, -0.099048, -0.103802, -0.0859171, -0.102023, -0.0813861, -0.0974332, -0.0800179, -0.0963609, -0.0789129, -0.0949795, -0.0864614, -0.0907231, -0.0887687, -0.0372261, -0.0198044, -0.00894288, -0.00524849, -0.00191923, -0.00111032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0463568, 0.052445, 0.0550687, 0.0574852, 0.0612994, 0.0654467, 0.0672557, 0.0744919, 0.0836498, 0.110431, 0.119546, 0.137449, 0.155297, 0.173138, 0.174858, 0.194998, 0.208918, 0.220298, 0.232978, 0.247323, 0.26456, 0.282801, 0.289366, 0.297434, 0.308456, 0.322366, 0.340119, 0.369283, 0.428888, 0.479422, 0.517908, 0.576557, 0.635205");
-            values ( \
-              "-0.0190292, -0.136398, -0.142006, -0.141062, -0.13801, -0.13579, -0.135271, -0.134185, -0.133593, -0.130565, -0.130378, -0.133638, -0.131312, -0.11207, -0.111477, -0.0851012, -0.068298, -0.0566198, -0.0456154, -0.0352173, -0.0250177, -0.015761, -0.0129995, -0.010484, -0.00842318, -0.00742347, -0.00674956, -0.00512907, -0.00306511, -0.00176334, -0.00110679, -0.000459896, -0.000217612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0463751, 0.054487, 0.0559825, 0.0585717, 0.0615378, 0.0656576, 0.0695696, 0.0735806, 0.0815804, 0.0931309, 0.107739, 0.122771, 0.147932, 0.157727, 0.173138, 0.183065, 0.19834, 0.211064, 0.226074, 0.248816, 0.265125, 0.283931, 0.300172, 0.317462, 0.330859, 0.337466, 0.343994, 0.352217, 0.363913, 0.382215, 0.400988, 0.426018, 0.452046, 0.473748, 0.502197, 0.525662, 0.583508, 0.618864, 0.663122, 0.72177, 0.780419, 0.839067");
-            values ( \
-              "-0.0312519, -0.190207, -0.191997, -0.19145, -0.189064, -0.186733, -0.185355, -0.184775, -0.183891, -0.183204, -0.181767, -0.179706, -0.177055, -0.177752, -0.180089, -0.17975, -0.173124, -0.163704, -0.147774, -0.120806, -0.102213, -0.0832653, -0.0689911, -0.0553386, -0.0453235, -0.0406854, -0.0366424, -0.0323588, -0.0276031, -0.0222249, -0.0179601, -0.0133046, -0.0100277, -0.00815582, -0.00643363, -0.00539448, -0.00343474, -0.00252059, -0.00164368, -0.000886979, -0.000455768, -0.000237939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0499416, 0.0529837, 0.0576457, 0.0743637, 0.124417, 0.200845, 0.239827, 0.259279, 0.279378, 0.299403, 0.37873, 0.451174, 0.476406, 0.517515, 0.581319, 0.61344, 0.675227, 0.733193, 0.777281, 0.939023, 0.944784");
-            values ( \
-              "-0.181973, -0.223027, -0.23654, -0.230408, -0.226854, -0.21919, -0.219344, -0.216675, -0.209048, -0.197632, -0.129333, -0.0727191, -0.0595241, -0.0446839, -0.0282746, -0.0218047, -0.0123455, -0.00770375, -0.00562207, -0.00211078, -0.00207053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0502536, 0.0537993, 0.0556909, 0.0576583, 0.0602111, 0.0673429, 0.0727949, 0.0809778, 0.0909518, 0.119866, 0.169429, 0.229044, 0.23617, 0.275088, 0.300238, 0.350538, 0.365197, 0.394517, 0.431168, 0.445761, 0.461327, 0.482338, 0.506269, 0.580754, 0.633162, 0.656284, 0.683942, 0.712483, 0.752235, 0.780289, 0.817694, 0.876343, 0.895165, 0.932809, 0.980783, 1.03943, 1.0737, 1.10386, 1.14406, 1.20271, 1.26136, 1.32001, 1.37866, 1.4373, 1.49595, 1.61325, 1.84784");
-            values ( \
-              "-0.207493, -0.257948, -0.266602, -0.269305, -0.26951, -0.266933, -0.265659, -0.264958, -0.264302, -0.263118, -0.260387, -0.255928, -0.255211, -0.252106, -0.250503, -0.247756, -0.247555, -0.243726, -0.234851, -0.229569, -0.222821, -0.21232, -0.199325, -0.15715, -0.123703, -0.111928, -0.100595, -0.0900966, -0.0766425, -0.0679391, -0.0574322, -0.0435547, -0.0397642, -0.0330074, -0.025832, -0.0189317, -0.0156811, -0.0133039, -0.0107036, -0.00790126, -0.00597494, -0.00458044, -0.00357265, -0.00280211, -0.00221945, -0.00139874, -0.00055481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0775549, 0.0825759, 0.0895918, 0.0962926, 0.103805, 0.112569, 0.114418, 0.116861, 0.126437, 0.132498, 0.1382, 0.146459, 0.158255, 0.170052, 0.17389, 0.193415, 0.201629, 0.21255, 0.227984, 0.240794, 0.245896, 0.252189, 0.26005, 0.266428, 0.272228, 0.277685, 0.285356, 0.291096, 0.307433, 0.315606, 0.324012, 0.333075, 0.345482, 0.361611, 0.387814, 0.422447, 0.462029, 0.508031, 0.563371, 0.622019");
-            values ( \
-              "-0.0207363, -0.0315239, -0.0386366, -0.0443245, -0.048454, -0.0510301, -0.0552482, -0.0552824, -0.0534598, -0.0531442, -0.0537486, -0.0559372, -0.05515, -0.0459692, -0.0442084, -0.0281091, -0.0224041, -0.0167364, -0.0100121, -0.00768514, -0.00757486, -0.0064994, -0.0060435, -0.0049135, -0.00461969, -0.00354886, -0.00299445, -0.00190546, -0.000808109, -0.000980087, -0.000716068, -0.000976181, -0.000752552, -0.000947163, -0.000606122, -0.000618139, -0.000202932, -0.000264634, -1e-22, -0.000131867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0780482, 0.0844375, 0.114991, 0.11836, 0.121673, 0.124886, 0.12892, 0.135024, 0.143213, 0.155219, 0.157289, 0.16143, 0.169711, 0.198836, 0.204589, 0.205628, 0.207708, 0.211867, 0.218539, 0.230143, 0.236822, 0.238393, 0.241535, 0.247819, 0.253586, 0.259819, 0.265986, 0.273748, 0.276759, 0.28278, 0.287806, 0.293828, 0.300434, 0.307151, 0.316302, 0.327082, 0.335672, 0.345972, 0.350974, 0.360976, 0.371422, 0.385773, 0.401651, 0.403717");
-            values ( \
-              "-0.0243397, -0.0536674, -0.0955074, -0.0859744, -0.0943071, -0.0835744, -0.0926293, -0.0820655, -0.0917424, -0.0845999, -0.0953456, -0.0861775, -0.0941962, -0.0563599, -0.0588773, -0.048222, -0.0558026, -0.0416479, -0.0450334, -0.0259729, -0.0315116, -0.0208219, -0.0287223, -0.0157699, -0.022503, -0.00964914, -0.0168822, -0.00474092, -0.0140518, -0.00328408, -0.0123571, -0.00183435, -0.0107518, -0.000543444, -0.00945736, -1e-22, -0.00782885, -1e-22, -0.00713528, -1e-22, -0.00675852, -1e-22, -0.00633933, -0.00576232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0775869, 0.0860677, 0.0936215, 0.103266, 0.112586, 0.114452, 0.116715, 0.118825, 0.126735, 0.140131, 0.149067, 0.158196, 0.176098, 0.193946, 0.211786, 0.213507, 0.238286, 0.247735, 0.259051, 0.271603, 0.281358, 0.29515, 0.321255, 0.328273, 0.335993, 0.346902, 0.360541, 0.393157, 0.407, 0.467178, 0.517039, 0.612749, 0.671398");
-            values ( \
-              "-0.0261135, -0.0855194, -0.102354, -0.118872, -0.126584, -0.134874, -0.136879, -0.136661, -0.133973, -0.131704, -0.130681, -0.130324, -0.133715, -0.131241, -0.112142, -0.111412, -0.0792674, -0.0681813, -0.0564517, -0.0457064, -0.038231, -0.0294668, -0.01579, -0.0128335, -0.0105831, -0.00837527, -0.00751381, -0.00600227, -0.00509819, -0.00300508, -0.00171618, -0.000408641, -0.000294855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0817754, 0.0891152, 0.0987682, 0.106389, 0.112578, 0.11477, 0.118921, 0.133954, 0.180872, 0.198031, 0.211794, 0.236869, 0.254221, 0.306502, 0.337322, 0.385937, 0.405481, 0.421063, 0.465772, 0.492952, 0.538029, 0.618032, 0.694535, 0.696537");
-            values ( \
-              "-0.119459, -0.125295, -0.152098, -0.166474, -0.172945, -0.185521, -0.187376, -0.183221, -0.177537, -0.177921, -0.180073, -0.174034, -0.159616, -0.0994174, -0.0703628, -0.0348445, -0.0266323, -0.0222065, -0.0131287, -0.00978275, -0.00659465, -0.00353754, -0.00175149, -0.00173455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0872683, 0.112634, 0.115503, 0.119338, 0.132075, 0.182062, 0.239265, 0.278732, 0.290723, 0.318225, 0.339344, 0.421583, 0.477723, 0.499439, 0.525918, 0.55073, 0.597725, 0.637615, 0.676733, 0.708595, 0.745153, 0.786597, 0.83225, 0.937695, 1.05499, 1.23094");
-            values ( \
-              "-0.213759, -0.214848, -0.230381, -0.23292, -0.229593, -0.225023, -0.218862, -0.21927, -0.218214, -0.209372, -0.196561, -0.126157, -0.0813745, -0.0671749, -0.0551919, -0.0464524, -0.0333477, -0.0246284, -0.0175867, -0.0129496, -0.00946087, -0.00691822, -0.00507281, -0.00265442, -0.00126732, -0.000408659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0872376, 0.109781, 0.112597, 0.114538, 0.117297, 0.120153, 0.128849, 0.136225, 0.145871, 0.17961, 0.212505, 0.332866, 0.377875, 0.404242, 0.436935, 0.471211, 0.483651, 0.497868, 0.520047, 0.545333, 0.619522, 0.673951, 0.696612, 0.724027, 0.752214, 0.791331, 0.818595, 0.854946, 0.913595, 0.934231, 0.975503, 1.02222, 1.08087, 1.11356, 1.14187, 1.17961, 1.23826, 1.29691, 1.35556, 1.41421, 1.47285, 1.5315, 1.6488, 1.88339");
-            values ( \
-              "-0.237879, -0.242083, -0.247018, -0.260056, -0.266525, -0.267516, -0.265399, -0.264417, -0.263717, -0.262032, -0.260041, -0.250831, -0.248501, -0.247446, -0.243282, -0.23449, -0.23004, -0.223984, -0.213035, -0.199324, -0.157345, -0.122739, -0.111355, -0.100169, -0.0898366, -0.0766193, -0.0681588, -0.0579119, -0.04394, -0.0397703, -0.0324139, -0.0255193, -0.018694, -0.0156158, -0.0133841, -0.0109092, -0.00804089, -0.00607456, -0.00465163, -0.00362604, -0.00284259, -0.00225075, -0.00141803, -0.000562553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.134039, 0.146508, 0.15619, 0.179293, 0.187771, 0.203626, 0.217916, 0.230995, 0.243407, 0.248851, 0.252339, 0.256543, 0.273211, 0.289744, 0.309097, 0.316468, 0.322426, 0.340359, 0.375984, 0.382733, 0.393121, 0.445726, 0.558867");
-            values ( \
-              "-0.00276217, -0.0182808, -0.0225969, -0.0349744, -0.038596, -0.0441039, -0.0476481, -0.052602, -0.0532146, -0.0497809, -0.0493687, -0.0465375, -0.0320906, -0.0207164, -0.0116119, -0.0092368, -0.00825502, -0.00645037, -0.00207246, -0.00139506, -0.000952263, -0.000855094, -0.000320059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.134139, 0.148735, 0.18349, 0.193779, 0.211286, 0.227265, 0.242137, 0.252618, 0.256063, 0.271261, 0.296028, 0.314399, 0.326887, 0.345466, 0.360396, 0.388292, 0.416109, 0.434538, 0.560334");
-            values ( \
-              "-0.00262306, -0.0315137, -0.0599349, -0.0668302, -0.0753944, -0.080387, -0.0870222, -0.0906886, -0.0889769, -0.0750555, -0.0473907, -0.0314004, -0.0236665, -0.0142055, -0.00930471, -0.00565001, -0.0033901, -0.00245363, -0.000820151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.145698, 0.164142, 0.179678, 0.192066, 0.203822, 0.224432, 0.243629, 0.248851, 0.252726, 0.257248, 0.261613, 0.270344, 0.279337, 0.293397, 0.298868, 0.323687, 0.337024, 0.358983, 0.375135, 0.391346, 0.409233, 0.415506, 0.422967, 0.433282, 0.446933, 0.493835, 0.551145, 0.599897, 0.69134, 0.808638");
-            values ( \
-              "-0.0623274, -0.0658271, -0.0856472, -0.0988267, -0.108947, -0.120434, -0.125458, -0.127696, -0.132065, -0.134219, -0.13461, -0.134722, -0.130225, -0.117151, -0.111516, -0.079175, -0.0638245, -0.0440156, -0.0327987, -0.0235095, -0.0147971, -0.0122294, -0.0101514, -0.00833626, -0.00741555, -0.00509189, -0.00310305, -0.00182648, -0.000515601, -6.87523e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.149609, 0.170798, 0.180978, 0.191303, 0.198755, 0.206206, 0.213764, 0.221321, 0.224821, 0.231821, 0.235322, 0.248406, 0.249322, 0.251155, 0.253046, 0.255092, 0.264728, 0.26866, 0.272593, 0.275225, 0.277858, 0.283122, 0.290453, 0.304052, 0.31659, 0.323133, 0.331353, 0.337929, 0.342799, 0.350835, 0.386242, 0.395615, 0.40759, 0.415395, 0.425099, 0.450722, 0.459226, 0.465254, 0.470519, 0.474557, 0.481404, 0.488319, 0.495234, 0.512659, 0.523711, 0.535033, 0.552421, 0.565863, 0.584579, 0.608223");
-            values ( \
-              "-0.0960986, -0.100824, -0.118034, -0.134001, -0.141793, -0.150305, -0.159676, -0.169787, -0.169961, -0.170754, -0.171372, -0.174394, -0.178695, -0.179263, -0.180244, -0.179987, -0.177953, -0.177712, -0.177806, -0.178056, -0.178456, -0.179708, -0.179108, -0.177397, -0.175133, -0.173638, -0.167442, -0.161371, -0.156365, -0.147559, -0.106045, -0.0959371, -0.0841757, -0.0770738, -0.0688168, -0.0487968, -0.0425568, -0.0384959, -0.0353365, -0.0332207, -0.0301043, -0.0275325, -0.0252384, -0.0205528, -0.0180032, -0.0157063, -0.012789, -0.0111348, -0.00924749, -0.00743191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.153213, 0.179141, 0.190183, 0.202489, 0.2137, 0.217746, 0.221792, 0.229884, 0.234734, 0.239585, 0.244436, 0.249286, 0.251641, 0.252003, 0.254101, 0.257792, 0.263302, 0.268776, 0.304003, 0.309474, 0.320416, 0.33557, 0.345253, 0.36462, 0.366035, 0.370888, 0.37574, 0.380593, 0.387064, 0.393816, 0.40085, 0.409222, 0.418932, 0.429333, 0.449045, 0.476621, 0.499193, 0.543261, 0.566168, 0.579732, 0.596046, 0.605794, 0.617491, 0.637016, 0.660371, 0.691341, 0.721684, 0.747147, 0.77487, 0.808465");
-            values ( \
-              "-0.132325, -0.14205, -0.161654, -0.181295, -0.196679, -0.20011, -0.204639, -0.216992, -0.221143, -0.223548, -0.224208, -0.223122, -0.226984, -0.22726, -0.22738, -0.227166, -0.226031, -0.225191, -0.220453, -0.220026, -0.219333, -0.21873, -0.218562, -0.218732, -0.21898, -0.218701, -0.218007, -0.217013, -0.215271, -0.212967, -0.210036, -0.205693, -0.199794, -0.192525, -0.176954, -0.152435, -0.133042, -0.0981465, -0.0792319, -0.0704258, -0.0617465, -0.0573508, -0.0527, -0.0462779, -0.0394929, -0.0314031, -0.0247557, -0.019978, -0.0155712, -0.0113968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.152778, 0.174287, 0.191872, 0.201266, 0.220052, 0.236489, 0.24887, 0.254841, 0.262382, 0.269217, 0.327804, 0.420254, 0.490523, 0.523219, 0.559309, 0.584201, 0.606373, 0.631653, 0.705324, 0.761415, 0.784014, 0.811557, 0.839295, 0.877672, 0.904028, 0.939171, 0.997819, 1.02843, 1.07587, 1.12061, 1.17926, 1.2101, 1.26875, 1.3274, 1.38605, 1.4447, 1.50335, 1.62064, 1.79659, 1.97253");
-            values ( \
-              "-0.150247, -0.150736, -0.189722, -0.206798, -0.233369, -0.248078, -0.254988, -0.262127, -0.263582, -0.262009, -0.25797, -0.25058, -0.24739, -0.243342, -0.233973, -0.223977, -0.213033, -0.199323, -0.157655, -0.122098, -0.110878, -0.0997008, -0.0895651, -0.0766094, -0.0684217, -0.0584692, -0.0443855, -0.0382702, -0.0302022, -0.023982, -0.017518, -0.0147732, -0.0107261, -0.00792864, -0.00598402, -0.00459524, -0.00357724, -0.00222257, -0.00111261, -0.000556996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.256493, 0.274615, 0.275874, 0.279553, 0.29201, 0.312939, 0.327995, 0.342832, 0.386545, 0.408321, 0.417024, 0.419548, 0.424596, 0.435621, 0.443646, 0.464302, 0.472859, 0.491825, 0.497059, 0.502615, 0.508947, 0.515523, 0.523358, 0.524316, 0.526233, 0.550223, 0.552884, 0.561578, 0.568051, 0.575087, 0.58324, 0.654455, 0.689658, 0.775502, 0.889959");
-            values ( \
-              "-0.00451001, -0.00997103, -0.0100081, -0.0105623, -0.0130086, -0.0183855, -0.0220193, -0.0253818, -0.0346416, -0.0420348, -0.0425994, -0.042379, -0.0414455, -0.038657, -0.034609, -0.0231804, -0.0191103, -0.0114176, -0.010055, -0.00904713, -0.00855445, -0.00750891, -0.00680414, -0.00646294, -0.0063571, -0.00303329, -0.00346416, -0.00216078, -0.001644, -0.00139843, -0.00122223, -0.000775763, -0.00049473, -0.000135685, -8.85999e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.260898, 0.283368, 0.296429, 0.339602, 0.398575, 0.42389, 0.435015, 0.453052, 0.482711, 0.510451, 0.537711, 0.549626, 0.550922, 0.570224, 0.599363, 0.624185, 0.73464, 0.754886");
-            values ( \
-              "-0.0126764, -0.0186589, -0.0230782, -0.0403586, -0.0605991, -0.0722181, -0.0726178, -0.0657097, -0.0416939, -0.0239765, -0.0113931, -0.00894167, -0.0100054, -0.0066514, -0.00376651, -0.0025786, -0.000799158, -0.000709939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.2709, 0.304188, 0.319921, 0.348119, 0.394788, 0.419879, 0.442195, 0.462963, 0.484673, 0.487358, 0.517526, 0.543313, 0.550138, 0.553784, 0.560182, 0.566536, 0.574039, 0.580531, 0.586072, 0.591149, 0.597666, 0.59889, 0.601337, 0.606232, 0.615545, 0.630483, 0.648914, 0.663607, 0.674492, 0.688338, 0.703762, 0.720321, 0.738691, 0.760825, 0.789497, 0.829266, 0.847292");
-            values ( \
-              "-0.0318878, -0.0391417, -0.0491582, -0.0653417, -0.0887969, -0.0995044, -0.112303, -0.115705, -0.10199, -0.0996857, -0.0686778, -0.0461114, -0.0413404, -0.041605, -0.0346122, -0.0323756, -0.0258331, -0.0241981, -0.019252, -0.0185816, -0.013596, -0.0151411, -0.0121403, -0.0126966, -0.00856823, -0.00911945, -0.00616318, -0.00728007, -0.00455396, -0.00597574, -0.00328099, -0.00479806, -0.00210723, -0.00355839, -0.000746126, -0.0021307, -0.00147583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275162, 0.305692, 0.346091, 0.367596, 0.389703, 0.424657, 0.483784, 0.510986, 0.539832, 0.598004, 0.631881, 0.648705, 0.663115, 0.673825, 0.696704, 0.713765, 0.738469, 0.764741, 0.796723, 0.818313, 0.898986, 0.978987, 0.999996");
-            values ( \
-              "-0.0509688, -0.0547308, -0.0873153, -0.102873, -0.118309, -0.138611, -0.16474, -0.165643, -0.144613, -0.0832823, -0.0549386, -0.042417, -0.0338681, -0.0292406, -0.0222655, -0.0182843, -0.0135965, -0.0101665, -0.00754205, -0.00636341, -0.00336546, -0.00158328, -0.00142407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.284519, 0.334739, 0.369189, 0.410999, 0.427327, 0.452536, 0.472769, 0.513234, 0.550138, 0.554625, 0.563597, 0.573039, 0.590345, 0.594013, 0.601349, 0.616021, 0.637707, 0.693388, 0.755341, 0.765255, 0.783701, 0.812089, 0.83636, 0.868417, 0.888982, 0.916402, 0.953953, 0.985248, 1.02002, 1.0616, 1.10637, 1.15569, 1.20974, 1.26839, 1.32704, 1.50298");
-            values ( \
-              "-0.0732516, -0.0964589, -0.12918, -0.163522, -0.174904, -0.189919, -0.198385, -0.20873, -0.216617, -0.219016, -0.219103, -0.216578, -0.210835, -0.20921, -0.205526, -0.1958, -0.177889, -0.129342, -0.07995, -0.0730643, -0.0628702, -0.0513294, -0.0434601, -0.0346307, -0.0297638, -0.0240762, -0.0173104, -0.0128898, -0.00956983, -0.0069259, -0.00516287, -0.00376731, -0.00274827, -0.00188792, -0.00132981, -0.000388771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.284819, 0.320134, 0.361657, 0.411129, 0.460611, 0.486544, 0.538412, 0.550204, 0.552576, 0.55732, 0.575824, 0.607406, 0.63472, 0.681539, 0.702337, 0.739665, 0.748249, 0.765417, 0.791892, 0.822453, 0.896181, 0.952288, 0.974861, 1.00233, 1.03012, 1.06857, 1.09503, 1.1303, 1.18895, 1.23487, 1.26614, 1.31107, 1.36972, 1.40098, 1.45963, 1.51828, 1.57692, 1.63557, 1.75287, 1.87017, 1.98746, 2.16341");
-            values ( \
-              "-0.0892128, -0.0940515, -0.13965, -0.187484, -0.224412, -0.236798, -0.250631, -0.25185, -0.254543, -0.255551, -0.253425, -0.251053, -0.249458, -0.247448, -0.24518, -0.237081, -0.234751, -0.228125, -0.215885, -0.19945, -0.157692, -0.12215, -0.110883, -0.0997711, -0.0895727, -0.0765872, -0.0683693, -0.0584323, -0.0443139, -0.0354242, -0.0303274, -0.0240118, -0.0175865, -0.0147513, -0.0107515, -0.00790528, -0.00600917, -0.00457143, -0.00278716, -0.00173945, -0.00108974, -0.000579855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.509953, 0.538181, 0.542676, 0.569647, 0.584649, 0.596477, 0.644361, 0.725811, 0.751955, 0.775248, 0.794872, 0.797923, 0.816232, 0.859551, 0.862745, 0.869133, 0.875912, 0.884039, 0.890668, 0.896499, 0.900026, 0.90708, 0.913254, 0.926778, 0.935709, 0.943568, 0.952163, 0.962627, 0.979407, 1.00061, 1.03089, 1.06706, 1.10903, 1.1584, 1.23897, 1.27116");
-            values ( \
-              "-0.00442397, -0.0048669, -0.00508293, -0.00679271, -0.00804629, -0.00922771, -0.0145626, -0.0229375, -0.0272335, -0.028999, -0.0288078, -0.0284832, -0.0247717, -0.0114463, -0.0109609, -0.0104748, -0.00937401, -0.00859359, -0.00736694, -0.00678337, -0.00594779, -0.00512668, -0.00386491, -0.00233556, -0.00208327, -0.001686, -0.00173329, -0.00142788, -0.00142453, -0.00103314, -0.000900508, -0.000436315, -0.000369765, -5.33831e-05, -0.000217679, -4.93963e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.509869, 0.543011, 0.558613, 0.578612, 0.606052, 0.608224, 0.612566, 0.618271, 0.652495, 0.743061, 0.771403, 0.796683, 0.819586, 0.825001, 0.832221, 0.843358, 0.879192, 0.906361, 0.919387, 0.933909, 0.966085, 0.976015, 0.989441, 1.01234, 1.01925, 1.03307, 1.09163, 1.13527, 1.18607, 1.21589, 1.23596, 1.26116, 1.32972");
-            values ( \
-              "-0.00629223, -0.00873555, -0.0100453, -0.0126408, -0.0167117, -0.0173257, -0.017981, -0.0191865, -0.0253766, -0.0402453, -0.0475833, -0.0507769, -0.0499976, -0.0487493, -0.0466151, -0.0419446, -0.0256542, -0.0142762, -0.0112353, -0.0086966, -0.00501286, -0.00430317, -0.00357751, -0.00288271, -0.00275836, -0.00235164, -0.0011574, -0.000668817, -0.000272859, -0.000219718, -0.000464405, -0.000207557, -6.80976e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.524132, 0.569555, 0.590581, 0.691903, 0.773326, 0.805515, 0.834426, 0.859123, 0.87032, 0.885249, 0.924468, 0.958448, 0.97726, 0.984907, 0.995668, 1.01084, 1.03946, 1.06907, 1.12827, 1.15602, 1.19078, 1.21606, 1.23464, 1.26279");
-            values ( \
-              "-0.0161318, -0.0175912, -0.0217842, -0.0483519, -0.0679419, -0.0788887, -0.0844628, -0.0818504, -0.077826, -0.0697313, -0.0452378, -0.0268068, -0.0175286, -0.0147491, -0.0121549, -0.0103619, -0.00803953, -0.00608928, -0.00345788, -0.00255065, -0.00160383, -0.00117656, -0.00142261, -0.000923108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.536236, 0.586451, 0.608213, 0.684844, 0.726652, 0.823014, 0.861698, 0.872736, 0.896851, 0.909089, 0.925407, 0.932293, 0.946067, 1.05219, 1.07613, 1.10798, 1.13559, 1.15853, 1.20087, 1.21588, 1.22275, 1.24027, 1.27869, 1.36103, 1.38259");
-            values ( \
-              "-0.0292568, -0.0297864, -0.0360037, -0.063702, -0.0778737, -0.108203, -0.123951, -0.127327, -0.129965, -0.128797, -0.123667, -0.120448, -0.112233, -0.0395553, -0.0296924, -0.0212214, -0.0155075, -0.0120342, -0.00812871, -0.00721519, -0.00770569, -0.00646482, -0.00460799, -0.00207693, -0.00172682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.551139, 0.638618, 0.698243, 0.727534, 0.781737, 0.818954, 0.846593, 0.952375, 0.977358, 0.999103, 1.02236, 1.04808, 1.12461, 1.1729, 1.1854, 1.2019, 1.21599, 1.22982, 1.2613, 1.30298, 1.32141, 1.35185, 1.38359, 1.4169, 1.43246, 1.46358, 1.5081, 1.55687, 1.61171, 1.67036, 1.78765, 1.90495");
-            values ( \
-              "-0.0391082, -0.0583039, -0.0847881, -0.0970658, -0.119116, -0.133357, -0.143399, -0.179002, -0.184239, -0.182198, -0.176104, -0.162271, -0.111709, -0.0765492, -0.0693658, -0.0617435, -0.0561571, -0.0523287, -0.0418201, -0.0308347, -0.0267191, -0.0206469, -0.0153699, -0.011454, -0.0100634, -0.00789988, -0.00579296, -0.00424269, -0.00302149, -0.00209864, -0.000980198, -0.000440977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.569074, 0.646156, 0.73166, 0.806493, 0.864837, 0.915239, 0.950315, 1.00896, 1.02612, 1.06042, 1.09703, 1.13035, 1.1667, 1.17696, 1.22812, 1.30972, 1.3722, 1.4239, 1.48781, 1.53067, 1.58175, 1.62045, 1.68467, 1.7291, 1.78775, 1.82012, 1.87877, 1.93742, 2.05472, 2.17202, 2.34796, 2.58256");
-            values ( \
-              "-0.067399, -0.0710026, -0.11326, -0.147969, -0.173186, -0.192485, -0.204109, -0.219073, -0.222696, -0.22834, -0.233181, -0.233697, -0.228734, -0.226205, -0.206743, -0.160963, -0.121673, -0.098926, -0.0766301, -0.0635987, -0.0502745, -0.0417774, -0.0303914, -0.0241657, -0.0176653, -0.0147677, -0.01073, -0.0079314, -0.00459774, -0.00281131, -0.00140265, -0.000557621" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0122816, 0.0122923, 0.0123049, 0.0123172, 0.0123271, 0.0123339", \
-            "0.0145998, 0.0146059, 0.0146144, 0.0146239, 0.0146325, 0.0146389", \
-            "0.0160432, 0.0160458, 0.01605, 0.0160558, 0.016062, 0.0160673", \
-            "0.0169174, 0.0169182, 0.0169196, 0.0169219, 0.0169252, 0.0169287", \
-            "0.017392, 0.0173923, 0.0173929, 0.0173936, 0.0173948, 0.0173965", \
-            "0.0176524, 0.0176528, 0.0176534, 0.0176536, 0.0176547, 0.0176559" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0167458, 0.0167558, 0.0167688, 0.0167827, 0.0167945, 0.0168031", \
-            "0.019007, 0.0190155, 0.0190266, 0.0190384, 0.0190487, 0.0190561", \
-            "0.0206836, 0.020695, 0.0207086, 0.0207223, 0.0207338, 0.020742", \
-            "0.0218361, 0.0218084, 0.0217749, 0.0217414, 0.0217149, 0.0216977", \
-            "0.0227426, 0.0226365, 0.0225035, 0.0223646, 0.0222464, 0.0221589", \
-            "0.023543, 0.0234362, 0.0232539, 0.0229672, 0.0226745, 0.0224522" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.128725, 0.144946, 0.175366, 0.232298, 0.339188, 0.541018", \
-            "0.134529, 0.150819, 0.181321, 0.238394, 0.345444, 0.547395", \
-            "0.147885, 0.164163, 0.194742, 0.251956, 0.359162, 0.561301", \
-            "0.17601, 0.192006, 0.222726, 0.279925, 0.387301, 0.58964", \
-            "0.234, 0.252191, 0.284637, 0.341828, 0.449368, 0.651797", \
-            "0.330984, 0.354447, 0.395909, 0.466659, 0.585457, 0.789366" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.137722, 0.159544, 0.20077, 0.279553, 0.429128, 0.714668", \
-            "0.137707, 0.159533, 0.200758, 0.279562, 0.429128, 0.714671", \
-            "0.137609, 0.159467, 0.200746, 0.279555, 0.429129, 0.71469", \
-            "0.139127, 0.160079, 0.200732, 0.279443, 0.429111, 0.71469", \
-            "0.159602, 0.178237, 0.214435, 0.286851, 0.430275, 0.714679", \
-            "0.217096, 0.237128, 0.271394, 0.335532, 0.463223, 0.726942" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0787614, 0.0890074, 0.108079, 0.143616, 0.210197, 0.33569", \
-            "0.0825318, 0.0927903, 0.111937, 0.147549, 0.214184, 0.339718", \
-            "0.0901688, 0.100517, 0.119792, 0.155475, 0.222316, 0.347926", \
-            "0.106585, 0.117617, 0.137235, 0.172874, 0.239914, 0.366126", \
-            "0.128783, 0.142707, 0.16709, 0.208685, 0.279403, 0.406311", \
-            "0.143854, 0.163379, 0.197315, 0.253699, 0.344225, 0.488918" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0781866, 0.0910319, 0.115763, 0.163407, 0.254093, 0.426172", \
-            "0.0780866, 0.0910267, 0.115905, 0.163383, 0.254099, 0.426174", \
-            "0.0779793, 0.0906287, 0.115814, 0.163396, 0.254066, 0.426152", \
-            "0.085425, 0.096833, 0.119777, 0.164789, 0.254043, 0.426163", \
-            "0.112365, 0.123706, 0.145388, 0.185859, 0.26582, 0.429275", \
-            "0.164845, 0.178451, 0.203418, 0.247458, 0.325807, 0.470266" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0507342, 0.0541723, 0.0589632, 0.0657593, 0.0669817, 0.0694266, 0.0743164, 0.0836774, 0.10057, 0.101264, 0.110982, 0.119892, 0.14034, 0.155457, 0.162222, 0.18696, 0.199151, 0.209777, 0.212848, 0.220021, 0.23052, 0.238116, 0.2565, 0.27039, 0.295615, 0.313589, 0.339127, 0.368302, 0.41617, 0.466294, 0.487086");
-            values ( \
-              "0.0358593, 0.0404852, 0.0416506, 0.0401065, 0.0408105, 0.0396712, 0.0397912, 0.037833, 0.0367343, 0.0362979, 0.0352487, 0.0339547, 0.0302516, 0.0296964, 0.030035, 0.0228326, 0.0200978, 0.0171845, 0.0170484, 0.0162342, 0.0148105, 0.0143869, 0.0124566, 0.010694, 0.00689061, 0.00511075, 0.00342181, 0.00215697, 0.000984344, 0.000430273, 0.00032956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0506625, 0.0554567, 0.0568463, 0.0589829, 0.0611074, 0.0653563, 0.0706023, 0.0801385, 0.0902998, 0.110257, 0.111, 0.121407, 0.132844, 0.156564, 0.16883, 0.180702, 0.20489, 0.222454, 0.228245, 0.244228, 0.250039, 0.268596, 0.279496, 0.290665, 0.307113, 0.316431, 0.342573, 0.355898, 0.370067, 0.407029, 0.440361, 0.464779, 0.507632, 0.579911, 0.66401");
-            values ( \
-              "0.0377615, 0.066232, 0.0656687, 0.0666051, 0.0657212, 0.0660445, 0.064498, 0.0636014, 0.0611625, 0.0591309, 0.0586475, 0.0572173, 0.055139, 0.0499265, 0.0490584, 0.0501042, 0.0405919, 0.0347134, 0.0315648, 0.0244601, 0.0236572, 0.0236994, 0.0224229, 0.020673, 0.0175653, 0.0154507, 0.0104921, 0.00862783, 0.0070403, 0.00416169, 0.00258711, 0.00192101, 0.000938468, 0.000373703, 2.67115e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0505175, 0.0573086, 0.0633976, 0.0646109, 0.0670376, 0.0718908, 0.0768797, 0.0868574, 0.102314, 0.127998, 0.141866, 0.186931, 0.213268, 0.253864, 0.282934, 0.292165, 0.35056, 0.406844, 0.451622, 0.501299, 0.575354, 0.632328, 0.665114");
-            values ( \
-              "0.0421689, 0.0971839, 0.0970509, 0.0977066, 0.0964976, 0.0965787, 0.0948026, 0.0937476, 0.0903361, 0.0873681, 0.0849385, 0.0753214, 0.0748392, 0.0600714, 0.044579, 0.0420233, 0.030866, 0.0186365, 0.0112267, 0.00638156, 0.00277057, 0.00139617, 0.00114734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0505495, 0.060334, 0.0707207, 0.105948, 0.162408, 0.243951, 0.252731, 0.282834, 0.311755, 0.350686, 0.404321, 0.4407, 0.534309, 0.604184, 0.668564, 0.761897, 0.917458, 1.08565");
-            values ( \
-              "0.0539626, 0.129737, 0.129616, 0.123111, 0.116894, 0.103134, 0.104145, 0.0992541, 0.091593, 0.0720171, 0.0604504, 0.0479843, 0.0268141, 0.0157876, 0.00929197, 0.00411016, 0.000768354, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0546489, 0.0546689, 0.165756, 0.209432, 0.224671, 0.254521, 0.286083, 0.31718, 0.355943, 0.411701, 0.449574, 0.477132, 0.513922, 0.531883, 0.670968, 0.728864, 0.817097, 0.86831, 0.923533, 1.0374, 1.12091, 1.20501, 1.2891, 1.43501");
-            values ( \
-              "1e-22, 0.160239, 0.146821, 0.14385, 0.141672, 0.13942, 0.134706, 0.131171, 0.128252, 0.120764, 0.110549, 0.101251, 0.0965312, 0.0925518, 0.0557832, 0.0430257, 0.0297187, 0.0233708, 0.0178985, 0.0100152, 0.00645602, 0.00422389, 0.00258654, 0.00121735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0600822, 0.0601022, 0.187715, 0.341737, 0.511756, 0.542834, 0.581242, 0.618785, 0.705132, 0.723162, 0.768609, 1.02492, 1.17869, 1.32123, 1.44383, 1.59722, 1.7438, 1.912, 2.24839, 2.75299");
-            values ( \
-              "1e-22, 0.19334, 0.168984, 0.161147, 0.148303, 0.147574, 0.142951, 0.140866, 0.126089, 0.126778, 0.12183, 0.0733896, 0.0492791, 0.033688, 0.0238253, 0.0150267, 0.00945393, 0.00541526, 0.0015773, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.068192, 0.073836, 0.0786872, 0.0867397, 0.103437, 0.130205, 0.139641, 0.160087, 0.175065, 0.181969, 0.206704, 0.218893, 0.229513, 0.232588, 0.239771, 0.250234, 0.257828, 0.276203, 0.290132, 0.315349, 0.33333, 0.358869, 0.388039, 0.435896, 0.486004, 0.507096");
-            values ( \
-              "0.00639897, 0.0401095, 0.0409958, 0.0404096, 0.0381632, 0.0353244, 0.0339545, 0.0302483, 0.0296999, 0.0300472, 0.0228353, 0.0200975, 0.0171864, 0.017048, 0.0162328, 0.0148148, 0.0143888, 0.0124609, 0.0106931, 0.0068929, 0.00511215, 0.00342298, 0.0021582, 0.000985335, 0.000429844, 0.000328051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0680762, 0.0751147, 0.0808351, 0.0903517, 0.110055, 0.151706, 0.176318, 0.187824, 0.199749, 0.223959, 0.242199, 0.248605, 0.267059, 0.269672, 0.274898, 0.283829, 0.294651, 0.306055, 0.353697, 0.375832, 0.391543, 0.407689, 0.441829, 0.505352, 0.561679, 0.641448, 0.725547");
-            values ( \
-              "0.00617785, 0.0646096, 0.0660197, 0.0649529, 0.0614481, 0.0554272, 0.0499383, 0.0490563, 0.0502483, 0.0408187, 0.0346935, 0.0312095, 0.0236575, 0.0238088, 0.0235685, 0.0238745, 0.0229975, 0.0214755, 0.0119695, 0.00861538, 0.00696806, 0.0054624, 0.00336494, 0.00131814, 0.000635684, 0.000111075, 9.43967e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0720171, 0.0771909, 0.0810842, 0.0906451, 0.122274, 0.160979, 0.176796, 0.206822, 0.211156, 0.219825, 0.229057, 0.238411, 0.269941, 0.30259, 0.311937, 0.322317, 0.346431, 0.3743, 0.42773, 0.450801, 0.471003, 0.493235, 0.535414, 0.596369, 0.654582, 0.728745, 0.812844");
-            values ( \
-              "0.0870579, 0.0958625, 0.09687, 0.0962831, 0.090652, 0.0850771, 0.082071, 0.0753487, 0.075031, 0.0754996, 0.0753694, 0.072838, 0.0616797, 0.0447064, 0.0420296, 0.04031, 0.0350513, 0.0300858, 0.0184001, 0.0142416, 0.0113448, 0.00877781, 0.00543498, 0.00270683, 0.00138683, 0.000541167, 0.000215924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0719963, 0.0802757, 0.0874814, 0.125937, 0.182417, 0.218533, 0.262681, 0.271965, 0.280711, 0.29409, 0.33501, 0.372152, 0.408521, 0.42441, 0.481712, 0.523082, 0.575247, 0.611931, 0.649595, 0.688859, 0.744597, 0.780985, 0.852264, 0.936362, 1.10456");
-            values ( \
-              "0.0885337, 0.128425, 0.129241, 0.123399, 0.116584, 0.111149, 0.10328, 0.103586, 0.10297, 0.100771, 0.0899664, 0.0719349, 0.0641952, 0.0600093, 0.0421687, 0.0332563, 0.0233797, 0.0177829, 0.0132597, 0.00977495, 0.00615011, 0.00464745, 0.00248178, 0.00128116, 0.000342839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0764737, 0.0764937, 0.156759, 0.229785, 0.27464, 0.337297, 0.37606, 0.437839, 0.469523, 0.496794, 0.53417, 0.552213, 0.691124, 0.74902, 0.800135, 0.888717, 0.943079, 0.989776, 1.05731, 1.14139, 1.22549, 1.30959, 1.47778, 1.73008");
-            values ( \
-              "1e-22, 0.167168, 0.149843, 0.143505, 0.139092, 0.130842, 0.128248, 0.11973, 0.110598, 0.101378, 0.0964966, 0.092509, 0.0557716, 0.0430206, 0.0348255, 0.0233423, 0.0179527, 0.0143289, 0.0100293, 0.00644684, 0.00421198, 0.00258492, 0.00100967, 0.000334465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0762208, 0.0762408, 0.206893, 0.361782, 0.634286, 0.725397, 0.743444, 0.788785, 1.05639, 1.20594, 1.33577, 1.46372, 1.63172, 1.76463, 1.93282, 2.26922, 2.32667");
-            values ( \
-              "1e-22, 0.182298, 0.16935, 0.160822, 0.141049, 0.126441, 0.126393, 0.121441, 0.0717727, 0.0486886, 0.0347619, 0.0241903, 0.0147487, 0.00981087, 0.00577954, 0.0019484, 0.00176515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.11265, 0.116928, 0.122347, 0.126783, 0.130843, 0.138965, 0.173624, 0.183825, 0.204262, 0.214906, 0.225771, 0.248633, 0.27363, 0.283875, 0.294543, 0.302189, 0.320701, 0.334269, 0.359575, 0.377461, 0.402974, 0.432162, 0.479913, 0.530384, 0.558424");
-            values ( \
-              "0.0264294, 0.034909, 0.0375567, 0.0388076, 0.0392418, 0.0391686, 0.0354705, 0.0339786, 0.03026, 0.0295168, 0.0305027, 0.023469, 0.0171967, 0.0162459, 0.0147796, 0.0143931, 0.0124381, 0.0107181, 0.00685637, 0.00508705, 0.00339959, 0.00213411, 0.000964833, 0.000450205, 0.000300492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.114895, 0.129864, 0.134599, 0.143803, 0.18526, 0.196875, 0.220591, 0.23289, 0.244635, 0.26906, 0.285676, 0.294257, 0.306433, 0.314031, 0.322357, 0.332432, 0.343059, 0.365932, 0.392968, 0.40803, 0.419956, 0.432273, 0.448696, 0.467485, 0.485826, 0.509008, 0.539918, 0.58856, 0.667734, 0.751832");
-            values ( \
-              "0.0629563, 0.063236, 0.0637156, 0.063216, 0.0572949, 0.0551756, 0.0498999, 0.0491282, 0.0500835, 0.0405464, 0.0350873, 0.0307065, 0.0252153, 0.0234449, 0.0238289, 0.0235753, 0.0225945, 0.0184925, 0.0129609, 0.0103296, 0.00867051, 0.00726798, 0.00578871, 0.00442003, 0.00341501, 0.00245728, 0.00160421, 0.000752435, 0.000233045, 3.87266e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.114653, 0.124769, 0.128439, 0.134904, 0.141008, 0.205635, 0.220989, 0.251047, 0.266526, 0.279651, 0.314476, 0.347175, 0.35635, 0.367404, 0.378621, 0.420431, 0.485565, 0.518341, 0.540186, 0.566598, 0.605373, 0.634148, 0.683444, 0.755348, 0.759944");
-            values ( \
-              "0.0760443, 0.0901562, 0.0926596, 0.0944552, 0.094635, 0.0849809, 0.0821049, 0.0753699, 0.0752887, 0.0742824, 0.061551, 0.0445284, 0.0420261, 0.0401168, 0.0373651, 0.0296842, 0.0158288, 0.0109334, 0.00853321, 0.00632327, 0.00407311, 0.00294292, 0.00164446, 0.000700494, 0.000684007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.118011, 0.118031, 0.226889, 0.305506, 0.314461, 0.339179, 0.376409, 0.416757, 0.453105, 0.526227, 0.597926, 0.668914, 0.733131, 0.825675, 0.954782");
-            values ( \
-              "1e-22, 0.131562, 0.116454, 0.103428, 0.103802, 0.100724, 0.0911165, 0.0718128, 0.0642546, 0.0422398, 0.0274161, 0.0161918, 0.00972065, 0.00457015, 0.00177855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.120641, 0.120661, 0.195175, 0.289252, 0.319079, 0.381762, 0.420524, 0.482304, 0.514051, 0.541418, 0.551934, 0.578592, 0.596607, 0.735574, 0.793475, 0.844516, 0.933067, 0.987753, 1.03437, 1.10184, 1.18569, 1.26979, 1.35389, 1.52208, 1.77438");
-            values ( \
-              "1e-22, 0.166686, 0.150387, 0.142067, 0.139024, 0.13077, 0.128253, 0.119733, 0.110579, 0.101333, 0.100309, 0.0965101, 0.0925218, 0.0557784, 0.0430203, 0.0348385, 0.0233553, 0.0179346, 0.0143195, 0.0100263, 0.00645281, 0.00421563, 0.00258744, 0.00101075, 0.000334629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.120428, 0.120448, 0.270129, 0.454822, 0.561482, 0.606185, 0.680996, 0.753734, 0.790235, 0.835314, 1.10657, 1.25649, 1.3667, 1.50791, 1.72795, 1.98874, 2.24104, 2.3129");
-            values ( \
-              "1e-22, 0.180477, 0.16843, 0.15752, 0.149016, 0.147645, 0.140894, 0.128371, 0.126071, 0.120956, 0.0708469, 0.0479439, 0.036028, 0.0242369, 0.0126131, 0.00569546, 0.00249427, 0.00204434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.187779, 0.199749, 0.208494, 0.227796, 0.235315, 0.248656, 0.252805, 0.261225, 0.269625, 0.278596, 0.30498, 0.313528, 0.322092, 0.367876, 0.386929, 0.412279, 0.458949, 0.480028, 0.492574, 0.524946, 0.562679, 0.605803, 0.670737, 0.747394");
-            values ( \
-              "0.0017593, 0.0201591, 0.0246362, 0.0302962, 0.0315043, 0.0329258, 0.0349984, 0.0354316, 0.0353487, 0.0343471, 0.0296949, 0.0303058, 0.0300735, 0.0175279, 0.0151468, 0.01291, 0.0065006, 0.00463528, 0.00378898, 0.00227388, 0.00125089, 0.000585311, 0.000190779, 3.3661e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.187791, 0.201784, 0.207589, 0.219425, 0.225475, 0.237577, 0.24869, 0.24943, 0.25091, 0.253869, 0.256347, 0.261302, 0.268798, 0.270885, 0.27506, 0.283411, 0.29263, 0.308766, 0.316276, 0.328531, 0.340392, 0.34136, 0.343295, 0.347165, 0.352362, 0.360175, 0.364524, 0.369368, 0.369943, 0.382117, 0.403872, 0.409694, 0.428179, 0.4391, 0.46673, 0.502305, 0.529511, 0.566504, 0.600075, 0.624686, 0.668139, 0.740653, 0.824751");
-            values ( \
-              "0.00185866, 0.0337572, 0.0387479, 0.0456605, 0.0481479, 0.0518234, 0.0538753, 0.058794, 0.0546617, 0.0594889, 0.0555103, 0.0607367, 0.0564692, 0.060862, 0.0560114, 0.0593858, 0.0531447, 0.0538493, 0.047757, 0.051477, 0.0478471, 0.0517362, 0.0465134, 0.0494477, 0.04314, 0.0444786, 0.0384262, 0.041323, 0.0389039, 0.0347361, 0.0245072, 0.0236466, 0.0236826, 0.0224759, 0.0175316, 0.0105125, 0.00709703, 0.00420883, 0.00262149, 0.00187641, 0.000962518, 0.000330777, 6.25884e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.187958, 0.205203, 0.217146, 0.227101, 0.233829, 0.248861, 0.250878, 0.254207, 0.261604, 0.268579, 0.276551, 0.289312, 0.307862, 0.347175, 0.360806, 0.374334, 0.410316, 0.439054, 0.447945, 0.474108, 0.515372, 0.563072, 0.595685, 0.625849, 0.672888, 0.691695, 0.761996, 0.910836, 0.994934");
-            values ( \
-              "0.00179572, 0.0530426, 0.0657009, 0.071937, 0.0755623, 0.0809047, 0.0848077, 0.0862268, 0.0882895, 0.089062, 0.0887839, 0.0872162, 0.0841178, 0.0753605, 0.0752874, 0.074713, 0.0616862, 0.0464537, 0.0430167, 0.0375068, 0.0299787, 0.0193521, 0.0134101, 0.00953116, 0.0055598, 0.00448303, 0.00195197, 0.000258252, 0.000193361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.187882, 0.210222, 0.211968, 0.225635, 0.237907, 0.248795, 0.251355, 0.254495, 0.262066, 0.269359, 0.277249, 0.285023, 0.322751, 0.362278, 0.383961, 0.403421, 0.409863, 0.417224, 0.430405, 0.445182, 0.472091, 0.49668, 0.511351, 0.522286, 0.534407, 0.547292, 0.563396, 0.603754, 0.627183, 0.663504, 0.71427, 0.752134, 0.791971, 0.832998, 0.858765, 0.883374, 0.916187, 0.981811, 1.06556, 1.14966, 1.23375");
-            values ( \
-              "0.00268555, 0.0777022, 0.0805913, 0.0951651, 0.10389, 0.109462, 0.114723, 0.116899, 0.120334, 0.121947, 0.122113, 0.121386, 0.116563, 0.110553, 0.106309, 0.103305, 0.103703, 0.103358, 0.101598, 0.0982019, 0.0911403, 0.0798452, 0.0723266, 0.0694475, 0.0673586, 0.0646198, 0.0603513, 0.0475715, 0.0409277, 0.0332664, 0.0236385, 0.0178306, 0.0130754, 0.00944617, 0.00763701, 0.00625774, 0.00481465, 0.00275688, 0.00137459, 0.00064921, 0.000341691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.198212, 0.217819, 0.230486, 0.248788, 0.253671, 0.259489, 0.26963, 0.278975, 0.292441, 0.385403, 0.450874, 0.475058, 0.503827, 0.511704, 0.575328, 0.616934, 0.631588, 0.64484, 0.659477, 0.676489, 0.695136, 0.832304, 0.890028, 0.940441, 0.977763, 1.02912, 1.08405, 1.13061, 1.19803, 1.2817, 1.3658, 1.4499, 1.53399, 1.70219, 1.87039");
-            values ( \
-              "0.0674102, 0.106537, 0.120199, 0.134048, 0.142193, 0.146534, 0.150705, 0.151467, 0.150705, 0.142094, 0.134711, 0.131278, 0.129024, 0.128806, 0.120296, 0.10859, 0.10323, 0.100318, 0.0988946, 0.0960374, 0.0920972, 0.0556008, 0.0429833, 0.0349275, 0.0296747, 0.0234185, 0.017974, 0.0142608, 0.0100769, 0.00651009, 0.00416621, 0.00264193, 0.00168986, 0.000686728, 0.000282381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.211221, 0.252795, 0.269898, 0.278759, 0.292209, 0.503071, 0.658156, 0.702666, 0.737234, 0.774921, 0.850651, 0.868418, 0.886879, 0.931815, 1.20325, 1.35315, 1.46333, 1.54743, 1.62399, 1.74053, 1.82463, 1.93652, 2.0853, 2.2535, 2.4217, 2.5289");
-            values ( \
-              "0.160166, 0.160557, 0.172132, 0.173356, 0.173106, 0.160757, 0.148982, 0.147688, 0.143709, 0.141206, 0.128418, 0.126496, 0.126151, 0.12106, 0.0709097, 0.0480104, 0.0359658, 0.0285199, 0.0229883, 0.0163272, 0.0126702, 0.00898197, 0.00564223, 0.00331367, 0.00193892, 0.00160837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.350945, 0.382088, 0.420076, 0.434216, 0.460443, 0.48472, 0.508373, 0.513843, 0.520095, 0.529543, 0.540386, 0.550208, 0.55264, 0.563385, 0.578294, 0.583265, 0.593824, 0.618157, 0.636513, 0.66809, 0.678391, 0.698165, 0.731291, 0.758211, 0.778134, 0.81798, 0.883364, 0.961946");
-            values ( \
-              "0.00724121, 0.0139735, 0.0211182, 0.023387, 0.026608, 0.0274337, 0.0261863, 0.0266493, 0.0276977, 0.0277834, 0.025956, 0.02384, 0.0240802, 0.0220591, 0.018013, 0.0177026, 0.0161406, 0.0138365, 0.0114406, 0.00680404, 0.0057338, 0.00419193, 0.00248659, 0.00160388, 0.00119398, 0.000575371, 0.00020102, 1.97242e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.350788, 0.368884, 0.386829, 0.409454, 0.44416, 0.473166, 0.500191, 0.52611, 0.542847, 0.550132, 0.553908, 0.580334, 0.59178, 0.615901, 0.622559, 0.631246, 0.641255, 0.652564, 0.70758, 0.728049, 0.75596, 0.790048, 0.845906, 0.901106, 0.979626, 1.06372");
-            values ( \
-              "0.00976047, 0.017768, 0.0239935, 0.0310421, 0.0404503, 0.0453837, 0.0467695, 0.0451141, 0.0477279, 0.0470903, 0.0474867, 0.0400064, 0.0359554, 0.0248278, 0.0242423, 0.0242947, 0.0239936, 0.0224656, 0.0116142, 0.00859449, 0.00577552, 0.00356521, 0.0015926, 0.000750127, 0.00016286, 9.1717e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.350665, 0.373922, 0.394851, 0.441625, 0.461261, 0.49542, 0.527608, 0.550016, 0.573695, 0.586261, 0.619575, 0.655705, 0.773987, 0.823491, 0.868396, 0.891625, 0.965814, 1.02712, 1.07186");
-            values ( \
-              "0.0118669, 0.0288162, 0.0391324, 0.0593415, 0.0658425, 0.0725522, 0.0743534, 0.0730974, 0.0761895, 0.0747438, 0.0629836, 0.0445164, 0.0197135, 0.0112883, 0.00677361, 0.00520152, 0.00226894, 0.00104544, 0.00079397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.367462, 0.408074, 0.440198, 0.469333, 0.490142, 0.533845, 0.550049, 0.558974, 0.572199, 0.574844, 0.580134, 0.608598, 0.63318, 0.646704, 0.676176, 0.68437, 0.725247, 0.761505, 0.794054, 0.812716, 0.834483, 0.87586, 0.906156, 0.928039, 0.958427, 0.977228, 1.0023, 1.04164, 1.07913, 1.13368, 1.20351, 1.2876, 1.3717, 1.4558");
-            values ( \
-              "0.0502587, 0.0602782, 0.0791753, 0.0923587, 0.0988407, 0.106802, 0.10818, 0.110565, 0.110543, 0.110331, 0.109682, 0.104203, 0.103241, 0.100879, 0.0935911, 0.0912148, 0.0717508, 0.0642611, 0.0548628, 0.0486517, 0.0422609, 0.03334, 0.02743, 0.0234529, 0.0187301, 0.0161947, 0.013335, 0.00970957, 0.00716996, 0.0045886, 0.00257902, 0.00123596, 0.000628821, 0.000284959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.367387, 0.39463, 0.428468, 0.470516, 0.512535, 0.549977, 0.558254, 0.56898, 0.578408, 0.597265, 0.623521, 0.70016, 0.72643, 0.791, 0.832151, 0.848356, 0.88791, 0.906268, 1.04452, 1.10238, 1.15388, 1.1913, 1.2427, 1.29487, 1.34207, 1.41001, 1.49551, 1.57961, 1.66371, 1.83191, 2.0842");
-            values ( \
-              "0.0625522, 0.0626081, 0.0883866, 0.11439, 0.130033, 0.138181, 0.141881, 0.143568, 0.143828, 0.142519, 0.139608, 0.129764, 0.128767, 0.119757, 0.10771, 0.101901, 0.0963401, 0.092369, 0.0557172, 0.0430253, 0.034757, 0.029603, 0.0232674, 0.018089, 0.0143943, 0.0100631, 0.00642176, 0.00418753, 0.00257762, 0.00100963, 0.000328014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.367408, 0.45928, 0.517812, 0.562821, 0.578703, 0.594072, 0.715729, 0.871155, 0.91198, 0.991707, 1.06306, 1.0807, 1.09908, 1.1441, 1.40375, 1.55384, 1.70352, 1.81785, 1.95697, 2.04107, 2.14737, 2.29232, 2.46052, 2.62872, 2.70784");
-            values ( \
-              "0.0544294, 0.123192, 0.1512, 0.165727, 0.168226, 0.168056, 0.160688, 0.14898, 0.147898, 0.140664, 0.128498, 0.126476, 0.12622, 0.121158, 0.0730424, 0.0496241, 0.0333625, 0.0242485, 0.0161283, 0.0125326, 0.00901197, 0.00572333, 0.00335387, 0.00195432, 0.0017059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.660721, 0.704214, 0.73875, 0.809966, 0.869682, 0.906303, 0.910139, 0.925482, 0.938858, 0.953923, 0.96749, 0.970071, 0.975232, 0.990429, 0.996206, 1.00452, 1.0085, 1.0126, 1.01607, 1.02003, 1.02471, 1.03159, 1.04619, 1.05612, 1.06532, 1.0745, 1.09978, 1.12476, 1.14862, 1.17499, 1.2143, 1.21577, 1.21871, 1.2246, 1.25568, 1.28193, 1.32087, 1.39092, 1.47502");
-            values ( \
-              "0.00144919, 0.00457798, 0.00690766, 0.013129, 0.0176697, 0.0193686, 0.019451, 0.0193252, 0.019807, 0.0218195, 0.0205511, 0.0205798, 0.020003, 0.0187925, 0.0185325, 0.0173962, 0.0163832, 0.0159653, 0.0160646, 0.0160961, 0.0158982, 0.0152584, 0.0147418, 0.014141, 0.0133543, 0.0123861, 0.00886473, 0.00635557, 0.0046019, 0.0031591, 0.00173187, 0.00173076, 0.00195467, 0.00176836, 0.00113292, 0.000729576, 0.000383444, 0.000104758, 2.59162e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.686632, 0.745713, 0.80792, 0.887623, 0.927611, 0.951376, 0.962218, 0.977835, 1.02622, 1.06345, 1.07216, 1.08107, 1.09239, 1.16733, 1.21566, 1.29077, 1.34032, 1.41054, 1.49464");
-            values ( \
-              "0.0088808, 0.0121768, 0.0210126, 0.0309409, 0.0341002, 0.0342942, 0.0350756, 0.0377845, 0.0325779, 0.0235341, 0.024386, 0.0244188, 0.0238817, 0.0110559, 0.00596431, 0.00238052, 0.00114424, 0.000387556, 9.99284e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.686653, 0.744122, 0.837507, 0.918892, 0.964169, 0.990797, 1.00375, 1.01971, 1.03655, 1.06423, 1.07318, 1.10471, 1.12656, 1.17562, 1.25429, 1.3005, 1.33059, 1.35197, 1.40349, 1.46237, 1.54433, 1.62843");
-            values ( \
-              "0.013131, 0.0180067, 0.0370521, 0.0518199, 0.0567348, 0.0571941, 0.0584174, 0.0609434, 0.059991, 0.0565248, 0.0545097, 0.0435106, 0.0406538, 0.0327558, 0.0169024, 0.0101533, 0.00724949, 0.00569715, 0.00318281, 0.00158851, 0.000584062, 0.000207121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.68728, 0.731604, 0.91086, 0.970728, 1.02396, 1.05068, 1.09716, 1.13541, 1.14425, 1.19077, 1.22698, 1.28851, 1.36818, 1.42396, 1.48614, 1.54924, 1.64693, 1.72935, 1.74742");
-            values ( \
-              "0.0186433, 0.0218615, 0.0687035, 0.0812607, 0.0869889, 0.0875485, 0.0907624, 0.0871949, 0.0856918, 0.0700533, 0.0655698, 0.0465352, 0.0287546, 0.0191915, 0.0118031, 0.00709024, 0.00315935, 0.00158981, 0.00141079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.712844, 0.785095, 0.924303, 0.974792, 1.05235, 1.12371, 1.15942, 1.19332, 1.22988, 1.25837, 1.31278, 1.3784, 1.57251, 1.65949, 1.76781, 1.88078, 2.04703, 2.13575");
-            values ( \
-              "0.0389065, 0.0432876, 0.0889467, 0.102804, 0.116911, 0.121835, 0.122141, 0.123859, 0.123463, 0.120303, 0.103676, 0.0919997, 0.0430147, 0.029781, 0.017822, 0.0100302, 0.00420942, 0.00309843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.713025, 0.783589, 0.917265, 1.00684, 1.07516, 1.15926, 1.23555, 1.258, 1.34371, 1.37018, 1.46171, 1.53687, 1.57412, 1.61871, 1.88652, 2.03637, 2.14645, 2.30723, 2.5081, 2.61959, 2.76809, 3.08645");
-            values ( \
-              "0.0433049, 0.04845, 0.0989229, 0.127014, 0.140534, 0.151319, 0.156353, 0.155967, 0.149117, 0.148511, 0.140725, 0.127911, 0.125797, 0.120424, 0.0709124, 0.0480175, 0.0359735, 0.0229866, 0.0126607, 0.00898589, 0.00564952, 0.00213981" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.01252, 0.0125343, 0.0125504, 0.0125655, 0.0125773, 0.0125852", \
-            "0.0167118, 0.0167265, 0.0167452, 0.0167644, 0.0167807, 0.0167923", \
-            "0.0198053, 0.0198169, 0.0198337, 0.0198538, 0.019873, 0.0198879", \
-            "0.0215499, 0.0215557, 0.0215652, 0.0215789, 0.0215946, 0.0216088", \
-            "0.0224217, 0.0224106, 0.0223979, 0.0223864, 0.0223797, 0.022378", \
-            "0.0229209, 0.0228981, 0.022865, 0.022821, 0.0227748, 0.0227369" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0206286, 0.020644, 0.0206642, 0.0206854, 0.0207036, 0.0207166", \
-            "0.0230305, 0.0230314, 0.0230383, 0.0230511, 0.0230655, 0.0230774", \
-            "0.0218905, 0.0218396, 0.0217773, 0.0217047, 0.0216525, 0.0216145", \
-            "0.02064, 0.0205359, 0.0204002, 0.0202523, 0.020128, 0.0200167", \
-            "0.0206714, 0.0204566, 0.0201687, 0.0198772, 0.0196154, 0.0194097", \
-            "0.0201305, 0.0201809, 0.0202766, 0.0202141, 0.019868, 0.0194719" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.029386, 0.0321864, 0.0385084, 0.0463661, 0.0523223, 0.0537104, 0.0564865, 0.0611971, 0.0659316, 0.0754008, 0.0840686, 0.0903481, 0.102158, 0.113957, 0.117799, 0.131961, 0.145348, 0.160185, 0.172181, 0.185239, 0.191774, 0.195819, 0.203909, 0.210074, 0.215916, 0.221475, 0.228694, 0.234713, 0.241571, 0.251788, 0.260186, 0.26884, 0.278083, 0.291144, 0.308063, 0.33653, 0.372124, 0.413645, 0.461478, 0.520127");
-            values ( \
-              "-0.0350642, -0.0587187, -0.0548311, -0.053865, -0.0539474, -0.0536844, -0.0538328, -0.0534116, -0.0533986, -0.0528631, -0.0539528, -0.0561102, -0.0549776, -0.0461303, -0.0443191, -0.0319714, -0.0224031, -0.0148179, -0.00979762, -0.00750272, -0.00748812, -0.00638875, -0.00617303, -0.0047988, -0.0047645, -0.00341683, -0.00319058, -0.00180635, -0.00170524, -0.000674254, -0.00111828, -0.000601155, -0.00111754, -0.00063171, -0.00107093, -0.000452119, -0.000711306, -4.31854e-05, -0.000368928, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0293507, 0.033035, 0.0359036, 0.0393189, 0.0490399, 0.0564906, 0.0579943, 0.0610016, 0.0665531, 0.0721654, 0.0833901, 0.0926632, 0.100571, 0.114447, 0.128314, 0.132535, 0.149098, 0.164779, 0.181104, 0.181858, 0.191996, 0.204168, 0.21902, 0.220481, 0.223403, 0.228131, 0.233374, 0.240243, 0.246488, 0.254571, 0.26593, 0.275283, 0.28528, 0.293617, 0.30458, 0.315776, 0.329715, 0.346247, 0.369896, 0.402674, 0.448676, 0.501811, 0.56046");
-            values ( \
-              "-0.0400258, -0.095284, -0.0921747, -0.0901071, -0.0885061, -0.088557, -0.0881762, -0.0883058, -0.0876404, -0.0874543, -0.0864602, -0.0876853, -0.0907121, -0.0887817, -0.075459, -0.0724644, -0.052823, -0.0373521, -0.0257676, -0.0258815, -0.0199224, -0.0137809, -0.00897398, -0.00938656, -0.00829084, -0.00816649, -0.00686713, -0.00655071, -0.0054173, -0.00528881, -0.00395202, -0.00359158, -0.00251882, -0.0026915, -0.00198833, -0.00229811, -0.00163687, -0.00187815, -0.00106666, -0.00112701, -0.000242706, -0.000455061, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0293515, 0.0343795, 0.0374374, 0.0435747, 0.0551425, 0.0691084, 0.0997783, 0.10174, 0.105662, 0.119665, 0.133469, 0.14528, 0.156846, 0.184247, 0.207318, 0.228404, 0.245065, 0.267005, 0.281879, 0.293695, 0.308467, 0.327673, 0.360018, 0.419591, 0.47691, 0.581626, 0.640274");
-            values ( \
-              "-0.0469581, -0.141291, -0.138188, -0.135126, -0.133894, -0.133349, -0.129973, -0.130471, -0.13054, -0.134174, -0.133193, -0.123817, -0.111114, -0.0760795, -0.052195, -0.0356682, -0.0256872, -0.0147132, -0.00980347, -0.00824471, -0.00716064, -0.00662463, -0.00466308, -0.00272658, -0.00136797, -0.000239066, -0.00024583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0313162, 0.0363418, 0.0435124, 0.0488515, 0.101621, 0.124108, 0.141791, 0.155188, 0.180539, 0.197855, 0.249895, 0.281419, 0.326883, 0.347304, 0.364472, 0.42088, 0.495714, 0.611333");
-            values ( \
-              "-0.167493, -0.190008, -0.185771, -0.184986, -0.180428, -0.177393, -0.177726, -0.180332, -0.173683, -0.159373, -0.0994033, -0.0697341, -0.0361557, -0.0272135, -0.0222529, -0.011576, -0.00587705, -0.00238589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0322813, 0.0338717, 0.0436058, 0.0506046, 0.106498, 0.182924, 0.202355, 0.221908, 0.24136, 0.261459, 0.281484, 0.36077, 0.420056, 0.442208, 0.47024, 0.496094, 0.529943, 0.570921, 0.648569, 0.702058, 0.744342, 0.79286, 0.902993, 1.13759");
-            values ( \
-              "-0.234243, -0.235682, -0.231295, -0.230184, -0.227094, -0.219186, -0.218722, -0.219341, -0.216678, -0.209044, -0.197635, -0.129364, -0.081864, -0.067377, -0.0547847, -0.0459109, -0.0361424, -0.0266244, -0.0132731, -0.00838895, -0.00627778, -0.00448474, -0.0022777, -0.000464029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0326117, 0.0366833, 0.0459401, 0.0937317, 0.155563, 0.2725, 0.341349, 0.359512, 0.38373, 0.413527, 0.43601, 0.488364, 0.562954, 0.616451, 0.641278, 0.670114, 0.734417, 0.779, 0.832369, 0.867948, 0.926909, 0.972698, 1.03135, 1.06649, 1.12514, 1.18378, 1.30108, 1.41838, 1.59432, 1.82892");
-            values ( \
-              "-0.262995, -0.266303, -0.265195, -0.263629, -0.260258, -0.250872, -0.247804, -0.24647, -0.242297, -0.234855, -0.226145, -0.199334, -0.15706, -0.122993, -0.110698, -0.0991376, -0.0766645, -0.0631155, -0.0493352, -0.0415899, -0.031072, -0.0245432, -0.0179578, -0.014782, -0.0107402, -0.00792943, -0.0045935, -0.00280833, -0.00140599, -0.000560741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0463304, 0.0500758, 0.0509088, 0.0516286, 0.0536444, 0.0546287, 0.0593633, 0.0641461, 0.0713506, 0.0754772, 0.0835867, 0.0959207, 0.101233, 0.106717, 0.110592, 0.115131, 0.119713, 0.132761, 0.139725, 0.147932, 0.159022, 0.168875, 0.183419, 0.19048, 0.197689, 0.203911, 0.210458, 0.21349, 0.219553, 0.225492, 0.231732, 0.236909, 0.245152, 0.25122, 0.265184, 0.268673, 0.27565, 0.283021, 0.293304, 0.304568, 0.461442, 0.573194");
-            values ( \
-              "-0.0232949, -0.0467944, -0.0477621, -0.0571049, -0.0583144, -0.0582627, -0.0559052, -0.0545714, -0.0539022, -0.0537925, -0.0532571, -0.0530252, -0.0540182, -0.0555758, -0.0563591, -0.0561679, -0.0547018, -0.0458338, -0.0406452, -0.0331915, -0.02513, -0.0191338, -0.0125292, -0.00974475, -0.00838131, -0.00757765, -0.00720747, -0.00653949, -0.00623858, -0.00522007, -0.00481992, -0.00387294, -0.00315974, -0.00213054, -0.00098739, -0.00105077, -0.000793874, -0.000906284, -0.000795492, -0.000923047, -0.000132611, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0468229, 0.0515251, 0.0555338, 0.0563618, 0.0580179, 0.0648635, 0.0696573, 0.0761823, 0.0831975, 0.0903426, 0.104547, 0.104886, 0.118751, 0.132626, 0.183049, 0.210324, 0.23719, 0.265901, 0.322578, 0.428664");
-            values ( \
-              "-0.0069374, -0.099048, -0.103802, -0.0859171, -0.102023, -0.0813861, -0.0974332, -0.0800179, -0.0963609, -0.0789129, -0.0949795, -0.0864614, -0.0907231, -0.0887687, -0.0372261, -0.0198044, -0.00894288, -0.00524849, -0.00191923, -0.00111032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0463568, 0.052445, 0.0550687, 0.0574852, 0.0612994, 0.0654467, 0.0672557, 0.0744919, 0.0836498, 0.110431, 0.119546, 0.137449, 0.155297, 0.173138, 0.174858, 0.194998, 0.208918, 0.220298, 0.232978, 0.247323, 0.26456, 0.282801, 0.289366, 0.297434, 0.308456, 0.322366, 0.340119, 0.369283, 0.428888, 0.479422, 0.517908, 0.576557, 0.635205");
-            values ( \
-              "-0.0190292, -0.136398, -0.142006, -0.141062, -0.13801, -0.13579, -0.135271, -0.134185, -0.133593, -0.130565, -0.130378, -0.133638, -0.131312, -0.11207, -0.111477, -0.0851012, -0.068298, -0.0566198, -0.0456154, -0.0352173, -0.0250177, -0.015761, -0.0129995, -0.010484, -0.00842318, -0.00742347, -0.00674956, -0.00512907, -0.00306511, -0.00176334, -0.00110679, -0.000459896, -0.000217612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0463751, 0.054487, 0.0559825, 0.0585717, 0.0615378, 0.0656576, 0.0695696, 0.0735806, 0.0815804, 0.0931309, 0.107739, 0.122771, 0.147932, 0.157727, 0.173138, 0.183065, 0.19834, 0.211064, 0.226074, 0.248816, 0.265125, 0.283931, 0.300172, 0.317462, 0.330859, 0.337466, 0.343994, 0.352217, 0.363913, 0.382215, 0.400988, 0.426018, 0.452046, 0.473748, 0.502197, 0.525662, 0.583508, 0.618864, 0.663122, 0.72177, 0.780419, 0.839067");
-            values ( \
-              "-0.0312519, -0.190207, -0.191997, -0.19145, -0.189064, -0.186733, -0.185355, -0.184775, -0.183891, -0.183204, -0.181767, -0.179706, -0.177055, -0.177752, -0.180089, -0.17975, -0.173124, -0.163704, -0.147774, -0.120806, -0.102213, -0.0832653, -0.0689911, -0.0553386, -0.0453235, -0.0406854, -0.0366424, -0.0323588, -0.0276031, -0.0222249, -0.0179601, -0.0133046, -0.0100277, -0.00815582, -0.00643363, -0.00539448, -0.00343474, -0.00252059, -0.00164368, -0.000886979, -0.000455768, -0.000237939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0499416, 0.0529837, 0.0576457, 0.0743637, 0.124417, 0.200845, 0.239827, 0.259279, 0.279378, 0.299403, 0.37873, 0.451174, 0.476406, 0.517515, 0.581319, 0.61344, 0.675227, 0.733193, 0.777281, 0.939023, 0.944784");
-            values ( \
-              "-0.181973, -0.223027, -0.23654, -0.230408, -0.226854, -0.21919, -0.219344, -0.216675, -0.209048, -0.197632, -0.129333, -0.0727191, -0.0595241, -0.0446839, -0.0282746, -0.0218047, -0.0123455, -0.00770375, -0.00562207, -0.00211078, -0.00207053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0502536, 0.0537993, 0.0556909, 0.0576583, 0.0602111, 0.0673429, 0.0727949, 0.0809778, 0.0909518, 0.119866, 0.169429, 0.229044, 0.23617, 0.275088, 0.300238, 0.350538, 0.365197, 0.394517, 0.431168, 0.445761, 0.461327, 0.482338, 0.506269, 0.580754, 0.633162, 0.656284, 0.683942, 0.712483, 0.752235, 0.780289, 0.817694, 0.876343, 0.895165, 0.932809, 0.980783, 1.03943, 1.0737, 1.10386, 1.14406, 1.20271, 1.26136, 1.32001, 1.37866, 1.4373, 1.49595, 1.61325, 1.84784");
-            values ( \
-              "-0.207493, -0.257948, -0.266602, -0.269305, -0.26951, -0.266933, -0.265659, -0.264958, -0.264302, -0.263118, -0.260387, -0.255928, -0.255211, -0.252106, -0.250503, -0.247756, -0.247555, -0.243726, -0.234851, -0.229569, -0.222821, -0.21232, -0.199325, -0.15715, -0.123703, -0.111928, -0.100595, -0.0900966, -0.0766425, -0.0679391, -0.0574322, -0.0435547, -0.0397642, -0.0330074, -0.025832, -0.0189317, -0.0156811, -0.0133039, -0.0107036, -0.00790126, -0.00597494, -0.00458044, -0.00357265, -0.00280211, -0.00221945, -0.00139874, -0.00055481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0775549, 0.0825759, 0.0895918, 0.0962926, 0.103805, 0.112569, 0.114418, 0.116861, 0.126437, 0.132498, 0.1382, 0.146459, 0.158255, 0.170052, 0.17389, 0.193415, 0.201629, 0.21255, 0.227984, 0.240794, 0.245896, 0.252189, 0.26005, 0.266428, 0.272228, 0.277685, 0.285356, 0.291096, 0.307433, 0.315606, 0.324012, 0.333075, 0.345482, 0.361611, 0.387814, 0.422447, 0.462029, 0.508031, 0.563371, 0.622019");
-            values ( \
-              "-0.0207363, -0.0315239, -0.0386366, -0.0443245, -0.048454, -0.0510301, -0.0552482, -0.0552824, -0.0534598, -0.0531442, -0.0537486, -0.0559372, -0.05515, -0.0459692, -0.0442084, -0.0281091, -0.0224041, -0.0167364, -0.0100121, -0.00768514, -0.00757486, -0.0064994, -0.0060435, -0.0049135, -0.00461969, -0.00354886, -0.00299445, -0.00190546, -0.000808109, -0.000980087, -0.000716068, -0.000976181, -0.000752552, -0.000947163, -0.000606122, -0.000618139, -0.000202932, -0.000264634, -1e-22, -0.000131867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0780482, 0.0844375, 0.114991, 0.11836, 0.121673, 0.124886, 0.12892, 0.135024, 0.143213, 0.155219, 0.157289, 0.16143, 0.169711, 0.198836, 0.204589, 0.205628, 0.207708, 0.211867, 0.218539, 0.230143, 0.236822, 0.238393, 0.241535, 0.247819, 0.253586, 0.259819, 0.265986, 0.273748, 0.276759, 0.28278, 0.287806, 0.293828, 0.300434, 0.307151, 0.316302, 0.327082, 0.335672, 0.345972, 0.350974, 0.360976, 0.371422, 0.385773, 0.401651, 0.403717");
-            values ( \
-              "-0.0243397, -0.0536674, -0.0955074, -0.0859744, -0.0943071, -0.0835744, -0.0926293, -0.0820655, -0.0917424, -0.0845999, -0.0953456, -0.0861775, -0.0941962, -0.0563599, -0.0588773, -0.048222, -0.0558026, -0.0416479, -0.0450334, -0.0259729, -0.0315116, -0.0208219, -0.0287223, -0.0157699, -0.022503, -0.00964914, -0.0168822, -0.00474092, -0.0140518, -0.00328408, -0.0123571, -0.00183435, -0.0107518, -0.000543444, -0.00945736, -1e-22, -0.00782885, -1e-22, -0.00713528, -1e-22, -0.00675852, -1e-22, -0.00633933, -0.00576232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0775869, 0.0860677, 0.0936215, 0.103266, 0.112586, 0.114452, 0.116715, 0.118825, 0.126735, 0.140131, 0.149067, 0.158196, 0.176098, 0.193946, 0.211786, 0.213507, 0.238286, 0.247735, 0.259051, 0.271603, 0.281358, 0.29515, 0.321255, 0.328273, 0.335993, 0.346902, 0.360541, 0.393157, 0.407, 0.467178, 0.517039, 0.612749, 0.671398");
-            values ( \
-              "-0.0261135, -0.0855194, -0.102354, -0.118872, -0.126584, -0.134874, -0.136879, -0.136661, -0.133973, -0.131704, -0.130681, -0.130324, -0.133715, -0.131241, -0.112142, -0.111412, -0.0792674, -0.0681813, -0.0564517, -0.0457064, -0.038231, -0.0294668, -0.01579, -0.0128335, -0.0105831, -0.00837527, -0.00751381, -0.00600227, -0.00509819, -0.00300508, -0.00171618, -0.000408641, -0.000294855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0817754, 0.0891152, 0.0987682, 0.106389, 0.112578, 0.11477, 0.118921, 0.133954, 0.180872, 0.198031, 0.211794, 0.236869, 0.254221, 0.306502, 0.337322, 0.385937, 0.405481, 0.421063, 0.465772, 0.492952, 0.538029, 0.618032, 0.694535, 0.696537");
-            values ( \
-              "-0.119459, -0.125295, -0.152098, -0.166474, -0.172945, -0.185521, -0.187376, -0.183221, -0.177537, -0.177921, -0.180073, -0.174034, -0.159616, -0.0994174, -0.0703628, -0.0348445, -0.0266323, -0.0222065, -0.0131287, -0.00978275, -0.00659465, -0.00353754, -0.00175149, -0.00173455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0872683, 0.112634, 0.115503, 0.119338, 0.132075, 0.182062, 0.239265, 0.278732, 0.290723, 0.318225, 0.339344, 0.421583, 0.477723, 0.499439, 0.525918, 0.55073, 0.597725, 0.637615, 0.676733, 0.708595, 0.745153, 0.786597, 0.83225, 0.937695, 1.05499, 1.23094");
-            values ( \
-              "-0.213759, -0.214848, -0.230381, -0.23292, -0.229593, -0.225023, -0.218862, -0.21927, -0.218214, -0.209372, -0.196561, -0.126157, -0.0813745, -0.0671749, -0.0551919, -0.0464524, -0.0333477, -0.0246284, -0.0175867, -0.0129496, -0.00946087, -0.00691822, -0.00507281, -0.00265442, -0.00126732, -0.000408659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0872376, 0.109781, 0.112597, 0.114538, 0.117297, 0.120153, 0.128849, 0.136225, 0.145871, 0.17961, 0.212505, 0.332866, 0.377875, 0.404242, 0.436935, 0.471211, 0.483651, 0.497868, 0.520047, 0.545333, 0.619522, 0.673951, 0.696612, 0.724027, 0.752214, 0.791331, 0.818595, 0.854946, 0.913595, 0.934231, 0.975503, 1.02222, 1.08087, 1.11356, 1.14187, 1.17961, 1.23826, 1.29691, 1.35556, 1.41421, 1.47285, 1.5315, 1.6488, 1.88339");
-            values ( \
-              "-0.237879, -0.242083, -0.247018, -0.260056, -0.266525, -0.267516, -0.265399, -0.264417, -0.263717, -0.262032, -0.260041, -0.250831, -0.248501, -0.247446, -0.243282, -0.23449, -0.23004, -0.223984, -0.213035, -0.199324, -0.157345, -0.122739, -0.111355, -0.100169, -0.0898366, -0.0766193, -0.0681588, -0.0579119, -0.04394, -0.0397703, -0.0324139, -0.0255193, -0.018694, -0.0156158, -0.0133841, -0.0109092, -0.00804089, -0.00607456, -0.00465163, -0.00362604, -0.00284259, -0.00225075, -0.00141803, -0.000562553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.134039, 0.146508, 0.15619, 0.179293, 0.187771, 0.203626, 0.217916, 0.230995, 0.243407, 0.248851, 0.252339, 0.256543, 0.273211, 0.289744, 0.309097, 0.316468, 0.322426, 0.340359, 0.375984, 0.382733, 0.393121, 0.445726, 0.558867");
-            values ( \
-              "-0.00276217, -0.0182808, -0.0225969, -0.0349744, -0.038596, -0.0441039, -0.0476481, -0.052602, -0.0532146, -0.0497809, -0.0493687, -0.0465375, -0.0320906, -0.0207164, -0.0116119, -0.0092368, -0.00825502, -0.00645037, -0.00207246, -0.00139506, -0.000952263, -0.000855094, -0.000320059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.134139, 0.148735, 0.18349, 0.193779, 0.211286, 0.227265, 0.242137, 0.252618, 0.256063, 0.271261, 0.296028, 0.314399, 0.326887, 0.345466, 0.360396, 0.388292, 0.416109, 0.434538, 0.560334");
-            values ( \
-              "-0.00262306, -0.0315137, -0.0599349, -0.0668302, -0.0753944, -0.080387, -0.0870222, -0.0906886, -0.0889769, -0.0750555, -0.0473907, -0.0314004, -0.0236665, -0.0142055, -0.00930471, -0.00565001, -0.0033901, -0.00245363, -0.000820151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.145698, 0.164142, 0.179678, 0.192066, 0.203822, 0.224432, 0.243629, 0.248851, 0.252726, 0.257248, 0.261613, 0.270344, 0.279337, 0.293397, 0.298868, 0.323687, 0.337024, 0.358983, 0.375135, 0.391346, 0.409233, 0.415506, 0.422967, 0.433282, 0.446933, 0.493835, 0.551145, 0.599897, 0.69134, 0.808638");
-            values ( \
-              "-0.0623274, -0.0658271, -0.0856472, -0.0988267, -0.108947, -0.120434, -0.125458, -0.127696, -0.132065, -0.134219, -0.13461, -0.134722, -0.130225, -0.117151, -0.111516, -0.079175, -0.0638245, -0.0440156, -0.0327987, -0.0235095, -0.0147971, -0.0122294, -0.0101514, -0.00833626, -0.00741555, -0.00509189, -0.00310305, -0.00182648, -0.000515601, -6.87523e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.149609, 0.170798, 0.180978, 0.191303, 0.198755, 0.206206, 0.213764, 0.221321, 0.224821, 0.231821, 0.235322, 0.248406, 0.249322, 0.251155, 0.253046, 0.255092, 0.264728, 0.26866, 0.272593, 0.275225, 0.277858, 0.283122, 0.290453, 0.304052, 0.31659, 0.323133, 0.331353, 0.337929, 0.342799, 0.350835, 0.386242, 0.395615, 0.40759, 0.415395, 0.425099, 0.450722, 0.459226, 0.465254, 0.470519, 0.474557, 0.481404, 0.488319, 0.495234, 0.512659, 0.523711, 0.535033, 0.552421, 0.565863, 0.584579, 0.608223");
-            values ( \
-              "-0.0960986, -0.100824, -0.118034, -0.134001, -0.141793, -0.150305, -0.159676, -0.169787, -0.169961, -0.170754, -0.171372, -0.174394, -0.178695, -0.179263, -0.180244, -0.179987, -0.177953, -0.177712, -0.177806, -0.178056, -0.178456, -0.179708, -0.179108, -0.177397, -0.175133, -0.173638, -0.167442, -0.161371, -0.156365, -0.147559, -0.106045, -0.0959371, -0.0841757, -0.0770738, -0.0688168, -0.0487968, -0.0425568, -0.0384959, -0.0353365, -0.0332207, -0.0301043, -0.0275325, -0.0252384, -0.0205528, -0.0180032, -0.0157063, -0.012789, -0.0111348, -0.00924749, -0.00743191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.153213, 0.179141, 0.190183, 0.202489, 0.2137, 0.217746, 0.221792, 0.229884, 0.234734, 0.239585, 0.244436, 0.249286, 0.251641, 0.252003, 0.254101, 0.257792, 0.263302, 0.268776, 0.304003, 0.309474, 0.320416, 0.33557, 0.345253, 0.36462, 0.366035, 0.370888, 0.37574, 0.380593, 0.387064, 0.393816, 0.40085, 0.409222, 0.418932, 0.429333, 0.449045, 0.476621, 0.499193, 0.543261, 0.566168, 0.579732, 0.596046, 0.605794, 0.617491, 0.637016, 0.660371, 0.691341, 0.721684, 0.747147, 0.77487, 0.808465");
-            values ( \
-              "-0.132325, -0.14205, -0.161654, -0.181295, -0.196679, -0.20011, -0.204639, -0.216992, -0.221143, -0.223548, -0.224208, -0.223122, -0.226984, -0.22726, -0.22738, -0.227166, -0.226031, -0.225191, -0.220453, -0.220026, -0.219333, -0.21873, -0.218562, -0.218732, -0.21898, -0.218701, -0.218007, -0.217013, -0.215271, -0.212967, -0.210036, -0.205693, -0.199794, -0.192525, -0.176954, -0.152435, -0.133042, -0.0981465, -0.0792319, -0.0704258, -0.0617465, -0.0573508, -0.0527, -0.0462779, -0.0394929, -0.0314031, -0.0247557, -0.019978, -0.0155712, -0.0113968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.152778, 0.174287, 0.191872, 0.201266, 0.220052, 0.236489, 0.24887, 0.254841, 0.262382, 0.269217, 0.327804, 0.420254, 0.490523, 0.523219, 0.559309, 0.584201, 0.606373, 0.631653, 0.705324, 0.761415, 0.784014, 0.811557, 0.839295, 0.877672, 0.904028, 0.939171, 0.997819, 1.02843, 1.07587, 1.12061, 1.17926, 1.2101, 1.26875, 1.3274, 1.38605, 1.4447, 1.50335, 1.62064, 1.79659, 1.97253");
-            values ( \
-              "-0.150247, -0.150736, -0.189722, -0.206798, -0.233369, -0.248078, -0.254988, -0.262127, -0.263582, -0.262009, -0.25797, -0.25058, -0.24739, -0.243342, -0.233973, -0.223977, -0.213033, -0.199323, -0.157655, -0.122098, -0.110878, -0.0997008, -0.0895651, -0.0766094, -0.0684217, -0.0584692, -0.0443855, -0.0382702, -0.0302022, -0.023982, -0.017518, -0.0147732, -0.0107261, -0.00792864, -0.00598402, -0.00459524, -0.00357724, -0.00222257, -0.00111261, -0.000556996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.256493, 0.274615, 0.275874, 0.279553, 0.29201, 0.312939, 0.327995, 0.342832, 0.386545, 0.408321, 0.417024, 0.419548, 0.424596, 0.435621, 0.443646, 0.464302, 0.472859, 0.491825, 0.497059, 0.502615, 0.508947, 0.515523, 0.523358, 0.524316, 0.526233, 0.550223, 0.552884, 0.561578, 0.568051, 0.575087, 0.58324, 0.654455, 0.689658, 0.775502, 0.889959");
-            values ( \
-              "-0.00451001, -0.00997103, -0.0100081, -0.0105623, -0.0130086, -0.0183855, -0.0220193, -0.0253818, -0.0346416, -0.0420348, -0.0425994, -0.042379, -0.0414455, -0.038657, -0.034609, -0.0231804, -0.0191103, -0.0114176, -0.010055, -0.00904713, -0.00855445, -0.00750891, -0.00680414, -0.00646294, -0.0063571, -0.00303329, -0.00346416, -0.00216078, -0.001644, -0.00139843, -0.00122223, -0.000775763, -0.00049473, -0.000135685, -8.85999e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.260898, 0.283368, 0.296429, 0.339602, 0.398575, 0.42389, 0.435015, 0.453052, 0.482711, 0.510451, 0.537711, 0.549626, 0.550922, 0.570224, 0.599363, 0.624185, 0.73464, 0.754886");
-            values ( \
-              "-0.0126764, -0.0186589, -0.0230782, -0.0403586, -0.0605991, -0.0722181, -0.0726178, -0.0657097, -0.0416939, -0.0239765, -0.0113931, -0.00894167, -0.0100054, -0.0066514, -0.00376651, -0.0025786, -0.000799158, -0.000709939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.2709, 0.304188, 0.319921, 0.348119, 0.394788, 0.419879, 0.442195, 0.462963, 0.484673, 0.487358, 0.517526, 0.543313, 0.550138, 0.553784, 0.560182, 0.566536, 0.574039, 0.580531, 0.586072, 0.591149, 0.597666, 0.59889, 0.601337, 0.606232, 0.615545, 0.630483, 0.648914, 0.663607, 0.674492, 0.688338, 0.703762, 0.720321, 0.738691, 0.760825, 0.789497, 0.829266, 0.847292");
-            values ( \
-              "-0.0318878, -0.0391417, -0.0491582, -0.0653417, -0.0887969, -0.0995044, -0.112303, -0.115705, -0.10199, -0.0996857, -0.0686778, -0.0461114, -0.0413404, -0.041605, -0.0346122, -0.0323756, -0.0258331, -0.0241981, -0.019252, -0.0185816, -0.013596, -0.0151411, -0.0121403, -0.0126966, -0.00856823, -0.00911945, -0.00616318, -0.00728007, -0.00455396, -0.00597574, -0.00328099, -0.00479806, -0.00210723, -0.00355839, -0.000746126, -0.0021307, -0.00147583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275162, 0.305692, 0.346091, 0.367596, 0.389703, 0.424657, 0.483784, 0.510986, 0.539832, 0.598004, 0.631881, 0.648705, 0.663115, 0.673825, 0.696704, 0.713765, 0.738469, 0.764741, 0.796723, 0.818313, 0.898986, 0.978987, 0.999996");
-            values ( \
-              "-0.0509688, -0.0547308, -0.0873153, -0.102873, -0.118309, -0.138611, -0.16474, -0.165643, -0.144613, -0.0832823, -0.0549386, -0.042417, -0.0338681, -0.0292406, -0.0222655, -0.0182843, -0.0135965, -0.0101665, -0.00754205, -0.00636341, -0.00336546, -0.00158328, -0.00142407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.284519, 0.334739, 0.369189, 0.410999, 0.427327, 0.452536, 0.472769, 0.513234, 0.550138, 0.554625, 0.563597, 0.573039, 0.590345, 0.594013, 0.601349, 0.616021, 0.637707, 0.693388, 0.755341, 0.765255, 0.783701, 0.812089, 0.83636, 0.868417, 0.888982, 0.916402, 0.953953, 0.985248, 1.02002, 1.0616, 1.10637, 1.15569, 1.20974, 1.26839, 1.32704, 1.50298");
-            values ( \
-              "-0.0732516, -0.0964589, -0.12918, -0.163522, -0.174904, -0.189919, -0.198385, -0.20873, -0.216617, -0.219016, -0.219103, -0.216578, -0.210835, -0.20921, -0.205526, -0.1958, -0.177889, -0.129342, -0.07995, -0.0730643, -0.0628702, -0.0513294, -0.0434601, -0.0346307, -0.0297638, -0.0240762, -0.0173104, -0.0128898, -0.00956983, -0.0069259, -0.00516287, -0.00376731, -0.00274827, -0.00188792, -0.00132981, -0.000388771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.284819, 0.320134, 0.361657, 0.411129, 0.460611, 0.486544, 0.538412, 0.550204, 0.552576, 0.55732, 0.575824, 0.607406, 0.63472, 0.681539, 0.702337, 0.739665, 0.748249, 0.765417, 0.791892, 0.822453, 0.896181, 0.952288, 0.974861, 1.00233, 1.03012, 1.06857, 1.09503, 1.1303, 1.18895, 1.23487, 1.26614, 1.31107, 1.36972, 1.40098, 1.45963, 1.51828, 1.57692, 1.63557, 1.75287, 1.87017, 1.98746, 2.16341");
-            values ( \
-              "-0.0892128, -0.0940515, -0.13965, -0.187484, -0.224412, -0.236798, -0.250631, -0.25185, -0.254543, -0.255551, -0.253425, -0.251053, -0.249458, -0.247448, -0.24518, -0.237081, -0.234751, -0.228125, -0.215885, -0.19945, -0.157692, -0.12215, -0.110883, -0.0997711, -0.0895727, -0.0765872, -0.0683693, -0.0584323, -0.0443139, -0.0354242, -0.0303274, -0.0240118, -0.0175865, -0.0147513, -0.0107515, -0.00790528, -0.00600917, -0.00457143, -0.00278716, -0.00173945, -0.00108974, -0.000579855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.509953, 0.538181, 0.542676, 0.569647, 0.584649, 0.596477, 0.644361, 0.725811, 0.751955, 0.775248, 0.794872, 0.797923, 0.816232, 0.859551, 0.862745, 0.869133, 0.875912, 0.884039, 0.890668, 0.896499, 0.900026, 0.90708, 0.913254, 0.926778, 0.935709, 0.943568, 0.952163, 0.962627, 0.979407, 1.00061, 1.03089, 1.06706, 1.10903, 1.1584, 1.23897, 1.27116");
-            values ( \
-              "-0.00442397, -0.0048669, -0.00508293, -0.00679271, -0.00804629, -0.00922771, -0.0145626, -0.0229375, -0.0272335, -0.028999, -0.0288078, -0.0284832, -0.0247717, -0.0114463, -0.0109609, -0.0104748, -0.00937401, -0.00859359, -0.00736694, -0.00678337, -0.00594779, -0.00512668, -0.00386491, -0.00233556, -0.00208327, -0.001686, -0.00173329, -0.00142788, -0.00142453, -0.00103314, -0.000900508, -0.000436315, -0.000369765, -5.33831e-05, -0.000217679, -4.93963e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.509869, 0.543011, 0.558613, 0.578612, 0.606052, 0.608224, 0.612566, 0.618271, 0.652495, 0.743061, 0.771403, 0.796683, 0.819586, 0.825001, 0.832221, 0.843358, 0.879192, 0.906361, 0.919387, 0.933909, 0.966085, 0.976015, 0.989441, 1.01234, 1.01925, 1.03307, 1.09163, 1.13527, 1.18607, 1.21589, 1.23596, 1.26116, 1.32972");
-            values ( \
-              "-0.00629223, -0.00873555, -0.0100453, -0.0126408, -0.0167117, -0.0173257, -0.017981, -0.0191865, -0.0253766, -0.0402453, -0.0475833, -0.0507769, -0.0499976, -0.0487493, -0.0466151, -0.0419446, -0.0256542, -0.0142762, -0.0112353, -0.0086966, -0.00501286, -0.00430317, -0.00357751, -0.00288271, -0.00275836, -0.00235164, -0.0011574, -0.000668817, -0.000272859, -0.000219718, -0.000464405, -0.000207557, -6.80976e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.524132, 0.569555, 0.590581, 0.691903, 0.773326, 0.805515, 0.834426, 0.859123, 0.87032, 0.885249, 0.924468, 0.958448, 0.97726, 0.984907, 0.995668, 1.01084, 1.03946, 1.06907, 1.12827, 1.15602, 1.19078, 1.21606, 1.23464, 1.26279");
-            values ( \
-              "-0.0161318, -0.0175912, -0.0217842, -0.0483519, -0.0679419, -0.0788887, -0.0844628, -0.0818504, -0.077826, -0.0697313, -0.0452378, -0.0268068, -0.0175286, -0.0147491, -0.0121549, -0.0103619, -0.00803953, -0.00608928, -0.00345788, -0.00255065, -0.00160383, -0.00117656, -0.00142261, -0.000923108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.536236, 0.586451, 0.608213, 0.684844, 0.726652, 0.823014, 0.861698, 0.872736, 0.896851, 0.909089, 0.925407, 0.932293, 0.946067, 1.05219, 1.07613, 1.10798, 1.13559, 1.15853, 1.20087, 1.21588, 1.22275, 1.24027, 1.27869, 1.36103, 1.38259");
-            values ( \
-              "-0.0292568, -0.0297864, -0.0360037, -0.063702, -0.0778737, -0.108203, -0.123951, -0.127327, -0.129965, -0.128797, -0.123667, -0.120448, -0.112233, -0.0395553, -0.0296924, -0.0212214, -0.0155075, -0.0120342, -0.00812871, -0.00721519, -0.00770569, -0.00646482, -0.00460799, -0.00207693, -0.00172682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.551139, 0.638618, 0.698243, 0.727534, 0.781737, 0.818954, 0.846593, 0.952375, 0.977358, 0.999103, 1.02236, 1.04808, 1.12461, 1.1729, 1.1854, 1.2019, 1.21599, 1.22982, 1.2613, 1.30298, 1.32141, 1.35185, 1.38359, 1.4169, 1.43246, 1.46358, 1.5081, 1.55687, 1.61171, 1.67036, 1.78765, 1.90495");
-            values ( \
-              "-0.0391082, -0.0583039, -0.0847881, -0.0970658, -0.119116, -0.133357, -0.143399, -0.179002, -0.184239, -0.182198, -0.176104, -0.162271, -0.111709, -0.0765492, -0.0693658, -0.0617435, -0.0561571, -0.0523287, -0.0418201, -0.0308347, -0.0267191, -0.0206469, -0.0153699, -0.011454, -0.0100634, -0.00789988, -0.00579296, -0.00424269, -0.00302149, -0.00209864, -0.000980198, -0.000440977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.569074, 0.646156, 0.73166, 0.806493, 0.864837, 0.915239, 0.950315, 1.00896, 1.02612, 1.06042, 1.09703, 1.13035, 1.1667, 1.17696, 1.22812, 1.30972, 1.3722, 1.4239, 1.48781, 1.53067, 1.58175, 1.62045, 1.68467, 1.7291, 1.78775, 1.82012, 1.87877, 1.93742, 2.05472, 2.17202, 2.34796, 2.58256");
-            values ( \
-              "-0.067399, -0.0710026, -0.11326, -0.147969, -0.173186, -0.192485, -0.204109, -0.219073, -0.222696, -0.22834, -0.233181, -0.233697, -0.228734, -0.226205, -0.206743, -0.160963, -0.121673, -0.098926, -0.0766301, -0.0635987, -0.0502745, -0.0417774, -0.0303914, -0.0241657, -0.0176653, -0.0147677, -0.01073, -0.0079314, -0.00459774, -0.00281131, -0.00140265, -0.000557621" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0123158, 0.0123271, 0.0123403, 0.0123533, 0.0123639, 0.0123711", \
-            "0.0146416, 0.0146475, 0.0146561, 0.0146657, 0.0146747, 0.0146816", \
-            "0.0160671, 0.0160693, 0.016073, 0.0160784, 0.0160845, 0.0160899", \
-            "0.0169171, 0.0169177, 0.0169187, 0.0169206, 0.0169235, 0.0169268", \
-            "0.0173771, 0.0173773, 0.0173777, 0.0173783, 0.0173792, 0.0173805", \
-            "0.0176262, 0.0176265, 0.017627, 0.0176276, 0.0176282, 0.0176289" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0168306, 0.0168399, 0.0168522, 0.0168656, 0.0168769, 0.0168851", \
-            "0.0190039, 0.0190117, 0.0190218, 0.0190325, 0.0190418, 0.0190485", \
-            "0.020573, 0.0205821, 0.0205927, 0.0206031, 0.0206116, 0.0206175", \
-            "0.0218756, 0.0218568, 0.0218359, 0.0218167, 0.0218035, 0.0217946", \
-            "0.0229675, 0.0228685, 0.0227451, 0.0226169, 0.022509, 0.0224315", \
-            "0.0237263, 0.023668, 0.0235177, 0.0232581, 0.022988, 0.0227858" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.10964, 0.124583, 0.152529, 0.204822, 0.303223, 0.48912", \
-            "0.115504, 0.130503, 0.15859, 0.211092, 0.309711, 0.495777", \
-            "0.128926, 0.143895, 0.172009, 0.224666, 0.323545, 0.509856", \
-            "0.158359, 0.173187, 0.201081, 0.253609, 0.352586, 0.539179", \
-            "0.216416, 0.234177, 0.265372, 0.318609, 0.417365, 0.604034", \
-            "0.311318, 0.335046, 0.376244, 0.445155, 0.55811, 0.747762" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.134804, 0.155154, 0.19341, 0.268006, 0.406571, 0.669461", \
-            "0.134664, 0.155021, 0.193363, 0.267954, 0.406543, 0.669444", \
-            "0.134159, 0.154567, 0.192979, 0.267755, 0.406433, 0.669357", \
-            "0.135798, 0.155086, 0.192316, 0.266959, 0.405976, 0.66917", \
-            "0.159987, 0.176442, 0.208687, 0.275439, 0.406963, 0.668402", \
-            "0.215434, 0.236247, 0.270858, 0.33177, 0.444278, 0.682742" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0744868, 0.0837088, 0.10072, 0.132148, 0.190641, 0.300416", \
-            "0.0789936, 0.0883961, 0.105504, 0.137034, 0.195613, 0.305453", \
-            "0.0892355, 0.098555, 0.11578, 0.147504, 0.206272, 0.316279", \
-            "0.112127, 0.121941, 0.13876, 0.170391, 0.22967, 0.339915", \
-            "0.140733, 0.154815, 0.179109, 0.219246, 0.282778, 0.392883", \
-            "0.159964, 0.180058, 0.214919, 0.27307, 0.365234, 0.505357" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0695682, 0.0804903, 0.101658, 0.142216, 0.219868, 0.367795", \
-            "0.0693777, 0.0803483, 0.101462, 0.142176, 0.219631, 0.367487", \
-            "0.0687757, 0.0799484, 0.101345, 0.14196, 0.219512, 0.367367", \
-            "0.0789168, 0.0881122, 0.106367, 0.143498, 0.219426, 0.36735", \
-            "0.114523, 0.125131, 0.14398, 0.176224, 0.238794, 0.372403", \
-            "0.17419, 0.18876, 0.21463, 0.258057, 0.327798, 0.442331" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0469435, 0.0511407, 0.0520061, 0.0534275, 0.0577115, 0.0745673, 0.0979532, 0.104031, 0.121228, 0.130371, 0.140638, 0.141442, 0.15617, 0.161831, 0.169322, 0.186701, 0.201778, 0.21777, 0.226137, 0.23475, 0.242973, 0.259993, 0.277682, 0.293659, 0.303328, 0.313097, 0.329455, 0.357704, 0.385105, 0.430493, 0.478341, 0.555278, 0.635201");
-            values ( \
-              "0.00968453, 0.0530662, 0.0528606, 0.0528227, 0.0518282, 0.0473443, 0.0428952, 0.0415048, 0.0345512, 0.0330119, 0.0296448, 0.029643, 0.0227065, 0.0208694, 0.0190266, 0.0157831, 0.0155559, 0.0130992, 0.0114531, 0.0106543, 0.0106783, 0.00972703, 0.00823785, 0.00641664, 0.0055083, 0.00476068, 0.00381546, 0.00262155, 0.00180371, 0.00094895, 0.000496609, 0.000126144, 5.61326e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0469342, 0.0526373, 0.0571494, 0.0808717, 0.116078, 0.146839, 0.158688, 0.169296, 0.185554, 0.224188, 0.250994, 0.269388, 0.279529, 0.350085, 0.373665, 0.431318, 0.470815, 0.573159, 0.579806");
-            values ( \
-              "0.00447147, 0.0830026, 0.0828076, 0.0748195, 0.0664136, 0.0527975, 0.0501569, 0.0425143, 0.0348394, 0.0240849, 0.0196012, 0.0193068, 0.0182703, 0.0084707, 0.00625733, 0.00305049, 0.00184503, 0.00044606, 0.00042808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0505374, 0.0545703, 0.0605365, 0.0684663, 0.0919673, 0.124706, 0.138127, 0.157648, 0.164134, 0.177107, 0.192225, 0.202412, 0.219607, 0.289553, 0.29726, 0.305872, 0.316397, 0.329359, 0.430292, 0.46141, 0.509784, 0.536612, 0.6229, 0.680297");
-            values ( \
-              "0.115809, 0.118959, 0.118704, 0.114972, 0.107835, 0.100346, 0.0964374, 0.0876888, 0.0871128, 0.0793862, 0.0755943, 0.067122, 0.0579831, 0.032464, 0.0316185, 0.0319623, 0.0314134, 0.0302573, 0.0125404, 0.00901606, 0.00538775, 0.00405267, 0.00157131, 0.00105047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.050545, 0.0573991, 0.100629, 0.179281, 0.20693, 0.21648, 0.250229, 0.258112, 0.286852, 0.326479, 0.351745, 0.393086, 0.439701, 0.544973, 0.583955, 0.631968, 0.723962, 0.839149, 0.87848");
-            values ( \
-              "0.10718, 0.155024, 0.143014, 0.126936, 0.117236, 0.115893, 0.103885, 0.097667, 0.0842994, 0.0705311, 0.0588412, 0.0532625, 0.0413542, 0.0216799, 0.0162291, 0.0111872, 0.00537501, 0.00209957, 0.00179977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0552852, 0.0553052, 0.124011, 0.234097, 0.256498, 0.301078, 0.314971, 0.342756, 0.367104, 0.379688, 0.404857, 0.447599, 0.468192, 0.479914, 0.491242, 0.520484, 0.641106, 0.692358, 0.730663, 0.819398, 0.889746, 0.931144, 0.993382, 1.06343, 1.14335, 1.22327, 1.38312, 1.62289");
-            values ( \
-              "1e-22, 0.199424, 0.17045, 0.155588, 0.152042, 0.142128, 0.140883, 0.133813, 0.125721, 0.119999, 0.113396, 0.100257, 0.0918249, 0.0894915, 0.0895189, 0.085235, 0.0542524, 0.0431832, 0.036829, 0.0246031, 0.0174018, 0.0141529, 0.0101344, 0.00697249, 0.00453818, 0.00288988, 0.0011846, 0.000329019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0551367, 0.0551567, 0.176144, 0.370495, 0.402291, 0.465884, 0.500865, 0.627606, 0.685934, 0.700018, 0.731955, 0.786204, 0.969244, 1.04917, 1.11285, 1.15876, 1.21955, 1.29947, 1.40394, 1.54853, 1.63372, 1.74166, 1.9015, 2.06135, 2.2212, 2.54089");
-            values ( \
-              "1e-22, 0.210562, 0.188528, 0.173002, 0.169894, 0.162, 0.159856, 0.1349, 0.1221, 0.123572, 0.120972, 0.11096, 0.0744834, 0.0605472, 0.0505833, 0.0445459, 0.0377362, 0.0298533, 0.0218262, 0.013838, 0.0104926, 0.0073413, 0.00427701, 0.00246482, 0.00140263, 0.000421549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0677834, 0.0710202, 0.0747713, 0.0810974, 0.0874371, 0.0944187, 0.10656, 0.108658, 0.112853, 0.121244, 0.123847, 0.129052, 0.139231, 0.141041, 0.144663, 0.150806, 0.155733, 0.161208, 0.168638, 0.176729, 0.186063, 0.205889, 0.213859, 0.221055, 0.229248, 0.246279, 0.254932, 0.263164, 0.272537, 0.279963, 0.28863, 0.297407, 0.305226, 0.31339, 0.323042, 0.332729, 0.338263, 0.349333, 0.362015, 0.377454, 0.397128, 0.404796, 0.420131, 0.498792, 0.652847");
-            values ( \
-              "0.0289302, 0.0522001, 0.0527072, 0.050775, 0.0495089, 0.0473088, 0.0452192, 0.0444509, 0.0440465, 0.0420133, 0.0416616, 0.0397824, 0.0346835, 0.0367255, 0.0334879, 0.0325799, 0.0314665, 0.0297043, 0.0258476, 0.0224426, 0.0196408, 0.0159902, 0.0155066, 0.0156004, 0.0144337, 0.0114579, 0.0105479, 0.0107564, 0.0100442, 0.0098112, 0.00877509, 0.00834482, 0.0072349, 0.00652527, 0.00540471, 0.00487213, 0.00435007, 0.00390758, 0.00315799, 0.00271814, 0.00194334, 0.0019002, 0.00139649, 0.000397396, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0677518, 0.0724929, 0.0737482, 0.0762586, 0.0784949, 0.100686, 0.135857, 0.149773, 0.166625, 0.17845, 0.188905, 0.197077, 0.20524, 0.221008, 0.235232, 0.243882, 0.270749, 0.289111, 0.299254, 0.33467, 0.355797, 0.377758, 0.408393, 0.44438, 0.464241, 0.525133, 0.592987, 0.608333");
-            values ( \
-              "0.02241, 0.0827939, 0.0828408, 0.0827076, 0.0821607, 0.0751254, 0.06635, 0.059833, 0.053451, 0.0496464, 0.0426743, 0.0382936, 0.0349361, 0.0305444, 0.0271345, 0.0241323, 0.0195304, 0.019236, 0.0183173, 0.0135198, 0.0103089, 0.00767172, 0.005214, 0.00333657, 0.00260189, 0.00118996, 0.000505294, 0.000434612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0677125, 0.0746307, 0.0806101, 0.0963586, 0.111988, 0.157103, 0.164268, 0.172458, 0.212564, 0.226025, 0.235405, 0.281098, 0.304403, 0.312454, 0.329774, 0.341746, 0.368398, 0.421764, 0.452413, 0.493207, 0.535947, 0.600199, 0.65299, 0.724001, 0.729194");
-            values ( \
-              "0.0181553, 0.118683, 0.118493, 0.1127, 0.108053, 0.0969444, 0.0944278, 0.0900344, 0.0743967, 0.0647766, 0.0597913, 0.0434827, 0.0335905, 0.0319578, 0.031789, 0.0311365, 0.0269484, 0.0170186, 0.0122763, 0.00795981, 0.0050636, 0.00254753, 0.00149694, 0.000624788, 0.000606806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0677202, 0.077671, 0.0846469, 0.0955164, 0.112926, 0.199479, 0.226933, 0.236672, 0.27674, 0.281301, 0.297157, 0.330156, 0.371295, 0.384484, 0.395486, 0.400674, 0.41105, 0.455192, 0.475237, 0.531538, 0.586853, 0.60692, 0.659915, 0.708932, 0.773576, 0.837005, 0.909212, 1.06906, 1.14898");
-            values ( \
-              "0.0257464, 0.154853, 0.153752, 0.149812, 0.144889, 0.126926, 0.117315, 0.115936, 0.100688, 0.0966835, 0.0886136, 0.0770056, 0.0595944, 0.0559986, 0.0565564, 0.0552664, 0.0537256, 0.0423939, 0.0381349, 0.0273513, 0.0184215, 0.0158167, 0.0104519, 0.00705718, 0.00416887, 0.00260529, 0.00131496, 0.000290496, 0.000267776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.076526, 0.076546, 0.144468, 0.250974, 0.276952, 0.320163, 0.335416, 0.365498, 0.39004, 0.400139, 0.437644, 0.464238, 0.488829, 0.50146, 0.512634, 0.526461, 0.541764, 0.645875, 0.697472, 0.751205, 0.824539, 0.862949, 0.900175, 0.94663, 1.01087, 1.08987, 1.1698, 1.24972, 1.40957, 1.56941, 1.64933");
-            values ( \
-              "1e-22, 0.203749, 0.170344, 0.156225, 0.151943, 0.142533, 0.140807, 0.133202, 0.124721, 0.120093, 0.109732, 0.101574, 0.0916497, 0.0894884, 0.08938, 0.087782, 0.0849626, 0.0582053, 0.0460297, 0.0368458, 0.0264756, 0.0220174, 0.0183044, 0.0145576, 0.0102719, 0.00671086, 0.00443766, 0.00275242, 0.00109892, 0.000415773, 0.000369781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0763784, 0.0763984, 0.196748, 0.390624, 0.48743, 0.521466, 0.648232, 0.706381, 0.720435, 0.752242, 0.808173, 0.988145, 1.06807, 1.13299, 1.18024, 1.24107, 1.32099, 1.42342, 1.56629, 1.65409, 1.76638, 1.92623, 2.08608, 2.24592, 2.56561");
-            values ( \
-              "1e-22, 0.212559, 0.188627, 0.173135, 0.161982, 0.15979, 0.134898, 0.122114, 0.123564, 0.121007, 0.11069, 0.0748004, 0.0608239, 0.0506504, 0.044437, 0.0376363, 0.0297733, 0.0219008, 0.0139647, 0.0105, 0.00723968, 0.00421641, 0.0024294, 0.00138178, 0.000414128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.107101, 0.118924, 0.125537, 0.130943, 0.16809, 0.180363, 0.185235, 0.194469, 0.219749, 0.233018, 0.254123, 0.261693, 0.269429, 0.296578, 0.304706, 0.322748, 0.377087, 0.42095, 0.448621, 0.495836, 0.545258, 0.617736, 0.697659");
-            values ( \
-              "0.00671581, 0.048753, 0.0500613, 0.0495929, 0.041702, 0.0370429, 0.0339297, 0.0340283, 0.0229177, 0.0191019, 0.015381, 0.0157458, 0.0149631, 0.0106797, 0.0107084, 0.00980048, 0.00471124, 0.00261281, 0.00178258, 0.000899381, 0.000499891, 0.000105202, 8.42003e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.112624, 0.116333, 0.121265, 0.125118, 0.129137, 0.13624, 0.157366, 0.180126, 0.200251, 0.210302, 0.223498, 0.233474, 0.242129, 0.250593, 0.262406, 0.277709, 0.293478, 0.310572, 0.320466, 0.329716, 0.350376, 0.361471, 0.386196, 0.397975, 0.421719, 0.452745, 0.489333, 0.529845, 0.574033, 0.636411, 0.716334, 0.796257");
-            values ( \
-              "0.0611268, 0.0732205, 0.0780362, 0.079287, 0.0796414, 0.0782783, 0.0723011, 0.0665463, 0.0573652, 0.0542607, 0.0491395, 0.0426023, 0.0379633, 0.0346312, 0.0312745, 0.0275198, 0.0227909, 0.0201946, 0.0190799, 0.0195499, 0.0175832, 0.0157782, 0.0124993, 0.0105023, 0.00762674, 0.00515475, 0.00327171, 0.00202068, 0.00111155, 0.000509736, 0.000143014, 6.98775e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.114838, 0.129602, 0.13531, 0.144848, 0.156421, 0.173055, 0.190203, 0.202445, 0.222231, 0.228401, 0.24074, 0.253947, 0.257902, 0.26581, 0.278626, 0.295973, 0.312347, 0.346972, 0.349423, 0.354326, 0.362389, 0.371076, 0.381735, 0.394604, 0.408556, 0.423701, 0.429338, 0.440613, 0.457137, 0.477244, 0.492975, 0.496269, 0.502856, 0.51603, 0.563652, 0.580218, 0.584423, 0.592834, 0.609655, 0.688557, 0.836754, 0.916677");
-            values ( \
-              "0.115561, 0.11557, 0.115015, 0.112343, 0.10868, 0.10422, 0.100104, 0.0967313, 0.0875886, 0.0876141, 0.0796028, 0.0772326, 0.0727786, 0.0681888, 0.0599939, 0.0533888, 0.0482971, 0.0347579, 0.0333381, 0.0321769, 0.0317225, 0.0317723, 0.0314689, 0.0299026, 0.0277133, 0.0246683, 0.0237964, 0.0215088, 0.0187583, 0.0149953, 0.012915, 0.012229, 0.0116486, 0.00990775, 0.00594415, 0.00521371, 0.00476409, 0.00457609, 0.00363094, 0.00149655, 0.000196704, 0.000232603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.11771, 0.11773, 0.158621, 0.176889, 0.243853, 0.27076, 0.281013, 0.300221, 0.321044, 0.32561, 0.341484, 0.374407, 0.415529, 0.428721, 0.439717, 0.45533, 0.499476, 0.547269, 0.631077, 0.651199, 0.704228, 0.75317, 0.817637, 0.953208, 0.986776");
-            values ( \
-              "1e-22, 0.165729, 0.14541, 0.140773, 0.127083, 0.117461, 0.116248, 0.108038, 0.100969, 0.0968536, 0.0887488, 0.0770631, 0.0596359, 0.0559526, 0.0565781, 0.0537393, 0.0423644, 0.0326235, 0.0184382, 0.0158238, 0.0104561, 0.00706582, 0.00418255, 0.00132452, 0.00117581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.117804, 0.117824, 0.185941, 0.321566, 0.361679, 0.380017, 0.497383, 0.53517, 0.57179, 0.586881, 0.758166, 0.882437, 1.01277, 1.1732, 1.29569");
-            values ( \
-              "1e-22, 0.185471, 0.171321, 0.152123, 0.143092, 0.141046, 0.105593, 0.0910224, 0.0877034, 0.0848398, 0.0430846, 0.024877, 0.0129382, 0.00546979, 0.00300632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.125809, 0.125829, 0.232649, 0.454708, 0.493803, 0.566253, 0.6069, 0.637592, 0.75442, 0.768229, 0.801962, 1.08698, 1.19346, 1.3095, 1.41746, 1.5484, 1.62832, 1.78593, 1.94578, 2.10563, 2.23617");
-            values ( \
-              "1e-22, 0.230804, 0.189473, 0.171289, 0.166251, 0.159079, 0.152606, 0.145264, 0.122213, 0.12352, 0.120279, 0.0651557, 0.0485964, 0.0351489, 0.0256416, 0.0171531, 0.0132456, 0.00790703, 0.00462528, 0.00268108, 0.00208325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.186975, 0.205558, 0.226549, 0.248866, 0.250675, 0.254294, 0.260147, 0.265991, 0.274581, 0.284479, 0.287846, 0.291198, 0.295273, 0.310488, 0.318207, 0.328242, 0.329929, 0.333302, 0.34005, 0.349231, 0.356316, 0.363015, 0.370075, 0.378036, 0.386256, 0.394753, 0.412295, 0.420121, 0.428712, 0.429305, 0.430492, 0.432866, 0.436733, 0.443474, 0.452422, 0.462107, 0.472116, 0.479579, 0.491505, 0.504822, 0.51963, 0.540082, 0.545893, 0.557516, 0.580761, 0.623375, 0.694404, 0.774327");
-            values ( \
-              "0.00618049, 0.0291045, 0.0371633, 0.0404102, 0.0428664, 0.0427989, 0.0431668, 0.0422086, 0.0395078, 0.033355, 0.036091, 0.0324911, 0.0334119, 0.0265873, 0.0223563, 0.020006, 0.0191082, 0.0187338, 0.0171866, 0.0157425, 0.0154483, 0.0155253, 0.0142328, 0.0132563, 0.0113777, 0.0108804, 0.0103949, 0.00962466, 0.00910122, 0.00881347, 0.00900858, 0.0085167, 0.00848147, 0.00748002, 0.00671563, 0.00550218, 0.00499871, 0.00426683, 0.00388735, 0.00301816, 0.00272323, 0.00182696, 0.00194872, 0.00140789, 0.00125313, 0.000466976, 0.00033788, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.186868, 0.204716, 0.215506, 0.220693, 0.231069, 0.241306, 0.248788, 0.252884, 0.258242, 0.26275, 0.268761, 0.277669, 0.291017, 0.297527, 0.308141, 0.318097, 0.320561, 0.325489, 0.341623, 0.362003, 0.375993, 0.384595, 0.395352, 0.396559, 0.410353, 0.41881, 0.428601, 0.438673, 0.460363, 0.462988, 0.46824, 0.499407, 0.514851, 0.517954, 0.524161, 0.536574, 0.554193, 0.573401, 0.588712, 0.592392, 0.599752, 0.614472, 0.6375, 0.678564, 0.83353");
-            values ( \
-              "0.00419036, 0.0445195, 0.052867, 0.0560452, 0.0608112, 0.0639026, 0.0653251, 0.0691117, 0.0705925, 0.0704943, 0.0696684, 0.0673794, 0.0616843, 0.0557409, 0.0567787, 0.0490466, 0.0502907, 0.04578, 0.0369389, 0.0305645, 0.0270995, 0.0241437, 0.0219318, 0.0220047, 0.0195286, 0.0195863, 0.0192596, 0.0186093, 0.0156925, 0.0150909, 0.0146129, 0.00977202, 0.00815271, 0.00759591, 0.00725954, 0.0059796, 0.00503399, 0.00374592, 0.00333258, 0.00293542, 0.00292416, 0.00219898, 0.00186972, 0.000898028, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.186952, 0.214291, 0.228824, 0.23927, 0.248863, 0.253817, 0.257341, 0.264389, 0.276283, 0.29973, 0.319526, 0.325536, 0.337557, 0.353641, 0.354788, 0.368855, 0.379244, 0.419799, 0.446221, 0.449841, 0.45708, 0.465198, 0.475422, 0.488303, 0.502083, 0.562848, 0.586652, 0.606181, 0.628006, 0.652325, 0.680402, 0.717045, 0.762114, 0.817824, 0.897133, 0.977056");
-            values ( \
-              "0.0112299, 0.0752898, 0.0875369, 0.0933841, 0.0971914, 0.103863, 0.105127, 0.10611, 0.104027, 0.0973857, 0.0886987, 0.0852232, 0.082989, 0.0740325, 0.0740512, 0.0643993, 0.0589015, 0.0442596, 0.0333613, 0.0324842, 0.0317964, 0.0319027, 0.0316353, 0.0304189, 0.0282054, 0.0170141, 0.0131623, 0.010713, 0.00853288, 0.00657186, 0.00489106, 0.00332173, 0.00206898, 0.00110899, 0.00047075, 0.00017357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.186972, 0.22074, 0.226996, 0.241924, 0.248853, 0.253075, 0.258016, 0.262775, 0.272294, 0.341037, 0.35268, 0.375968, 0.378013, 0.382104, 0.390285, 0.40056, 0.413689, 0.431157, 0.444787, 0.471209, 0.508914, 0.52344, 0.532225, 0.54164, 0.553166, 0.598273, 0.640987, 0.685452, 0.715379, 0.754533, 0.780062, 0.8175, 0.851351, 0.897366, 0.95872, 1.02839, 1.10831, 1.26816");
-            values ( \
-              "0.0130583, 0.106619, 0.113207, 0.125571, 0.129279, 0.136733, 0.14123, 0.142497, 0.14288, 0.127318, 0.124072, 0.114742, 0.116004, 0.114069, 0.112037, 0.108407, 0.102309, 0.0923874, 0.0862555, 0.0770527, 0.061143, 0.0559658, 0.0570918, 0.0550993, 0.0537088, 0.0418139, 0.0331205, 0.0251514, 0.0202742, 0.0150927, 0.0124214, 0.00921234, 0.00703971, 0.00486864, 0.00298085, 0.00165102, 0.000877373, 0.000245207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.19789, 0.216781, 0.235953, 0.248755, 0.253671, 0.260157, 0.267357, 0.274578, 0.312163, 0.41653, 0.431311, 0.448205, 0.474528, 0.476978, 0.481877, 0.504654, 0.54157, 0.582781, 0.619788, 0.629993, 0.641816, 0.653073, 0.666871, 0.682165, 0.804483, 0.854733, 0.933633, 0.980313, 1.05328, 1.09406, 1.15573, 1.22408, 1.30401, 1.38393, 1.46385, 1.6237, 1.78354");
-            values ( \
-              "0.0599666, 0.120594, 0.145833, 0.156177, 0.165893, 0.172543, 0.174569, 0.174366, 0.167688, 0.152606, 0.149828, 0.144801, 0.142199, 0.140215, 0.140029, 0.134395, 0.120765, 0.109033, 0.0962802, 0.0916916, 0.0896121, 0.0894795, 0.0879129, 0.0852465, 0.0538364, 0.043135, 0.0308611, 0.024753, 0.0172886, 0.0140349, 0.0101441, 0.00705396, 0.00453083, 0.00294513, 0.00186222, 0.000754065, 0.000296043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.210318, 0.248558, 0.271134, 0.327481, 0.552028, 0.663513, 0.850976, 0.864763, 0.897778, 1.26721, 1.40071, 1.51453, 1.73296, 1.95263, 2.14493");
-            values ( \
-              "0.173766, 0.175437, 0.197778, 0.190259, 0.171339, 0.159349, 0.122153, 0.123558, 0.120501, 0.0518799, 0.0356844, 0.0255769, 0.0130087, 0.00626219, 0.00376131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.344756, 0.363649, 0.378041, 0.39653, 0.422305, 0.431191, 0.448876, 0.465216, 0.470363, 0.480656, 0.491604, 0.506535, 0.513648, 0.525119, 0.536034, 0.542044, 0.543265, 0.550234, 0.55466, 0.559826, 0.565375, 0.57163, 0.58541, 0.592367, 0.600241, 0.617571, 0.634231, 0.64247, 0.643091, 0.644331, 0.646812, 0.65115, 0.66901, 0.68942, 0.693382, 0.701307, 0.730999, 0.753273, 0.759591, 0.772226, 0.797497, 0.848038, 0.923467, 1.00339");
-            values ( \
-              "0.00202326, 0.0118622, 0.0156469, 0.0197888, 0.0252266, 0.0268643, 0.0296101, 0.0310422, 0.0312436, 0.0309159, 0.030395, 0.0301922, 0.0269651, 0.0249106, 0.0174046, 0.0177707, 0.0163826, 0.0150043, 0.0157483, 0.0154843, 0.0159711, 0.0159868, 0.0143191, 0.0128986, 0.0119267, 0.0113542, 0.00998509, 0.00908778, 0.00923607, 0.00892919, 0.00879301, 0.00815861, 0.00614067, 0.00460761, 0.00447924, 0.00393968, 0.00264345, 0.00203597, 0.00177632, 0.00157675, 0.0010284, 0.000557334, 0.000111062, 8.89006e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.347278, 0.366918, 0.403594, 0.435361, 0.461611, 0.485602, 0.50926, 0.527773, 0.534132, 0.546851, 0.550115, 0.554453, 0.60684, 0.611999, 0.622316, 0.630741, 0.641399, 0.65194, 0.703209, 0.737789, 0.766492, 0.802359, 0.858151, 0.902786, 0.982709, 1.06263");
-            values ( \
-              "0.00526651, 0.0200968, 0.0339747, 0.0443804, 0.050523, 0.0531793, 0.0521196, 0.0487695, 0.0488051, 0.0372631, 0.0372991, 0.0367159, 0.0223292, 0.0219435, 0.0203266, 0.0205646, 0.0200812, 0.0189813, 0.0111794, 0.00711489, 0.00498192, 0.00318821, 0.00157724, 0.000926197, 0.000268712, 0.000122493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.372899, 0.416117, 0.426234, 0.44053, 0.45307, 0.460788, 0.468506, 0.476224, 0.483941, 0.491091, 0.49824, 0.505389, 0.512539, 0.519638, 0.526737, 0.533836, 0.540934, 0.543346, 0.545757, 0.550579, 0.553636, 0.556693, 0.559135, 0.564019, 0.566461, 0.569711, 0.579463, 0.585506, 0.59155, 0.598415, 0.607364, 0.62472, 0.639285, 0.653996, 0.65798, 0.661965, 0.681258, 0.689059, 0.694877, 0.704531, 0.718215, 0.760356, 0.779114, 0.797804, 0.812964, 0.828124, 0.839051, 0.849978, 0.869752, 0.894881");
-            values ( \
-              "0.0538475, 0.0555537, 0.0607768, 0.0677542, 0.0734238, 0.0762801, 0.0787104, 0.0807144, 0.0822924, 0.0829983, 0.0833447, 0.0833316, 0.082959, 0.0822333, 0.0811532, 0.0797187, 0.0779297, 0.0785037, 0.0792344, 0.0811658, 0.0807641, 0.0802111, 0.0796606, 0.07827, 0.0774299, 0.075496, 0.068481, 0.0647147, 0.0613357, 0.0580342, 0.0542804, 0.047856, 0.0422445, 0.03564, 0.0343275, 0.0332182, 0.032478, 0.0319936, 0.0314669, 0.0302602, 0.0279926, 0.019995, 0.0166038, 0.0135883, 0.0115306, 0.00980767, 0.00876353, 0.00782133, 0.0063895, 0.00491494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.364446, 0.394088, 0.434845, 0.457783, 0.480739, 0.520082, 0.550094, 0.556975, 0.581731, 0.5937, 0.612332, 0.627604, 0.634677, 0.648821, 0.681123, 0.686039, 0.733174, 0.743011, 0.760436, 0.834525, 0.890982, 0.944421, 1.0095, 1.06678, 1.14992, 1.29685, 1.30139");
-            values ( \
-              "0.0495703, 0.0570662, 0.0851822, 0.0985925, 0.108843, 0.119322, 0.122384, 0.12703, 0.121596, 0.114188, 0.113699, 0.101951, 0.101111, 0.0908141, 0.0781613, 0.0774623, 0.0575317, 0.0574587, 0.0551829, 0.0373064, 0.026687, 0.0181376, 0.0109546, 0.00692425, 0.0035046, 0.000984291, 0.000962035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.363255, 0.425574, 0.464606, 0.50372, 0.526236, 0.550151, 0.560423, 0.574126, 0.592644, 0.634413, 0.679013, 0.692565, 0.743672, 0.7569, 0.783355, 0.826838, 0.845682, 0.85671, 0.868363, 0.882054, 0.897156, 1.01831, 1.06935, 1.10741, 1.19596, 1.26731, 1.30847, 1.37048, 1.43984, 1.51976, 1.59969, 1.75953, 1.9993");
-            values ( \
-              "0.042674, 0.0946987, 0.123309, 0.143333, 0.150251, 0.156292, 0.1608, 0.162488, 0.159946, 0.152913, 0.142721, 0.142215, 0.127123, 0.120701, 0.113732, 0.0995759, 0.0916974, 0.0896561, 0.0896192, 0.0879402, 0.0853133, 0.0542123, 0.0431441, 0.0368276, 0.0246162, 0.017315, 0.0141554, 0.0100946, 0.00695988, 0.00458463, 0.00286502, 0.00115448, 0.000363928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.386847, 0.455251, 0.509443, 0.549622, 0.561685, 0.575449, 0.618515, 0.762181, 0.816864, 0.870779, 0.894427, 1.0059, 1.06453, 1.07843, 1.11065, 1.1555, 1.34421, 1.40424, 1.48416, 1.54885, 1.61168, 1.6916, 1.8054, 1.87327, 1.95319, 2.09269, 2.25253, 2.41238, 2.57222, 2.89192");
-            values ( \
-              "0.104784, 0.131933, 0.165443, 0.180198, 0.186204, 0.188803, 0.184456, 0.17201, 0.165408, 0.160412, 0.157379, 0.134935, 0.122089, 0.123608, 0.120843, 0.112587, 0.0749665, 0.0643514, 0.0515025, 0.0430362, 0.0362171, 0.0286089, 0.0202704, 0.0165228, 0.0126766, 0.00801645, 0.00468142, 0.00270546, 0.00154601, 0.000474245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.671688, 0.70133, 0.716345, 0.759569, 0.76846, 0.786242, 0.817241, 0.855114, 0.888233, 0.904788, 0.919373, 0.934824, 0.948296, 0.962635, 0.979186, 0.982101, 0.987932, 0.995226, 1.00211, 1.01114, 1.02034, 1.03277, 1.04021, 1.04845, 1.05641, 1.06544, 1.07334, 1.08124, 1.09078, 1.10001, 1.10386, 1.11155, 1.12266, 1.13459, 1.14921, 1.16779, 1.17201, 1.18043, 1.19727, 1.21596, 1.23136, 1.24863, 1.27105, 1.29739, 1.34119, 1.41478, 1.4947");
-            values ( \
-              "0.00372258, 0.0051272, 0.00593392, 0.010114, 0.0112026, 0.0127757, 0.0158074, 0.0187732, 0.0208247, 0.0209714, 0.0214649, 0.0234879, 0.0222527, 0.0202126, 0.0163939, 0.0168227, 0.0145967, 0.0144637, 0.0135935, 0.0127711, 0.0108831, 0.0105534, 0.0106819, 0.0104209, 0.0102839, 0.00972063, 0.00935685, 0.00835735, 0.00776385, 0.00681655, 0.00680056, 0.00608288, 0.00564856, 0.00479483, 0.00428148, 0.00328851, 0.0033129, 0.00283382, 0.00246355, 0.00180378, 0.00227513, 0.00203335, 0.00147285, 0.0010063, 0.000545141, 0.000165467, 5.42424e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.671769, 0.706571, 0.721154, 0.817486, 0.832449, 0.856176, 0.873717, 0.908799, 0.909774, 0.915622, 0.939012, 0.943265, 0.951772, 0.963481, 0.974375, 0.989865, 1.01045, 1.01603, 1.04006, 1.05088, 1.05995, 1.0689, 1.08045, 1.09132, 1.10246, 1.11462, 1.12573, 1.13858, 1.15381, 1.18196, 1.21366, 1.21605, 1.22083, 1.22843, 1.24881, 1.26462, 1.29166, 1.32455, 1.36841, 1.44833, 1.52825");
-            values ( \
-              "0.00530139, 0.00901055, 0.0102365, 0.0253038, 0.0271568, 0.0307215, 0.0326954, 0.0364583, 0.0364303, 0.0368183, 0.0375844, 0.0381447, 0.0396994, 0.040949, 0.0394914, 0.0353904, 0.0293484, 0.0272372, 0.0190376, 0.0176504, 0.0184951, 0.0191903, 0.0192443, 0.018534, 0.0175092, 0.016189, 0.0147126, 0.0127954, 0.0109134, 0.00814126, 0.00578478, 0.00573424, 0.00633521, 0.00641504, 0.00525133, 0.00428252, 0.00307172, 0.00203708, 0.00117043, 0.000375361, 0.000132838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.671771, 0.741548, 0.797494, 0.858233, 0.905426, 0.946186, 0.959198, 0.972006, 0.984409, 1.00317, 1.02058, 1.04507, 1.06025, 1.06276, 1.07777, 1.09047, 1.1034, 1.11395, 1.12526, 1.12932, 1.13742, 1.1494, 1.16442, 1.18175, 1.21605, 1.21766, 1.22088, 1.23116, 1.25864, 1.27969, 1.3096, 1.34163, 1.36442, 1.38743, 1.41812, 1.4795, 1.55943, 1.63935, 1.71927");
-            values ( \
-              "0.00706246, 0.0192429, 0.0324707, 0.0455822, 0.0545868, 0.0607843, 0.0618291, 0.0624765, 0.0635505, 0.0665885, 0.0647464, 0.0544043, 0.0489983, 0.0464292, 0.0393211, 0.0348589, 0.0310572, 0.0319882, 0.0315809, 0.0319789, 0.0316936, 0.031013, 0.0291791, 0.0264947, 0.020519, 0.0210245, 0.0212992, 0.0202048, 0.015712, 0.0126881, 0.00937903, 0.00673263, 0.00531988, 0.00418544, 0.00302944, 0.00155038, 0.000631014, 0.000254597, 0.000104388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.682453, 0.729305, 0.900084, 0.956898, 1.00916, 1.07677, 1.12309, 1.14469, 1.18402, 1.2059, 1.21621, 1.22365, 1.23203, 1.32883, 1.3841, 1.43789, 1.46662, 1.5329, 1.5874, 1.64968, 1.72412");
-            values ( \
-              "0.0153516, 0.0229678, 0.0719282, 0.0861527, 0.0943802, 0.099417, 0.0844239, 0.0700804, 0.0573181, 0.05718, 0.0562437, 0.0571361, 0.0566762, 0.03377, 0.0234313, 0.015754, 0.0126062, 0.00748008, 0.00486226, 0.00291917, 0.00191867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.710958, 0.771387, 0.932056, 0.963786, 1.02725, 1.05539, 1.09292, 1.10238, 1.12132, 1.15918, 1.16598, 1.17958, 1.19522, 1.21015, 1.21568, 1.22675, 1.24249, 1.29352, 1.31565, 1.32269, 1.33326, 1.34538, 1.36124, 1.39149, 1.50047, 1.54673, 1.57693, 1.61721, 1.66443, 1.69429, 1.72292, 1.7611, 1.8254, 1.86752, 1.92612, 2.00425, 2.08417, 2.24402, 2.40386, 2.48379");
-            values ( \
-              "0.0414555, 0.0415998, 0.0981928, 0.108117, 0.12363, 0.128266, 0.132285, 0.132984, 0.13385, 0.134668, 0.13407, 0.131719, 0.132445, 0.125091, 0.12487, 0.12291, 0.118588, 0.101736, 0.0932965, 0.0921203, 0.0917154, 0.0906211, 0.0880934, 0.0807479, 0.0524084, 0.0427945, 0.0377339, 0.0317774, 0.0253972, 0.0220201, 0.0191187, 0.0158227, 0.0112511, 0.00899945, 0.00656875, 0.00433629, 0.00274011, 0.00111262, 0.000440304, 0.00033302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.710856, 0.782251, 0.939586, 0.997415, 1.06097, 1.14089, 1.20651, 1.2157, 1.22644, 1.24192, 1.35249, 1.35568, 1.37484, 1.46655, 1.526, 1.54271, 1.55655, 1.59063, 1.62864, 1.79717, 1.86236, 1.94229, 2.01722, 2.06424, 2.14416, 2.20534, 2.2696, 2.34435, 2.42427, 2.48916, 2.56488, 2.6448, 2.72473, 2.88457, 3.04442, 3.20426, 3.44403");
-            values ( \
-              "0.0397567, 0.0509038, 0.11341, 0.133918, 0.150358, 0.164087, 0.170118, 0.170345, 0.172434, 0.172861, 0.159974, 0.160159, 0.157124, 0.138543, 0.125162, 0.122419, 0.123694, 0.120336, 0.113418, 0.0794692, 0.0674504, 0.0543182, 0.0440661, 0.0387072, 0.0307362, 0.0256462, 0.0210956, 0.0167905, 0.0129323, 0.0104734, 0.00816459, 0.00635721, 0.00477698, 0.00276883, 0.00159005, 0.000901718, 0.000477868" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0126618, 0.0127019, 0.0127493, 0.0127956, 0.0128328, 0.0128582", \
-            "0.0158924, 0.0159431, 0.0160118, 0.0160869, 0.016155, 0.0162059", \
-            "0.0179636, 0.018005, 0.0180688, 0.0181509, 0.0182362, 0.0183074", \
-            "0.0192361, 0.0192465, 0.0192689, 0.019309, 0.0193641, 0.0194206", \
-            "0.0201051, 0.0200799, 0.0200483, 0.0200178, 0.0200006, 0.0200015", \
-            "0.0207689, 0.0207299, 0.0206683, 0.0205817, 0.0204818, 0.0203946" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0188954, 0.0189302, 0.0189842, 0.0190481, 0.019107, 0.0191509", \
-            "0.0200619, 0.0200378, 0.0200165, 0.0200073, 0.0200073, 0.0200113", \
-            "0.0198106, 0.0197151, 0.0195885, 0.0194446, 0.0193122, 0.0192116", \
-            "0.0194567, 0.0193285, 0.019148, 0.0189357, 0.0187211, 0.0185512", \
-            "0.0196026, 0.0194871, 0.0192457, 0.018922, 0.0185961, 0.0183271", \
-            "0.0168712, 0.0170122, 0.0173222, 0.0180909, 0.0188661, 0.0185107" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0296639, 0.0319702, 0.040905, 0.0459449, 0.0638012, 0.0751861, 0.0861288, 0.096751, 0.10806, 0.129965, 0.145264, 0.167361, 0.184984, 0.198808, 0.217391, 0.230227, 0.243026, 0.269821, 0.325332");
-            values ( \
-              "-0.0528337, -0.0610056, -0.0574857, -0.0571388, -0.0573037, -0.0581093, -0.0615788, -0.062088, -0.0541397, -0.0309582, -0.0184076, -0.00751554, -0.00476744, -0.00322634, -0.00255983, -0.00145627, -0.00122409, -0.00133256, -0.000536893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.029551, 0.032931, 0.0367239, 0.0399088, 0.0432111, 0.0491579, 0.0563148, 0.0732471, 0.0825816, 0.0860777, 0.0952947, 0.0997444, 0.107612, 0.120746, 0.125598, 0.145989, 0.156456, 0.165956, 0.171525, 0.192658, 0.201499, 0.20906, 0.219544, 0.23104, 0.24326, 0.261291, 0.273854, 0.343498, 0.374363, 0.39064");
-            values ( \
-              "-0.0473876, -0.100193, -0.097152, -0.0957208, -0.095236, -0.0947641, -0.0949617, -0.0946011, -0.0955286, -0.0966131, -0.100515, -0.101611, -0.10042, -0.0886551, -0.0825585, -0.0517558, -0.0386062, -0.029187, -0.0247174, -0.0109704, -0.00671523, -0.00478193, -0.00463239, -0.00518419, -0.00528083, -0.00370899, -0.00298972, -0.00118674, -0.000655103, -0.000510147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0295463, 0.0344832, 0.0432292, 0.0869809, 0.0941686, 0.100558, 0.112343, 0.118874, 0.128003, 0.144785, 0.181251, 0.198271, 0.229295, 0.236466, 0.245765, 0.263494, 0.337603, 0.409255, 0.45222");
-            values ( \
-              "-0.0407193, -0.15105, -0.146062, -0.144044, -0.144361, -0.145728, -0.150313, -0.151582, -0.149052, -0.13239, -0.0712969, -0.0489688, -0.0200309, -0.0154134, -0.0122897, -0.00918601, -0.00434613, -0.0017427, -0.000997643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0316689, 0.0365813, 0.0431563, 0.0486534, 0.0764091, 0.111473, 0.121364, 0.148987, 0.157383, 0.16589, 0.182531, 0.189603, 0.232727, 0.276559, 0.285339, 0.29783, 0.319603, 0.353451, 0.389776, 0.414353, 0.442441, 0.555287, 0.589429");
-            values ( \
-              "-0.15964, -0.206235, -0.203512, -0.202848, -0.201478, -0.198486, -0.198723, -0.203815, -0.202986, -0.199127, -0.185959, -0.176771, -0.108664, -0.051364, -0.0429824, -0.0353389, -0.0278983, -0.0184815, -0.0103019, -0.00713109, -0.004789, -0.0016385, -0.00138525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0332132, 0.034642, 0.0999568, 0.168069, 0.20243, 0.236742, 0.257584, 0.274127, 0.37676, 0.421864, 0.462754, 0.525657, 0.559125, 0.610068, 0.696513, 0.782154");
-            values ( \
-              "-0.257264, -0.257519, -0.253657, -0.24746, -0.248968, -0.242719, -0.229588, -0.21342, -0.0893291, -0.0629088, -0.044021, -0.0233811, -0.0159526, -0.00838854, -0.00349024, -0.00280318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0336387, 0.0410768, 0.0510028, 0.0609539, 0.11285, 0.254921, 0.312031, 0.340645, 0.37021, 0.399564, 0.43305, 0.483051, 0.540403, 0.633914, 0.67184, 0.738366, 0.795369, 0.850673, 0.895092, 0.963566, 1.02053, 1.07749, 1.19142, 1.30534, 1.64712");
-            values ( \
-              "-0.29198, -0.295895, -0.297352, -0.297705, -0.29556, -0.284552, -0.282975, -0.27928, -0.272136, -0.260023, -0.238946, -0.199048, -0.148534, -0.0968204, -0.0784723, -0.0528554, -0.0372633, -0.0259614, -0.0193382, -0.01208, -0.00816182, -0.00542285, -0.00274705, -0.00157523, -0.00036954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0480175, 0.0518229, 0.0533887, 0.0585086, 0.0618289, 0.0708988, 0.0765811, 0.0822973, 0.0936618, 0.104592, 0.115193, 0.12647, 0.148287, 0.163439, 0.177802, 0.185165, 0.202535, 0.215833, 0.224862, 0.234186, 0.246599, 0.259107, 0.283905, 0.344327, 0.418848, 0.52929");
-            values ( \
-              "-0.0312529, -0.0623802, -0.0646608, -0.0596466, -0.0584111, -0.0574529, -0.0575783, -0.0572951, -0.0581512, -0.0616697, -0.0622582, -0.0543813, -0.0311927, -0.0185745, -0.010488, -0.00762079, -0.00487301, -0.00325896, -0.00310932, -0.00263505, -0.00152086, -0.00119661, -0.00135019, -0.000464158, -3.94129e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0497314, 0.0531519, 0.0613944, 0.0707305, 0.0947746, 0.101344, 0.114002, 0.126377, 0.14093, 0.165371, 0.180669, 0.211759, 0.220047, 0.227505, 0.261271, 0.298493, 0.359781");
-            values ( \
-              "-0.0977525, -0.10483, -0.0973433, -0.0953704, -0.0948549, -0.0956691, -0.100446, -0.101005, -0.0872886, -0.0509484, -0.0328691, -0.0107434, -0.00669518, -0.00482779, -0.00526934, -0.00273557, -0.00132684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.048784, 0.0536657, 0.0615367, 0.0661638, 0.0718075, 0.0986601, 0.114983, 0.131061, 0.144076, 0.146743, 0.152078, 0.163405, 0.178879, 0.193654, 0.202331, 0.213657, 0.223013, 0.243287, 0.25396, 0.259691, 0.269917, 0.283159, 0.29868, 0.312426, 0.33805, 0.365948, 0.399053, 0.446498, 0.472934");
-            values ( \
-              "-0.0366112, -0.157249, -0.149276, -0.147059, -0.146044, -0.144534, -0.144427, -0.150603, -0.150867, -0.149283, -0.145482, -0.132447, -0.107314, -0.0813023, -0.0677835, -0.0529996, -0.042776, -0.0238714, -0.0160557, -0.0139481, -0.0110037, -0.00923169, -0.00810214, -0.00779169, -0.00541919, -0.00384672, -0.0025805, -0.00125913, -0.000956411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0513658, 0.0551737, 0.0731074, 0.125395, 0.140152, 0.162521, 0.184771, 0.208433, 0.259896, 0.29806, 0.319217, 0.345813, 0.403567, 0.464107, 0.554616");
-            values ( \
-              "-0.181013, -0.213125, -0.203231, -0.199053, -0.198673, -0.203259, -0.200288, -0.177466, -0.096796, -0.0484942, -0.0344457, -0.025826, -0.0112472, -0.00475368, -0.00229152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0522798, 0.0554231, 0.0653827, 0.0753885, 0.118769, 0.169562, 0.186835, 0.221183, 0.2551, 0.264996, 0.275551, 0.292774, 0.394902, 0.413293, 0.441386, 0.481148, 0.510513, 0.541606, 0.576357, 0.627518, 0.668567, 0.712766, 0.809992, 0.923918, 1.09481");
-            values ( \
-              "-0.239158, -0.262937, -0.258786, -0.25662, -0.253751, -0.248605, -0.247656, -0.249243, -0.243101, -0.237905, -0.230473, -0.213703, -0.0898441, -0.0774925, -0.0624833, -0.0441025, -0.0334459, -0.0240188, -0.0161715, -0.00845954, -0.00550217, -0.00351178, -0.00178094, -0.000835902, -0.000420422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0529986, 0.0540169, 0.0554775, 0.0583987, 0.0742534, 0.16206, 0.245522, 0.274026, 0.336747, 0.356178, 0.389054, 0.402987, 0.426055, 0.451921, 0.484636, 0.506676, 0.543675, 0.574578, 0.614841, 0.653048, 0.710313, 0.765982, 0.790897, 0.830609, 0.907755, 0.951188, 0.996867, 1.05383, 1.11079, 1.22472, 1.33864, 1.62346");
-            values ( \
-              "-0.279432, -0.294062, -0.299147, -0.301028, -0.298625, -0.293774, -0.28664, -0.284723, -0.282543, -0.280112, -0.272466, -0.267242, -0.255995, -0.239057, -0.213854, -0.195078, -0.159778, -0.139535, -0.117249, -0.0966612, -0.0699948, -0.0500192, -0.0431143, -0.0333343, -0.0200422, -0.0151532, -0.0108257, -0.00737629, -0.00487897, -0.00249517, -0.00143232, -0.000594446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.075559, 0.0914212, 0.112556, 0.113766, 0.114427, 0.12044, 0.128853, 0.134595, 0.145526, 0.156073, 0.17157, 0.202911, 0.218479, 0.225741, 0.236949, 0.255315, 0.298939, 0.37822");
-            values ( \
-              "-0.00364221, -0.037721, -0.0558854, -0.0612786, -0.0615644, -0.0589542, -0.0579437, -0.0584782, -0.0617616, -0.0623186, -0.0505065, -0.0195772, -0.0105272, -0.00778502, -0.00587374, -0.00342455, -0.00127384, -0.000573303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0758611, 0.0935878, 0.10226, 0.112578, 0.11436, 0.11631, 0.118443, 0.12271, 0.129099, 0.135569, 0.142173, 0.154834, 0.167201, 0.181741, 0.189529, 0.206194, 0.217541, 0.221421, 0.22841, 0.236277, 0.25221, 0.260457, 0.267769, 0.277808, 0.289081, 0.300882, 0.31013, 0.322044, 0.337596, 0.372792, 0.390194, 0.452158, 0.555465");
-            values ( \
-              "-0.00487413, -0.0653338, -0.0779888, -0.0918323, -0.0981997, -0.100435, -0.0985473, -0.0967385, -0.0954911, -0.0952575, -0.0957645, -0.100585, -0.101001, -0.0874276, -0.0763505, -0.0509797, -0.0370965, -0.0329536, -0.0268835, -0.021096, -0.0109568, -0.00686011, -0.00489777, -0.00459685, -0.00513188, -0.00531843, -0.00463642, -0.00353015, -0.00275907, -0.00192856, -0.00138473, -0.000370788, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0759678, 0.0972315, 0.115481, 0.118687, 0.121164, 0.128246, 0.139867, 0.15161, 0.164387, 0.172238, 0.187941, 0.206601, 0.236766, 0.256269, 0.285862, 0.295052, 0.307044, 0.32949, 0.345882, 0.383479, 0.418332, 0.490158");
-            values ( \
-              "-0.0042637, -0.106858, -0.148712, -0.151398, -0.148654, -0.146456, -0.144827, -0.144301, -0.147189, -0.150771, -0.149765, -0.130206, -0.0782244, -0.0512883, -0.0226485, -0.0160417, -0.0119023, -0.00859104, -0.00816381, -0.00505074, -0.00330906, -0.00132524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0762877, 0.102456, 0.112672, 0.114812, 0.126799, 0.159032, 0.181572, 0.200528, 0.210758, 0.224398, 0.229279, 0.235787, 0.249639, 0.293464, 0.335257, 0.343171, 0.355952, 0.378753, 0.438968, 0.469574, 0.494235, 0.543186, 0.616156");
-            values ( \
-              "-0.00822633, -0.163342, -0.191822, -0.210391, -0.203867, -0.199512, -0.198329, -0.20296, -0.204388, -0.200577, -0.197805, -0.193003, -0.177457, -0.107908, -0.0532363, -0.0448629, -0.036352, -0.0283114, -0.0124739, -0.00750546, -0.00534403, -0.0033298, -0.00179968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0875147, 0.0981655, 0.116921, 0.122977, 0.133431, 0.228104, 0.262818, 0.286058, 0.29727, 0.314703, 0.334304, 0.435843, 0.455127, 0.487015, 0.524363, 0.564023, 0.601625, 0.627515, 0.679922, 0.719813, 0.765006, 0.863366, 1.09122");
-            values ( \
-              "-0.132966, -0.187338, -0.261684, -0.258822, -0.256187, -0.247308, -0.249877, -0.246664, -0.242257, -0.232552, -0.213601, -0.0904394, -0.0773606, -0.060494, -0.0434799, -0.0294358, -0.0198443, -0.0144316, -0.00744306, -0.00496171, -0.00325834, -0.00168879, -0.000348723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0875068, 0.104654, 0.115573, 0.118804, 0.121917, 0.128142, 0.141252, 0.191434, 0.228385, 0.315033, 0.37275, 0.401316, 0.430837, 0.438634, 0.465367, 0.493572, 0.526189, 0.548007, 0.585132, 0.597712, 0.615859, 0.694536, 0.721977, 0.763689, 0.806099, 0.857433, 0.910636, 0.942908, 0.975151, 1.01814, 1.0751, 1.13207, 1.18903, 1.30295, 1.41688, 1.64473");
-            values ( \
-              "-0.133191, -0.244686, -0.294729, -0.302782, -0.300801, -0.299315, -0.297585, -0.294612, -0.291862, -0.284514, -0.28317, -0.279669, -0.272417, -0.269782, -0.257389, -0.239211, -0.214016, -0.195446, -0.160043, -0.150878, -0.13982, -0.096789, -0.0835032, -0.0653056, -0.0505013, -0.0366448, -0.0262119, -0.0209417, -0.0168566, -0.0127359, -0.00826967, -0.0057798, -0.0038412, -0.00203759, -0.00118941, -0.000427295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.131019, 0.160345, 0.17332, 0.217795, 0.236667, 0.242824, 0.247104, 0.248981, 0.250355, 0.251923, 0.258685, 0.287315, 0.295576, 0.306943, 0.312369, 0.320272, 0.333853, 0.349079, 0.357801, 0.365714, 0.371444, 0.377331, 0.381869, 0.388318, 0.394417, 0.402254, 0.410618, 0.422751, 0.436916, 0.460962, 0.489066, 0.495284");
-            values ( \
-              "-0.000238663, -0.0221207, -0.0283949, -0.0459488, -0.056961, -0.0597737, -0.0603162, -0.0598652, -0.0612916, -0.0611352, -0.0550424, -0.0248979, -0.0186938, -0.0121141, -0.00933016, -0.00709303, -0.00490946, -0.00321662, -0.00328143, -0.00253471, -0.00228942, -0.00145316, -0.00164486, -0.00115397, -0.0015106, -0.00117538, -0.00148906, -0.00106798, -0.0011836, -0.000543716, -0.000537224, -0.000452032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.143462, 0.153013, 0.177669, 0.19949, 0.229602, 0.239536, 0.246501, 0.24902, 0.25173, 0.255199, 0.26475, 0.271641, 0.282795, 0.296768, 0.306973, 0.313666, 0.321855, 0.342438, 0.350509, 0.357428, 0.367438, 0.378419, 0.390223, 0.400146, 0.408515, 0.42085, 0.464504, 0.507395, 0.545978, 0.591933, 0.648896");
-            values ( \
-              "-0.020979, -0.0311716, -0.049879, -0.0642563, -0.0827369, -0.0910749, -0.0982192, -0.0999141, -0.103343, -0.103702, -0.0969187, -0.0892439, -0.0736712, -0.0522388, -0.0391132, -0.0321364, -0.0251839, -0.0115338, -0.00727782, -0.00520153, -0.00460025, -0.00518821, -0.00534691, -0.00479197, -0.00389945, -0.00310147, -0.00184562, -0.000872663, -0.00041123, -0.000128362, -6.37308e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.143059, 0.156578, 0.184475, 0.209233, 0.229551, 0.239082, 0.245895, 0.248613, 0.250747, 0.253051, 0.255523, 0.262962, 0.270378, 0.278547, 0.295219, 0.301282, 0.315606, 0.331675, 0.343543, 0.348354, 0.359509, 0.378332, 0.385715, 0.389379, 0.39493, 0.412031, 0.456071, 0.460795, 0.485314, 0.51501, 0.552913, 0.616085, 0.72263");
-            values ( \
-              "-0.0180502, -0.0519631, -0.0832463, -0.107412, -0.125408, -0.133278, -0.139704, -0.142609, -0.147084, -0.149526, -0.149511, -0.151562, -0.152341, -0.149524, -0.132817, -0.123398, -0.098043, -0.0715934, -0.0551662, -0.049551, -0.0381184, -0.0210434, -0.0159916, -0.0148775, -0.0126836, -0.00943617, -0.00674182, -0.00614231, -0.00442041, -0.0031321, -0.0018664, -0.000622678, -6.45366e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.155585, 0.177943, 0.187491, 0.192266, 0.204489, 0.211939, 0.219388, 0.226837, 0.229687, 0.232536, 0.235386, 0.238235, 0.241085, 0.246784, 0.249633, 0.250906, 0.25345, 0.258247, 0.262037, 0.269055, 0.27329, 0.276106, 0.281737, 0.284553, 0.287315, 0.292841, 0.30113, 0.312181, 0.317815, 0.321074, 0.324551, 0.328028, 0.331504, 0.341386, 0.350328, 0.35927, 0.379299, 0.391213, 0.428425, 0.432206, 0.435988, 0.442289, 0.448589, 0.458554, 0.470336, 0.487155, 0.504703, 0.523601, 0.5299, 0.540782");
-            values ( \
-              "-0.0964558, -0.104483, -0.116859, -0.123463, -0.141633, -0.153597, -0.166236, -0.179549, -0.180648, -0.182075, -0.183831, -0.185914, -0.188325, -0.194132, -0.197528, -0.202205, -0.202026, -0.200734, -0.199946, -0.199526, -0.199609, -0.200621, -0.202061, -0.202489, -0.202496, -0.202395, -0.201957, -0.200841, -0.200029, -0.198021, -0.195431, -0.192363, -0.188839, -0.176333, -0.163037, -0.149122, -0.116403, -0.0989866, -0.0506166, -0.0465782, -0.0430967, -0.0391719, -0.0358811, -0.0321608, -0.0283328, -0.0233488, -0.0185591, -0.0139913, -0.0126048, -0.0104732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.154954, 0.170375, 0.189335, 0.211826, 0.248851, 0.251895, 0.257727, 0.262812, 0.268489, 0.28555, 0.301814, 0.319834, 0.354134, 0.388755, 0.398475, 0.408843, 0.425746, 0.444952, 0.51593, 0.526865, 0.546501, 0.578829, 0.61571, 0.654332, 0.690824, 0.704963, 0.719374, 0.738589, 0.777019, 0.816746, 0.86229, 0.960875, 1.18873");
-            values ( \
-              "-0.104053, -0.117147, -0.152616, -0.19121, -0.247345, -0.258123, -0.254416, -0.253949, -0.252626, -0.250418, -0.2488, -0.247601, -0.249376, -0.242869, -0.237721, -0.23031, -0.213836, -0.191284, -0.103114, -0.0908315, -0.0774533, -0.0603116, -0.0434837, -0.0297379, -0.020364, -0.0170323, -0.014319, -0.0114294, -0.00690786, -0.00475264, -0.00307925, -0.00159132, -0.000300327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.154991, 0.180781, 0.203159, 0.248694, 0.253692, 0.259797, 0.265459, 0.276785, 0.286008, 0.295283, 0.406489, 0.464265, 0.483913, 0.522295, 0.529795, 0.544797, 0.563845, 0.585086, 0.617954, 0.638419, 0.662936, 0.676336, 0.68923, 0.748798, 0.785991, 0.809699, 0.842291, 0.898635, 0.924119, 0.963459, 1.02007, 1.03985, 1.05791, 1.08199, 1.12226, 1.17922, 1.23619, 1.35011, 1.46404, 1.57797, 1.74885");
-            values ( \
-              "-0.0924923, -0.158586, -0.205344, -0.287858, -0.296053, -0.298212, -0.29597, -0.295394, -0.294318, -0.293845, -0.284428, -0.283152, -0.281142, -0.272582, -0.269903, -0.263644, -0.25335, -0.239242, -0.213784, -0.196404, -0.174149, -0.160404, -0.150809, -0.116933, -0.0968736, -0.0852542, -0.070555, -0.050224, -0.0430706, -0.0334321, -0.0232598, -0.020205, -0.0178991, -0.0153736, -0.0114448, -0.00776153, -0.00512497, -0.00259236, -0.00147543, -0.000890841, -0.00059394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243561, 0.274512, 0.28464, 0.294451, 0.30959, 0.33193, 0.375153, 0.397183, 0.415866, 0.431947, 0.447205, 0.459096, 0.477591, 0.497923, 0.505002, 0.514306, 0.524309, 0.528523, 0.535901, 0.543554, 0.550148, 0.552633, 0.557602, 0.563275, 0.569367, 0.5802, 0.629562, 0.687814, 0.776326");
-            values ( \
-              "-0.000387785, -0.0080982, -0.0098015, -0.0118431, -0.0154576, -0.0201337, -0.0277004, -0.0318089, -0.0384139, -0.0429813, -0.0430606, -0.0378138, -0.0240757, -0.0122897, -0.00938556, -0.00725512, -0.00546974, -0.00493674, -0.00432479, -0.00395186, -0.00344967, -0.00413352, -0.00366498, -0.00269022, -0.00230834, -0.00192472, -0.00100612, -0.000288231, -2.5905e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.243577, 0.285025, 0.323385, 0.35814, 0.385861, 0.409632, 0.429987, 0.447696, 0.464597, 0.479595, 0.503636, 0.51019, 0.5343, 0.543047, 0.547578, 0.54949, 0.550187, 0.551583, 0.553873, 0.560953, 0.578799, 0.596203, 0.608895, 0.637699, 0.66851, 0.713856");
-            values ( \
-              "-0.000468081, -0.0164634, -0.0303591, -0.0410906, -0.0487817, -0.0559228, -0.0664339, -0.073969, -0.0735606, -0.0624588, -0.03658, -0.0310059, -0.0137904, -0.00879753, -0.00708764, -0.00699041, -0.00670968, -0.00789615, -0.00842371, -0.00754504, -0.00671174, -0.00483433, -0.00378014, -0.0025706, -0.00159582, -0.000745254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.259536, 0.285166, 0.334313, 0.356117, 0.431186, 0.45441, 0.474892, 0.494847, 0.512118, 0.53307, 0.550317, 0.551822, 0.554832, 0.5662, 0.57967, 0.590447, 0.602796, 0.615312, 0.655407, 0.68369, 0.732382, 0.783347, 0.784768");
-            values ( \
-              "-0.0111487, -0.0257985, -0.0516977, -0.0619722, -0.0939967, -0.10957, -0.120382, -0.117544, -0.0989847, -0.0694448, -0.0487593, -0.0488686, -0.0468399, -0.0339412, -0.0216426, -0.0164001, -0.0128989, -0.010603, -0.0066788, -0.00466936, -0.00253032, -0.00112902, -0.00111495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275193, 0.301609, 0.340281, 0.374523, 0.397707, 0.46641, 0.49439, 0.519667, 0.543028, 0.553968, 0.590505, 0.628548, 0.636464, 0.648419, 0.661878, 0.69053, 0.732771, 0.741684, 0.744764, 0.763244, 0.781521, 0.803318, 0.853575, 0.916977, 1.01711, 1.07407");
-            values ( \
-              "-0.0436821, -0.0472836, -0.0758026, -0.0976932, -0.111413, -0.149861, -0.170913, -0.183656, -0.174341, -0.163497, -0.105484, -0.0550347, -0.0462765, -0.0387817, -0.0327641, -0.023537, -0.0124056, -0.0109738, -0.0100993, -0.00743219, -0.0059834, -0.00447274, -0.00279207, -0.00146577, -0.00038928, -0.000348857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.275049, 0.340217, 0.37856, 0.436067, 0.52212, 0.557777, 0.573309, 0.587156, 0.602148, 0.614942, 0.629227, 0.734723, 0.789788, 0.821925, 0.861515, 0.896759, 0.937385, 0.969503, 1.00826, 1.09714, 1.15108");
-            values ( \
-              "-0.0355827, -0.0950664, -0.126666, -0.169397, -0.225886, -0.253049, -0.24687, -0.245653, -0.237663, -0.227803, -0.213845, -0.0872232, -0.0568218, -0.042555, -0.0287472, -0.0196898, -0.0119996, -0.00804895, -0.0051897, -0.00253715, -0.00213564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.275148, 0.326801, 0.368295, 0.418709, 0.466303, 0.494101, 0.550109, 0.554636, 0.563689, 0.578937, 0.589725, 0.63872, 0.667952, 0.714509, 0.726164, 0.749473, 0.781193, 0.788963, 0.829314, 0.852476, 0.879697, 0.89246, 0.975201, 1.01891, 1.05975, 1.09306, 1.11781, 1.15081, 1.19753, 1.24791, 1.3215, 1.37847, 1.43543, 1.54936, 1.66328, 1.89113, 1.9481");
-            values ( \
-              "-0.0292262, -0.0961227, -0.137593, -0.1831, -0.223203, -0.245326, -0.285757, -0.291587, -0.28789, -0.287716, -0.28588, -0.283458, -0.283389, -0.275565, -0.272853, -0.263122, -0.244799, -0.23924, -0.207545, -0.187388, -0.161009, -0.151033, -0.104548, -0.0825043, -0.0652896, -0.0531732, -0.0456613, -0.0373536, -0.027468, -0.0196575, -0.0118117, -0.00803045, -0.00526507, -0.0026384, -0.0014864, -0.000528999, -0.000625385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.473965, 0.488813, 0.525412, 0.568118, 0.595961, 0.649294, 0.739337, 0.787563, 0.792538, 0.80249, 0.815185, 0.83502, 0.871001, 0.884531, 0.89828, 0.917303, 0.92256, 0.937741, 1.01011, 1.07489, 1.1763, 1.21601, 1.23637, 1.26402");
-            values ( \
-              "-0.00188674, -0.00227317, -0.00356562, -0.00577288, -0.00807414, -0.01334, -0.0205386, -0.0279208, -0.0280124, -0.0291362, -0.0293433, -0.0269212, -0.0130671, -0.00958223, -0.0069483, -0.00503401, -0.00410676, -0.00287687, -0.00100854, -0.000223002, -1e-22, -6.71332e-05, -0.000301952, -7.86503e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.479473, 0.488885, 0.531165, 0.561335, 0.575185, 0.602886, 0.642947, 0.676737, 0.757096, 0.788316, 0.815006, 0.832246, 0.839965, 0.852178, 0.865505, 0.899442, 0.918044, 0.927268, 0.953383, 0.965408, 0.983027, 1.02121, 1.06326, 1.09855, 1.14186, 1.2161, 1.2313, 1.26956, 1.30459");
-            values ( \
-              "-0.00351677, -0.00394554, -0.00657569, -0.00912656, -0.0106199, -0.0145312, -0.0212969, -0.0259051, -0.0361497, -0.0436381, -0.0489606, -0.0509006, -0.0508148, -0.0494513, -0.0447405, -0.0256355, -0.0137321, -0.0104765, -0.0086837, -0.00704927, -0.00524005, -0.00308116, -0.00143414, -0.000755952, -0.000235254, -2.79353e-05, -0.000597722, -0.000107169, -9.5273e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.501789, 0.566443, 0.587153, 0.608243, 0.635069, 0.678482, 0.765559, 0.787487, 0.835386, 0.863939, 0.882536, 0.904525, 0.912531, 0.969659, 0.981483, 1.0069, 1.04788, 1.07402, 1.12607, 1.15075, 1.18499, 1.21591, 1.23543, 1.28135, 1.32624");
-            values ( \
-              "-0.0100431, -0.0154564, -0.0190537, -0.0237504, -0.0307086, -0.0404263, -0.0568848, -0.0614614, -0.0763517, -0.0819619, -0.0831939, -0.0772138, -0.0724336, -0.0270286, -0.0218672, -0.0146855, -0.00838791, -0.0060508, -0.00304495, -0.00205309, -0.00114305, -0.000644816, -0.00125678, -0.000352999, -0.000210463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.502942, 0.556555, 0.582414, 0.607213, 0.660678, 0.701897, 0.733495, 0.838921, 0.881182, 0.909759, 0.936228, 0.953954, 0.977913, 1.00775, 1.03819, 1.04707, 1.05618, 1.0779, 1.10289, 1.13585, 1.15696, 1.17378, 1.19289, 1.21594, 1.21979, 1.22748, 1.23366, 1.26271, 1.29605, 1.33583, 1.44403, 1.50099");
-            values ( \
-              "-0.0126954, -0.0207935, -0.0264027, -0.0330417, -0.0510012, -0.0632744, -0.0717982, -0.0985116, -0.113883, -0.123337, -0.127934, -0.126944, -0.11848, -0.0930301, -0.0611553, -0.0535615, -0.0482925, -0.0384323, -0.0288165, -0.0179148, -0.0125657, -0.00954784, -0.00736867, -0.00565903, -0.00666054, -0.00665602, -0.00584214, -0.00411021, -0.00284663, -0.00175203, -0.000443485, -0.000251183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.531319, 0.608216, 0.637933, 0.68147, 0.740216, 0.847243, 0.920257, 0.988784, 1.02098, 1.04381, 1.06692, 1.09009, 1.10652, 1.1344, 1.15881, 1.17009, 1.19699, 1.21589, 1.26182, 1.27953, 1.30315, 1.34071, 1.36951, 1.38947, 1.41961, 1.46328, 1.5069, 1.60758, 1.83543");
-            values ( \
-              "-0.0296271, -0.0433663, -0.0545315, -0.0726744, -0.0940103, -0.128731, -0.151182, -0.176715, -0.184592, -0.186463, -0.182457, -0.170484, -0.157098, -0.130318, -0.103118, -0.0943587, -0.0781496, -0.0677916, -0.0458507, -0.0385649, -0.0302479, -0.0200453, -0.0140991, -0.0110734, -0.00767878, -0.00493754, -0.00340646, -0.00171395, -0.000355275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.561604, 0.634023, 0.721185, 0.762005, 0.818968, 0.918663, 1.11345, 1.14745, 1.17729, 1.20738, 1.22665, 1.2413, 1.27942, 1.32988, 1.34249, 1.44181, 1.47575, 1.51977, 1.56714, 1.59374, 1.6298, 1.68135, 1.74358, 1.80054, 1.8575, 1.97143, 2.08535, 2.19928, 2.42713");
-            values ( \
-              "-0.0621881, -0.0626014, -0.101895, -0.118771, -0.141336, -0.178571, -0.24609, -0.255382, -0.259058, -0.256218, -0.249189, -0.239437, -0.209292, -0.162484, -0.153276, -0.0969998, -0.0806333, -0.0620275, -0.0466994, -0.0393469, -0.0314935, -0.0222697, -0.0145983, -0.00991737, -0.00645728, -0.00313377, -0.00172855, -0.00102974, -0.000371452" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0121334, 0.0121538, 0.0121779, 0.0122014, 0.01222, 0.0122326", \
-            "0.0142409, 0.0142535, 0.0142711, 0.014291, 0.0143093, 0.0143231", \
-            "0.0154446, 0.0154493, 0.0154572, 0.0154684, 0.0154808, 0.0154917", \
-            "0.0161456, 0.0161468, 0.0161485, 0.0161524, 0.016158, 0.0161644", \
-            "0.0165246, 0.0165252, 0.016526, 0.0165271, 0.0165287, 0.0165313", \
-            "0.0167333, 0.0167338, 0.0167345, 0.0167354, 0.0167364, 0.0167376" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0167108, 0.0167272, 0.0167472, 0.0167673, 0.0167838, 0.0167973", \
-            "0.0182186, 0.0182315, 0.0182471, 0.0182621, 0.0182735, 0.0182805", \
-            "0.0191696, 0.0191843, 0.0192005, 0.0192149, 0.0192252, 0.0192312", \
-            "0.0198407, 0.0198098, 0.0197763, 0.0197454, 0.0197226, 0.0197077", \
-            "0.0208232, 0.0206705, 0.0204869, 0.0203058, 0.0201586, 0.0200549", \
-            "0.0198482, 0.0204933, 0.0210427, 0.0209475, 0.0206104, 0.0203508" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.110046, 0.125273, 0.153768, 0.206972, 0.306708, 0.494699", \
-            "0.114176, 0.129536, 0.158189, 0.21168, 0.311655, 0.499936", \
-            "0.125582, 0.140894, 0.169371, 0.223296, 0.323613, 0.512272", \
-            "0.154802, 0.169581, 0.197757, 0.251015, 0.350836, 0.540101", \
-            "0.218517, 0.23599, 0.266264, 0.317996, 0.416518, 0.604431", \
-            "0.317612, 0.341917, 0.384518, 0.455637, 0.569082, 0.754362" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.126985, 0.146818, 0.186585, 0.261256, 0.401796, 0.67021", \
-            "0.126866, 0.146744, 0.186478, 0.261175, 0.401724, 0.670165", \
-            "0.12665, 0.146614, 0.18645, 0.261162, 0.401708, 0.670145", \
-            "0.131159, 0.149239, 0.186737, 0.260889, 0.401638, 0.670117", \
-            "0.168317, 0.182997, 0.213006, 0.275443, 0.404559, 0.669985", \
-            "0.243283, 0.264357, 0.298738, 0.357563, 0.464137, 0.693901" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0627835, 0.0721536, 0.0895431, 0.121897, 0.18246, 0.296598", \
-            "0.0668925, 0.0763597, 0.0938788, 0.126351, 0.187026, 0.301251", \
-            "0.075397, 0.0849992, 0.102637, 0.135329, 0.196282, 0.310697", \
-            "0.0908944, 0.10206, 0.121492, 0.154878, 0.216228, 0.330966", \
-            "0.107617, 0.122829, 0.148576, 0.190674, 0.259117, 0.375714", \
-            "0.112234, 0.133834, 0.17058, 0.230204, 0.322816, 0.464288" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0639628, 0.0755843, 0.0980401, 0.140925, 0.222563, 0.37761", \
-            "0.0638632, 0.0755237, 0.0980182, 0.140907, 0.222546, 0.377562", \
-            "0.0642999, 0.0754857, 0.0977232, 0.140764, 0.222424, 0.377525", \
-            "0.0762743, 0.0853789, 0.104432, 0.143716, 0.222476, 0.377468", \
-            "0.106245, 0.116463, 0.135651, 0.171075, 0.239006, 0.382074", \
-            "0.159705, 0.172914, 0.196787, 0.237815, 0.308596, 0.434313" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0364629, 0.0402109, 0.0446712, 0.0523419, 0.0562814, 0.0641604, 0.0683119, 0.0764375, 0.0850226, 0.102613, 0.121632, 0.136049, 0.141679, 0.151663, 0.163681, 0.177554, 0.184973, 0.195291, 0.209754, 0.216697, 0.232138, 0.239871, 0.242523, 0.247827, 0.253793, 0.260572, 0.277396, 0.287185, 0.307772, 0.333213, 0.354644, 0.378481, 0.418334, 0.466504, 0.541773");
-            values ( \
-              "0.0252908, 0.0447958, 0.0434027, 0.0419998, 0.0415862, 0.0405187, 0.0401645, 0.0392029, 0.0383869, 0.0361379, 0.0328378, 0.0325965, 0.0330155, 0.0303258, 0.0259059, 0.0211862, 0.0181904, 0.0167864, 0.0140445, 0.0141097, 0.0129785, 0.0117551, 0.0115473, 0.0106464, 0.00991422, 0.00862643, 0.00615487, 0.00512881, 0.00354644, 0.00224802, 0.00157721, 0.000953271, 0.000490078, 0.000141929, 7.01662e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0364356, 0.041596, 0.0468675, 0.0558945, 0.074625, 0.0914329, 0.0942049, 0.0997489, 0.110837, 0.114754, 0.122589, 0.13682, 0.1533, 0.160002, 0.171579, 0.185258, 0.215023, 0.220363, 0.223899, 0.228614, 0.235405, 0.244395, 0.253105, 0.262663, 0.306795, 0.321538, 0.335722, 0.347995, 0.365157, 0.387823, 0.401288, 0.422688, 0.462329, 0.50976, 0.583924, 0.664821");
-            values ( \
-              "0.0250554, 0.071636, 0.069922, 0.0678872, 0.0649002, 0.0626697, 0.0620744, 0.0614963, 0.059441, 0.0589093, 0.0569663, 0.0540631, 0.0534129, 0.0541066, 0.049788, 0.0436365, 0.0287483, 0.0249071, 0.023545, 0.0227641, 0.0230133, 0.0237434, 0.0231738, 0.0217866, 0.0122786, 0.00963969, 0.00769607, 0.00639045, 0.00484716, 0.00341396, 0.00276239, 0.0019535, 0.00101894, 0.00045141, 0.00012512, 2.78022e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.036529, 0.0437926, 0.0575814, 0.126834, 0.165485, 0.19468, 0.216267, 0.227069, 0.262894, 0.271475, 0.28197, 0.325018, 0.366739, 0.397219, 0.43005, 0.469441, 0.474034, 0.48322, 0.538334, 0.644021, 0.802662");
-            values ( \
-              "0.0248916, 0.10548, 0.101391, 0.0898634, 0.081194, 0.080358, 0.0708646, 0.0651628, 0.0429014, 0.0409971, 0.0397647, 0.0310481, 0.020955, 0.0146262, 0.00979819, 0.00597429, 0.00587976, 0.00501882, 0.00242476, 0.00051031, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0400254, 0.0466183, 0.0644074, 0.157685, 0.206564, 0.218614, 0.238726, 0.259656, 0.280386, 0.303457, 0.328208, 0.356218, 0.368329, 0.410716, 0.452625, 0.532406, 0.572753, 0.639554, 0.670721, 0.772715, 0.861829");
-            values ( \
-              "0.131861, 0.140785, 0.135894, 0.121764, 0.112359, 0.110815, 0.110064, 0.106505, 0.0984901, 0.0874947, 0.0717784, 0.0671304, 0.063954, 0.0486946, 0.0368481, 0.0209963, 0.015145, 0.00850894, 0.00643988, 0.00246271, 0.00129396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0450227, 0.0450427, 0.117447, 0.200315, 0.257767, 0.29704, 0.318499, 0.345266, 0.381002, 0.399973, 0.428735, 0.441585, 0.475364, 0.488929, 0.595581, 0.656366, 0.682335, 0.725291, 0.762291, 0.809027, 0.848929, 0.895385, 0.956188, 1.00251, 1.08184, 1.16274, 1.24363, 1.40543, 1.56722");
-            values ( \
-              "1e-22, 0.188657, 0.160388, 0.151826, 0.144746, 0.138774, 0.13776, 0.134964, 0.128438, 0.122641, 0.111485, 0.105092, 0.101274, 0.0981902, 0.0658028, 0.0494698, 0.0437699, 0.0361924, 0.0305171, 0.0243977, 0.019979, 0.0156778, 0.0112891, 0.00873899, 0.00558017, 0.00348118, 0.0021642, 0.000830724, 0.000321236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0455135, 0.0455335, 0.20073, 0.309265, 0.405486, 0.436137, 0.506525, 0.561086, 0.586734, 0.640203, 0.651594, 0.664238, 0.681066, 0.696919, 0.713768, 0.764001, 0.931591, 1.00289, 1.08379, 1.13434, 1.20516, 1.28606, 1.33462, 1.40641, 1.4813, 1.5622, 1.65064, 1.78126, 1.94305, 2.10484, 2.26663, 2.50932");
-            values ( \
-              "1e-22, 0.200978, 0.17904, 0.172154, 0.164336, 0.161116, 0.157031, 0.150856, 0.147049, 0.134016, 0.133032, 0.133269, 0.132395, 0.130549, 0.127733, 0.117786, 0.0822037, 0.0684717, 0.0546378, 0.0473128, 0.0387742, 0.030735, 0.0266523, 0.0214511, 0.0169926, 0.0131093, 0.00982958, 0.0063545, 0.00366146, 0.00209492, 0.0011943, 0.000510974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0549552, 0.058619, 0.0666683, 0.0775582, 0.0864528, 0.114814, 0.120714, 0.139711, 0.153033, 0.159765, 0.169725, 0.195365, 0.202878, 0.209472, 0.213311, 0.227788, 0.234738, 0.250187, 0.271553, 0.278283, 0.295235, 0.305112, 0.325724, 0.350858, 0.37223, 0.395933, 0.435621, 0.483519, 0.558736");
-            values ( \
-              "0.0260364, 0.0456478, 0.0432716, 0.0412745, 0.0401483, 0.0370464, 0.0361761, 0.0328452, 0.0325811, 0.0331408, 0.0303035, 0.0212604, 0.0182812, 0.0171991, 0.016822, 0.0140908, 0.0141087, 0.0129682, 0.00994019, 0.00870699, 0.00620271, 0.00516138, 0.00357242, 0.00228345, 0.00156864, 0.000982613, 0.000475265, 0.000163155, 5.11475e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.055014, 0.0601014, 0.0626506, 0.0696449, 0.0742399, 0.0821284, 0.112495, 0.134629, 0.155121, 0.170726, 0.178259, 0.189817, 0.2035, 0.233269, 0.238594, 0.242122, 0.246826, 0.262508, 0.271199, 0.280773, 0.290433, 0.324942, 0.337273, 0.344306, 0.366207, 0.395693, 0.398974, 0.405536, 0.440278, 0.479648, 0.527186, 0.600522");
-            values ( \
-              "0.0249382, 0.0731255, 0.0719137, 0.0694618, 0.0683039, 0.0667638, 0.0622328, 0.058521, 0.0540663, 0.053571, 0.0541181, 0.0498723, 0.0435741, 0.0286525, 0.0250053, 0.0236498, 0.022715, 0.0236946, 0.0232994, 0.0217403, 0.0198362, 0.0123694, 0.0100738, 0.00896698, 0.00632089, 0.00396204, 0.00387689, 0.00337436, 0.00190679, 0.00109652, 0.00039131, 0.000191475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0571865, 0.0622646, 0.0689928, 0.0805522, 0.149696, 0.183782, 0.205309, 0.212987, 0.227733, 0.245284, 0.277822, 0.289139, 0.291123, 0.301915, 0.334821, 0.391939, 0.426327, 0.469576, 0.491791, 0.522484, 0.609735, 0.646469");
-            values ( \
-              "0.0793751, 0.106929, 0.10399, 0.100737, 0.0888876, 0.0812743, 0.0806623, 0.0799731, 0.07421, 0.0653305, 0.0455381, 0.039946, 0.0410234, 0.0393579, 0.0328426, 0.0195636, 0.0128962, 0.00754958, 0.00569965, 0.00384151, 0.00116467, 0.000885823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0572324, 0.0654551, 0.0915492, 0.197996, 0.2297, 0.25266, 0.273043, 0.305038, 0.35124, 0.378193, 0.449934, 0.471704, 0.564424, 0.658137, 0.730022, 0.824189");
-            values ( \
-              "0.0766799, 0.141856, 0.1346, 0.118112, 0.111695, 0.110432, 0.107853, 0.0961932, 0.0704421, 0.0664561, 0.0421415, 0.0368559, 0.0190363, 0.00861399, 0.00459152, 0.00227744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.060568, 0.060588, 0.142146, 0.246988, 0.3056, 0.336389, 0.342071, 0.348564, 0.361552, 0.387527, 0.400985, 0.427902, 0.447568, 0.458218, 0.471242, 0.47512, 0.482877, 0.493772, 0.506761, 0.626695, 0.685133, 0.715082, 0.761217, 0.804254, 0.834575, 0.875723, 0.920581, 0.982283, 1.00727, 1.05726, 1.13815, 1.21905, 1.29995, 1.46174, 1.54263");
-            values ( \
-              "1e-22, 0.173324, 0.159823, 0.148591, 0.140194, 0.137282, 0.13727, 0.136777, 0.135179, 0.131006, 0.127833, 0.119469, 0.111328, 0.105968, 0.103298, 0.103522, 0.10284, 0.10132, 0.0984341, 0.0622904, 0.0470527, 0.0411803, 0.0334526, 0.0273398, 0.0235806, 0.0191796, 0.0151463, 0.0108519, 0.00943099, 0.0071455, 0.00445566, 0.00279488, 0.00170908, 0.00064477, 0.000423333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0679523, 0.0679723, 0.216733, 0.328468, 0.409364, 0.455319, 0.525715, 0.580215, 0.60589, 0.65961, 0.670992, 0.68367, 0.700386, 0.716223, 0.733058, 0.764831, 0.956647, 1.02563, 1.10653, 1.15222, 1.21524, 1.29381, 1.3509, 1.43882, 1.50931, 1.5902, 1.72601, 1.8069, 1.9687, 2.13049, 2.29228, 2.53497");
-            values ( \
-              "1e-22, 0.209379, 0.179353, 0.172069, 0.165606, 0.161217, 0.157131, 0.150961, 0.146978, 0.133974, 0.133049, 0.133271, 0.132389, 0.130546, 0.127716, 0.121621, 0.0810139, 0.0678411, 0.0540704, 0.0474999, 0.0397952, 0.031765, 0.0268925, 0.0205871, 0.0165341, 0.0127328, 0.00813677, 0.00621818, 0.00358435, 0.00205359, 0.00117387, 0.00048936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0812294, 0.102968, 0.112374, 0.115834, 0.11737, 0.120105, 0.124238, 0.128597, 0.135328, 0.143962, 0.145172, 0.147592, 0.152431, 0.159403, 0.162703, 0.169305, 0.180711, 0.181654, 0.183541, 0.187315, 0.193088, 0.201624, 0.239648, 0.24618, 0.252726, 0.267005, 0.273652, 0.281323, 0.289807, 0.310659, 0.317601, 0.325321, 0.334088, 0.340698, 0.350661, 0.360793, 0.371937, 0.385836, 0.391517, 0.402877, 0.425599, 0.452065, 0.498468, 0.523035");
-            values ( \
-              "0.0010425, 0.0291269, 0.0354697, 0.0431637, 0.0422513, 0.042881, 0.0409979, 0.041161, 0.0391583, 0.0391212, 0.0380087, 0.0387013, 0.0371984, 0.0372093, 0.0357676, 0.0354899, 0.0325919, 0.0333307, 0.0322574, 0.0328326, 0.0326518, 0.0335607, 0.0207325, 0.0174417, 0.0174654, 0.0141309, 0.0144829, 0.0135587, 0.0135103, 0.00999164, 0.00953113, 0.00754064, 0.00695621, 0.00546746, 0.00517884, 0.00370326, 0.00365323, 0.00227044, 0.00266495, 0.00159939, 0.00156569, 0.000452947, 0.000610645, 0.000362488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0818057, 0.112529, 0.114636, 0.116646, 0.11955, 0.124388, 0.128832, 0.135088, 0.175115, 0.197148, 0.214173, 0.220251, 0.231817, 0.245507, 0.275129, 0.280584, 0.2842, 0.289021, 0.304607, 0.313278, 0.322925, 0.332656, 0.366464, 0.381501, 0.396166, 0.409416, 0.437967, 0.446667, 0.479411, 0.517942, 0.565058, 0.716594");
-            values ( \
-              "0.00597268, 0.0573304, 0.06533, 0.0689161, 0.0690331, 0.0680693, 0.0666983, 0.0654555, 0.0589512, 0.0541634, 0.0536545, 0.0540141, 0.0499193, 0.043564, 0.0287103, 0.0249905, 0.0236125, 0.0227637, 0.0237555, 0.0233102, 0.0217402, 0.0197776, 0.0124395, 0.00972683, 0.00771412, 0.00622009, 0.00396462, 0.00344055, 0.00201927, 0.00114065, 0.000443902, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0818686, 0.111611, 0.11261, 0.114888, 0.116115, 0.118569, 0.120651, 0.132887, 0.139552, 0.171521, 0.199649, 0.225724, 0.248898, 0.254909, 0.26693, 0.283914, 0.294085, 0.307101, 0.328091, 0.333188, 0.341869, 0.350749, 0.361834, 0.373089, 0.385622, 0.401588, 0.418801, 0.433847, 0.45356, 0.471352, 0.491816, 0.513382, 0.533735, 0.559482, 0.587085, 0.624875, 0.680349, 0.747927, 0.828823");
-            values ( \
-              "0.00098895, 0.0840941, 0.0856472, 0.0988739, 0.101185, 0.102927, 0.102918, 0.0994002, 0.0979446, 0.0925049, 0.0872987, 0.0813824, 0.0806479, 0.079905, 0.0753988, 0.0671472, 0.061363, 0.0528842, 0.0408681, 0.0414446, 0.0394575, 0.0381847, 0.0355281, 0.0338208, 0.0306847, 0.027188, 0.0229028, 0.0196196, 0.0153728, 0.0124234, 0.00977204, 0.00739761, 0.0057461, 0.00415121, 0.00298565, 0.00176804, 0.000901415, 0.000308032, 0.000154705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0956004, 0.117869, 0.120859, 0.141835, 0.203571, 0.279899, 0.299857, 0.320938, 0.341657, 0.364731, 0.389376, 0.417373, 0.42957, 0.492166, 0.513851, 0.565094, 0.606946, 0.633981, 0.700548, 0.772535, 0.832828, 0.901129, 0.907732");
-            values ( \
-              "0.0663128, 0.137418, 0.138637, 0.133633, 0.12428, 0.110596, 0.110269, 0.10642, 0.098613, 0.0873973, 0.0717511, 0.0673019, 0.0638978, 0.0422378, 0.0369587, 0.0262613, 0.0190555, 0.0152373, 0.00861485, 0.00460812, 0.00257285, 0.00142751, 0.00138338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0964027, 0.115844, 0.123623, 0.142753, 0.168895, 0.299995, 0.359076, 0.380381, 0.410493, 0.442951, 0.460812, 0.502811, 0.538224, 0.551909, 0.64838, 0.737389, 0.777502, 0.861324, 0.968968, 1.02844, 1.09422, 1.1726, 1.2535, 1.35802");
-            values ( \
-              "0.0667243, 0.161433, 0.169981, 0.165398, 0.161836, 0.14736, 0.138684, 0.137661, 0.13465, 0.128253, 0.123025, 0.105457, 0.101123, 0.0979006, 0.0684709, 0.0450587, 0.0377188, 0.0255138, 0.0147529, 0.0106517, 0.00739281, 0.00469565, 0.00293744, 0.00177347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0969948, 0.121013, 0.12457, 0.146442, 0.17385, 0.221901, 0.371626, 0.452522, 0.498466, 0.532874, 0.568868, 0.623352, 0.649033, 0.702839, 0.714219, 0.726906, 0.743595, 0.759425, 0.776258, 0.807853, 1.00245, 1.07039, 1.15128, 1.19479, 1.25436, 1.31319, 1.40548, 1.50224, 1.56598, 1.64688, 1.76947, 1.85037, 1.93126, 2.01216, 2.17395, 2.33574, 2.57843");
-            values ( \
-              "0.0772421, 0.192351, 0.192924, 0.188599, 0.185708, 0.181888, 0.172057, 0.165623, 0.161242, 0.15897, 0.157157, 0.15099, 0.146959, 0.13396, 0.133055, 0.133272, 0.132388, 0.130541, 0.127711, 0.121651, 0.0804835, 0.067554, 0.0538197, 0.0475803, 0.0402521, 0.0340323, 0.02597, 0.0193323, 0.0158402, 0.0121863, 0.00813024, 0.00621112, 0.00470089, 0.00357951, 0.00205006, 0.00117117, 0.000489863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.144912, 0.164277, 0.178772, 0.18783, 0.195866, 0.211753, 0.221555, 0.242358, 0.248821, 0.252889, 0.258751, 0.260393, 0.263677, 0.270244, 0.279187, 0.293723, 0.298983, 0.308895, 0.319653, 0.320996, 0.323682, 0.329053, 0.335314, 0.342563, 0.349104, 0.352706, 0.35991, 0.366571, 0.373956, 0.382057, 0.389763, 0.397608, 0.399453, 0.403143, 0.408691, 0.415236, 0.423776, 0.433118, 0.437842, 0.447289, 0.457895, 0.468984, 0.484076, 0.488759, 0.498124, 0.516854, 0.549077, 0.589867, 0.65493, 0.734501");
-            values ( \
-              "0.00278977, 0.0107557, 0.01639, 0.0193948, 0.0218643, 0.0264342, 0.0290455, 0.0339547, 0.0351694, 0.0373846, 0.0373321, 0.0368115, 0.0361086, 0.0346808, 0.0332183, 0.0330444, 0.03312, 0.0303367, 0.0265675, 0.0258954, 0.0251598, 0.0230642, 0.0210179, 0.0179813, 0.0171549, 0.016684, 0.015366, 0.0143938, 0.0144093, 0.0137241, 0.013024, 0.0117408, 0.0116281, 0.0109598, 0.010248, 0.00900947, 0.00769358, 0.00630775, 0.00583641, 0.00485212, 0.00407513, 0.00330019, 0.00257667, 0.00231345, 0.00200882, 0.00137092, 0.000788471, 0.000310835, 0.000117356, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.145121, 0.167416, 0.183016, 0.194743, 0.215236, 0.229451, 0.248954, 0.251905, 0.257807, 0.264757, 0.270529, 0.27215, 0.275391, 0.281012, 0.287333, 0.294114, 0.305407, 0.317102, 0.328592, 0.340991, 0.342336, 0.345027, 0.350409, 0.356665, 0.369178, 0.377107, 0.378684, 0.387903, 0.395407, 0.413228, 0.422527, 0.432953, 0.433985, 0.436049, 0.440177, 0.448109, 0.459575, 0.472542, 0.478435, 0.490221, 0.50444, 0.52154, 0.53402, 0.537328, 0.543944, 0.557177, 0.583642, 0.624561, 0.678605, 0.755878");
-            values ( \
-              "0.00313217, 0.019212, 0.0286039, 0.0349459, 0.0447369, 0.0507911, 0.058338, 0.0638612, 0.061868, 0.0613609, 0.0591831, 0.0593919, 0.0582881, 0.0573234, 0.0556069, 0.0546341, 0.0536774, 0.0546577, 0.0495522, 0.0444565, 0.0431927, 0.0424205, 0.039224, 0.0366404, 0.0295884, 0.0256542, 0.0241364, 0.022767, 0.0240503, 0.0232154, 0.0209883, 0.0192336, 0.0185336, 0.0185531, 0.0172102, 0.0159419, 0.0129365, 0.0108653, 0.00944592, 0.00823155, 0.00618373, 0.00512036, 0.00381507, 0.00404644, 0.00323639, 0.00301372, 0.00161005, 0.00113676, 0.000150004, 0.000304461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.153365, 0.189927, 0.203063, 0.226708, 0.248765, 0.252558, 0.257937, 0.262462, 0.2885, 0.322106, 0.343973, 0.351214, 0.365695, 0.383523, 0.415628, 0.427393, 0.42939, 0.440221, 0.450104, 0.4736, 0.529985, 0.550268, 0.566638, 0.587443, 0.611648, 0.62999, 0.653917, 0.685819, 0.730461, 0.788147, 0.862623, 0.943519");
-            values ( \
-              "0.0163315, 0.048211, 0.0583023, 0.0749833, 0.0885847, 0.0938417, 0.095787, 0.0943112, 0.0891631, 0.0815526, 0.0809261, 0.079965, 0.0743222, 0.0653165, 0.045732, 0.040209, 0.0413403, 0.039578, 0.037238, 0.0327023, 0.0195447, 0.0153674, 0.0125939, 0.00983218, 0.00722185, 0.0057256, 0.00421994, 0.00291653, 0.00152238, 0.000803715, 0.000197075, 0.00015966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.153299, 0.180534, 0.201156, 0.21666, 0.248785, 0.251675, 0.256213, 0.270761, 0.319015, 0.336137, 0.364366, 0.375424, 0.395522, 0.416429, 0.437153, 0.460226, 0.485054, 0.513073, 0.537604, 0.567641, 0.587747, 0.609452, 0.635072, 0.66038, 0.688625, 0.702389, 0.729917, 0.765513, 0.796173, 0.826555, 0.867063, 0.927387, 0.995746, 1.07664, 1.15754");
-            values ( \
-              "0.0121817, 0.0537578, 0.0767311, 0.0923196, 0.121794, 0.128113, 0.13209, 0.128955, 0.121377, 0.118207, 0.112339, 0.110998, 0.110211, 0.10642, 0.0985967, 0.0873637, 0.0717006, 0.0673012, 0.0598131, 0.0487307, 0.0422156, 0.0369211, 0.0313146, 0.0263052, 0.0211655, 0.0190683, 0.0151722, 0.0113112, 0.00859667, 0.00656994, 0.00465355, 0.00258905, 0.00144411, 0.000592846, 0.000354178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.152719, 0.191247, 0.21726, 0.248283, 0.250511, 0.255605, 0.260181, 0.287104, 0.356664, 0.414137, 0.431808, 0.464293, 0.474642, 0.495342, 0.51622, 0.538553, 0.56667, 0.587136, 0.597701, 0.62731, 0.63951, 0.653714, 0.774778, 0.829305, 0.879028, 0.915604, 0.965516, 1.00414, 1.05083, 1.11187, 1.18653, 1.24294, 1.32384, 1.48563, 1.64742, 1.72832");
-            values ( \
-              "0.00761621, 0.0808788, 0.114953, 0.151043, 0.159726, 0.163886, 0.163618, 0.159459, 0.151882, 0.144729, 0.141962, 0.137713, 0.137776, 0.135837, 0.132552, 0.127976, 0.118931, 0.110561, 0.105031, 0.102014, 0.0995999, 0.0959499, 0.0593248, 0.0456136, 0.0365511, 0.0310095, 0.0243137, 0.0200323, 0.0158032, 0.0112683, 0.00742753, 0.00547387, 0.00333102, 0.00124756, 0.000445621, 0.000359756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.174259, 0.206874, 0.24885, 0.254069, 0.256489, 0.261328, 0.276113, 0.302349, 0.467492, 0.548388, 0.594318, 0.664729, 0.719271, 0.744924, 0.798171, 0.80956, 0.822153, 0.839047, 0.854902, 0.871735, 0.923842, 1.0801, 1.1487, 1.22959, 1.2721, 1.32975, 1.39535, 1.50359, 1.55827, 1.63286, 1.71376, 1.81066, 1.94697, 2.10876, 2.27056, 2.43235, 2.67504");
-            values ( \
-              "0.0838898, 0.114642, 0.173174, 0.186291, 0.18752, 0.187899, 0.185772, 0.183315, 0.172093, 0.165596, 0.161222, 0.157136, 0.150964, 0.146971, 0.134091, 0.133032, 0.133294, 0.13242, 0.130603, 0.127782, 0.117452, 0.0841842, 0.0707656, 0.0566325, 0.0500896, 0.0426234, 0.0354006, 0.0258036, 0.0218687, 0.017342, 0.0133904, 0.00976512, 0.00619096, 0.00356519, 0.00203933, 0.00116247, 0.000494877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.275329, 0.322349, 0.365889, 0.406412, 0.438732, 0.467175, 0.48141, 0.493766, 0.506892, 0.517468, 0.532936, 0.541865, 0.562129, 0.567912, 0.574806, 0.581804, 0.589375, 0.605123, 0.651763, 0.68081, 0.71425, 0.745679, 0.787584, 0.791409");
-            values ( \
-              "0.00337771, 0.00623105, 0.0136648, 0.0186586, 0.021933, 0.0241167, 0.0245844, 0.0253779, 0.0284306, 0.0288101, 0.0267582, 0.0235592, 0.0186239, 0.0183554, 0.0168875, 0.016176, 0.0160154, 0.0141665, 0.00644614, 0.00383449, 0.00209988, 0.00116573, 0.000532371, 0.000506756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.275131, 0.308664, 0.326861, 0.37315, 0.417102, 0.452053, 0.482661, 0.504012, 0.511049, 0.524901, 0.536706, 0.543399, 0.550092, 0.556072, 0.564511, 0.596833, 0.600227, 0.607015, 0.614316, 0.622744, 0.632308, 0.641472, 0.668549, 0.693832, 0.703816, 0.730489, 0.755596, 0.766859, 0.789386, 0.826379, 0.876629, 0.945998, 1.02689");
-            values ( \
-              "0.0067538, 0.00809708, 0.0114089, 0.0238894, 0.0326415, 0.0386342, 0.0426206, 0.0443287, 0.0455537, 0.0493808, 0.0501873, 0.0487344, 0.0467629, 0.0462928, 0.0425771, 0.0254948, 0.024893, 0.0250403, 0.0254924, 0.0250485, 0.0235685, 0.0216589, 0.015328, 0.0102147, 0.00873043, 0.00575294, 0.00387544, 0.00327437, 0.00225226, 0.00124705, 0.000506791, 0.000166696, 2.32065e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.275221, 0.31573, 0.319144, 0.325972, 0.339628, 0.373899, 0.386163, 0.410693, 0.435941, 0.475369, 0.510854, 0.515236, 0.531524, 0.541422, 0.550182, 0.557257, 0.563995, 0.570696, 0.58272, 0.597076, 0.603143, 0.626876, 0.636206, 0.644395, 0.649005, 0.658226, 0.667952, 0.67927, 0.69154, 0.748739, 0.769003, 0.774457, 0.785363, 0.803568, 0.827079, 0.843698, 0.848483, 0.87719, 0.90126, 0.949204, 1.00071, 1.08134, 1.16224");
-            values ( \
-              "0.00905769, 0.01428, 0.0152316, 0.0173272, 0.0220938, 0.0359035, 0.0399577, 0.0475401, 0.0547605, 0.0652249, 0.0723904, 0.0730898, 0.0753636, 0.0773429, 0.0795912, 0.081588, 0.082036, 0.0798332, 0.0754801, 0.0682122, 0.0648544, 0.0502255, 0.044229, 0.0434449, 0.0424715, 0.0408801, 0.0381221, 0.0357952, 0.0331002, 0.0194989, 0.0153794, 0.0143955, 0.0126248, 0.0101302, 0.00756341, 0.00617499, 0.00578689, 0.00402503, 0.00300137, 0.00156393, 0.00082664, 0.000237107, 0.000113046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275179, 0.327421, 0.407214, 0.466904, 0.507202, 0.526188, 0.550141, 0.554135, 0.562123, 0.573134, 0.582602, 0.593139, 0.611959, 0.634032, 0.663083, 0.678629, 0.699224, 0.707278, 0.71651, 0.727524, 0.739478, 0.797489, 0.821313, 0.835365, 0.890946, 0.919235, 0.949732, 0.975573, 1.01218, 1.04482, 1.08833, 1.14962, 1.22088, 1.30178, 1.38267");
-            values ( \
-              "0.00948964, 0.0248847, 0.0639605, 0.0877667, 0.102451, 0.108518, 0.114636, 0.119159, 0.117186, 0.115338, 0.112813, 0.11227, 0.110237, 0.106596, 0.0947631, 0.0864071, 0.0735413, 0.0712591, 0.070357, 0.0682707, 0.0651992, 0.0443904, 0.0382901, 0.0349433, 0.0237486, 0.0190411, 0.0148491, 0.0120823, 0.00868017, 0.00650034, 0.0045158, 0.00245097, 0.00136362, 0.000526635, 0.000352174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.275076, 0.345368, 0.391197, 0.442063, 0.477175, 0.515534, 0.558975, 0.570438, 0.580326, 0.611613, 0.660164, 0.690804, 0.696408, 0.702812, 0.734891, 0.75537, 0.782839, 0.803347, 0.813871, 0.826462, 0.835687, 0.84652, 0.859221, 0.889275, 0.951815, 0.992451, 1.04645, 1.09476, 1.13077, 1.18186, 1.22173, 1.26811, 1.32968, 1.36098, 1.40188, 1.45641, 1.5373, 1.6182, 1.69909, 1.86089, 1.94178");
-            values ( \
-              "0.0067462, 0.0417505, 0.0699028, 0.0975362, 0.115496, 0.134066, 0.153113, 0.153492, 0.151674, 0.147631, 0.140319, 0.137544, 0.137406, 0.136845, 0.132279, 0.127908, 0.119137, 0.110757, 0.105268, 0.103712, 0.10318, 0.101683, 0.0989719, 0.0902941, 0.0708029, 0.059104, 0.0455216, 0.0367316, 0.0312437, 0.0243714, 0.0199531, 0.0157605, 0.0112058, 0.0094213, 0.00748611, 0.00557944, 0.00339517, 0.00219333, 0.00127127, 0.000453377, 0.000367753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.331952, 0.393614, 0.455309, 0.493491, 0.54987, 0.563731, 0.585329, 0.589944, 0.599176, 0.682241, 0.763137, 0.809011, 0.843438, 0.879455, 0.934022, 0.959664, 0.993347, 1.01308, 1.02446, 1.03709, 1.05392, 1.06976, 1.0866, 1.13749, 1.30088, 1.37357, 1.45446, 1.50787, 1.53304, 1.58338, 1.66427, 1.71774, 1.77225, 1.84444, 1.92533, 1.98294, 2.02689, 2.0855, 2.16639, 2.24729, 2.32819, 2.40908, 2.48998, 2.65177, 2.89446");
-            values ( \
-              "0.0784094, 0.0811324, 0.120494, 0.143364, 0.174884, 0.179824, 0.179736, 0.178707, 0.177906, 0.172144, 0.165618, 0.161246, 0.158988, 0.157147, 0.150969, 0.146958, 0.139404, 0.134044, 0.133048, 0.133291, 0.132416, 0.130579, 0.127762, 0.117679, 0.082949, 0.0688909, 0.0549988, 0.0472352, 0.0440045, 0.038201, 0.0302652, 0.0258461, 0.02192, 0.0175274, 0.0135284, 0.0112308, 0.00972363, 0.00799568, 0.00609854, 0.00462378, 0.00351193, 0.00264909, 0.00200903, 0.00114563, 0.000485821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.49878, 0.60852, 0.633129, 0.661225, 0.70013, 0.745615, 0.775666, 0.82068, 0.841429, 0.882927, 0.910055, 0.9259, 0.941485, 0.957812, 0.962386, 0.984729, 1.00076, 1.01001, 1.01835, 1.02644, 1.04093, 1.05478, 1.08492, 1.10575, 1.13776, 1.16539, 1.19065, 1.20126, 1.2055, 1.21398, 1.22061, 1.22728, 1.26347, 1.29363, 1.34413, 1.42054");
-            values ( \
-              "0.000558733, 0.00199249, 0.00255485, 0.00345663, 0.00552028, 0.00932904, 0.0114799, 0.0138247, 0.0146022, 0.0156646, 0.0157309, 0.0168164, 0.0183395, 0.0182252, 0.0184754, 0.0182789, 0.0171209, 0.0173249, 0.0169492, 0.0173706, 0.0165854, 0.0148313, 0.00953209, 0.00694513, 0.0041688, 0.00258311, 0.0018284, 0.0013236, 0.0014309, 0.00103522, 0.00158313, 0.00169193, 0.000875767, 0.000526786, 0.000169574, 5.5706e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.498476, 0.609822, 0.636154, 0.666248, 0.680963, 0.710393, 0.739179, 0.788186, 0.836801, 0.904604, 0.928476, 0.949913, 0.971018, 0.988671, 1.00586, 1.02681, 1.03595, 1.04506, 1.06217, 1.07167, 1.08158, 1.10357, 1.13687, 1.16035, 1.17987, 1.20042, 1.2159, 1.2297, 1.27499, 1.30677, 1.36795, 1.44118, 1.52208");
-            values ( \
-              "0.000893252, 0.00358028, 0.00463616, 0.00631259, 0.00744257, 0.0104574, 0.0144286, 0.0202259, 0.0242076, 0.0277511, 0.0280901, 0.0297313, 0.0331341, 0.032837, 0.0332507, 0.0311558, 0.0293048, 0.0262586, 0.0273104, 0.0273249, 0.0261133, 0.0219274, 0.0143, 0.0103604, 0.00785948, 0.00581963, 0.00466152, 0.00486279, 0.00253927, 0.00151842, 0.000515538, 0.000146649, 3.31666e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.49866, 0.620085, 0.643412, 0.679582, 0.721556, 0.755753, 0.810576, 0.865827, 0.891509, 0.942872, 0.962799, 0.983839, 1.01057, 1.03539, 1.04103, 1.05948, 1.07604, 1.09489, 1.10351, 1.12173, 1.21609, 1.22506, 1.28066, 1.30736, 1.34875, 1.3768, 1.43291, 1.50623, 1.58712");
-            values ( \
-              "0.0011944, 0.00659393, 0.00823001, 0.0116784, 0.0181387, 0.0249922, 0.0337918, 0.0403707, 0.042797, 0.0470315, 0.0479521, 0.049603, 0.0545471, 0.0562154, 0.0562519, 0.0552907, 0.0524432, 0.0460517, 0.0461997, 0.0435158, 0.0217372, 0.0212129, 0.0114161, 0.00826288, 0.00489744, 0.00344578, 0.00161672, 0.000605586, 0.000184447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.579193, 0.693663, 0.714021, 0.783294, 0.87384, 0.944871, 1.00906, 1.04677, 1.08821, 1.11522, 1.14067, 1.16094, 1.16851, 1.18972, 1.20138, 1.21576, 1.21917, 1.22525, 1.28463, 1.32349, 1.38103, 1.41555, 1.46015, 1.51242, 1.54174, 1.59568, 1.6664, 1.74729, 1.90909");
-            values ( \
-              "0.0117191, 0.0197339, 0.0238737, 0.0410088, 0.0576833, 0.0686176, 0.0763621, 0.0803718, 0.0873223, 0.0890736, 0.0857333, 0.0796932, 0.0753048, 0.0734504, 0.0716589, 0.0678897, 0.0682105, 0.0672143, 0.0453474, 0.0355853, 0.0235285, 0.0178737, 0.0122987, 0.00778737, 0.0060299, 0.00365562, 0.0019092, 0.000858763, 0.000175309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.579227, 0.663477, 0.691721, 0.734132, 0.777827, 0.830387, 0.943053, 1.03118, 1.07457, 1.14446, 1.17708, 1.20794, 1.21583, 1.22924, 1.24268, 1.2823, 1.29639, 1.30636, 1.31697, 1.33052, 1.34397, 1.47326, 1.53094, 1.56615, 1.625, 1.68038, 1.73793, 1.79816, 1.83491, 1.86916, 1.91482, 1.99572, 2.07661, 2.15751, 2.3193, 2.4002");
-            values ( \
-              "0.0195591, 0.0209149, 0.0262202, 0.036477, 0.0492159, 0.0631843, 0.0879487, 0.10541, 0.112831, 0.122271, 0.128186, 0.130569, 0.130701, 0.130453, 0.127814, 0.113504, 0.107194, 0.106668, 0.105503, 0.103458, 0.100128, 0.0609283, 0.0460549, 0.039446, 0.0301283, 0.0230231, 0.0171392, 0.0124907, 0.0101167, 0.0083566, 0.00653588, 0.00401127, 0.00256608, 0.00151452, 0.000550917, 0.000415024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.608019, 0.702554, 0.870314, 1.04897, 1.16208, 1.21569, 1.23622, 1.36233, 1.41927, 1.49305, 1.54899, 1.58393, 1.90487, 2.05415, 2.19906, 2.28928, 2.43597, 2.64346, 2.86123");
-            values ( \
-              "0.033336, 0.0351115, 0.0840123, 0.129753, 0.15537, 0.16567, 0.166635, 0.157062, 0.15063, 0.13475, 0.131172, 0.125138, 0.0603333, 0.0395346, 0.025957, 0.0197216, 0.0123609, 0.00621401, 0.00341123" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0126162, 0.0126531, 0.0126955, 0.0127354, 0.0127664, 0.0127871", \
-            "0.0159379, 0.015981, 0.0160356, 0.0160913, 0.0161385, 0.0161722", \
-            "0.0180629, 0.0180957, 0.0181418, 0.0181952, 0.0182451, 0.0182836", \
-            "0.0192587, 0.0192732, 0.0192968, 0.0193295, 0.0193651, 0.0193957", \
-            "0.0199969, 0.0199763, 0.0199537, 0.0199355, 0.0199281, 0.0199302", \
-            "0.0204764, 0.0204411, 0.0203934, 0.0203352, 0.020274, 0.0202251" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0189351, 0.0189853, 0.0190456, 0.0191064, 0.019155, 0.0191875", \
-            "0.0201297, 0.0201441, 0.0201613, 0.0201718, 0.0201838, 0.0201889", \
-            "0.0196775, 0.019575, 0.0194513, 0.0193292, 0.0192265, 0.0191553", \
-            "0.0193977, 0.0191811, 0.0189217, 0.0186692, 0.0184604, 0.0183103", \
-            "0.0198288, 0.0196591, 0.0191782, 0.0187098, 0.0183106, 0.0180257", \
-            "0.0178138, 0.0178882, 0.0180443, 0.018339, 0.018632, 0.0182244" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0328331, 0.0348267, 0.0484897, 0.0561881, 0.0618709, 0.0648149, 0.0681339, 0.0726295, 0.0743631, 0.0778302, 0.0814415, 0.0852808, 0.0908411, 0.0972741, 0.105722, 0.111503, 0.112443, 0.131303, 0.145066, 0.157763, 0.198416, 0.23395, 0.443746");
-            values ( \
-              "-0.0437907, -0.0881464, -0.0846597, -0.0814678, -0.075866, -0.0770541, -0.0684477, -0.0666728, -0.0606391, -0.0620373, -0.0579345, -0.0599772, -0.0540107, -0.0508522, -0.0414951, -0.0379378, -0.0352833, -0.0206607, -0.0131484, -0.00811048, -0.00285856, -0.000933564, -5.12134e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.033114, 0.0356413, 0.0543154, 0.0620104, 0.0698501, 0.0722684, 0.077105, 0.0832237, 0.0837039, 0.0846642, 0.0865848, 0.0893189, 0.0964626, 0.100257, 0.11572, 0.131595, 0.149035, 0.165186, 0.183854, 0.195909, 0.213524, 0.221288, 0.256209, 0.284498, 0.384341, 0.475135");
-            values ( \
-              "-0.0603629, -0.137173, -0.130468, -0.125994, -0.118366, -0.118972, -0.108307, -0.102434, -0.0993674, -0.0999522, -0.0980485, -0.0980396, -0.0956157, -0.0924044, -0.0758545, -0.0549798, -0.0358854, -0.0232497, -0.012956, -0.00841548, -0.0046231, -0.00411846, -0.00390704, -0.00217726, -0.000549291, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0330303, 0.0368753, 0.046761, 0.0593916, 0.0720951, 0.0765882, 0.0860947, 0.101143, 0.102731, 0.105908, 0.111359, 0.117447, 0.125685, 0.135243, 0.169739, 0.183584, 0.204379, 0.24054, 0.248314, 0.261857, 0.292226, 0.356035, 0.387307, 0.431052");
-            values ( \
-              "-0.0527112, -0.193683, -0.189206, -0.18488, -0.178705, -0.17393, -0.167757, -0.147026, -0.14726, -0.146015, -0.145417, -0.141547, -0.134129, -0.122055, -0.0723131, -0.0554163, -0.0361243, -0.0123994, -0.009228, -0.00738977, -0.00744972, -0.00361374, -0.0025007, -0.00171383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0330593, 0.0386211, 0.0911263, 0.111717, 0.126287, 0.1334, 0.144461, 0.160597, 0.181752, 0.24051, 0.283962, 0.303111, 0.321164, 0.379198, 0.431059, 0.529382, 0.531428");
-            values ( \
-              "-0.07595, -0.247298, -0.228523, -0.216114, -0.200918, -0.198049, -0.19626, -0.186439, -0.164646, -0.0857354, -0.0426398, -0.0294371, -0.0242681, -0.0128422, -0.00672629, -0.00253856, -0.00251236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0353504, 0.0356105, 0.0456269, 0.057584, 0.121023, 0.139413, 0.152944, 0.183238, 0.19401, 0.218281, 0.230006, 0.248316, 0.269341, 0.33151, 0.383344, 0.396323, 0.423233, 0.478883, 0.541508, 0.576536, 0.624461, 0.665346, 0.752054, 0.828102");
-            values ( \
-              "-0.292353, -0.292408, -0.286682, -0.282845, -0.269804, -0.263501, -0.260424, -0.242561, -0.243659, -0.235517, -0.229981, -0.218735, -0.199928, -0.133169, -0.0807945, -0.0706433, -0.0587839, -0.0387838, -0.0219977, -0.0151618, -0.00882596, -0.00593999, -0.00306319, -0.00232932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0357749, 0.0357949, 0.0614185, 0.160128, 0.230197, 0.275224, 0.30844, 0.347611, 0.36902, 0.399385, 0.435375, 0.469771, 0.560113, 0.574615, 0.698765, 0.767383, 0.836312, 0.921257, 1.04026, 1.15118, 1.2621, 1.32571");
-            values ( \
-              "-1e-22, -0.321595, -0.311771, -0.30051, -0.290014, -0.277195, -0.274708, -0.265869, -0.258645, -0.246929, -0.224376, -0.201244, -0.130831, -0.12294, -0.0702454, -0.0481149, -0.03235, -0.0192067, -0.00882317, -0.00445595, -0.00246169, -0.00208565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0509958, 0.0530214, 0.0541951, 0.0571566, 0.0666245, 0.0744905, 0.0829132, 0.0932631, 0.103315, 0.108152, 0.14002, 0.158133, 0.169683, 0.175582, 0.223665, 0.233116, 0.251852, 0.289796, 0.34821");
-            values ( \
-              "-0.0435102, -0.0862186, -0.087259, -0.0876575, -0.0850638, -0.0812336, -0.0750544, -0.0620709, -0.0585796, -0.0558474, -0.0278442, -0.0160177, -0.0101686, -0.0083919, -0.00213574, -0.0022023, -0.000813323, -0.00109993, -0.00060349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.051984, 0.0531255, 0.056625, 0.0589107, 0.0654742, 0.0773428, 0.0850547, 0.0904058, 0.101828, 0.104509, 0.114572, 0.122782, 0.139403, 0.151601, 0.17091, 0.184658, 0.207002, 0.2246, 0.244061, 0.255724, 0.277033, 0.285299, 0.294432, 0.306178, 0.315491, 0.32649, 0.338483, 0.355879, 0.386583");
-            values ( \
-              "-0.127252, -0.133392, -0.136336, -0.136128, -0.133739, -0.128265, -0.121069, -0.117984, -0.100154, -0.0986145, -0.0954581, -0.0882661, -0.0689483, -0.0528054, -0.0326495, -0.0225283, -0.0108447, -0.00577112, -0.00385384, -0.0044511, -0.00390343, -0.00274274, -0.00272285, -0.00192805, -0.00221997, -0.00166095, -0.00185014, -0.00118329, -0.00073639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0527168, 0.054159, 0.0570945, 0.0619882, 0.0767911, 0.0906678, 0.105584, 0.11775, 0.127511, 0.135687, 0.153563, 0.179914, 0.200612, 0.223673, 0.258112, 0.265859, 0.279409, 0.326349, 0.360193, 0.424197, 0.454137");
-            values ( \
-              "-0.183196, -0.189491, -0.192723, -0.191628, -0.185565, -0.178307, -0.166072, -0.149152, -0.145871, -0.141873, -0.122504, -0.0835031, -0.0566957, -0.0352626, -0.0126153, -0.00958153, -0.00729535, -0.00693141, -0.00429421, -0.00197272, -0.00151508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0533079, 0.0582809, 0.0981983, 0.108468, 0.117994, 0.12391, 0.130035, 0.139587, 0.145445, 0.151869, 0.159031, 0.166651, 0.18655, 0.259198, 0.301977, 0.320829, 0.33937, 0.381593, 0.406064, 0.442968, 0.488834, 0.539535, 0.58562");
-            values ( \
-              "-0.23647, -0.246698, -0.233035, -0.228766, -0.222232, -0.222295, -0.214621, -0.207485, -0.199353, -0.1995, -0.196328, -0.195615, -0.180663, -0.0855545, -0.0428369, -0.0296389, -0.0242601, -0.0159622, -0.0114888, -0.00718171, -0.004353, -0.00268324, -0.00202454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0538402, 0.059266, 0.0768356, 0.138778, 0.177767, 0.200642, 0.226213, 0.248497, 0.287821, 0.407214, 0.44631, 0.516787, 0.596677, 0.690964, 0.760988");
-            values ( \
-              "-0.277528, -0.289733, -0.283144, -0.270042, -0.25717, -0.243717, -0.239568, -0.230047, -0.200053, -0.076021, -0.0566918, -0.032741, -0.0148322, -0.00549179, -0.00451999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0541489, 0.0592847, 0.0744926, 0.0904682, 0.177217, 0.23534, 0.265929, 0.28459, 0.326792, 0.369123, 0.387383, 0.41667, 0.453731, 0.509016, 0.574188, 0.591835, 0.669998, 0.734736, 0.791431, 0.858836, 0.914297, 0.964579, 1.04867, 1.10413, 1.21505, 1.32597, 1.60327");
-            values ( \
-              "-0.305799, -0.318958, -0.313527, -0.310312, -0.300653, -0.292003, -0.285269, -0.278985, -0.274401, -0.264718, -0.258944, -0.247181, -0.225018, -0.18544, -0.13345, -0.124002, -0.0895987, -0.0642883, -0.0469215, -0.0317708, -0.0226249, -0.0165942, -0.00960688, -0.0067008, -0.00356894, -0.00207441, -0.000681909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.081273, 0.0865513, 0.0903101, 0.0958264, 0.103606, 0.105423, 0.109056, 0.112669, 0.114062, 0.118583, 0.121041, 0.122399, 0.125115, 0.128148, 0.136568, 0.141223, 0.142553, 0.145213, 0.160892, 0.172383, 0.183969, 0.194727, 0.195129, 0.195934, 0.197542, 0.200206, 0.212225, 0.2213, 0.226116, 0.235749, 0.246031, 0.254471, 0.262044, 0.271483, 0.27786, 0.28517, 0.290907, 0.297728, 0.3052, 0.311781, 0.319505, 0.328157, 0.340795, 0.355447, 0.381503, 0.410105, 0.420219");
-            values ( \
-              "-0.00146045, -0.0482694, -0.0565017, -0.0623503, -0.072247, -0.0728391, -0.0755172, -0.0762994, -0.0827227, -0.0789561, -0.075244, -0.0761636, -0.0731362, -0.0677672, -0.0599749, -0.0595122, -0.0584132, -0.0573894, -0.04461, -0.0335369, -0.0241286, -0.0172577, -0.0175251, -0.0165162, -0.0162112, -0.0142396, -0.00872363, -0.00757516, -0.00650606, -0.00599383, -0.00385925, -0.00343148, -0.00191338, -0.00250917, -0.00140191, -0.00184757, -0.000545783, -0.00124866, -0.000341445, -0.00125991, -0.00052209, -0.00136865, -0.000589142, -0.00124435, -0.000252179, -0.000742261, -0.000541904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0811535, 0.0923891, 0.0987985, 0.109961, 0.112597, 0.1144, 0.117111, 0.121037, 0.123485, 0.128382, 0.129952, 0.133093, 0.136888, 0.1413, 0.145935, 0.149848, 0.15399, 0.160456, 0.167948, 0.179055, 0.184563, 0.185464, 0.187265, 0.190869, 0.195752, 0.20274, 0.211839, 0.214201, 0.218926, 0.227808, 0.239047, 0.244383, 0.246172, 0.249749, 0.265006, 0.282036, 0.293731, 0.316328, 0.324669, 0.333689, 0.34532, 0.354891, 0.365848, 0.378815, 0.396319, 0.420595, 0.455956, 0.499993");
-            values ( \
-              "-0.0204551, -0.0904341, -0.103805, -0.119094, -0.12128, -0.127577, -0.130202, -0.126898, -0.124226, -0.11773, -0.121232, -0.111214, -0.109933, -0.0994933, -0.100389, -0.095563, -0.0969705, -0.08868, -0.0827746, -0.0673674, -0.0616656, -0.0589735, -0.0580241, -0.0524357, -0.0476978, -0.0392938, -0.0318134, -0.0291027, -0.0262807, -0.0199751, -0.0145931, -0.011297, -0.0112321, -0.00937894, -0.00547172, -0.00390269, -0.00441935, -0.00384759, -0.00281173, -0.00266969, -0.00200207, -0.00217123, -0.00171518, -0.00179114, -0.00120335, -0.00106075, -0.000359229, -0.000323466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0810985, 0.0957308, 0.103732, 0.108159, 0.112586, 0.114571, 0.117277, 0.122341, 0.127567, 0.143955, 0.150878, 0.158173, 0.158918, 0.160406, 0.163382, 0.169176, 0.17518, 0.187186, 0.193012, 0.226217, 0.239465, 0.248543, 0.256151, 0.266295, 0.294074, 0.301849, 0.31174, 0.326324, 0.356715, 0.397974, 0.42928, 0.445589, 0.46486, 0.522963, 0.568363");
-            values ( \
-              "-0.0244362, -0.137246, -0.158235, -0.166486, -0.173258, -0.182351, -0.187304, -0.184782, -0.181403, -0.167337, -0.158804, -0.148644, -0.148814, -0.147707, -0.147089, -0.145086, -0.141901, -0.12937, -0.122614, -0.0740091, -0.0574784, -0.0478661, -0.0409438, -0.0330074, -0.0144157, -0.0108808, -0.00799225, -0.00734941, -0.0074165, -0.00431804, -0.00297199, -0.00265172, -0.0018736, -0.000702264, -0.000456955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0860384, 0.0931911, 0.100686, 0.112535, 0.115329, 0.116657, 0.119314, 0.122237, 0.149642, 0.167365, 0.169839, 0.174786, 0.191322, 0.19215, 0.195464, 0.199882, 0.207092, 0.214591, 0.226291, 0.239771, 0.277359, 0.29869, 0.315834, 0.342274, 0.351977, 0.361084, 0.379157, 0.440809, 0.486428, 0.531819, 0.582636, 0.6496, 0.751961");
-            values ( \
-              "-0.085548, -0.165652, -0.19274, -0.22258, -0.239322, -0.240557, -0.241117, -0.240552, -0.228629, -0.21736, -0.217469, -0.210745, -0.197734, -0.198545, -0.198114, -0.197102, -0.194222, -0.189422, -0.179755, -0.164713, -0.112279, -0.0855677, -0.0674618, -0.0423703, -0.0344554, -0.0294689, -0.0242831, -0.0122604, -0.00693808, -0.00428867, -0.00265354, -0.0012983, -0.000333316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0860166, 0.0974317, 0.118013, 0.185771, 0.218265, 0.23271, 0.268552, 0.295527, 0.327909, 0.454826, 0.482433, 0.563339, 0.654477, 0.726032, 0.783099");
-            values ( \
-              "-0.0871208, -0.211808, -0.284919, -0.268105, -0.256338, -0.247646, -0.238764, -0.226151, -0.199787, -0.0706255, -0.058309, -0.0309154, -0.0120778, -0.00569533, -0.00384255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0921379, 0.11259, 0.115237, 0.119448, 0.130562, 0.146256, 0.236624, 0.280462, 0.308382, 0.324645, 0.339865, 0.352798, 0.367046, 0.395541, 0.427492, 0.44678, 0.478936, 0.494069, 0.558278, 0.612596, 0.626289, 0.710154, 0.774821, 0.831676, 0.899167, 0.981101, 1.00476, 1.07991, 1.13537, 1.19083, 1.30176, 1.41268, 1.63452");
-            values ( \
-              "-0.270174, -0.288599, -0.304753, -0.315705, -0.312042, -0.309009, -0.298287, -0.291131, -0.284668, -0.279305, -0.276893, -0.276297, -0.274255, -0.26825, -0.259219, -0.251715, -0.234597, -0.224849, -0.178722, -0.134893, -0.126512, -0.0893438, -0.0640652, -0.0466652, -0.03151, -0.0190306, -0.0164009, -0.0100072, -0.00727444, -0.00497658, -0.00271062, -0.00157379, -0.000543002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.13764, 0.156736, 0.174875, 0.191889, 0.204392, 0.213606, 0.215374, 0.218911, 0.222738, 0.232344, 0.236408, 0.247048, 0.248951, 0.251207, 0.253923, 0.268939, 0.282105, 0.297594, 0.306451, 0.341234, 0.349133, 0.358703, 0.376133, 0.413141, 0.471575");
-            values ( \
-              "-0.00127661, -0.0330635, -0.0459116, -0.0553735, -0.0592253, -0.0601702, -0.0576438, -0.0597666, -0.054123, -0.0471394, -0.0476992, -0.0419538, -0.0393638, -0.0404123, -0.0392088, -0.0258116, -0.017258, -0.00959257, -0.00774132, -0.00302986, -0.00235402, -0.00229051, -0.000880809, -0.00117797, -0.00063713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.14536, 0.15255, 0.166047, 0.179145, 0.187048, 0.201105, 0.213917, 0.226536, 0.229681, 0.234483, 0.240164, 0.244827, 0.248913, 0.251929, 0.255009, 0.278298, 0.297489, 0.304939, 0.317852, 0.332125, 0.340244, 0.354115, 0.363138, 0.386535, 0.398008, 0.411698, 0.422852, 0.511384, 0.523903");
-            values ( \
-              "-0.0253646, -0.0439698, -0.0615478, -0.0755877, -0.0829393, -0.094214, -0.0996864, -0.0980906, -0.0970802, -0.0875084, -0.0862743, -0.0829756, -0.0832525, -0.0838278, -0.0827291, -0.0523507, -0.0324259, -0.0265946, -0.0185572, -0.0112569, -0.00830767, -0.00513714, -0.00437744, -0.00446155, -0.0040652, -0.0029579, -0.00240472, -0.000841139, -0.000736326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.145345, 0.156431, 0.165589, 0.178022, 0.190444, 0.197933, 0.21291, 0.21477, 0.218489, 0.224531, 0.230282, 0.241783, 0.246011, 0.248956, 0.251752, 0.255037, 0.258214, 0.2624, 0.268848, 0.28023, 0.29234, 0.309137, 0.318181, 0.333474, 0.338202, 0.343361, 0.350239, 0.372903, 0.384984, 0.393572, 0.407509, 0.421829, 0.438373, 0.454507, 0.459547, 0.483682, 0.51171, 0.546329, 0.600674, 0.643869, 0.69933");
-            values ( \
-              "-0.0163164, -0.0691399, -0.0855836, -0.104657, -0.122536, -0.131769, -0.147169, -0.148216, -0.150937, -0.153613, -0.155025, -0.148109, -0.145277, -0.138278, -0.143871, -0.145691, -0.1446, -0.142143, -0.136192, -0.122705, -0.105077, -0.0799422, -0.0677417, -0.0501249, -0.0455091, -0.0409151, -0.0354093, -0.0196681, -0.0123522, -0.00942557, -0.00739261, -0.0075611, -0.00735698, -0.00680543, -0.00620779, -0.00444724, -0.00320719, -0.00209506, -0.000909356, -0.000460978, -0.00011976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.15069, 0.161715, 0.172446, 0.189656, 0.204882, 0.215157, 0.237111, 0.243012, 0.248914, 0.25254, 0.257029, 0.257824, 0.267453, 0.276206, 0.279372, 0.285705, 0.302324, 0.313993, 0.327498, 0.364796, 0.386088, 0.408227, 0.430699, 0.439833, 0.449174, 0.466927, 0.517233, 0.548023, 0.579019, 0.624353, 0.675612, 0.744986, 0.849488");
-            values ( \
-              "-0.063061, -0.0997891, -0.122914, -0.155465, -0.180643, -0.19496, -0.213878, -0.215726, -0.214823, -0.21889, -0.218978, -0.219661, -0.208266, -0.201465, -0.199541, -0.198316, -0.189724, -0.179622, -0.16494, -0.112615, -0.0858948, -0.0627982, -0.0416646, -0.0343739, -0.0293649, -0.0243265, -0.0141834, -0.0097085, -0.00655072, -0.00410145, -0.00252547, -0.00119333, -0.000294977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.150696, 0.168976, 0.185584, 0.207418, 0.229261, 0.253108, 0.258455, 0.306498, 0.319031, 0.340781, 0.352394, 0.376752, 0.416087, 0.478225, 0.539458, 0.57209, 0.625647, 0.68253, 0.712153, 0.775643, 0.814785, 0.900779, 0.959095");
-            values ( \
-              "-0.0517566, -0.134799, -0.173072, -0.217183, -0.249644, -0.272869, -0.275, -0.257473, -0.248335, -0.242218, -0.24102, -0.230564, -0.200423, -0.132948, -0.0727189, -0.0579486, -0.0388015, -0.0232774, -0.0172617, -0.00840633, -0.00582003, -0.00303853, -0.00247467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.159481, 0.178587, 0.202601, 0.221255, 0.233933, 0.24878, 0.254309, 0.26173, 0.268734, 0.341558, 0.383241, 0.397248, 0.423946, 0.447479, 0.471181, 0.49073, 0.515956, 0.545824, 0.582311, 0.616692, 0.652092, 0.706221, 0.720171, 0.798622, 0.859005, 0.906515, 0.92, 0.946969, 0.989306, 1.04477, 1.07002, 1.12194, 1.16863, 1.22409, 1.27955, 1.39047, 1.50139, 1.72323");
-            values ( \
-              "-0.165956, -0.17301, -0.229493, -0.26534, -0.282905, -0.298078, -0.305995, -0.308634, -0.306192, -0.295897, -0.288072, -0.28485, -0.276808, -0.275858, -0.271287, -0.266335, -0.259134, -0.246717, -0.224821, -0.200838, -0.174576, -0.131037, -0.123907, -0.0894071, -0.065658, -0.0506614, -0.0468078, -0.0402341, -0.0313156, -0.0224691, -0.0191036, -0.0137805, -0.0101209, -0.00711736, -0.00510381, -0.00284283, -0.00170743, -0.000675567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.265216, 0.329823, 0.349305, 0.382751, 0.406469, 0.423099, 0.436111, 0.471963, 0.508043, 0.550216, 0.553788, 0.559704, 0.573581, 0.617902, 0.641426");
-            values ( \
-              "-0.0096485, -0.0310083, -0.0363205, -0.0419295, -0.0406321, -0.0315264, -0.0281665, -0.011607, -0.00510956, -0.00150235, -0.0026087, -0.00267572, -0.00187069, -0.00102305, -0.000815147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.265205, 0.280647, 0.28561, 0.31277, 0.339424, 0.361087, 0.380233, 0.397918, 0.415182, 0.419485, 0.425976, 0.433047, 0.433614, 0.434747, 0.437015, 0.441116, 0.447603, 0.474348, 0.490077, 0.506666, 0.517127, 0.527636, 0.533211, 0.542067, 0.550177, 0.556247, 0.563861, 0.579451, 0.593592, 0.612356, 0.63624, 0.675596, 0.709034, 0.750945, 0.804045");
-            values ( \
-              "-0.0101997, -0.0220556, -0.024326, -0.0404186, -0.0529849, -0.0620956, -0.067823, -0.072639, -0.0719427, -0.0720094, -0.0657962, -0.0617809, -0.0601447, -0.0602147, -0.0590302, -0.0582094, -0.0549732, -0.0352135, -0.0249418, -0.0159713, -0.0111284, -0.00798382, -0.00694223, -0.00614121, -0.00602664, -0.00759568, -0.00744541, -0.00514319, -0.00372216, -0.00282609, -0.00211648, -0.00115827, -0.000651806, -0.000247944, -9.52946e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.27351, 0.290504, 0.314305, 0.343491, 0.380762, 0.403069, 0.423573, 0.443715, 0.44873, 0.456469, 0.464469, 0.465483, 0.467511, 0.478256, 0.525669, 0.550083, 0.55482, 0.566167, 0.577732, 0.593705, 0.625905, 0.661607, 0.691106, 0.756406");
-            values ( \
-              "-0.0337417, -0.0385328, -0.0576328, -0.0781967, -0.100842, -0.111222, -0.118818, -0.116596, -0.113869, -0.104716, -0.103337, -0.101643, -0.101527, -0.0929751, -0.045194, -0.0270609, -0.0268342, -0.0191379, -0.0136744, -0.0104365, -0.00853158, -0.00536552, -0.00374942, -0.00176104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.284968, 0.316741, 0.342436, 0.381281, 0.41259, 0.440153, 0.465836, 0.472259, 0.485105, 0.491579, 0.499059, 0.516137, 0.525247, 0.550196, 0.55232, 0.556569, 0.585139, 0.617677, 0.626453, 0.635748, 0.650795, 0.69749, 0.722559, 0.749005, 0.765707, 0.794791, 0.843597, 0.903333, 0.914039");
-            values ( \
-              "-0.0660781, -0.0751857, -0.0993703, -0.13188, -0.155147, -0.170179, -0.179242, -0.177723, -0.172138, -0.166072, -0.166394, -0.156768, -0.147878, -0.118779, -0.118402, -0.115292, -0.0797949, -0.046589, -0.0388139, -0.0332131, -0.0279167, -0.0169516, -0.0122301, -0.00862844, -0.00701843, -0.005066, -0.00320402, -0.00175962, -0.00162544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.284831, 0.308602, 0.355155, 0.398218, 0.420104, 0.461239, 0.498164, 0.507425, 0.525947, 0.5342, 0.547924, 0.550089, 0.55442, 0.560026, 0.570733, 0.581496, 0.601508, 0.62743, 0.671047, 0.724725, 0.737246, 0.752595, 0.783959, 0.819451, 0.845413, 0.879282, 0.916688, 0.936247, 0.9669, 1.00674, 1.04802, 1.13882, 1.24856, 1.35948");
-            values ( \
-              "-0.0652062, -0.078353, -0.129412, -0.17225, -0.191906, -0.22249, -0.238104, -0.238385, -0.236735, -0.231726, -0.231475, -0.230924, -0.234657, -0.235502, -0.230323, -0.224097, -0.208358, -0.181694, -0.134401, -0.0799982, -0.0706216, -0.0635079, -0.0512485, -0.0388756, -0.0310888, -0.0226399, -0.0152425, -0.0122342, -0.00866525, -0.00588284, -0.00417133, -0.00223009, -0.00108023, -0.000490433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.297761, 0.33941, 0.408907, 0.454778, 0.478253, 0.525203, 0.54998, 0.558104, 0.577462, 0.614791, 0.631435, 0.646947, 0.650728, 0.673409, 0.711146, 0.726138, 0.750278, 0.777646, 0.812229, 0.847627, 0.901344, 0.915303, 0.993882, 1.05463, 1.11526, 1.18442, 1.26522, 1.28758, 1.36493, 1.42039, 1.47585, 1.58677, 1.69769, 1.91953");
-            values ( \
-              "-0.109068, -0.123717, -0.201286, -0.244748, -0.26213, -0.284977, -0.289954, -0.292694, -0.289937, -0.278842, -0.277134, -0.274654, -0.274489, -0.269825, -0.25943, -0.253344, -0.242004, -0.224652, -0.200778, -0.174234, -0.131292, -0.12385, -0.0892714, -0.0653897, -0.0466728, -0.0312104, -0.018979, -0.0165051, -0.00993659, -0.00718501, -0.00496126, -0.00271828, -0.00159121, -0.000567119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.519365, 0.550116, 0.559334, 0.636726, 0.66793, 0.695163, 0.720273, 0.744754, 0.764003, 0.778791, 0.801075, 0.812834, 0.83187, 0.864403, 0.873378, 0.892908, 0.899902, 0.906676, 0.913219, 0.92088, 0.929566, 0.942211, 0.947732, 0.958773, 0.976206, 0.998237, 1.0318, 1.07443, 1.12637, 1.18183, 1.23556, 1.26155");
-            values ( \
-              "-0.00656901, -0.0068453, -0.00775574, -0.0190874, -0.0229031, -0.025285, -0.0268415, -0.026802, -0.0274171, -0.0253921, -0.0178503, -0.0169296, -0.0120486, -0.00677112, -0.00628577, -0.00345844, -0.00341868, -0.0026004, -0.00287056, -0.00224341, -0.00246842, -0.00177486, -0.00201797, -0.00141071, -0.00137347, -0.000667478, -0.000596035, -2.67147e-05, -0.000215015, -1e-22, -0.000390081, -0.000158005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.519294, 0.54383, 0.562073, 0.573643, 0.619315, 0.650686, 0.684922, 0.714661, 0.741824, 0.768025, 0.791203, 0.799209, 0.806412, 0.813818, 0.821839, 0.82359, 0.827094, 0.832832, 0.843876, 0.88324, 0.891272, 0.902281, 0.9181, 0.934917, 0.955158, 0.999578, 1.0499, 1.09008, 1.13957, 1.21596, 1.23229, 1.27273");
-            values ( \
-              "-0.010074, -0.0102292, -0.0129722, -0.0150365, -0.0261071, -0.0330559, -0.0396372, -0.0441337, -0.0472813, -0.0479636, -0.0483524, -0.0464352, -0.0437333, -0.0388624, -0.0352232, -0.0346717, -0.0346044, -0.0334532, -0.0299988, -0.0128405, -0.0110284, -0.00967574, -0.00875819, -0.00676063, -0.00489416, -0.00276814, -0.00119187, -0.000593942, -0.000173247, -1.63495e-05, -0.000720992, -0.000139763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.519231, 0.555997, 0.57328, 0.586588, 0.623539, 0.654, 0.674301, 0.713691, 0.747744, 0.778667, 0.808022, 0.835705, 0.83727, 0.85583, 0.871174, 0.879991, 0.934643, 0.946819, 0.957872, 0.976676, 0.98543, 1.02095, 1.05404, 1.08684, 1.13735, 1.17433, 1.21598, 1.23624, 1.2878");
-            values ( \
-              "-0.0106489, -0.0178577, -0.0218733, -0.02567, -0.038385, -0.0483099, -0.0544836, -0.0655299, -0.0733291, -0.0794955, -0.0815475, -0.0800726, -0.0795798, -0.0672248, -0.062802, -0.0580729, -0.0242664, -0.0195873, -0.0163518, -0.013419, -0.0126892, -0.00827244, -0.00559064, -0.0037172, -0.00175071, -0.000983596, -0.000422599, -0.00134903, -0.00032271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.537718, 0.587819, 0.71189, 0.759739, 0.800884, 0.83807, 0.88092, 0.894292, 0.908698, 0.926291, 0.93721, 1.01654, 1.04008, 1.09331, 1.13072, 1.15103, 1.18967, 1.21574, 1.22076, 1.22959, 1.26957, 1.30672, 1.41506");
-            values ( \
-              "-0.0265069, -0.0343474, -0.0853493, -0.102563, -0.115447, -0.125856, -0.130728, -0.126156, -0.117548, -0.111984, -0.106233, -0.0447523, -0.0354693, -0.0203081, -0.0122631, -0.00941923, -0.00620085, -0.00480987, -0.00611284, -0.0062857, -0.00355824, -0.00228861, -0.000575175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.550951, 0.608405, 0.69236, 0.770207, 0.830944, 0.883573, 0.931043, 0.976592, 0.985564, 1.00351, 1.01654, 1.03756, 1.07655, 1.12622, 1.15094, 1.21608, 1.2285, 1.28434, 1.32379, 1.34498, 1.37865, 1.42229, 1.46364, 1.50986, 1.5992");
-            values ( \
-              "-0.0395468, -0.0503053, -0.0917188, -0.127397, -0.152778, -0.171947, -0.186777, -0.187849, -0.186422, -0.178503, -0.176794, -0.164859, -0.135773, -0.0920162, -0.0756422, -0.0483921, -0.0461216, -0.0278887, -0.0186155, -0.0146613, -0.0101565, -0.00657555, -0.00469952, -0.00329913, -0.00185113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.574727, 0.65121, 0.727603, 0.801515, 0.855069, 0.935843, 0.991304, 1.03706, 1.08029, 1.09654, 1.11468, 1.13747, 1.1746, 1.22658, 1.27868, 1.31744, 1.35045, 1.42226, 1.4824, 1.53093, 1.56993, 1.61259, 1.66805, 1.70136, 1.74699, 1.79493, 1.85039, 1.96131, 2.07223, 2.34954");
-            values ( \
-              "-0.0659955, -0.0785121, -0.119275, -0.156648, -0.182331, -0.216967, -0.23618, -0.247634, -0.250622, -0.246664, -0.247329, -0.246034, -0.235892, -0.210561, -0.172637, -0.140089, -0.122056, -0.0898213, -0.0660515, -0.0503823, -0.0403386, -0.0315493, -0.0224274, -0.0182155, -0.0136035, -0.0100293, -0.00695584, -0.0036807, -0.00212313, -0.000714932" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0120489, 0.0120804, 0.0121187, 0.0121567, 0.0121877, 0.0122092", \
-            "0.0142173, 0.0142416, 0.0142756, 0.0143146, 0.0143509, 0.0143786", \
-            "0.0155427, 0.0155534, 0.0155714, 0.0155963, 0.0156246, 0.0156496", \
-            "0.0163757, 0.0163765, 0.0163795, 0.0163845, 0.0163946, 0.0164076", \
-            "0.0168608, 0.0168553, 0.0168475, 0.0168385, 0.0168308, 0.0168274", \
-            "0.0171402, 0.0171328, 0.0171212, 0.0171052, 0.0170866, 0.0170693" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0167912, 0.0168214, 0.0168611, 0.0169073, 0.0169485, 0.0169786", \
-            "0.0186636, 0.0186661, 0.018675, 0.0186905, 0.0187084, 0.0187235", \
-            "0.0200155, 0.0199795, 0.019935, 0.0198901, 0.019854, 0.0198292", \
-            "0.0210887, 0.0210031, 0.0208994, 0.0207823, 0.0206755, 0.020591", \
-            "0.0221457, 0.0219878, 0.0217806, 0.0215522, 0.0213432, 0.0211817", \
-            "0.0205574, 0.0214279, 0.0222302, 0.0222738, 0.021946, 0.0216479" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.110046, 0.125273, 0.153768, 0.206972, 0.306708, 0.494699", \
-            "0.114176, 0.129536, 0.158189, 0.21168, 0.311655, 0.499936", \
-            "0.125582, 0.140894, 0.169371, 0.223296, 0.323613, 0.512272", \
-            "0.154802, 0.169581, 0.197757, 0.251015, 0.350836, 0.540101", \
-            "0.218517, 0.23599, 0.266264, 0.317996, 0.416518, 0.604431", \
-            "0.317612, 0.341917, 0.384518, 0.455637, 0.569082, 0.754362" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.126985, 0.146818, 0.186585, 0.261256, 0.401796, 0.67021", \
-            "0.126866, 0.146744, 0.186478, 0.261175, 0.401724, 0.670165", \
-            "0.12665, 0.146614, 0.18645, 0.261162, 0.401708, 0.670145", \
-            "0.131159, 0.149239, 0.186737, 0.260889, 0.401638, 0.670117", \
-            "0.168317, 0.182997, 0.213006, 0.275443, 0.404559, 0.669985", \
-            "0.243283, 0.264357, 0.298738, 0.357563, 0.464137, 0.693901" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0744868, 0.0837088, 0.10072, 0.132148, 0.190641, 0.300416", \
-            "0.0789936, 0.0883961, 0.105504, 0.137034, 0.195613, 0.305453", \
-            "0.0892355, 0.098555, 0.11578, 0.147504, 0.206272, 0.316279", \
-            "0.112127, 0.121941, 0.13876, 0.170391, 0.22967, 0.339915", \
-            "0.140733, 0.154815, 0.179109, 0.219246, 0.282778, 0.392883", \
-            "0.159964, 0.180058, 0.214919, 0.27307, 0.365234, 0.505357" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0695682, 0.0804903, 0.101658, 0.142216, 0.219868, 0.367795", \
-            "0.0693777, 0.0803483, 0.101462, 0.142176, 0.219631, 0.367487", \
-            "0.0687757, 0.0799484, 0.101345, 0.14196, 0.219512, 0.367367", \
-            "0.0789168, 0.0881122, 0.106367, 0.143498, 0.219426, 0.36735", \
-            "0.114523, 0.125131, 0.14398, 0.176224, 0.238794, 0.372403", \
-            "0.17419, 0.18876, 0.21463, 0.258057, 0.327798, 0.442331" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0364629, 0.0402109, 0.0446712, 0.0523419, 0.0562814, 0.0641604, 0.0683119, 0.0764375, 0.0850226, 0.102613, 0.121632, 0.136049, 0.141679, 0.151663, 0.163681, 0.177554, 0.184973, 0.195291, 0.209754, 0.216697, 0.232138, 0.239871, 0.242523, 0.247827, 0.253793, 0.260572, 0.277396, 0.287185, 0.307772, 0.333213, 0.354644, 0.378481, 0.418334, 0.466504, 0.541773");
-            values ( \
-              "0.0252908, 0.0447958, 0.0434027, 0.0419998, 0.0415862, 0.0405187, 0.0401645, 0.0392029, 0.0383869, 0.0361379, 0.0328378, 0.0325965, 0.0330155, 0.0303258, 0.0259059, 0.0211862, 0.0181904, 0.0167864, 0.0140445, 0.0141097, 0.0129785, 0.0117551, 0.0115473, 0.0106464, 0.00991422, 0.00862643, 0.00615487, 0.00512881, 0.00354644, 0.00224802, 0.00157721, 0.000953271, 0.000490078, 0.000141929, 7.01662e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0364356, 0.041596, 0.0468675, 0.0558945, 0.074625, 0.0914329, 0.0942049, 0.0997489, 0.110837, 0.114754, 0.122589, 0.13682, 0.1533, 0.160002, 0.171579, 0.185258, 0.215023, 0.220363, 0.223899, 0.228614, 0.235405, 0.244395, 0.253105, 0.262663, 0.306795, 0.321538, 0.335722, 0.347995, 0.365157, 0.387823, 0.401288, 0.422688, 0.462329, 0.50976, 0.583924, 0.664821");
-            values ( \
-              "0.0250554, 0.071636, 0.069922, 0.0678872, 0.0649002, 0.0626697, 0.0620744, 0.0614963, 0.059441, 0.0589093, 0.0569663, 0.0540631, 0.0534129, 0.0541066, 0.049788, 0.0436365, 0.0287483, 0.0249071, 0.023545, 0.0227641, 0.0230133, 0.0237434, 0.0231738, 0.0217866, 0.0122786, 0.00963969, 0.00769607, 0.00639045, 0.00484716, 0.00341396, 0.00276239, 0.0019535, 0.00101894, 0.00045141, 0.00012512, 2.78022e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.036529, 0.0437926, 0.0575814, 0.126834, 0.165485, 0.19468, 0.216267, 0.227069, 0.262894, 0.271475, 0.28197, 0.325018, 0.366739, 0.397219, 0.43005, 0.469441, 0.474034, 0.48322, 0.538334, 0.644021, 0.802662");
-            values ( \
-              "0.0248916, 0.10548, 0.101391, 0.0898634, 0.081194, 0.080358, 0.0708646, 0.0651628, 0.0429014, 0.0409971, 0.0397647, 0.0310481, 0.020955, 0.0146262, 0.00979819, 0.00597429, 0.00587976, 0.00501882, 0.00242476, 0.00051031, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0400254, 0.0466183, 0.0644074, 0.157685, 0.206564, 0.218614, 0.238726, 0.259656, 0.280386, 0.303457, 0.328208, 0.356218, 0.368329, 0.410716, 0.452625, 0.532406, 0.572753, 0.639554, 0.670721, 0.772715, 0.861829");
-            values ( \
-              "0.131861, 0.140785, 0.135894, 0.121764, 0.112359, 0.110815, 0.110064, 0.106505, 0.0984901, 0.0874947, 0.0717784, 0.0671304, 0.063954, 0.0486946, 0.0368481, 0.0209963, 0.015145, 0.00850894, 0.00643988, 0.00246271, 0.00129396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0450227, 0.0450427, 0.117447, 0.200315, 0.257767, 0.29704, 0.318499, 0.345266, 0.381002, 0.399973, 0.428735, 0.441585, 0.475364, 0.488929, 0.595581, 0.656366, 0.682335, 0.725291, 0.762291, 0.809027, 0.848929, 0.895385, 0.956188, 1.00251, 1.08184, 1.16274, 1.24363, 1.40543, 1.56722");
-            values ( \
-              "1e-22, 0.188657, 0.160388, 0.151826, 0.144746, 0.138774, 0.13776, 0.134964, 0.128438, 0.122641, 0.111485, 0.105092, 0.101274, 0.0981902, 0.0658028, 0.0494698, 0.0437699, 0.0361924, 0.0305171, 0.0243977, 0.019979, 0.0156778, 0.0112891, 0.00873899, 0.00558017, 0.00348118, 0.0021642, 0.000830724, 0.000321236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0455135, 0.0455335, 0.20073, 0.309265, 0.405486, 0.436137, 0.506525, 0.561086, 0.586734, 0.640203, 0.651594, 0.664238, 0.681066, 0.696919, 0.713768, 0.764001, 0.931591, 1.00289, 1.08379, 1.13434, 1.20516, 1.28606, 1.33462, 1.40641, 1.4813, 1.5622, 1.65064, 1.78126, 1.94305, 2.10484, 2.26663, 2.50932");
-            values ( \
-              "1e-22, 0.200978, 0.17904, 0.172154, 0.164336, 0.161116, 0.157031, 0.150856, 0.147049, 0.134016, 0.133032, 0.133269, 0.132395, 0.130549, 0.127733, 0.117786, 0.0822037, 0.0684717, 0.0546378, 0.0473128, 0.0387742, 0.030735, 0.0266523, 0.0214511, 0.0169926, 0.0131093, 0.00982958, 0.0063545, 0.00366146, 0.00209492, 0.0011943, 0.000510974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0549552, 0.058619, 0.0666683, 0.0775582, 0.0864528, 0.114814, 0.120714, 0.139711, 0.153033, 0.159765, 0.169725, 0.195365, 0.202878, 0.209472, 0.213311, 0.227788, 0.234738, 0.250187, 0.271553, 0.278283, 0.295235, 0.305112, 0.325724, 0.350858, 0.37223, 0.395933, 0.435621, 0.483519, 0.558736");
-            values ( \
-              "0.0260364, 0.0456478, 0.0432716, 0.0412745, 0.0401483, 0.0370464, 0.0361761, 0.0328452, 0.0325811, 0.0331408, 0.0303035, 0.0212604, 0.0182812, 0.0171991, 0.016822, 0.0140908, 0.0141087, 0.0129682, 0.00994019, 0.00870699, 0.00620271, 0.00516138, 0.00357242, 0.00228345, 0.00156864, 0.000982613, 0.000475265, 0.000163155, 5.11475e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.055014, 0.0601014, 0.0626506, 0.0696449, 0.0742399, 0.0821284, 0.112495, 0.134629, 0.155121, 0.170726, 0.178259, 0.189817, 0.2035, 0.233269, 0.238594, 0.242122, 0.246826, 0.262508, 0.271199, 0.280773, 0.290433, 0.324942, 0.337273, 0.344306, 0.366207, 0.395693, 0.398974, 0.405536, 0.440278, 0.479648, 0.527186, 0.600522");
-            values ( \
-              "0.0249382, 0.0731255, 0.0719137, 0.0694618, 0.0683039, 0.0667638, 0.0622328, 0.058521, 0.0540663, 0.053571, 0.0541181, 0.0498723, 0.0435741, 0.0286525, 0.0250053, 0.0236498, 0.022715, 0.0236946, 0.0232994, 0.0217403, 0.0198362, 0.0123694, 0.0100738, 0.00896698, 0.00632089, 0.00396204, 0.00387689, 0.00337436, 0.00190679, 0.00109652, 0.00039131, 0.000191475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0571865, 0.0622646, 0.0689928, 0.0805522, 0.149696, 0.183782, 0.205309, 0.212987, 0.227733, 0.245284, 0.277822, 0.289139, 0.291123, 0.301915, 0.334821, 0.391939, 0.426327, 0.469576, 0.491791, 0.522484, 0.609735, 0.646469");
-            values ( \
-              "0.0793751, 0.106929, 0.10399, 0.100737, 0.0888876, 0.0812743, 0.0806623, 0.0799731, 0.07421, 0.0653305, 0.0455381, 0.039946, 0.0410234, 0.0393579, 0.0328426, 0.0195636, 0.0128962, 0.00754958, 0.00569965, 0.00384151, 0.00116467, 0.000885823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0572324, 0.0654551, 0.0915492, 0.197996, 0.2297, 0.25266, 0.273043, 0.305038, 0.35124, 0.378193, 0.449934, 0.471704, 0.564424, 0.658137, 0.730022, 0.824189");
-            values ( \
-              "0.0766799, 0.141856, 0.1346, 0.118112, 0.111695, 0.110432, 0.107853, 0.0961932, 0.0704421, 0.0664561, 0.0421415, 0.0368559, 0.0190363, 0.00861399, 0.00459152, 0.00227744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.060568, 0.060588, 0.142146, 0.246988, 0.3056, 0.336389, 0.342071, 0.348564, 0.361552, 0.387527, 0.400985, 0.427902, 0.447568, 0.458218, 0.471242, 0.47512, 0.482877, 0.493772, 0.506761, 0.626695, 0.685133, 0.715082, 0.761217, 0.804254, 0.834575, 0.875723, 0.920581, 0.982283, 1.00727, 1.05726, 1.13815, 1.21905, 1.29995, 1.46174, 1.54263");
-            values ( \
-              "1e-22, 0.173324, 0.159823, 0.148591, 0.140194, 0.137282, 0.13727, 0.136777, 0.135179, 0.131006, 0.127833, 0.119469, 0.111328, 0.105968, 0.103298, 0.103522, 0.10284, 0.10132, 0.0984341, 0.0622904, 0.0470527, 0.0411803, 0.0334526, 0.0273398, 0.0235806, 0.0191796, 0.0151463, 0.0108519, 0.00943099, 0.0071455, 0.00445566, 0.00279488, 0.00170908, 0.00064477, 0.000423333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0679523, 0.0679723, 0.216733, 0.328468, 0.409364, 0.455319, 0.525715, 0.580215, 0.60589, 0.65961, 0.670992, 0.68367, 0.700386, 0.716223, 0.733058, 0.764831, 0.956647, 1.02563, 1.10653, 1.15222, 1.21524, 1.29381, 1.3509, 1.43882, 1.50931, 1.5902, 1.72601, 1.8069, 1.9687, 2.13049, 2.29228, 2.53497");
-            values ( \
-              "1e-22, 0.209379, 0.179353, 0.172069, 0.165606, 0.161217, 0.157131, 0.150961, 0.146978, 0.133974, 0.133049, 0.133271, 0.132389, 0.130546, 0.127716, 0.121621, 0.0810139, 0.0678411, 0.0540704, 0.0474999, 0.0397952, 0.031765, 0.0268925, 0.0205871, 0.0165341, 0.0127328, 0.00813677, 0.00621818, 0.00358435, 0.00205359, 0.00117387, 0.00048936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0812294, 0.102968, 0.112374, 0.115834, 0.11737, 0.120105, 0.124238, 0.128597, 0.135328, 0.143962, 0.145172, 0.147592, 0.152431, 0.159403, 0.162703, 0.169305, 0.180711, 0.181654, 0.183541, 0.187315, 0.193088, 0.201624, 0.239648, 0.24618, 0.252726, 0.267005, 0.273652, 0.281323, 0.289807, 0.310659, 0.317601, 0.325321, 0.334088, 0.340698, 0.350661, 0.360793, 0.371937, 0.385836, 0.391517, 0.402877, 0.425599, 0.452065, 0.498468, 0.523035");
-            values ( \
-              "0.0010425, 0.0291269, 0.0354697, 0.0431637, 0.0422513, 0.042881, 0.0409979, 0.041161, 0.0391583, 0.0391212, 0.0380087, 0.0387013, 0.0371984, 0.0372093, 0.0357676, 0.0354899, 0.0325919, 0.0333307, 0.0322574, 0.0328326, 0.0326518, 0.0335607, 0.0207325, 0.0174417, 0.0174654, 0.0141309, 0.0144829, 0.0135587, 0.0135103, 0.00999164, 0.00953113, 0.00754064, 0.00695621, 0.00546746, 0.00517884, 0.00370326, 0.00365323, 0.00227044, 0.00266495, 0.00159939, 0.00156569, 0.000452947, 0.000610645, 0.000362488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0818057, 0.112529, 0.114636, 0.116646, 0.11955, 0.124388, 0.128832, 0.135088, 0.175115, 0.197148, 0.214173, 0.220251, 0.231817, 0.245507, 0.275129, 0.280584, 0.2842, 0.289021, 0.304607, 0.313278, 0.322925, 0.332656, 0.366464, 0.381501, 0.396166, 0.409416, 0.437967, 0.446667, 0.479411, 0.517942, 0.565058, 0.716594");
-            values ( \
-              "0.00597268, 0.0573304, 0.06533, 0.0689161, 0.0690331, 0.0680693, 0.0666983, 0.0654555, 0.0589512, 0.0541634, 0.0536545, 0.0540141, 0.0499193, 0.043564, 0.0287103, 0.0249905, 0.0236125, 0.0227637, 0.0237555, 0.0233102, 0.0217402, 0.0197776, 0.0124395, 0.00972683, 0.00771412, 0.00622009, 0.00396462, 0.00344055, 0.00201927, 0.00114065, 0.000443902, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0818686, 0.111611, 0.11261, 0.114888, 0.116115, 0.118569, 0.120651, 0.132887, 0.139552, 0.171521, 0.199649, 0.225724, 0.248898, 0.254909, 0.26693, 0.283914, 0.294085, 0.307101, 0.328091, 0.333188, 0.341869, 0.350749, 0.361834, 0.373089, 0.385622, 0.401588, 0.418801, 0.433847, 0.45356, 0.471352, 0.491816, 0.513382, 0.533735, 0.559482, 0.587085, 0.624875, 0.680349, 0.747927, 0.828823");
-            values ( \
-              "0.00098895, 0.0840941, 0.0856472, 0.0988739, 0.101185, 0.102927, 0.102918, 0.0994002, 0.0979446, 0.0925049, 0.0872987, 0.0813824, 0.0806479, 0.079905, 0.0753988, 0.0671472, 0.061363, 0.0528842, 0.0408681, 0.0414446, 0.0394575, 0.0381847, 0.0355281, 0.0338208, 0.0306847, 0.027188, 0.0229028, 0.0196196, 0.0153728, 0.0124234, 0.00977204, 0.00739761, 0.0057461, 0.00415121, 0.00298565, 0.00176804, 0.000901415, 0.000308032, 0.000154705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0956004, 0.117869, 0.120859, 0.141835, 0.203571, 0.279899, 0.299857, 0.320938, 0.341657, 0.364731, 0.389376, 0.417373, 0.42957, 0.492166, 0.513851, 0.565094, 0.606946, 0.633981, 0.700548, 0.772535, 0.832828, 0.901129, 0.907732");
-            values ( \
-              "0.0663128, 0.137418, 0.138637, 0.133633, 0.12428, 0.110596, 0.110269, 0.10642, 0.098613, 0.0873973, 0.0717511, 0.0673019, 0.0638978, 0.0422378, 0.0369587, 0.0262613, 0.0190555, 0.0152373, 0.00861485, 0.00460812, 0.00257285, 0.00142751, 0.00138338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0964027, 0.115844, 0.123623, 0.142753, 0.168895, 0.299995, 0.359076, 0.380381, 0.410493, 0.442951, 0.460812, 0.502811, 0.538224, 0.551909, 0.64838, 0.737389, 0.777502, 0.861324, 0.968968, 1.02844, 1.09422, 1.1726, 1.2535, 1.35802");
-            values ( \
-              "0.0667243, 0.161433, 0.169981, 0.165398, 0.161836, 0.14736, 0.138684, 0.137661, 0.13465, 0.128253, 0.123025, 0.105457, 0.101123, 0.0979006, 0.0684709, 0.0450587, 0.0377188, 0.0255138, 0.0147529, 0.0106517, 0.00739281, 0.00469565, 0.00293744, 0.00177347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0969948, 0.121013, 0.12457, 0.146442, 0.17385, 0.221901, 0.371626, 0.452522, 0.498466, 0.532874, 0.568868, 0.623352, 0.649033, 0.702839, 0.714219, 0.726906, 0.743595, 0.759425, 0.776258, 0.807853, 1.00245, 1.07039, 1.15128, 1.19479, 1.25436, 1.31319, 1.40548, 1.50224, 1.56598, 1.64688, 1.76947, 1.85037, 1.93126, 2.01216, 2.17395, 2.33574, 2.57843");
-            values ( \
-              "0.0772421, 0.192351, 0.192924, 0.188599, 0.185708, 0.181888, 0.172057, 0.165623, 0.161242, 0.15897, 0.157157, 0.15099, 0.146959, 0.13396, 0.133055, 0.133272, 0.132388, 0.130541, 0.127711, 0.121651, 0.0804835, 0.067554, 0.0538197, 0.0475803, 0.0402521, 0.0340323, 0.02597, 0.0193323, 0.0158402, 0.0121863, 0.00813024, 0.00621112, 0.00470089, 0.00357951, 0.00205006, 0.00117117, 0.000489863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.144912, 0.164277, 0.178772, 0.18783, 0.195866, 0.211753, 0.221555, 0.242358, 0.248821, 0.252889, 0.258751, 0.260393, 0.263677, 0.270244, 0.279187, 0.293723, 0.298983, 0.308895, 0.319653, 0.320996, 0.323682, 0.329053, 0.335314, 0.342563, 0.349104, 0.352706, 0.35991, 0.366571, 0.373956, 0.382057, 0.389763, 0.397608, 0.399453, 0.403143, 0.408691, 0.415236, 0.423776, 0.433118, 0.437842, 0.447289, 0.457895, 0.468984, 0.484076, 0.488759, 0.498124, 0.516854, 0.549077, 0.589867, 0.65493, 0.734501");
-            values ( \
-              "0.00278977, 0.0107557, 0.01639, 0.0193948, 0.0218643, 0.0264342, 0.0290455, 0.0339547, 0.0351694, 0.0373846, 0.0373321, 0.0368115, 0.0361086, 0.0346808, 0.0332183, 0.0330444, 0.03312, 0.0303367, 0.0265675, 0.0258954, 0.0251598, 0.0230642, 0.0210179, 0.0179813, 0.0171549, 0.016684, 0.015366, 0.0143938, 0.0144093, 0.0137241, 0.013024, 0.0117408, 0.0116281, 0.0109598, 0.010248, 0.00900947, 0.00769358, 0.00630775, 0.00583641, 0.00485212, 0.00407513, 0.00330019, 0.00257667, 0.00231345, 0.00200882, 0.00137092, 0.000788471, 0.000310835, 0.000117356, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.145121, 0.167416, 0.183016, 0.194743, 0.215236, 0.229451, 0.248954, 0.251905, 0.257807, 0.264757, 0.270529, 0.27215, 0.275391, 0.281012, 0.287333, 0.294114, 0.305407, 0.317102, 0.328592, 0.340991, 0.342336, 0.345027, 0.350409, 0.356665, 0.369178, 0.377107, 0.378684, 0.387903, 0.395407, 0.413228, 0.422527, 0.432953, 0.433985, 0.436049, 0.440177, 0.448109, 0.459575, 0.472542, 0.478435, 0.490221, 0.50444, 0.52154, 0.53402, 0.537328, 0.543944, 0.557177, 0.583642, 0.624561, 0.678605, 0.755878");
-            values ( \
-              "0.00313217, 0.019212, 0.0286039, 0.0349459, 0.0447369, 0.0507911, 0.058338, 0.0638612, 0.061868, 0.0613609, 0.0591831, 0.0593919, 0.0582881, 0.0573234, 0.0556069, 0.0546341, 0.0536774, 0.0546577, 0.0495522, 0.0444565, 0.0431927, 0.0424205, 0.039224, 0.0366404, 0.0295884, 0.0256542, 0.0241364, 0.022767, 0.0240503, 0.0232154, 0.0209883, 0.0192336, 0.0185336, 0.0185531, 0.0172102, 0.0159419, 0.0129365, 0.0108653, 0.00944592, 0.00823155, 0.00618373, 0.00512036, 0.00381507, 0.00404644, 0.00323639, 0.00301372, 0.00161005, 0.00113676, 0.000150004, 0.000304461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.153365, 0.189927, 0.203063, 0.226708, 0.248765, 0.252558, 0.257937, 0.262462, 0.2885, 0.322106, 0.343973, 0.351214, 0.365695, 0.383523, 0.415628, 0.427393, 0.42939, 0.440221, 0.450104, 0.4736, 0.529985, 0.550268, 0.566638, 0.587443, 0.611648, 0.62999, 0.653917, 0.685819, 0.730461, 0.788147, 0.862623, 0.943519");
-            values ( \
-              "0.0163315, 0.048211, 0.0583023, 0.0749833, 0.0885847, 0.0938417, 0.095787, 0.0943112, 0.0891631, 0.0815526, 0.0809261, 0.079965, 0.0743222, 0.0653165, 0.045732, 0.040209, 0.0413403, 0.039578, 0.037238, 0.0327023, 0.0195447, 0.0153674, 0.0125939, 0.00983218, 0.00722185, 0.0057256, 0.00421994, 0.00291653, 0.00152238, 0.000803715, 0.000197075, 0.00015966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.153299, 0.180534, 0.201156, 0.21666, 0.248785, 0.251675, 0.256213, 0.270761, 0.319015, 0.336137, 0.364366, 0.375424, 0.395522, 0.416429, 0.437153, 0.460226, 0.485054, 0.513073, 0.537604, 0.567641, 0.587747, 0.609452, 0.635072, 0.66038, 0.688625, 0.702389, 0.729917, 0.765513, 0.796173, 0.826555, 0.867063, 0.927387, 0.995746, 1.07664, 1.15754");
-            values ( \
-              "0.0121817, 0.0537578, 0.0767311, 0.0923196, 0.121794, 0.128113, 0.13209, 0.128955, 0.121377, 0.118207, 0.112339, 0.110998, 0.110211, 0.10642, 0.0985967, 0.0873637, 0.0717006, 0.0673012, 0.0598131, 0.0487307, 0.0422156, 0.0369211, 0.0313146, 0.0263052, 0.0211655, 0.0190683, 0.0151722, 0.0113112, 0.00859667, 0.00656994, 0.00465355, 0.00258905, 0.00144411, 0.000592846, 0.000354178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.152719, 0.191247, 0.21726, 0.248283, 0.250511, 0.255605, 0.260181, 0.287104, 0.356664, 0.414137, 0.431808, 0.464293, 0.474642, 0.495342, 0.51622, 0.538553, 0.56667, 0.587136, 0.597701, 0.62731, 0.63951, 0.653714, 0.774778, 0.829305, 0.879028, 0.915604, 0.965516, 1.00414, 1.05083, 1.11187, 1.18653, 1.24294, 1.32384, 1.48563, 1.64742, 1.72832");
-            values ( \
-              "0.00761621, 0.0808788, 0.114953, 0.151043, 0.159726, 0.163886, 0.163618, 0.159459, 0.151882, 0.144729, 0.141962, 0.137713, 0.137776, 0.135837, 0.132552, 0.127976, 0.118931, 0.110561, 0.105031, 0.102014, 0.0995999, 0.0959499, 0.0593248, 0.0456136, 0.0365511, 0.0310095, 0.0243137, 0.0200323, 0.0158032, 0.0112683, 0.00742753, 0.00547387, 0.00333102, 0.00124756, 0.000445621, 0.000359756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.174259, 0.206874, 0.24885, 0.254069, 0.256489, 0.261328, 0.276113, 0.302349, 0.467492, 0.548388, 0.594318, 0.664729, 0.719271, 0.744924, 0.798171, 0.80956, 0.822153, 0.839047, 0.854902, 0.871735, 0.923842, 1.0801, 1.1487, 1.22959, 1.2721, 1.32975, 1.39535, 1.50359, 1.55827, 1.63286, 1.71376, 1.81066, 1.94697, 2.10876, 2.27056, 2.43235, 2.67504");
-            values ( \
-              "0.0838898, 0.114642, 0.173174, 0.186291, 0.18752, 0.187899, 0.185772, 0.183315, 0.172093, 0.165596, 0.161222, 0.157136, 0.150964, 0.146971, 0.134091, 0.133032, 0.133294, 0.13242, 0.130603, 0.127782, 0.117452, 0.0841842, 0.0707656, 0.0566325, 0.0500896, 0.0426234, 0.0354006, 0.0258036, 0.0218687, 0.017342, 0.0133904, 0.00976512, 0.00619096, 0.00356519, 0.00203933, 0.00116247, 0.000494877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.275329, 0.322349, 0.365889, 0.406412, 0.438732, 0.467175, 0.48141, 0.493766, 0.506892, 0.517468, 0.532936, 0.541865, 0.562129, 0.567912, 0.574806, 0.581804, 0.589375, 0.605123, 0.651763, 0.68081, 0.71425, 0.745679, 0.787584, 0.791409");
-            values ( \
-              "0.00337771, 0.00623105, 0.0136648, 0.0186586, 0.021933, 0.0241167, 0.0245844, 0.0253779, 0.0284306, 0.0288101, 0.0267582, 0.0235592, 0.0186239, 0.0183554, 0.0168875, 0.016176, 0.0160154, 0.0141665, 0.00644614, 0.00383449, 0.00209988, 0.00116573, 0.000532371, 0.000506756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.275131, 0.308664, 0.326861, 0.37315, 0.417102, 0.452053, 0.482661, 0.504012, 0.511049, 0.524901, 0.536706, 0.543399, 0.550092, 0.556072, 0.564511, 0.596833, 0.600227, 0.607015, 0.614316, 0.622744, 0.632308, 0.641472, 0.668549, 0.693832, 0.703816, 0.730489, 0.755596, 0.766859, 0.789386, 0.826379, 0.876629, 0.945998, 1.02689");
-            values ( \
-              "0.0067538, 0.00809708, 0.0114089, 0.0238894, 0.0326415, 0.0386342, 0.0426206, 0.0443287, 0.0455537, 0.0493808, 0.0501873, 0.0487344, 0.0467629, 0.0462928, 0.0425771, 0.0254948, 0.024893, 0.0250403, 0.0254924, 0.0250485, 0.0235685, 0.0216589, 0.015328, 0.0102147, 0.00873043, 0.00575294, 0.00387544, 0.00327437, 0.00225226, 0.00124705, 0.000506791, 0.000166696, 2.32065e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.275221, 0.31573, 0.319144, 0.325972, 0.339628, 0.373899, 0.386163, 0.410693, 0.435941, 0.475369, 0.510854, 0.515236, 0.531524, 0.541422, 0.550182, 0.557257, 0.563995, 0.570696, 0.58272, 0.597076, 0.603143, 0.626876, 0.636206, 0.644395, 0.649005, 0.658226, 0.667952, 0.67927, 0.69154, 0.748739, 0.769003, 0.774457, 0.785363, 0.803568, 0.827079, 0.843698, 0.848483, 0.87719, 0.90126, 0.949204, 1.00071, 1.08134, 1.16224");
-            values ( \
-              "0.00905769, 0.01428, 0.0152316, 0.0173272, 0.0220938, 0.0359035, 0.0399577, 0.0475401, 0.0547605, 0.0652249, 0.0723904, 0.0730898, 0.0753636, 0.0773429, 0.0795912, 0.081588, 0.082036, 0.0798332, 0.0754801, 0.0682122, 0.0648544, 0.0502255, 0.044229, 0.0434449, 0.0424715, 0.0408801, 0.0381221, 0.0357952, 0.0331002, 0.0194989, 0.0153794, 0.0143955, 0.0126248, 0.0101302, 0.00756341, 0.00617499, 0.00578689, 0.00402503, 0.00300137, 0.00156393, 0.00082664, 0.000237107, 0.000113046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275179, 0.327421, 0.407214, 0.466904, 0.507202, 0.526188, 0.550141, 0.554135, 0.562123, 0.573134, 0.582602, 0.593139, 0.611959, 0.634032, 0.663083, 0.678629, 0.699224, 0.707278, 0.71651, 0.727524, 0.739478, 0.797489, 0.821313, 0.835365, 0.890946, 0.919235, 0.949732, 0.975573, 1.01218, 1.04482, 1.08833, 1.14962, 1.22088, 1.30178, 1.38267");
-            values ( \
-              "0.00948964, 0.0248847, 0.0639605, 0.0877667, 0.102451, 0.108518, 0.114636, 0.119159, 0.117186, 0.115338, 0.112813, 0.11227, 0.110237, 0.106596, 0.0947631, 0.0864071, 0.0735413, 0.0712591, 0.070357, 0.0682707, 0.0651992, 0.0443904, 0.0382901, 0.0349433, 0.0237486, 0.0190411, 0.0148491, 0.0120823, 0.00868017, 0.00650034, 0.0045158, 0.00245097, 0.00136362, 0.000526635, 0.000352174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.275076, 0.345368, 0.391197, 0.442063, 0.477175, 0.515534, 0.558975, 0.570438, 0.580326, 0.611613, 0.660164, 0.690804, 0.696408, 0.702812, 0.734891, 0.75537, 0.782839, 0.803347, 0.813871, 0.826462, 0.835687, 0.84652, 0.859221, 0.889275, 0.951815, 0.992451, 1.04645, 1.09476, 1.13077, 1.18186, 1.22173, 1.26811, 1.32968, 1.36098, 1.40188, 1.45641, 1.5373, 1.6182, 1.69909, 1.86089, 1.94178");
-            values ( \
-              "0.0067462, 0.0417505, 0.0699028, 0.0975362, 0.115496, 0.134066, 0.153113, 0.153492, 0.151674, 0.147631, 0.140319, 0.137544, 0.137406, 0.136845, 0.132279, 0.127908, 0.119137, 0.110757, 0.105268, 0.103712, 0.10318, 0.101683, 0.0989719, 0.0902941, 0.0708029, 0.059104, 0.0455216, 0.0367316, 0.0312437, 0.0243714, 0.0199531, 0.0157605, 0.0112058, 0.0094213, 0.00748611, 0.00557944, 0.00339517, 0.00219333, 0.00127127, 0.000453377, 0.000367753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.331952, 0.393614, 0.455309, 0.493491, 0.54987, 0.563731, 0.585329, 0.589944, 0.599176, 0.682241, 0.763137, 0.809011, 0.843438, 0.879455, 0.934022, 0.959664, 0.993347, 1.01308, 1.02446, 1.03709, 1.05392, 1.06976, 1.0866, 1.13749, 1.30088, 1.37357, 1.45446, 1.50787, 1.53304, 1.58338, 1.66427, 1.71774, 1.77225, 1.84444, 1.92533, 1.98294, 2.02689, 2.0855, 2.16639, 2.24729, 2.32819, 2.40908, 2.48998, 2.65177, 2.89446");
-            values ( \
-              "0.0784094, 0.0811324, 0.120494, 0.143364, 0.174884, 0.179824, 0.179736, 0.178707, 0.177906, 0.172144, 0.165618, 0.161246, 0.158988, 0.157147, 0.150969, 0.146958, 0.139404, 0.134044, 0.133048, 0.133291, 0.132416, 0.130579, 0.127762, 0.117679, 0.082949, 0.0688909, 0.0549988, 0.0472352, 0.0440045, 0.038201, 0.0302652, 0.0258461, 0.02192, 0.0175274, 0.0135284, 0.0112308, 0.00972363, 0.00799568, 0.00609854, 0.00462378, 0.00351193, 0.00264909, 0.00200903, 0.00114563, 0.000485821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.49878, 0.60852, 0.633129, 0.661225, 0.70013, 0.745615, 0.775666, 0.82068, 0.841429, 0.882927, 0.910055, 0.9259, 0.941485, 0.957812, 0.962386, 0.984729, 1.00076, 1.01001, 1.01835, 1.02644, 1.04093, 1.05478, 1.08492, 1.10575, 1.13776, 1.16539, 1.19065, 1.20126, 1.2055, 1.21398, 1.22061, 1.22728, 1.26347, 1.29363, 1.34413, 1.42054");
-            values ( \
-              "0.000558733, 0.00199249, 0.00255485, 0.00345663, 0.00552028, 0.00932904, 0.0114799, 0.0138247, 0.0146022, 0.0156646, 0.0157309, 0.0168164, 0.0183395, 0.0182252, 0.0184754, 0.0182789, 0.0171209, 0.0173249, 0.0169492, 0.0173706, 0.0165854, 0.0148313, 0.00953209, 0.00694513, 0.0041688, 0.00258311, 0.0018284, 0.0013236, 0.0014309, 0.00103522, 0.00158313, 0.00169193, 0.000875767, 0.000526786, 0.000169574, 5.5706e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.498476, 0.609822, 0.636154, 0.666248, 0.680963, 0.710393, 0.739179, 0.788186, 0.836801, 0.904604, 0.928476, 0.949913, 0.971018, 0.988671, 1.00586, 1.02681, 1.03595, 1.04506, 1.06217, 1.07167, 1.08158, 1.10357, 1.13687, 1.16035, 1.17987, 1.20042, 1.2159, 1.2297, 1.27499, 1.30677, 1.36795, 1.44118, 1.52208");
-            values ( \
-              "0.000893252, 0.00358028, 0.00463616, 0.00631259, 0.00744257, 0.0104574, 0.0144286, 0.0202259, 0.0242076, 0.0277511, 0.0280901, 0.0297313, 0.0331341, 0.032837, 0.0332507, 0.0311558, 0.0293048, 0.0262586, 0.0273104, 0.0273249, 0.0261133, 0.0219274, 0.0143, 0.0103604, 0.00785948, 0.00581963, 0.00466152, 0.00486279, 0.00253927, 0.00151842, 0.000515538, 0.000146649, 3.31666e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.49866, 0.620085, 0.643412, 0.679582, 0.721556, 0.755753, 0.810576, 0.865827, 0.891509, 0.942872, 0.962799, 0.983839, 1.01057, 1.03539, 1.04103, 1.05948, 1.07604, 1.09489, 1.10351, 1.12173, 1.21609, 1.22506, 1.28066, 1.30736, 1.34875, 1.3768, 1.43291, 1.50623, 1.58712");
-            values ( \
-              "0.0011944, 0.00659393, 0.00823001, 0.0116784, 0.0181387, 0.0249922, 0.0337918, 0.0403707, 0.042797, 0.0470315, 0.0479521, 0.049603, 0.0545471, 0.0562154, 0.0562519, 0.0552907, 0.0524432, 0.0460517, 0.0461997, 0.0435158, 0.0217372, 0.0212129, 0.0114161, 0.00826288, 0.00489744, 0.00344578, 0.00161672, 0.000605586, 0.000184447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.579193, 0.693663, 0.714021, 0.783294, 0.87384, 0.944871, 1.00906, 1.04677, 1.08821, 1.11522, 1.14067, 1.16094, 1.16851, 1.18972, 1.20138, 1.21576, 1.21917, 1.22525, 1.28463, 1.32349, 1.38103, 1.41555, 1.46015, 1.51242, 1.54174, 1.59568, 1.6664, 1.74729, 1.90909");
-            values ( \
-              "0.0117191, 0.0197339, 0.0238737, 0.0410088, 0.0576833, 0.0686176, 0.0763621, 0.0803718, 0.0873223, 0.0890736, 0.0857333, 0.0796932, 0.0753048, 0.0734504, 0.0716589, 0.0678897, 0.0682105, 0.0672143, 0.0453474, 0.0355853, 0.0235285, 0.0178737, 0.0122987, 0.00778737, 0.0060299, 0.00365562, 0.0019092, 0.000858763, 0.000175309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.579227, 0.663477, 0.691721, 0.734132, 0.777827, 0.830387, 0.943053, 1.03118, 1.07457, 1.14446, 1.17708, 1.20794, 1.21583, 1.22924, 1.24268, 1.2823, 1.29639, 1.30636, 1.31697, 1.33052, 1.34397, 1.47326, 1.53094, 1.56615, 1.625, 1.68038, 1.73793, 1.79816, 1.83491, 1.86916, 1.91482, 1.99572, 2.07661, 2.15751, 2.3193, 2.4002");
-            values ( \
-              "0.0195591, 0.0209149, 0.0262202, 0.036477, 0.0492159, 0.0631843, 0.0879487, 0.10541, 0.112831, 0.122271, 0.128186, 0.130569, 0.130701, 0.130453, 0.127814, 0.113504, 0.107194, 0.106668, 0.105503, 0.103458, 0.100128, 0.0609283, 0.0460549, 0.039446, 0.0301283, 0.0230231, 0.0171392, 0.0124907, 0.0101167, 0.0083566, 0.00653588, 0.00401127, 0.00256608, 0.00151452, 0.000550917, 0.000415024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.608019, 0.702554, 0.870314, 1.04897, 1.16208, 1.21569, 1.23622, 1.36233, 1.41927, 1.49305, 1.54899, 1.58393, 1.90487, 2.05415, 2.19906, 2.28928, 2.43597, 2.64346, 2.86123");
-            values ( \
-              "0.033336, 0.0351115, 0.0840123, 0.129753, 0.15537, 0.16567, 0.166635, 0.157062, 0.15063, 0.13475, 0.131172, 0.125138, 0.0603333, 0.0395346, 0.025957, 0.0197216, 0.0123609, 0.00621401, 0.00341123" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0126618, 0.0127019, 0.0127493, 0.0127956, 0.0128328, 0.0128582", \
-            "0.0158924, 0.0159431, 0.0160118, 0.0160869, 0.016155, 0.0162059", \
-            "0.0179636, 0.018005, 0.0180688, 0.0181509, 0.0182362, 0.0183074", \
-            "0.0192361, 0.0192465, 0.0192689, 0.019309, 0.0193641, 0.0194206", \
-            "0.0201051, 0.0200799, 0.0200483, 0.0200178, 0.0200006, 0.0200015", \
-            "0.0207689, 0.0207299, 0.0206683, 0.0205817, 0.0204818, 0.0203946" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0188954, 0.0189302, 0.0189842, 0.0190481, 0.019107, 0.0191509", \
-            "0.0200619, 0.0200378, 0.0200165, 0.0200073, 0.0200073, 0.0200113", \
-            "0.0198106, 0.0197151, 0.0195885, 0.0194446, 0.0193122, 0.0192116", \
-            "0.0194567, 0.0193285, 0.019148, 0.0189357, 0.0187211, 0.0185512", \
-            "0.0196026, 0.0194871, 0.0192457, 0.018922, 0.0185961, 0.0183271", \
-            "0.0168712, 0.0170122, 0.0173222, 0.0180909, 0.0188661, 0.0185107" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0296639, 0.0319702, 0.040905, 0.0459449, 0.0638012, 0.0751861, 0.0861288, 0.096751, 0.10806, 0.129965, 0.145264, 0.167361, 0.184984, 0.198808, 0.217391, 0.230227, 0.243026, 0.269821, 0.325332");
-            values ( \
-              "-0.0528337, -0.0610056, -0.0574857, -0.0571388, -0.0573037, -0.0581093, -0.0615788, -0.062088, -0.0541397, -0.0309582, -0.0184076, -0.00751554, -0.00476744, -0.00322634, -0.00255983, -0.00145627, -0.00122409, -0.00133256, -0.000536893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.029551, 0.032931, 0.0367239, 0.0399088, 0.0432111, 0.0491579, 0.0563148, 0.0732471, 0.0825816, 0.0860777, 0.0952947, 0.0997444, 0.107612, 0.120746, 0.125598, 0.145989, 0.156456, 0.165956, 0.171525, 0.192658, 0.201499, 0.20906, 0.219544, 0.23104, 0.24326, 0.261291, 0.273854, 0.343498, 0.374363, 0.39064");
-            values ( \
-              "-0.0473876, -0.100193, -0.097152, -0.0957208, -0.095236, -0.0947641, -0.0949617, -0.0946011, -0.0955286, -0.0966131, -0.100515, -0.101611, -0.10042, -0.0886551, -0.0825585, -0.0517558, -0.0386062, -0.029187, -0.0247174, -0.0109704, -0.00671523, -0.00478193, -0.00463239, -0.00518419, -0.00528083, -0.00370899, -0.00298972, -0.00118674, -0.000655103, -0.000510147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0295463, 0.0344832, 0.0432292, 0.0869809, 0.0941686, 0.100558, 0.112343, 0.118874, 0.128003, 0.144785, 0.181251, 0.198271, 0.229295, 0.236466, 0.245765, 0.263494, 0.337603, 0.409255, 0.45222");
-            values ( \
-              "-0.0407193, -0.15105, -0.146062, -0.144044, -0.144361, -0.145728, -0.150313, -0.151582, -0.149052, -0.13239, -0.0712969, -0.0489688, -0.0200309, -0.0154134, -0.0122897, -0.00918601, -0.00434613, -0.0017427, -0.000997643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0316689, 0.0365813, 0.0431563, 0.0486534, 0.0764091, 0.111473, 0.121364, 0.148987, 0.157383, 0.16589, 0.182531, 0.189603, 0.232727, 0.276559, 0.285339, 0.29783, 0.319603, 0.353451, 0.389776, 0.414353, 0.442441, 0.555287, 0.589429");
-            values ( \
-              "-0.15964, -0.206235, -0.203512, -0.202848, -0.201478, -0.198486, -0.198723, -0.203815, -0.202986, -0.199127, -0.185959, -0.176771, -0.108664, -0.051364, -0.0429824, -0.0353389, -0.0278983, -0.0184815, -0.0103019, -0.00713109, -0.004789, -0.0016385, -0.00138525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0332132, 0.034642, 0.0999568, 0.168069, 0.20243, 0.236742, 0.257584, 0.274127, 0.37676, 0.421864, 0.462754, 0.525657, 0.559125, 0.610068, 0.696513, 0.782154");
-            values ( \
-              "-0.257264, -0.257519, -0.253657, -0.24746, -0.248968, -0.242719, -0.229588, -0.21342, -0.0893291, -0.0629088, -0.044021, -0.0233811, -0.0159526, -0.00838854, -0.00349024, -0.00280318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0336387, 0.0410768, 0.0510028, 0.0609539, 0.11285, 0.254921, 0.312031, 0.340645, 0.37021, 0.399564, 0.43305, 0.483051, 0.540403, 0.633914, 0.67184, 0.738366, 0.795369, 0.850673, 0.895092, 0.963566, 1.02053, 1.07749, 1.19142, 1.30534, 1.64712");
-            values ( \
-              "-0.29198, -0.295895, -0.297352, -0.297705, -0.29556, -0.284552, -0.282975, -0.27928, -0.272136, -0.260023, -0.238946, -0.199048, -0.148534, -0.0968204, -0.0784723, -0.0528554, -0.0372633, -0.0259614, -0.0193382, -0.01208, -0.00816182, -0.00542285, -0.00274705, -0.00157523, -0.00036954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0480175, 0.0518229, 0.0533887, 0.0585086, 0.0618289, 0.0708988, 0.0765811, 0.0822973, 0.0936618, 0.104592, 0.115193, 0.12647, 0.148287, 0.163439, 0.177802, 0.185165, 0.202535, 0.215833, 0.224862, 0.234186, 0.246599, 0.259107, 0.283905, 0.344327, 0.418848, 0.52929");
-            values ( \
-              "-0.0312529, -0.0623802, -0.0646608, -0.0596466, -0.0584111, -0.0574529, -0.0575783, -0.0572951, -0.0581512, -0.0616697, -0.0622582, -0.0543813, -0.0311927, -0.0185745, -0.010488, -0.00762079, -0.00487301, -0.00325896, -0.00310932, -0.00263505, -0.00152086, -0.00119661, -0.00135019, -0.000464158, -3.94129e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0497314, 0.0531519, 0.0613944, 0.0707305, 0.0947746, 0.101344, 0.114002, 0.126377, 0.14093, 0.165371, 0.180669, 0.211759, 0.220047, 0.227505, 0.261271, 0.298493, 0.359781");
-            values ( \
-              "-0.0977525, -0.10483, -0.0973433, -0.0953704, -0.0948549, -0.0956691, -0.100446, -0.101005, -0.0872886, -0.0509484, -0.0328691, -0.0107434, -0.00669518, -0.00482779, -0.00526934, -0.00273557, -0.00132684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.048784, 0.0536657, 0.0615367, 0.0661638, 0.0718075, 0.0986601, 0.114983, 0.131061, 0.144076, 0.146743, 0.152078, 0.163405, 0.178879, 0.193654, 0.202331, 0.213657, 0.223013, 0.243287, 0.25396, 0.259691, 0.269917, 0.283159, 0.29868, 0.312426, 0.33805, 0.365948, 0.399053, 0.446498, 0.472934");
-            values ( \
-              "-0.0366112, -0.157249, -0.149276, -0.147059, -0.146044, -0.144534, -0.144427, -0.150603, -0.150867, -0.149283, -0.145482, -0.132447, -0.107314, -0.0813023, -0.0677835, -0.0529996, -0.042776, -0.0238714, -0.0160557, -0.0139481, -0.0110037, -0.00923169, -0.00810214, -0.00779169, -0.00541919, -0.00384672, -0.0025805, -0.00125913, -0.000956411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0513658, 0.0551737, 0.0731074, 0.125395, 0.140152, 0.162521, 0.184771, 0.208433, 0.259896, 0.29806, 0.319217, 0.345813, 0.403567, 0.464107, 0.554616");
-            values ( \
-              "-0.181013, -0.213125, -0.203231, -0.199053, -0.198673, -0.203259, -0.200288, -0.177466, -0.096796, -0.0484942, -0.0344457, -0.025826, -0.0112472, -0.00475368, -0.00229152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0522798, 0.0554231, 0.0653827, 0.0753885, 0.118769, 0.169562, 0.186835, 0.221183, 0.2551, 0.264996, 0.275551, 0.292774, 0.394902, 0.413293, 0.441386, 0.481148, 0.510513, 0.541606, 0.576357, 0.627518, 0.668567, 0.712766, 0.809992, 0.923918, 1.09481");
-            values ( \
-              "-0.239158, -0.262937, -0.258786, -0.25662, -0.253751, -0.248605, -0.247656, -0.249243, -0.243101, -0.237905, -0.230473, -0.213703, -0.0898441, -0.0774925, -0.0624833, -0.0441025, -0.0334459, -0.0240188, -0.0161715, -0.00845954, -0.00550217, -0.00351178, -0.00178094, -0.000835902, -0.000420422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0529986, 0.0540169, 0.0554775, 0.0583987, 0.0742534, 0.16206, 0.245522, 0.274026, 0.336747, 0.356178, 0.389054, 0.402987, 0.426055, 0.451921, 0.484636, 0.506676, 0.543675, 0.574578, 0.614841, 0.653048, 0.710313, 0.765982, 0.790897, 0.830609, 0.907755, 0.951188, 0.996867, 1.05383, 1.11079, 1.22472, 1.33864, 1.62346");
-            values ( \
-              "-0.279432, -0.294062, -0.299147, -0.301028, -0.298625, -0.293774, -0.28664, -0.284723, -0.282543, -0.280112, -0.272466, -0.267242, -0.255995, -0.239057, -0.213854, -0.195078, -0.159778, -0.139535, -0.117249, -0.0966612, -0.0699948, -0.0500192, -0.0431143, -0.0333343, -0.0200422, -0.0151532, -0.0108257, -0.00737629, -0.00487897, -0.00249517, -0.00143232, -0.000594446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.075559, 0.0914212, 0.112556, 0.113766, 0.114427, 0.12044, 0.128853, 0.134595, 0.145526, 0.156073, 0.17157, 0.202911, 0.218479, 0.225741, 0.236949, 0.255315, 0.298939, 0.37822");
-            values ( \
-              "-0.00364221, -0.037721, -0.0558854, -0.0612786, -0.0615644, -0.0589542, -0.0579437, -0.0584782, -0.0617616, -0.0623186, -0.0505065, -0.0195772, -0.0105272, -0.00778502, -0.00587374, -0.00342455, -0.00127384, -0.000573303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0758611, 0.0935878, 0.10226, 0.112578, 0.11436, 0.11631, 0.118443, 0.12271, 0.129099, 0.135569, 0.142173, 0.154834, 0.167201, 0.181741, 0.189529, 0.206194, 0.217541, 0.221421, 0.22841, 0.236277, 0.25221, 0.260457, 0.267769, 0.277808, 0.289081, 0.300882, 0.31013, 0.322044, 0.337596, 0.372792, 0.390194, 0.452158, 0.555465");
-            values ( \
-              "-0.00487413, -0.0653338, -0.0779888, -0.0918323, -0.0981997, -0.100435, -0.0985473, -0.0967385, -0.0954911, -0.0952575, -0.0957645, -0.100585, -0.101001, -0.0874276, -0.0763505, -0.0509797, -0.0370965, -0.0329536, -0.0268835, -0.021096, -0.0109568, -0.00686011, -0.00489777, -0.00459685, -0.00513188, -0.00531843, -0.00463642, -0.00353015, -0.00275907, -0.00192856, -0.00138473, -0.000370788, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0759678, 0.0972315, 0.115481, 0.118687, 0.121164, 0.128246, 0.139867, 0.15161, 0.164387, 0.172238, 0.187941, 0.206601, 0.236766, 0.256269, 0.285862, 0.295052, 0.307044, 0.32949, 0.345882, 0.383479, 0.418332, 0.490158");
-            values ( \
-              "-0.0042637, -0.106858, -0.148712, -0.151398, -0.148654, -0.146456, -0.144827, -0.144301, -0.147189, -0.150771, -0.149765, -0.130206, -0.0782244, -0.0512883, -0.0226485, -0.0160417, -0.0119023, -0.00859104, -0.00816381, -0.00505074, -0.00330906, -0.00132524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0762877, 0.102456, 0.112672, 0.114812, 0.126799, 0.159032, 0.181572, 0.200528, 0.210758, 0.224398, 0.229279, 0.235787, 0.249639, 0.293464, 0.335257, 0.343171, 0.355952, 0.378753, 0.438968, 0.469574, 0.494235, 0.543186, 0.616156");
-            values ( \
-              "-0.00822633, -0.163342, -0.191822, -0.210391, -0.203867, -0.199512, -0.198329, -0.20296, -0.204388, -0.200577, -0.197805, -0.193003, -0.177457, -0.107908, -0.0532363, -0.0448629, -0.036352, -0.0283114, -0.0124739, -0.00750546, -0.00534403, -0.0033298, -0.00179968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0875147, 0.0981655, 0.116921, 0.122977, 0.133431, 0.228104, 0.262818, 0.286058, 0.29727, 0.314703, 0.334304, 0.435843, 0.455127, 0.487015, 0.524363, 0.564023, 0.601625, 0.627515, 0.679922, 0.719813, 0.765006, 0.863366, 1.09122");
-            values ( \
-              "-0.132966, -0.187338, -0.261684, -0.258822, -0.256187, -0.247308, -0.249877, -0.246664, -0.242257, -0.232552, -0.213601, -0.0904394, -0.0773606, -0.060494, -0.0434799, -0.0294358, -0.0198443, -0.0144316, -0.00744306, -0.00496171, -0.00325834, -0.00168879, -0.000348723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0875068, 0.104654, 0.115573, 0.118804, 0.121917, 0.128142, 0.141252, 0.191434, 0.228385, 0.315033, 0.37275, 0.401316, 0.430837, 0.438634, 0.465367, 0.493572, 0.526189, 0.548007, 0.585132, 0.597712, 0.615859, 0.694536, 0.721977, 0.763689, 0.806099, 0.857433, 0.910636, 0.942908, 0.975151, 1.01814, 1.0751, 1.13207, 1.18903, 1.30295, 1.41688, 1.64473");
-            values ( \
-              "-0.133191, -0.244686, -0.294729, -0.302782, -0.300801, -0.299315, -0.297585, -0.294612, -0.291862, -0.284514, -0.28317, -0.279669, -0.272417, -0.269782, -0.257389, -0.239211, -0.214016, -0.195446, -0.160043, -0.150878, -0.13982, -0.096789, -0.0835032, -0.0653056, -0.0505013, -0.0366448, -0.0262119, -0.0209417, -0.0168566, -0.0127359, -0.00826967, -0.0057798, -0.0038412, -0.00203759, -0.00118941, -0.000427295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.131019, 0.160345, 0.17332, 0.217795, 0.236667, 0.242824, 0.247104, 0.248981, 0.250355, 0.251923, 0.258685, 0.287315, 0.295576, 0.306943, 0.312369, 0.320272, 0.333853, 0.349079, 0.357801, 0.365714, 0.371444, 0.377331, 0.381869, 0.388318, 0.394417, 0.402254, 0.410618, 0.422751, 0.436916, 0.460962, 0.489066, 0.495284");
-            values ( \
-              "-0.000238663, -0.0221207, -0.0283949, -0.0459488, -0.056961, -0.0597737, -0.0603162, -0.0598652, -0.0612916, -0.0611352, -0.0550424, -0.0248979, -0.0186938, -0.0121141, -0.00933016, -0.00709303, -0.00490946, -0.00321662, -0.00328143, -0.00253471, -0.00228942, -0.00145316, -0.00164486, -0.00115397, -0.0015106, -0.00117538, -0.00148906, -0.00106798, -0.0011836, -0.000543716, -0.000537224, -0.000452032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.143462, 0.153013, 0.177669, 0.19949, 0.229602, 0.239536, 0.246501, 0.24902, 0.25173, 0.255199, 0.26475, 0.271641, 0.282795, 0.296768, 0.306973, 0.313666, 0.321855, 0.342438, 0.350509, 0.357428, 0.367438, 0.378419, 0.390223, 0.400146, 0.408515, 0.42085, 0.464504, 0.507395, 0.545978, 0.591933, 0.648896");
-            values ( \
-              "-0.020979, -0.0311716, -0.049879, -0.0642563, -0.0827369, -0.0910749, -0.0982192, -0.0999141, -0.103343, -0.103702, -0.0969187, -0.0892439, -0.0736712, -0.0522388, -0.0391132, -0.0321364, -0.0251839, -0.0115338, -0.00727782, -0.00520153, -0.00460025, -0.00518821, -0.00534691, -0.00479197, -0.00389945, -0.00310147, -0.00184562, -0.000872663, -0.00041123, -0.000128362, -6.37308e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.143059, 0.156578, 0.184475, 0.209233, 0.229551, 0.239082, 0.245895, 0.248613, 0.250747, 0.253051, 0.255523, 0.262962, 0.270378, 0.278547, 0.295219, 0.301282, 0.315606, 0.331675, 0.343543, 0.348354, 0.359509, 0.378332, 0.385715, 0.389379, 0.39493, 0.412031, 0.456071, 0.460795, 0.485314, 0.51501, 0.552913, 0.616085, 0.72263");
-            values ( \
-              "-0.0180502, -0.0519631, -0.0832463, -0.107412, -0.125408, -0.133278, -0.139704, -0.142609, -0.147084, -0.149526, -0.149511, -0.151562, -0.152341, -0.149524, -0.132817, -0.123398, -0.098043, -0.0715934, -0.0551662, -0.049551, -0.0381184, -0.0210434, -0.0159916, -0.0148775, -0.0126836, -0.00943617, -0.00674182, -0.00614231, -0.00442041, -0.0031321, -0.0018664, -0.000622678, -6.45366e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.155585, 0.177943, 0.187491, 0.192266, 0.204489, 0.211939, 0.219388, 0.226837, 0.229687, 0.232536, 0.235386, 0.238235, 0.241085, 0.246784, 0.249633, 0.250906, 0.25345, 0.258247, 0.262037, 0.269055, 0.27329, 0.276106, 0.281737, 0.284553, 0.287315, 0.292841, 0.30113, 0.312181, 0.317815, 0.321074, 0.324551, 0.328028, 0.331504, 0.341386, 0.350328, 0.35927, 0.379299, 0.391213, 0.428425, 0.432206, 0.435988, 0.442289, 0.448589, 0.458554, 0.470336, 0.487155, 0.504703, 0.523601, 0.5299, 0.540782");
-            values ( \
-              "-0.0964558, -0.104483, -0.116859, -0.123463, -0.141633, -0.153597, -0.166236, -0.179549, -0.180648, -0.182075, -0.183831, -0.185914, -0.188325, -0.194132, -0.197528, -0.202205, -0.202026, -0.200734, -0.199946, -0.199526, -0.199609, -0.200621, -0.202061, -0.202489, -0.202496, -0.202395, -0.201957, -0.200841, -0.200029, -0.198021, -0.195431, -0.192363, -0.188839, -0.176333, -0.163037, -0.149122, -0.116403, -0.0989866, -0.0506166, -0.0465782, -0.0430967, -0.0391719, -0.0358811, -0.0321608, -0.0283328, -0.0233488, -0.0185591, -0.0139913, -0.0126048, -0.0104732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.154954, 0.170375, 0.189335, 0.211826, 0.248851, 0.251895, 0.257727, 0.262812, 0.268489, 0.28555, 0.301814, 0.319834, 0.354134, 0.388755, 0.398475, 0.408843, 0.425746, 0.444952, 0.51593, 0.526865, 0.546501, 0.578829, 0.61571, 0.654332, 0.690824, 0.704963, 0.719374, 0.738589, 0.777019, 0.816746, 0.86229, 0.960875, 1.18873");
-            values ( \
-              "-0.104053, -0.117147, -0.152616, -0.19121, -0.247345, -0.258123, -0.254416, -0.253949, -0.252626, -0.250418, -0.2488, -0.247601, -0.249376, -0.242869, -0.237721, -0.23031, -0.213836, -0.191284, -0.103114, -0.0908315, -0.0774533, -0.0603116, -0.0434837, -0.0297379, -0.020364, -0.0170323, -0.014319, -0.0114294, -0.00690786, -0.00475264, -0.00307925, -0.00159132, -0.000300327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.154991, 0.180781, 0.203159, 0.248694, 0.253692, 0.259797, 0.265459, 0.276785, 0.286008, 0.295283, 0.406489, 0.464265, 0.483913, 0.522295, 0.529795, 0.544797, 0.563845, 0.585086, 0.617954, 0.638419, 0.662936, 0.676336, 0.68923, 0.748798, 0.785991, 0.809699, 0.842291, 0.898635, 0.924119, 0.963459, 1.02007, 1.03985, 1.05791, 1.08199, 1.12226, 1.17922, 1.23619, 1.35011, 1.46404, 1.57797, 1.74885");
-            values ( \
-              "-0.0924923, -0.158586, -0.205344, -0.287858, -0.296053, -0.298212, -0.29597, -0.295394, -0.294318, -0.293845, -0.284428, -0.283152, -0.281142, -0.272582, -0.269903, -0.263644, -0.25335, -0.239242, -0.213784, -0.196404, -0.174149, -0.160404, -0.150809, -0.116933, -0.0968736, -0.0852542, -0.070555, -0.050224, -0.0430706, -0.0334321, -0.0232598, -0.020205, -0.0178991, -0.0153736, -0.0114448, -0.00776153, -0.00512497, -0.00259236, -0.00147543, -0.000890841, -0.00059394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243561, 0.274512, 0.28464, 0.294451, 0.30959, 0.33193, 0.375153, 0.397183, 0.415866, 0.431947, 0.447205, 0.459096, 0.477591, 0.497923, 0.505002, 0.514306, 0.524309, 0.528523, 0.535901, 0.543554, 0.550148, 0.552633, 0.557602, 0.563275, 0.569367, 0.5802, 0.629562, 0.687814, 0.776326");
-            values ( \
-              "-0.000387785, -0.0080982, -0.0098015, -0.0118431, -0.0154576, -0.0201337, -0.0277004, -0.0318089, -0.0384139, -0.0429813, -0.0430606, -0.0378138, -0.0240757, -0.0122897, -0.00938556, -0.00725512, -0.00546974, -0.00493674, -0.00432479, -0.00395186, -0.00344967, -0.00413352, -0.00366498, -0.00269022, -0.00230834, -0.00192472, -0.00100612, -0.000288231, -2.5905e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.243577, 0.285025, 0.323385, 0.35814, 0.385861, 0.409632, 0.429987, 0.447696, 0.464597, 0.479595, 0.503636, 0.51019, 0.5343, 0.543047, 0.547578, 0.54949, 0.550187, 0.551583, 0.553873, 0.560953, 0.578799, 0.596203, 0.608895, 0.637699, 0.66851, 0.713856");
-            values ( \
-              "-0.000468081, -0.0164634, -0.0303591, -0.0410906, -0.0487817, -0.0559228, -0.0664339, -0.073969, -0.0735606, -0.0624588, -0.03658, -0.0310059, -0.0137904, -0.00879753, -0.00708764, -0.00699041, -0.00670968, -0.00789615, -0.00842371, -0.00754504, -0.00671174, -0.00483433, -0.00378014, -0.0025706, -0.00159582, -0.000745254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.259536, 0.285166, 0.334313, 0.356117, 0.431186, 0.45441, 0.474892, 0.494847, 0.512118, 0.53307, 0.550317, 0.551822, 0.554832, 0.5662, 0.57967, 0.590447, 0.602796, 0.615312, 0.655407, 0.68369, 0.732382, 0.783347, 0.784768");
-            values ( \
-              "-0.0111487, -0.0257985, -0.0516977, -0.0619722, -0.0939967, -0.10957, -0.120382, -0.117544, -0.0989847, -0.0694448, -0.0487593, -0.0488686, -0.0468399, -0.0339412, -0.0216426, -0.0164001, -0.0128989, -0.010603, -0.0066788, -0.00466936, -0.00253032, -0.00112902, -0.00111495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275193, 0.301609, 0.340281, 0.374523, 0.397707, 0.46641, 0.49439, 0.519667, 0.543028, 0.553968, 0.590505, 0.628548, 0.636464, 0.648419, 0.661878, 0.69053, 0.732771, 0.741684, 0.744764, 0.763244, 0.781521, 0.803318, 0.853575, 0.916977, 1.01711, 1.07407");
-            values ( \
-              "-0.0436821, -0.0472836, -0.0758026, -0.0976932, -0.111413, -0.149861, -0.170913, -0.183656, -0.174341, -0.163497, -0.105484, -0.0550347, -0.0462765, -0.0387817, -0.0327641, -0.023537, -0.0124056, -0.0109738, -0.0100993, -0.00743219, -0.0059834, -0.00447274, -0.00279207, -0.00146577, -0.00038928, -0.000348857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.275049, 0.340217, 0.37856, 0.436067, 0.52212, 0.557777, 0.573309, 0.587156, 0.602148, 0.614942, 0.629227, 0.734723, 0.789788, 0.821925, 0.861515, 0.896759, 0.937385, 0.969503, 1.00826, 1.09714, 1.15108");
-            values ( \
-              "-0.0355827, -0.0950664, -0.126666, -0.169397, -0.225886, -0.253049, -0.24687, -0.245653, -0.237663, -0.227803, -0.213845, -0.0872232, -0.0568218, -0.042555, -0.0287472, -0.0196898, -0.0119996, -0.00804895, -0.0051897, -0.00253715, -0.00213564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.275148, 0.326801, 0.368295, 0.418709, 0.466303, 0.494101, 0.550109, 0.554636, 0.563689, 0.578937, 0.589725, 0.63872, 0.667952, 0.714509, 0.726164, 0.749473, 0.781193, 0.788963, 0.829314, 0.852476, 0.879697, 0.89246, 0.975201, 1.01891, 1.05975, 1.09306, 1.11781, 1.15081, 1.19753, 1.24791, 1.3215, 1.37847, 1.43543, 1.54936, 1.66328, 1.89113, 1.9481");
-            values ( \
-              "-0.0292262, -0.0961227, -0.137593, -0.1831, -0.223203, -0.245326, -0.285757, -0.291587, -0.28789, -0.287716, -0.28588, -0.283458, -0.283389, -0.275565, -0.272853, -0.263122, -0.244799, -0.23924, -0.207545, -0.187388, -0.161009, -0.151033, -0.104548, -0.0825043, -0.0652896, -0.0531732, -0.0456613, -0.0373536, -0.027468, -0.0196575, -0.0118117, -0.00803045, -0.00526507, -0.0026384, -0.0014864, -0.000528999, -0.000625385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.473965, 0.488813, 0.525412, 0.568118, 0.595961, 0.649294, 0.739337, 0.787563, 0.792538, 0.80249, 0.815185, 0.83502, 0.871001, 0.884531, 0.89828, 0.917303, 0.92256, 0.937741, 1.01011, 1.07489, 1.1763, 1.21601, 1.23637, 1.26402");
-            values ( \
-              "-0.00188674, -0.00227317, -0.00356562, -0.00577288, -0.00807414, -0.01334, -0.0205386, -0.0279208, -0.0280124, -0.0291362, -0.0293433, -0.0269212, -0.0130671, -0.00958223, -0.0069483, -0.00503401, -0.00410676, -0.00287687, -0.00100854, -0.000223002, -1e-22, -6.71332e-05, -0.000301952, -7.86503e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.479473, 0.488885, 0.531165, 0.561335, 0.575185, 0.602886, 0.642947, 0.676737, 0.757096, 0.788316, 0.815006, 0.832246, 0.839965, 0.852178, 0.865505, 0.899442, 0.918044, 0.927268, 0.953383, 0.965408, 0.983027, 1.02121, 1.06326, 1.09855, 1.14186, 1.2161, 1.2313, 1.26956, 1.30459");
-            values ( \
-              "-0.00351677, -0.00394554, -0.00657569, -0.00912656, -0.0106199, -0.0145312, -0.0212969, -0.0259051, -0.0361497, -0.0436381, -0.0489606, -0.0509006, -0.0508148, -0.0494513, -0.0447405, -0.0256355, -0.0137321, -0.0104765, -0.0086837, -0.00704927, -0.00524005, -0.00308116, -0.00143414, -0.000755952, -0.000235254, -2.79353e-05, -0.000597722, -0.000107169, -9.5273e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.501789, 0.566443, 0.587153, 0.608243, 0.635069, 0.678482, 0.765559, 0.787487, 0.835386, 0.863939, 0.882536, 0.904525, 0.912531, 0.969659, 0.981483, 1.0069, 1.04788, 1.07402, 1.12607, 1.15075, 1.18499, 1.21591, 1.23543, 1.28135, 1.32624");
-            values ( \
-              "-0.0100431, -0.0154564, -0.0190537, -0.0237504, -0.0307086, -0.0404263, -0.0568848, -0.0614614, -0.0763517, -0.0819619, -0.0831939, -0.0772138, -0.0724336, -0.0270286, -0.0218672, -0.0146855, -0.00838791, -0.0060508, -0.00304495, -0.00205309, -0.00114305, -0.000644816, -0.00125678, -0.000352999, -0.000210463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.502942, 0.556555, 0.582414, 0.607213, 0.660678, 0.701897, 0.733495, 0.838921, 0.881182, 0.909759, 0.936228, 0.953954, 0.977913, 1.00775, 1.03819, 1.04707, 1.05618, 1.0779, 1.10289, 1.13585, 1.15696, 1.17378, 1.19289, 1.21594, 1.21979, 1.22748, 1.23366, 1.26271, 1.29605, 1.33583, 1.44403, 1.50099");
-            values ( \
-              "-0.0126954, -0.0207935, -0.0264027, -0.0330417, -0.0510012, -0.0632744, -0.0717982, -0.0985116, -0.113883, -0.123337, -0.127934, -0.126944, -0.11848, -0.0930301, -0.0611553, -0.0535615, -0.0482925, -0.0384323, -0.0288165, -0.0179148, -0.0125657, -0.00954784, -0.00736867, -0.00565903, -0.00666054, -0.00665602, -0.00584214, -0.00411021, -0.00284663, -0.00175203, -0.000443485, -0.000251183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.531319, 0.608216, 0.637933, 0.68147, 0.740216, 0.847243, 0.920257, 0.988784, 1.02098, 1.04381, 1.06692, 1.09009, 1.10652, 1.1344, 1.15881, 1.17009, 1.19699, 1.21589, 1.26182, 1.27953, 1.30315, 1.34071, 1.36951, 1.38947, 1.41961, 1.46328, 1.5069, 1.60758, 1.83543");
-            values ( \
-              "-0.0296271, -0.0433663, -0.0545315, -0.0726744, -0.0940103, -0.128731, -0.151182, -0.176715, -0.184592, -0.186463, -0.182457, -0.170484, -0.157098, -0.130318, -0.103118, -0.0943587, -0.0781496, -0.0677916, -0.0458507, -0.0385649, -0.0302479, -0.0200453, -0.0140991, -0.0110734, -0.00767878, -0.00493754, -0.00340646, -0.00171395, -0.000355275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.561604, 0.634023, 0.721185, 0.762005, 0.818968, 0.918663, 1.11345, 1.14745, 1.17729, 1.20738, 1.22665, 1.2413, 1.27942, 1.32988, 1.34249, 1.44181, 1.47575, 1.51977, 1.56714, 1.59374, 1.6298, 1.68135, 1.74358, 1.80054, 1.8575, 1.97143, 2.08535, 2.19928, 2.42713");
-            values ( \
-              "-0.0621881, -0.0626014, -0.101895, -0.118771, -0.141336, -0.178571, -0.24609, -0.255382, -0.259058, -0.256218, -0.249189, -0.239437, -0.209292, -0.162484, -0.153276, -0.0969998, -0.0806333, -0.0620275, -0.0466994, -0.0393469, -0.0314935, -0.0222697, -0.0145983, -0.00991737, -0.00645728, -0.00313377, -0.00172855, -0.00102974, -0.000371452" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0120489, 0.0120804, 0.0121187, 0.0121567, 0.0121877, 0.0122092", \
-            "0.0142173, 0.0142416, 0.0142756, 0.0143146, 0.0143509, 0.0143786", \
-            "0.0155427, 0.0155534, 0.0155714, 0.0155963, 0.0156246, 0.0156496", \
-            "0.0163757, 0.0163765, 0.0163795, 0.0163845, 0.0163946, 0.0164076", \
-            "0.0168608, 0.0168553, 0.0168475, 0.0168385, 0.0168308, 0.0168274", \
-            "0.0171402, 0.0171328, 0.0171212, 0.0171052, 0.0170866, 0.0170693" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0167912, 0.0168214, 0.0168611, 0.0169073, 0.0169485, 0.0169786", \
-            "0.0186636, 0.0186661, 0.018675, 0.0186905, 0.0187084, 0.0187235", \
-            "0.0200155, 0.0199795, 0.019935, 0.0198901, 0.019854, 0.0198292", \
-            "0.0210887, 0.0210031, 0.0208994, 0.0207823, 0.0206755, 0.020591", \
-            "0.0221457, 0.0219878, 0.0217806, 0.0215522, 0.0213432, 0.0211817", \
-            "0.0205574, 0.0214279, 0.0222302, 0.0222738, 0.021946, 0.0216479" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.091346, 0.106317, 0.134319, 0.186777, 0.285432, 0.471757", \
-            "0.0960457, 0.111098, 0.139262, 0.191889, 0.290784, 0.477182", \
-            "0.108036, 0.123019, 0.151115, 0.203883, 0.302945, 0.489591", \
-            "0.138327, 0.152756, 0.180295, 0.232569, 0.3314, 0.5181", \
-            "0.198892, 0.217395, 0.248912, 0.300703, 0.397744, 0.582931", \
-            "0.290883, 0.315941, 0.360434, 0.433976, 0.549551, 0.733948" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.141882, 0.162439, 0.200562, 0.274074, 0.41212, 0.674641", \
-            "0.141819, 0.16241, 0.200555, 0.274045, 0.412123, 0.674624", \
-            "0.14102, 0.162014, 0.200469, 0.274032, 0.412097, 0.674626", \
-            "0.148908, 0.167055, 0.202033, 0.273519, 0.411993, 0.674589", \
-            "0.189404, 0.204338, 0.232409, 0.291927, 0.416401, 0.674347", \
-            "0.274168, 0.293335, 0.325301, 0.378756, 0.480349, 0.701281" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0731341, 0.0834394, 0.102582, 0.138196, 0.204848, 0.330402", \
-            "0.077747, 0.0880885, 0.10729, 0.14299, 0.209741, 0.335342", \
-            "0.0881463, 0.0984684, 0.117794, 0.15362, 0.220487, 0.346248", \
-            "0.111433, 0.122314, 0.141123, 0.177206, 0.244164, 0.370042", \
-            "0.141216, 0.15682, 0.183709, 0.227914, 0.297995, 0.42367", \
-            "0.162328, 0.184549, 0.223112, 0.287071, 0.388116, 0.541006" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0780676, 0.0909362, 0.115869, 0.163454, 0.254128, 0.426266", \
-            "0.0781396, 0.0909122, 0.115865, 0.163387, 0.254112, 0.426243", \
-            "0.0778939, 0.0906515, 0.115805, 0.163383, 0.254121, 0.426261", \
-            "0.0893043, 0.10001, 0.121265, 0.165374, 0.254017, 0.426242", \
-            "0.127188, 0.139056, 0.160339, 0.197372, 0.271996, 0.429574", \
-            "0.190959, 0.206844, 0.235206, 0.283197, 0.361316, 0.494523" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0304498, 0.032621, 0.035833, 0.038516, 0.046546, 0.0530859, 0.0550749, 0.059053, 0.0670091, 0.0695571, 0.0746532, 0.0851535, 0.0971646, 0.102892, 0.112275, 0.120125, 0.124296, 0.132637, 0.141617, 0.149905, 0.155367, 0.155777, 0.156596, 0.172799, 0.179205, 0.186924, 0.197112, 0.204068, 0.211244, 0.218502, 0.228425, 0.245736, 0.254454, 0.25513, 0.280568, 0.300883, 0.314103, 0.343326, 0.371697, 0.409158, 0.453083, 0.529002, 0.609987");
-            values ( \
-              "0.0580461, 0.0628761, 0.0594228, 0.0550848, 0.0492413, 0.0476167, 0.0465018, 0.0461623, 0.0440916, 0.0440058, 0.0425644, 0.0401575, 0.0357, 0.0342258, 0.0305389, 0.029396, 0.0265599, 0.0233153, 0.019325, 0.0179707, 0.0167225, 0.0170148, 0.0170026, 0.015691, 0.0160464, 0.0156932, 0.0143455, 0.0129226, 0.0119345, 0.0114778, 0.0113282, 0.00998349, 0.00908191, 0.00916419, 0.00623138, 0.00467032, 0.00392582, 0.00266172, 0.00180515, 0.00106569, 0.000583631, 0.000160152, 5.98509e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0304369, 0.0338409, 0.0412293, 0.0451815, 0.0512329, 0.0613689, 0.0786602, 0.0925244, 0.0971773, 0.128588, 0.13799, 0.14254, 0.161925, 0.168571, 0.175784, 0.17714, 0.179853, 0.185278, 0.192775, 0.202778, 0.215314, 0.224003, 0.234729, 0.243584, 0.253781, 0.264288, 0.303709, 0.313832, 0.340581, 0.344028, 0.350922, 0.382393, 0.418712, 0.448679, 0.489334, 0.545367, 0.626352, 0.707337");
-            values ( \
-              "0.0495214, 0.0969778, 0.0847059, 0.0809708, 0.0772471, 0.0740086, 0.0699445, 0.0659197, 0.0643202, 0.0509342, 0.0478458, 0.0445877, 0.033889, 0.0320376, 0.030432, 0.0306441, 0.0302262, 0.029704, 0.0285985, 0.0264157, 0.0230298, 0.0222227, 0.0202678, 0.0207263, 0.0199702, 0.0189998, 0.0131644, 0.0113831, 0.00796798, 0.00774964, 0.00700537, 0.00473952, 0.00301389, 0.00213498, 0.00120404, 0.000631238, 0.000152552, 9.89491e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.030405, 0.0355686, 0.0410827, 0.0450877, 0.0511034, 0.0597562, 0.0952603, 0.119337, 0.135235, 0.166846, 0.192277, 0.203403, 0.210405, 0.218476, 0.230935, 0.252987, 0.26173, 0.272283, 0.275585, 0.279987, 0.287476, 0.29947, 0.312836, 0.388732, 0.418855, 0.454022, 0.500567, 0.506365, 0.517962, 0.587544, 0.648047, 0.729032, 0.810017");
-            values ( \
-              "0.0491267, 0.134137, 0.123549, 0.118234, 0.113622, 0.110137, 0.101649, 0.0943504, 0.0880897, 0.0741369, 0.0582159, 0.0533222, 0.0516638, 0.0506861, 0.0483489, 0.0411336, 0.0374501, 0.0337125, 0.0336402, 0.0331894, 0.0332042, 0.0325225, 0.0311425, 0.0167719, 0.0121735, 0.0083893, 0.00511332, 0.00497936, 0.00425219, 0.00198159, 0.00114479, 0.000344981, 0.00025032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0304997, 0.0381925, 0.0531507, 0.0616372, 0.125948, 0.173894, 0.215664, 0.262985, 0.297588, 0.333593, 0.344363, 0.354892, 0.380439, 0.428998, 0.530968, 0.619792, 0.709665, 0.826409, 0.842834");
-            values ( \
-              "0.0657773, 0.167755, 0.148881, 0.145995, 0.133234, 0.120844, 0.105851, 0.0822646, 0.0750444, 0.060886, 0.0581197, 0.058052, 0.0535563, 0.040869, 0.0217214, 0.0110591, 0.00541977, 0.00209671, 0.00197212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0360543, 0.0360743, 0.126833, 0.182843, 0.235715, 0.300991, 0.324947, 0.370931, 0.380177, 0.403819, 0.417135, 0.430144, 0.459132, 0.470419, 0.4964, 0.526254, 0.632724, 0.680541, 0.756363, 0.803384, 0.836823, 0.884019, 0.941244, 0.986596, 1.02908, 1.08572, 1.1667, 1.32867, 1.57163");
-            values ( \
-              "1e-22, 0.195657, 0.166622, 0.159154, 0.150809, 0.136751, 0.129615, 0.112819, 0.110262, 0.106753, 0.103945, 0.100432, 0.0903785, 0.0907963, 0.087697, 0.0806452, 0.0529405, 0.042937, 0.0310927, 0.0249294, 0.0211565, 0.0166901, 0.0125253, 0.00973844, 0.00775159, 0.00583079, 0.00362034, 0.0014345, 0.000464731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0396719, 0.0396919, 0.185174, 0.290723, 0.371708, 0.483526, 0.585827, 0.629267, 0.667057, 0.681038, 0.709241, 0.74052, 0.951872, 1.03286, 1.14658, 1.28821, 1.45183, 1.60112, 1.73127, 1.89323, 2.0552, 2.13662");
-            values ( \
-              "1e-22, 0.218323, 0.185637, 0.177493, 0.170109, 0.156985, 0.135665, 0.129999, 0.122823, 0.123774, 0.122157, 0.117209, 0.0751651, 0.0609702, 0.0444036, 0.0296891, 0.0180676, 0.0112013, 0.0073683, 0.00430944, 0.00251488, 0.00202256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0475592, 0.0518317, 0.0537929, 0.0552247, 0.0571937, 0.0668685, 0.0725085, 0.0817647, 0.101225, 0.12159, 0.12566, 0.14022, 0.148457, 0.157502, 0.16448, 0.172291, 0.186545, 0.193361, 0.200283, 0.207747, 0.215801, 0.224055, 0.232899, 0.241118, 0.259869, 0.268877, 0.273499, 0.298095, 0.308019, 0.318521, 0.334365, 0.363465, 0.390516, 0.425082, 0.47117, 0.542542, 0.623527");
-            values ( \
-              "0.00820122, 0.0532397, 0.0591746, 0.0597019, 0.0587846, 0.0505266, 0.0477254, 0.0452189, 0.0407818, 0.0340753, 0.0324791, 0.028444, 0.0243056, 0.020502, 0.0185225, 0.0171762, 0.0160514, 0.0156813, 0.0161087, 0.0153798, 0.0142941, 0.0127811, 0.0115447, 0.0115798, 0.0104296, 0.00943947, 0.00920301, 0.00633768, 0.00551575, 0.00473509, 0.00384488, 0.00260958, 0.00180047, 0.0011067, 0.000589286, 0.000174765, 6.69444e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0476876, 0.053097, 0.0545179, 0.0569647, 0.0668227, 0.070276, 0.0771826, 0.0974162, 0.114877, 0.122452, 0.147205, 0.155768, 0.172146, 0.181727, 0.195893, 0.203172, 0.219601, 0.22964, 0.239859, 0.242651, 0.248236, 0.256319, 0.26602, 0.276269, 0.287232, 0.342874, 0.35869, 0.385784, 0.403219, 0.425933, 0.460677, 0.498923, 0.562231, 0.5959");
-            values ( \
-              "0.00369416, 0.0883913, 0.0917546, 0.0924243, 0.0814166, 0.0787917, 0.0752701, 0.0699464, 0.0647004, 0.0617869, 0.0509779, 0.0482956, 0.0383226, 0.0334576, 0.030292, 0.0298786, 0.027002, 0.0238587, 0.0226664, 0.0219041, 0.0210967, 0.0204633, 0.0205984, 0.0196984, 0.0183967, 0.00998047, 0.00807147, 0.00578692, 0.00465232, 0.00353077, 0.00229283, 0.00139048, 0.000609956, 0.000432576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0477124, 0.0548969, 0.0573571, 0.0700875, 0.0764864, 0.0836796, 0.125653, 0.138201, 0.158113, 0.18619, 0.210956, 0.222155, 0.229194, 0.23733, 0.249599, 0.271717, 0.291122, 0.318371, 0.331739, 0.407587, 0.437702, 0.472875, 0.519397, 0.559963, 0.606323, 0.666722, 0.747707, 0.828691");
-            values ( \
-              "0.00262824, 0.129052, 0.131007, 0.115829, 0.111655, 0.109073, 0.0985175, 0.0942757, 0.0863152, 0.07387, 0.0582946, 0.0534292, 0.0516148, 0.0507273, 0.0483439, 0.0411214, 0.0336504, 0.0325805, 0.0310708, 0.0168373, 0.0122394, 0.00845397, 0.00517884, 0.00341501, 0.00204642, 0.00108399, 0.000408949, 0.000187594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0535896, 0.0536097, 0.105574, 0.144906, 0.179639, 0.201162, 0.23724, 0.286564, 0.315768, 0.352275, 0.363419, 0.373601, 0.386136, 0.448055, 0.549837, 0.589565, 0.639109, 0.72837, 0.845219, 0.89035");
-            values ( \
-              "1e-22, 0.169684, 0.140931, 0.133294, 0.124832, 0.118213, 0.104727, 0.0808332, 0.0751374, 0.0607762, 0.0582665, 0.0580067, 0.0561594, 0.0408778, 0.0217541, 0.0161771, 0.0110143, 0.00544041, 0.00210902, 0.00176275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0550253, 0.0550453, 0.12971, 0.202193, 0.257193, 0.316385, 0.33483, 0.399613, 0.424525, 0.44142, 0.477529, 0.488012, 0.514824, 0.651854, 0.6998, 0.775782, 0.822748, 0.903195, 0.959972, 1.02003, 1.10567, 1.18665, 1.26763, 1.4296, 1.59157");
-            values ( \
-              "1e-22, 0.197457, 0.168933, 0.159164, 0.150347, 0.137732, 0.13283, 0.110332, 0.1066, 0.1026, 0.0907902, 0.0906534, 0.0877918, 0.0530044, 0.042929, 0.0311162, 0.0248883, 0.0167585, 0.0125114, 0.00911649, 0.00575707, 0.00366338, 0.00236904, 0.000975208, 0.000407009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0578693, 0.0578893, 0.207674, 0.310045, 0.380972, 0.502783, 0.604228, 0.667395, 0.686894, 0.70079, 0.728804, 0.759671, 0.970455, 1.05144, 1.11743, 1.16626, 1.3081, 1.40618, 1.54978, 1.67721, 1.75399, 1.91596, 2.07793, 2.21202");
-            values ( \
-              "1e-22, 0.214819, 0.185431, 0.177499, 0.171142, 0.156835, 0.135839, 0.127051, 0.122722, 0.123768, 0.122129, 0.11725, 0.0752824, 0.0611047, 0.0507209, 0.0443418, 0.0296235, 0.0221371, 0.0141208, 0.00930946, 0.00727802, 0.0042535, 0.00247962, 0.0016848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0755487, 0.0855006, 0.102515, 0.112551, 0.114442, 0.117072, 0.131096, 0.146583, 0.173691, 0.181473, 0.185668, 0.203014, 0.21674, 0.217969, 0.234221, 0.24063, 0.248355, 0.258503, 0.27275, 0.279928, 0.28994, 0.307142, 0.315764, 0.31643, 0.342745, 0.362959, 0.40365, 0.432756, 0.47349, 0.521912, 0.5987, 0.679685");
-            values ( \
-              "0.00303848, 0.0233296, 0.0380292, 0.0451475, 0.0492904, 0.0511223, 0.0446117, 0.0400328, 0.0307638, 0.0292792, 0.0267119, 0.0194471, 0.0168396, 0.0170259, 0.0157016, 0.0160258, 0.0156869, 0.0143402, 0.0119429, 0.0115294, 0.0113476, 0.00998732, 0.00908934, 0.00918498, 0.00613472, 0.00461421, 0.00268815, 0.00180143, 0.00100959, 0.000532802, 0.000127972, 6.47962e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0756035, 0.0878255, 0.102304, 0.11253, 0.114344, 0.117273, 0.127442, 0.133415, 0.158557, 0.179273, 0.190184, 0.19872, 0.21419, 0.223993, 0.238492, 0.245639, 0.262133, 0.272205, 0.282423, 0.28526, 0.290935, 0.29903, 0.308808, 0.319165, 0.330127, 0.361842, 0.373083, 0.401123, 0.413217, 0.445979, 0.480064, 0.540206, 0.603448, 0.684433, 0.765417");
-            values ( \
-              "0.00106728, 0.0396626, 0.0598063, 0.0720299, 0.0781429, 0.081743, 0.075189, 0.0724576, 0.0643201, 0.055927, 0.0508857, 0.0481885, 0.038646, 0.0336002, 0.0302836, 0.0299006, 0.0270078, 0.0238622, 0.0226549, 0.0219, 0.0210726, 0.0205218, 0.0206066, 0.0197021, 0.018341, 0.013682, 0.0117168, 0.00805998, 0.00693065, 0.00461683, 0.00301753, 0.00138953, 0.000645702, 0.000162033, 9.77307e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.075726, 0.0955899, 0.112624, 0.115575, 0.120001, 0.134293, 0.154982, 0.156846, 0.160574, 0.16803, 0.179184, 0.180914, 0.184372, 0.191289, 0.207483, 0.218824, 0.227792, 0.238514, 0.264827, 0.271885, 0.280041, 0.292428, 0.301613, 0.314496, 0.323273, 0.333864, 0.334965, 0.337168, 0.341574, 0.348654, 0.36078, 0.374072, 0.388285, 0.403339, 0.413536, 0.432974, 0.45025, 0.473943, 0.480394, 0.493297, 0.515572, 0.541605, 0.562113, 0.567881, 0.579417, 0.60249, 0.637759, 0.691097, 0.762998, 0.843983");
-            values ( \
-              "0.00381744, 0.0722199, 0.104276, 0.117717, 0.118186, 0.107764, 0.101681, 0.102104, 0.100179, 0.0991125, 0.0944814, 0.0948908, 0.0925063, 0.0908794, 0.083961, 0.0775363, 0.0749293, 0.0672742, 0.0528633, 0.0521451, 0.050144, 0.048866, 0.0450512, 0.0416639, 0.0369269, 0.0342603, 0.0331545, 0.0342334, 0.0326756, 0.0338029, 0.0319925, 0.0317626, 0.0279791, 0.0263433, 0.0230739, 0.0207403, 0.0162107, 0.0137904, 0.0116082, 0.011379, 0.00782189, 0.00710621, 0.00454492, 0.00555359, 0.00368471, 0.00405773, 0.00168406, 0.00196552, 1e-22, 0.000889293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0759126, 0.107542, 0.116056, 0.11776, 0.120862, 0.135351, 0.144153, 0.187904, 0.221162, 0.243165, 0.281587, 0.320032, 0.332442, 0.352917, 0.35863, 0.384199, 0.394915, 0.406106, 0.41638, 0.429345, 0.442484, 0.472831, 0.491312, 0.531467, 0.592723, 0.632568, 0.653519, 0.681968, 0.723401, 0.771331, 0.8197, 0.88808, 0.966661, 1.12863");
-            values ( \
-              "0.00980255, 0.123491, 0.152882, 0.154743, 0.154698, 0.144925, 0.142039, 0.133276, 0.125279, 0.118557, 0.104148, 0.0842426, 0.0799465, 0.0766407, 0.0751907, 0.0661347, 0.0609341, 0.0582949, 0.058073, 0.056125, 0.0535073, 0.0451456, 0.0408035, 0.0326472, 0.0217536, 0.0161902, 0.0137782, 0.0110415, 0.00794472, 0.00542442, 0.00366755, 0.00209404, 0.00108775, 0.00028016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0755918, 0.108806, 0.118681, 0.122711, 0.132612, 0.142477, 0.158943, 0.245057, 0.281083, 0.300062, 0.359212, 0.384898, 0.432078, 0.443883, 0.470081, 0.483929, 0.49987, 0.519636, 0.543087, 0.556614, 0.572138, 0.694447, 0.742557, 0.775588, 0.818729, 0.865719, 0.898931, 0.94572, 1.00233, 1.04843, 1.09158, 1.14912, 1.2301, 1.39207, 1.63503");
-            values ( \
-              "0.00333274, 0.151549, 0.185102, 0.184332, 0.177166, 0.173633, 0.170896, 0.159171, 0.153752, 0.150337, 0.137586, 0.130627, 0.113178, 0.109974, 0.106115, 0.102713, 0.0982045, 0.0909541, 0.0897019, 0.0879501, 0.0845849, 0.0530443, 0.0429637, 0.0374647, 0.0310824, 0.0248934, 0.0211977, 0.0167661, 0.0125643, 0.00979164, 0.00777355, 0.00575815, 0.00363121, 0.00146044, 0.000425637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0911924, 0.120237, 0.135721, 0.14919, 0.207853, 0.353835, 0.43482, 0.546633, 0.648934, 0.692386, 0.730301, 0.744223, 0.772396, 0.803519, 1.01478, 1.09576, 1.20978, 1.35149, 1.41744, 1.51401, 1.66389, 1.79564, 2.0386, 2.18164");
-            values ( \
-              "0.125667, 0.207033, 0.197917, 0.194797, 0.189073, 0.177504, 0.170103, 0.156974, 0.135657, 0.130012, 0.122823, 0.123767, 0.122139, 0.117218, 0.075214, 0.0609911, 0.044405, 0.029687, 0.0243798, 0.018104, 0.0111973, 0.00735525, 0.00318321, 0.00197588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124502, 0.198794, 0.207789, 0.22578, 0.227593, 0.23122, 0.238475, 0.245367, 0.248918, 0.251947, 0.255735, 0.269719, 0.276075, 0.293108, 0.301737, 0.310286, 0.315424, 0.321406, 0.328453, 0.335328, 0.342229, 0.34921, 0.356834, 0.365118, 0.37366, 0.381915, 0.400134, 0.409031, 0.414582, 0.422407, 0.429849, 0.448843, 0.459519, 0.464661, 0.474944, 0.488546, 0.503746, 0.5253, 0.531225, 0.543076, 0.607052, 0.680282, 0.761266");
-            values ( \
-              "0.00043097, 0.0278165, 0.0304406, 0.0352981, 0.0354352, 0.0363028, 0.0372793, 0.0377597, 0.0376482, 0.0389451, 0.0380483, 0.0320129, 0.0305178, 0.0226055, 0.0194291, 0.0175773, 0.0168898, 0.0166752, 0.0158099, 0.0158258, 0.0160048, 0.0153066, 0.014255, 0.0127213, 0.0117004, 0.0116749, 0.0104705, 0.00957637, 0.0091436, 0.00826691, 0.00730464, 0.00544858, 0.00479447, 0.00438705, 0.0039299, 0.0031899, 0.00269898, 0.00192008, 0.00187138, 0.00149229, 0.00056884, 0.000249654, 2.54473e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.137353, 0.155052, 0.170951, 0.202288, 0.2161, 0.237725, 0.24889, 0.252373, 0.256702, 0.27569, 0.29343, 0.312927, 0.322369, 0.331332, 0.351535, 0.360001, 0.370107, 0.380259, 0.383211, 0.389115, 0.397251, 0.407241, 0.417419, 0.459358, 0.470637, 0.498225, 0.512116, 0.544764, 0.576037, 0.625185, 0.68148, 0.754438, 0.835423");
-            values ( \
-              "0.00969164, 0.0184477, 0.0287734, 0.0462705, 0.0531144, 0.0620525, 0.0650092, 0.0673974, 0.0666437, 0.0568083, 0.0495619, 0.0379573, 0.0334745, 0.0311179, 0.0286339, 0.0268743, 0.023889, 0.0225777, 0.0218649, 0.0210536, 0.0207157, 0.020691, 0.0197261, 0.0137091, 0.0117268, 0.00812575, 0.00683434, 0.00456289, 0.00309099, 0.00164985, 0.000830936, 0.000263235, 0.000125367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.135418, 0.16033, 0.178359, 0.199285, 0.214349, 0.230025, 0.2442, 0.248924, 0.251911, 0.254593, 0.258242, 0.271641, 0.290631, 0.303971, 0.325198, 0.351948, 0.362713, 0.369516, 0.377301, 0.388719, 0.39783, 0.41058, 0.425805, 0.432281, 0.442458, 0.452069, 0.463213, 0.47646, 0.49083, 0.507006, 0.547925, 0.570659, 0.59143, 0.613786, 0.638748, 0.665101, 0.699073, 0.744371, 0.799731, 0.880716, 0.961701");
-            values ( \
-              "0.00670928, 0.0312517, 0.0477564, 0.0652655, 0.0768681, 0.088215, 0.0969841, 0.0994017, 0.103481, 0.104642, 0.103391, 0.0971857, 0.0896178, 0.0840043, 0.0743437, 0.0576125, 0.0532159, 0.0514704, 0.0507189, 0.0484439, 0.0459247, 0.0414305, 0.0356061, 0.0336081, 0.0334294, 0.0330389, 0.0322451, 0.0302367, 0.0276377, 0.0244005, 0.0167675, 0.0131876, 0.0105953, 0.0084088, 0.00643298, 0.00486897, 0.00339359, 0.00214145, 0.00112092, 0.000495656, 0.000150223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.135635, 0.171182, 0.195064, 0.215107, 0.234736, 0.248855, 0.252091, 0.258562, 0.270791, 0.284597, 0.297421, 0.319296, 0.341451, 0.373196, 0.385655, 0.411248, 0.421101, 0.431615, 0.440111, 0.45774, 0.480036, 0.492848, 0.503385, 0.514073, 0.525703, 0.539335, 0.569611, 0.588083, 0.628021, 0.689225, 0.718647, 0.72914, 0.750125, 0.776881, 0.819562, 0.868566, 0.916267, 0.984924, 1.0626, 1.14359, 1.22457");
-            values ( \
-              "0.00465144, 0.0532465, 0.0811223, 0.102921, 0.122538, 0.135256, 0.143702, 0.141886, 0.136574, 0.133315, 0.130685, 0.124956, 0.118143, 0.106374, 0.100619, 0.0866311, 0.0824994, 0.0793179, 0.0784565, 0.074492, 0.0663543, 0.0604745, 0.0583824, 0.0580518, 0.0562212, 0.053459, 0.0450954, 0.0407509, 0.0326397, 0.0217497, 0.0174926, 0.016202, 0.0137587, 0.0112004, 0.00795514, 0.00538026, 0.00368215, 0.00207476, 0.00110839, 0.000546635, 0.000296152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.155164, 0.179752, 0.22656, 0.253792, 0.260058, 0.265837, 0.277291, 0.341682, 0.378868, 0.4247, 0.463788, 0.474482, 0.53372, 0.54367, 0.567401, 0.580612, 0.59743, 0.614365, 0.637314, 0.650645, 0.666066, 0.790385, 0.838897, 0.872561, 0.915538, 0.962482, 0.995405, 1.04169, 1.09749, 1.14457, 1.18858, 1.24726, 1.32824, 1.49021, 1.73317");
-            values ( \
-              "0.0632043, 0.0754395, 0.138863, 0.172393, 0.174484, 0.171604, 0.168291, 0.159295, 0.153599, 0.1447, 0.1358, 0.132709, 0.111564, 0.109236, 0.105878, 0.102707, 0.0978742, 0.0914112, 0.0900314, 0.088374, 0.0852448, 0.0531831, 0.043007, 0.0373979, 0.0310459, 0.0248686, 0.0212126, 0.0168235, 0.0126495, 0.0098214, 0.00776372, 0.00570065, 0.00360781, 0.00145585, 0.000413667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.155533, 0.249104, 0.263363, 0.282061, 0.489642, 0.543542, 0.642946, 0.753858, 0.833272, 0.847256, 0.880967, 1.11438, 1.25858, 1.36495, 1.48857, 1.61802, 1.69901, 1.81328, 1.96162, 2.12359, 2.28556, 2.34635");
-            values ( \
-              "0.0529848, 0.186664, 0.197042, 0.19138, 0.174156, 0.168745, 0.156683, 0.134267, 0.122794, 0.123873, 0.120558, 0.074657, 0.0506512, 0.0376895, 0.0263145, 0.0176766, 0.0137337, 0.0094217, 0.00575316, 0.00332537, 0.00190679, 0.00170083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.257236, 0.290363, 0.298044, 0.321443, 0.350223, 0.38885, 0.415475, 0.427809, 0.444254, 0.452776, 0.474056, 0.487658, 0.528049, 0.545421, 0.553303, 0.558519, 0.58678, 0.594986, 0.613195, 0.659134, 0.694147, 0.731493, 0.779905, 0.873947");
-            values ( \
-              "0.0034028, 0.00451476, 0.00526503, 0.00856859, 0.0141753, 0.0196193, 0.0225888, 0.0236765, 0.0244504, 0.0244875, 0.0239961, 0.0245151, 0.0167348, 0.0156043, 0.0168754, 0.0169389, 0.0127668, 0.0126422, 0.0113668, 0.00626872, 0.00392019, 0.00236305, 0.00119313, 0.000339815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.275203, 0.311306, 0.327449, 0.350113, 0.374591, 0.400478, 0.434013, 0.463758, 0.492552, 0.506237, 0.521095, 0.536229, 0.550164, 0.55364, 0.563335, 0.573766, 0.583058, 0.59269, 0.604861, 0.610111, 0.617811, 0.626689, 0.637583, 0.691088, 0.71814, 0.733187, 0.766291, 0.796771, 0.836254, 0.888897, 0.962471, 1.04346");
-            values ( \
-              "0.0112684, 0.011284, 0.0154837, 0.0224583, 0.0286041, 0.0340743, 0.0401812, 0.0434382, 0.0430774, 0.0440925, 0.0403043, 0.0344286, 0.0305288, 0.0315613, 0.0304997, 0.0285062, 0.0259007, 0.0240125, 0.022228, 0.0219031, 0.0219759, 0.0213965, 0.0202186, 0.0115514, 0.00812839, 0.00673884, 0.00447009, 0.00304575, 0.0018311, 0.00101274, 0.000283835, 0.000173504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.257276, 0.302965, 0.308141, 0.318491, 0.363089, 0.390295, 0.420428, 0.458579, 0.492146, 0.537582, 0.550243, 0.553294, 0.581205, 0.588851, 0.596088, 0.608017, 0.647897, 0.653017, 0.663258, 0.673549, 0.699964, 0.766625, 0.797431, 0.836255, 0.862029, 0.880386, 0.914561, 0.948742, 0.998127, 1.07562, 1.15661");
-            values ( \
-              "0.00599869, 0.014688, 0.0161748, 0.0194243, 0.0378078, 0.0472658, 0.0566363, 0.0672843, 0.0734296, 0.0745054, 0.0707977, 0.0705141, 0.0540258, 0.0518967, 0.0509905, 0.0485948, 0.0347777, 0.0350663, 0.0339589, 0.033854, 0.0299452, 0.0169183, 0.0122378, 0.00814555, 0.00624411, 0.0051225, 0.00360982, 0.00248133, 0.00148291, 0.000597131, 0.000261363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275243, 0.314001, 0.394431, 0.452374, 0.497864, 0.536836, 0.550204, 0.555784, 0.561898, 0.588085, 0.640213, 0.650295, 0.659014, 0.674937, 0.699505, 0.711445, 0.732225, 0.744548, 0.789575, 0.830675, 0.899903, 0.936785, 0.974339, 1.04056, 1.07459, 1.11964, 1.18549, 1.26091, 1.34189, 1.42288");
-            values ( \
-              "0.0216741, 0.0246713, 0.0649309, 0.0898997, 0.10693, 0.117213, 0.119016, 0.120477, 0.119303, 0.108541, 0.0821932, 0.0792919, 0.0782011, 0.0746767, 0.0658401, 0.060314, 0.0584906, 0.0566769, 0.0447105, 0.0357872, 0.0230102, 0.0175058, 0.0131257, 0.00777053, 0.00591799, 0.00419972, 0.00237225, 0.00134586, 0.000612784, 0.000385199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.275014, 0.331849, 0.37849, 0.450517, 0.501852, 0.549975, 0.55379, 0.558989, 0.572075, 0.613727, 0.64175, 0.680685, 0.691276, 0.750803, 0.760753, 0.7711, 0.790153, 0.803651, 0.830159, 0.840511, 0.852387, 0.865714, 0.881002, 1.00727, 1.05579, 1.08946, 1.13244, 1.17945, 1.20459, 1.25487, 1.30936, 1.37543, 1.4071, 1.47044, 1.55142, 1.63241, 1.71339, 1.79437, 1.95634");
-            values ( \
-              "0.0193943, 0.0405919, 0.0692266, 0.109747, 0.136124, 0.157722, 0.160483, 0.16142, 0.158182, 0.150593, 0.144881, 0.136009, 0.132835, 0.111508, 0.109179, 0.107779, 0.104573, 0.101017, 0.0918874, 0.0909329, 0.090483, 0.0887586, 0.0858018, 0.0531801, 0.0430171, 0.0373978, 0.0310444, 0.0248578, 0.0220755, 0.017138, 0.0129622, 0.00913798, 0.00771554, 0.00547218, 0.00351012, 0.00223846, 0.00143568, 0.000908272, 0.000365229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.274686, 0.558776, 0.572682, 0.758296, 0.857714, 0.968298, 1.00705, 1.04373, 1.05744, 1.08965, 1.11164, 1.30671, 1.45716, 1.55086, 1.69214, 1.87731, 1.98989, 2.13407, 2.29604, 2.45801, 2.5617");
-            values ( \
-              "0.0176209, 0.186952, 0.186465, 0.16881, 0.157078, 0.134329, 0.129498, 0.122605, 0.124021, 0.121491, 0.117764, 0.0788587, 0.0530235, 0.040834, 0.0271719, 0.0153329, 0.0106531, 0.00660603, 0.00381825, 0.00218535, 0.00179786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.518333, 0.584872, 0.608271, 0.641175, 0.675601, 0.723445, 0.769065, 0.815497, 0.857349, 0.878242, 0.898751, 0.90509, 0.911633, 0.942803, 0.956399, 0.969843, 0.980935, 0.988671, 0.994885, 1.00508, 1.01454, 1.02443, 1.03288, 1.04337, 1.05162, 1.06782, 1.09248, 1.123, 1.15486, 1.18842, 1.21594, 1.22848, 1.26232, 1.29972, 1.33612, 1.40893, 1.48991");
-            values ( \
-              "0.00196965, 0.00197076, 0.00251102, 0.00357816, 0.00546113, 0.00955533, 0.0129238, 0.0153133, 0.0160359, 0.0156039, 0.0157236, 0.0160996, 0.0158732, 0.0131158, 0.0122789, 0.0119454, 0.0121646, 0.0137146, 0.0140734, 0.014343, 0.0139215, 0.0128887, 0.0128535, 0.0130591, 0.0127196, 0.01157, 0.00859782, 0.00610897, 0.00419922, 0.00273701, 0.00199552, 0.00214359, 0.00139191, 0.000833295, 0.000471832, 0.000166827, 3.06445e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.51809, 0.590313, 0.626558, 0.660034, 0.708744, 0.765236, 0.812502, 0.834141, 0.877418, 0.879627, 0.884045, 0.892882, 0.908297, 0.94371, 0.955629, 0.988, 1.00255, 1.02245, 1.03423, 1.04543, 1.05646, 1.06578, 1.07525, 1.07708, 1.08074, 1.11885, 1.15483, 1.18055, 1.2098, 1.21575, 1.22765, 1.28621, 1.31099, 1.36055, 1.43873, 1.51972");
-            values ( \
-              "0.00326357, 0.00362751, 0.00525029, 0.00753361, 0.0132597, 0.0206746, 0.0250815, 0.0264359, 0.0283569, 0.0281163, 0.028319, 0.0280654, 0.0280073, 0.0286753, 0.0263699, 0.0228757, 0.0230938, 0.0238395, 0.0226814, 0.022993, 0.0215832, 0.0230941, 0.0224756, 0.0226551, 0.0224627, 0.0176834, 0.0117613, 0.0088548, 0.00635026, 0.0061327, 0.00608882, 0.00305686, 0.00225396, 0.00116579, 0.000405622, 0.000118596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.518274, 0.600748, 0.635364, 0.673883, 0.693672, 0.756752, 0.789693, 0.843159, 0.86805, 0.917832, 0.967355, 0.975412, 0.984511, 1.02638, 1.04056, 1.05186, 1.06118, 1.07334, 1.0846, 1.09973, 1.11065, 1.12116, 1.13216, 1.13575, 1.14294, 1.16593, 1.21514, 1.22058, 1.27867, 1.30849, 1.34042, 1.37086, 1.41693, 1.47834, 1.55933, 1.64031");
-            values ( \
-              "0.00487407, 0.00658786, 0.00924475, 0.0136837, 0.0168443, 0.0291476, 0.034529, 0.0414848, 0.0440704, 0.0477963, 0.0486578, 0.0495161, 0.0494809, 0.0433843, 0.0419873, 0.0419589, 0.0427042, 0.0420764, 0.0407711, 0.0372454, 0.0357301, 0.0365267, 0.0358804, 0.0359621, 0.0352252, 0.0318167, 0.0220476, 0.0222678, 0.0127295, 0.00940113, 0.00674929, 0.00492043, 0.00303144, 0.00154801, 0.000621856, 0.000246148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.518509, 0.619265, 0.640784, 0.696812, 0.765687, 0.827192, 0.910124, 0.952817, 0.985217, 1.04232, 1.0586, 1.07645, 1.1102, 1.12441, 1.14609, 1.16176, 1.18359, 1.20405, 1.21618, 1.2218, 1.28722, 1.35332, 1.4255, 1.49483, 1.56314, 1.60894, 1.68215, 1.74746");
-            values ( \
-              "0.00591329, 0.0119077, 0.0144663, 0.0240695, 0.0412846, 0.0539756, 0.067907, 0.0737973, 0.0769463, 0.0806088, 0.0808612, 0.0790169, 0.0731996, 0.0716696, 0.0709555, 0.0683987, 0.0620133, 0.0615979, 0.0603205, 0.0608456, 0.0431796, 0.0292429, 0.0173958, 0.0101785, 0.00593504, 0.00410598, 0.00224989, 0.00136024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.518197, 0.647079, 0.688671, 0.721378, 0.792095, 0.81387, 0.85742, 0.925337, 1.00632, 1.04857, 1.08773, 1.12453, 1.15763, 1.18777, 1.21589, 1.22786, 1.24134, 1.2822, 1.30796, 1.31655, 1.32572, 1.33867, 1.35411, 1.36987, 1.46517, 1.51315, 1.55376, 1.5938, 1.64719, 1.70772, 1.74101, 1.80563, 1.86346, 1.8925, 1.95059, 2.03157, 2.11256, 2.19354, 2.27453, 2.4365");
-            values ( \
-              "0.00565477, 0.0208087, 0.0290219, 0.0374344, 0.057864, 0.0634393, 0.07388, 0.0890051, 0.105659, 0.113047, 0.118658, 0.122315, 0.124956, 0.12334, 0.117893, 0.116772, 0.110506, 0.103012, 0.0946492, 0.0936007, 0.0931488, 0.0920823, 0.0894711, 0.0858498, 0.0605228, 0.0489337, 0.0413326, 0.0348214, 0.0273198, 0.0203908, 0.017266, 0.0123419, 0.00909382, 0.00779682, 0.00568858, 0.00365358, 0.00232919, 0.00149427, 0.000946606, 0.000382675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.607657, 0.736076, 0.858027, 1.0327, 1.08391, 1.15732, 1.21533, 1.22887, 1.24238, 1.31439, 1.34155, 1.44251, 1.45267, 1.48788, 1.52496, 1.53876, 1.56725, 1.59856, 1.81269, 1.89367, 1.95708, 2.00306, 2.06352, 2.14451, 2.18713, 2.24757, 2.31129, 2.39227, 2.45957, 2.51418, 2.66798, 2.82995, 2.99192, 3.15389, 3.39684");
-            values ( \
-              "0.0338748, 0.0483901, 0.0849594, 0.131064, 0.143475, 0.159657, 0.170226, 0.170866, 0.169558, 0.160704, 0.156926, 0.135825, 0.133998, 0.129832, 0.122974, 0.124243, 0.122341, 0.117261, 0.0746323, 0.0605174, 0.0505965, 0.0445927, 0.0377268, 0.02984, 0.0263448, 0.0219762, 0.0179747, 0.0139716, 0.0111737, 0.00934105, 0.00559351, 0.00322546, 0.0018419, 0.00103854, 0.000539394" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00998556, 0.010007, 0.010033, 0.0100587, 0.0100794, 0.0100935", \
-            "0.0123137, 0.0123348, 0.0123637, 0.0123964, 0.0124263, 0.0124489", \
-            "0.0137421, 0.0137538, 0.0137726, 0.0137981, 0.0138257, 0.0138494", \
-            "0.0145687, 0.0145647, 0.0145623, 0.0145643, 0.0145713, 0.0145815", \
-            "0.0150389, 0.0150208, 0.0149959, 0.0149678, 0.0149436, 0.0149286", \
-            "0.0153148, 0.0152956, 0.0152667, 0.0152271, 0.0151784, 0.0151316" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0146035, 0.0146066, 0.0146169, 0.0146333, 0.0146508, 0.0146647", \
-            "0.0153386, 0.0153048, 0.0152649, 0.0152268, 0.0151966, 0.0151759", \
-            "0.0147954, 0.0147362, 0.0146605, 0.014581, 0.0144981, 0.0144395", \
-            "0.0144036, 0.0143218, 0.014214, 0.014094, 0.0139841, 0.0138885", \
-            "0.0147747, 0.0145628, 0.0143267, 0.0140963, 0.0138914, 0.0137355", \
-            "0.0149695, 0.0149866, 0.0151862, 0.0147065, 0.0142152, 0.0138811" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0248954, 0.027002, 0.0284569, 0.0325458, 0.03599, 0.0406092, 0.0602948, 0.0726271, 0.0778329, 0.0877363, 0.0937759, 0.101703, 0.116191, 0.124605, 0.135793, 0.145741, 0.160046, 0.166948, 0.175123, 0.188007, 0.190405, 0.195201, 0.232546, 0.239348, 0.245967, 0.300086, 0.395595, 0.420486");
-            values ( \
-              "-0.0588324, -0.0656999, -0.0615474, -0.0564551, -0.0549719, -0.054242, -0.0532808, -0.0530334, -0.0540043, -0.0564125, -0.0557431, -0.0514631, -0.0410692, -0.033041, -0.02498, -0.0191059, -0.0124584, -0.00989302, -0.00812862, -0.00697497, -0.00655538, -0.00622835, -0.00174471, -0.00125337, -0.00103898, -0.000870167, -0.000299281, -0.000236795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.024942, 0.0279115, 0.0323674, 0.0360411, 0.038488, 0.0433817, 0.0586066, 0.0666427, 0.0809584, 0.0881945, 0.0950489, 0.108758, 0.124077, 0.135264, 0.142169, 0.151465, 0.160482, 0.172529, 0.180032, 0.196813, 0.203278, 0.210654, 0.21465, 0.220166, 0.225123, 0.234123, 0.261716, 0.270528, 0.288341, 0.361165, 0.437135, 0.550167");
-            values ( \
-              "-0.0642405, -0.103612, -0.093684, -0.0907337, -0.0899596, -0.0889366, -0.0880797, -0.087264, -0.0866281, -0.0881989, -0.0905282, -0.0890542, -0.0749603, -0.062746, -0.0542925, -0.0446961, -0.0365529, -0.0278278, -0.0234256, -0.0147733, -0.012154, -0.00967571, -0.00916871, -0.00802963, -0.0075145, -0.00625456, -0.00419011, -0.00330583, -0.00249073, -0.00133258, -0.000500425, -8.01414e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0262124, 0.0262324, 0.0314382, 0.0359839, 0.0384089, 0.0428016, 0.0922536, 0.0962921, 0.104369, 0.114223, 0.132018, 0.148574, 0.151584, 0.175043, 0.183687, 0.193565, 0.202553, 0.222602, 0.238037, 0.25577, 0.269704, 0.279869, 0.292555, 0.352431, 0.386086, 0.425275, 0.491906, 0.51707");
-            values ( \
-              "-1e-22, -0.163339, -0.14422, -0.138105, -0.136592, -0.135115, -0.13026, -0.130355, -0.131387, -0.134295, -0.130993, -0.113926, -0.111656, -0.0807891, -0.0705338, -0.0599494, -0.0515671, -0.0360393, -0.0266431, -0.017404, -0.0117864, -0.00941786, -0.00795472, -0.00486915, -0.00366488, -0.00249307, -0.00109535, -0.000901595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0268093, 0.0268293, 0.0337214, 0.0382349, 0.0426648, 0.049111, 0.0747489, 0.111954, 0.124671, 0.149834, 0.174998, 0.188499, 0.204655, 0.238299, 0.261623, 0.276259, 0.308049, 0.323199, 0.334014, 0.348314, 0.359489, 0.381838, 0.404629, 0.430688, 0.451387, 0.476346, 0.556369, 0.632828, 0.661529");
-            values ( \
-              "-1e-22, -0.212173, -0.19251, -0.187909, -0.186065, -0.184587, -0.182785, -0.178123, -0.177363, -0.179373, -0.174354, -0.163309, -0.145797, -0.106187, -0.0823535, -0.069446, -0.0446763, -0.03528, -0.030321, -0.0254168, -0.0223828, -0.0173244, -0.0130809, -0.0098438, -0.00806038, -0.00654564, -0.00353234, -0.00175586, -0.00135637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0273923, 0.0274123, 0.0358163, 0.0472662, 0.0974341, 0.170223, 0.19233, 0.216582, 0.23604, 0.256137, 0.276162, 0.35551, 0.420872, 0.446314, 0.467968, 0.493699, 0.538207, 0.604171, 0.638648, 0.704889, 0.746345, 0.843688, 0.965419, 0.97069");
-            values ( \
-              "-1e-22, -0.253022, -0.236244, -0.230907, -0.22725, -0.219462, -0.218688, -0.219268, -0.216708, -0.209013, -0.197649, -0.129193, -0.0772017, -0.0627664, -0.0539256, -0.0450128, -0.032733, -0.0192299, -0.0139609, -0.00794469, -0.00587091, -0.00316833, -0.00146201, -0.0014349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0278241, 0.0278441, 0.0365858, 0.0511263, 0.150363, 0.277195, 0.342183, 0.363862, 0.408977, 0.44818, 0.483292, 0.557115, 0.608459, 0.665326, 0.77316, 0.862227, 0.924049, 0.969095, 1.06143, 1.12296, 1.18453, 1.30765, 1.43078, 1.48034");
-            values ( \
-              "-1e-22, -0.28129, -0.270021, -0.2654, -0.260134, -0.250429, -0.24747, -0.244985, -0.23469, -0.218058, -0.199346, -0.157461, -0.124649, -0.0991624, -0.0633215, -0.0416963, -0.030707, -0.0243316, -0.0147598, -0.010567, -0.00768745, -0.00435324, -0.00260601, -0.00236255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0383861, 0.0472535, 0.0509426, 0.053141, 0.0579422, 0.0612358, 0.0651871, 0.0788423, 0.0882508, 0.0970255, 0.103234, 0.115043, 0.126341, 0.128112, 0.131653, 0.144843, 0.158077, 0.167415, 0.181279, 0.188711, 0.1955, 0.201403, 0.215305, 0.251249, 0.258429, 0.272364, 0.302698, 0.381316, 0.466296, 0.582462");
-            values ( \
-              "-0.00502183, -0.0480556, -0.0537807, -0.0618803, -0.0558862, -0.0547947, -0.0541975, -0.0533061, -0.0530045, -0.0540992, -0.0559876, -0.0551028, -0.0464109, -0.0460216, -0.0433736, -0.032073, -0.0227478, -0.0174933, -0.0113195, -0.00910292, -0.00794621, -0.00752856, -0.00600286, -0.00176473, -0.0011863, -0.000886152, -0.000968578, -0.000423604, -8.64107e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0384966, 0.0524578, 0.0567053, 0.0599085, 0.0635693, 0.0710164, 0.0851813, 0.0993674, 0.107508, 0.113588, 0.12575, 0.130695, 0.142591, 0.168318, 0.186773, 0.194735, 0.215826, 0.229514, 0.233256, 0.238648, 0.243529, 0.252048, 0.25865, 0.267419, 0.279011, 0.287816, 0.306209, 0.407005, 0.498166, 0.55758");
-            values ( \
-              "-0.0126371, -0.100256, -0.0932217, -0.0907985, -0.0894979, -0.0885456, -0.0873259, -0.0865961, -0.0884351, -0.0905321, -0.0897671, -0.0860671, -0.0749273, -0.0463363, -0.0305819, -0.0255837, -0.0144625, -0.00951701, -0.00924311, -0.00795383, -0.00761536, -0.00623958, -0.00594669, -0.00500356, -0.00438648, -0.00332269, -0.00242995, -0.000916101, -0.000217421, -0.00016795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0385582, 0.0492632, 0.0508927, 0.0530316, 0.0581001, 0.0613802, 0.0652589, 0.0701499, 0.110887, 0.114812, 0.122663, 0.132743, 0.150542, 0.166923, 0.170101, 0.193604, 0.20198, 0.220612, 0.235782, 0.248058, 0.257299, 0.274464, 0.288269, 0.298317, 0.310951, 0.327908, 0.372922, 0.406651, 0.445956, 0.514258, 0.635128");
-            values ( \
-              "-0.00152791, -0.123486, -0.129897, -0.148418, -0.139356, -0.136644, -0.135251, -0.134374, -0.13021, -0.130392, -0.1313, -0.134325, -0.130955, -0.114163, -0.111639, -0.0807709, -0.0707446, -0.0519251, -0.0398052, -0.0316518, -0.0262499, -0.0172915, -0.0117407, -0.00946771, -0.00792787, -0.00725497, -0.00473718, -0.00355114, -0.00238497, -0.000988778, -0.000112236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0387147, 0.0535739, 0.0570822, 0.0597925, 0.0632844, 0.069034, 0.0771106, 0.093465, 0.109903, 0.134854, 0.143389, 0.16046, 0.168617, 0.181097, 0.193782, 0.206528, 0.221517, 0.244784, 0.260457, 0.278394, 0.295764, 0.325864, 0.332289, 0.340256, 0.350353, 0.361326, 0.375656, 0.392167, 0.411036, 0.43246, 0.454673, 0.47454, 0.488725, 0.507638, 0.561391, 0.630599, 0.679353, 0.740916, 0.802479");
-            values ( \
-              "-0.0100599, -0.199435, -0.192785, -0.189326, -0.186806, -0.185046, -0.184062, -0.182715, -0.180911, -0.177742, -0.177351, -0.178482, -0.180212, -0.179065, -0.17314, -0.163608, -0.147811, -0.120097, -0.102319, -0.0841369, -0.0687621, -0.0453127, -0.040874, -0.0361442, -0.0312771, -0.0271972, -0.023013, -0.0190913, -0.0152214, -0.0118381, -0.00932535, -0.00776945, -0.0069132, -0.00594095, -0.00394128, -0.00214371, -0.00133255, -0.000666466, -0.000345089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0443565, 0.0533642, 0.0624309, 0.0739241, 0.119949, 0.196424, 0.242727, 0.250575, 0.2625, 0.274912, 0.293535, 0.377777, 0.431923, 0.45158, 0.486832, 0.51253, 0.544865, 0.581243, 0.623582, 0.657677, 0.723249, 0.811397, 0.919499, 1.16575");
-            values ( \
-              "-0.135367, -0.243246, -0.233576, -0.230261, -0.226866, -0.218991, -0.218667, -0.217499, -0.214165, -0.209079, -0.198449, -0.126361, -0.0829073, -0.069761, -0.0537489, -0.0451241, -0.0358059, -0.0272805, -0.0192449, -0.0138061, -0.00785298, -0.00432128, -0.00222067, -0.000407802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.045314, 0.0547796, 0.0618194, 0.0711758, 0.169057, 0.293431, 0.360814, 0.392714, 0.427798, 0.455064, 0.476963, 0.568836, 0.638455, 0.665676, 0.730939, 0.782749, 0.822262, 0.875811, 0.920757, 0.971389, 1.02829, 1.06721, 1.14887, 1.21044, 1.33356, 1.45669, 1.82607");
-            values ( \
-              "-0.191559, -0.275989, -0.268811, -0.265707, -0.260048, -0.250367, -0.247383, -0.243487, -0.23447, -0.22369, -0.212837, -0.161553, -0.11852, -0.106297, -0.0823397, -0.0659636, -0.0551181, -0.0427544, -0.0342916, -0.0265009, -0.0196262, -0.0158632, -0.0101854, -0.00744295, -0.00423685, -0.00254581, -0.000594258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0663038, 0.0844816, 0.114923, 0.120237, 0.1302, 0.137056, 0.144526, 0.156335, 0.200006, 0.221706, 0.235805, 0.291376, 0.304888, 0.447814, 0.479532");
-            values ( \
-              "-0.00382081, -0.0322501, -0.0562355, -0.0540729, -0.0531586, -0.0539153, -0.0559727, -0.0551423, -0.0223693, -0.0116676, -0.00806738, -0.00182235, -0.00104755, -0.000338766, -0.000280363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0721603, 0.0792083, 0.0827373, 0.0866743, 0.0945481, 0.107591, 0.112561, 0.114288, 0.116279, 0.11822, 0.122101, 0.126385, 0.129485, 0.133619, 0.140655, 0.154727, 0.168638, 0.18252, 0.183911, 0.186691, 0.203286, 0.210738, 0.222946, 0.236096, 0.242635, 0.250316, 0.256422, 0.262906, 0.270459, 0.279805, 0.284665, 0.293382, 0.299943, 0.308714, 0.320233, 0.33663, 0.347379, 0.357228, 0.368403, 0.380573, 0.396522, 0.416604, 0.447157, 0.488551, 0.537419, 0.596149");
-            values ( \
-              "-0.0265261, -0.0441845, -0.0499158, -0.0554785, -0.065852, -0.0818755, -0.0876041, -0.0918647, -0.0925864, -0.0903955, -0.0887261, -0.0877816, -0.0873544, -0.0869697, -0.0868651, -0.090103, -0.0891555, -0.0750675, -0.0750264, -0.0723294, -0.0529552, -0.0453954, -0.034208, -0.0254231, -0.022131, -0.0179272, -0.0149499, -0.0120935, -0.0097645, -0.00815055, -0.00743726, -0.00641088, -0.00575675, -0.00518082, -0.00420277, -0.0028994, -0.00260793, -0.00222618, -0.00221828, -0.00184563, -0.00180807, -0.00129492, -0.00109868, -0.000463416, -0.00039588, -2.53105e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0721289, 0.081704, 0.0972165, 0.112548, 0.11485, 0.11817, 0.124744, 0.138092, 0.147023, 0.15616, 0.174062, 0.191911, 0.209563, 0.211469, 0.242632, 0.263376, 0.281826, 0.296903, 0.32129, 0.336467, 0.350328, 0.396194, 0.466197, 0.516146, 0.55635, 0.614827, 0.67639");
-            values ( \
-              "-0.0271046, -0.0717261, -0.103845, -0.131747, -0.140089, -0.136983, -0.134012, -0.131708, -0.130688, -0.130313, -0.133718, -0.131227, -0.112373, -0.111465, -0.0716735, -0.0508164, -0.0363787, -0.0271218, -0.0147905, -0.0099763, -0.00816651, -0.0055984, -0.00298985, -0.0016967, -0.00110828, -0.000395987, -0.000242464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0721477, 0.0854128, 0.116135, 0.121413, 0.129857, 0.184448, 0.194625, 0.209982, 0.219686, 0.235188, 0.24791, 0.262923, 0.302259, 0.321382, 0.337998, 0.367371, 0.381302, 0.391149, 0.402326, 0.416925, 0.453851, 0.474884, 0.496729, 0.5207, 0.548342, 0.600376, 0.666688, 0.77581, 0.837373");
-            values ( \
-              "-0.0272665, -0.108251, -0.190543, -0.185889, -0.183615, -0.176989, -0.177748, -0.18008, -0.179777, -0.173132, -0.163692, -0.147777, -0.101879, -0.0826311, -0.0680396, -0.0452384, -0.0363376, -0.0315379, -0.0273272, -0.0230441, -0.0149558, -0.0117105, -0.00925815, -0.00744693, -0.00595428, -0.00400545, -0.00224253, -0.000706049, -0.000380417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0809041, 0.096574, 0.115431, 0.119205, 0.122624, 0.133317, 0.175642, 0.223274, 0.237944, 0.267283, 0.276869, 0.29604, 0.316427, 0.336452, 0.415173, 0.487089, 0.515337, 0.557995, 0.597444, 0.63983, 0.67556, 0.718882, 0.783, 0.826652, 0.989458, 1.00544");
-            values ( \
-              "-0.168366, -0.171991, -0.232347, -0.234969, -0.231096, -0.229199, -0.22551, -0.22028, -0.219154, -0.218749, -0.21938, -0.216646, -0.209093, -0.197577, -0.129755, -0.0734071, -0.059014, -0.0438538, -0.0330629, -0.0238438, -0.0174208, -0.0116821, -0.00698412, -0.00519279, -0.00192817, -0.00182829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0809582, 0.0982709, 0.116754, 0.12567, 0.139216, 0.210844, 0.337686, 0.40267, 0.425596, 0.463308, 0.506475, 0.543771, 0.619291, 0.680335, 0.737108, 0.790004, 0.865131, 0.932605, 1.01265, 1.06901, 1.1206, 1.19201, 1.25358, 1.3767, 1.49983, 1.59737");
-            values ( \
-              "-0.171506, -0.202452, -0.269703, -0.26557, -0.263976, -0.260116, -0.25043, -0.247474, -0.244804, -0.236393, -0.219145, -0.199349, -0.156428, -0.118458, -0.0948947, -0.0766049, -0.0548277, -0.0397331, -0.0265581, -0.019759, -0.0149141, -0.0101308, -0.00737747, -0.00419618, -0.00251594, -0.00200341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129296, 0.150703, 0.164291, 0.181928, 0.222258, 0.235957, 0.242454, 0.246671, 0.247811, 0.248084, 0.248952, 0.24982, 0.250935, 0.267644, 0.280908, 0.287453, 0.297524, 0.311166, 0.31789, 0.325678, 0.33192, 0.338245, 0.341573, 0.34823, 0.353694, 0.358805, 0.364732, 0.371273, 0.378376, 0.38404, 0.391031, 0.397601, 0.405152, 0.412868, 0.422742, 0.434309, 0.45181, 0.473951, 0.508408, 0.546121, 0.591253, 0.643571, 0.705134");
-            values ( \
-              "-0.0115667, -0.0190428, -0.0244112, -0.0307781, -0.0442414, -0.0515864, -0.0540442, -0.0540559, -0.0534118, -0.0536978, -0.0532885, -0.0542161, -0.0542827, -0.0409128, -0.0295912, -0.0249274, -0.0188809, -0.0126679, -0.00996775, -0.00835047, -0.00758713, -0.0071834, -0.00650325, -0.0061189, -0.00515682, -0.00484599, -0.00383414, -0.00333539, -0.00219132, -0.00179389, -0.00115811, -0.00111814, -0.000887865, -0.000995254, -0.000874038, -0.000964323, -0.000805518, -0.000777215, -0.000475143, -0.000364933, -0.000127191, -0.000116171, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.129513, 0.141033, 0.154153, 0.183207, 0.194853, 0.231725, 0.248857, 0.251213, 0.254977, 0.260381, 0.265892, 0.27827, 0.304278, 0.316751, 0.325156, 0.346298, 0.360611, 0.372482, 0.383988, 0.411659, 0.420439, 0.438979, 0.540234, 0.582755, 0.690926");
-            values ( \
-              "-0.0196622, -0.0235653, -0.0333784, -0.0511879, -0.0577871, -0.0771484, -0.0900505, -0.0924883, -0.0923219, -0.0889372, -0.0842594, -0.0723121, -0.0433327, -0.0327707, -0.0270983, -0.0156511, -0.00997842, -0.00795168, -0.00646111, -0.00430395, -0.00343054, -0.00251486, -0.000966162, -0.000558105, -0.000113984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.12916, 0.159595, 0.205785, 0.252511, 0.258966, 0.270682, 0.282809, 0.295099, 0.355131, 0.386675, 0.419781, 0.442254, 0.522788, 0.607481");
-            values ( \
-              "-0.0208043, -0.0557139, -0.096728, -0.133481, -0.133393, -0.135086, -0.130317, -0.119035, -0.050003, -0.0278491, -0.0120785, -0.00804411, -0.00412642, -0.00203015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.140397, 0.163577, 0.180443, 0.211955, 0.248902, 0.251497, 0.256687, 0.269655, 0.276517, 0.289159, 0.301695, 0.326755, 0.335702, 0.344612, 0.396905, 0.410231, 0.426446, 0.451223, 0.469511, 0.478451, 0.490205, 0.505495, 0.521074, 0.541846, 0.563411, 0.586343, 0.606208, 0.62392, 0.672031, 0.700834, 0.771902, 0.82284, 0.945966");
-            values ( \
-              "-0.0721171, -0.0807297, -0.102146, -0.139425, -0.178152, -0.184205, -0.179806, -0.177862, -0.17754, -0.17804, -0.180178, -0.173964, -0.16733, -0.159086, -0.0988566, -0.0854502, -0.0709047, -0.0511441, -0.0383723, -0.0335437, -0.0286875, -0.0238705, -0.0200315, -0.0156892, -0.0120964, -0.00948512, -0.00784164, -0.00677194, -0.00469484, -0.00376591, -0.00200325, -0.00117075, -0.000283114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.143104, 0.170933, 0.207355, 0.253488, 0.260878, 0.26765, 0.274231, 0.282699, 0.291261, 0.329875, 0.363916, 0.368815, 0.378611, 0.398205, 0.408365, 0.428062, 0.511515, 0.565038, 0.584704, 0.61999, 0.677664, 0.715941, 0.791399, 0.856188, 0.943207, 0.991232, 1.04954, 1.1111, 1.17267, 1.23423, 1.29579");
-            values ( \
-              "-0.0897643, -0.111232, -0.166697, -0.232298, -0.224935, -0.22671, -0.22442, -0.22418, -0.22273, -0.218971, -0.218855, -0.219243, -0.218379, -0.213506, -0.209087, -0.197747, -0.125988, -0.0829684, -0.0697439, -0.0536572, -0.0357681, -0.0268039, -0.0135593, -0.00767097, -0.00415014, -0.00359785, -0.00205045, -0.00186292, -0.000795251, -0.000979408, -0.000196853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.144241, 0.172204, 0.203019, 0.24057, 0.253403, 0.259703, 0.264999, 0.275592, 0.284875, 0.30258, 0.365435, 0.426998, 0.434351, 0.494381, 0.526041, 0.563194, 0.588811, 0.610627, 0.635495, 0.709823, 0.759665, 0.787001, 0.815141, 0.842922, 0.881456, 0.908129, 0.943692, 1.00389, 1.03132, 1.07389, 1.12036, 1.18192, 1.21365, 1.27521, 1.33678, 1.39834, 1.4599, 1.58303, 1.76772, 2.01397");
-            values ( \
-              "-0.101596, -0.129782, -0.184612, -0.245833, -0.263683, -0.264232, -0.262255, -0.262004, -0.261019, -0.260164, -0.255356, -0.250272, -0.250088, -0.247378, -0.243535, -0.233954, -0.223607, -0.212787, -0.199296, -0.157147, -0.125245, -0.111385, -0.10008, -0.0898257, -0.0767264, -0.0683935, -0.0582948, -0.0438923, -0.0384195, -0.03108, -0.0244719, -0.0176103, -0.0147741, -0.0105584, -0.00769829, -0.00574435, -0.00436359, -0.00261621, -0.00126412, -0.000479123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243062, 0.27516, 0.307535, 0.343388, 0.395778, 0.43397, 0.45103, 0.463475, 0.476123, 0.493746, 0.503074, 0.513536, 0.550135, 0.551739, 0.554517, 0.567967, 0.574455, 0.582303, 0.600127, 0.662476, 0.734358, 0.782005");
-            values ( \
-              "-0.00708888, -0.00901657, -0.0153807, -0.0211789, -0.0290223, -0.0392468, -0.0378374, -0.0326568, -0.0252127, -0.0168469, -0.0127798, -0.00962229, -0.00495531, -0.00552914, -0.00555777, -0.00304592, -0.00226177, -0.00170816, -0.00126335, -0.000775899, -0.000319389, -0.000235709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.24307, 0.275335, 0.312512, 0.342479, 0.409714, 0.432108, 0.451531, 0.47051, 0.485366, 0.491419, 0.512858, 0.526978, 0.544005, 0.550296, 0.555444, 0.565616, 0.579798, 0.617212, 0.636864, 0.644521, 0.711732, 0.746319, 0.784382");
-            values ( \
-              "-0.0105049, -0.0150794, -0.026723, -0.0345644, -0.0510455, -0.0602759, -0.0673836, -0.0643601, -0.0545122, -0.048743, -0.0327286, -0.0243173, -0.0156651, -0.0128168, -0.0129574, -0.0100528, -0.00751322, -0.00368971, -0.00273203, -0.00254217, -0.00130402, -0.000856037, -0.000507534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.241998, 0.269843, 0.275181, 0.285859, 0.316204, 0.34711, 0.369851, 0.433363, 0.458843, 0.481408, 0.503786, 0.505433, 0.550143, 0.555484, 0.571935, 0.586701, 0.600055, 0.614752, 0.621431, 0.630335, 0.645831, 0.664114, 0.690464, 0.705211, 0.754247, 0.802994, 0.840828, 0.89609, 0.957653");
-            values ( \
-              "-0.0128919, -0.0210642, -0.0230686, -0.0275266, -0.0417429, -0.0542988, -0.0629314, -0.085818, -0.0997404, -0.109296, -0.102164, -0.101058, -0.056145, -0.0535863, -0.0400801, -0.0301293, -0.0223804, -0.0150602, -0.0126255, -0.010396, -0.00836195, -0.00747341, -0.00574445, -0.00497031, -0.00322978, -0.00188373, -0.0011489, -0.000535578, -0.000206698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.258858, 0.295196, 0.341826, 0.397117, 0.472324, 0.502944, 0.53089, 0.559299, 0.572191, 0.581671, 0.5945, 0.617212, 0.644348, 0.665912, 0.682336, 0.709753, 0.725419, 0.767756, 0.810231, 0.827975, 0.937151, 1.02844, 1.15157");
-            values ( \
-              "-0.0383197, -0.0436455, -0.0718386, -0.101191, -0.137278, -0.155837, -0.165492, -0.150968, -0.130777, -0.122431, -0.105481, -0.082526, -0.0596976, -0.0432882, -0.0336745, -0.0238493, -0.0199599, -0.011998, -0.0077639, -0.0066864, -0.00272747, -0.00103759, -0.000167125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.258758, 0.29487, 0.332288, 0.40733, 0.490116, 0.549978, 0.558727, 0.571929, 0.587608, 0.604334, 0.628829, 0.656233, 0.711844, 0.767265, 0.787489, 0.802635, 0.823452, 0.848966, 0.894522, 0.922902, 0.964215, 0.997975, 1.01722, 1.05572, 1.09581, 1.14144, 1.24616, 1.36929, 1.49241");
-            values ( \
-              "-0.040078, -0.0543126, -0.0824579, -0.133599, -0.184119, -0.217113, -0.219147, -0.219838, -0.217714, -0.212673, -0.200006, -0.177898, -0.129273, -0.0847126, -0.0707725, -0.0628353, -0.0541805, -0.0454182, -0.0328112, -0.0264789, -0.0186493, -0.013515, -0.0114204, -0.00824896, -0.00621588, -0.00459763, -0.00243619, -0.00112338, -0.000495079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.275052, 0.349329, 0.392443, 0.473184, 0.538402, 0.550014, 0.557777, 0.567058, 0.569662, 0.585285, 0.633685, 0.693841, 0.69872, 0.708479, 0.727996, 0.765469, 0.778112, 0.8034, 0.839764, 0.915775, 0.956133, 0.976263, 1.00285, 1.03252, 1.06697, 1.12405, 1.16222, 1.21449, 1.25687, 1.3081, 1.36398, 1.4044, 1.44078, 1.48929, 1.55085, 1.61241, 1.67398, 1.7971, 1.92023, 2.16648");
-            values ( \
-              "-0.0649259, -0.108713, -0.142922, -0.203385, -0.247462, -0.254752, -0.256669, -0.256, -0.255395, -0.254037, -0.250407, -0.247272, -0.247374, -0.246448, -0.243862, -0.234705, -0.229879, -0.218717, -0.199372, -0.156132, -0.129959, -0.118481, -0.106582, -0.0950948, -0.0829638, -0.0649698, -0.0545419, -0.0426029, -0.0346106, -0.0266271, -0.019877, -0.0158938, -0.0130249, -0.0100706, -0.0073249, -0.00552737, -0.00416607, -0.00249566, -0.00152484, -0.000564632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.436225, 0.470102, 0.50665, 0.546543, 0.568575, 0.642726, 0.739233, 0.786434, 0.797414, 0.810402, 0.822453, 0.844646, 0.886217, 0.951478, 0.9674, 0.987141, 1.09713, 1.16825");
-            values ( \
-              "-0.00114281, -0.00187639, -0.00305478, -0.00491241, -0.00638918, -0.0123449, -0.0188654, -0.0252101, -0.0252735, -0.0267057, -0.0261036, -0.0238652, -0.0115539, -0.00237763, -0.00180737, -0.00151351, -0.000442533, -0.00018067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.440823, 0.469786, 0.481235, 0.512927, 0.533486, 0.561746, 0.643191, 0.671722, 0.758931, 0.793046, 0.822297, 0.822688, 0.838837, 0.849769, 0.863304, 0.878526, 0.923532, 0.93763, 0.948632, 0.963016, 0.99288, 1.00312, 1.01436, 1.03499, 1.08956, 1.12728, 1.1714, 1.21632, 1.23761, 1.292, 1.34496");
-            values ( \
-              "-0.00213328, -0.0032095, -0.00376223, -0.00568178, -0.0072164, -0.00981904, -0.0204681, -0.0238495, -0.0332647, -0.0397593, -0.0448268, -0.0446012, -0.0460361, -0.0457933, -0.0440112, -0.0390063, -0.0191346, -0.0137284, -0.0110925, -0.00868589, -0.00517122, -0.00438814, -0.00377934, -0.00306743, -0.00168021, -0.00101647, -0.00053981, -0.000273055, -0.000704255, -0.000163411, -8.89352e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.468922, 0.52269, 0.557209, 0.574405, 0.626351, 0.695001, 0.792516, 0.826103, 0.841138, 0.861183, 0.871886, 0.884351, 0.896484, 0.912219, 0.932913, 1.00443, 1.02079, 1.03291, 1.07395, 1.1034, 1.16226, 1.19215, 1.21589, 1.23325, 1.27335, 1.28146");
-            values ( \
-              "-0.00734435, -0.0102416, -0.0147404, -0.0175853, -0.0280548, -0.0406349, -0.056314, -0.0648189, -0.0691625, -0.072786, -0.07423, -0.0751959, -0.0748112, -0.071308, -0.0614484, -0.0206024, -0.0143598, -0.0119375, -0.00796052, -0.00600468, -0.00332916, -0.00238993, -0.00170111, -0.00222811, -0.00108831, -0.000997242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.480899, 0.539315, 0.559326, 0.592096, 0.640784, 0.682665, 0.734687, 0.849197, 0.893106, 0.89522, 0.907903, 0.922502, 0.93601, 0.954667, 0.975047, 0.994579, 1.0178, 1.08145, 1.09992, 1.1135, 1.12766, 1.15024, 1.1721, 1.19113, 1.21602, 1.22873, 1.25178, 1.27268, 1.29885, 1.32929, 1.36415, 1.40754, 1.46533, 1.58846");
-            values ( \
-              "-0.0128347, -0.0179087, -0.021662, -0.0291139, -0.042561, -0.0533782, -0.0658061, -0.0909998, -0.103542, -0.104814, -0.108663, -0.111805, -0.114033, -0.115941, -0.113915, -0.106893, -0.0927838, -0.0481103, -0.0376008, -0.0320285, -0.0275308, -0.0215173, -0.0166736, -0.0133112, -0.0102764, -0.0104164, -0.0083152, -0.0069711, -0.00547184, -0.00420121, -0.00298282, -0.00194702, -0.00101656, -0.000238829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.504798, 0.563832, 0.608314, 0.671551, 0.754815, 0.85891, 0.938657, 1.01162, 1.02745, 1.04829, 1.0692, 1.0994, 1.12356, 1.17433, 1.21598, 1.25153, 1.29701, 1.33066, 1.39617, 1.42833, 1.46391, 1.51542, 1.55901, 1.60736, 1.72237, 1.84549, 1.96862");
-            values ( \
-              "-0.0281118, -0.0296411, -0.0423482, -0.0635388, -0.0890765, -0.118324, -0.139363, -0.161977, -0.165468, -0.168325, -0.168643, -0.160948, -0.147914, -0.113864, -0.0820961, -0.0634935, -0.046115, -0.0361063, -0.0214178, -0.0158635, -0.011532, -0.00763869, -0.00566132, -0.00414715, -0.0020303, -0.000908526, -0.00038941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.530278, 0.608232, 0.694156, 0.766192, 0.827755, 0.889318, 0.961478, 1.14911, 1.17632, 1.2159, 1.21876, 1.22448, 1.23953, 1.28061, 1.36045, 1.41481, 1.44233, 1.47039, 1.49898, 1.53888, 1.56726, 1.6051, 1.66429, 1.68172, 1.71659, 1.76552, 1.82356, 1.85952, 1.89143, 1.93397, 1.99554, 2.0571, 2.11866, 2.18022, 2.24179, 2.30335, 2.42648, 2.67273");
-            values ( \
-              "-0.0458254, -0.0498858, -0.081707, -0.107355, -0.128457, -0.148837, -0.171595, -0.226593, -0.232134, -0.234108, -0.234446, -0.23314, -0.226824, -0.2062, -0.161249, -0.12662, -0.112366, -0.100983, -0.0903632, -0.0767622, -0.0679077, -0.057255, -0.0432783, -0.0397689, -0.03347, -0.0260712, -0.0191769, -0.0157424, -0.0132291, -0.0105121, -0.00766186, -0.00572585, -0.00434698, -0.00335426, -0.0026068, -0.00204082, -0.00125711, -0.000474067" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0100096, 0.0100293, 0.0100522, 0.010074, 0.0100911, 0.0101026", \
-            "0.0117491, 0.0117629, 0.0117814, 0.0118016, 0.0118195, 0.0118327", \
-            "0.0127641, 0.0127691, 0.0127779, 0.0127898, 0.0128028, 0.0128136", \
-            "0.0133769, 0.0133766, 0.0133756, 0.0133757, 0.0133783, 0.0133824", \
-            "0.0137117, 0.013706, 0.0136993, 0.0136948, 0.0136876, 0.0136832", \
-            "0.0139092, 0.0139045, 0.0138966, 0.0138845, 0.013871, 0.0138589" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0137404, 0.0137532, 0.0137689, 0.0137841, 0.0137983, 0.0138094", \
-            "0.0149557, 0.0149468, 0.0149395, 0.014935, 0.0149325, 0.014932", \
-            "0.0157196, 0.0156815, 0.0156389, 0.0155932, 0.0155569, 0.0155326", \
-            "0.0164116, 0.0163282, 0.0162298, 0.0161305, 0.0160459, 0.0159842", \
-            "0.0172386, 0.0171086, 0.016932, 0.0167398, 0.0165773, 0.0164589", \
-            "0.0157906, 0.0164231, 0.0171195, 0.0172985, 0.0170663, 0.0168484" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.105702, 0.122003, 0.152519, 0.209556, 0.316542, 0.518434", \
-            "0.110293, 0.126677, 0.157344, 0.214592, 0.321781, 0.523843", \
-            "0.122232, 0.138554, 0.169222, 0.226572, 0.334002, 0.536298", \
-            "0.152085, 0.167468, 0.198153, 0.255135, 0.362336, 0.564646", \
-            "0.217263, 0.235695, 0.267658, 0.322921, 0.428419, 0.629625", \
-            "0.318163, 0.343748, 0.388709, 0.463792, 0.582637, 0.780513" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.137678, 0.159562, 0.200667, 0.279426, 0.429029, 0.714645", \
-            "0.137604, 0.159522, 0.200651, 0.279413, 0.429035, 0.714645", \
-            "0.136997, 0.159152, 0.200532, 0.27937, 0.429027, 0.714644", \
-            "0.141712, 0.161116, 0.200577, 0.278997, 0.42898, 0.714634", \
-            "0.177904, 0.194217, 0.226033, 0.292589, 0.43112, 0.7146", \
-            "0.253157, 0.275519, 0.312196, 0.373307, 0.487931, 0.735062" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0551963, 0.0646111, 0.0821137, 0.114664, 0.175513, 0.289727", \
-            "0.0596746, 0.0691358, 0.0866917, 0.119387, 0.180337, 0.294623", \
-            "0.0699423, 0.0794125, 0.0970416, 0.129852, 0.190928, 0.305347", \
-            "0.0900182, 0.101416, 0.12065, 0.153338, 0.214447, 0.328996", \
-            "0.109948, 0.12629, 0.154038, 0.198684, 0.267622, 0.381969", \
-            "0.118457, 0.141229, 0.180402, 0.244508, 0.344163, 0.492808" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0653236, 0.0769425, 0.0993655, 0.142211, 0.223744, 0.378786", \
-            "0.06528, 0.0769231, 0.0993622, 0.142208, 0.223819, 0.378783", \
-            "0.0669605, 0.0776994, 0.0993003, 0.142161, 0.223804, 0.378794", \
-            "0.0842948, 0.092678, 0.110227, 0.147201, 0.224156, 0.378764", \
-            "0.123808, 0.134146, 0.153033, 0.186356, 0.248768, 0.385708", \
-            "0.190058, 0.203735, 0.228836, 0.271983, 0.342977, 0.460379" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0290293, 0.0335259, 0.0374813, 0.0416913, 0.0447246, 0.0507912, 0.0608744, 0.096902, 0.115793, 0.120155, 0.125972, 0.13264, 0.13914, 0.148549, 0.163858, 0.168214, 0.171851, 0.175487, 0.178344, 0.185318, 0.191433, 0.198686, 0.206858, 0.214829, 0.223255, 0.232391, 0.241044, 0.24702, 0.254368, 0.26315, 0.27303, 0.282736, 0.290334, 0.302059, 0.316022, 0.332657, 0.344967, 0.348174, 0.354588, 0.367416, 0.384974, 0.416315, 0.476626, 0.480015");
-            values ( \
-              "0.00695222, 0.0514258, 0.0477707, 0.0446609, 0.0431046, 0.0408447, 0.0387088, 0.0340959, 0.0305026, 0.029853, 0.0296586, 0.0306126, 0.029849, 0.0275498, 0.0228026, 0.0219517, 0.0208602, 0.0202718, 0.0188436, 0.0171328, 0.0170957, 0.0157821, 0.0153033, 0.0144435, 0.0138986, 0.0124445, 0.0116377, 0.0104959, 0.00961823, 0.00795465, 0.00699165, 0.00562696, 0.00531359, 0.00409106, 0.0036181, 0.00246408, 0.00236102, 0.00188288, 0.00205365, 0.001331, 0.00132559, 0.000488085, 0.000414225, 0.000396001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0315489, 0.03469, 0.0394912, 0.0435628, 0.0482659, 0.0557777, 0.0675462, 0.10994, 0.133605, 0.158682, 0.186994, 0.190931, 0.223086, 0.227303, 0.235738, 0.244917, 0.255685, 0.27868, 0.306859, 0.331065, 0.332894, 0.336554, 0.343872, 0.354809, 0.392836, 0.398266, 0.409128, 0.466098, 0.530596, 0.613647");
-            values ( \
-              "0.0778819, 0.0808521, 0.074761, 0.0708263, 0.067811, 0.0648731, 0.0620764, 0.0553212, 0.0499451, 0.0492615, 0.0386943, 0.0377955, 0.0241183, 0.0240002, 0.0240896, 0.0238862, 0.022779, 0.0186167, 0.0126235, 0.00879799, 0.00877065, 0.00811939, 0.00751664, 0.00625207, 0.0036246, 0.00355304, 0.00286646, 0.00120909, 0.000579738, 2.93496e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0316679, 0.0364794, 0.0483639, 0.0545841, 0.0641619, 0.0797401, 0.127311, 0.164213, 0.191363, 0.231183, 0.258665, 0.299085, 0.326084, 0.385448, 0.408312, 0.450067, 0.478119, 0.521402, 0.615168, 0.653186");
-            values ( \
-              "0.0814373, 0.115227, 0.100907, 0.0974427, 0.0944734, 0.091153, 0.0835168, 0.0753515, 0.0746743, 0.0597113, 0.0452659, 0.03603, 0.0312381, 0.0183061, 0.0141241, 0.00885507, 0.00640599, 0.00392385, 0.00129688, 0.00103054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0343985, 0.0344185, 0.0944584, 0.139592, 0.174648, 0.204049, 0.221197, 0.239331, 0.257152, 0.286825, 0.315823, 0.328496, 0.366193, 0.398606, 0.417635, 0.440239, 0.463067, 0.512206, 0.563127, 0.580996, 0.60482, 0.644734, 0.683373, 0.740471, 0.812963, 0.897434, 1.06638");
-            values ( \
-              "1e-22, 0.146775, 0.12232, 0.11649, 0.111293, 0.10559, 0.103465, 0.103034, 0.0995161, 0.0913766, 0.07865, 0.0722138, 0.0644908, 0.0548975, 0.0483837, 0.0419456, 0.0368378, 0.0269991, 0.0185948, 0.0161998, 0.0135717, 0.0097494, 0.00712147, 0.00444387, 0.00251771, 0.00112772, 0.000219556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0344517, 0.0344717, 0.13857, 0.201891, 0.267444, 0.292139, 0.328192, 0.389665, 0.418639, 0.45745, 0.471631, 0.484615, 0.518342, 0.629041, 0.688255, 0.758781, 0.798695, 0.863906, 0.949084, 1.01562, 1.09626, 1.18073, 1.2652, 1.34968, 1.4201");
-            values ( \
-              "1e-22, 0.167308, 0.14765, 0.142043, 0.134702, 0.131199, 0.128802, 0.120375, 0.112852, 0.100944, 0.100112, 0.0979381, 0.0904884, 0.0605007, 0.0465581, 0.0346458, 0.0290796, 0.0214753, 0.0141089, 0.0100263, 0.00658654, 0.00419135, 0.00266856, 0.00168696, 0.00126568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0375565, 0.0375765, 0.185108, 0.368862, 0.473875, 0.518324, 0.553077, 0.586231, 0.633575, 0.668975, 0.706264, 0.750911, 1.01937, 1.10384, 1.16901, 1.27859, 1.41049, 1.55608, 1.64055, 1.75222, 1.90133, 2.07027, 2.23922, 2.37431");
-            values ( \
-              "1e-22, 0.191595, 0.168326, 0.157425, 0.149039, 0.147623, 0.143667, 0.141289, 0.134431, 0.127991, 0.125987, 0.120458, 0.0708356, 0.0572173, 0.0479889, 0.0359917, 0.0249895, 0.0163031, 0.0126914, 0.00894389, 0.00559746, 0.00326274, 0.00188731, 0.00147372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0425392, 0.0595546, 0.0673938, 0.0723785, 0.0794972, 0.0877177, 0.115466, 0.133233, 0.141106, 0.14943, 0.157747, 0.182419, 0.187923, 0.19686, 0.201761, 0.205372, 0.21725, 0.225137, 0.232919, 0.241504, 0.250656, 0.259476, 0.26557, 0.272946, 0.281704, 0.291624, 0.301466, 0.308876, 0.320855, 0.334842, 0.352104, 0.363712, 0.366732, 0.372772, 0.384853, 0.404437, 0.500145, 0.578955");
-            values ( \
-              "0.00721888, 0.0476005, 0.0426989, 0.0407755, 0.0390026, 0.0376376, 0.0341072, 0.030713, 0.0296034, 0.0303042, 0.0300278, 0.0227608, 0.0217093, 0.0192613, 0.0173425, 0.0173869, 0.0161044, 0.0149888, 0.0148203, 0.0136031, 0.0128158, 0.011328, 0.0108193, 0.00929946, 0.00826515, 0.00668115, 0.00591234, 0.00501818, 0.00436862, 0.00331292, 0.00271577, 0.00206817, 0.0021588, 0.00179275, 0.0016285, 0.00103953, 0.000128011, 0.000127227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0509984, 0.0510184, 0.0766911, 0.0862244, 0.128593, 0.152251, 0.17733, 0.205639, 0.209626, 0.241941, 0.263027, 0.273559, 0.296477, 0.326265, 0.34989, 0.371337, 0.387328, 0.408224, 0.436943, 0.522399, 0.562558");
-            values ( \
-              "1e-22, 0.0818558, 0.0648723, 0.0622716, 0.0553055, 0.0498968, 0.0492471, 0.0387297, 0.0377496, 0.0240453, 0.0239447, 0.0228635, 0.0187508, 0.0125111, 0.00880547, 0.00647642, 0.00529972, 0.00383089, 0.00253522, 0.000674567, 0.000507075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0531485, 0.0531685, 0.0897191, 0.152966, 0.182968, 0.210299, 0.249937, 0.277849, 0.314243, 0.340751, 0.427593, 0.468004, 0.495791, 0.541589, 0.638276, 0.648447");
-            values ( \
-              "1e-22, 0.121335, 0.0930173, 0.0822117, 0.0753402, 0.0746695, 0.0596958, 0.0450885, 0.0367967, 0.032075, 0.0140408, 0.00892998, 0.0064931, 0.00386692, 0.00123697, 0.0011698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0539725, 0.0539925, 0.108403, 0.158607, 0.191632, 0.23709, 0.246288, 0.258552, 0.271108, 0.306075, 0.328433, 0.347482, 0.385175, 0.45928, 0.499204, 0.53121, 0.552426, 0.588083, 0.623817, 0.663877, 0.692881, 0.72134, 0.759285, 0.831785, 0.916256, 1.00073, 1.0852");
-            values ( \
-              "1e-22, 0.150934, 0.123086, 0.116535, 0.111616, 0.10324, 0.1038, 0.102755, 0.100598, 0.0914336, 0.0817305, 0.0723673, 0.0643751, 0.0418112, 0.0332575, 0.0271226, 0.0231962, 0.0177708, 0.0134547, 0.00985672, 0.00770938, 0.00611414, 0.00456702, 0.00240576, 0.00124522, 0.000533393, 0.000335435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0558036, 0.0558236, 0.137564, 0.221081, 0.28655, 0.310732, 0.339467, 0.347375, 0.363192, 0.408961, 0.448611, 0.463226, 0.478234, 0.492647, 0.510317, 0.526538, 0.623933, 0.667613, 0.725436, 0.756779, 0.814028, 0.883057, 0.918396, 0.965414, 1.03321, 1.06154, 1.11819, 1.20266, 1.28713, 1.3716, 1.54054, 1.70949");
-            values ( \
-              "1e-22, 0.178093, 0.149562, 0.142057, 0.134712, 0.131279, 0.129047, 0.128812, 0.126911, 0.120351, 0.109811, 0.10458, 0.10093, 0.0997216, 0.096721, 0.0930957, 0.0667496, 0.0557429, 0.0429497, 0.0378699, 0.0295332, 0.0214288, 0.0181338, 0.0142681, 0.0101478, 0.00868448, 0.00652925, 0.00408813, 0.00266854, 0.00162134, 0.0006263, 0.000226829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0607435, 0.0607635, 0.205106, 0.338106, 0.493189, 0.537636, 0.605564, 0.688263, 0.770207, 1.12315, 1.23567, 1.38234, 1.57537, 1.83616, 2.13774");
-            values ( \
-              "1e-22, 0.201218, 0.168245, 0.160751, 0.148996, 0.147667, 0.141329, 0.127954, 0.12042, 0.0572596, 0.0423741, 0.0284971, 0.0163465, 0.00735496, 0.00305291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0690101, 0.106223, 0.112524, 0.115196, 0.118845, 0.121988, 0.132664, 0.139658, 0.158102, 0.178482, 0.189121, 0.199709, 0.224957, 0.234099, 0.242839, 0.246332, 0.251131, 0.263213, 0.269721, 0.28694, 0.305084, 0.321965, 0.339082, 0.351329, 0.361678, 0.392948, 0.405702, 0.409201, 0.416198, 0.430192, 0.511461, 0.66688");
-            values ( \
-              "0.00135412, 0.0338795, 0.0379464, 0.041934, 0.0429562, 0.041327, 0.0381197, 0.0368624, 0.0341369, 0.0303444, 0.0295701, 0.0305731, 0.0227822, 0.0208283, 0.0179296, 0.0172745, 0.017085, 0.015496, 0.0151275, 0.0135139, 0.0111437, 0.00842182, 0.00624042, 0.00509456, 0.00432002, 0.00262447, 0.00225079, 0.0020139, 0.00191291, 0.00141862, 0.000305151, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.069122, 0.11039, 0.112586, 0.114187, 0.116394, 0.121909, 0.129145, 0.135734, 0.145119, 0.158196, 0.171198, 0.194875, 0.207156, 0.218912, 0.242877, 0.252823, 0.265797, 0.272049, 0.278861, 0.287476, 0.288448, 0.290391, 0.294278, 0.29914, 0.308865, 0.319138, 0.342217, 0.361035, 0.373198, 0.387624, 0.407339, 0.423578, 0.444234, 0.456676, 0.460026, 0.466725, 0.480123, 0.506918, 0.555211, 0.631923, 0.716394");
-            values ( \
-              "0.00148574, 0.0588585, 0.0610158, 0.0665745, 0.0698441, 0.0677358, 0.0640636, 0.0618292, 0.0598304, 0.0577414, 0.055306, 0.0499475, 0.0492534, 0.0500593, 0.0406924, 0.0375142, 0.0318037, 0.0294268, 0.025922, 0.0238173, 0.0238119, 0.0241167, 0.0239741, 0.024219, 0.0235779, 0.0224867, 0.0181838, 0.0140495, 0.0118252, 0.00946157, 0.00712206, 0.00576384, 0.0042179, 0.0036466, 0.00336822, 0.00317819, 0.00252248, 0.00181808, 0.000800218, 0.000310892, 6.46312e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0693105, 0.119504, 0.128871, 0.141354, 0.188552, 0.225699, 0.252839, 0.292661, 0.320629, 0.356429, 0.382896, 0.460701, 0.492793, 0.514676, 0.558371, 0.603633, 0.655361, 0.721195");
-            values ( \
-              "0.00206306, 0.102337, 0.0966513, 0.0918931, 0.0836369, 0.0753658, 0.0746626, 0.0597159, 0.045065, 0.0369201, 0.0321908, 0.0156559, 0.0109135, 0.00855275, 0.00518283, 0.00313248, 0.0016771, 0.000812264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0831994, 0.115371, 0.11694, 0.120078, 0.133596, 0.152365, 0.231528, 0.281985, 0.294076, 0.322181, 0.346764, 0.396461, 0.408409, 0.436295, 0.498974, 0.570124, 0.645294, 0.71729, 0.745771, 0.785888, 0.834975, 0.916073, 0.982807");
-            values ( \
-              "0.0385481, 0.134981, 0.136975, 0.137107, 0.1284, 0.123224, 0.112125, 0.103132, 0.103488, 0.0986365, 0.0922546, 0.0706437, 0.0688245, 0.0622274, 0.0426558, 0.0276817, 0.0158201, 0.00886863, 0.00702021, 0.00503379, 0.00355557, 0.00160621, 0.00108643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0835388, 0.104599, 0.11869, 0.140419, 0.148568, 0.164692, 0.26421, 0.325629, 0.362227, 0.390569, 0.450614, 0.486299, 0.515414, 0.526401, 0.553853, 0.590783, 0.667666, 0.711106, 0.768785, 0.818806, 0.907308, 0.963867, 1.01005, 1.07716, 1.15976, 1.24423, 1.3287, 1.49764, 1.75106");
-            values ( \
-              "0.038223, 0.123639, 0.167087, 0.155562, 0.153645, 0.151314, 0.142094, 0.135242, 0.130245, 0.128913, 0.120689, 0.111342, 0.101745, 0.100883, 0.0967244, 0.0878558, 0.0666024, 0.0556325, 0.0429346, 0.0349312, 0.0234315, 0.0178322, 0.0142537, 0.0100121, 0.00649032, 0.00421687, 0.00259745, 0.00101573, 0.000322553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0963008, 0.119535, 0.140973, 0.168032, 0.382141, 0.537224, 0.581677, 0.649633, 0.732224, 0.769479, 0.814153, 1.08272, 1.23235, 1.34193, 1.48357, 1.70405, 1.96437, 2.2274");
-            values ( \
-              "0.17439, 0.188879, 0.177266, 0.17333, 0.160754, 0.148995, 0.147667, 0.141325, 0.127961, 0.125956, 0.120432, 0.0707926, 0.0479461, 0.0360366, 0.0242788, 0.0126423, 0.00564625, 0.00275517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.138908, 0.153923, 0.17021, 0.188753, 0.216015, 0.2378, 0.248945, 0.252415, 0.256692, 0.260885, 0.276585, 0.287007, 0.298308, 0.309192, 0.321201, 0.32303, 0.326689, 0.331594, 0.335279, 0.338325, 0.345865, 0.351606, 0.357864, 0.365698, 0.381921, 0.399927, 0.422464, 0.432325, 0.44234, 0.461681, 0.493451, 0.504404, 0.507277, 0.513023, 0.524515, 0.583075, 0.650432, 0.728357");
-            values ( \
-              "0.00810784, 0.00935326, 0.0148451, 0.0204879, 0.0276003, 0.0326903, 0.0346952, 0.0361231, 0.0358517, 0.0343825, 0.0305197, 0.029789, 0.0305428, 0.0268248, 0.0234969, 0.0227136, 0.0222111, 0.0207304, 0.0200349, 0.0185385, 0.0170943, 0.016918, 0.0158439, 0.0152927, 0.0138803, 0.0115658, 0.00805782, 0.00682368, 0.00572392, 0.00420285, 0.00253888, 0.00220536, 0.00202435, 0.00193324, 0.00152126, 0.000542838, 0.000215791, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.149286, 0.172974, 0.195056, 0.209325, 0.216953, 0.224582, 0.227663, 0.230744, 0.233825, 0.23829, 0.242443, 0.248506, 0.249229, 0.252269, 0.257391, 0.268778, 0.277608, 0.284929, 0.288589, 0.29225, 0.296604, 0.300959, 0.305314, 0.311565, 0.317256, 0.323561, 0.329865, 0.343528, 0.350657, 0.364069, 0.374711, 0.37902, 0.38333, 0.385729, 0.388129, 0.396712, 0.400848, 0.407073, 0.415698, 0.426341, 0.437976, 0.457785, 0.467269, 0.480562, 0.487731, 0.495314, 0.50669, 0.523032, 0.538494, 0.556046");
-            values ( \
-              "0.0232231, 0.0251226, 0.0357891, 0.041962, 0.0467384, 0.0525434, 0.0526728, 0.0529287, 0.053311, 0.0540893, 0.0550515, 0.0570941, 0.0593527, 0.0609375, 0.0598121, 0.0560246, 0.0527394, 0.0511693, 0.0505729, 0.0501024, 0.0502219, 0.0501759, 0.0499644, 0.0493714, 0.0485349, 0.0466957, 0.0446455, 0.0394783, 0.0371531, 0.0314727, 0.0263828, 0.0249125, 0.0238792, 0.0240783, 0.0240875, 0.0240235, 0.0238917, 0.0234437, 0.022353, 0.0205893, 0.0184029, 0.0141041, 0.0122879, 0.0100997, 0.00906904, 0.00810813, 0.0068812, 0.00549455, 0.00444664, 0.00348656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.13815, 0.181984, 0.20479, 0.23823, 0.248886, 0.253811, 0.259395, 0.265028, 0.276295, 0.292664, 0.322628, 0.336606, 0.350222, 0.354084, 0.361807, 0.370192, 0.379248, 0.389562, 0.392574, 0.418776, 0.438982, 0.450227, 0.464669, 0.478136, 0.508734, 0.536873, 0.560019, 0.577551, 0.605046, 0.633006, 0.649827, 0.664916, 0.685035, 0.725272, 0.788289, 0.869588, 0.954059");
-            values ( \
-              "0.0103847, 0.0438242, 0.0598728, 0.0812313, 0.0870785, 0.0911243, 0.0913898, 0.0889663, 0.0860089, 0.0824245, 0.0754655, 0.0753784, 0.0745722, 0.072774, 0.0702668, 0.0668335, 0.0640594, 0.059512, 0.0576815, 0.0445852, 0.0404292, 0.0376816, 0.0346899, 0.0325241, 0.0261237, 0.0196779, 0.0152901, 0.0124876, 0.00914981, 0.00673012, 0.00549462, 0.00462909, 0.00375184, 0.00229583, 0.00114083, 0.000368873, 0.000183203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.156238, 0.18766, 0.220797, 0.24876, 0.254363, 0.275192, 0.286302, 0.31706, 0.337414, 0.364585, 0.379524, 0.399334, 0.4169, 0.440285, 0.445328, 0.474144, 0.486899, 0.524619, 0.55691, 0.57595, 0.598599, 0.621411, 0.670509, 0.721421, 0.739278, 0.763088, 0.802917, 0.841617, 0.898768, 0.9712, 1.05567, 1.22461");
-            values ( \
-              "0.0536337, 0.0638905, 0.0952661, 0.119297, 0.126748, 0.120364, 0.118417, 0.114021, 0.11052, 0.10517, 0.103544, 0.102894, 0.0991164, 0.0930943, 0.0912264, 0.07865, 0.0721811, 0.0644801, 0.0549077, 0.0483822, 0.0419367, 0.0368313, 0.0270014, 0.0185993, 0.0162085, 0.013572, 0.00976535, 0.0071323, 0.00445171, 0.00251242, 0.00113575, 0.000227082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.15631, 0.182014, 0.255147, 0.272405, 0.283182, 0.402039, 0.452998, 0.490726, 0.546887, 0.582521, 0.611733, 0.622632, 0.649935, 0.686837, 0.807225, 0.864904, 0.951901, 1.02228, 1.06074, 1.10665, 1.17354, 1.25539, 1.33986, 1.42433, 1.58998");
-            values ( \
-              "0.0620605, 0.0705052, 0.156882, 0.151507, 0.1496, 0.13764, 0.13068, 0.12833, 0.120626, 0.111285, 0.101672, 0.100922, 0.0967683, 0.0879027, 0.0556589, 0.0429094, 0.029791, 0.0215086, 0.0177843, 0.0142026, 0.0100166, 0.00652525, 0.00421154, 0.0026217, 0.00106634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.157137, 0.258228, 0.272075, 0.291495, 0.335086, 0.49973, 0.633832, 0.676329, 0.716444, 0.748532, 0.800465, 0.832161, 0.847795, 0.868766, 0.890182, 0.911806, 1.17919, 1.26366, 1.32882, 1.43828, 1.52275, 1.59958, 1.71684, 1.80132, 1.91153, 2.05963, 2.22858, 2.39752, 2.56646, 2.90434");
-            values ( \
-              "0.0531918, 0.179198, 0.174629, 0.171774, 0.168891, 0.159399, 0.149, 0.147775, 0.143387, 0.140971, 0.133277, 0.127599, 0.127133, 0.125739, 0.123531, 0.120262, 0.0708398, 0.0572105, 0.0479977, 0.0359877, 0.0285634, 0.0229341, 0.0162375, 0.0126734, 0.00894057, 0.00560748, 0.00326199, 0.00188024, 0.00107071, 0.000321939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.294086, 0.338002, 0.345794, 0.354587, 0.358983, 0.366059, 0.387289, 0.408737, 0.430185, 0.437836, 0.445488, 0.455182, 0.464876, 0.47217, 0.479463, 0.482842, 0.486221, 0.4896, 0.492978, 0.496465, 0.499951, 0.506923, 0.509765, 0.512607, 0.51829, 0.521352, 0.527475, 0.530536, 0.53701, 0.544824, 0.547502, 0.550747, 0.554484, 0.558256, 0.568374, 0.576357, 0.593117, 0.604923, 0.620842, 0.634588, 0.641421, 0.6461, 0.653304, 0.661209, 0.670342, 0.676841, 0.683339, 0.697938, 0.713174, 0.728191");
-            values ( \
-              "0.00916756, 0.00987572, 0.0110979, 0.0123583, 0.0129557, 0.0138261, 0.0162793, 0.0185313, 0.0206271, 0.0214389, 0.022086, 0.0226062, 0.0229985, 0.0232095, 0.0233481, 0.0235386, 0.0237703, 0.0240432, 0.0243573, 0.0248843, 0.0255206, 0.0271213, 0.0270088, 0.0268517, 0.0264036, 0.0260883, 0.0253023, 0.0248316, 0.023351, 0.0217681, 0.0209478, 0.0206173, 0.0201301, 0.0191243, 0.0179744, 0.0168646, 0.0152184, 0.0137988, 0.0115584, 0.00925691, 0.00821567, 0.00758, 0.00671732, 0.00592009, 0.00508813, 0.00459197, 0.00414299, 0.00330647, 0.00261823, 0.00199645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.22962, 0.275962, 0.298748, 0.314745, 0.367086, 0.413368, 0.449886, 0.482621, 0.49029, 0.499055, 0.511591, 0.526339, 0.538887, 0.550923, 0.554566, 0.56076, 0.593631, 0.602755, 0.607701, 0.616852, 0.626472, 0.63699, 0.659821, 0.681601, 0.707103, 0.712727, 0.723977, 0.759387, 0.77431, 0.778356, 0.786448, 0.802633, 0.867823, 0.897363");
-            values ( \
-              "0.00171835, 0.00463105, 0.00745432, 0.0101144, 0.0225381, 0.031117, 0.0370862, 0.0409644, 0.04152, 0.0418461, 0.0425707, 0.0467175, 0.0467884, 0.0435332, 0.0437393, 0.0421188, 0.0279587, 0.0246438, 0.0249364, 0.0247311, 0.0242491, 0.0227274, 0.018371, 0.0135058, 0.00925563, 0.00874738, 0.00725819, 0.00437486, 0.00374958, 0.00332728, 0.00318012, 0.00232964, 0.000835527, 0.000682139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.298075, 0.350065, 0.369816, 0.386254, 0.433219, 0.443515, 0.45381, 0.464106, 0.474401, 0.492209, 0.512889, 0.515952, 0.532929, 0.538166, 0.543403, 0.547083, 0.550763, 0.553357, 0.555951, 0.559594, 0.563237, 0.566029, 0.568822, 0.586405, 0.593872, 0.601513, 0.605643, 0.619972, 0.625567, 0.629985, 0.632537, 0.637642, 0.659362, 0.665667, 0.671727, 0.673747, 0.700069, 0.713638, 0.730894, 0.749397, 0.761322, 0.776349, 0.793311, 0.805132, 0.816547, 0.827961, 0.834871, 0.848691, 0.855601, 0.874103");
-            values ( \
-              "0.0244143, 0.0277757, 0.0345008, 0.0394321, 0.052878, 0.0554927, 0.0579786, 0.0603358, 0.0625641, 0.0660605, 0.069809, 0.07026, 0.0717749, 0.0724517, 0.0732563, 0.0747435, 0.0767333, 0.0768585, 0.0768967, 0.076803, 0.0765373, 0.0759397, 0.0751832, 0.0686532, 0.0660583, 0.0632238, 0.0613813, 0.0542318, 0.0507751, 0.048438, 0.0472454, 0.0452065, 0.0406096, 0.0388774, 0.0374369, 0.0369961, 0.0321206, 0.0293822, 0.0255984, 0.021221, 0.0187356, 0.0159211, 0.0130706, 0.0113472, 0.0100085, 0.00881058, 0.00817399, 0.00701546, 0.00649352, 0.00526667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275035, 0.317493, 0.403083, 0.485557, 0.51399, 0.549996, 0.556194, 0.568589, 0.594653, 0.597901, 0.604396, 0.615997, 0.652429, 0.663745, 0.710732, 0.722465, 0.750361, 0.816541, 0.888137, 0.957781, 1.02214, 1.05999, 1.11571, 1.25726, 1.4262");
-            values ( \
-              "0.0190021, 0.0232866, 0.0603711, 0.0911377, 0.100421, 0.110073, 0.113962, 0.108643, 0.103786, 0.104189, 0.103972, 0.10289, 0.0946701, 0.0914069, 0.0707752, 0.0691414, 0.0625673, 0.0420439, 0.0270836, 0.0161166, 0.00962545, 0.00705767, 0.00443226, 0.00125189, 0.00019868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.274976, 0.334986, 0.384664, 0.473867, 0.51614, 0.549937, 0.560866, 0.577101, 0.59247, 0.614846, 0.66966, 0.708283, 0.754081, 0.762948, 0.801057, 0.830991, 0.84478, 0.863727, 0.880545, 0.898734, 0.979263, 1.02318, 1.08107, 1.13251, 1.16982, 1.22099, 1.27507, 1.32183, 1.38942, 1.47364, 1.55811, 1.64258, 1.81153, 1.98047, 2.06494");
-            values ( \
-              "0.018613, 0.0382039, 0.0647896, 0.109039, 0.128258, 0.142614, 0.145028, 0.143449, 0.140494, 0.138378, 0.130797, 0.128247, 0.121802, 0.120796, 0.110837, 0.101201, 0.10035, 0.097249, 0.0935732, 0.0891125, 0.0669779, 0.0557821, 0.0430745, 0.0347696, 0.0296801, 0.0233087, 0.0179478, 0.0143608, 0.0100127, 0.00642427, 0.00422375, 0.00255272, 0.000979886, 0.000347783, 0.000350873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.274821, 0.360901, 0.468253, 0.541658, 0.55657, 0.567371, 0.575348, 0.594461, 0.693317, 0.766032, 0.848722, 0.890137, 0.904437, 0.933036, 0.956434, 1.01566, 1.04613, 1.06159, 1.08245, 1.10372, 1.12592, 1.14982, 1.39393, 1.4784, 1.54356, 1.5909, 1.6531, 1.7375, 1.8143, 1.93148, 2.01595, 2.0785, 2.1263, 2.2745, 2.44344, 2.61238, 2.78133, 3.11921");
-            values ( \
-              "0.0156659, 0.0595196, 0.122289, 0.16231, 0.169938, 0.170226, 0.168231, 0.166606, 0.160733, 0.155724, 0.148972, 0.147861, 0.145907, 0.143237, 0.141723, 0.133233, 0.127657, 0.12719, 0.125817, 0.123706, 0.12035, 0.116363, 0.070824, 0.0572272, 0.047982, 0.0424184, 0.0359968, 0.0285473, 0.0229494, 0.0162562, 0.0126639, 0.0103852, 0.00895224, 0.00561752, 0.00327279, 0.00189142, 0.00108203, 0.00033322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.530509, 0.608381, 0.635115, 0.65781, 0.681248, 0.746263, 0.788066, 0.838362, 0.883261, 0.905741, 0.926311, 0.944689, 0.962108, 0.97014, 0.977263, 0.982382, 0.987375, 0.991152, 0.995257, 0.999902, 1.0021, 1.0065, 1.04132, 1.06083, 1.10067, 1.14514, 1.14704, 1.15083, 1.15842, 1.17225, 1.19937, 1.21605, 1.22658, 1.24294, 1.25815, 1.28855, 1.32113, 1.34946");
-            values ( \
-              "0.00190616, 0.00214836, 0.00282477, 0.00365723, 0.00483561, 0.00950516, 0.0119845, 0.0141136, 0.0150545, 0.0149616, 0.0159343, 0.0176084, 0.0170163, 0.0175309, 0.0175403, 0.0180863, 0.0178907, 0.0179687, 0.0172025, 0.0171585, 0.0168949, 0.0174536, 0.0157762, 0.0137241, 0.0077994, 0.00411374, 0.00432852, 0.00377557, 0.00368798, 0.00270027, 0.00204117, 0.00128338, 0.00184374, 0.0016435, 0.00121751, 0.000750042, 0.00040924, 0.000287824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.530182, 0.608082, 0.65695, 0.70403, 0.731973, 0.802788, 0.857199, 0.905869, 0.931474, 0.951606, 0.969278, 0.988122, 1.01076, 1.0317, 1.0483, 1.05935, 1.06887, 1.09014, 1.14866, 1.17337, 1.21575, 1.2271, 1.29468, 1.33992, 1.40806, 1.49085");
-            values ( \
-              "0.00340516, 0.00373384, 0.00617801, 0.0104929, 0.0139568, 0.0209001, 0.0246399, 0.0266664, 0.0268347, 0.0284906, 0.0311757, 0.0308419, 0.0323905, 0.0305627, 0.0263162, 0.0251546, 0.0261226, 0.0244768, 0.0129961, 0.0094469, 0.00539631, 0.00574423, 0.00233974, 0.00121578, 0.000402677, 0.000120004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.530239, 0.609789, 0.657322, 0.685693, 0.774986, 0.829117, 0.891328, 0.94718, 0.970996, 0.996399, 1.007, 1.01795, 1.06072, 1.08702, 1.0978, 1.12109, 1.16952, 1.21588, 1.2193, 1.27911, 1.30228, 1.33316, 1.36089, 1.40374, 1.46088, 1.54535, 1.62982");
-            values ( \
-              "0.0056348, 0.00624817, 0.00994586, 0.0132572, 0.0279, 0.0348966, 0.0412098, 0.0452714, 0.0461352, 0.0486849, 0.0509804, 0.0520438, 0.0543106, 0.0497946, 0.0457208, 0.0423486, 0.0337424, 0.0236493, 0.0240614, 0.0131586, 0.0101852, 0.00718833, 0.00526176, 0.00323079, 0.00165343, 0.000575421, 0.000209231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.530312, 0.62743, 0.665586, 0.708055, 0.779794, 0.814148, 0.874425, 0.948485, 1.01515, 1.05443, 1.07197, 1.09091, 1.11804, 1.12616, 1.13795, 1.15288, 1.16919, 1.181, 1.21602, 1.22477, 1.23485, 1.29329, 1.36152, 1.41693, 1.47275, 1.51099, 1.5674, 1.60397, 1.67711, 1.76158, 1.77484");
-            values ( \
-              "0.00713599, 0.01135, 0.0159035, 0.0232969, 0.0391276, 0.0455371, 0.0552547, 0.0659736, 0.0734335, 0.0768783, 0.0802449, 0.0826228, 0.0845893, 0.084877, 0.0847327, 0.0820699, 0.0776319, 0.0730084, 0.0674973, 0.0670593, 0.0648395, 0.0455887, 0.030295, 0.0203802, 0.013236, 0.00977994, 0.00618082, 0.00460665, 0.00247087, 0.00122269, 0.00112138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.530091, 0.654526, 0.665996, 0.726064, 0.778937, 0.829026, 0.947034, 1.02594, 1.06475, 1.16814, 1.1907, 1.21397, 1.21966, 1.22725, 1.25296, 1.29521, 1.31321, 1.32553, 1.35504, 1.39287, 1.46952, 1.51245, 1.56966, 1.65587, 1.70691, 1.76606, 1.81162, 1.87821, 1.95908, 2.04355, 2.12802, 2.2125, 2.38144, 2.55038");
-            values ( \
-              "0.00758769, 0.0198021, 0.0217143, 0.0345126, 0.0483976, 0.0601066, 0.0841589, 0.0989665, 0.105543, 0.119295, 0.123123, 0.124233, 0.125317, 0.124985, 0.120318, 0.10884, 0.102566, 0.101469, 0.0968486, 0.0875286, 0.0662157, 0.0554722, 0.042859, 0.0298408, 0.0235709, 0.0177182, 0.0141421, 0.010017, 0.00656464, 0.0042096, 0.00264763, 0.00170674, 0.000696904, 0.000291496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.607575, 0.720103, 0.847774, 1.05758, 1.12691, 1.17525, 1.21525, 1.23628, 1.25779, 1.27984, 1.31829, 1.35244, 1.38337, 1.41593, 1.44275, 1.49768, 1.51515, 1.53248, 1.54903, 1.5708, 1.59314, 1.63329, 1.86288, 1.9296, 2.01407, 2.09865, 2.16256, 2.24703, 2.27892, 2.34271, 2.42019, 2.50466, 2.57817, 2.60742, 2.66593, 2.7504, 2.83488, 2.91935, 3.00382, 3.08829, 3.17276, 3.3417, 3.59512");
-            values ( \
-              "0.0350299, 0.0394773, 0.0740823, 0.124499, 0.139756, 0.149631, 0.157012, 0.157266, 0.155455, 0.153106, 0.150113, 0.148706, 0.1465, 0.143308, 0.141447, 0.133488, 0.130036, 0.127408, 0.127098, 0.125361, 0.122952, 0.116385, 0.0733107, 0.0622708, 0.049672, 0.0397955, 0.0335733, 0.0265315, 0.024283, 0.0201532, 0.0160629, 0.0123996, 0.00989494, 0.00908964, 0.0075353, 0.00582775, 0.00442173, 0.0034287, 0.00257818, 0.00201488, 0.00149565, 0.000862584, 0.000420847" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0105213, 0.0105545, 0.0105921, 0.0106273, 0.0106547, 0.0106729", \
-            "0.0133841, 0.0134216, 0.0134694, 0.0135187, 0.0135607, 0.0135907", \
-            "0.0153504, 0.0153719, 0.0154047, 0.0154451, 0.015485, 0.0155165", \
-            "0.0165859, 0.0165769, 0.016571, 0.0165733, 0.0165839, 0.0165977", \
-            "0.0173168, 0.0172862, 0.0172454, 0.0172022, 0.0171675, 0.0171473", \
-            "0.0177036, 0.0176692, 0.0176242, 0.0175712, 0.0175108, 0.0174529" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0158499, 0.0158677, 0.0158957, 0.015928, 0.0159564, 0.0159767", \
-            "0.0169987, 0.0169479, 0.0168903, 0.0168406, 0.016802, 0.0167754", \
-            "0.016462, 0.0163581, 0.016221, 0.016096, 0.0159786, 0.0158986", \
-            "0.0159492, 0.0157909, 0.0155923, 0.0153942, 0.0152236, 0.0151012", \
-            "0.0155927, 0.0156267, 0.0155227, 0.0152757, 0.0149871, 0.0147768", \
-            "0.0140977, 0.0141539, 0.0142672, 0.0145135, 0.0151579, 0.0148784" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0253207, 0.0270428, 0.0328425, 0.0484321, 0.0569101, 0.0699504, 0.0725806, 0.0779307, 0.0801361, 0.0866244, 0.113777, 0.123534, 0.134819, 0.148438, 0.198308, 0.208215, 0.214141, 0.221331, 0.227208, 0.235353, 0.242483, 0.249692, 0.258189, 0.268191, 0.331418, 0.408737");
-            values ( \
-              "-0.0579783, -0.0945335, -0.0875521, -0.0803719, -0.0737016, -0.0551105, -0.0533177, -0.0573052, -0.0574753, -0.0533723, -0.029033, -0.0219192, -0.0152278, -0.00890272, -0.00212858, -0.0023562, -0.00158731, -0.0016145, -0.000704806, -0.00109023, -0.000560729, -0.00116739, -0.000732583, -0.00124449, -0.000262008, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0252918, 0.0276738, 0.0303036, 0.0355121, 0.0368574, 0.0393284, 0.0417404, 0.0442159, 0.0489574, 0.0637666, 0.0755274, 0.0796312, 0.0826396, 0.0851951, 0.0897424, 0.0955272, 0.108654, 0.134946, 0.149222, 0.166723, 0.18422, 0.19666, 0.204614, 0.21306, 0.248988, 0.270259, 0.289789, 0.360139, 0.374516");
-            values ( \
-              "-0.0646864, -0.144618, -0.139502, -0.134143, -0.134645, -0.132397, -0.132204, -0.130342, -0.128571, -0.116515, -0.0950331, -0.0897271, -0.0888552, -0.0920774, -0.0948211, -0.0908356, -0.0759508, -0.0432027, -0.029879, -0.0185284, -0.0100135, -0.00639098, -0.00492685, -0.00418103, -0.00399733, -0.00243956, -0.00203307, -0.000856062, -0.000737362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0264896, 0.0265096, 0.0305813, 0.0354374, 0.0563013, 0.0643267, 0.0775081, 0.0921438, 0.0968653, 0.100227, 0.103418, 0.1098, 0.11589, 0.128448, 0.16762, 0.182068, 0.206803, 0.22766, 0.238337, 0.249926, 0.298079, 0.335664, 0.366121, 0.417219");
-            values ( \
-              "-1e-22, -0.20668, -0.19725, -0.1914, -0.181707, -0.176973, -0.16592, -0.140652, -0.135475, -0.136999, -0.141584, -0.14256, -0.137242, -0.122469, -0.0662299, -0.0497606, -0.0294398, -0.0154463, -0.010386, -0.00796278, -0.0070748, -0.00428993, -0.00302287, -0.00164645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0269027, 0.0269227, 0.0326975, 0.0398553, 0.0770236, 0.0932267, 0.1037, 0.119138, 0.126248, 0.134519, 0.13943, 0.144507, 0.157513, 0.174874, 0.228087, 0.275302, 0.285839, 0.295407, 0.314283, 0.375453, 0.422723, 0.471021, 0.525874, 0.546544");
-            values ( \
-              "-1e-22, -0.259885, -0.248991, -0.242699, -0.229879, -0.222043, -0.21361, -0.192783, -0.186103, -0.193457, -0.194863, -0.192836, -0.183376, -0.164584, -0.0921822, -0.0439595, -0.0351135, -0.0299015, -0.0244907, -0.0123356, -0.00680412, -0.00411948, -0.00245214, -0.00215914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0272384, 0.0272584, 0.0359237, 0.0479026, 0.0876629, 0.134963, 0.151287, 0.175313, 0.180878, 0.193275, 0.201418, 0.232136, 0.262436, 0.386743, 0.41657, 0.49318, 0.557459, 0.598602, 0.677951, 0.74943");
-            values ( \
-              "-1e-22, -0.301243, -0.288785, -0.282642, -0.274861, -0.262237, -0.253949, -0.234179, -0.232904, -0.24066, -0.239434, -0.224967, -0.199751, -0.0722391, -0.0585441, -0.0321583, -0.0171712, -0.0107084, -0.0048089, -0.0038246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.027594, 0.0282628, 0.037616, 0.0472405, 0.0792666, 0.115013, 0.159018, 0.205738, 0.241998, 0.27146, 0.282271, 0.289916, 0.301569, 0.341272, 0.36202, 0.392888, 0.42838, 0.462798, 0.552176, 0.567421, 0.644298, 0.703324, 0.747942, 0.802121, 0.860127, 0.904274, 0.952961, 1.01097, 1.06897, 1.18498, 1.30099, 1.59102");
-            values ( \
-              "-0.32904, -0.329416, -0.316935, -0.312394, -0.307454, -0.304366, -0.299294, -0.292328, -0.282093, -0.269134, -0.270348, -0.274187, -0.274077, -0.265478, -0.258845, -0.246469, -0.224532, -0.201054, -0.131285, -0.12338, -0.0895432, -0.0664872, -0.0517892, -0.0380043, -0.027127, -0.0204972, -0.0150667, -0.0104351, -0.00704906, -0.00360236, -0.00202487, -0.000679031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0412641, 0.0442981, 0.0539392, 0.0553169, 0.0570882, 0.0589577, 0.0625974, 0.0668747, 0.0726632, 0.0890375, 0.0916717, 0.0944213, 0.0970872, 0.10153, 0.105067, 0.109099, 0.114749, 0.122904, 0.124302, 0.127099, 0.132692, 0.138878, 0.147288, 0.149652, 0.15438, 0.162284, 0.168265, 0.178011, 0.180299, 0.184875, 0.194026, 0.201742, 0.210658, 0.218115, 0.228307, 0.232777, 0.239872, 0.245631, 0.254237, 0.261382, 0.268884, 0.277307, 0.288241, 0.303861, 0.325529, 0.328007");
-            values ( \
-              "-0.0229797, -0.063531, -0.0909587, -0.0866038, -0.0876045, -0.083795, -0.0842343, -0.0792616, -0.0776219, -0.0532874, -0.0544396, -0.0542426, -0.0587049, -0.0551451, -0.0546553, -0.0485445, -0.0464956, -0.0359643, -0.0372629, -0.0321756, -0.0300062, -0.0227058, -0.0198791, -0.0159419, -0.0160039, -0.00941861, -0.00991546, -0.00574325, -0.00809665, -0.00505664, -0.00639464, -0.00270761, -0.00410321, -0.000981157, -0.00336092, -0.000500008, -0.00270389, -1e-22, -0.00216659, -1e-22, -0.00227297, -1e-22, -0.00237113, -1e-22, -0.00209213, -0.00192201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0405647, 0.0453145, 0.0535669, 0.057078, 0.0592104, 0.0628132, 0.0672277, 0.0799486, 0.0922464, 0.0996279, 0.103252, 0.105886, 0.108303, 0.112164, 0.129503, 0.148677, 0.166658, 0.178101, 0.190117, 0.197373, 0.207312, 0.2165, 0.228377, 0.237057, 0.249428, 0.270753, 0.279349, 0.300116, 0.310075, 0.378549");
-            values ( \
-              "-0.00133509, -0.103445, -0.140425, -0.1346, -0.13408, -0.130614, -0.129089, -0.119457, -0.0979533, -0.0884189, -0.0904907, -0.0943123, -0.0942175, -0.0930308, -0.073188, -0.0486799, -0.030832, -0.0227376, -0.0159125, -0.0121531, -0.00851129, -0.00610473, -0.00437188, -0.00400777, -0.00447432, -0.00380733, -0.00276803, -0.00199474, -0.00218474, -0.000970178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.040987, 0.0468002, 0.0535975, 0.0600326, 0.0776894, 0.0932782, 0.115131, 0.119195, 0.122294, 0.128491, 0.133629, 0.147002, 0.175288, 0.198042, 0.223397, 0.246557, 0.258034, 0.270367, 0.31796, 0.368781, 0.401702, 0.442504");
-            values ( \
-              "-0.00975871, -0.153043, -0.197183, -0.18931, -0.18025, -0.169129, -0.135312, -0.13726, -0.141643, -0.142491, -0.138044, -0.122396, -0.080845, -0.0524264, -0.0308094, -0.0152141, -0.00989381, -0.00776598, -0.00691782, -0.00356557, -0.00240945, -0.00174513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0408316, 0.0488103, 0.0545675, 0.06548, 0.0826023, 0.111865, 0.122027, 0.14488, 0.153106, 0.157968, 0.17605, 0.193504, 0.249058, 0.297775, 0.31699, 0.388089, 0.445606, 0.53476");
-            values ( \
-              "-0.0181062, -0.207085, -0.250251, -0.240052, -0.23519, -0.221628, -0.214259, -0.186108, -0.19344, -0.194882, -0.183436, -0.1646, -0.0893258, -0.040517, -0.0288353, -0.0133827, -0.00651287, -0.00294548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0407444, 0.0518478, 0.0539715, 0.0606754, 0.0694525, 0.120875, 0.152112, 0.170021, 0.194715, 0.200224, 0.205941, 0.212171, 0.219909, 0.23447, 0.25637, 0.281197, 0.335385, 0.401302, 0.410709, 0.441519, 0.501426, 0.558779, 0.588928, 0.634565, 0.677026, 0.720407, 0.817531, 0.87113");
-            values ( \
-              "-0.0269576, -0.280212, -0.293362, -0.286377, -0.282259, -0.271497, -0.262833, -0.253955, -0.233889, -0.233128, -0.238037, -0.240541, -0.23963, -0.233759, -0.22155, -0.199891, -0.141483, -0.0753025, -0.0692098, -0.0561511, -0.0354001, -0.0208006, -0.0150342, -0.00896038, -0.00596684, -0.00408556, -0.00207804, -0.00168618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0449509, 0.0546401, 0.064059, 0.072061, 0.166989, 0.219121, 0.239782, 0.29458, 0.311698, 0.320475, 0.342627, 0.380973, 0.41186, 0.447333, 0.51903, 0.571797, 0.663264, 0.722483, 0.767157, 0.820595, 0.878601, 0.9394, 1.03015, 1.08816, 1.26217, 1.31982");
-            values ( \
-              "-0.219147, -0.323298, -0.314015, -0.311331, -0.300374, -0.29313, -0.288888, -0.268555, -0.274431, -0.274237, -0.269799, -0.259116, -0.246205, -0.224784, -0.173091, -0.130685, -0.089651, -0.0663083, -0.0518211, -0.0382128, -0.02711, -0.0186221, -0.0103317, -0.00712621, -0.00273966, -0.00238541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0636088, 0.0871657, 0.0982911, 0.106919, 0.112654, 0.114445, 0.116496, 0.126302, 0.132269, 0.137577, 0.138125, 0.139223, 0.145426, 0.173493, 0.183293, 0.194054, 0.207439, 0.216325, 0.258497, 0.268174, 0.273501, 0.280568, 0.286226, 0.29392, 0.301047, 0.307991, 0.316108, 0.325469, 0.339524, 0.355716, 0.384591, 0.409542");
-            values ( \
-              "-0.00298117, -0.0526868, -0.065057, -0.0721455, -0.0749209, -0.0772823, -0.075879, -0.0588895, -0.0533567, -0.0575522, -0.0571502, -0.0575911, -0.0540612, -0.0288555, -0.0216885, -0.0153745, -0.00905787, -0.00741503, -0.00210338, -0.00230386, -0.00159959, -0.00162453, -0.000735399, -0.00106542, -0.000554813, -0.0011144, -0.000732347, -0.00120882, -0.000753572, -0.00102252, -0.0003503, -0.000466443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0716465, 0.0770488, 0.0826983, 0.0981867, 0.11258, 0.113695, 0.12386, 0.138967, 0.141619, 0.149336, 0.157175, 0.17054, 0.183737, 0.196946, 0.209455, 0.223522, 0.234903, 0.239825, 0.241477, 0.244781, 0.251178, 0.259656, 0.267303, 0.277083, 0.289106, 0.300604, 0.31169, 0.32027, 0.32987, 0.341237, 0.351419, 0.362977, 0.377118, 0.396451, 0.424667, 0.463716, 0.512218");
-            values ( \
-              "-0.0325176, -0.0596402, -0.0726347, -0.1016, -0.121674, -0.128298, -0.116322, -0.0902471, -0.0880979, -0.0950059, -0.0890843, -0.0737459, -0.055437, -0.0403372, -0.0289794, -0.0195471, -0.014467, -0.0110002, -0.0114815, -0.00910121, -0.0082377, -0.00519489, -0.00507588, -0.00359315, -0.00485105, -0.00374726, -0.00419453, -0.0023979, -0.00302309, -0.00160857, -0.00256248, -0.00128638, -0.00214621, -0.000698794, -0.0013401, -1e-22, -0.000675585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0716599, 0.0795913, 0.0864988, 0.0958756, 0.103692, 0.112591, 0.114067, 0.11623, 0.117953, 0.120928, 0.129711, 0.136806, 0.139648, 0.153345, 0.156306, 0.160512, 0.163593, 0.169756, 0.173747, 0.178307, 0.188091, 0.20532, 0.209616, 0.220008, 0.22986, 0.245637, 0.253879, 0.262671, 0.288468, 0.295161, 0.302583, 0.317338, 0.349223, 0.368048, 0.37286, 0.393612, 0.423495, 0.460801, 0.521024, 0.568897");
-            values ( \
-              "-0.0278152, -0.091504, -0.11319, -0.138438, -0.157525, -0.176546, -0.183013, -0.18554, -0.182999, -0.180163, -0.173429, -0.166507, -0.162352, -0.138354, -0.135291, -0.137252, -0.141861, -0.14229, -0.139045, -0.134293, -0.122334, -0.0977048, -0.0904344, -0.0759049, -0.0629859, -0.0459125, -0.0387401, -0.0321507, -0.0147604, -0.0116244, -0.00892734, -0.00740625, -0.00745044, -0.00634666, -0.00581592, -0.0043702, -0.00307791, -0.0019219, -0.000733862, -0.000343544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0718567, 0.0832939, 0.114183, 0.115597, 0.124114, 0.143511, 0.157893, 0.163807, 0.176966, 0.18631, 0.194088, 0.19949, 0.209693, 0.217172, 0.23497, 0.277905, 0.300138, 0.336291, 0.348577, 0.358124, 0.374365, 0.415722, 0.440531, 0.463323, 0.497028, 0.546249, 0.603139, 0.637595");
-            values ( \
-              "-0.0258914, -0.131167, -0.239979, -0.241245, -0.235642, -0.227088, -0.219083, -0.213649, -0.195886, -0.185968, -0.193067, -0.195029, -0.189626, -0.183696, -0.164585, -0.105085, -0.0784889, -0.0432595, -0.0334827, -0.0285668, -0.0242373, -0.0158692, -0.0117502, -0.00875748, -0.00581889, -0.00359917, -0.0020763, -0.00167306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0785884, 0.0964822, 0.11461, 0.117329, 0.119674, 0.128769, 0.135849, 0.164574, 0.193634, 0.212898, 0.236199, 0.241712, 0.247433, 0.253584, 0.261242, 0.283258, 0.29813, 0.322689, 0.376832, 0.441995, 0.450718, 0.472326, 0.51468, 0.562762, 0.598048, 0.62183, 0.646615, 0.67966, 0.722602, 0.812474, 0.862163, 0.912347");
-            values ( \
-              "-0.161483, -0.20953, -0.280165, -0.284976, -0.282113, -0.278628, -0.277672, -0.27127, -0.263078, -0.252914, -0.233911, -0.233109, -0.238054, -0.240511, -0.239698, -0.229928, -0.221371, -0.199894, -0.141524, -0.0759839, -0.0700193, -0.0604236, -0.0447228, -0.0297672, -0.021392, -0.0165775, -0.0125263, -0.00869755, -0.00565686, -0.00285026, -0.0021227, -0.00176274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0786002, 0.0952641, 0.115403, 0.120374, 0.129365, 0.136762, 0.139682, 0.145522, 0.157204, 0.174826, 0.192736, 0.228557, 0.283533, 0.322395, 0.33754, 0.3498, 0.361903, 0.378725, 0.422438, 0.453191, 0.488799, 0.560053, 0.613883, 0.714975, 0.765378, 0.826481, 0.899359, 0.978133, 0.984175, 0.996258, 1.02042, 1.05383, 1.10355, 1.16156, 1.21957, 1.27757, 1.33558, 1.39358, 1.45159, 1.50959, 1.5676, 1.6256, 1.68361");
-            values ( \
-              "-0.163738, -0.225012, -0.314906, -0.311947, -0.309093, -0.308571, -0.307422, -0.307584, -0.305712, -0.304505, -0.301945, -0.298205, -0.288697, -0.273295, -0.268467, -0.27377, -0.274306, -0.271173, -0.259266, -0.246117, -0.224978, -0.173659, -0.130018, -0.0849992, -0.065411, -0.0464855, -0.0303077, -0.0185196, -0.018716, -0.0164332, -0.0149816, -0.0111662, -0.00892969, -0.00539224, -0.00474604, -0.00262746, -0.00287956, -0.00131017, -0.00193155, -0.000593952, -0.00139135, -0.000166923, -0.00106163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.128532, 0.143409, 0.154873, 0.181952, 0.197901, 0.206194, 0.218196, 0.227208, 0.231015, 0.241424, 0.248869, 0.252869, 0.26863, 0.281506, 0.298216, 0.307449, 0.341692, 0.349572, 0.359415, 0.376615, 0.415812, 0.479666, 0.555381");
-            values ( \
-              "-0.0193995, -0.0246519, -0.0326295, -0.0456141, -0.050079, -0.0484392, -0.0439636, -0.0513122, -0.0517947, -0.047733, -0.0418625, -0.040579, -0.0261232, -0.0176264, -0.00942859, -0.00756763, -0.00296342, -0.0023763, -0.00224004, -0.000897584, -0.00115455, -0.000372585, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.128981, 0.147353, 0.160129, 0.179882, 0.195064, 0.203, 0.210965, 0.217823, 0.225951, 0.229537, 0.233496, 0.236423, 0.24091, 0.245308, 0.248972, 0.25199, 0.255116, 0.271625, 0.278082, 0.285462, 0.297392, 0.301225, 0.311163, 0.321504, 0.329805, 0.340848, 0.34932, 0.357365, 0.367042, 0.390764, 0.415624, 0.424173, 0.493682, 0.525241, 0.529472");
-            values ( \
-              "-0.0252736, -0.042475, -0.0550421, -0.071047, -0.0808255, -0.0845156, -0.0863691, -0.0837968, -0.0791291, -0.0785386, -0.0819118, -0.0872196, -0.089713, -0.0891642, -0.0864487, -0.0862479, -0.0833779, -0.0608986, -0.0527998, -0.0443818, -0.0326359, -0.0294857, -0.022592, -0.0166582, -0.0122592, -0.00805841, -0.00610817, -0.00466933, -0.004412, -0.00446316, -0.0027101, -0.00241558, -0.00111059, -0.000673467, -0.000632019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.129287, 0.141509, 0.149113, 0.153493, 0.162255, 0.181127, 0.191782, 0.210886, 0.220431, 0.228026, 0.23385, 0.245169, 0.248953, 0.250942, 0.254053, 0.257314, 0.262089, 0.266542, 0.279835, 0.308099, 0.316027, 0.33139, 0.337802, 0.345175, 0.355007, 0.374565, 0.376387, 0.380032, 0.386095, 0.392888, 0.406474, 0.420078, 0.437475, 0.453879, 0.469292, 0.497598, 0.529276, 0.573762, 0.653743");
-            values ( \
-              "-0.0277831, -0.0496527, -0.0617925, -0.0685047, -0.0803479, -0.103146, -0.114781, -0.132752, -0.139024, -0.141582, -0.139884, -0.133085, -0.133216, -0.137802, -0.14231, -0.144022, -0.141907, -0.138558, -0.122959, -0.0807348, -0.0702173, -0.0517511, -0.0454622, -0.0390326, -0.0317145, -0.0182444, -0.0173405, -0.0147177, -0.0119024, -0.0094008, -0.00765828, -0.00744666, -0.00752489, -0.00669185, -0.00538965, -0.00375672, -0.00259831, -0.00139814, -0.000328197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.129366, 0.147705, 0.161368, 0.170733, 0.181598, 0.210531, 0.234187, 0.245214, 0.25203, 0.255318, 0.270448, 0.277807, 0.279631, 0.286842, 0.291589, 0.301158, 0.309115, 0.321999, 0.326417, 0.36764, 0.382434, 0.406965, 0.429557, 0.438675, 0.448507, 0.465815, 0.525203, 0.547447, 0.575531, 0.622529, 0.675741, 0.748444, 0.859464");
-            values ( \
-              "-0.022531, -0.0753818, -0.101662, -0.116718, -0.133927, -0.175581, -0.203732, -0.213305, -0.217893, -0.215816, -0.193405, -0.186023, -0.186603, -0.194033, -0.194905, -0.189681, -0.183316, -0.170128, -0.164576, -0.107336, -0.0888182, -0.0628825, -0.0415672, -0.0342605, -0.0292876, -0.0244442, -0.012648, -0.0096597, -0.00674699, -0.00415151, -0.00251873, -0.00115429, -0.000272542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.139535, 0.156136, 0.176152, 0.191627, 0.220111, 0.239096, 0.248834, 0.254148, 0.261173, 0.265988, 0.270868, 0.291343, 0.303222, 0.325884, 0.33084, 0.33669, 0.33892, 0.343381, 0.349918, 0.36583, 0.374879, 0.392977, 0.414211, 0.477754, 0.531606, 0.541877, 0.5647, 0.607404, 0.623662, 0.65398, 0.689117, 0.713207, 0.738323, 0.770009, 0.812433, 0.854954, 0.900967, 0.94981, 1.00733, 1.18135");
-            values ( \
-              "-0.0983291, -0.107136, -0.147684, -0.176314, -0.225174, -0.254509, -0.267973, -0.272441, -0.2714, -0.268766, -0.267716, -0.26064, -0.253759, -0.234853, -0.232692, -0.235781, -0.238035, -0.239979, -0.240342, -0.234376, -0.23004, -0.218851, -0.199975, -0.131732, -0.0776758, -0.070208, -0.0600577, -0.0442892, -0.0389153, -0.0298429, -0.0214915, -0.0166039, -0.012498, -0.00881951, -0.00574088, -0.00413167, -0.00290631, -0.00218181, -0.00143098, -0.000476905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.139783, 0.195654, 0.230003, 0.253864, 0.263659, 0.271819, 0.284287, 0.288462, 0.338485, 0.361533, 0.377595, 0.394078, 0.420243, 0.427463, 0.443328, 0.45355, 0.492225, 0.51403, 0.544289, 0.580385, 0.61478, 0.651372, 0.70534, 0.720133, 0.796168, 0.836583, 0.867409, 0.9082, 0.934149, 0.968747, 1.01927, 1.07382, 1.15396, 1.21197, 1.26997, 1.38598, 1.50199, 1.73401");
-            values ( \
-              "-0.0870487, -0.202958, -0.268391, -0.306165, -0.306102, -0.303312, -0.30288, -0.301925, -0.295249, -0.291407, -0.287665, -0.281879, -0.27018, -0.268552, -0.27441, -0.274148, -0.265638, -0.258951, -0.246692, -0.224646, -0.200987, -0.173511, -0.130496, -0.122945, -0.0895704, -0.0731396, -0.0623152, -0.0494425, -0.0426918, -0.0351209, -0.0258333, -0.0184233, -0.0109498, -0.00762266, -0.00527789, -0.00282512, -0.00163726, -0.000590654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.219331, 0.250998, 0.265151, 0.288578, 0.319382, 0.342485, 0.355023, 0.363304, 0.372613, 0.378409, 0.385041, 0.388243, 0.396549, 0.402999, 0.416876, 0.423554, 0.436911, 0.473319, 0.481439, 0.490716, 0.506765, 0.507905, 0.510186, 0.518353, 0.53463, 0.543792, 0.550134, 0.55272, 0.557891, 0.562002, 0.574287, 0.652827, 0.725735");
-            values ( \
-              "-0.000145425, -0.0081322, -0.0112262, -0.0186207, -0.0263084, -0.0304066, -0.0319276, -0.0320798, -0.0306486, -0.0291459, -0.02823, -0.0283762, -0.0338904, -0.0353735, -0.0364408, -0.0361844, -0.032497, -0.0142479, -0.011052, -0.00912685, -0.00647583, -0.00652568, -0.00579347, -0.00444043, -0.00307776, -0.00261745, -0.00189786, -0.00256121, -0.00241411, -0.0019036, -0.00159647, -0.000604288, -0.000131158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.233252, 0.239611, 0.255725, 0.270887, 0.298383, 0.318729, 0.330035, 0.352648, 0.370049, 0.378267, 0.387902, 0.394383, 0.401566, 0.404937, 0.409438, 0.413561, 0.418603, 0.429361, 0.43611, 0.442735, 0.455986, 0.4806, 0.496132, 0.50715, 0.515832, 0.526815, 0.535596, 0.540311, 0.550312, 0.55145, 0.553726, 0.560184, 0.577286, 0.59813, 0.60685, 0.626795, 0.673433, 0.702769, 0.742483, 0.792439");
-            values ( \
-              "-0.00869698, -0.0100286, -0.0146157, -0.0199768, -0.0332468, -0.0411626, -0.0451301, -0.051845, -0.0554906, -0.0560086, -0.0541713, -0.052078, -0.050882, -0.0516589, -0.056589, -0.060025, -0.0620663, -0.063422, -0.0637373, -0.0634624, -0.0570711, -0.0375999, -0.0267199, -0.0203659, -0.0156398, -0.010451, -0.00791626, -0.00684664, -0.00581278, -0.00678724, -0.00748223, -0.00684767, -0.00581021, -0.00367155, -0.00313456, -0.00246003, -0.00134351, -0.000792411, -0.000370758, -0.000108555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.239218, 0.257455, 0.275302, 0.30261, 0.327721, 0.347652, 0.377088, 0.391794, 0.403192, 0.410826, 0.426566, 0.429251, 0.438591, 0.445121, 0.457587, 0.466536, 0.475414, 0.493169, 0.52259, 0.546115, 0.550264, 0.555247, 0.574453, 0.588434, 0.596314, 0.609418, 0.63946, 0.675261, 0.704383, 0.766279, 0.773162");
-            values ( \
-              "-0.0150441, -0.0223653, -0.0314882, -0.0497639, -0.0639005, -0.0739125, -0.0867161, -0.0913593, -0.0933806, -0.0922863, -0.0881019, -0.0882508, -0.0993352, -0.103523, -0.105817, -0.105945, -0.104145, -0.089704, -0.0581143, -0.0373611, -0.0341893, -0.0326463, -0.0181158, -0.012253, -0.0106947, -0.00933242, -0.00798614, -0.00491316, -0.00346505, -0.0015211, -0.00142519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.239202, 0.3138, 0.375363, 0.408015, 0.430039, 0.442717, 0.454229, 0.463235, 0.472487, 0.484667, 0.490439, 0.501505, 0.512445, 0.529042, 0.611819, 0.632941, 0.652744, 0.667505, 0.725831, 0.761367, 0.781143, 0.853201, 0.906753");
-            values ( \
-              "-0.0116932, -0.072705, -0.114964, -0.133951, -0.144148, -0.147312, -0.146326, -0.144251, -0.146142, -0.161312, -0.163722, -0.165602, -0.165125, -0.156769, -0.061691, -0.0411949, -0.0302772, -0.0258617, -0.0132633, -0.00828, -0.00658024, -0.00317393, -0.00228322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.257421, 0.288797, 0.322063, 0.346629, 0.389867, 0.418454, 0.464221, 0.482491, 0.504382, 0.515843, 0.527731, 0.534528, 0.546713, 0.550183, 0.55484, 0.559868, 0.584481, 0.595773, 0.618177, 0.67548, 0.725183, 0.741794, 0.747685, 0.773557, 0.816743, 0.849274, 0.874392, 0.910991, 0.958509, 0.994348, 1.0357, 1.12635, 1.17707, 1.23508, 1.29308, 1.35109");
-            values ( \
-              "-0.0472544, -0.0614111, -0.0925014, -0.113856, -0.148919, -0.170627, -0.201918, -0.212099, -0.220324, -0.22067, -0.219908, -0.221883, -0.236111, -0.238251, -0.240022, -0.239209, -0.227104, -0.219905, -0.199865, -0.138219, -0.0875055, -0.0727717, -0.0692294, -0.05804, -0.0422633, -0.0320589, -0.025866, -0.017628, -0.0102108, -0.00727846, -0.00473388, -0.00239094, -0.00200383, -0.00109418, -0.00100815, -0.00040199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.275089, 0.326153, 0.352569, 0.404609, 0.456611, 0.529782, 0.55005, 0.55717, 0.565644, 0.589869, 0.626646, 0.634298, 0.642868, 0.650048, 0.657082, 0.666582, 0.697779, 0.717602, 0.747933, 0.783956, 0.818353, 0.854658, 0.908721, 0.923314, 0.999619, 1.04574, 1.08758, 1.11525, 1.15671, 1.19253, 1.25054, 1.27279, 1.31878, 1.36416, 1.42217, 1.48018, 1.59619, 1.7122, 1.94422");
-            values ( \
-              "-0.0880726, -0.105853, -0.131885, -0.179256, -0.223934, -0.279027, -0.291648, -0.293374, -0.292041, -0.285115, -0.269227, -0.268901, -0.273249, -0.274462, -0.27416, -0.272407, -0.264963, -0.259108, -0.246505, -0.224793, -0.200847, -0.173859, -0.130505, -0.123208, -0.0896884, -0.071087, -0.0568332, -0.0485386, -0.0383947, -0.0310762, -0.0219002, -0.0189997, -0.0142198, -0.0105497, -0.00726895, -0.00513967, -0.00278963, -0.00164108, -0.000621329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.451005, 0.49365, 0.515857, 0.534557, 0.564266, 0.573867, 0.608358, 0.622178, 0.643354, 0.659032, 0.676643, 0.691868, 0.701543, 0.712091, 0.71898, 0.726597, 0.73255, 0.738316, 0.747953, 0.75656, 0.767272, 0.781555, 0.784139, 0.789307, 0.799642, 0.812279, 0.849429, 0.885613, 0.899516, 0.906125, 0.91865, 0.932732, 0.940503, 0.948116, 0.956581, 0.973512, 1.02714, 1.10676, 1.21603, 1.23847, 1.27005");
-            values ( \
-              "-0.00254585, -0.00360425, -0.00480782, -0.00622856, -0.00934522, -0.0107902, -0.0149016, -0.016055, -0.0181925, -0.0190761, -0.0203508, -0.0202803, -0.0197379, -0.0182353, -0.0178322, -0.0182046, -0.0208661, -0.0222188, -0.0228875, -0.0231567, -0.0237489, -0.0241413, -0.0239246, -0.0240104, -0.0233759, -0.0218942, -0.012012, -0.00593249, -0.00463234, -0.00369718, -0.0026175, -0.00221359, -0.00217061, -0.00198091, -0.00190306, -0.00149824, -0.000590412, -8.12358e-05, -1e-22, -0.000248817, -6.81895e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.450196, 0.500058, 0.526011, 0.542701, 0.573252, 0.584344, 0.636766, 0.677045, 0.697133, 0.712513, 0.722091, 0.733102, 0.740864, 0.749275, 0.755338, 0.76181, 0.798425, 0.810452, 0.834506, 0.852715, 0.898282, 0.905596, 0.914534, 0.939083, 0.964662, 0.975637, 1.0066, 1.04465, 1.07717, 1.11927, 1.21587, 1.23743, 1.26656");
-            values ( \
-              "-0.00338967, -0.00659762, -0.00907404, -0.0113019, -0.016542, -0.0190558, -0.0283245, -0.0335696, -0.0355473, -0.035877, -0.0350731, -0.0331341, -0.0323316, -0.0335705, -0.0375088, -0.0395945, -0.0427849, -0.0428416, -0.0406433, -0.0342537, -0.0137575, -0.0113236, -0.00964764, -0.00810223, -0.00522481, -0.00447268, -0.00305247, -0.00171803, -0.000986021, -0.000391107, -3.09249e-05, -0.000456596, -0.000188372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.449098, 0.510891, 0.537896, 0.556622, 0.582363, 0.602328, 0.642755, 0.697733, 0.727063, 0.747842, 0.778746, 0.783644, 0.795242, 0.804416, 0.840254, 0.85653, 0.881842, 0.890604, 0.958878, 0.970365, 0.984475, 0.99308, 1.01029, 1.04856, 1.08273, 1.11878, 1.17847, 1.21577, 1.23662, 1.28744");
-            values ( \
-              "-0.00355903, -0.0117435, -0.0159355, -0.0198744, -0.0263936, -0.0323514, -0.0426039, -0.0543283, -0.0590397, -0.060438, -0.0565242, -0.0574031, -0.0653448, -0.0678726, -0.0719017, -0.0726022, -0.0682042, -0.0643274, -0.022068, -0.0173074, -0.014608, -0.0133663, -0.0120037, -0.00734849, -0.00484159, -0.00297111, -0.00110067, -0.000682166, -0.00111903, -0.000321797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.448362, 0.52841, 0.560427, 0.678359, 0.75035, 0.789362, 0.805539, 0.821317, 0.836748, 0.843306, 0.852392, 0.861075, 0.868721, 0.90384, 0.924099, 0.932943, 0.950631, 0.97431, 0.995848, 1.03439, 1.04582, 1.06971, 1.11763, 1.13683, 1.1592, 1.1764, 1.21289, 1.21575, 1.22147, 1.27542, 1.35842, 1.47443");
-            values ( \
-              "-0.00239118, -0.0200398, -0.0279542, -0.0672153, -0.0869918, -0.0950906, -0.096588, -0.0955168, -0.093744, -0.0949515, -0.10234, -0.106853, -0.108611, -0.113932, -0.115297, -0.114761, -0.11104, -0.0979717, -0.0822251, -0.04964, -0.042484, -0.033702, -0.020162, -0.0156743, -0.0115098, -0.00935475, -0.00618081, -0.00606333, -0.00680146, -0.00372578, -0.00136378, -0.000270877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.49646, 0.553765, 0.601639, 0.676635, 0.73464, 0.823315, 0.857402, 0.873887, 0.895064, 0.92669, 0.935776, 0.950789, 0.960298, 0.986903, 1.00332, 1.02843, 1.05292, 1.08848, 1.13516, 1.16811, 1.17911, 1.2158, 1.2274, 1.2721, 1.30179, 1.34442, 1.38459, 1.41365, 1.45743, 1.49978, 1.59706, 1.77108");
-            values ( \
-              "-0.0266741, -0.0328517, -0.0494878, -0.0799729, -0.101213, -0.130312, -0.13988, -0.143843, -0.146939, -0.146654, -0.148772, -0.160018, -0.163144, -0.16843, -0.170892, -0.17277, -0.168975, -0.150882, -0.113682, -0.0835528, -0.0763445, -0.0605509, -0.0571905, -0.0396786, -0.0302335, -0.0198202, -0.012612, -0.00919532, -0.00604031, -0.0042888, -0.00216029, -0.000651116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.510263, 0.587846, 0.699372, 0.800617, 0.858373, 0.949006, 1.00851, 1.02789, 1.06453, 1.08825, 1.09929, 1.12366, 1.14625, 1.1681, 1.1993, 1.22564, 1.27541, 1.35668, 1.41369, 1.51173, 1.57334, 1.64324, 1.70124, 1.74448, 1.81588, 1.87389, 1.93189, 2.0479, 2.16392, 2.39594");
-            values ( \
-              "-0.0328596, -0.0506026, -0.0988235, -0.140015, -0.162164, -0.194049, -0.211197, -0.214346, -0.217719, -0.231358, -0.23494, -0.239838, -0.2429, -0.24457, -0.241217, -0.23161, -0.197191, -0.133356, -0.106666, -0.0659437, -0.0468298, -0.031209, -0.0220217, -0.0167288, -0.0105199, -0.00728305, -0.00512656, -0.00277749, -0.00162704, -0.000606128" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00947861, 0.00949317, 0.00951081, 0.00952847, 0.00954291, 0.00955294", \
-            "0.0110661, 0.0110761, 0.0110898, 0.0111054, 0.0111197, 0.0111307", \
-            "0.0120089, 0.0120125, 0.0120187, 0.0120275, 0.0120373, 0.0120459", \
-            "0.0125788, 0.0125776, 0.0125767, 0.0125766, 0.0125784, 0.0125814", \
-            "0.0128836, 0.0128799, 0.0128746, 0.0128684, 0.0128627, 0.012859", \
-            "0.0130535, 0.013051, 0.013046, 0.0130369, 0.0130266, 0.013017" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0130735, 0.0130826, 0.013094, 0.0131054, 0.0131149, 0.0131241", \
-            "0.0143926, 0.0143857, 0.0143791, 0.0143739, 0.0143702, 0.0143674", \
-            "0.0152355, 0.0152074, 0.0151722, 0.0151353, 0.0151066, 0.0150871", \
-            "0.0159313, 0.0158821, 0.0158146, 0.0157467, 0.0156822, 0.0156364", \
-            "0.0165639, 0.0164699, 0.0163472, 0.0162156, 0.0161002, 0.0160118", \
-            "0.0170362, 0.0169528, 0.0168199, 0.0166302, 0.0164152, 0.016245" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.105702, 0.122003, 0.152519, 0.209556, 0.316542, 0.518434", \
-            "0.110293, 0.126677, 0.157344, 0.214592, 0.321781, 0.523843", \
-            "0.122232, 0.138554, 0.169222, 0.226572, 0.334002, 0.536298", \
-            "0.152085, 0.167468, 0.198153, 0.255135, 0.362336, 0.564646", \
-            "0.217263, 0.235695, 0.267658, 0.322921, 0.428419, 0.629625", \
-            "0.318163, 0.343748, 0.388709, 0.463792, 0.582637, 0.780513" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.137678, 0.159562, 0.200667, 0.279426, 0.429029, 0.714645", \
-            "0.137604, 0.159522, 0.200651, 0.279413, 0.429035, 0.714645", \
-            "0.136997, 0.159152, 0.200532, 0.27937, 0.429027, 0.714644", \
-            "0.141712, 0.161116, 0.200577, 0.278997, 0.42898, 0.714634", \
-            "0.177904, 0.194217, 0.226033, 0.292589, 0.43112, 0.7146", \
-            "0.253157, 0.275519, 0.312196, 0.373307, 0.487931, 0.735062" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0731341, 0.0834394, 0.102582, 0.138196, 0.204848, 0.330402", \
-            "0.077747, 0.0880885, 0.10729, 0.14299, 0.209741, 0.335342", \
-            "0.0881463, 0.0984684, 0.117794, 0.15362, 0.220487, 0.346248", \
-            "0.111433, 0.122314, 0.141123, 0.177206, 0.244164, 0.370042", \
-            "0.141216, 0.15682, 0.183709, 0.227914, 0.297995, 0.42367", \
-            "0.162328, 0.184549, 0.223112, 0.287071, 0.388116, 0.541006" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0780676, 0.0909362, 0.115869, 0.163454, 0.254128, 0.426266", \
-            "0.0781396, 0.0909122, 0.115865, 0.163387, 0.254112, 0.426243", \
-            "0.0778939, 0.0906515, 0.115805, 0.163383, 0.254121, 0.426261", \
-            "0.0893043, 0.10001, 0.121265, 0.165374, 0.254017, 0.426242", \
-            "0.127188, 0.139056, 0.160339, 0.197372, 0.271996, 0.429574", \
-            "0.190959, 0.206844, 0.235206, 0.283197, 0.361316, 0.494523" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0290293, 0.0335259, 0.0374813, 0.0416913, 0.0447246, 0.0507912, 0.0608744, 0.096902, 0.115793, 0.120155, 0.125972, 0.13264, 0.13914, 0.148549, 0.163858, 0.168214, 0.171851, 0.175487, 0.178344, 0.185318, 0.191433, 0.198686, 0.206858, 0.214829, 0.223255, 0.232391, 0.241044, 0.24702, 0.254368, 0.26315, 0.27303, 0.282736, 0.290334, 0.302059, 0.316022, 0.332657, 0.344967, 0.348174, 0.354588, 0.367416, 0.384974, 0.416315, 0.476626, 0.480015");
-            values ( \
-              "0.00695222, 0.0514258, 0.0477707, 0.0446609, 0.0431046, 0.0408447, 0.0387088, 0.0340959, 0.0305026, 0.029853, 0.0296586, 0.0306126, 0.029849, 0.0275498, 0.0228026, 0.0219517, 0.0208602, 0.0202718, 0.0188436, 0.0171328, 0.0170957, 0.0157821, 0.0153033, 0.0144435, 0.0138986, 0.0124445, 0.0116377, 0.0104959, 0.00961823, 0.00795465, 0.00699165, 0.00562696, 0.00531359, 0.00409106, 0.0036181, 0.00246408, 0.00236102, 0.00188288, 0.00205365, 0.001331, 0.00132559, 0.000488085, 0.000414225, 0.000396001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.0315489, 0.03469, 0.0394912, 0.0435628, 0.0482659, 0.0557777, 0.0675462, 0.10994, 0.133605, 0.158682, 0.186994, 0.190931, 0.223086, 0.227303, 0.235738, 0.244917, 0.255685, 0.27868, 0.306859, 0.331065, 0.332894, 0.336554, 0.343872, 0.354809, 0.392836, 0.398266, 0.409128, 0.466098, 0.530596, 0.613647");
-            values ( \
-              "0.0778819, 0.0808521, 0.074761, 0.0708263, 0.067811, 0.0648731, 0.0620764, 0.0553212, 0.0499451, 0.0492615, 0.0386943, 0.0377955, 0.0241183, 0.0240002, 0.0240896, 0.0238862, 0.022779, 0.0186167, 0.0126235, 0.00879799, 0.00877065, 0.00811939, 0.00751664, 0.00625207, 0.0036246, 0.00355304, 0.00286646, 0.00120909, 0.000579738, 2.93496e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0316679, 0.0364794, 0.0483639, 0.0545841, 0.0641619, 0.0797401, 0.127311, 0.164213, 0.191363, 0.231183, 0.258665, 0.299085, 0.326084, 0.385448, 0.408312, 0.450067, 0.478119, 0.521402, 0.615168, 0.653186");
-            values ( \
-              "0.0814373, 0.115227, 0.100907, 0.0974427, 0.0944734, 0.091153, 0.0835168, 0.0753515, 0.0746743, 0.0597113, 0.0452659, 0.03603, 0.0312381, 0.0183061, 0.0141241, 0.00885507, 0.00640599, 0.00392385, 0.00129688, 0.00103054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0343985, 0.0344185, 0.0944584, 0.139592, 0.174648, 0.204049, 0.221197, 0.239331, 0.257152, 0.286825, 0.315823, 0.328496, 0.366193, 0.398606, 0.417635, 0.440239, 0.463067, 0.512206, 0.563127, 0.580996, 0.60482, 0.644734, 0.683373, 0.740471, 0.812963, 0.897434, 1.06638");
-            values ( \
-              "1e-22, 0.146775, 0.12232, 0.11649, 0.111293, 0.10559, 0.103465, 0.103034, 0.0995161, 0.0913766, 0.07865, 0.0722138, 0.0644908, 0.0548975, 0.0483837, 0.0419456, 0.0368378, 0.0269991, 0.0185948, 0.0161998, 0.0135717, 0.0097494, 0.00712147, 0.00444387, 0.00251771, 0.00112772, 0.000219556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0344517, 0.0344717, 0.13857, 0.201891, 0.267444, 0.292139, 0.328192, 0.389665, 0.418639, 0.45745, 0.471631, 0.484615, 0.518342, 0.629041, 0.688255, 0.758781, 0.798695, 0.863906, 0.949084, 1.01562, 1.09626, 1.18073, 1.2652, 1.34968, 1.4201");
-            values ( \
-              "1e-22, 0.167308, 0.14765, 0.142043, 0.134702, 0.131199, 0.128802, 0.120375, 0.112852, 0.100944, 0.100112, 0.0979381, 0.0904884, 0.0605007, 0.0465581, 0.0346458, 0.0290796, 0.0214753, 0.0141089, 0.0100263, 0.00658654, 0.00419135, 0.00266856, 0.00168696, 0.00126568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0375565, 0.0375765, 0.185108, 0.368862, 0.473875, 0.518324, 0.553077, 0.586231, 0.633575, 0.668975, 0.706264, 0.750911, 1.01937, 1.10384, 1.16901, 1.27859, 1.41049, 1.55608, 1.64055, 1.75222, 1.90133, 2.07027, 2.23922, 2.37431");
-            values ( \
-              "1e-22, 0.191595, 0.168326, 0.157425, 0.149039, 0.147623, 0.143667, 0.141289, 0.134431, 0.127991, 0.125987, 0.120458, 0.0708356, 0.0572173, 0.0479889, 0.0359917, 0.0249895, 0.0163031, 0.0126914, 0.00894389, 0.00559746, 0.00326274, 0.00188731, 0.00147372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0425392, 0.0595546, 0.0673938, 0.0723785, 0.0794972, 0.0877177, 0.115466, 0.133233, 0.141106, 0.14943, 0.157747, 0.182419, 0.187923, 0.19686, 0.201761, 0.205372, 0.21725, 0.225137, 0.232919, 0.241504, 0.250656, 0.259476, 0.26557, 0.272946, 0.281704, 0.291624, 0.301466, 0.308876, 0.320855, 0.334842, 0.352104, 0.363712, 0.366732, 0.372772, 0.384853, 0.404437, 0.500145, 0.578955");
-            values ( \
-              "0.00721888, 0.0476005, 0.0426989, 0.0407755, 0.0390026, 0.0376376, 0.0341072, 0.030713, 0.0296034, 0.0303042, 0.0300278, 0.0227608, 0.0217093, 0.0192613, 0.0173425, 0.0173869, 0.0161044, 0.0149888, 0.0148203, 0.0136031, 0.0128158, 0.011328, 0.0108193, 0.00929946, 0.00826515, 0.00668115, 0.00591234, 0.00501818, 0.00436862, 0.00331292, 0.00271577, 0.00206817, 0.0021588, 0.00179275, 0.0016285, 0.00103953, 0.000128011, 0.000127227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0509984, 0.0510184, 0.0766911, 0.0862244, 0.128593, 0.152251, 0.17733, 0.205639, 0.209626, 0.241941, 0.263027, 0.273559, 0.296477, 0.326265, 0.34989, 0.371337, 0.387328, 0.408224, 0.436943, 0.522399, 0.562558");
-            values ( \
-              "1e-22, 0.0818558, 0.0648723, 0.0622716, 0.0553055, 0.0498968, 0.0492471, 0.0387297, 0.0377496, 0.0240453, 0.0239447, 0.0228635, 0.0187508, 0.0125111, 0.00880547, 0.00647642, 0.00529972, 0.00383089, 0.00253522, 0.000674567, 0.000507075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0531485, 0.0531685, 0.0897191, 0.152966, 0.182968, 0.210299, 0.249937, 0.277849, 0.314243, 0.340751, 0.427593, 0.468004, 0.495791, 0.541589, 0.638276, 0.648447");
-            values ( \
-              "1e-22, 0.121335, 0.0930173, 0.0822117, 0.0753402, 0.0746695, 0.0596958, 0.0450885, 0.0367967, 0.032075, 0.0140408, 0.00892998, 0.0064931, 0.00386692, 0.00123697, 0.0011698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0539725, 0.0539925, 0.108403, 0.158607, 0.191632, 0.23709, 0.246288, 0.258552, 0.271108, 0.306075, 0.328433, 0.347482, 0.385175, 0.45928, 0.499204, 0.53121, 0.552426, 0.588083, 0.623817, 0.663877, 0.692881, 0.72134, 0.759285, 0.831785, 0.916256, 1.00073, 1.0852");
-            values ( \
-              "1e-22, 0.150934, 0.123086, 0.116535, 0.111616, 0.10324, 0.1038, 0.102755, 0.100598, 0.0914336, 0.0817305, 0.0723673, 0.0643751, 0.0418112, 0.0332575, 0.0271226, 0.0231962, 0.0177708, 0.0134547, 0.00985672, 0.00770938, 0.00611414, 0.00456702, 0.00240576, 0.00124522, 0.000533393, 0.000335435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0558036, 0.0558236, 0.137564, 0.221081, 0.28655, 0.310732, 0.339467, 0.347375, 0.363192, 0.408961, 0.448611, 0.463226, 0.478234, 0.492647, 0.510317, 0.526538, 0.623933, 0.667613, 0.725436, 0.756779, 0.814028, 0.883057, 0.918396, 0.965414, 1.03321, 1.06154, 1.11819, 1.20266, 1.28713, 1.3716, 1.54054, 1.70949");
-            values ( \
-              "1e-22, 0.178093, 0.149562, 0.142057, 0.134712, 0.131279, 0.129047, 0.128812, 0.126911, 0.120351, 0.109811, 0.10458, 0.10093, 0.0997216, 0.096721, 0.0930957, 0.0667496, 0.0557429, 0.0429497, 0.0378699, 0.0295332, 0.0214288, 0.0181338, 0.0142681, 0.0101478, 0.00868448, 0.00652925, 0.00408813, 0.00266854, 0.00162134, 0.0006263, 0.000226829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.0607435, 0.0607635, 0.205106, 0.338106, 0.493189, 0.537636, 0.605564, 0.688263, 0.770207, 1.12315, 1.23567, 1.38234, 1.57537, 1.83616, 2.13774");
-            values ( \
-              "1e-22, 0.201218, 0.168245, 0.160751, 0.148996, 0.147667, 0.141329, 0.127954, 0.12042, 0.0572596, 0.0423741, 0.0284971, 0.0163465, 0.00735496, 0.00305291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0690101, 0.106223, 0.112524, 0.115196, 0.118845, 0.121988, 0.132664, 0.139658, 0.158102, 0.178482, 0.189121, 0.199709, 0.224957, 0.234099, 0.242839, 0.246332, 0.251131, 0.263213, 0.269721, 0.28694, 0.305084, 0.321965, 0.339082, 0.351329, 0.361678, 0.392948, 0.405702, 0.409201, 0.416198, 0.430192, 0.511461, 0.66688");
-            values ( \
-              "0.00135412, 0.0338795, 0.0379464, 0.041934, 0.0429562, 0.041327, 0.0381197, 0.0368624, 0.0341369, 0.0303444, 0.0295701, 0.0305731, 0.0227822, 0.0208283, 0.0179296, 0.0172745, 0.017085, 0.015496, 0.0151275, 0.0135139, 0.0111437, 0.00842182, 0.00624042, 0.00509456, 0.00432002, 0.00262447, 0.00225079, 0.0020139, 0.00191291, 0.00141862, 0.000305151, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.069122, 0.11039, 0.112586, 0.114187, 0.116394, 0.121909, 0.129145, 0.135734, 0.145119, 0.158196, 0.171198, 0.194875, 0.207156, 0.218912, 0.242877, 0.252823, 0.265797, 0.272049, 0.278861, 0.287476, 0.288448, 0.290391, 0.294278, 0.29914, 0.308865, 0.319138, 0.342217, 0.361035, 0.373198, 0.387624, 0.407339, 0.423578, 0.444234, 0.456676, 0.460026, 0.466725, 0.480123, 0.506918, 0.555211, 0.631923, 0.716394");
-            values ( \
-              "0.00148574, 0.0588585, 0.0610158, 0.0665745, 0.0698441, 0.0677358, 0.0640636, 0.0618292, 0.0598304, 0.0577414, 0.055306, 0.0499475, 0.0492534, 0.0500593, 0.0406924, 0.0375142, 0.0318037, 0.0294268, 0.025922, 0.0238173, 0.0238119, 0.0241167, 0.0239741, 0.024219, 0.0235779, 0.0224867, 0.0181838, 0.0140495, 0.0118252, 0.00946157, 0.00712206, 0.00576384, 0.0042179, 0.0036466, 0.00336822, 0.00317819, 0.00252248, 0.00181808, 0.000800218, 0.000310892, 6.46312e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0693105, 0.119504, 0.128871, 0.141354, 0.188552, 0.225699, 0.252839, 0.292661, 0.320629, 0.356429, 0.382896, 0.460701, 0.492793, 0.514676, 0.558371, 0.603633, 0.655361, 0.721195");
-            values ( \
-              "0.00206306, 0.102337, 0.0966513, 0.0918931, 0.0836369, 0.0753658, 0.0746626, 0.0597159, 0.045065, 0.0369201, 0.0321908, 0.0156559, 0.0109135, 0.00855275, 0.00518283, 0.00313248, 0.0016771, 0.000812264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0831994, 0.115371, 0.11694, 0.120078, 0.133596, 0.152365, 0.231528, 0.281985, 0.294076, 0.322181, 0.346764, 0.396461, 0.408409, 0.436295, 0.498974, 0.570124, 0.645294, 0.71729, 0.745771, 0.785888, 0.834975, 0.916073, 0.982807");
-            values ( \
-              "0.0385481, 0.134981, 0.136975, 0.137107, 0.1284, 0.123224, 0.112125, 0.103132, 0.103488, 0.0986365, 0.0922546, 0.0706437, 0.0688245, 0.0622274, 0.0426558, 0.0276817, 0.0158201, 0.00886863, 0.00702021, 0.00503379, 0.00355557, 0.00160621, 0.00108643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0835388, 0.104599, 0.11869, 0.140419, 0.148568, 0.164692, 0.26421, 0.325629, 0.362227, 0.390569, 0.450614, 0.486299, 0.515414, 0.526401, 0.553853, 0.590783, 0.667666, 0.711106, 0.768785, 0.818806, 0.907308, 0.963867, 1.01005, 1.07716, 1.15976, 1.24423, 1.3287, 1.49764, 1.75106");
-            values ( \
-              "0.038223, 0.123639, 0.167087, 0.155562, 0.153645, 0.151314, 0.142094, 0.135242, 0.130245, 0.128913, 0.120689, 0.111342, 0.101745, 0.100883, 0.0967244, 0.0878558, 0.0666024, 0.0556325, 0.0429346, 0.0349312, 0.0234315, 0.0178322, 0.0142537, 0.0100121, 0.00649032, 0.00421687, 0.00259745, 0.00101573, 0.000322553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0963008, 0.119535, 0.140973, 0.168032, 0.382141, 0.537224, 0.581677, 0.649633, 0.732224, 0.769479, 0.814153, 1.08272, 1.23235, 1.34193, 1.48357, 1.70405, 1.96437, 2.2274");
-            values ( \
-              "0.17439, 0.188879, 0.177266, 0.17333, 0.160754, 0.148995, 0.147667, 0.141325, 0.127961, 0.125956, 0.120432, 0.0707926, 0.0479461, 0.0360366, 0.0242788, 0.0126423, 0.00564625, 0.00275517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.138908, 0.153923, 0.17021, 0.188753, 0.216015, 0.2378, 0.248945, 0.252415, 0.256692, 0.260885, 0.276585, 0.287007, 0.298308, 0.309192, 0.321201, 0.32303, 0.326689, 0.331594, 0.335279, 0.338325, 0.345865, 0.351606, 0.357864, 0.365698, 0.381921, 0.399927, 0.422464, 0.432325, 0.44234, 0.461681, 0.493451, 0.504404, 0.507277, 0.513023, 0.524515, 0.583075, 0.650432, 0.728357");
-            values ( \
-              "0.00810784, 0.00935326, 0.0148451, 0.0204879, 0.0276003, 0.0326903, 0.0346952, 0.0361231, 0.0358517, 0.0343825, 0.0305197, 0.029789, 0.0305428, 0.0268248, 0.0234969, 0.0227136, 0.0222111, 0.0207304, 0.0200349, 0.0185385, 0.0170943, 0.016918, 0.0158439, 0.0152927, 0.0138803, 0.0115658, 0.00805782, 0.00682368, 0.00572392, 0.00420285, 0.00253888, 0.00220536, 0.00202435, 0.00193324, 0.00152126, 0.000542838, 0.000215791, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.149286, 0.172974, 0.195056, 0.209325, 0.216953, 0.224582, 0.227663, 0.230744, 0.233825, 0.23829, 0.242443, 0.248506, 0.249229, 0.252269, 0.257391, 0.268778, 0.277608, 0.284929, 0.288589, 0.29225, 0.296604, 0.300959, 0.305314, 0.311565, 0.317256, 0.323561, 0.329865, 0.343528, 0.350657, 0.364069, 0.374711, 0.37902, 0.38333, 0.385729, 0.388129, 0.396712, 0.400848, 0.407073, 0.415698, 0.426341, 0.437976, 0.457785, 0.467269, 0.480562, 0.487731, 0.495314, 0.50669, 0.523032, 0.538494, 0.556046");
-            values ( \
-              "0.0232231, 0.0251226, 0.0357891, 0.041962, 0.0467384, 0.0525434, 0.0526728, 0.0529287, 0.053311, 0.0540893, 0.0550515, 0.0570941, 0.0593527, 0.0609375, 0.0598121, 0.0560246, 0.0527394, 0.0511693, 0.0505729, 0.0501024, 0.0502219, 0.0501759, 0.0499644, 0.0493714, 0.0485349, 0.0466957, 0.0446455, 0.0394783, 0.0371531, 0.0314727, 0.0263828, 0.0249125, 0.0238792, 0.0240783, 0.0240875, 0.0240235, 0.0238917, 0.0234437, 0.022353, 0.0205893, 0.0184029, 0.0141041, 0.0122879, 0.0100997, 0.00906904, 0.00810813, 0.0068812, 0.00549455, 0.00444664, 0.00348656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.13815, 0.181984, 0.20479, 0.23823, 0.248886, 0.253811, 0.259395, 0.265028, 0.276295, 0.292664, 0.322628, 0.336606, 0.350222, 0.354084, 0.361807, 0.370192, 0.379248, 0.389562, 0.392574, 0.418776, 0.438982, 0.450227, 0.464669, 0.478136, 0.508734, 0.536873, 0.560019, 0.577551, 0.605046, 0.633006, 0.649827, 0.664916, 0.685035, 0.725272, 0.788289, 0.869588, 0.954059");
-            values ( \
-              "0.0103847, 0.0438242, 0.0598728, 0.0812313, 0.0870785, 0.0911243, 0.0913898, 0.0889663, 0.0860089, 0.0824245, 0.0754655, 0.0753784, 0.0745722, 0.072774, 0.0702668, 0.0668335, 0.0640594, 0.059512, 0.0576815, 0.0445852, 0.0404292, 0.0376816, 0.0346899, 0.0325241, 0.0261237, 0.0196779, 0.0152901, 0.0124876, 0.00914981, 0.00673012, 0.00549462, 0.00462909, 0.00375184, 0.00229583, 0.00114083, 0.000368873, 0.000183203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.156238, 0.18766, 0.220797, 0.24876, 0.254363, 0.275192, 0.286302, 0.31706, 0.337414, 0.364585, 0.379524, 0.399334, 0.4169, 0.440285, 0.445328, 0.474144, 0.486899, 0.524619, 0.55691, 0.57595, 0.598599, 0.621411, 0.670509, 0.721421, 0.739278, 0.763088, 0.802917, 0.841617, 0.898768, 0.9712, 1.05567, 1.22461");
-            values ( \
-              "0.0536337, 0.0638905, 0.0952661, 0.119297, 0.126748, 0.120364, 0.118417, 0.114021, 0.11052, 0.10517, 0.103544, 0.102894, 0.0991164, 0.0930943, 0.0912264, 0.07865, 0.0721811, 0.0644801, 0.0549077, 0.0483822, 0.0419367, 0.0368313, 0.0270014, 0.0185993, 0.0162085, 0.013572, 0.00976535, 0.0071323, 0.00445171, 0.00251242, 0.00113575, 0.000227082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.15631, 0.182014, 0.255147, 0.272405, 0.283182, 0.402039, 0.452998, 0.490726, 0.546887, 0.582521, 0.611733, 0.622632, 0.649935, 0.686837, 0.807225, 0.864904, 0.951901, 1.02228, 1.06074, 1.10665, 1.17354, 1.25539, 1.33986, 1.42433, 1.58998");
-            values ( \
-              "0.0620605, 0.0705052, 0.156882, 0.151507, 0.1496, 0.13764, 0.13068, 0.12833, 0.120626, 0.111285, 0.101672, 0.100922, 0.0967683, 0.0879027, 0.0556589, 0.0429094, 0.029791, 0.0215086, 0.0177843, 0.0142026, 0.0100166, 0.00652525, 0.00421154, 0.0026217, 0.00106634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.157137, 0.258228, 0.272075, 0.291495, 0.335086, 0.49973, 0.633832, 0.676329, 0.716444, 0.748532, 0.800465, 0.832161, 0.847795, 0.868766, 0.890182, 0.911806, 1.17919, 1.26366, 1.32882, 1.43828, 1.52275, 1.59958, 1.71684, 1.80132, 1.91153, 2.05963, 2.22858, 2.39752, 2.56646, 2.90434");
-            values ( \
-              "0.0531918, 0.179198, 0.174629, 0.171774, 0.168891, 0.159399, 0.149, 0.147775, 0.143387, 0.140971, 0.133277, 0.127599, 0.127133, 0.125739, 0.123531, 0.120262, 0.0708398, 0.0572105, 0.0479977, 0.0359877, 0.0285634, 0.0229341, 0.0162375, 0.0126734, 0.00894057, 0.00560748, 0.00326199, 0.00188024, 0.00107071, 0.000321939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.294086, 0.338002, 0.345794, 0.354587, 0.358983, 0.366059, 0.387289, 0.408737, 0.430185, 0.437836, 0.445488, 0.455182, 0.464876, 0.47217, 0.479463, 0.482842, 0.486221, 0.4896, 0.492978, 0.496465, 0.499951, 0.506923, 0.509765, 0.512607, 0.51829, 0.521352, 0.527475, 0.530536, 0.53701, 0.544824, 0.547502, 0.550747, 0.554484, 0.558256, 0.568374, 0.576357, 0.593117, 0.604923, 0.620842, 0.634588, 0.641421, 0.6461, 0.653304, 0.661209, 0.670342, 0.676841, 0.683339, 0.697938, 0.713174, 0.728191");
-            values ( \
-              "0.00916756, 0.00987572, 0.0110979, 0.0123583, 0.0129557, 0.0138261, 0.0162793, 0.0185313, 0.0206271, 0.0214389, 0.022086, 0.0226062, 0.0229985, 0.0232095, 0.0233481, 0.0235386, 0.0237703, 0.0240432, 0.0243573, 0.0248843, 0.0255206, 0.0271213, 0.0270088, 0.0268517, 0.0264036, 0.0260883, 0.0253023, 0.0248316, 0.023351, 0.0217681, 0.0209478, 0.0206173, 0.0201301, 0.0191243, 0.0179744, 0.0168646, 0.0152184, 0.0137988, 0.0115584, 0.00925691, 0.00821567, 0.00758, 0.00671732, 0.00592009, 0.00508813, 0.00459197, 0.00414299, 0.00330647, 0.00261823, 0.00199645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.22962, 0.275962, 0.298748, 0.314745, 0.367086, 0.413368, 0.449886, 0.482621, 0.49029, 0.499055, 0.511591, 0.526339, 0.538887, 0.550923, 0.554566, 0.56076, 0.593631, 0.602755, 0.607701, 0.616852, 0.626472, 0.63699, 0.659821, 0.681601, 0.707103, 0.712727, 0.723977, 0.759387, 0.77431, 0.778356, 0.786448, 0.802633, 0.867823, 0.897363");
-            values ( \
-              "0.00171835, 0.00463105, 0.00745432, 0.0101144, 0.0225381, 0.031117, 0.0370862, 0.0409644, 0.04152, 0.0418461, 0.0425707, 0.0467175, 0.0467884, 0.0435332, 0.0437393, 0.0421188, 0.0279587, 0.0246438, 0.0249364, 0.0247311, 0.0242491, 0.0227274, 0.018371, 0.0135058, 0.00925563, 0.00874738, 0.00725819, 0.00437486, 0.00374958, 0.00332728, 0.00318012, 0.00232964, 0.000835527, 0.000682139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.298075, 0.350065, 0.369816, 0.386254, 0.433219, 0.443515, 0.45381, 0.464106, 0.474401, 0.492209, 0.512889, 0.515952, 0.532929, 0.538166, 0.543403, 0.547083, 0.550763, 0.553357, 0.555951, 0.559594, 0.563237, 0.566029, 0.568822, 0.586405, 0.593872, 0.601513, 0.605643, 0.619972, 0.625567, 0.629985, 0.632537, 0.637642, 0.659362, 0.665667, 0.671727, 0.673747, 0.700069, 0.713638, 0.730894, 0.749397, 0.761322, 0.776349, 0.793311, 0.805132, 0.816547, 0.827961, 0.834871, 0.848691, 0.855601, 0.874103");
-            values ( \
-              "0.0244143, 0.0277757, 0.0345008, 0.0394321, 0.052878, 0.0554927, 0.0579786, 0.0603358, 0.0625641, 0.0660605, 0.069809, 0.07026, 0.0717749, 0.0724517, 0.0732563, 0.0747435, 0.0767333, 0.0768585, 0.0768967, 0.076803, 0.0765373, 0.0759397, 0.0751832, 0.0686532, 0.0660583, 0.0632238, 0.0613813, 0.0542318, 0.0507751, 0.048438, 0.0472454, 0.0452065, 0.0406096, 0.0388774, 0.0374369, 0.0369961, 0.0321206, 0.0293822, 0.0255984, 0.021221, 0.0187356, 0.0159211, 0.0130706, 0.0113472, 0.0100085, 0.00881058, 0.00817399, 0.00701546, 0.00649352, 0.00526667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.275035, 0.317493, 0.403083, 0.485557, 0.51399, 0.549996, 0.556194, 0.568589, 0.594653, 0.597901, 0.604396, 0.615997, 0.652429, 0.663745, 0.710732, 0.722465, 0.750361, 0.816541, 0.888137, 0.957781, 1.02214, 1.05999, 1.11571, 1.25726, 1.4262");
-            values ( \
-              "0.0190021, 0.0232866, 0.0603711, 0.0911377, 0.100421, 0.110073, 0.113962, 0.108643, 0.103786, 0.104189, 0.103972, 0.10289, 0.0946701, 0.0914069, 0.0707752, 0.0691414, 0.0625673, 0.0420439, 0.0270836, 0.0161166, 0.00962545, 0.00705767, 0.00443226, 0.00125189, 0.00019868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.274976, 0.334986, 0.384664, 0.473867, 0.51614, 0.549937, 0.560866, 0.577101, 0.59247, 0.614846, 0.66966, 0.708283, 0.754081, 0.762948, 0.801057, 0.830991, 0.84478, 0.863727, 0.880545, 0.898734, 0.979263, 1.02318, 1.08107, 1.13251, 1.16982, 1.22099, 1.27507, 1.32183, 1.38942, 1.47364, 1.55811, 1.64258, 1.81153, 1.98047, 2.06494");
-            values ( \
-              "0.018613, 0.0382039, 0.0647896, 0.109039, 0.128258, 0.142614, 0.145028, 0.143449, 0.140494, 0.138378, 0.130797, 0.128247, 0.121802, 0.120796, 0.110837, 0.101201, 0.10035, 0.097249, 0.0935732, 0.0891125, 0.0669779, 0.0557821, 0.0430745, 0.0347696, 0.0296801, 0.0233087, 0.0179478, 0.0143608, 0.0100127, 0.00642427, 0.00422375, 0.00255272, 0.000979886, 0.000347783, 0.000350873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.274821, 0.360901, 0.468253, 0.541658, 0.55657, 0.567371, 0.575348, 0.594461, 0.693317, 0.766032, 0.848722, 0.890137, 0.904437, 0.933036, 0.956434, 1.01566, 1.04613, 1.06159, 1.08245, 1.10372, 1.12592, 1.14982, 1.39393, 1.4784, 1.54356, 1.5909, 1.6531, 1.7375, 1.8143, 1.93148, 2.01595, 2.0785, 2.1263, 2.2745, 2.44344, 2.61238, 2.78133, 3.11921");
-            values ( \
-              "0.0156659, 0.0595196, 0.122289, 0.16231, 0.169938, 0.170226, 0.168231, 0.166606, 0.160733, 0.155724, 0.148972, 0.147861, 0.145907, 0.143237, 0.141723, 0.133233, 0.127657, 0.12719, 0.125817, 0.123706, 0.12035, 0.116363, 0.070824, 0.0572272, 0.047982, 0.0424184, 0.0359968, 0.0285473, 0.0229494, 0.0162562, 0.0126639, 0.0103852, 0.00895224, 0.00561752, 0.00327279, 0.00189142, 0.00108203, 0.00033322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.530509, 0.608381, 0.635115, 0.65781, 0.681248, 0.746263, 0.788066, 0.838362, 0.883261, 0.905741, 0.926311, 0.944689, 0.962108, 0.97014, 0.977263, 0.982382, 0.987375, 0.991152, 0.995257, 0.999902, 1.0021, 1.0065, 1.04132, 1.06083, 1.10067, 1.14514, 1.14704, 1.15083, 1.15842, 1.17225, 1.19937, 1.21605, 1.22658, 1.24294, 1.25815, 1.28855, 1.32113, 1.34946");
-            values ( \
-              "0.00190616, 0.00214836, 0.00282477, 0.00365723, 0.00483561, 0.00950516, 0.0119845, 0.0141136, 0.0150545, 0.0149616, 0.0159343, 0.0176084, 0.0170163, 0.0175309, 0.0175403, 0.0180863, 0.0178907, 0.0179687, 0.0172025, 0.0171585, 0.0168949, 0.0174536, 0.0157762, 0.0137241, 0.0077994, 0.00411374, 0.00432852, 0.00377557, 0.00368798, 0.00270027, 0.00204117, 0.00128338, 0.00184374, 0.0016435, 0.00121751, 0.000750042, 0.00040924, 0.000287824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.530182, 0.608082, 0.65695, 0.70403, 0.731973, 0.802788, 0.857199, 0.905869, 0.931474, 0.951606, 0.969278, 0.988122, 1.01076, 1.0317, 1.0483, 1.05935, 1.06887, 1.09014, 1.14866, 1.17337, 1.21575, 1.2271, 1.29468, 1.33992, 1.40806, 1.49085");
-            values ( \
-              "0.00340516, 0.00373384, 0.00617801, 0.0104929, 0.0139568, 0.0209001, 0.0246399, 0.0266664, 0.0268347, 0.0284906, 0.0311757, 0.0308419, 0.0323905, 0.0305627, 0.0263162, 0.0251546, 0.0261226, 0.0244768, 0.0129961, 0.0094469, 0.00539631, 0.00574423, 0.00233974, 0.00121578, 0.000402677, 0.000120004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.530239, 0.609789, 0.657322, 0.685693, 0.774986, 0.829117, 0.891328, 0.94718, 0.970996, 0.996399, 1.007, 1.01795, 1.06072, 1.08702, 1.0978, 1.12109, 1.16952, 1.21588, 1.2193, 1.27911, 1.30228, 1.33316, 1.36089, 1.40374, 1.46088, 1.54535, 1.62982");
-            values ( \
-              "0.0056348, 0.00624817, 0.00994586, 0.0132572, 0.0279, 0.0348966, 0.0412098, 0.0452714, 0.0461352, 0.0486849, 0.0509804, 0.0520438, 0.0543106, 0.0497946, 0.0457208, 0.0423486, 0.0337424, 0.0236493, 0.0240614, 0.0131586, 0.0101852, 0.00718833, 0.00526176, 0.00323079, 0.00165343, 0.000575421, 0.000209231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.530312, 0.62743, 0.665586, 0.708055, 0.779794, 0.814148, 0.874425, 0.948485, 1.01515, 1.05443, 1.07197, 1.09091, 1.11804, 1.12616, 1.13795, 1.15288, 1.16919, 1.181, 1.21602, 1.22477, 1.23485, 1.29329, 1.36152, 1.41693, 1.47275, 1.51099, 1.5674, 1.60397, 1.67711, 1.76158, 1.77484");
-            values ( \
-              "0.00713599, 0.01135, 0.0159035, 0.0232969, 0.0391276, 0.0455371, 0.0552547, 0.0659736, 0.0734335, 0.0768783, 0.0802449, 0.0826228, 0.0845893, 0.084877, 0.0847327, 0.0820699, 0.0776319, 0.0730084, 0.0674973, 0.0670593, 0.0648395, 0.0455887, 0.030295, 0.0203802, 0.013236, 0.00977994, 0.00618082, 0.00460665, 0.00247087, 0.00122269, 0.00112138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.530091, 0.654526, 0.665996, 0.726064, 0.778937, 0.829026, 0.947034, 1.02594, 1.06475, 1.16814, 1.1907, 1.21397, 1.21966, 1.22725, 1.25296, 1.29521, 1.31321, 1.32553, 1.35504, 1.39287, 1.46952, 1.51245, 1.56966, 1.65587, 1.70691, 1.76606, 1.81162, 1.87821, 1.95908, 2.04355, 2.12802, 2.2125, 2.38144, 2.55038");
-            values ( \
-              "0.00758769, 0.0198021, 0.0217143, 0.0345126, 0.0483976, 0.0601066, 0.0841589, 0.0989665, 0.105543, 0.119295, 0.123123, 0.124233, 0.125317, 0.124985, 0.120318, 0.10884, 0.102566, 0.101469, 0.0968486, 0.0875286, 0.0662157, 0.0554722, 0.042859, 0.0298408, 0.0235709, 0.0177182, 0.0141421, 0.010017, 0.00656464, 0.0042096, 0.00264763, 0.00170674, 0.000696904, 0.000291496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.607575, 0.720103, 0.847774, 1.05758, 1.12691, 1.17525, 1.21525, 1.23628, 1.25779, 1.27984, 1.31829, 1.35244, 1.38337, 1.41593, 1.44275, 1.49768, 1.51515, 1.53248, 1.54903, 1.5708, 1.59314, 1.63329, 1.86288, 1.9296, 2.01407, 2.09865, 2.16256, 2.24703, 2.27892, 2.34271, 2.42019, 2.50466, 2.57817, 2.60742, 2.66593, 2.7504, 2.83488, 2.91935, 3.00382, 3.08829, 3.17276, 3.3417, 3.59512");
-            values ( \
-              "0.0350299, 0.0394773, 0.0740823, 0.124499, 0.139756, 0.149631, 0.157012, 0.157266, 0.155455, 0.153106, 0.150113, 0.148706, 0.1465, 0.143308, 0.141447, 0.133488, 0.130036, 0.127408, 0.127098, 0.125361, 0.122952, 0.116385, 0.0733107, 0.0622708, 0.049672, 0.0397955, 0.0335733, 0.0265315, 0.024283, 0.0201532, 0.0160629, 0.0123996, 0.00989494, 0.00908964, 0.0075353, 0.00582775, 0.00442173, 0.0034287, 0.00257818, 0.00201488, 0.00149565, 0.000862584, 0.000420847" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00998556, 0.010007, 0.010033, 0.0100587, 0.0100794, 0.0100935", \
-            "0.0123137, 0.0123348, 0.0123637, 0.0123964, 0.0124263, 0.0124489", \
-            "0.0137421, 0.0137538, 0.0137726, 0.0137981, 0.0138257, 0.0138494", \
-            "0.0145687, 0.0145647, 0.0145623, 0.0145643, 0.0145713, 0.0145815", \
-            "0.0150389, 0.0150208, 0.0149959, 0.0149678, 0.0149436, 0.0149286", \
-            "0.0153148, 0.0152956, 0.0152667, 0.0152271, 0.0151784, 0.0151316" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0146035, 0.0146066, 0.0146169, 0.0146333, 0.0146508, 0.0146647", \
-            "0.0153386, 0.0153048, 0.0152649, 0.0152268, 0.0151966, 0.0151759", \
-            "0.0147954, 0.0147362, 0.0146605, 0.014581, 0.0144981, 0.0144395", \
-            "0.0144036, 0.0143218, 0.014214, 0.014094, 0.0139841, 0.0138885", \
-            "0.0147747, 0.0145628, 0.0143267, 0.0140963, 0.0138914, 0.0137355", \
-            "0.0149695, 0.0149866, 0.0151862, 0.0147065, 0.0142152, 0.0138811" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0248954, 0.027002, 0.0284569, 0.0325458, 0.03599, 0.0406092, 0.0602948, 0.0726271, 0.0778329, 0.0877363, 0.0937759, 0.101703, 0.116191, 0.124605, 0.135793, 0.145741, 0.160046, 0.166948, 0.175123, 0.188007, 0.190405, 0.195201, 0.232546, 0.239348, 0.245967, 0.300086, 0.395595, 0.420486");
-            values ( \
-              "-0.0588324, -0.0656999, -0.0615474, -0.0564551, -0.0549719, -0.054242, -0.0532808, -0.0530334, -0.0540043, -0.0564125, -0.0557431, -0.0514631, -0.0410692, -0.033041, -0.02498, -0.0191059, -0.0124584, -0.00989302, -0.00812862, -0.00697497, -0.00655538, -0.00622835, -0.00174471, -0.00125337, -0.00103898, -0.000870167, -0.000299281, -0.000236795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00691237");
-            index_3 ("0.024942, 0.0279115, 0.0323674, 0.0360411, 0.038488, 0.0433817, 0.0586066, 0.0666427, 0.0809584, 0.0881945, 0.0950489, 0.108758, 0.124077, 0.135264, 0.142169, 0.151465, 0.160482, 0.172529, 0.180032, 0.196813, 0.203278, 0.210654, 0.21465, 0.220166, 0.225123, 0.234123, 0.261716, 0.270528, 0.288341, 0.361165, 0.437135, 0.550167");
-            values ( \
-              "-0.0642405, -0.103612, -0.093684, -0.0907337, -0.0899596, -0.0889366, -0.0880797, -0.087264, -0.0866281, -0.0881989, -0.0905282, -0.0890542, -0.0749603, -0.062746, -0.0542925, -0.0446961, -0.0365529, -0.0278278, -0.0234256, -0.0147733, -0.012154, -0.00967571, -0.00916871, -0.00802963, -0.0075145, -0.00625456, -0.00419011, -0.00330583, -0.00249073, -0.00133258, -0.000500425, -8.01414e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0131255");
-            index_3 ("0.0262124, 0.0262324, 0.0314382, 0.0359839, 0.0384089, 0.0428016, 0.0922536, 0.0962921, 0.104369, 0.114223, 0.132018, 0.148574, 0.151584, 0.175043, 0.183687, 0.193565, 0.202553, 0.222602, 0.238037, 0.25577, 0.269704, 0.279869, 0.292555, 0.352431, 0.386086, 0.425275, 0.491906, 0.51707");
-            values ( \
-              "-1e-22, -0.163339, -0.14422, -0.138105, -0.136592, -0.135115, -0.13026, -0.130355, -0.131387, -0.134295, -0.130993, -0.113926, -0.111656, -0.0807891, -0.0705338, -0.0599494, -0.0515671, -0.0360393, -0.0266431, -0.017404, -0.0117864, -0.00941786, -0.00795472, -0.00486915, -0.00366488, -0.00249307, -0.00109535, -0.000901595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0249231");
-            index_3 ("0.0268093, 0.0268293, 0.0337214, 0.0382349, 0.0426648, 0.049111, 0.0747489, 0.111954, 0.124671, 0.149834, 0.174998, 0.188499, 0.204655, 0.238299, 0.261623, 0.276259, 0.308049, 0.323199, 0.334014, 0.348314, 0.359489, 0.381838, 0.404629, 0.430688, 0.451387, 0.476346, 0.556369, 0.632828, 0.661529");
-            values ( \
-              "-1e-22, -0.212173, -0.19251, -0.187909, -0.186065, -0.184587, -0.182785, -0.178123, -0.177363, -0.179373, -0.174354, -0.163309, -0.145797, -0.106187, -0.0823535, -0.069446, -0.0446763, -0.03528, -0.030321, -0.0254168, -0.0223828, -0.0173244, -0.0130809, -0.0098438, -0.00806038, -0.00654564, -0.00353234, -0.00175586, -0.00135637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.047325");
-            index_3 ("0.0273923, 0.0274123, 0.0358163, 0.0472662, 0.0974341, 0.170223, 0.19233, 0.216582, 0.23604, 0.256137, 0.276162, 0.35551, 0.420872, 0.446314, 0.467968, 0.493699, 0.538207, 0.604171, 0.638648, 0.704889, 0.746345, 0.843688, 0.965419, 0.97069");
-            values ( \
-              "-1e-22, -0.253022, -0.236244, -0.230907, -0.22725, -0.219462, -0.218688, -0.219268, -0.216708, -0.209013, -0.197649, -0.129193, -0.0772017, -0.0627664, -0.0539256, -0.0450128, -0.032733, -0.0192299, -0.0139609, -0.00794469, -0.00587091, -0.00316833, -0.00146201, -0.0014349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0898624");
-            index_3 ("0.0278241, 0.0278441, 0.0365858, 0.0511263, 0.150363, 0.277195, 0.342183, 0.363862, 0.408977, 0.44818, 0.483292, 0.557115, 0.608459, 0.665326, 0.77316, 0.862227, 0.924049, 0.969095, 1.06143, 1.12296, 1.18453, 1.30765, 1.43078, 1.48034");
-            values ( \
-              "-1e-22, -0.28129, -0.270021, -0.2654, -0.260134, -0.250429, -0.24747, -0.244985, -0.23469, -0.218058, -0.199346, -0.157461, -0.124649, -0.0991624, -0.0633215, -0.0416963, -0.030707, -0.0243316, -0.0147598, -0.010567, -0.00768745, -0.00435324, -0.00260601, -0.00236255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0383861, 0.0472535, 0.0509426, 0.053141, 0.0579422, 0.0612358, 0.0651871, 0.0788423, 0.0882508, 0.0970255, 0.103234, 0.115043, 0.126341, 0.128112, 0.131653, 0.144843, 0.158077, 0.167415, 0.181279, 0.188711, 0.1955, 0.201403, 0.215305, 0.251249, 0.258429, 0.272364, 0.302698, 0.381316, 0.466296, 0.582462");
-            values ( \
-              "-0.00502183, -0.0480556, -0.0537807, -0.0618803, -0.0558862, -0.0547947, -0.0541975, -0.0533061, -0.0530045, -0.0540992, -0.0559876, -0.0551028, -0.0464109, -0.0460216, -0.0433736, -0.032073, -0.0227478, -0.0174933, -0.0113195, -0.00910292, -0.00794621, -0.00752856, -0.00600286, -0.00176473, -0.0011863, -0.000886152, -0.000968578, -0.000423604, -8.64107e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00691237");
-            index_3 ("0.0384966, 0.0524578, 0.0567053, 0.0599085, 0.0635693, 0.0710164, 0.0851813, 0.0993674, 0.107508, 0.113588, 0.12575, 0.130695, 0.142591, 0.168318, 0.186773, 0.194735, 0.215826, 0.229514, 0.233256, 0.238648, 0.243529, 0.252048, 0.25865, 0.267419, 0.279011, 0.287816, 0.306209, 0.407005, 0.498166, 0.55758");
-            values ( \
-              "-0.0126371, -0.100256, -0.0932217, -0.0907985, -0.0894979, -0.0885456, -0.0873259, -0.0865961, -0.0884351, -0.0905321, -0.0897671, -0.0860671, -0.0749273, -0.0463363, -0.0305819, -0.0255837, -0.0144625, -0.00951701, -0.00924311, -0.00795383, -0.00761536, -0.00623958, -0.00594669, -0.00500356, -0.00438648, -0.00332269, -0.00242995, -0.000916101, -0.000217421, -0.00016795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0131255");
-            index_3 ("0.0385582, 0.0492632, 0.0508927, 0.0530316, 0.0581001, 0.0613802, 0.0652589, 0.0701499, 0.110887, 0.114812, 0.122663, 0.132743, 0.150542, 0.166923, 0.170101, 0.193604, 0.20198, 0.220612, 0.235782, 0.248058, 0.257299, 0.274464, 0.288269, 0.298317, 0.310951, 0.327908, 0.372922, 0.406651, 0.445956, 0.514258, 0.635128");
-            values ( \
-              "-0.00152791, -0.123486, -0.129897, -0.148418, -0.139356, -0.136644, -0.135251, -0.134374, -0.13021, -0.130392, -0.1313, -0.134325, -0.130955, -0.114163, -0.111639, -0.0807709, -0.0707446, -0.0519251, -0.0398052, -0.0316518, -0.0262499, -0.0172915, -0.0117407, -0.00946771, -0.00792787, -0.00725497, -0.00473718, -0.00355114, -0.00238497, -0.000988778, -0.000112236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0249231");
-            index_3 ("0.0387147, 0.0535739, 0.0570822, 0.0597925, 0.0632844, 0.069034, 0.0771106, 0.093465, 0.109903, 0.134854, 0.143389, 0.16046, 0.168617, 0.181097, 0.193782, 0.206528, 0.221517, 0.244784, 0.260457, 0.278394, 0.295764, 0.325864, 0.332289, 0.340256, 0.350353, 0.361326, 0.375656, 0.392167, 0.411036, 0.43246, 0.454673, 0.47454, 0.488725, 0.507638, 0.561391, 0.630599, 0.679353, 0.740916, 0.802479");
-            values ( \
-              "-0.0100599, -0.199435, -0.192785, -0.189326, -0.186806, -0.185046, -0.184062, -0.182715, -0.180911, -0.177742, -0.177351, -0.178482, -0.180212, -0.179065, -0.17314, -0.163608, -0.147811, -0.120097, -0.102319, -0.0841369, -0.0687621, -0.0453127, -0.040874, -0.0361442, -0.0312771, -0.0271972, -0.023013, -0.0190913, -0.0152214, -0.0118381, -0.00932535, -0.00776945, -0.0069132, -0.00594095, -0.00394128, -0.00214371, -0.00133255, -0.000666466, -0.000345089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.047325");
-            index_3 ("0.0443565, 0.0533642, 0.0624309, 0.0739241, 0.119949, 0.196424, 0.242727, 0.250575, 0.2625, 0.274912, 0.293535, 0.377777, 0.431923, 0.45158, 0.486832, 0.51253, 0.544865, 0.581243, 0.623582, 0.657677, 0.723249, 0.811397, 0.919499, 1.16575");
-            values ( \
-              "-0.135367, -0.243246, -0.233576, -0.230261, -0.226866, -0.218991, -0.218667, -0.217499, -0.214165, -0.209079, -0.198449, -0.126361, -0.0829073, -0.069761, -0.0537489, -0.0451241, -0.0358059, -0.0272805, -0.0192449, -0.0138061, -0.00785298, -0.00432128, -0.00222067, -0.000407802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0898624");
-            index_3 ("0.045314, 0.0547796, 0.0618194, 0.0711758, 0.169057, 0.293431, 0.360814, 0.392714, 0.427798, 0.455064, 0.476963, 0.568836, 0.638455, 0.665676, 0.730939, 0.782749, 0.822262, 0.875811, 0.920757, 0.971389, 1.02829, 1.06721, 1.14887, 1.21044, 1.33356, 1.45669, 1.82607");
-            values ( \
-              "-0.191559, -0.275989, -0.268811, -0.265707, -0.260048, -0.250367, -0.247383, -0.243487, -0.23447, -0.22369, -0.212837, -0.161553, -0.11852, -0.106297, -0.0823397, -0.0659636, -0.0551181, -0.0427544, -0.0342916, -0.0265009, -0.0196262, -0.0158632, -0.0101854, -0.00744295, -0.00423685, -0.00254581, -0.000594258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0663038, 0.0844816, 0.114923, 0.120237, 0.1302, 0.137056, 0.144526, 0.156335, 0.200006, 0.221706, 0.235805, 0.291376, 0.304888, 0.447814, 0.479532");
-            values ( \
-              "-0.00382081, -0.0322501, -0.0562355, -0.0540729, -0.0531586, -0.0539153, -0.0559727, -0.0551423, -0.0223693, -0.0116676, -0.00806738, -0.00182235, -0.00104755, -0.000338766, -0.000280363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00691237");
-            index_3 ("0.0721603, 0.0792083, 0.0827373, 0.0866743, 0.0945481, 0.107591, 0.112561, 0.114288, 0.116279, 0.11822, 0.122101, 0.126385, 0.129485, 0.133619, 0.140655, 0.154727, 0.168638, 0.18252, 0.183911, 0.186691, 0.203286, 0.210738, 0.222946, 0.236096, 0.242635, 0.250316, 0.256422, 0.262906, 0.270459, 0.279805, 0.284665, 0.293382, 0.299943, 0.308714, 0.320233, 0.33663, 0.347379, 0.357228, 0.368403, 0.380573, 0.396522, 0.416604, 0.447157, 0.488551, 0.537419, 0.596149");
-            values ( \
-              "-0.0265261, -0.0441845, -0.0499158, -0.0554785, -0.065852, -0.0818755, -0.0876041, -0.0918647, -0.0925864, -0.0903955, -0.0887261, -0.0877816, -0.0873544, -0.0869697, -0.0868651, -0.090103, -0.0891555, -0.0750675, -0.0750264, -0.0723294, -0.0529552, -0.0453954, -0.034208, -0.0254231, -0.022131, -0.0179272, -0.0149499, -0.0120935, -0.0097645, -0.00815055, -0.00743726, -0.00641088, -0.00575675, -0.00518082, -0.00420277, -0.0028994, -0.00260793, -0.00222618, -0.00221828, -0.00184563, -0.00180807, -0.00129492, -0.00109868, -0.000463416, -0.00039588, -2.53105e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0131255");
-            index_3 ("0.0721289, 0.081704, 0.0972165, 0.112548, 0.11485, 0.11817, 0.124744, 0.138092, 0.147023, 0.15616, 0.174062, 0.191911, 0.209563, 0.211469, 0.242632, 0.263376, 0.281826, 0.296903, 0.32129, 0.336467, 0.350328, 0.396194, 0.466197, 0.516146, 0.55635, 0.614827, 0.67639");
-            values ( \
-              "-0.0271046, -0.0717261, -0.103845, -0.131747, -0.140089, -0.136983, -0.134012, -0.131708, -0.130688, -0.130313, -0.133718, -0.131227, -0.112373, -0.111465, -0.0716735, -0.0508164, -0.0363787, -0.0271218, -0.0147905, -0.0099763, -0.00816651, -0.0055984, -0.00298985, -0.0016967, -0.00110828, -0.000395987, -0.000242464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0249231");
-            index_3 ("0.0721477, 0.0854128, 0.116135, 0.121413, 0.129857, 0.184448, 0.194625, 0.209982, 0.219686, 0.235188, 0.24791, 0.262923, 0.302259, 0.321382, 0.337998, 0.367371, 0.381302, 0.391149, 0.402326, 0.416925, 0.453851, 0.474884, 0.496729, 0.5207, 0.548342, 0.600376, 0.666688, 0.77581, 0.837373");
-            values ( \
-              "-0.0272665, -0.108251, -0.190543, -0.185889, -0.183615, -0.176989, -0.177748, -0.18008, -0.179777, -0.173132, -0.163692, -0.147777, -0.101879, -0.0826311, -0.0680396, -0.0452384, -0.0363376, -0.0315379, -0.0273272, -0.0230441, -0.0149558, -0.0117105, -0.00925815, -0.00744693, -0.00595428, -0.00400545, -0.00224253, -0.000706049, -0.000380417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.047325");
-            index_3 ("0.0809041, 0.096574, 0.115431, 0.119205, 0.122624, 0.133317, 0.175642, 0.223274, 0.237944, 0.267283, 0.276869, 0.29604, 0.316427, 0.336452, 0.415173, 0.487089, 0.515337, 0.557995, 0.597444, 0.63983, 0.67556, 0.718882, 0.783, 0.826652, 0.989458, 1.00544");
-            values ( \
-              "-0.168366, -0.171991, -0.232347, -0.234969, -0.231096, -0.229199, -0.22551, -0.22028, -0.219154, -0.218749, -0.21938, -0.216646, -0.209093, -0.197577, -0.129755, -0.0734071, -0.059014, -0.0438538, -0.0330629, -0.0238438, -0.0174208, -0.0116821, -0.00698412, -0.00519279, -0.00192817, -0.00182829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0898624");
-            index_3 ("0.0809582, 0.0982709, 0.116754, 0.12567, 0.139216, 0.210844, 0.337686, 0.40267, 0.425596, 0.463308, 0.506475, 0.543771, 0.619291, 0.680335, 0.737108, 0.790004, 0.865131, 0.932605, 1.01265, 1.06901, 1.1206, 1.19201, 1.25358, 1.3767, 1.49983, 1.59737");
-            values ( \
-              "-0.171506, -0.202452, -0.269703, -0.26557, -0.263976, -0.260116, -0.25043, -0.247474, -0.244804, -0.236393, -0.219145, -0.199349, -0.156428, -0.118458, -0.0948947, -0.0766049, -0.0548277, -0.0397331, -0.0265581, -0.019759, -0.0149141, -0.0101308, -0.00737747, -0.00419618, -0.00251594, -0.00200341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129296, 0.150703, 0.164291, 0.181928, 0.222258, 0.235957, 0.242454, 0.246671, 0.247811, 0.248084, 0.248952, 0.24982, 0.250935, 0.267644, 0.280908, 0.287453, 0.297524, 0.311166, 0.31789, 0.325678, 0.33192, 0.338245, 0.341573, 0.34823, 0.353694, 0.358805, 0.364732, 0.371273, 0.378376, 0.38404, 0.391031, 0.397601, 0.405152, 0.412868, 0.422742, 0.434309, 0.45181, 0.473951, 0.508408, 0.546121, 0.591253, 0.643571, 0.705134");
-            values ( \
-              "-0.0115667, -0.0190428, -0.0244112, -0.0307781, -0.0442414, -0.0515864, -0.0540442, -0.0540559, -0.0534118, -0.0536978, -0.0532885, -0.0542161, -0.0542827, -0.0409128, -0.0295912, -0.0249274, -0.0188809, -0.0126679, -0.00996775, -0.00835047, -0.00758713, -0.0071834, -0.00650325, -0.0061189, -0.00515682, -0.00484599, -0.00383414, -0.00333539, -0.00219132, -0.00179389, -0.00115811, -0.00111814, -0.000887865, -0.000995254, -0.000874038, -0.000964323, -0.000805518, -0.000777215, -0.000475143, -0.000364933, -0.000127191, -0.000116171, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00691237");
-            index_3 ("0.129513, 0.141033, 0.154153, 0.183207, 0.194853, 0.231725, 0.248857, 0.251213, 0.254977, 0.260381, 0.265892, 0.27827, 0.304278, 0.316751, 0.325156, 0.346298, 0.360611, 0.372482, 0.383988, 0.411659, 0.420439, 0.438979, 0.540234, 0.582755, 0.690926");
-            values ( \
-              "-0.0196622, -0.0235653, -0.0333784, -0.0511879, -0.0577871, -0.0771484, -0.0900505, -0.0924883, -0.0923219, -0.0889372, -0.0842594, -0.0723121, -0.0433327, -0.0327707, -0.0270983, -0.0156511, -0.00997842, -0.00795168, -0.00646111, -0.00430395, -0.00343054, -0.00251486, -0.000966162, -0.000558105, -0.000113984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0131255");
-            index_3 ("0.12916, 0.159595, 0.205785, 0.252511, 0.258966, 0.270682, 0.282809, 0.295099, 0.355131, 0.386675, 0.419781, 0.442254, 0.522788, 0.607481");
-            values ( \
-              "-0.0208043, -0.0557139, -0.096728, -0.133481, -0.133393, -0.135086, -0.130317, -0.119035, -0.050003, -0.0278491, -0.0120785, -0.00804411, -0.00412642, -0.00203015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0249231");
-            index_3 ("0.140397, 0.163577, 0.180443, 0.211955, 0.248902, 0.251497, 0.256687, 0.269655, 0.276517, 0.289159, 0.301695, 0.326755, 0.335702, 0.344612, 0.396905, 0.410231, 0.426446, 0.451223, 0.469511, 0.478451, 0.490205, 0.505495, 0.521074, 0.541846, 0.563411, 0.586343, 0.606208, 0.62392, 0.672031, 0.700834, 0.771902, 0.82284, 0.945966");
-            values ( \
-              "-0.0721171, -0.0807297, -0.102146, -0.139425, -0.178152, -0.184205, -0.179806, -0.177862, -0.17754, -0.17804, -0.180178, -0.173964, -0.16733, -0.159086, -0.0988566, -0.0854502, -0.0709047, -0.0511441, -0.0383723, -0.0335437, -0.0286875, -0.0238705, -0.0200315, -0.0156892, -0.0120964, -0.00948512, -0.00784164, -0.00677194, -0.00469484, -0.00376591, -0.00200325, -0.00117075, -0.000283114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.047325");
-            index_3 ("0.143104, 0.170933, 0.207355, 0.253488, 0.260878, 0.26765, 0.274231, 0.282699, 0.291261, 0.329875, 0.363916, 0.368815, 0.378611, 0.398205, 0.408365, 0.428062, 0.511515, 0.565038, 0.584704, 0.61999, 0.677664, 0.715941, 0.791399, 0.856188, 0.943207, 0.991232, 1.04954, 1.1111, 1.17267, 1.23423, 1.29579");
-            values ( \
-              "-0.0897643, -0.111232, -0.166697, -0.232298, -0.224935, -0.22671, -0.22442, -0.22418, -0.22273, -0.218971, -0.218855, -0.219243, -0.218379, -0.213506, -0.209087, -0.197747, -0.125988, -0.0829684, -0.0697439, -0.0536572, -0.0357681, -0.0268039, -0.0135593, -0.00767097, -0.00415014, -0.00359785, -0.00205045, -0.00186292, -0.000795251, -0.000979408, -0.000196853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0898624");
-            index_3 ("0.144241, 0.172204, 0.203019, 0.24057, 0.253403, 0.259703, 0.264999, 0.275592, 0.284875, 0.30258, 0.365435, 0.426998, 0.434351, 0.494381, 0.526041, 0.563194, 0.588811, 0.610627, 0.635495, 0.709823, 0.759665, 0.787001, 0.815141, 0.842922, 0.881456, 0.908129, 0.943692, 1.00389, 1.03132, 1.07389, 1.12036, 1.18192, 1.21365, 1.27521, 1.33678, 1.39834, 1.4599, 1.58303, 1.76772, 2.01397");
-            values ( \
-              "-0.101596, -0.129782, -0.184612, -0.245833, -0.263683, -0.264232, -0.262255, -0.262004, -0.261019, -0.260164, -0.255356, -0.250272, -0.250088, -0.247378, -0.243535, -0.233954, -0.223607, -0.212787, -0.199296, -0.157147, -0.125245, -0.111385, -0.10008, -0.0898257, -0.0767264, -0.0683935, -0.0582948, -0.0438923, -0.0384195, -0.03108, -0.0244719, -0.0176103, -0.0147741, -0.0105584, -0.00769829, -0.00574435, -0.00436359, -0.00261621, -0.00126412, -0.000479123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243062, 0.27516, 0.307535, 0.343388, 0.395778, 0.43397, 0.45103, 0.463475, 0.476123, 0.493746, 0.503074, 0.513536, 0.550135, 0.551739, 0.554517, 0.567967, 0.574455, 0.582303, 0.600127, 0.662476, 0.734358, 0.782005");
-            values ( \
-              "-0.00708888, -0.00901657, -0.0153807, -0.0211789, -0.0290223, -0.0392468, -0.0378374, -0.0326568, -0.0252127, -0.0168469, -0.0127798, -0.00962229, -0.00495531, -0.00552914, -0.00555777, -0.00304592, -0.00226177, -0.00170816, -0.00126335, -0.000775899, -0.000319389, -0.000235709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00691237");
-            index_3 ("0.24307, 0.275335, 0.312512, 0.342479, 0.409714, 0.432108, 0.451531, 0.47051, 0.485366, 0.491419, 0.512858, 0.526978, 0.544005, 0.550296, 0.555444, 0.565616, 0.579798, 0.617212, 0.636864, 0.644521, 0.711732, 0.746319, 0.784382");
-            values ( \
-              "-0.0105049, -0.0150794, -0.026723, -0.0345644, -0.0510455, -0.0602759, -0.0673836, -0.0643601, -0.0545122, -0.048743, -0.0327286, -0.0243173, -0.0156651, -0.0128168, -0.0129574, -0.0100528, -0.00751322, -0.00368971, -0.00273203, -0.00254217, -0.00130402, -0.000856037, -0.000507534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0131255");
-            index_3 ("0.241998, 0.269843, 0.275181, 0.285859, 0.316204, 0.34711, 0.369851, 0.433363, 0.458843, 0.481408, 0.503786, 0.505433, 0.550143, 0.555484, 0.571935, 0.586701, 0.600055, 0.614752, 0.621431, 0.630335, 0.645831, 0.664114, 0.690464, 0.705211, 0.754247, 0.802994, 0.840828, 0.89609, 0.957653");
-            values ( \
-              "-0.0128919, -0.0210642, -0.0230686, -0.0275266, -0.0417429, -0.0542988, -0.0629314, -0.085818, -0.0997404, -0.109296, -0.102164, -0.101058, -0.056145, -0.0535863, -0.0400801, -0.0301293, -0.0223804, -0.0150602, -0.0126255, -0.010396, -0.00836195, -0.00747341, -0.00574445, -0.00497031, -0.00322978, -0.00188373, -0.0011489, -0.000535578, -0.000206698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0249231");
-            index_3 ("0.258858, 0.295196, 0.341826, 0.397117, 0.472324, 0.502944, 0.53089, 0.559299, 0.572191, 0.581671, 0.5945, 0.617212, 0.644348, 0.665912, 0.682336, 0.709753, 0.725419, 0.767756, 0.810231, 0.827975, 0.937151, 1.02844, 1.15157");
-            values ( \
-              "-0.0383197, -0.0436455, -0.0718386, -0.101191, -0.137278, -0.155837, -0.165492, -0.150968, -0.130777, -0.122431, -0.105481, -0.082526, -0.0596976, -0.0432882, -0.0336745, -0.0238493, -0.0199599, -0.011998, -0.0077639, -0.0066864, -0.00272747, -0.00103759, -0.000167125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.047325");
-            index_3 ("0.258758, 0.29487, 0.332288, 0.40733, 0.490116, 0.549978, 0.558727, 0.571929, 0.587608, 0.604334, 0.628829, 0.656233, 0.711844, 0.767265, 0.787489, 0.802635, 0.823452, 0.848966, 0.894522, 0.922902, 0.964215, 0.997975, 1.01722, 1.05572, 1.09581, 1.14144, 1.24616, 1.36929, 1.49241");
-            values ( \
-              "-0.040078, -0.0543126, -0.0824579, -0.133599, -0.184119, -0.217113, -0.219147, -0.219838, -0.217714, -0.212673, -0.200006, -0.177898, -0.129273, -0.0847126, -0.0707725, -0.0628353, -0.0541805, -0.0454182, -0.0328112, -0.0264789, -0.0186493, -0.013515, -0.0114204, -0.00824896, -0.00621588, -0.00459763, -0.00243619, -0.00112338, -0.000495079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0898624");
-            index_3 ("0.275052, 0.349329, 0.392443, 0.473184, 0.538402, 0.550014, 0.557777, 0.567058, 0.569662, 0.585285, 0.633685, 0.693841, 0.69872, 0.708479, 0.727996, 0.765469, 0.778112, 0.8034, 0.839764, 0.915775, 0.956133, 0.976263, 1.00285, 1.03252, 1.06697, 1.12405, 1.16222, 1.21449, 1.25687, 1.3081, 1.36398, 1.4044, 1.44078, 1.48929, 1.55085, 1.61241, 1.67398, 1.7971, 1.92023, 2.16648");
-            values ( \
-              "-0.0649259, -0.108713, -0.142922, -0.203385, -0.247462, -0.254752, -0.256669, -0.256, -0.255395, -0.254037, -0.250407, -0.247272, -0.247374, -0.246448, -0.243862, -0.234705, -0.229879, -0.218717, -0.199372, -0.156132, -0.129959, -0.118481, -0.106582, -0.0950948, -0.0829638, -0.0649698, -0.0545419, -0.0426029, -0.0346106, -0.0266271, -0.019877, -0.0158938, -0.0130249, -0.0100706, -0.0073249, -0.00552737, -0.00416607, -0.00249566, -0.00152484, -0.000564632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.436225, 0.470102, 0.50665, 0.546543, 0.568575, 0.642726, 0.739233, 0.786434, 0.797414, 0.810402, 0.822453, 0.844646, 0.886217, 0.951478, 0.9674, 0.987141, 1.09713, 1.16825");
-            values ( \
-              "-0.00114281, -0.00187639, -0.00305478, -0.00491241, -0.00638918, -0.0123449, -0.0188654, -0.0252101, -0.0252735, -0.0267057, -0.0261036, -0.0238652, -0.0115539, -0.00237763, -0.00180737, -0.00151351, -0.000442533, -0.00018067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00691237");
-            index_3 ("0.440823, 0.469786, 0.481235, 0.512927, 0.533486, 0.561746, 0.643191, 0.671722, 0.758931, 0.793046, 0.822297, 0.822688, 0.838837, 0.849769, 0.863304, 0.878526, 0.923532, 0.93763, 0.948632, 0.963016, 0.99288, 1.00312, 1.01436, 1.03499, 1.08956, 1.12728, 1.1714, 1.21632, 1.23761, 1.292, 1.34496");
-            values ( \
-              "-0.00213328, -0.0032095, -0.00376223, -0.00568178, -0.0072164, -0.00981904, -0.0204681, -0.0238495, -0.0332647, -0.0397593, -0.0448268, -0.0446012, -0.0460361, -0.0457933, -0.0440112, -0.0390063, -0.0191346, -0.0137284, -0.0110925, -0.00868589, -0.00517122, -0.00438814, -0.00377934, -0.00306743, -0.00168021, -0.00101647, -0.00053981, -0.000273055, -0.000704255, -0.000163411, -8.89352e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0131255");
-            index_3 ("0.468922, 0.52269, 0.557209, 0.574405, 0.626351, 0.695001, 0.792516, 0.826103, 0.841138, 0.861183, 0.871886, 0.884351, 0.896484, 0.912219, 0.932913, 1.00443, 1.02079, 1.03291, 1.07395, 1.1034, 1.16226, 1.19215, 1.21589, 1.23325, 1.27335, 1.28146");
-            values ( \
-              "-0.00734435, -0.0102416, -0.0147404, -0.0175853, -0.0280548, -0.0406349, -0.056314, -0.0648189, -0.0691625, -0.072786, -0.07423, -0.0751959, -0.0748112, -0.071308, -0.0614484, -0.0206024, -0.0143598, -0.0119375, -0.00796052, -0.00600468, -0.00332916, -0.00238993, -0.00170111, -0.00222811, -0.00108831, -0.000997242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0249231");
-            index_3 ("0.480899, 0.539315, 0.559326, 0.592096, 0.640784, 0.682665, 0.734687, 0.849197, 0.893106, 0.89522, 0.907903, 0.922502, 0.93601, 0.954667, 0.975047, 0.994579, 1.0178, 1.08145, 1.09992, 1.1135, 1.12766, 1.15024, 1.1721, 1.19113, 1.21602, 1.22873, 1.25178, 1.27268, 1.29885, 1.32929, 1.36415, 1.40754, 1.46533, 1.58846");
-            values ( \
-              "-0.0128347, -0.0179087, -0.021662, -0.0291139, -0.042561, -0.0533782, -0.0658061, -0.0909998, -0.103542, -0.104814, -0.108663, -0.111805, -0.114033, -0.115941, -0.113915, -0.106893, -0.0927838, -0.0481103, -0.0376008, -0.0320285, -0.0275308, -0.0215173, -0.0166736, -0.0133112, -0.0102764, -0.0104164, -0.0083152, -0.0069711, -0.00547184, -0.00420121, -0.00298282, -0.00194702, -0.00101656, -0.000238829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.047325");
-            index_3 ("0.504798, 0.563832, 0.608314, 0.671551, 0.754815, 0.85891, 0.938657, 1.01162, 1.02745, 1.04829, 1.0692, 1.0994, 1.12356, 1.17433, 1.21598, 1.25153, 1.29701, 1.33066, 1.39617, 1.42833, 1.46391, 1.51542, 1.55901, 1.60736, 1.72237, 1.84549, 1.96862");
-            values ( \
-              "-0.0281118, -0.0296411, -0.0423482, -0.0635388, -0.0890765, -0.118324, -0.139363, -0.161977, -0.165468, -0.168325, -0.168643, -0.160948, -0.147914, -0.113864, -0.0820961, -0.0634935, -0.046115, -0.0361063, -0.0214178, -0.0158635, -0.011532, -0.00763869, -0.00566132, -0.00414715, -0.0020303, -0.000908526, -0.00038941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0898624");
-            index_3 ("0.530278, 0.608232, 0.694156, 0.766192, 0.827755, 0.889318, 0.961478, 1.14911, 1.17632, 1.2159, 1.21876, 1.22448, 1.23953, 1.28061, 1.36045, 1.41481, 1.44233, 1.47039, 1.49898, 1.53888, 1.56726, 1.6051, 1.66429, 1.68172, 1.71659, 1.76552, 1.82356, 1.85952, 1.89143, 1.93397, 1.99554, 2.0571, 2.11866, 2.18022, 2.24179, 2.30335, 2.42648, 2.67273");
-            values ( \
-              "-0.0458254, -0.0498858, -0.081707, -0.107355, -0.128457, -0.148837, -0.171595, -0.226593, -0.232134, -0.234108, -0.234446, -0.23314, -0.226824, -0.2062, -0.161249, -0.12662, -0.112366, -0.100983, -0.0903632, -0.0767622, -0.0679077, -0.057255, -0.0432783, -0.0397689, -0.03347, -0.0260712, -0.0191769, -0.0157424, -0.0132291, -0.0105121, -0.00766186, -0.00572585, -0.00434698, -0.00335426, -0.0026068, -0.00204082, -0.00125711, -0.000474067" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00947861, 0.00949317, 0.00951081, 0.00952847, 0.00954291, 0.00955294", \
-            "0.0110661, 0.0110761, 0.0110898, 0.0111054, 0.0111197, 0.0111307", \
-            "0.0120089, 0.0120125, 0.0120187, 0.0120275, 0.0120373, 0.0120459", \
-            "0.0125788, 0.0125776, 0.0125767, 0.0125766, 0.0125784, 0.0125814", \
-            "0.0128836, 0.0128799, 0.0128746, 0.0128684, 0.0128627, 0.012859", \
-            "0.0130535, 0.013051, 0.013046, 0.0130369, 0.0130266, 0.013017" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0130735, 0.0130826, 0.013094, 0.0131054, 0.0131149, 0.0131241", \
-            "0.0143926, 0.0143857, 0.0143791, 0.0143739, 0.0143702, 0.0143674", \
-            "0.0152355, 0.0152074, 0.0151722, 0.0151353, 0.0151066, 0.0150871", \
-            "0.0159313, 0.0158821, 0.0158146, 0.0157467, 0.0156822, 0.0156364", \
-            "0.0165639, 0.0164699, 0.0163472, 0.0162156, 0.0161002, 0.0160118", \
-            "0.0170362, 0.0169528, 0.0168199, 0.0166302, 0.0164152, 0.016245" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0164308, 0.0164948, 0.016577, 0.0166954, 0.0169167, 0.017439", \
-            "0.0163871, 0.0164654, 0.0165423, 0.0166701, 0.0169017, 0.0174312", \
-            "0.0163347, 0.0163992, 0.0164901, 0.0166502, 0.0168713, 0.0174098", \
-            "0.0162655, 0.0163036, 0.0164138, 0.0165784, 0.0168697, 0.0173779", \
-            "0.0162147, 0.0162696, 0.0163821, 0.0165067, 0.0167625, 0.0173715", \
-            "0.016455, 0.0164961, 0.016537, 0.0166365, 0.0168552, 0.0173301" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00350499, 0.00353999, 0.00358469, 0.00364073, 0.00376352, 0.00399883", \
-            "0.00335156, 0.00339498, 0.0034426, 0.00351086, 0.00362279, 0.00385835", \
-            "0.0031856, 0.00323033, 0.00329092, 0.00335077, 0.00348685, 0.00378201", \
-            "0.00304245, 0.00308763, 0.00314792, 0.00321522, 0.00335172, 0.00364303", \
-            "0.00298789, 0.00302856, 0.00308918, 0.00317328, 0.00329605, 0.00354423", \
-            "0.00326243, 0.00325324, 0.003243, 0.00333795, 0.00343567, 0.00360763" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.017536, 0.0175915, 0.0176262, 0.017664, 0.0177347, 0.0179653", \
-            "0.0174951, 0.0175471, 0.0175789, 0.0176326, 0.0177099, 0.0179465", \
-            "0.01742, 0.0174788, 0.0175342, 0.0175955, 0.0176789, 0.0179258", \
-            "0.0173457, 0.0173995, 0.0174637, 0.0175317, 0.0176851, 0.0178999", \
-            "0.0173165, 0.0173401, 0.0173959, 0.0174561, 0.0175903, 0.0178458", \
-            "0.0176192, 0.0176107, 0.0175994, 0.0176483, 0.0176575, 0.017891" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00293131, 0.002984, 0.00305209, 0.00314913, 0.00334494, 0.00372408", \
-            "0.00287612, 0.00293323, 0.00300919, 0.00311798, 0.00330964, 0.0036916", \
-            "0.00278498, 0.00286108, 0.00293257, 0.00305242, 0.00326441, 0.00364252", \
-            "0.00267064, 0.00274104, 0.00282447, 0.00295705, 0.00320897, 0.00358258", \
-            "0.00264434, 0.0026887, 0.00278403, 0.00289022, 0.00313113, 0.00353206", \
-            "0.00289286, 0.00291871, 0.0029687, 0.00307318, 0.00325927, 0.00355236" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.017536, 0.0175915, 0.0176262, 0.017664, 0.0177347, 0.0179653", \
-            "0.0174951, 0.0175471, 0.0175789, 0.0176326, 0.0177099, 0.0179465", \
-            "0.01742, 0.0174788, 0.0175342, 0.0175955, 0.0176789, 0.0179258", \
-            "0.0173457, 0.0173995, 0.0174637, 0.0175317, 0.0176851, 0.0178999", \
-            "0.0173165, 0.0173401, 0.0173959, 0.0174561, 0.0175903, 0.0178458", \
-            "0.0176192, 0.0176107, 0.0175994, 0.0176483, 0.0176575, 0.017891" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00350499, 0.00353999, 0.00358469, 0.00364073, 0.00376352, 0.00399883", \
-            "0.00335156, 0.00339498, 0.0034426, 0.00351086, 0.00362279, 0.00385835", \
-            "0.0031856, 0.00323033, 0.00329092, 0.00335077, 0.00348685, 0.00378201", \
-            "0.00304245, 0.00308763, 0.00314792, 0.00321522, 0.00335172, 0.00364303", \
-            "0.00298789, 0.00302856, 0.00308918, 0.00317328, 0.00329605, 0.00354423", \
-            "0.00326243, 0.00325324, 0.003243, 0.00333795, 0.00343567, 0.00360763" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0173301, 0.0174496, 0.0176098, 0.0177706, 0.017963, 0.0182712", \
-            "0.0172687, 0.0173941, 0.0175669, 0.0177478, 0.0179479, 0.0182628", \
-            "0.0171614, 0.0172972, 0.0174736, 0.0176734, 0.0179007, 0.0182546", \
-            "0.0169702, 0.0171257, 0.0173047, 0.0175462, 0.017843, 0.0182", \
-            "0.0167163, 0.0168392, 0.0170168, 0.0172857, 0.0176584, 0.0181097", \
-            "0.0168247, 0.0168464, 0.0169502, 0.0171345, 0.0174015, 0.0179337" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00512616, 0.00516172, 0.00521879, 0.0052883, 0.0053989, 0.00564883", \
-            "0.0050326, 0.00508748, 0.0051473, 0.00523144, 0.00534691, 0.00562673", \
-            "0.00487065, 0.0049276, 0.00499939, 0.00512987, 0.00528322, 0.00555463", \
-            "0.0045714, 0.00466285, 0.00479491, 0.00494843, 0.00516075, 0.00546748", \
-            "0.00433358, 0.00441429, 0.0045415, 0.00472079, 0.0050185, 0.00534789", \
-            "0.004519, 0.00455265, 0.00461491, 0.00477739, 0.00498066, 0.00528922" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0167993, 0.0169041, 0.0170246, 0.0171447, 0.0172862, 0.0175418", \
-            "0.0166809, 0.0168173, 0.0169435, 0.0171015, 0.0172273, 0.0175271", \
-            "0.0165017, 0.0166528, 0.0167898, 0.0170011, 0.0171464, 0.0174767", \
-            "0.0163471, 0.0164609, 0.0166583, 0.0168587, 0.017048, 0.0174155", \
-            "0.0163577, 0.0164336, 0.0165616, 0.0167459, 0.0170215, 0.0174189", \
-            "0.0169778, 0.0170124, 0.0170364, 0.0170757, 0.0171589, 0.0175169" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00351146, 0.00357475, 0.00364417, 0.00373054, 0.00386891, 0.00416373", \
-            "0.00345457, 0.00353889, 0.00361761, 0.00371937, 0.00387097, 0.00417126", \
-            "0.00333399, 0.0034184, 0.0035273, 0.00367007, 0.00383823, 0.00414731", \
-            "0.00304229, 0.00315717, 0.00333217, 0.00352951, 0.00375138, 0.00409942", \
-            "0.00287109, 0.00295257, 0.00308815, 0.00330168, 0.00361757, 0.00400359", \
-            "0.00310431, 0.00312397, 0.00316696, 0.00329819, 0.00351414, 0.00391677" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0173301, 0.0174496, 0.0176098, 0.0177706, 0.017963, 0.0182712", \
-            "0.0172687, 0.0173941, 0.0175669, 0.0177478, 0.0179479, 0.0182628", \
-            "0.0171614, 0.0172972, 0.0174736, 0.0176734, 0.0179007, 0.0182546", \
-            "0.0169702, 0.0171257, 0.0173047, 0.0175462, 0.017843, 0.0182", \
-            "0.0167163, 0.0168392, 0.0170168, 0.0172857, 0.0176584, 0.0181097", \
-            "0.0168247, 0.0168464, 0.0169502, 0.0171345, 0.0174015, 0.0179337" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00512616, 0.00516172, 0.00521879, 0.0052883, 0.0053989, 0.00564883", \
-            "0.0050326, 0.00508748, 0.0051473, 0.00523144, 0.00534691, 0.00562673", \
-            "0.00487065, 0.0049276, 0.00499939, 0.00512987, 0.00528322, 0.00555463", \
-            "0.0045714, 0.00466285, 0.00479491, 0.00494843, 0.00516075, 0.00546748", \
-            "0.00433358, 0.00441429, 0.0045415, 0.00472079, 0.0050185, 0.00534789", \
-            "0.004519, 0.00455265, 0.00461491, 0.00477739, 0.00498066, 0.00528922" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0150575, 0.01521, 0.0154243, 0.0156603, 0.0159342, 0.016278", \
-            "0.0149797, 0.0151495, 0.0153672, 0.0156354, 0.0158894, 0.0162344", \
-            "0.0148886, 0.0150471, 0.0152683, 0.0155685, 0.015852, 0.0162322", \
-            "0.0148097, 0.0149591, 0.0151781, 0.015465, 0.0158068, 0.0161913", \
-            "0.014934, 0.0150161, 0.0151419, 0.0154023, 0.015729, 0.0161593", \
-            "0.015723, 0.0156986, 0.0157026, 0.0157338, 0.0158775, 0.0162748" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0054949, 0.00555584, 0.00562007, 0.00573697, 0.00592944, 0.00630823", \
-            "0.00547004, 0.00554211, 0.00560952, 0.00573869, 0.00595381, 0.00632638", \
-            "0.00541004, 0.00548497, 0.0055783, 0.00570435, 0.00590848, 0.00631792", \
-            "0.00535206, 0.00541685, 0.00548967, 0.00563533, 0.00588365, 0.00628024", \
-            "0.00538116, 0.00543095, 0.00549487, 0.0056256, 0.00580894, 0.00623308", \
-            "0.00580179, 0.0057939, 0.00582807, 0.00594606, 0.00608044, 0.00633407" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0135576, 0.0136195, 0.0137143, 0.0138568, 0.0141129, 0.0146106", \
-            "0.013494, 0.013561, 0.0136668, 0.0138141, 0.0140912, 0.0145993", \
-            "0.0134196, 0.0134843, 0.0135964, 0.0137506, 0.0140579, 0.0145691", \
-            "0.013385, 0.0134178, 0.0135568, 0.0137013, 0.0139775, 0.0145376", \
-            "0.0135945, 0.0136344, 0.0136799, 0.0137596, 0.0139932, 0.0145489", \
-            "0.0144531, 0.0144183, 0.0143893, 0.0144103, 0.0143967, 0.0147624" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.00437524, 0.00444679, 0.00453536, 0.00464474, 0.00481631, 0.00514733", \
-            "0.00433507, 0.0044038, 0.00449644, 0.00459982, 0.0047726, 0.00508425", \
-            "0.00422468, 0.00432322, 0.0044238, 0.00456106, 0.0047242, 0.00505718", \
-            "0.0040323, 0.0041153, 0.00426881, 0.00444599, 0.00464273, 0.00498749", \
-            "0.00398518, 0.00403933, 0.00413763, 0.00426191, 0.00455939, 0.00492736", \
-            "0.00443196, 0.00440797, 0.00441734, 0.00450461, 0.00467095, 0.00496353" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0150575, 0.01521, 0.0154243, 0.0156603, 0.0159342, 0.016278", \
-            "0.0149797, 0.0151495, 0.0153672, 0.0156354, 0.0158894, 0.0162344", \
-            "0.0148886, 0.0150471, 0.0152683, 0.0155685, 0.015852, 0.0162322", \
-            "0.0148097, 0.0149591, 0.0151781, 0.015465, 0.0158068, 0.0161913", \
-            "0.014934, 0.0150161, 0.0151419, 0.0154023, 0.015729, 0.0161593", \
-            "0.015723, 0.0156986, 0.0157026, 0.0157338, 0.0158775, 0.0162748" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00691237, 0.0131255, 0.0249231, 0.047325, 0.0898624");
-          values ( \
-            "0.0054949, 0.00555584, 0.00562007, 0.00573697, 0.00592944, 0.00630823", \
-            "0.00547004, 0.00554211, 0.00560952, 0.00573869, 0.00595381, 0.00632638", \
-            "0.00541004, 0.00548497, 0.0055783, 0.00570435, 0.00590848, 0.00631792", \
-            "0.00535206, 0.00541685, 0.00548967, 0.00563533, 0.00588365, 0.00628024", \
-            "0.00538116, 0.00543095, 0.00549487, 0.0056256, 0.00580894, 0.00623308", \
-            "0.00580179, 0.0057939, 0.00582807, 0.00594606, 0.00608044, 0.00633407" \
-          );
-        }
-      }
-    }
-    pin (S) {
-      direction : output;
-      function : "(A * B * CI) + (A * !B * !CI) + (!A * B * !CI) + (!A * !B * CI)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.145078;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.119695, 0.135725, 0.164983, 0.222705, 0.341983, 0.591359", \
-            "0.122738, 0.138648, 0.167965, 0.225722, 0.345025, 0.594431", \
-            "0.128564, 0.144482, 0.173908, 0.231719, 0.351097, 0.600529", \
-            "0.140879, 0.156928, 0.186429, 0.244417, 0.363887, 0.613378", \
-            "0.158023, 0.174632, 0.204809, 0.26335, 0.383044, 0.632615", \
-            "0.168609, 0.1865, 0.217799, 0.276864, 0.396859, 0.646826" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0387647, 0.0568066, 0.0949142, 0.177019, 0.35218, 0.720398", \
-            "0.0387929, 0.0568413, 0.094941, 0.177027, 0.35219, 0.720412", \
-            "0.0387109, 0.0567859, 0.0948744, 0.177014, 0.35219, 0.72041", \
-            "0.0392988, 0.0572404, 0.0951592, 0.177043, 0.352217, 0.720414", \
-            "0.0414601, 0.0591576, 0.0965897, 0.178082, 0.352676, 0.72053", \
-            "0.0464506, 0.0635676, 0.0998511, 0.17963, 0.353469, 0.721271" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.136506, 0.150278, 0.174386, 0.218659, 0.305787, 0.485462", \
-            "0.142364, 0.156211, 0.180368, 0.224591, 0.31169, 0.491313", \
-            "0.155994, 0.169906, 0.194213, 0.23855, 0.325611, 0.505172", \
-            "0.183728, 0.197792, 0.222409, 0.267186, 0.354386, 0.533958", \
-            "0.234346, 0.249212, 0.275165, 0.321244, 0.409132, 0.588881", \
-            "0.316226, 0.333431, 0.36198, 0.410949, 0.501065, 0.682514" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0310547, 0.0437736, 0.0691577, 0.121373, 0.232657, 0.471488", \
-            "0.0310581, 0.0438085, 0.0691687, 0.121288, 0.232906, 0.471637", \
-            "0.0310689, 0.043828, 0.0691775, 0.121285, 0.232902, 0.471645", \
-            "0.0312424, 0.043992, 0.0692557, 0.121382, 0.232896, 0.471496", \
-            "0.034403, 0.0473868, 0.0723448, 0.123414, 0.233813, 0.471788", \
-            "0.0411362, 0.0543925, 0.0791539, 0.129568, 0.238137, 0.47394" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0896179, 0.0963387, 0.10452, 0.107957, 0.112635, 0.119296, 0.125349, 0.131204, 0.137047, 0.139405, 0.141294, 0.152889, 0.155816, 0.16167, 0.165388, 0.170977, 0.175635, 0.179728, 0.184259, 0.190801, 0.198309, 0.20419, 0.215952, 0.236648, 0.261908");
-            values ( \
-              "0.0297319, 0.0363344, 0.0686344, 0.0794968, 0.0920469, 0.104789, 0.111329, 0.11305, 0.109895, 0.106141, 0.101918, 0.0634722, 0.055108, 0.0409763, 0.0338943, 0.0251004, 0.0193882, 0.0154125, 0.0119097, 0.00816613, 0.00533541, 0.00382557, 0.00195859, 0.0005913, 0.00016294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.000507019, 0.00146993, 0.00987951, 0.0233478, 0.0271739, 0.0777676, 0.0933201, 0.121559, 0.139315, 0.147786, 0.157002, 0.194422, 0.220812, 0.242159");
-            values ( \
-              "1e-22, 0.00735494, 0.0052683, 0.0205852, 1e-22, 2e-22, 0.0278088, 0.141027, 0.16209, 0.161564, 0.151767, 0.0564744, 0.0218409, 0.011907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.000900426, 0.00186333, 0.0102692, 0.0237314, 0.0289026, 0.0789707, 0.0942974, 0.1195, 0.135512, 0.14765, 0.153703, 0.171988, 0.188375, 0.247976, 0.270457, 0.299896, 0.327447, 0.364472, 0.367209");
-            values ( \
-              "1e-22, 0.00799343, 0.00751696, 0.0306423, 1e-22, 2e-22, 0.0342832, 0.158079, 0.195865, 0.206943, 0.208525, 0.203546, 0.189456, 0.0834525, 0.0540152, 0.0289787, 0.0155996, 0.0066319, 0.00632815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0933447, 0.110357, 0.116701, 0.13235, 0.143724, 0.158275, 0.175105, 0.195127, 0.207875, 0.233134, 0.247875, 0.262395, 0.291328, 0.335452, 0.369056, 0.410647, 0.434779, 0.466367, 0.485043, 0.518042, 0.555566, 0.5855, 0.645367, 0.725905, 0.806442");
-            values ( \
-              "0.104602, 0.135209, 0.164997, 0.213583, 0.231833, 0.242482, 0.244262, 0.239363, 0.234395, 0.221643, 0.212365, 0.201306, 0.17301, 0.124458, 0.0918755, 0.0601637, 0.0461777, 0.0322154, 0.0258762, 0.0173659, 0.010996, 0.00757799, 0.00347476, 0.00114703, 0.000381671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0934813, 0.11159, 0.121863, 0.131507, 0.145867, 0.157034, 0.173498, 0.188959, 0.20395, 0.227277, 0.251471, 0.304397, 0.353579, 0.40687, 0.461015, 0.570997, 0.600093, 0.658284, 0.706667, 0.740236, 0.798881, 0.825078, 0.86578, 0.923848, 0.999111, 1.07965, 1.16019, 1.24072, 1.48234");
-            values ( \
-              "0.129752, 0.146364, 0.193439, 0.222885, 0.250142, 0.260249, 0.26758, 0.268416, 0.267557, 0.263323, 0.258251, 0.244676, 0.230331, 0.210969, 0.184379, 0.122859, 0.107935, 0.0812206, 0.0630544, 0.0524798, 0.0375648, 0.032205, 0.0252979, 0.0177335, 0.0111383, 0.00669913, 0.00398308, 0.00239152, 0.000490907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.106155, 0.173235, 0.187604, 0.203892, 0.231924, 0.264068, 0.363085, 0.443042, 0.575993, 0.657351, 0.719426, 0.799963, 1.05022, 1.17613, 1.27366, 1.37567, 1.45621, 1.53024, 1.64062, 1.70294, 1.78348, 1.87499, 2.03607, 2.19714, 2.35822, 2.51929, 2.84144");
-            values ( \
-              "0.242158, 0.279284, 0.282456, 0.283588, 0.282422, 0.279488, 0.267793, 0.257532, 0.238976, 0.225661, 0.213348, 0.19432, 0.125299, 0.0941673, 0.0738325, 0.0563531, 0.045165, 0.0365864, 0.02655, 0.0221204, 0.0173524, 0.0131667, 0.00804452, 0.00489248, 0.00296677, 0.00179521, 0.000653586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.104821, 0.11031, 0.11072, 0.119839, 0.124917, 0.129675, 0.136347, 0.142406, 0.148265, 0.154113, 0.158302, 0.169957, 0.178743, 0.188045, 0.196801, 0.201331, 0.207874, 0.215379, 0.221259, 0.233018, 0.242918");
-            values ( \
-              "0.0221737, 0.0255943, 0.0267413, 0.0624416, 0.079145, 0.0917579, 0.104737, 0.111168, 0.113041, 0.109804, 0.102039, 0.0634657, 0.0409678, 0.0250983, 0.0154127, 0.0119041, 0.00816847, 0.00533862, 0.00382255, 0.00196245, 0.00130263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.102727, 0.112678, 0.124302, 0.132349, 0.137869, 0.147065, 0.155649, 0.164126, 0.173348, 0.180355, 0.197119, 0.210768, 0.222636, 0.237156, 0.253397, 0.272091, 0.293456, 0.296834");
-            values ( \
-              "0.0121215, 0.0429423, 0.0978194, 0.126773, 0.141199, 0.156744, 0.162254, 0.161179, 0.151674, 0.136098, 0.0874619, 0.05648, 0.0374077, 0.0218489, 0.0116782, 0.00560701, 0.0023262, 0.00221469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.10129, 0.115239, 0.130822, 0.135375, 0.144482, 0.151377, 0.165166, 0.171157, 0.179294, 0.189779, 0.200419, 0.208176, 0.223329, 0.249223, 0.264433, 0.28607, 0.298587, 0.31606, 0.326407, 0.343652, 0.363172, 0.378648, 0.409601, 0.460137, 0.521539");
-            values ( \
-              "0.0200762, 0.0620247, 0.141043, 0.158063, 0.183482, 0.195805, 0.207728, 0.208715, 0.207466, 0.202386, 0.193605, 0.184367, 0.158728, 0.107928, 0.0825849, 0.0543204, 0.0419159, 0.0288048, 0.022915, 0.0154914, 0.00991992, 0.00691691, 0.00326309, 0.000840164, 0.00014425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.102402, 0.120109, 0.13371, 0.142246, 0.149344, 0.16354, 0.175257, 0.192437, 0.212128, 0.224876, 0.250135, 0.264876, 0.279397, 0.30833, 0.352455, 0.38606, 0.409552, 0.427652, 0.451785, 0.483376, 0.502054, 0.535055, 0.57259, 0.602534, 0.662422, 0.742959, 0.823497");
-            values ( \
-              "0.0397076, 0.0954209, 0.165089, 0.195613, 0.213483, 0.235067, 0.242349, 0.244293, 0.239427, 0.234316, 0.221708, 0.212357, 0.201306, 0.173004, 0.124458, 0.091871, 0.0727139, 0.0601626, 0.0461734, 0.0322138, 0.0258721, 0.0173645, 0.0109937, 0.00757405, 0.00347313, 0.00114548, 0.000382269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.115458, 0.142932, 0.159033, 0.173879, 0.190237, 0.205737, 0.220768, 0.244095, 0.316235, 0.370401, 0.423693, 0.448958, 0.477832, 0.58782, 0.61691, 0.67509, 0.723522, 0.757124, 0.815717, 0.841889, 0.882605, 0.940686, 0.965789, 1.01599, 1.09653, 1.17707, 1.25761, 1.33814, 1.49922");
-            values ( \
-              "0.163155, 0.207758, 0.244339, 0.260536, 0.267262, 0.268637, 0.267307, 0.263525, 0.246081, 0.230341, 0.21095, 0.1993, 0.18437, 0.122865, 0.107929, 0.0812346, 0.0630494, 0.0524548, 0.0375565, 0.0322127, 0.0252927, 0.0177374, 0.0152259, 0.0111394, 0.00669187, 0.00398678, 0.00238594, 0.00141039, 0.000495735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.125017, 0.173921, 0.189764, 0.205621, 0.220659, 0.247185, 0.280075, 0.381379, 0.592766, 0.67413, 0.736203, 0.816741, 1.06701, 1.19292, 1.29045, 1.39244, 1.54705, 1.6564, 1.79965, 1.89273, 2.0538, 2.21488, 2.37595, 2.50069");
-            values ( \
-              "0.264056, 0.27015, 0.279289, 0.28261, 0.283618, 0.282482, 0.279587, 0.267622, 0.238992, 0.225675, 0.213325, 0.194335, 0.125277, 0.0941444, 0.0738112, 0.0563346, 0.0365637, 0.0266096, 0.0173655, 0.013109, 0.00800146, 0.00485841, 0.00293815, 0.00234273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.137796, 0.144422, 0.149059, 0.158369, 0.166441, 0.172261, 0.174706, 0.179121, 0.181428, 0.184952, 0.190765, 0.1949, 0.208529, 0.216886, 0.225754, 0.232713, 0.236945, 0.244079, 0.25307, 0.260355, 0.274926, 0.276097");
-            values ( \
-              "0.00504637, 0.0175507, 0.0328173, 0.0690952, 0.0922635, 0.103763, 0.107163, 0.111341, 0.112485, 0.113058, 0.109865, 0.102278, 0.057985, 0.0381089, 0.0237074, 0.0161, 0.0126563, 0.00838368, 0.00503567, 0.00333065, 0.00144696, 0.00139111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.144212, 0.151646, 0.163722, 0.170511, 0.174554, 0.18264, 0.185864, 0.192273, 0.198562, 0.200725, 0.204971, 0.209443, 0.218274, 0.230442, 0.244598, 0.258349, 0.270171, 0.281855, 0.290143, 0.299672, 0.312379, 0.337792, 0.368298");
-            values ( \
-              "0.04564, 0.0541112, 0.109148, 0.131601, 0.14165, 0.15567, 0.158949, 0.162313, 0.161891, 0.161052, 0.157879, 0.152433, 0.132774, 0.0962602, 0.0620143, 0.0386302, 0.0249951, 0.0161046, 0.0116025, 0.00799521, 0.00489016, 0.00160796, 0.000483933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.146277, 0.156479, 0.172281, 0.182617, 0.18818, 0.199307, 0.202309, 0.208312, 0.216052, 0.229811, 0.238828, 0.244949, 0.257191, 0.286741, 0.308292, 0.325422, 0.3374, 0.351845, 0.376228, 0.38808, 0.406263, 0.430506, 0.477324, 0.532485");
-            values ( \
-              "0.0824764, 0.0882863, 0.15986, 0.187053, 0.196526, 0.206635, 0.207948, 0.2087, 0.207559, 0.200189, 0.191919, 0.184382, 0.164228, 0.106517, 0.0721913, 0.0515213, 0.0401316, 0.0294286, 0.0170531, 0.0130294, 0.0085737, 0.00481357, 0.00141973, 0.000306706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.147315, 0.160859, 0.170652, 0.186175, 0.200903, 0.212038, 0.228928, 0.248891, 0.261641, 0.286899, 0.301655, 0.316163, 0.345098, 0.389221, 0.422829, 0.464416, 0.488546, 0.520143, 0.538823, 0.571821, 0.609347, 0.639283, 0.699154, 0.779691, 0.860229");
-            values ( \
-              "0.112963, 0.119934, 0.167197, 0.214345, 0.236006, 0.242558, 0.244374, 0.239435, 0.234325, 0.221699, 0.212346, 0.201302, 0.173003, 0.124457, 0.0918705, 0.0601638, 0.0461776, 0.0322134, 0.0258719, 0.0173642, 0.0109945, 0.00757618, 0.00347415, 0.00114636, 0.00038185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.155603, 0.211098, 0.227884, 0.243171, 0.281331, 0.353475, 0.407641, 0.460934, 0.515069, 0.625059, 0.71232, 0.794392, 0.852957, 0.919835, 0.97792, 1.05324, 1.13378, 1.26291");
-            values ( \
-              "0.192512, 0.260613, 0.267528, 0.268587, 0.263447, 0.246002, 0.230335, 0.210954, 0.184375, 0.122864, 0.0812369, 0.0524487, 0.0375584, 0.0252958, 0.0177365, 0.0111373, 0.00669293, 0.00324099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.164433, 0.22738, 0.2429, 0.257637, 0.31695, 0.418555, 0.629742, 0.711104, 0.773177, 0.853715, 1.10398, 1.2299, 1.32743, 1.42938, 1.58403, 1.69454, 1.83735, 1.92868, 2.08975, 2.25083, 2.4119, 2.89513");
-            values ( \
-              "0.279162, 0.279729, 0.282615, 0.28372, 0.279676, 0.267669, 0.239059, 0.225737, 0.213269, 0.194393, 0.125229, 0.0940992, 0.0737719, 0.0563035, 0.0365346, 0.0264923, 0.0173028, 0.0131286, 0.00800651, 0.00485462, 0.00292917, 0.000617744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.208912, 0.223121, 0.224936, 0.227864, 0.230876, 0.232085, 0.234503, 0.238113, 0.243682, 0.246546, 0.249061, 0.253332, 0.256132, 0.259533, 0.265477, 0.27142, 0.277373, 0.277823, 0.278724, 0.284131, 0.285866, 0.289337, 0.295455, 0.298131, 0.298817, 0.30019, 0.302935, 0.308425, 0.310843, 0.311457, 0.312684, 0.31514, 0.319527, 0.325734, 0.328477, 0.333961, 0.344931, 0.359677");
-            values ( \
-              "1e-22, 0.0137559, 0.0188837, 0.027538, 0.0384565, 0.0428995, 0.0523611, 0.0653789, 0.0819867, 0.0893453, 0.0948064, 0.101721, 0.105666, 0.109064, 0.11157, 0.108819, 0.0971222, 0.0952837, 0.0923402, 0.073349, 0.0678831, 0.0573999, 0.0423747, 0.0370339, 0.0357249, 0.033249, 0.0287364, 0.0212557, 0.0186118, 0.0179764, 0.0167893, 0.0146168, 0.0113934, 0.00797103, 0.00682869, 0.0049915, 0.00266465, 0.00125884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.000664024, 0.0814127, 0.127678, 0.1362, 0.154833, 0.208469, 0.225807, 0.241882, 0.253759, 0.264859, 0.273564, 0.282092, 0.290624, 0.323269, 0.335918, 0.353519, 0.369859, 0.389526");
-            values ( \
-              "1e-22, 0.0001766, 0.00247233, 0.000134596, 0.00092252, 1e-22, 0.0233692, 0.0946591, 0.133311, 0.154304, 0.160905, 0.160215, 0.152437, 0.0679246, 0.0443495, 0.0233174, 0.0124255, 0.00597021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.213538, 0.231934, 0.242006, 0.247521, 0.248986, 0.250451, 0.252251, 0.256605, 0.260111, 0.264658, 0.270028, 0.273615, 0.278471, 0.283059, 0.286548, 0.29689, 0.310969, 0.316777, 0.322364, 0.329313, 0.339737, 0.371595, 0.38666, 0.402193, 0.414904, 0.433028, 0.449513, 0.468599");
-            values ( \
-              "0.00301347, 0.059246, 0.111608, 0.135728, 0.150618, 0.150623, 0.153185, 0.167677, 0.176582, 0.186348, 0.195665, 0.200167, 0.204419, 0.206918, 0.207187, 0.205884, 0.199526, 0.194439, 0.187831, 0.178016, 0.160585, 0.0989077, 0.0757144, 0.0555161, 0.043089, 0.0288282, 0.0201307, 0.0129611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.226004, 0.239074, 0.246945, 0.254189, 0.260895, 0.266979, 0.275692, 0.288801, 0.292984, 0.301349, 0.317627, 0.338993, 0.364664, 0.377408, 0.39719, 0.413931, 0.472971, 0.506267, 0.533347, 0.56164, 0.585275, 0.606703, 0.635274, 0.658047, 0.683119, 0.716548, 0.772294, 0.852831, 0.933369");
-            values ( \
-              "0.103344, 0.10374, 0.14446, 0.174645, 0.19681, 0.211889, 0.227609, 0.23978, 0.241933, 0.243634, 0.242837, 0.2358, 0.223481, 0.215862, 0.201274, 0.185702, 0.121533, 0.0897487, 0.068361, 0.0504698, 0.0386207, 0.0301525, 0.0215167, 0.0162816, 0.011987, 0.00795885, 0.00382464, 0.00130607, 0.000391603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.22557, 0.245677, 0.258021, 0.272941, 0.282455, 0.29149, 0.308577, 0.323793, 0.338494, 0.361836, 0.386025, 0.43398, 0.488143, 0.541438, 0.566718, 0.595609, 0.705583, 0.734701, 0.792936, 0.841166, 0.874627, 0.933461, 0.959754, 1.00043, 1.05847, 1.08353, 1.13365, 1.21419, 1.29473, 1.37526, 1.4558, 1.61688");
-            values ( \
-              "0.105201, 0.143491, 0.19604, 0.236118, 0.250739, 0.259276, 0.267005, 0.268321, 0.26724, 0.263391, 0.258062, 0.245993, 0.230326, 0.210949, 0.199286, 0.184354, 0.122855, 0.10791, 0.0811919, 0.0630834, 0.0525286, 0.037559, 0.0321889, 0.025283, 0.0177317, 0.0152278, 0.0111443, 0.00669705, 0.00398755, 0.0023886, 0.00140969, 0.000494546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.238903, 0.308343, 0.323938, 0.338699, 0.364364, 0.397688, 0.528713, 0.710817, 0.792182, 0.854254, 0.934791, 1.18506, 1.31097, 1.4425, 1.51049, 1.64669, 1.77555, 1.83785, 1.94886, 2.09034, 2.25141, 2.41249, 2.59232");
-            values ( \
-              "0.227895, 0.279134, 0.282616, 0.283461, 0.282597, 0.279539, 0.263853, 0.238921, 0.225607, 0.213395, 0.194268, 0.125336, 0.0942031, 0.0676173, 0.0563888, 0.0385698, 0.0265661, 0.0220913, 0.0158427, 0.0103093, 0.00628507, 0.00381819, 0.00232652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.378736, 0.388439, 0.394003, 0.408922, 0.420089, 0.42673, 0.433018, 0.43926, 0.445498, 0.456368, 0.465393, 0.471896, 0.480974, 0.487235, 0.493812, 0.506202, 0.517031, 0.536626, 0.55008");
-            values ( \
-              "0.0103241, 0.016694, 0.0299585, 0.0722069, 0.0947123, 0.102555, 0.105867, 0.10431, 0.0943025, 0.0600978, 0.0387127, 0.0277663, 0.0169746, 0.0119726, 0.00825054, 0.00413031, 0.0022619, 0.000745463, 0.00040534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.385672, 0.395574, 0.411343, 0.414932, 0.421782, 0.431752, 0.440851, 0.44972, 0.458574, 0.463435, 0.468548, 0.478748, 0.485647, 0.490985, 0.498495, 0.509015, 0.51665, 0.523165, 0.531852, 0.542382, 0.552798, 0.556714, 0.567415, 0.576788, 0.591925, 0.616158, 0.660683");
-            values ( \
-              "0.0407746, 0.0428599, 0.0996894, 0.110666, 0.128566, 0.146328, 0.154287, 0.155137, 0.148872, 0.140609, 0.128224, 0.0986704, 0.0812106, 0.0688468, 0.0538728, 0.0374566, 0.0283794, 0.0222906, 0.0160057, 0.0106753, 0.00674279, 0.00561144, 0.00376603, 0.00267438, 0.00149064, 0.000581265, 5.33594e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.380057, 0.396185, 0.416442, 0.425173, 0.43657, 0.451417, 0.465587, 0.479761, 0.494895, 0.509602, 0.539556, 0.559391, 0.581732, 0.606313, 0.633747, 0.664061, 0.698705, 0.700283");
-            values ( \
-              "0.0197108, 0.0512958, 0.134059, 0.161103, 0.185254, 0.200917, 0.20303, 0.197386, 0.182855, 0.159099, 0.101809, 0.0707715, 0.0454742, 0.0268958, 0.0145152, 0.0072061, 0.00308074, 0.00300821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.380939, 0.402068, 0.420978, 0.434656, 0.443463, 0.459276, 0.466282, 0.475623, 0.486636, 0.511845, 0.538281, 0.557541, 0.576031, 0.594575, 0.651335, 0.695261, 0.736575, 0.770395, 0.788298, 0.822092, 0.861063, 0.892408, 0.955098, 0.982487");
-            values ( \
-              "0.0341555, 0.0791207, 0.160836, 0.201393, 0.218252, 0.235268, 0.23852, 0.24035, 0.239951, 0.23284, 0.219998, 0.207615, 0.19256, 0.173837, 0.112674, 0.0739371, 0.0475702, 0.0323776, 0.0262467, 0.0174504, 0.0108559, 0.00734546, 0.00323378, 0.00249624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.38235, 0.434262, 0.448824, 0.460793, 0.479222, 0.494149, 0.508408, 0.531912, 0.590058, 0.658466, 0.702866, 0.740139, 0.869056, 0.938001, 1.00417, 1.03892, 1.10384, 1.13465, 1.19627, 1.28123, 1.3411, 1.42164, 1.50217, 1.54664");
-            values ( \
-              "0.0328433, 0.210687, 0.240469, 0.254142, 0.26399, 0.266008, 0.265472, 0.262098, 0.249423, 0.230035, 0.214673, 0.197867, 0.126482, 0.0923057, 0.0656164, 0.0544049, 0.0376147, 0.031298, 0.0216869, 0.0128022, 0.00889663, 0.00523467, 0.00321257, 0.00273356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.403053, 0.440445, 0.460752, 0.476641, 0.491085, 0.508071, 0.534468, 0.582508, 0.621398, 0.698535, 0.845889, 0.907769, 0.988306, 1.08441, 1.1563, 1.35697, 1.42524, 1.50577, 1.57731, 1.64538, 1.71004, 1.81723, 1.87055, 1.95108, 2.03162, 2.15853, 2.23211, 2.31265, 2.47372, 2.6348, 2.87641, 3.11802");
-            values ( \
-              "0.194459, 0.23334, 0.264431, 0.275081, 0.279572, 0.281594, 0.281172, 0.277241, 0.273154, 0.263756, 0.244002, 0.234729, 0.220705, 0.199383, 0.180474, 0.124786, 0.107338, 0.0886443, 0.0740594, 0.0619591, 0.05201, 0.0385112, 0.0330362, 0.0261222, 0.0205599, 0.0140559, 0.0112308, 0.008789, 0.0053556, 0.00325532, 0.00153036, 0.000728603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0, 0.684367, 0.695043, 0.716394, 0.719536, 0.728673, 0.761353, 0.769073, 0.776182, 0.783097, 0.79, 0.811556, 0.829268, 0.841133, 0.854994, 0.864124");
-            values ( \
-              "1e-22, 2e-22, 0.000265734, 0.00755613, 0.0100063, 0.0218883, 0.0803101, 0.0895439, 0.0945755, 0.0953471, 0.0882087, 0.0357714, 0.0142851, 0.00744619, 0.00350241, 0.00233403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.716721, 0.732863, 0.763312, 0.774722, 0.784802, 0.794369, 0.803939, 0.813494, 0.832528, 0.841913, 0.859712, 0.872075, 0.880992, 0.89365, 0.919324, 0.931706");
-            values ( \
-              "0.0240797, 0.0365374, 0.110069, 0.130327, 0.141271, 0.145054, 0.141571, 0.126345, 0.0774914, 0.0580314, 0.0316198, 0.0201211, 0.0143614, 0.00885398, 0.00322495, 0.00223789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.711402, 0.731184, 0.768502, 0.780066, 0.796139, 0.811076, 0.825889, 0.841254, 0.858343, 0.887858, 0.910118, 0.92865, 0.95213, 0.962992, 0.98508, 1.02463, 1.04401");
-            values ( \
-              "0.0202852, 0.0376055, 0.143134, 0.167638, 0.188492, 0.194662, 0.192053, 0.179952, 0.153212, 0.0985856, 0.0656445, 0.0453208, 0.0274665, 0.0216047, 0.0131118, 0.00517966, 0.00363892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.711179, 0.740114, 0.771567, 0.77787, 0.790474, 0.806726, 0.828115, 0.832577, 0.841501, 0.858219, 0.883955, 0.896833, 0.910569, 0.929166, 0.945568, 0.978373, 1.00271, 1.02093, 1.04965, 1.06115, 1.07859, 1.10176, 1.1221, 1.14923, 1.17304, 1.18352, 1.20449, 1.22833, 1.24335, 1.28633, 1.31925, 1.38511, 1.46565");
-            values ( \
-              "0.0160581, 0.0666545, 0.16671, 0.182629, 0.207508, 0.226186, 0.235104, 0.235145, 0.23454, 0.230417, 0.219547, 0.212019, 0.202373, 0.185876, 0.168815, 0.132694, 0.108016, 0.0911845, 0.0684307, 0.0606482, 0.0502099, 0.0387073, 0.0306295, 0.0222113, 0.0166694, 0.0146858, 0.0113608, 0.00829438, 0.0068575, 0.00404089, 0.00268492, 0.00110893, 0.000376254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.730742, 0.755646, 0.781149, 0.790027, 0.80516, 0.825938, 0.847638, 0.853913, 0.866463, 0.891562, 0.92276, 1.00225, 1.03634, 1.06147, 1.11174, 1.22592, 1.29779, 1.36026, 1.39421, 1.4507, 1.51676, 1.5752, 1.63497, 1.6806, 1.76113, 1.84167, 1.92221, 2.08328");
-            values ( \
-              "0.111998, 0.122752, 0.201807, 0.220652, 0.242774, 0.258033, 0.262725, 0.262811, 0.26208, 0.258431, 0.251699, 0.23034, 0.218917, 0.208926, 0.184427, 0.12091, 0.0864347, 0.0624443, 0.0518024, 0.0375335, 0.0254026, 0.0177982, 0.0123337, 0.00927954, 0.00555925, 0.00331461, 0.00197724, 0.000701238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.750554, 0.826638, 0.865883, 0.918335, 1.04544, 1.18991, 1.31722, 1.42002, 1.81655, 1.98301, 2.16451, 2.31097, 2.39151, 2.64235, 2.90417");
-            values ( \
-              "0.219919, 0.270403, 0.279788, 0.27762, 0.263112, 0.244023, 0.22408, 0.202201, 0.0968658, 0.0635977, 0.0385117, 0.0251653, 0.0198142, 0.00927225, 0.00452583" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0125034, 0.0125199, 0.0125399, 0.012559, 0.0125731, 0.0125817", \
-            "0.0166415, 0.0166528, 0.016669, 0.0166869, 0.0167022, 0.0167126", \
-            "0.0197295, 0.019733, 0.01974, 0.0197505, 0.0197619, 0.019771", \
-            "0.0214069, 0.021407, 0.0214076, 0.0214101, 0.0214148, 0.0214203", \
-            "0.0222263, 0.0222249, 0.022223, 0.0222212, 0.0222205, 0.0222216", \
-            "0.0227704, 0.0227696, 0.0227681, 0.0227655, 0.0227621, 0.022759" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0204055, 0.0204132, 0.0204274, 0.0204458, 0.0204632, 0.0204755", \
-            "0.0222289, 0.0222207, 0.0222148, 0.0222157, 0.0222227, 0.0222306", \
-            "0.0212484, 0.021235, 0.0212156, 0.0211948, 0.0211812, 0.0211759", \
-            "0.0203638, 0.0203592, 0.0203488, 0.0203296, 0.0203048, 0.0202841", \
-            "0.0203914, 0.0204451, 0.0204871, 0.0205135, 0.0205282, 0.0205264", \
-            "0.0198349, 0.0198351, 0.0198491, 0.0199642, 0.0200642, 0.0201053" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.11082, 0.115716, 0.124734, 0.132007, 0.137974, 0.1433, 0.148253, 0.153036, 0.157813, 0.162613, 0.170648, 0.175656, 0.180013, 0.184998, 0.19226, 0.195183");
-            values ( \
-              "-0.0280313, -0.0383722, -0.0777854, -0.101905, -0.117718, -0.128615, -0.13565, -0.138852, -0.134758, -0.116939, -0.0648502, -0.0397558, -0.0249, -0.0141646, -0.00610935, -0.00473127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.1124, 0.123428, 0.129272, 0.13575, 0.139358, 0.149204, 0.154791, 0.160168, 0.16491, 0.168554, 0.175329, 0.177558, 0.179936, 0.183142, 0.189242, 0.196555, 0.204181, 0.207743, 0.212492, 0.217057, 0.224096, 0.233481, 0.248168, 0.265851");
-            values ( \
-              "-0.0289392, -0.0937663, -0.122311, -0.146848, -0.158563, -0.183264, -0.192881, -0.199252, -0.202128, -0.201948, -0.19307, -0.186766, -0.177379, -0.159859, -0.12025, -0.0788344, -0.0479539, -0.0375613, -0.02682, -0.0193103, -0.0115307, -0.00563663, -0.00172387, -0.000450655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.112877, 0.121337, 0.128038, 0.136716, 0.142544, 0.151621, 0.156451, 0.163731, 0.175005, 0.185902, 0.196748, 0.207591, 0.210448, 0.213713, 0.237508, 0.244275, 0.256461, 0.262478, 0.268409, 0.280041, 0.286759, 0.300195, 0.324295, 0.35252");
-            values ( \
-              "-0.0392153, -0.0962183, -0.13622, -0.176592, -0.197929, -0.223827, -0.234602, -0.247344, -0.260373, -0.265545, -0.262493, -0.246376, -0.23921, -0.228238, -0.118268, -0.0933401, -0.0591337, -0.0468208, -0.0369918, -0.0230629, -0.0174935, -0.00989242, -0.00328396, -0.000858037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.111851, 0.133316, 0.142095, 0.149935, 0.162979, 0.172229, 0.189341, 0.197844, 0.209181, 0.215367, 0.230099, 0.244831, 0.258456, 0.269719, 0.290481, 0.311336, 0.330983, 0.347896, 0.360292, 0.382644, 0.399724, 0.417541, 0.431197, 0.458509, 0.508423, 0.566645");
-            values ( \
-              "-0.0478996, -0.177954, -0.216292, -0.242347, -0.274233, -0.289546, -0.308045, -0.313015, -0.316524, -0.317186, -0.315358, -0.308581, -0.296463, -0.280075, -0.22752, -0.165055, -0.116712, -0.0847378, -0.0662849, -0.042077, -0.0293547, -0.0201446, -0.0150648, -0.00821649, -0.00248332, -0.000541461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.110883, 0.132253, 0.143853, 0.160429, 0.171566, 0.188216, 0.209674, 0.230886, 0.245484, 0.27468, 0.293883, 0.317315, 0.353694, 0.371022, 0.389504, 0.405949, 0.427875, 0.468663, 0.512385, 0.529693, 0.549475, 0.575803, 0.598739, 0.622633, 0.656761, 0.701243, 0.760552, 0.823685, 0.886818, 0.949951");
-            values ( \
-              "-0.0532764, -0.180692, -0.234115, -0.283908, -0.305873, -0.328462, -0.344057, -0.350663, -0.351972, -0.350485, -0.346901, -0.34048, -0.325774, -0.315482, -0.300783, -0.282577, -0.25049, -0.185242, -0.126722, -0.108004, -0.0894717, -0.069111, -0.0549519, -0.0430723, -0.030197, -0.0189183, -0.00989147, -0.00491446, -0.00243278, -0.00120249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.126115, 0.164398, 0.187221, 0.205898, 0.219541, 0.237732, 0.259892, 0.281356, 0.316427, 0.351731, 0.413353, 0.496855, 0.57361, 0.636742, 0.655768, 0.693818, 0.796563, 0.892616, 0.960283, 1.02297, 1.09295, 1.13816, 1.20107, 1.27246, 1.3356, 1.39873, 1.525, 1.65126, 1.84066");
-            values ( \
-              "-0.25956, -0.300249, -0.337758, -0.355295, -0.362654, -0.368468, -0.372167, -0.372811, -0.371797, -0.368603, -0.361727, -0.349061, -0.332481, -0.310747, -0.301659, -0.27763, -0.196295, -0.131201, -0.0965982, -0.0715684, -0.0509805, -0.0406372, -0.0296287, -0.020606, -0.0148093, -0.0107386, -0.00557536, -0.00289503, -0.00102189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.130853, 0.132627, 0.135132, 0.137589, 0.142834, 0.144498, 0.147826, 0.151776, 0.157749, 0.163069, 0.168023, 0.172806, 0.177583, 0.182384, 0.183773, 0.188986, 0.190419, 0.192567, 0.19543, 0.197088, 0.199776, 0.203361, 0.204764, 0.20623, 0.208184, 0.212092, 0.218115");
-            values ( \
-              "-0.0285913, -0.0323146, -0.0370114, -0.0467149, -0.0717534, -0.0773902, -0.0896303, -0.101689, -0.1179, -0.128442, -0.135779, -0.138719, -0.13486, -0.116836, -0.108895, -0.0737002, -0.0648557, -0.0529738, -0.0397328, -0.0333493, -0.024928, -0.0166883, -0.014179, -0.0119968, -0.0096455, -0.00607169, -0.00325159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.131366, 0.138144, 0.143351, 0.149629, 0.155517, 0.159294, 0.167393, 0.174748, 0.181704, 0.188513, 0.195314, 0.199375, 0.216545, 0.223569, 0.227639, 0.232289, 0.237008, 0.244271, 0.253955, 0.268704, 0.286534");
-            values ( \
-              "-0.015635, -0.0651864, -0.0937192, -0.123447, -0.146353, -0.158497, -0.179711, -0.192926, -0.200641, -0.202162, -0.193006, -0.179775, -0.0787355, -0.0498494, -0.0377526, -0.0271516, -0.0193337, -0.0113518, -0.00541514, -0.0016461, -0.000430617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.133655, 0.140097, 0.148633, 0.157562, 0.164021, 0.171646, 0.183788, 0.195041, 0.205939, 0.216783, 0.227624, 0.230485, 0.233755, 0.25754, 0.264307, 0.276492, 0.28844, 0.300072, 0.306789, 0.320224, 0.338965");
-            values ( \
-              "-0.0590036, -0.0889591, -0.139558, -0.18005, -0.202726, -0.224034, -0.247564, -0.260479, -0.265604, -0.262537, -0.2464, -0.239216, -0.228222, -0.118269, -0.0933414, -0.059134, -0.0369914, -0.023063, -0.0174947, -0.00989289, -0.00475402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.134656, 0.14522, 0.152324, 0.163921, 0.169872, 0.181773, 0.192181, 0.210009, 0.223834, 0.231162, 0.24582, 0.258524, 0.269307, 0.288694, 0.295683, 0.30367, 0.33283, 0.353192, 0.367631, 0.380081, 0.39043, 0.402493, 0.419559, 0.437324, 0.450933, 0.478152, 0.528006, 0.586999");
-            values ( \
-              "-0.0944275, -0.132164, -0.17349, -0.223384, -0.242374, -0.271949, -0.289585, -0.308586, -0.315283, -0.316873, -0.316491, -0.312139, -0.305096, -0.281947, -0.267935, -0.247175, -0.160556, -0.111682, -0.0848444, -0.0663425, -0.0538601, -0.0420261, -0.0293643, -0.0201785, -0.0150715, -0.00826832, -0.00247833, -0.000548516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.134544, 0.164026, 0.179682, 0.191431, 0.208276, 0.226314, 0.248879, 0.265348, 0.297121, 0.337178, 0.366063, 0.39199, 0.414814, 0.455821, 0.488309, 0.534903, 0.581693, 0.628544, 0.662342, 0.683583, 0.734421, 0.792522, 0.855655, 0.857999");
-            values ( \
-              "-0.103806, -0.235092, -0.282436, -0.305981, -0.328631, -0.3423, -0.350249, -0.352054, -0.35008, -0.340509, -0.329368, -0.314727, -0.295334, -0.237932, -0.186018, -0.124046, -0.0795907, -0.0498851, -0.0351501, -0.0282188, -0.0164889, -0.0087638, -0.00431967, -0.0042588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.149513, 0.191729, 0.208812, 0.235318, 0.266314, 0.296731, 0.327127, 0.361866, 0.471949, 0.516706, 0.579839, 0.620341, 0.675362, 0.738495, 0.830517, 0.89365, 0.931574, 0.990247, 1.04639, 1.09569, 1.14437, 1.20751, 1.25996, 1.35461, 1.41774, 1.48087, 1.60714, 1.85967");
-            values ( \
-              "-0.302745, -0.316113, -0.340292, -0.360769, -0.370411, -0.373004, -0.372161, -0.369633, -0.356162, -0.349003, -0.335846, -0.324443, -0.301641, -0.258915, -0.185713, -0.142539, -0.12055, -0.092072, -0.0704347, -0.0554168, -0.0435416, -0.0316562, -0.0242838, -0.0149343, -0.0107438, -0.00775235, -0.00401212, -0.00107614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.175356, 0.179324, 0.192205, 0.20204, 0.207363, 0.212318, 0.217103, 0.221881, 0.226683, 0.234719, 0.239739, 0.244052, 0.249049, 0.256567, 0.264587, 0.270289");
-            values ( \
-              "-0.0288289, -0.0367745, -0.0898181, -0.117819, -0.12841, -0.135727, -0.138701, -0.134828, -0.11683, -0.0648336, -0.0397082, -0.0249734, -0.0141845, -0.00592666, -0.00232294, -0.00140661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.176251, 0.182588, 0.19178, 0.19881, 0.203805, 0.211915, 0.21927, 0.226229, 0.233039, 0.239843, 0.243892, 0.261075, 0.268101, 0.276819, 0.281539, 0.288805, 0.298492, 0.30754");
-            values ( \
-              "-0.0217672, -0.0647139, -0.113183, -0.141877, -0.158251, -0.179663, -0.19277, -0.200632, -0.202054, -0.193023, -0.179798, -0.0787302, -0.0498469, -0.0271545, -0.0193338, -0.0113502, -0.00541225, -0.00310154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.178444, 0.193275, 0.202215, 0.208663, 0.216307, 0.228452, 0.239706, 0.250606, 0.26145, 0.272293, 0.275152, 0.278421, 0.302209, 0.308976, 0.321162, 0.33311, 0.344742, 0.351459, 0.364894, 0.384591");
-            values ( \
-              "-0.0557806, -0.139372, -0.179948, -0.202548, -0.22399, -0.247484, -0.260472, -0.265546, -0.262548, -0.246355, -0.239208, -0.228225, -0.118266, -0.0933398, -0.0591332, -0.0369911, -0.0230628, -0.0174946, -0.00989275, -0.00449184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.179355, 0.189992, 0.200939, 0.209189, 0.225455, 0.236913, 0.254544, 0.261433, 0.27589, 0.290169, 0.304004, 0.314034, 0.333434, 0.340342, 0.348237, 0.377538, 0.397928, 0.412398, 0.424819, 0.43514, 0.44721, 0.464282, 0.482065, 0.495691, 0.522944, 0.572803, 0.63181");
-            values ( \
-              "-0.0923987, -0.132639, -0.192247, -0.225408, -0.269817, -0.289635, -0.308459, -0.31249, -0.31689, -0.316524, -0.311726, -0.305103, -0.281898, -0.268086, -0.247627, -0.16061, -0.111665, -0.0847822, -0.0663294, -0.0538803, -0.0420364, -0.0293684, -0.0201731, -0.015062, -0.00825651, -0.00247439, -0.000547372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.180069, 0.209127, 0.2241, 0.236292, 0.252901, 0.271092, 0.294186, 0.3102, 0.341579, 0.382031, 0.410123, 0.438424, 0.459667, 0.500746, 0.532884, 0.579735, 0.627925, 0.671872, 0.703563, 0.727318, 0.783803, 0.846936, 0.895661");
-            values ( \
-              "-0.11305, -0.236322, -0.281576, -0.30604, -0.328492, -0.342229, -0.35039, -0.352001, -0.350173, -0.340541, -0.329682, -0.313585, -0.295368, -0.237765, -0.186403, -0.124096, -0.0784872, -0.0506333, -0.0365402, -0.0285289, -0.0157339, -0.00783745, -0.00479611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.194696, 0.236472, 0.25368, 0.280562, 0.313238, 0.345802, 0.380927, 0.416166, 0.517653, 0.561456, 0.624589, 0.66509, 0.720112, 0.783245, 0.875264, 0.976325, 1.035, 1.09115, 1.14044, 1.18912, 1.25226, 1.30471, 1.39935, 1.46249, 1.65189, 1.65488");
-            values ( \
-              "-0.309206, -0.316226, -0.340373, -0.361092, -0.370832, -0.372985, -0.371789, -0.368658, -0.356115, -0.348918, -0.33593, -0.324521, -0.301563, -0.258991, -0.185655, -0.120498, -0.0920196, -0.0704842, -0.0554658, -0.0434938, -0.0317042, -0.0242391, -0.0148902, -0.0107876, -0.00396882, -0.00393397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.265969, 0.291882, 0.295508, 0.302731, 0.308278, 0.313087, 0.315191, 0.317871, 0.322701, 0.333154, 0.3387, 0.344504, 0.350983, 0.358086");
-            values ( \
-              "-0.00156379, -0.100439, -0.110531, -0.126658, -0.134846, -0.13807, -0.137548, -0.133938, -0.116518, -0.0515286, -0.0290013, -0.0151513, -0.00720381, -0.00307302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.271802, 0.278072, 0.287322, 0.299845, 0.308018, 0.315411, 0.322394, 0.329221, 0.336042, 0.339954, 0.357293, 0.36432, 0.373012, 0.385062, 0.3948, 0.39581");
-            values ( \
-              "-0.0395898, -0.0605832, -0.109035, -0.156736, -0.178555, -0.192022, -0.200016, -0.201669, -0.192696, -0.180173, -0.0786745, -0.0498167, -0.0271963, -0.0113175, -0.00537734, -0.00522399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.265993, 0.306922, 0.312549, 0.324726, 0.336001, 0.346913, 0.357764, 0.368613, 0.371459, 0.374712, 0.398533, 0.405301, 0.417487, 0.429434, 0.441067, 0.447786, 0.461223, 0.483706");
-            values ( \
-              "-0.0125256, -0.208031, -0.222984, -0.247152, -0.259993, -0.265477, -0.262282, -0.246395, -0.239183, -0.228274, -0.118262, -0.0933344, -0.0591312, -0.0369922, -0.0230626, -0.0174935, -0.00989129, -0.0037269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.266083, 0.300005, 0.31144, 0.31955, 0.333783, 0.350698, 0.359677, 0.372754, 0.391669, 0.410586, 0.42129, 0.43127, 0.451232, 0.484984, 0.49767, 0.520874, 0.533863, 0.551183, 0.567965, 0.59527, 0.631678, 0.646661");
-            values ( \
-              "-0.000480639, -0.201746, -0.242356, -0.263495, -0.289781, -0.307826, -0.313082, -0.316942, -0.315568, -0.305596, -0.29498, -0.280173, -0.229814, -0.133832, -0.106077, -0.0675423, -0.0519769, -0.0362558, -0.0254788, -0.0141903, -0.00622554, -0.00491789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.278224, 0.311133, 0.333285, 0.35102, 0.368542, 0.38838, 0.407185, 0.438347, 0.479012, 0.506677, 0.535628, 0.556648, 0.676712, 0.725114, 0.768621, 0.824127, 0.881456, 0.944589, 0.958553");
-            values ( \
-              "-0.131571, -0.254, -0.306344, -0.329612, -0.342669, -0.349767, -0.35211, -0.350148, -0.3405, -0.329917, -0.313465, -0.295326, -0.124087, -0.0783357, -0.0507594, -0.0285879, -0.0156137, -0.00778701, -0.00691873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.288617, 0.325613, 0.349059, 0.367828, 0.395913, 0.419722, 0.442741, 0.477816, 0.513115, 0.574469, 0.658263, 0.734982, 0.798115, 0.817161, 0.855253, 0.957935, 1.054, 1.12175, 1.18439, 1.25427, 1.2995, 1.36244, 1.43391, 1.49705, 1.56018, 1.68644, 1.81271, 2.00211");
-            values ( \
-              "-0.275356, -0.300327, -0.338495, -0.355873, -0.367665, -0.372112, -0.372796, -0.371841, -0.36856, -0.361794, -0.349084, -0.332514, -0.31073, -0.301677, -0.277585, -0.196309, -0.131206, -0.096564, -0.0715646, -0.0509893, -0.0406585, -0.0296213, -0.0205886, -0.0148168, -0.0107237, -0.00556161, -0.00288176, -0.00103447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.4635, 0.474723, 0.48233, 0.4971, 0.50178, 0.508074, 0.512026, 0.514627, 0.519818, 0.52501, 0.533578, 0.539162, 0.543588, 0.549552, 0.555129, 0.559182, 0.565692, 0.576615, 0.610822");
-            values ( \
-              "-0.0107677, -0.0379818, -0.063673, -0.101565, -0.111117, -0.121185, -0.125505, -0.127105, -0.125454, -0.109944, -0.061151, -0.0360883, -0.0227614, -0.0118373, -0.00629413, -0.00400366, -0.00196922, -0.000637426, -0.000135818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.461654, 0.474511, 0.488062, 0.499483, 0.507658, 0.516726, 0.524276, 0.52766, 0.53155, 0.53879, 0.541798, 0.546884, 0.563367, 0.571214, 0.580196, 0.585741, 0.593135, 0.597756");
-            values ( \
-              "-0.0077782, -0.048371, -0.10088, -0.138006, -0.159051, -0.176438, -0.186029, -0.188678, -0.189763, -0.183673, -0.176245, -0.154415, -0.0661398, -0.039648, -0.021195, -0.0142679, -0.00826739, -0.00644709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.462227, 0.492772, 0.497992, 0.50782, 0.513807, 0.525783, 0.534032, 0.538926, 0.548714, 0.556074, 0.561592, 0.570481, 0.573147, 0.578479, 0.601263, 0.609257, 0.621152, 0.633079, 0.641906, 0.650935, 0.657829, 0.671618, 0.691163");
-            values ( \
-              "-0.00335872, -0.135461, -0.15578, -0.187976, -0.203939, -0.228896, -0.2411, -0.246508, -0.253712, -0.255107, -0.253823, -0.244907, -0.240224, -0.225951, -0.12464, -0.0948113, -0.0611229, -0.0384104, -0.0268965, -0.0186315, -0.014016, -0.0078045, -0.00367892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.462666, 0.4974, 0.512704, 0.523064, 0.536655, 0.550104, 0.561667, 0.569521, 0.577057, 0.592128, 0.604666, 0.615732, 0.636235, 0.641934, 0.649534, 0.679372, 0.699847, 0.714331, 0.726744, 0.737038, 0.749117, 0.766198, 0.784, 0.797643, 0.824928, 0.874744, 0.933704");
-            values ( \
-              "-0.00409608, -0.1679, -0.221725, -0.247895, -0.274593, -0.291923, -0.301581, -0.306565, -0.309729, -0.311856, -0.309084, -0.302901, -0.279181, -0.267841, -0.248653, -0.160634, -0.111581, -0.0847149, -0.0662976, -0.0538888, -0.0420394, -0.0293675, -0.0201658, -0.0150527, -0.00824516, -0.00247513, -0.000548105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.481982, 0.507415, 0.522751, 0.536248, 0.550047, 0.567177, 0.575663, 0.592634, 0.609619, 0.626688, 0.647842, 0.683674, 0.698931, 0.721598, 0.735435, 0.761831, 0.802698, 0.835899, 0.881972, 0.92592, 0.950098, 0.978632, 1.01668, 1.03833, 1.07173, 1.11626, 1.17939, 1.22478");
-            values ( \
-              "-0.185809, -0.2157, -0.261695, -0.290356, -0.311726, -0.329164, -0.335674, -0.344473, -0.348694, -0.349743, -0.348153, -0.340348, -0.335062, -0.324948, -0.316955, -0.295301, -0.238173, -0.185153, -0.124021, -0.0817921, -0.0644409, -0.0483369, -0.032565, -0.0259948, -0.0182995, -0.0113264, -0.0056381, -0.00358882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.481838, 0.506813, 0.534161, 0.549721, 0.570121, 0.588492, 0.61042, 0.641131, 0.661541, 0.718355, 0.814589, 0.863679, 0.926812, 0.967326, 1.02234, 1.08547, 1.17749, 1.24063, 1.27856, 1.33724, 1.39338, 1.44267, 1.49135, 1.55448, 1.60695, 1.70161, 1.76474, 1.82787, 1.95414, 2.20667");
-            values ( \
-              "-0.19809, -0.220303, -0.296108, -0.321583, -0.344657, -0.357889, -0.36675, -0.371574, -0.371932, -0.368479, -0.356816, -0.348953, -0.33587, -0.324465, -0.301605, -0.258937, -0.18569, -0.142566, -0.120522, -0.09204, -0.0704592, -0.0554444, -0.043516, -0.0316829, -0.0242563, -0.0149057, -0.0107697, -0.00772442, -0.00398452, -0.00104869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.862699, 0.873809, 0.879421, 0.882222, 0.887823, 0.89068, 0.901821, 0.910392, 0.91775, 0.924383, 0.93058, 0.93662, 0.942649, 0.943545, 0.952797, 0.955831, 0.958584, 0.962006, 0.966198, 0.968275, 0.971623, 0.976087, 0.985015, 0.987062");
-            values ( \
-              "-0.00895951, -0.0179396, -0.0250147, -0.0297245, -0.04156, -0.0481677, -0.0692396, -0.0836194, -0.0946989, -0.102923, -0.108335, -0.109105, -0.0980287, -0.0950142, -0.052593, -0.0406017, -0.0315663, -0.0226788, -0.0147639, -0.0119081, -0.0083827, -0.00519938, -0.00192743, -0.00169304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.864931, 0.87604, 0.878456, 0.883289, 0.888207, 0.895857, 0.898422, 0.903551, 0.912512, 0.923496, 0.933002, 0.9417, 0.949945, 0.958112, 0.966269, 0.968208, 0.975194, 0.980019, 0.9824, 0.987163, 0.989639, 0.992488, 0.996186, 1.00132, 1.00352, 1.00792, 1.01671, 1.02359");
-            values ( \
-              "-0.0151958, -0.0288146, -0.0323415, -0.0415798, -0.0528568, -0.0744246, -0.0802741, -0.093319, -0.113367, -0.136197, -0.151757, -0.163158, -0.168944, -0.166848, -0.14596, -0.137993, -0.102627, -0.0798011, -0.069868, -0.0524802, -0.0449701, -0.0374734, -0.0294264, -0.0207005, -0.0178629, -0.013101, -0.00699878, -0.00476732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.867448, 0.884509, 0.893365, 0.909895, 0.92876, 0.942236, 0.957282, 0.969827, 0.975601, 0.981976, 0.994084, 0.997486, 1.00111, 1.00792, 1.02541, 1.03206, 1.04463, 1.05161, 1.05957, 1.0662, 1.07291, 1.08185, 1.09972, 1.12615, 1.15817");
-            values ( \
-              "-0.0128422, -0.054263, -0.0777758, -0.127435, -0.174667, -0.200969, -0.222365, -0.233475, -0.236188, -0.236691, -0.227492, -0.221354, -0.212108, -0.187233, -0.114266, -0.0915008, -0.0580899, -0.0446328, -0.0326949, -0.0251336, -0.0192177, -0.0133447, -0.00622734, -0.00186116, -0.000418616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.873738, 0.893181, 0.90946, 0.927721, 0.94037, 0.954928, 0.977748, 0.998698, 1.01897, 1.03922, 1.05943, 1.06195, 1.07205, 1.10386, 1.12463, 1.1392, 1.15167, 1.16196, 1.1741, 1.19125, 1.20225, 1.21595, 1.23558, 1.268, 1.2932, 1.34225");
-            values ( \
-              "-0.0386164, -0.084477, -0.137038, -0.189744, -0.219403, -0.247145, -0.277212, -0.292894, -0.298193, -0.293573, -0.274207, -0.270438, -0.249333, -0.159681, -0.110881, -0.0842523, -0.0659864, -0.0537093, -0.0419115, -0.0292922, -0.0232584, -0.0174074, -0.0115702, -0.0057476, -0.00332154, -0.00102436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.879927, 0.904286, 0.942921, 0.954164, 0.976651, 0.996908, 1.03015, 1.0447, 1.06411, 1.08712, 1.10868, 1.14573, 1.17327, 1.18717, 1.20806, 1.268, 1.30554, 1.33931, 1.36794, 1.39323, 1.42696, 1.45299, 1.48185, 1.52033, 1.58346, 1.64659, 1.77286");
-            values ( \
-              "-0.078949, -0.126405, -0.238316, -0.261908, -0.296559, -0.317855, -0.336051, -0.339428, -0.341285, -0.339686, -0.335301, -0.322365, -0.305488, -0.293189, -0.267678, -0.175239, -0.12637, -0.0921579, -0.0696371, -0.054078, -0.0382421, -0.0291688, -0.0215668, -0.0143099, -0.0071267, -0.00353774, -0.000866484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.891026, 0.921433, 0.955403, 0.978899, 0.998364, 1.03132, 1.06342, 1.09096, 1.14407, 1.25159, 1.29047, 1.32789, 1.36728, 1.43041, 1.44945, 1.48754, 1.59024, 1.648, 1.68631, 1.75408, 1.81671, 1.88656, 1.9318, 1.99475, 2.06626, 2.12939, 2.19252, 2.31879, 2.44505, 2.63445");
-            values ( \
-              "-0.157894, -0.185727, -0.271376, -0.309484, -0.331502, -0.353366, -0.363209, -0.365962, -0.364861, -0.353724, -0.348339, -0.341473, -0.332249, -0.310757, -0.301523, -0.277651, -0.196376, -0.155019, -0.131273, -0.0964934, -0.0716191, -0.0509653, -0.0406907, -0.0295934, -0.0205597, -0.0148407, -0.0106972, -0.00553684, -0.00285833, -0.00105603" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0123042, 0.0123184, 0.012336, 0.0123533, 0.0123666, 0.012375", \
-            "0.0145925, 0.0145986, 0.0146083, 0.0146203, 0.0146314, 0.0146394", \
-            "0.0159922, 0.0159934, 0.0159963, 0.0160016, 0.0160084, 0.0160145", \
-            "0.0168099, 0.0168103, 0.0168108, 0.016812, 0.0168145, 0.0168179", \
-            "0.01724, 0.0172399, 0.0172399, 0.0172399, 0.0172402, 0.0172411", \
-            "0.0174795, 0.0174793, 0.017479, 0.0174786, 0.0174781, 0.0174778" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0167629, 0.0167755, 0.0167888, 0.0168042, 0.0168175, 0.0168267", \
-            "0.0187977, 0.0188004, 0.0188055, 0.0188126, 0.01882, 0.0188258", \
-            "0.0202963, 0.0203008, 0.0202945, 0.0202939, 0.0202946, 0.0202961", \
-            "0.0219099, 0.0219026, 0.0218927, 0.0218806, 0.0218704, 0.0218635", \
-            "0.0230466, 0.0230414, 0.0230347, 0.0230263, 0.0230163, 0.0230064", \
-            "0.0240969, 0.0241108, 0.0241727, 0.0242064, 0.0242213, 0.0242224" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.10894, 0.125391, 0.155882, 0.215404, 0.336485, 0.587274", \
-            "0.113344, 0.129732, 0.160163, 0.219532, 0.340525, 0.591254", \
-            "0.121818, 0.138088, 0.168388, 0.227647, 0.348491, 0.599108", \
-            "0.138315, 0.154527, 0.184721, 0.243856, 0.364615, 0.615149", \
-            "0.159943, 0.176544, 0.207203, 0.266645, 0.387616, 0.638205", \
-            "0.17444, 0.191991, 0.223226, 0.282798, 0.403879, 0.654992" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0382029, 0.0565487, 0.0951449, 0.177681, 0.352837, 0.720767", \
-            "0.0381837, 0.0565358, 0.0950907, 0.177679, 0.352837, 0.720769", \
-            "0.0380451, 0.0564308, 0.0950496, 0.177658, 0.352838, 0.720767", \
-            "0.0384218, 0.0566496, 0.0950714, 0.177565, 0.35278, 0.72076", \
-            "0.0404349, 0.0582675, 0.0960898, 0.178212, 0.35314, 0.720837", \
-            "0.0450325, 0.0620409, 0.0986878, 0.179261, 0.353725, 0.721524" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.169753, 0.185605, 0.212437, 0.259502, 0.348676, 0.528615", \
-            "0.175152, 0.190915, 0.217688, 0.264919, 0.354113, 0.534015", \
-            "0.187709, 0.203328, 0.230211, 0.277587, 0.366783, 0.546812", \
-            "0.213947, 0.229628, 0.25658, 0.304065, 0.393477, 0.573449", \
-            "0.262911, 0.279341, 0.307183, 0.355514, 0.445433, 0.625577", \
-            "0.342234, 0.360489, 0.390948, 0.442147, 0.535512, 0.717341" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0359915, 0.0496614, 0.0760609, 0.128251, 0.237798, 0.473277", \
-            "0.0359362, 0.0496734, 0.0761062, 0.128271, 0.23759, 0.473293", \
-            "0.0359335, 0.0497464, 0.0761096, 0.128258, 0.237764, 0.473286", \
-            "0.0360004, 0.0497193, 0.076071, 0.128187, 0.237733, 0.473271", \
-            "0.0385471, 0.0522919, 0.0780899, 0.12964, 0.238116, 0.473405", \
-            "0.0437742, 0.0583405, 0.0849351, 0.136626, 0.243434, 0.476088" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.081036, 0.0864554, 0.0952373, 0.102736, 0.109121, 0.11503, 0.120797, 0.126558, 0.130843, 0.14244, 0.151269, 0.160559, 0.169398, 0.180525, 0.193972, 0.197172");
-            values ( \
-              "0.0238818, 0.0413734, 0.0766413, 0.0971737, 0.108204, 0.1134, 0.114209, 0.110355, 0.102015, 0.0633636, 0.0407781, 0.0250027, 0.0153094, 0.00811585, 0.00380084, 0.00330044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0896316, 0.101095, 0.103886, 0.105281, 0.107829, 0.108981, 0.111286, 0.113517, 0.115748, 0.117978, 0.120209, 0.122331, 0.124454, 0.126576, 0.128698, 0.13081, 0.132921, 0.135033, 0.137144, 0.139255, 0.141366, 0.143477, 0.145881, 0.146759, 0.148223, 0.14998, 0.152323, 0.154666, 0.155561, 0.160932, 0.165465, 0.169694, 0.173318, 0.176943, 0.180567, 0.187373, 0.19017, 0.191569, 0.195764, 0.199605, 0.203445, 0.205886, 0.209548, 0.210769, 0.213211, 0.215652, 0.218093, 0.220535, 0.222165, 0.229538");
-            values ( \
-              "0.118379, 0.120671, 0.129906, 0.134125, 0.141142, 0.144024, 0.149245, 0.153282, 0.156607, 0.159222, 0.161127, 0.161606, 0.16189, 0.16198, 0.161874, 0.161576, 0.161085, 0.160401, 0.159524, 0.158066, 0.156349, 0.154371, 0.151802, 0.150425, 0.147536, 0.143661, 0.138049, 0.131928, 0.129438, 0.113227, 0.100059, 0.0882296, 0.078822, 0.070563, 0.062914, 0.0500499, 0.0451724, 0.0430858, 0.0372462, 0.0325881, 0.0281895, 0.0255281, 0.0221862, 0.0211673, 0.0193826, 0.0177144, 0.0161628, 0.0147277, 0.0138804, 0.0103147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0835407, 0.0938994, 0.10101, 0.108443, 0.113822, 0.120179, 0.125511, 0.136175, 0.139509, 0.146177, 0.15329, 0.167099, 0.176955, 0.182371, 0.193204, 0.220648, 0.238244, 0.260902, 0.274255, 0.290083, 0.299476, 0.317599, 0.338598, 0.355532, 0.389401, 0.404682");
-            values ( \
-              "0.00814957, 0.102473, 0.138002, 0.165741, 0.18034, 0.192746, 0.199907, 0.207306, 0.208156, 0.208185, 0.206471, 0.198811, 0.189775, 0.183174, 0.166061, 0.112905, 0.0832829, 0.0538251, 0.0408164, 0.0290724, 0.0236367, 0.0156645, 0.00969063, 0.00652157, 0.00283369, 0.00219337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0870635, 0.0995235, 0.106512, 0.118474, 0.125074, 0.138272, 0.15062, 0.167737, 0.187657, 0.200512, 0.225944, 0.240399, 0.255299, 0.284319, 0.328469, 0.3621, 0.403602, 0.427645, 0.459306, 0.47801, 0.510892, 0.548198, 0.577932, 0.637399, 0.675102");
-            values ( \
-              "0.053352, 0.138739, 0.171272, 0.208604, 0.221393, 0.236838, 0.242249, 0.242855, 0.237769, 0.232739, 0.220615, 0.211731, 0.200696, 0.172702, 0.124414, 0.0918833, 0.0602482, 0.0462869, 0.0322618, 0.0258975, 0.0173984, 0.0110374, 0.00761855, 0.00350644, 0.00240453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0955567, 0.113207, 0.125565, 0.142905, 0.150546, 0.165828, 0.181921, 0.197664, 0.221156, 0.24547, 0.317548, 0.376562, 0.404688, 0.460939, 0.573746, 0.604679, 0.660856, 0.710849, 0.742155, 0.782729, 0.809584, 0.845391, 0.911881, 0.988214, 1.04947, 1.1302, 1.21093, 1.29166, 1.45312");
-            values ( \
-              "0.199434, 0.202417, 0.234252, 0.256204, 0.260915, 0.265867, 0.266716, 0.265598, 0.261889, 0.25698, 0.238905, 0.220379, 0.209231, 0.18136, 0.118547, 0.102968, 0.0779716, 0.0598492, 0.050327, 0.039935, 0.034166, 0.0276349, 0.0184218, 0.0115166, 0.00783043, 0.00467071, 0.00277452, 0.00164762, 0.000579049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.105005, 0.19893, 0.22854, 0.260183, 0.292566, 0.3896, 0.491282, 0.572012, 0.652992, 0.71535, 0.796079, 1.04557, 1.17097, 1.2517, 1.30454, 1.37499, 1.45572, 1.50726, 1.61376, 1.68351, 1.814, 1.97546, 2.13692, 2.29838, 2.45984, 2.78276");
-            values ( \
-              "0.27443, 0.28163, 0.280876, 0.278096, 0.274964, 0.263535, 0.250333, 0.23871, 0.225528, 0.213441, 0.194166, 0.125605, 0.0945509, 0.0772993, 0.0674264, 0.0559326, 0.044636, 0.038585, 0.0284465, 0.0230781, 0.0155962, 0.0095183, 0.00577111, 0.00348141, 0.00208989, 0.000737543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.099659, 0.104841, 0.112129, 0.121063, 0.12744, 0.133344, 0.139109, 0.144866, 0.147299, 0.160871, 0.169985, 0.178163, 0.188114, 0.199525, 0.206834, 0.216578, 0.221421");
-            values ( \
-              "0.0247335, 0.0416556, 0.0719051, 0.0972802, 0.108329, 0.113437, 0.114288, 0.11036, 0.106334, 0.0629828, 0.0399356, 0.0259755, 0.0149531, 0.0078028, 0.00516275, 0.00295489, 0.00243938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.10197, 0.116883, 0.124913, 0.129738, 0.138664, 0.147142, 0.155586, 0.164321, 0.199278, 0.21383, 0.229388, 0.245664, 0.26215, 0.269999");
-            values ( \
-              "0.0404819, 0.110038, 0.136368, 0.14725, 0.159735, 0.163385, 0.16118, 0.151993, 0.0624281, 0.0379305, 0.0213781, 0.0114304, 0.00601053, 0.00466972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.102486, 0.112133, 0.119236, 0.128476, 0.141254, 0.148872, 0.157747, 0.171542, 0.185336, 0.195191, 0.211425, 0.246534, 0.26911, 0.29249, 0.317685, 0.341999, 0.373774, 0.407136");
-            values ( \
-              "0.0159214, 0.102837, 0.138334, 0.171318, 0.197666, 0.204873, 0.208346, 0.206753, 0.198931, 0.189842, 0.166101, 0.0993446, 0.0656208, 0.0408078, 0.0236444, 0.013614, 0.00651779, 0.00288869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.106325, 0.117731, 0.123715, 0.128674, 0.137134, 0.143223, 0.155401, 0.168776, 0.185521, 0.193439, 0.205805, 0.218662, 0.244095, 0.258547, 0.273449, 0.30247, 0.346621, 0.380251, 0.403722, 0.421754, 0.445797, 0.46343, 0.477457, 0.496161, 0.529043, 0.566349, 0.596083, 0.655551, 0.736281, 0.817011");
-            values ( \
-              "0.0704939, 0.139446, 0.167393, 0.186156, 0.20979, 0.22162, 0.235956, 0.242399, 0.24281, 0.241332, 0.237681, 0.232824, 0.22053, 0.211737, 0.200688, 0.172707, 0.124411, 0.0918857, 0.0727564, 0.0602463, 0.0462887, 0.0379165, 0.0322606, 0.0258991, 0.0173972, 0.0110365, 0.00761947, 0.00350545, 0.00114791, 0.000377672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.114065, 0.138008, 0.154699, 0.1686, 0.185214, 0.200611, 0.215687, 0.23918, 0.2635, 0.33559, 0.394436, 0.422717, 0.47928, 0.592159, 0.67911, 0.728026, 0.759638, 0.80967, 0.864349, 0.93023, 1.0055, 1.06573, 1.14645, 1.22718, 1.27365");
-            values ( \
-              "0.193796, 0.222168, 0.250558, 0.26124, 0.266195, 0.266785, 0.265598, 0.261927, 0.256956, 0.238901, 0.220434, 0.209231, 0.18119, 0.118348, 0.077886, 0.06013, 0.0504836, 0.037929, 0.0274822, 0.0183878, 0.0115709, 0.00792128, 0.00472486, 0.0028073, 0.00238109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.12341, 0.216872, 0.245597, 0.277684, 0.310513, 0.407548, 0.50923, 0.58996, 0.670941, 0.733298, 0.814028, 1.06352, 1.18892, 1.26965, 1.32249, 1.39294, 1.47367, 1.52521, 1.57539, 1.6423, 1.70816, 1.82497, 1.97786, 2.13932, 2.30078, 2.54297, 2.86589");
-            values ( \
-              "0.277407, 0.281606, 0.280881, 0.278171, 0.274925, 0.263498, 0.250298, 0.238744, 0.225561, 0.213407, 0.194199, 0.125574, 0.0945209, 0.0773274, 0.0674536, 0.055906, 0.0446628, 0.0386101, 0.0334342, 0.0275516, 0.0226441, 0.0159517, 0.0100092, 0.00608063, 0.00367838, 0.00175641, 0.000650645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.135691, 0.160141, 0.166451, 0.171652, 0.175895, 0.178067, 0.18241, 0.186826, 0.205251, 0.213729, 0.225845, 0.237268, 0.253447, 0.264483");
-            values ( \
-              "0.00844273, 0.0982047, 0.108767, 0.113499, 0.114705, 0.114444, 0.11203, 0.105314, 0.0482897, 0.0311673, 0.0160047, 0.00832944, 0.00334407, 0.00191097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.135966, 0.158551, 0.159864, 0.162492, 0.170487, 0.174142, 0.177523, 0.184285, 0.185983, 0.189379, 0.194406, 0.202855, 0.207168, 0.211769, 0.224368, 0.235424, 0.242686, 0.248482, 0.256209, 0.265828, 0.271583, 0.282185, 0.294741, 0.30487, 0.325127, 0.33982");
-            values ( \
-              "0.000371848, 0.120954, 0.125346, 0.133343, 0.151145, 0.156417, 0.159829, 0.163338, 0.163478, 0.163232, 0.161092, 0.152562, 0.144242, 0.132547, 0.0954869, 0.0681412, 0.0536274, 0.0439538, 0.0333296, 0.0233849, 0.018816, 0.0124804, 0.00767941, 0.00515638, 0.00224852, 0.00143524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.143744, 0.151402, 0.158476, 0.167683, 0.17688, 0.182905, 0.194955, 0.200731, 0.210627, 0.22379, 0.237866, 0.249444, 0.284115, 0.307348, 0.321238, 0.346687, 0.370173, 0.402484, 0.428528, 0.447902");
-            values ( \
-              "0.0462463, 0.103247, 0.139581, 0.171631, 0.192904, 0.200225, 0.208581, 0.208964, 0.206793, 0.199422, 0.185606, 0.167888, 0.101945, 0.0666674, 0.0505783, 0.0295049, 0.017452, 0.00830626, 0.00448715, 0.0031372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.14359, 0.156819, 0.163927, 0.175189, 0.182188, 0.196081, 0.207692, 0.22463, 0.244706, 0.257562, 0.28299, 0.297479, 0.312346, 0.341368, 0.385517, 0.419151, 0.460647, 0.484684, 0.516356, 0.535066, 0.567942, 0.605236, 0.634959, 0.694405, 0.731009");
-            values ( \
-              "0.0399607, 0.140905, 0.173754, 0.20853, 0.222018, 0.237777, 0.242461, 0.242991, 0.237813, 0.232776, 0.220615, 0.211711, 0.200701, 0.172698, 0.124415, 0.0918792, 0.060251, 0.0462909, 0.0322617, 0.0258945, 0.0173985, 0.0110389, 0.00762015, 0.00350861, 0.00243799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.151514, 0.182625, 0.196267, 0.20758, 0.224153, 0.239556, 0.278138, 0.350648, 0.400122, 0.432914, 0.461675, 0.519199, 0.632324, 0.718771, 0.764363, 0.796913, 0.8501, 0.914471, 0.973427, 1.03536, 1.08289, 1.16362, 1.24435, 1.29261");
-            values ( \
-              "0.168007, 0.235159, 0.253692, 0.261606, 0.266233, 0.26695, 0.262025, 0.245411, 0.231468, 0.220604, 0.209237, 0.180663, 0.117724, 0.0776187, 0.0610008, 0.0509678, 0.0376092, 0.0257001, 0.0179363, 0.0122571, 0.00910706, 0.00543789, 0.00323391, 0.00272394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.160408, 0.208729, 0.217921, 0.235983, 0.255604, 0.285145, 0.316817, 0.349235, 0.446268, 0.54795, 0.62868, 0.709661, 0.772019, 0.852748, 1.10224, 1.22764, 1.32598, 1.43167, 1.5124, 1.58065, 1.68101, 1.74687, 1.86369, 2.0166, 2.17806, 2.33952, 2.58171, 2.90463");
-            values ( \
-              "0.268716, 0.271993, 0.276099, 0.280632, 0.281686, 0.280883, 0.278128, 0.274949, 0.263513, 0.25031, 0.238732, 0.225552, 0.213415, 0.194191, 0.125574, 0.094519, 0.0739706, 0.0558976, 0.0446688, 0.0368408, 0.0275385, 0.0226595, 0.0159673, 0.0100248, 0.00609687, 0.0036952, 0.00173879, 0.00063245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.225374, 0.231672, 0.233647, 0.234942, 0.236852, 0.238051, 0.23925, 0.241649, 0.243875, 0.246822, 0.248475, 0.250583, 0.252388, 0.253675, 0.255641, 0.257099, 0.257501, 0.258705, 0.259508, 0.261113, 0.261916, 0.262882, 0.26433, 0.264813, 0.26579, 0.266767, 0.26872, 0.270188, 0.271657, 0.273125, 0.274594, 0.275059, 0.28085, 0.283528, 0.286131, 0.289446, 0.292033, 0.29462, 0.297382, 0.299132, 0.300881, 0.302631, 0.304971, 0.308679, 0.311413, 0.314821, 0.317596, 0.320876, 0.322516, 0.325251");
-            values ( \
-              "0.0506986, 0.0534252, 0.0616233, 0.0666023, 0.0734044, 0.0772764, 0.0809575, 0.0877469, 0.0933372, 0.0999843, 0.102535, 0.105571, 0.107932, 0.109455, 0.111037, 0.112381, 0.112606, 0.113149, 0.113363, 0.113614, 0.113651, 0.113618, 0.113095, 0.112814, 0.111989, 0.111006, 0.108563, 0.106309, 0.103695, 0.100722, 0.0973906, 0.0959568, 0.0753788, 0.0665707, 0.0583664, 0.0497691, 0.0437511, 0.0383416, 0.0331293, 0.0300184, 0.0274009, 0.0249596, 0.0220314, 0.0177868, 0.0151043, 0.0125304, 0.0107443, 0.00893505, 0.00813989, 0.00696837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.222253, 0.23024, 0.235838, 0.242553, 0.248839, 0.258619, 0.261951, 0.268614, 0.270471, 0.274185, 0.278909, 0.28737, 0.291495, 0.295895, 0.310483, 0.322872, 0.329095, 0.336445, 0.34487, 0.350578, 0.362601, 0.371331, 0.384291, 0.401572, 0.428874, 0.461779");
-            values ( \
-              "0.00934307, 0.0592952, 0.0873742, 0.115491, 0.135591, 0.154036, 0.158077, 0.162507, 0.162902, 0.162835, 0.161033, 0.152642, 0.144755, 0.133698, 0.091196, 0.0618872, 0.0502772, 0.0388831, 0.0285865, 0.0231369, 0.0146285, 0.010442, 0.00629443, 0.0031341, 0.000965786, 0.000233646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.22237, 0.234724, 0.24234, 0.248886, 0.257875, 0.262838, 0.267275, 0.274584, 0.281273, 0.295058, 0.308849, 0.318469, 0.324089, 0.335329, 0.366038, 0.387666, 0.404721, 0.416633, 0.431231, 0.439435, 0.455844, 0.467401, 0.485125, 0.508757, 0.553574, 0.606147");
-            values ( \
-              "0.000161183, 0.0925374, 0.131925, 0.158221, 0.182923, 0.192725, 0.199081, 0.205667, 0.208258, 0.206991, 0.199183, 0.190279, 0.183397, 0.165448, 0.106238, 0.0720396, 0.0515521, 0.0402416, 0.0294257, 0.0245662, 0.0169645, 0.0130467, 0.00867244, 0.00494017, 0.00154648, 0.000366699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.231919, 0.241304, 0.248924, 0.255174, 0.26279, 0.26663, 0.274297, 0.283689, 0.292142, 0.304558, 0.316761, 0.341942, 0.357333, 0.368367, 0.390434, 0.409406, 0.428938, 0.471997, 0.484722, 0.501551, 0.526574, 0.546163, 0.56124, 0.585256, 0.592, 0.605488, 0.625879, 0.655026, 0.667539, 0.692565, 0.742618, 0.817278, 0.898007");
-            values ( \
-              "0.136017, 0.136409, 0.171703, 0.193438, 0.213413, 0.220617, 0.231803, 0.239232, 0.242686, 0.243376, 0.241642, 0.233121, 0.22596, 0.219974, 0.205801, 0.189451, 0.169023, 0.122183, 0.109359, 0.0936508, 0.073021, 0.0595424, 0.0504919, 0.0385714, 0.035658, 0.0305427, 0.0239566, 0.0168793, 0.0144509, 0.0106607, 0.00558448, 0.00206577, 0.000643883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.231824, 0.256652, 0.266882, 0.275809, 0.288058, 0.299616, 0.315026, 0.338971, 0.362438, 0.386745, 0.431588, 0.489158, 0.534746, 0.568545, 0.61046, 0.704667, 0.755223, 0.804681, 0.843155, 0.872714, 0.934288, 0.961332, 1.01542, 1.06296, 1.1267, 1.20743, 1.28816, 1.36889, 1.44962, 1.61108");
-            values ( \
-              "0.116741, 0.20594, 0.23287, 0.247656, 0.259302, 0.264469, 0.266983, 0.265875, 0.262067, 0.25702, 0.246231, 0.229996, 0.213962, 0.198839, 0.176693, 0.123996, 0.0985146, 0.0769876, 0.062843, 0.0534387, 0.0376158, 0.0321145, 0.0232087, 0.0173691, 0.0117192, 0.00701064, 0.00418106, 0.00247954, 0.00147484, 0.00051943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.24133, 0.278848, 0.292846, 0.307863, 0.324087, 0.339682, 0.367675, 0.400095, 0.530317, 0.631997, 0.712727, 0.793705, 0.856064, 0.936794, 1.18629, 1.31168, 1.41002, 1.51569, 1.59642, 1.66471, 1.76511, 1.83095, 1.94774, 2.10059, 2.26205, 2.4235, 2.66569, 2.98861");
-            values ( \
-              "0.238672, 0.259393, 0.272067, 0.278426, 0.281232, 0.281894, 0.280996, 0.278314, 0.263464, 0.250259, 0.238785, 0.2256, 0.21337, 0.194234, 0.125547, 0.0944947, 0.0739515, 0.055886, 0.0446875, 0.0368353, 0.0275306, 0.0226594, 0.0159677, 0.0100262, 0.00609676, 0.00369382, 0.00174233, 0.000637256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.394079, 0.404944, 0.406569, 0.409822, 0.411449, 0.414322, 0.415568, 0.41758, 0.419591, 0.421151, 0.42271, 0.42432, 0.425929, 0.427539, 0.429148, 0.430677, 0.432206, 0.433734, 0.435263, 0.436793, 0.438323, 0.439854, 0.441384, 0.442908, 0.444433, 0.445957, 0.447481, 0.44872, 0.453674, 0.457582, 0.459665, 0.462374, 0.463278, 0.465603, 0.467928, 0.469131, 0.470934, 0.471535, 0.472462, 0.475243, 0.478975, 0.481758, 0.483297, 0.484836, 0.486375, 0.487914, 0.489453, 0.490992, 0.494813, 0.498471");
-            values ( \
-              "0.0473506, 0.0560522, 0.0610095, 0.0701343, 0.0744863, 0.0818308, 0.0848811, 0.0892869, 0.0934401, 0.0964863, 0.0993807, 0.10187, 0.103966, 0.105669, 0.10698, 0.107365, 0.107539, 0.1075, 0.107249, 0.106786, 0.106111, 0.105223, 0.104123, 0.102421, 0.100352, 0.0979139, 0.0951082, 0.0912809, 0.0746573, 0.0623754, 0.0562999, 0.0495646, 0.0474547, 0.0424106, 0.037661, 0.0353209, 0.0320466, 0.0310181, 0.0295915, 0.0256019, 0.0209947, 0.0178206, 0.0163011, 0.0149107, 0.0137345, 0.0126308, 0.0115996, 0.0106408, 0.00861592, 0.00686043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.396045, 0.407412, 0.413091, 0.41638, 0.419669, 0.422187, 0.424704, 0.42711, 0.429516, 0.431921, 0.434327, 0.436548, 0.43877, 0.440991, 0.443212, 0.445392, 0.447572, 0.449752, 0.451932, 0.45411, 0.456287, 0.458464, 0.46085, 0.461893, 0.463352, 0.465377, 0.466985, 0.469715, 0.470624, 0.477903, 0.483678, 0.48724, 0.488665, 0.49294, 0.496697, 0.500454, 0.504269, 0.50665, 0.509031, 0.511413, 0.5151, 0.518788, 0.520868, 0.522948, 0.525029, 0.529813, 0.533684, 0.535959, 0.540511, 0.544394");
-            values ( \
-              "0.0726023, 0.0791132, 0.100992, 0.112242, 0.122503, 0.129689, 0.136295, 0.141549, 0.145986, 0.149606, 0.152408, 0.153558, 0.154486, 0.155192, 0.155676, 0.155936, 0.155982, 0.155814, 0.155432, 0.154523, 0.153277, 0.151693, 0.149571, 0.14802, 0.145417, 0.141262, 0.137597, 0.130571, 0.128148, 0.107292, 0.0913338, 0.0817659, 0.0783914, 0.068816, 0.0610794, 0.0539781, 0.047339, 0.0433668, 0.0399891, 0.0368075, 0.0323423, 0.0281981, 0.0260016, 0.023968, 0.022097, 0.0185353, 0.0160104, 0.0146747, 0.012334, 0.0107892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.385063, 0.430696, 0.439584, 0.454051, 0.468088, 0.482333, 0.496206, 0.513096, 0.545385, 0.561399, 0.581581, 0.606186, 0.627023, 0.64093, 0.661318, 0.688502, 0.706627");
-            values ( \
-              "0.00455329, 0.175023, 0.191504, 0.203974, 0.204347, 0.197358, 0.184018, 0.156597, 0.0959472, 0.0714937, 0.0481643, 0.0285683, 0.0179569, 0.0130933, 0.00817725, 0.00425794, 0.00307864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.385391, 0.431623, 0.438842, 0.453281, 0.465053, 0.482164, 0.502396, 0.515275, 0.540811, 0.565357, 0.596997, 0.658013, 0.687218, 0.731398, 0.765483, 0.804609, 0.861534, 0.902107, 0.969554");
-            values ( \
-              "0.000356863, 0.194955, 0.211832, 0.232248, 0.239221, 0.24118, 0.23668, 0.231917, 0.219884, 0.204014, 0.174939, 0.109573, 0.0831704, 0.052426, 0.0356881, 0.0225431, 0.0112725, 0.0067594, 0.00296466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.394349, 0.419699, 0.438979, 0.45226, 0.464626, 0.480893, 0.496708, 0.53564, 0.592448, 0.6448, 0.689415, 0.719334, 0.777481, 0.895136, 0.978754, 1.06271, 1.12484, 1.16155, 1.22707, 1.30159, 1.36109, 1.52255, 1.53295");
-            values ( \
-              "0.037168, 0.160068, 0.224108, 0.246723, 0.257991, 0.264222, 0.265686, 0.261149, 0.249158, 0.235257, 0.220991, 0.209186, 0.180331, 0.115061, 0.0767256, 0.0486743, 0.0340372, 0.0273889, 0.0183574, 0.0116061, 0.00798768, 0.00283285, 0.00273643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.413054, 0.448213, 0.461563, 0.474354, 0.48932, 0.513019, 0.539651, 0.591328, 0.703868, 0.847759, 0.913326, 0.994056, 1.09001, 1.16189, 1.36199, 1.42926, 1.50999, 1.58257, 1.65201, 1.71608, 1.82219, 1.87296, 1.95369, 2.03442, 2.16342, 2.23858, 2.31931, 2.48077, 2.64222, 2.88441, 3.1266");
-            values ( \
-              "0.234573, 0.248734, 0.265055, 0.273362, 0.278469, 0.280793, 0.280066, 0.276287, 0.263406, 0.244386, 0.234641, 0.220636, 0.199388, 0.180509, 0.124993, 0.107774, 0.0889839, 0.0741526, 0.061807, 0.0519577, 0.0385802, 0.0333377, 0.0263415, 0.0207172, 0.0140652, 0.0111797, 0.00873749, 0.00530999, 0.00321784, 0.00150584, 0.000712018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.719226, 0.731553, 0.734165, 0.755217, 0.768139, 0.775587, 0.782454, 0.789157, 0.795849, 0.808177, 0.8169, 0.825953, 0.83777, 0.848656, 0.861469, 0.873854");
-            values ( \
-              "0.00505144, 0.0162213, 0.019994, 0.0612531, 0.0834994, 0.092847, 0.0977855, 0.0981256, 0.0901426, 0.0552659, 0.0365661, 0.0230438, 0.012181, 0.00666317, 0.00331545, 0.00177133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.718882, 0.735531, 0.738327, 0.765355, 0.779142, 0.784377, 0.790622, 0.799947, 0.809267, 0.812064, 0.819385, 0.839323, 0.84768, 0.861438, 0.874463, 0.890399, 0.907495, 0.922317, 0.932854");
-            values ( \
-              "0.00406195, 0.0288641, 0.0347472, 0.10415, 0.132404, 0.139652, 0.145459, 0.148515, 0.144063, 0.140444, 0.125545, 0.0741105, 0.0569055, 0.0356539, 0.0222078, 0.0121312, 0.00628538, 0.00350928, 0.00258106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.717711, 0.732299, 0.738897, 0.768076, 0.786763, 0.802332, 0.816967, 0.831603, 0.846219, 0.904909, 0.934046, 0.958124, 0.985314, 1.01563, 1.03671");
-            values ( \
-              "0.00977491, 0.0256327, 0.040821, 0.129191, 0.174435, 0.193277, 0.197923, 0.193651, 0.181522, 0.0804273, 0.045482, 0.0272052, 0.0147871, 0.00735413, 0.00478864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.731324, 0.751112, 0.775596, 0.785208, 0.801893, 0.813094, 0.832989, 0.838613, 0.849863, 0.864165, 0.889868, 0.903297, 0.917621, 0.929, 0.939499, 0.99238, 1.02355, 1.04892, 1.06958, 1.08555, 1.11445, 1.12693, 1.14752, 1.17665, 1.18917, 1.22607, 1.25813, 1.2885, 1.34926, 1.42999");
-            values ( \
-              "0.0381735, 0.082796, 0.166538, 0.191964, 0.221173, 0.230804, 0.237082, 0.236654, 0.235011, 0.230548, 0.219358, 0.21142, 0.201291, 0.191536, 0.181406, 0.124472, 0.0942487, 0.0733663, 0.0591442, 0.0497211, 0.0358891, 0.0310665, 0.0243774, 0.0171498, 0.0147365, 0.00900185, 0.00614594, 0.00419284, 0.00189597, 0.000609041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.730767, 0.775462, 0.802118, 0.812817, 0.832862, 0.847375, 0.861044, 0.884799, 0.909133, 0.952076, 1.00147, 1.03276, 1.0688, 1.12677, 1.22235, 1.29732, 1.33351, 1.37743, 1.42761, 1.46848, 1.53063, 1.58538, 1.65169, 1.73242, 1.81315, 1.89388, 1.93229");
-            values ( \
-              "0.0234972, 0.17389, 0.236763, 0.249034, 0.26077, 0.263125, 0.263186, 0.260434, 0.255905, 0.245897, 0.232559, 0.222674, 0.208855, 0.18033, 0.126596, 0.0898317, 0.0747476, 0.0591637, 0.0446483, 0.0352841, 0.0243945, 0.0174581, 0.0115914, 0.00694164, 0.0041322, 0.00245827, 0.00214922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.753994, 0.818697, 0.840814, 0.861935, 0.887385, 0.919047, 1.00334, 1.134, 1.26297, 1.33113, 1.37952, 1.46025, 1.70976, 1.83518, 1.9335, 2.03905, 2.11978, 2.18824, 2.28894, 2.4354, 2.54305, 2.70451, 2.86597, 3.02743, 3.13545");
-            values ( \
-              "0.177691, 0.264016, 0.275561, 0.279404, 0.279607, 0.277674, 0.268842, 0.252632, 0.234551, 0.222974, 0.213284, 0.194199, 0.12552, 0.0944713, 0.0739369, 0.0558918, 0.0447117, 0.0368208, 0.0274944, 0.0177828, 0.0128436, 0.00783068, 0.00475255, 0.00287618, 0.00237566" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0124944, 0.0125082, 0.0125257, 0.0125424, 0.0125546, 0.0125621", \
-            "0.0167013, 0.0167149, 0.0167349, 0.0167572, 0.016776, 0.0167885", \
-            "0.0197672, 0.0197757, 0.0197909, 0.0198125, 0.0198347, 0.0198519", \
-            "0.0215723, 0.0215716, 0.0215729, 0.021579, 0.0215909, 0.0216041", \
-            "0.0224663, 0.0224649, 0.0224622, 0.0224591, 0.0224582, 0.0224616", \
-            "0.0229339, 0.0229337, 0.0229334, 0.0229325, 0.0229308, 0.0229296" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0208148, 0.0208265, 0.0208456, 0.0208688, 0.0208891, 0.0209027", \
-            "0.0233819, 0.0233817, 0.0233872, 0.0234009, 0.0234185, 0.023433", \
-            "0.0221592, 0.022146, 0.0221262, 0.022105, 0.0220894, 0.0220805", \
-            "0.0206492, 0.0206458, 0.0206373, 0.0206212, 0.0206002, 0.0205821", \
-            "0.0205829, 0.0206339, 0.0206697, 0.0206838, 0.0206841, 0.0206771", \
-            "0.0200519, 0.0200521, 0.0200689, 0.0201968, 0.0202947, 0.0203287" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.133321, 0.144982, 0.153394, 0.162295, 0.16942, 0.175681, 0.181448, 0.186971, 0.192435, 0.197894, 0.211127, 0.215563, 0.224117, 0.22784, 0.231728");
-            values ( \
-              "-0.0135556, -0.0332045, -0.0623923, -0.0848906, -0.0992254, -0.110056, -0.116783, -0.121, -0.119156, -0.105391, -0.0400059, -0.025867, -0.0104054, -0.00695372, -0.00483866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.133763, 0.157312, 0.17136, 0.180858, 0.189341, 0.197273, 0.204956, 0.21263, 0.217841, 0.240284, 0.247026, 0.255543, 0.26408, 0.271787");
-            values ( \
-              "-0.00727372, -0.0925222, -0.133581, -0.154933, -0.167923, -0.176994, -0.180074, -0.175104, -0.161928, -0.0553448, -0.035824, -0.0199995, -0.01094, -0.00650687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.138726, 0.149747, 0.162808, 0.168694, 0.1789, 0.185349, 0.199262, 0.211943, 0.223996, 0.235843, 0.247682, 0.25172, 0.256028, 0.272226, 0.285443, 0.298007, 0.305077, 0.313157, 0.326268, 0.335078, 0.3527, 0.370442");
-            values ( \
-              "-0.0631326, -0.0726085, -0.127356, -0.148169, -0.178137, -0.193143, -0.218317, -0.233313, -0.241462, -0.24218, -0.230675, -0.222498, -0.209724, -0.140941, -0.0917235, -0.0581575, -0.0444849, -0.0324152, -0.0192012, -0.0133965, -0.00631779, -0.00333968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.120912, 0.194999, 0.208228, 0.230342, 0.237323, 0.250971, 0.25965, 0.271061, 0.291098, 0.300439, 0.312208, 0.31677, 0.325639, 0.356044, 0.376559, 0.390932, 0.403611, 0.414141, 0.426233, 0.443353, 0.461077, 0.474642, 0.501771, 0.551724, 0.610767");
-            values ( \
-              "-0.000775232, -0.234406, -0.257597, -0.283139, -0.288357, -0.295496, -0.298094, -0.299073, -0.293708, -0.286872, -0.272945, -0.265062, -0.24507, -0.159095, -0.110982, -0.084675, -0.0660524, -0.0535098, -0.0417906, -0.0292218, -0.0201311, -0.0150689, -0.00830414, -0.00249572, -0.000557437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.14304, 0.172773, 0.195137, 0.206482, 0.22659, 0.248467, 0.276534, 0.304986, 0.323196, 0.359922, 0.390453, 0.413019, 0.438444, 0.479571, 0.512026, 0.558793, 0.606175, 0.651916, 0.684902, 0.707151, 0.760251, 0.820937, 0.885048, 0.887582");
-            values ( \
-              "-0.130546, -0.184433, -0.248394, -0.270356, -0.299799, -0.319873, -0.334714, -0.34033, -0.340529, -0.335901, -0.325834, -0.314282, -0.294053, -0.237453, -0.185887, -0.12394, -0.0790846, -0.050138, -0.0356867, -0.0283159, -0.0161911, -0.00831555, -0.00408246, -0.00402107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.142978, 0.173719, 0.190647, 0.20656, 0.225414, 0.248885, 0.272203, 0.29548, 0.32308, 0.359297, 0.394652, 0.466598, 0.540382, 0.617111, 0.642348, 0.692823, 0.736375, 0.868369, 0.943068, 1.02209, 1.07286, 1.11434, 1.16486, 1.22897, 1.285, 1.38335, 1.44746, 1.51157, 1.63979, 1.65185");
-            values ( \
-              "-0.149454, -0.190404, -0.244608, -0.277801, -0.308932, -0.333436, -0.348738, -0.357356, -0.36408, -0.366045, -0.366009, -0.359544, -0.348753, -0.332314, -0.324474, -0.304841, -0.277996, -0.175138, -0.127135, -0.0886522, -0.0694872, -0.0568071, -0.0442939, -0.0320044, -0.0241406, -0.0145631, -0.0104132, -0.00748106, -0.00383067, -0.00369768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.153148, 0.16297, 0.176332, 0.181706, 0.188808, 0.195056, 0.200815, 0.206332, 0.211791, 0.217245, 0.226192, 0.230456, 0.234928, 0.238134, 0.243446, 0.247128, 0.254493, 0.257345");
-            values ( \
-              "-0.0150056, -0.030708, -0.0719736, -0.0849509, -0.0996943, -0.110027, -0.117121, -0.120942, -0.119402, -0.105303, -0.0587937, -0.0401144, -0.0258422, -0.0185268, -0.0104411, -0.00698276, -0.00296721, -0.0024018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.155326, 0.165539, 0.178762, 0.190427, 0.199925, 0.208419, 0.216353, 0.224038, 0.231713, 0.236795, 0.240113, 0.251816, 0.259074, 0.266446, 0.274874, 0.282152, 0.290469, 0.30537, 0.322992, 0.345392");
-            values ( \
-              "-0.0234693, -0.0501077, -0.0998943, -0.133732, -0.154628, -0.168093, -0.176768, -0.180223, -0.174925, -0.162357, -0.148548, -0.086609, -0.0563847, -0.0350424, -0.0196537, -0.0117643, -0.00642095, -0.00199517, -0.000561013, -0.000162841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.15084, 0.166068, 0.181596, 0.187856, 0.197986, 0.204554, 0.218485, 0.231176, 0.243235, 0.255087, 0.26693, 0.270953, 0.275245, 0.291474, 0.304696, 0.317261, 0.324326, 0.332402, 0.345523, 0.354341, 0.371976, 0.387348");
-            values ( \
-              "-0.0142234, -0.0609963, -0.125319, -0.147517, -0.177498, -0.192778, -0.218149, -0.233124, -0.241391, -0.242066, -0.230651, -0.222489, -0.209802, -0.140942, -0.0917142, -0.0581525, -0.0444904, -0.0324241, -0.0192002, -0.0133906, -0.00631246, -0.0037339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.15699, 0.173421, 0.185249, 0.201917, 0.216115, 0.22754, 0.248815, 0.254672, 0.261364, 0.270279, 0.288107, 0.294977, 0.302687, 0.310523, 0.326195, 0.331574, 0.342333, 0.375496, 0.392343, 0.412776, 0.426065, 0.442821, 0.461568, 0.46805, 0.482747, 0.499543, 0.533136, 0.584442, 0.647206");
-            values ( \
-              "-0.0860101, -0.0986153, -0.150812, -0.205645, -0.238132, -0.257658, -0.28245, -0.287069, -0.291342, -0.295562, -0.29922, -0.298769, -0.296925, -0.293442, -0.280147, -0.272892, -0.251589, -0.15889, -0.118483, -0.0806707, -0.0621067, -0.0442442, -0.0298858, -0.0261078, -0.0191375, -0.013358, -0.00628635, -0.00181616, -0.00034605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.157429, 0.178154, 0.200135, 0.213759, 0.225868, 0.244188, 0.267817, 0.288061, 0.305728, 0.342606, 0.362169, 0.379502, 0.416571, 0.430094, 0.443438, 0.45783, 0.486614, 0.536106, 0.576582, 0.600173, 0.625337, 0.646333, 0.670093, 0.701774, 0.725589, 0.749855, 0.782209, 0.84632, 0.910431, 0.974542, 1.03865");
-            values ( \
-              "-0.116453, -0.124866, -0.211253, -0.246458, -0.270776, -0.297419, -0.320195, -0.331352, -0.337368, -0.340829, -0.338995, -0.335572, -0.322985, -0.315713, -0.306749, -0.29404, -0.256618, -0.178559, -0.125426, -0.100771, -0.0790686, -0.064281, -0.050633, -0.0365402, -0.0285315, -0.0221415, -0.0157054, -0.00774695, -0.0037956, -0.00186006, -0.000904429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.157781, 0.213613, 0.244806, 0.266019, 0.296876, 0.320418, 0.34247, 0.37861, 0.41405, 0.470704, 0.55978, 0.636511, 0.66175, 0.712228, 0.755735, 0.880097, 0.961572, 1.01361, 1.06912, 1.14637, 1.19406, 1.25817, 1.34687, 1.41098, 1.47509, 1.60331, 1.6661");
-            values ( \
-              "-0.103879, -0.252363, -0.308152, -0.331141, -0.350892, -0.35931, -0.363646, -0.366384, -0.365634, -0.361035, -0.348441, -0.33203, -0.324744, -0.304581, -0.278211, -0.180854, -0.127773, -0.100934, -0.0776567, -0.0533999, -0.0421743, -0.0304716, -0.0193687, -0.0139387, -0.00995837, -0.00508952, -0.00416458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.194645, 0.203815, 0.206635, 0.216067, 0.224926, 0.232028, 0.238275, 0.244033, 0.24955, 0.255009, 0.260463, 0.273672, 0.281357, 0.286662, 0.290339, 0.297694, 0.299956");
-            values ( \
-              "-0.00121325, -0.0273974, -0.0316781, -0.0624575, -0.0849613, -0.0997111, -0.110027, -0.117139, -0.120934, -0.11942, -0.105291, -0.0401459, -0.0184928, -0.0104667, -0.00696444, -0.00299659, -0.00253684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.195344, 0.20992, 0.21943, 0.226833, 0.233633, 0.243163, 0.251665, 0.259609, 0.267301, 0.274984, 0.279885, 0.283386, 0.295095, 0.30237, 0.30971, 0.318145, 0.325491, 0.333886, 0.348797, 0.36646, 0.388901");
-            values ( \
-              "-0.00789915, -0.0527926, -0.0910014, -0.114906, -0.133107, -0.154436, -0.167712, -0.176686, -0.179966, -0.174912, -0.162886, -0.148489, -0.0865855, -0.0563164, -0.035073, -0.0196648, -0.0117194, -0.00635431, -0.00197729, -0.000552044, -0.000164357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.189179, 0.206348, 0.212314, 0.227737, 0.238934, 0.248176, 0.262111, 0.274797, 0.286857, 0.298709, 0.310552, 0.314582, 0.318882, 0.335098, 0.348318, 0.360883, 0.367952, 0.376031, 0.389146, 0.397959, 0.415586, 0.417906");
-            values ( \
-              "-0.0040996, -0.049807, -0.0708276, -0.135027, -0.170547, -0.192571, -0.218336, -0.232934, -0.241548, -0.241907, -0.230788, -0.222463, -0.209749, -0.140936, -0.0917123, -0.0581499, -0.0444862, -0.0324133, -0.0192018, -0.0133911, -0.00631783, -0.00592825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.188185, 0.213752, 0.228929, 0.238167, 0.245535, 0.260271, 0.271123, 0.292565, 0.302438, 0.313853, 0.333957, 0.354069, 0.362495, 0.370987, 0.375144, 0.383457, 0.423958, 0.435924, 0.450208, 0.465273, 0.48175, 0.492784, 0.513939, 0.525629, 0.54901, 0.595189, 0.648695");
-            values ( \
-              "-0.00846339, -0.0851282, -0.151511, -0.184613, -0.205972, -0.239655, -0.257762, -0.282855, -0.289966, -0.295675, -0.299301, -0.293716, -0.287674, -0.278743, -0.272905, -0.257266, -0.14628, -0.118463, -0.0908249, -0.0676851, -0.0486442, -0.0387844, -0.0249073, -0.0194446, -0.0117097, -0.00394927, -0.00106212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.190801, 0.221963, 0.242279, 0.252623, 0.269395, 0.284238, 0.306092, 0.32174, 0.342604, 0.349213, 0.362432, 0.386043, 0.422806, 0.448142, 0.46093, 0.480157, 0.501292, 0.542599, 0.574176, 0.617949, 0.643397, 0.672481, 0.710901, 0.733812, 0.778777, 0.80457, 0.856158, 0.920269, 0.98438, 1.04849");
-            values ( \
-              "-0.0334089, -0.127009, -0.207665, -0.235778, -0.270783, -0.293393, -0.316058, -0.326586, -0.335498, -0.337182, -0.339591, -0.340573, -0.335802, -0.327901, -0.322394, -0.311524, -0.294047, -0.237126, -0.186922, -0.128165, -0.101252, -0.0764281, -0.0521282, -0.0412559, -0.0258401, -0.0196965, -0.0112717, -0.00554216, -0.00271255, -0.00132643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.214504, 0.255979, 0.269466, 0.288055, 0.316388, 0.341502, 0.364413, 0.385902, 0.422029, 0.457474, 0.514401, 0.559066, 0.603205, 0.679935, 0.705174, 0.755652, 0.799169, 0.923389, 1.00497, 1.05739, 1.1133, 1.14891, 1.18897, 1.23693, 1.30104, 1.39134, 1.45545, 1.51956, 1.64778, 1.776, 1.90423");
-            values ( \
-              "-0.208122, -0.249784, -0.279429, -0.307838, -0.336984, -0.351687, -0.359398, -0.363818, -0.366287, -0.365767, -0.360895, -0.355275, -0.348547, -0.332123, -0.324657, -0.304663, -0.278148, -0.180909, -0.12775, -0.100753, -0.0773966, -0.0652101, -0.0536277, -0.0422801, -0.0305682, -0.0192723, -0.0138525, -0.00991237, -0.00506974, -0.00258331, -0.00131149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.291094, 0.299189, 0.30372, 0.310157, 0.325387, 0.335153, 0.338245, 0.343763, 0.349229, 0.354692, 0.367964, 0.37545, 0.380952, 0.384759, 0.392374, 0.402754, 0.412483");
-            values ( \
-              "-0.0143666, -0.0280622, -0.0397678, -0.0619418, -0.0977221, -0.11338, -0.116837, -0.120774, -0.119221, -0.105227, -0.0398834, -0.0187976, -0.0103852, -0.0068457, -0.00282074, -0.000882647, -0.00073662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.287286, 0.30616, 0.316352, 0.328089, 0.336639, 0.346105, 0.354057, 0.357454, 0.361731, 0.369371, 0.374614, 0.377822, 0.389529, 0.397043, 0.403879, 0.412386, 0.420746, 0.430299, 0.445483, 0.463704, 0.486831");
-            values ( \
-              "-0.000216745, -0.0594649, -0.0990017, -0.133215, -0.152347, -0.167788, -0.1764, -0.178698, -0.179942, -0.174834, -0.161843, -0.148457, -0.0865722, -0.0554732, -0.0356954, -0.0199401, -0.011052, -0.0054695, -0.00166826, -0.000463499, -0.000144284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.290131, 0.304057, 0.325647, 0.342312, 0.356227, 0.368911, 0.380965, 0.392814, 0.404653, 0.408685, 0.412986, 0.442417, 0.454981, 0.470126, 0.483243, 0.492058, 0.509687, 0.517907");
-            values ( \
-              "-0.0190952, -0.0631171, -0.148199, -0.193195, -0.218175, -0.23337, -0.241352, -0.242234, -0.230584, -0.222499, -0.20976, -0.0917185, -0.0581543, -0.0324187, -0.0192005, -0.0133923, -0.00631506, -0.00493545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.299121, 0.320321, 0.330729, 0.340279, 0.355188, 0.365791, 0.386164, 0.39799, 0.408461, 0.428566, 0.448738, 0.457447, 0.469746, 0.476647, 0.490451, 0.512329, 0.533903, 0.547547, 0.560896, 0.572105, 0.584038, 0.601034, 0.618306, 0.631474, 0.657811, 0.707519, 0.766078");
-            values ( \
-              "-0.0956251, -0.138809, -0.178642, -0.206972, -0.240669, -0.258214, -0.282181, -0.29071, -0.295805, -0.299394, -0.293728, -0.287418, -0.27294, -0.260322, -0.224992, -0.16239, -0.111357, -0.0861744, -0.0663511, -0.0530214, -0.0415476, -0.0291181, -0.020251, -0.015297, -0.00858148, -0.00260463, -0.000586617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.298815, 0.319378, 0.338848, 0.35349, 0.364408, 0.384321, 0.406271, 0.434611, 0.444149, 0.46274, 0.480949, 0.51766, 0.548871, 0.569435, 0.596185, 0.637258, 0.669977, 0.697537, 0.716551, 0.736405, 0.762877, 0.784876, 0.810804, 0.845374, 0.865723, 0.886635, 0.914519, 0.970286, 1.0344, 1.09851, 1.16262");
-            values ( \
-              "-0.129043, -0.139971, -0.213336, -0.25085, -0.271665, -0.300401, -0.320395, -0.33506, -0.337468, -0.340464, -0.340659, -0.335934, -0.325574, -0.315106, -0.294063, -0.237551, -0.185577, -0.146916, -0.123923, -0.103082, -0.0798981, -0.0643211, -0.0495597, -0.0346799, -0.0280652, -0.0225576, -0.0168021, -0.00914457, -0.00449308, -0.00219476, -0.00107566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.310322, 0.344156, 0.364207, 0.380179, 0.414976, 0.432077, 0.457229, 0.480555, 0.516692, 0.552112, 0.609453, 0.697843, 0.761954, 0.799812, 0.85029, 0.893803, 1.01808, 1.1031, 1.1519, 1.20767, 1.28391, 1.33176, 1.39587, 1.48561, 1.54972, 1.61383, 1.74205, 1.99849");
-            values ( \
-              "-0.230487, -0.235294, -0.280347, -0.304822, -0.340184, -0.349623, -0.359421, -0.363602, -0.36666, -0.365489, -0.361158, -0.348297, -0.335464, -0.324869, -0.304457, -0.27829, -0.180977, -0.125759, -0.100839, -0.0774674, -0.0535461, -0.0422405, -0.0305363, -0.019312, -0.0138765, -0.00993606, -0.0050859, -0.00132397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.486375, 0.495613, 0.496613, 0.498613, 0.499613, 0.501095, 0.50406, 0.505543, 0.50736, 0.510994, 0.514223, 0.517451, 0.519412, 0.521373, 0.523333, 0.526991, 0.530384, 0.532081, 0.533632, 0.535182, 0.538282, 0.539748, 0.541214, 0.54268, 0.544146, 0.545588, 0.547031, 0.548473, 0.549915, 0.550453, 0.551261, 0.552063, 0.55313, 0.554165, 0.555169, 0.55567, 0.556158, 0.557948, 0.564133, 0.56548, 0.567666, 0.569817, 0.571377, 0.57222, 0.573063, 0.574749, 0.576436, 0.577179, 0.578666, 0.580858");
-            values ( \
-              "-0.0227694, -0.0242237, -0.0261384, -0.0303027, -0.0325523, -0.0361754, -0.043993, -0.0481875, -0.0535875, -0.0625756, -0.0698797, -0.0767562, -0.0807188, -0.0845266, -0.0881795, -0.0945797, -0.100036, -0.10259, -0.104782, -0.106862, -0.110685, -0.11197, -0.113015, -0.11382, -0.114384, -0.114526, -0.114364, -0.1139, -0.113132, -0.112003, -0.110903, -0.109594, -0.107524, -0.105123, -0.102391, -0.100882, -0.0991192, -0.0912212, -0.0602957, -0.0538475, -0.0448122, -0.0369915, -0.0316124, -0.0290175, -0.0266259, -0.0226262, -0.0190931, -0.0177582, -0.015211, -0.0117549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.489286, 0.499743, 0.501244, 0.504671, 0.508012, 0.512724, 0.515466, 0.518207, 0.521446, 0.525182, 0.52705, 0.529616, 0.532182, 0.534748, 0.537315, 0.539573, 0.541831, 0.544089, 0.546348, 0.547374, 0.551518, 0.55365, 0.556163, 0.55761, 0.560504, 0.562173, 0.564712, 0.566695, 0.567695, 0.568696, 0.569697, 0.570697, 0.572525, 0.574352, 0.576179, 0.578006, 0.579356, 0.580705, 0.587455, 0.59253, 0.595255, 0.597812, 0.600369, 0.602546, 0.604326, 0.606106, 0.60848, 0.610853, 0.613513, 0.615575");
-            values ( \
-              "-0.0416234, -0.0431671, -0.0468695, -0.0571616, -0.0686179, -0.0841422, -0.0926792, -0.100702, -0.109671, -0.119332, -0.123888, -0.129581, -0.134965, -0.140041, -0.144809, -0.148749, -0.152451, -0.155914, -0.159138, -0.160437, -0.165234, -0.167419, -0.169688, -0.170817, -0.172719, -0.17283, -0.172544, -0.171939, -0.171029, -0.16992, -0.168613, -0.167106, -0.163842, -0.159915, -0.155325, -0.150071, -0.145093, -0.138568, -0.103848, -0.0789781, -0.067812, -0.0583433, -0.0498553, -0.0433596, -0.0383628, -0.0340295, -0.0292298, -0.024969, -0.0208976, -0.0179488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.486255, 0.499902, 0.524273, 0.542136, 0.550162, 0.56378, 0.57957, 0.587689, 0.594373, 0.604775, 0.610179, 0.617384, 0.644874, 0.656391, 0.668056, 0.677188, 0.686878, 0.694335, 0.709248, 0.716874");
-            values ( \
-              "-0.0303911, -0.0535121, -0.135963, -0.18236, -0.19804, -0.219084, -0.2343, -0.237873, -0.237726, -0.230229, -0.220676, -0.198521, -0.0898462, -0.0593564, -0.0380224, -0.0265231, -0.0180106, -0.0132632, -0.00712459, -0.00567661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.486383, 0.506257, 0.51226, 0.522482, 0.540162, 0.550031, 0.557243, 0.566481, 0.570562, 0.574774, 0.582321, 0.589222, 0.60014, 0.610181, 0.630498, 0.650812, 0.671117, 0.673658, 0.677046, 0.683822, 0.696242, 0.715667, 0.728355, 0.736427, 0.741301, 0.751009, 0.763501, 0.773829, 0.785973, 0.803135, 0.810735, 0.821, 0.834687, 0.862061, 0.912042, 0.971173");
-            values ( \
-              "-0.0396375, -0.0807769, -0.101859, -0.141879, -0.196395, -0.219021, -0.232653, -0.249112, -0.255277, -0.261168, -0.27046, -0.277579, -0.286394, -0.292035, -0.296994, -0.292215, -0.273312, -0.269512, -0.263459, -0.248588, -0.214435, -0.159422, -0.128154, -0.110803, -0.101251, -0.0842119, -0.0659369, -0.0536388, -0.0418542, -0.029243, -0.0249402, -0.0200881, -0.0149991, -0.00821798, -0.00246933, -0.000549087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.486714, 0.516183, 0.537293, 0.542609, 0.557397, 0.573553, 0.58781, 0.608353, 0.636963, 0.646556, 0.665255, 0.683493, 0.720317, 0.745584, 0.758466, 0.784229, 0.798862, 0.828126, 0.876485, 0.917527, 0.942597, 0.969338, 0.987494, 1.00793, 1.03094, 1.06312, 1.07613, 1.10215, 1.15418, 1.2183, 1.28241, 1.34652");
-            values ( \
-              "-0.0479535, -0.12184, -0.197947, -0.212405, -0.246919, -0.276537, -0.296402, -0.316692, -0.332833, -0.335792, -0.33917, -0.339879, -0.335442, -0.327723, -0.322231, -0.306798, -0.293988, -0.25586, -0.179539, -0.125544, -0.099467, -0.0768053, -0.064202, -0.0523052, -0.0413487, -0.029593, -0.0258384, -0.0196523, -0.0111848, -0.00550251, -0.00268982, -0.0013185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.512751, 0.556532, 0.5783, 0.594946, 0.608724, 0.63628, 0.661052, 0.683351, 0.719586, 0.754915, 0.811082, 0.858363, 0.900769, 0.977502, 1.00275, 1.05323, 1.09666, 1.22197, 1.30274, 1.3523, 1.40516, 1.44628, 1.49279, 1.5548, 1.62536, 1.72514, 1.78925, 1.85336, 1.98159, 2.23803");
-            values ( \
-              "-0.236523, -0.251156, -0.292639, -0.315319, -0.329378, -0.348493, -0.358123, -0.36295, -0.365999, -0.365478, -0.361008, -0.355076, -0.348449, -0.332048, -0.324714, -0.304594, -0.278233, -0.180193, -0.12765, -0.101992, -0.0795451, -0.0652875, -0.0520174, -0.0381516, -0.0267028, -0.0160028, -0.0114811, -0.00821933, -0.00420354, -0.00109274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.888761, 0.902954, 0.926274, 0.935315, 0.9431, 0.950184, 0.95682, 0.963293, 0.969755, 0.970631, 0.980521, 0.987545, 0.993937, 1.00064, 1.00618, 1.01676, 1.02917, 1.0344");
-            values ( \
-              "-0.0142904, -0.0234725, -0.0657527, -0.0791118, -0.0889943, -0.0963033, -0.101045, -0.101825, -0.092239, -0.0896632, -0.0498718, -0.0275032, -0.0148911, -0.00758321, -0.00424235, -0.00130543, -0.000418454, -0.000394182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.882359, 0.899846, 0.905998, 0.928243, 0.948883, 0.959084, 0.968448, 0.977338, 0.986122, 0.994897, 1.01726, 1.02468, 1.03363, 1.04342, 1.05419");
-            values ( \
-              "-0.00424381, -0.0270954, -0.0373587, -0.0888899, -0.127123, -0.141252, -0.151284, -0.156732, -0.155463, -0.137662, -0.0488611, -0.0309894, -0.0171959, -0.00885523, -0.00414204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.887682, 0.905574, 0.941495, 0.954623, 0.970951, 0.985487, 0.999016, 1.01211, 1.02518, 1.03448, 1.06478, 1.07675, 1.08874, 1.09804, 1.10782, 1.11534, 1.13038, 1.14262");
-            values ( \
-              "-0.0199319, -0.044105, -0.135369, -0.161388, -0.188888, -0.205388, -0.217173, -0.220803, -0.214611, -0.196292, -0.0882937, -0.0581462, -0.0372288, -0.0259677, -0.0176904, -0.0130923, -0.00704841, -0.00480148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.86131, 0.901425, 0.918041, 0.946548, 0.962735, 0.98175, 0.992383, 1.00642, 1.02892, 1.05045, 1.0717, 1.09293, 1.09753, 1.1133, 1.14641, 1.15859, 1.17882, 1.19368, 1.20912, 1.23411, 1.24477, 1.26609, 1.29039, 1.30177");
-            values ( \
-              "-1e-22, -0.0388088, -0.0796011, -0.159413, -0.194766, -0.226921, -0.241263, -0.256665, -0.274236, -0.282264, -0.281177, -0.265311, -0.259036, -0.224867, -0.137178, -0.110752, -0.0757857, -0.0566321, -0.0414783, -0.0250998, -0.0200782, -0.0127096, -0.00750734, -0.00626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.890202, 0.926388, 0.945004, 0.965814, 0.981286, 1.00665, 1.02727, 1.06589, 1.07738, 1.0905, 1.1057, 1.13608, 1.15836, 1.18207, 1.21589, 1.2373, 1.25218, 1.29683, 1.32951, 1.34847, 1.37216, 1.39946, 1.41645, 1.4428, 1.47794, 1.49998, 1.5324, 1.57562, 1.63974, 1.70385, 1.83207");
-            values ( \
-              "-0.0282348, -0.109947, -0.164024, -0.21302, -0.241233, -0.277174, -0.297813, -0.322094, -0.325871, -0.329001, -0.330921, -0.330383, -0.326248, -0.318223, -0.297898, -0.275808, -0.254756, -0.184959, -0.140271, -0.118075, -0.0945831, -0.0725859, -0.0613042, -0.0469961, -0.0326763, -0.0259825, -0.0184876, -0.0116104, -0.00572108, -0.00279084, -0.000660656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.915316, 0.958507, 0.999567, 1.02745, 1.06605, 1.10528, 1.14299, 1.17818, 1.25728, 1.32504, 1.38475, 1.43605, 1.48398, 1.54809, 1.63777, 1.74062, 1.80113, 1.85575, 1.95252, 2.06879, 2.22752, 2.38274");
-            values ( \
-              "-0.163624, -0.202971, -0.278037, -0.310696, -0.339088, -0.353865, -0.35971, -0.360807, -0.356236, -0.347737, -0.336113, -0.321641, -0.301253, -0.257988, -0.186677, -0.120322, -0.0911119, -0.0702602, -0.0436558, -0.0242535, -0.0107178, -0.00521755" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0122592, 0.0122691, 0.0122813, 0.0122929, 0.0123016, 0.0123069", \
-            "0.0146146, 0.0146219, 0.0146322, 0.0146433, 0.014653, 0.0146596", \
-            "0.0160779, 0.0160833, 0.016092, 0.0161034, 0.0161145, 0.0161227", \
-            "0.0169692, 0.0169728, 0.016979, 0.0169881, 0.0169985, 0.0170075", \
-            "0.0174608, 0.0174625, 0.0174655, 0.0174706, 0.0174777, 0.0174852", \
-            "0.0177252, 0.0177258, 0.0177269, 0.0177288, 0.017732, 0.0177364" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0167162, 0.0167316, 0.01675, 0.0167694, 0.0167853, 0.0167965", \
-            "0.0189939, 0.0190108, 0.0190359, 0.0190638, 0.019089, 0.0191066", \
-            "0.0206583, 0.020671, 0.0206912, 0.0207183, 0.0207468, 0.0207695", \
-            "0.0215182, 0.021521, 0.0215269, 0.0215384, 0.0215557, 0.0215742", \
-            "0.0223556, 0.0223441, 0.0223266, 0.0223069, 0.0222921, 0.0222872", \
-            "0.0232743, 0.0233216, 0.02336, 0.0233801, 0.0233823, 0.0233706" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.243333, 0.282145, 0.358168, 0.508609, 0.810538, 1.42452", \
-            "0.249135, 0.287937, 0.364154, 0.514737, 0.816915, 1.43094", \
-            "0.262441, 0.301298, 0.377564, 0.528314, 0.830794, 1.44489", \
-            "0.290398, 0.329302, 0.405531, 0.55646, 0.858839, 1.47362", \
-            "0.348596, 0.388893, 0.46676, 0.618605, 0.921415, 1.53594", \
-            "0.450263, 0.496766, 0.58492, 0.749529, 1.05908, 1.67449" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0386723, 0.0566938, 0.0949679, 0.177375, 0.353036, 0.722621", \
-            "0.0386716, 0.0566975, 0.0949705, 0.177368, 0.353041, 0.722599", \
-            "0.0386479, 0.0566969, 0.0949822, 0.17737, 0.353029, 0.722624", \
-            "0.0386814, 0.0566734, 0.0949824, 0.177368, 0.353037, 0.722624", \
-            "0.0388478, 0.0568064, 0.0950134, 0.177366, 0.353036, 0.722627", \
-            "0.0398169, 0.0575993, 0.0957638, 0.177507, 0.35304, 0.722584" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.199944, 0.234665, 0.300128, 0.424192, 0.663356, 1.13725", \
-            "0.203784, 0.238683, 0.304489, 0.428256, 0.668081, 1.14284", \
-            "0.211424, 0.246392, 0.312335, 0.436615, 0.676426, 1.15142", \
-            "0.22772, 0.263241, 0.329845, 0.454539, 0.695236, 1.17055", \
-            "0.254972, 0.293356, 0.364284, 0.492632, 0.734789, 1.21084", \
-            "0.282342, 0.327853, 0.410746, 0.557122, 0.816107, 1.29874" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0362466, 0.0520568, 0.0805098, 0.134394, 0.245209, 0.481777", \
-            "0.0363754, 0.0521734, 0.0806134, 0.134487, 0.245344, 0.48182", \
-            "0.0363757, 0.052202, 0.0806705, 0.134597, 0.245335, 0.482008", \
-            "0.0364056, 0.0522328, 0.0807367, 0.134531, 0.245004, 0.481867", \
-            "0.0365891, 0.0524875, 0.0809662, 0.134535, 0.245297, 0.48186", \
-            "0.0374472, 0.0534209, 0.08172, 0.135501, 0.245349, 0.481915" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.210862, 0.236427, 0.243118, 0.24918, 0.255003, 0.260827, 0.264161, 0.277038, 0.284741, 0.291646, 0.302254, 0.312279, 0.325812, 0.338082");
-            values ( \
-              "0.0124533, 0.0912433, 0.104725, 0.11149, 0.113657, 0.110477, 0.104711, 0.0623743, 0.04238, 0.0295399, 0.0164237, 0.00925932, 0.0043184, 0.00287617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.236714, 0.2425, 0.25648, 0.262631, 0.267433, 0.276646, 0.285217, 0.293666, 0.302348, 0.308625, 0.326315, 0.339174, 0.353449, 0.36578, 0.372418, 0.381848, 0.399862, 0.415559, 0.42889");
-            values ( \
-              "0.0461871, 0.048552, 0.107467, 0.128137, 0.140668, 0.156738, 0.162706, 0.161857, 0.153283, 0.139885, 0.0882206, 0.0584185, 0.035498, 0.0224913, 0.0174576, 0.0121364, 0.00603413, 0.00324534, 0.00216076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.280693, 0.29141, 0.311258, 0.321877, 0.327478, 0.33868, 0.347833, 0.355528, 0.369303, 0.377198, 0.384377, 0.398734, 0.427074, 0.445355, 0.466958, 0.481857, 0.493863, 0.502482, 0.51972, 0.5397, 0.555547, 0.587241, 0.615324");
-            values ( \
-              "0.0349762, 0.0719283, 0.155545, 0.183952, 0.193956, 0.205378, 0.208166, 0.207354, 0.200492, 0.193446, 0.184786, 0.160443, 0.104887, 0.0754711, 0.0492798, 0.0359779, 0.0277635, 0.0229654, 0.015543, 0.00986477, 0.00683421, 0.00316309, 0.00183833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.373119, 0.386531, 0.407371, 0.417277, 0.431475, 0.44386, 0.461712, 0.481005, 0.493755, 0.519056, 0.533503, 0.548313, 0.573469, 0.62883, 0.673179, 0.713073, 0.743719, 0.784954, 0.808841, 0.840833, 0.883488, 0.962591");
-            values ( \
-              "0.0817726, 0.0965731, 0.177951, 0.204783, 0.229112, 0.238933, 0.242899, 0.238956, 0.234005, 0.221632, 0.212434, 0.201186, 0.176904, 0.11665, 0.0766376, 0.0503048, 0.0356047, 0.021918, 0.016427, 0.0111174, 0.00649806, 0.00223222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.552295, 0.572699, 0.59596, 0.608401, 0.623686, 0.644647, 0.665204, 0.684968, 0.711319, 0.73594, 0.819467, 0.855691, 0.878804, 0.925032, 1.03807, 1.11582, 1.18734, 1.23709, 1.27906, 1.34163, 1.39622, 1.46182, 1.54929, 1.65253, 1.75577, 1.96225");
-            values ( \
-              "0.122464, 0.122659, 0.197265, 0.225762, 0.247878, 0.262096, 0.265252, 0.263746, 0.259144, 0.253451, 0.230639, 0.218291, 0.208972, 0.186499, 0.123568, 0.0859193, 0.0591119, 0.0447798, 0.0351571, 0.024261, 0.0174047, 0.0116183, 0.00664788, 0.00340439, 0.00172468, 0.000440824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.910316, 0.957636, 0.98309, 1.00183, 1.02635, 1.0337, 1.04839, 1.07472, 1.1109, 1.16413, 1.2261, 1.32803, 1.43606, 1.5393, 1.57998, 1.62865, 1.90141, 1.96808, 2.07132, 2.14131, 2.18654, 2.27702, 2.3453, 2.4218, 2.49999, 2.60323, 2.65285, 2.75211, 2.85535, 2.95859, 3.16507, 3.37155, 3.68127");
-            values ( \
-              "0.13951, 0.185232, 0.234732, 0.256509, 0.270727, 0.272836, 0.275311, 0.276546, 0.274741, 0.269646, 0.26259, 0.249616, 0.234134, 0.215804, 0.206937, 0.195119, 0.120615, 0.103928, 0.0809088, 0.0676238, 0.0600026, 0.0468044, 0.0386222, 0.0310035, 0.0246673, 0.0181089, 0.0156153, 0.0115351, 0.00841395, 0.00610684, 0.00321334, 0.00168477, 0.000647181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.230162, 0.256092, 0.262774, 0.268847, 0.27467, 0.280494, 0.283849, 0.296738, 0.307115, 0.315715, 0.325748, 0.337206, 0.35272, 0.361774");
-            values ( \
-              "0.0101426, 0.0913007, 0.104652, 0.111543, 0.113607, 0.110524, 0.104666, 0.0622744, 0.0369323, 0.0231921, 0.0132102, 0.00686773, 0.00286248, 0.00193568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.2567, 0.26606, 0.276209, 0.281321, 0.287165, 0.296379, 0.304951, 0.3134, 0.322082, 0.328358, 0.34605, 0.358909, 0.373184, 0.385515, 0.392154, 0.401583, 0.419598, 0.435295, 0.449513");
-            values ( \
-              "0.0404446, 0.0644285, 0.107411, 0.124975, 0.140639, 0.156735, 0.162683, 0.161857, 0.153273, 0.139887, 0.0882159, 0.0584148, 0.0355008, 0.0224883, 0.0174607, 0.0121334, 0.00603679, 0.00324269, 0.00208868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.300946, 0.311351, 0.331206, 0.34183, 0.34743, 0.358631, 0.361684, 0.36779, 0.375481, 0.389512, 0.397148, 0.404331, 0.418697, 0.447066, 0.4655, 0.486717, 0.501345, 0.514354, 0.523697, 0.540615, 0.558073, 0.571732, 0.599051, 0.646086, 0.702258");
-            values ( \
-              "0.0382882, 0.0718219, 0.155572, 0.183863, 0.194006, 0.205294, 0.206934, 0.208083, 0.207423, 0.20028, 0.193451, 0.18478, 0.160427, 0.104816, 0.0751952, 0.0494747, 0.0363466, 0.0274465, 0.0223288, 0.0152236, 0.0102361, 0.00746448, 0.00388382, 0.0011334, 0.000234047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.392614, 0.406661, 0.420882, 0.437388, 0.448299, 0.463972, 0.481436, 0.488777, 0.513868, 0.540132, 0.55361, 0.568426, 0.593597, 0.64895, 0.693308, 0.733139, 0.763833, 0.805138, 0.828978, 0.860902, 0.903467, 0.979924");
-            values ( \
-              "0.0735547, 0.0968524, 0.155127, 0.205043, 0.224509, 0.239243, 0.242702, 0.242104, 0.234193, 0.220972, 0.212448, 0.201173, 0.176899, 0.116636, 0.0766192, 0.0503337, 0.0356067, 0.0219009, 0.0164207, 0.0111221, 0.00651079, 0.00237405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.571972, 0.592746, 0.616001, 0.64372, 0.664691, 0.684902, 0.705685, 0.73288, 0.789329, 0.841952, 0.895229, 0.950899, 1.10563, 1.15638, 1.20064, 1.28789, 1.35282, 1.41171, 1.5201, 1.61414, 1.71738, 1.7271");
-            values ( \
-              "0.118035, 0.122726, 0.197298, 0.247829, 0.262103, 0.26522, 0.263638, 0.258836, 0.244943, 0.229858, 0.210555, 0.183303, 0.0996215, 0.0774471, 0.0612994, 0.03756, 0.0256102, 0.0178495, 0.00917711, 0.00497418, 0.00257485, 0.00248576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.930105, 1.01593, 1.05474, 1.0813, 1.11062, 1.15824, 1.32245, 1.4571, 1.56034, 1.6497, 1.92246, 2.09236, 2.20759, 2.36635, 2.52104, 2.62428, 2.8764, 3.16855");
-            values ( \
-              "0.127542, 0.249549, 0.272736, 0.276397, 0.276082, 0.27244, 0.253151, 0.234109, 0.21583, 0.195093, 0.120593, 0.0808863, 0.0600235, 0.0386017, 0.0246875, 0.0180885, 0.00843421, 0.00349053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.274989, 0.281007, 0.284782, 0.291971, 0.300177, 0.306123, 0.308321, 0.312904, 0.317331, 0.321542, 0.32456, 0.330438, 0.336612, 0.342081, 0.350462, 0.357423, 0.362789, 0.367631, 0.374088, 0.380958, 0.392618, 0.40738, 0.42717, 0.451928");
-            values ( \
-              "0.014397, 0.0291883, 0.0409216, 0.0675972, 0.0914233, 0.103408, 0.106681, 0.111413, 0.113478, 0.11279, 0.110262, 0.0982646, 0.0758082, 0.0584512, 0.0382249, 0.0263818, 0.0196604, 0.0149941, 0.0102769, 0.00693974, 0.00357072, 0.0016122, 0.000477976, 0.000202055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.300911, 0.310253, 0.320409, 0.325519, 0.331365, 0.340579, 0.34915, 0.357599, 0.366282, 0.372557, 0.390249, 0.403109, 0.417383, 0.429714, 0.436353, 0.445782, 0.463797, 0.479494, 0.493766");
-            values ( \
-              "0.0405519, 0.0643837, 0.107446, 0.124954, 0.140668, 0.156715, 0.162708, 0.161835, 0.153273, 0.139886, 0.0882163, 0.0584152, 0.0355006, 0.0224884, 0.0174605, 0.0121335, 0.00603671, 0.00324277, 0.00208436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.346784, 0.355741, 0.369668, 0.375568, 0.385474, 0.391802, 0.404457, 0.40927, 0.414569, 0.419835, 0.433869, 0.44869, 0.463809, 0.489687, 0.504496, 0.526716, 0.539564, 0.556452, 0.566471, 0.583408, 0.596382, 0.619644, 0.648084, 0.674029");
-            values ( \
-              "0.0559011, 0.071931, 0.133703, 0.15526, 0.18237, 0.193806, 0.206247, 0.207864, 0.208158, 0.207376, 0.200219, 0.184798, 0.158941, 0.107895, 0.0831111, 0.0541243, 0.0413771, 0.0289022, 0.0230757, 0.0158327, 0.0116939, 0.00680994, 0.0035339, 0.00211521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.436818, 0.45105, 0.465285, 0.481789, 0.492727, 0.508376, 0.525831, 0.545522, 0.558273, 0.583574, 0.598011, 0.61283, 0.637966, 0.693337, 0.737674, 0.777664, 0.808239, 0.849364, 0.873326, 0.90542, 0.948212, 1.02546");
-            values ( \
-              "0.0714767, 0.0967194, 0.155032, 0.204946, 0.224588, 0.239164, 0.24276, 0.238826, 0.234121, 0.221515, 0.212451, 0.201171, 0.176938, 0.116653, 0.0766478, 0.0502683, 0.0356077, 0.02195, 0.0164312, 0.0111055, 0.00648337, 0.00232047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.616185, 0.637925, 0.661164, 0.673254, 0.688873, 0.709639, 0.730255, 0.736983, 0.75044, 0.777353, 0.799439, 0.834383, 0.865609, 0.887112, 0.93012, 0.971791, 1.00859, 1.11028, 1.13713, 1.19085, 1.22405, 1.24114, 1.2753, 1.32015, 1.333, 1.3587, 1.39959, 1.45779, 1.48301, 1.53345, 1.63434, 1.73758, 1.84082, 1.94406");
-            values ( \
-              "0.107231, 0.122834, 0.197376, 0.225181, 0.24793, 0.26204, 0.265261, 0.265122, 0.263717, 0.258967, 0.253854, 0.244955, 0.236294, 0.229782, 0.214781, 0.196026, 0.176365, 0.119895, 0.106219, 0.0817878, 0.0688726, 0.0628975, 0.0521322, 0.040436, 0.0375262, 0.0323229, 0.025323, 0.0177873, 0.0152208, 0.0111551, 0.00580736, 0.00298412, 0.00149733, 0.000779538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.983152, 1.07317, 1.10005, 1.1286, 1.16123, 1.19494, 1.39082, 1.50234, 1.60558, 1.69498, 1.96771, 2.13761, 2.25284, 2.4371, 2.56628, 2.66952, 2.92166, 3.17516");
-            values ( \
-              "0.168117, 0.260725, 0.272989, 0.276313, 0.27584, 0.273213, 0.250103, 0.23414, 0.215805, 0.195114, 0.120617, 0.0809108, 0.0600008, 0.035928, 0.0246661, 0.0181102, 0.00841265, 0.00415802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.370772, 0.377071, 0.380374, 0.388068, 0.391898, 0.396287, 0.402981, 0.409045, 0.41487, 0.420695, 0.424003, 0.426996, 0.436864, 0.44439, 0.451755, 0.456923, 0.461928, 0.464825, 0.470619, 0.47545, 0.480671, 0.487633, 0.501556, 0.51194");
-            values ( \
-              "0.0128276, 0.0288618, 0.039207, 0.067287, 0.0794937, 0.0912227, 0.104668, 0.111477, 0.113616, 0.110472, 0.104758, 0.0963936, 0.062503, 0.0428683, 0.0291613, 0.0219265, 0.016604, 0.0140858, 0.0101191, 0.00767505, 0.00571465, 0.00386944, 0.00174564, 0.0011343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.398026, 0.405205, 0.416592, 0.424011, 0.427555, 0.436742, 0.440941, 0.445305, 0.453756, 0.462206, 0.468707, 0.486417, 0.499276, 0.505209, 0.513555, 0.520282, 0.525884, 0.532523, 0.541953, 0.552121, 0.55997, 0.57567, 0.603428, 0.636595");
-            values ( \
-              "0.0562532, 0.0592714, 0.107769, 0.131505, 0.140882, 0.156614, 0.160357, 0.162583, 0.161657, 0.153672, 0.139896, 0.0882026, 0.0584108, 0.0477745, 0.0355009, 0.0277173, 0.0224852, 0.0174639, 0.01213, 0.00819931, 0.00603925, 0.00323914, 0.000991621, 0.000236556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.442561, 0.451877, 0.465635, 0.471707, 0.481635, 0.48794, 0.500549, 0.505501, 0.510753, 0.515973, 0.526412, 0.537114, 0.544829, 0.559952, 0.583006, 0.601046, 0.622704, 0.635246, 0.652739, 0.680376, 0.699864, 0.715303, 0.74618, 0.761462");
-            values ( \
-              "0.0509243, 0.0720034, 0.132934, 0.155336, 0.182339, 0.193882, 0.20614, 0.2078, 0.208228, 0.207294, 0.20272, 0.194011, 0.184715, 0.159012, 0.113072, 0.0825684, 0.0542, 0.041874, 0.0287143, 0.0154378, 0.00989439, 0.00698626, 0.00324915, 0.00252258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.532619, 0.547378, 0.561599, 0.578094, 0.589045, 0.604676, 0.622202, 0.641822, 0.654572, 0.679873, 0.694314, 0.709129, 0.734284, 0.789646, 0.833994, 0.873897, 0.904537, 0.94576, 0.969655, 1.00166, 1.04433, 1.12183");
-            values ( \
-              "0.0653386, 0.0968437, 0.155125, 0.204988, 0.224667, 0.239165, 0.24279, 0.238851, 0.234107, 0.221535, 0.212449, 0.201173, 0.176917, 0.116644, 0.0766322, 0.0503039, 0.0356074, 0.0219236, 0.0164252, 0.0111141, 0.00649851, 0.00231251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.717293, 0.740721, 0.757251, 0.769082, 0.784997, 0.805748, 0.826466, 0.846379, 0.872931, 0.896651, 0.980751, 1.0174, 1.04012, 1.08555, 1.19939, 1.27721, 1.31135, 1.34857, 1.39821, 1.44044, 1.50308, 1.5576, 1.62299, 1.71018, 1.81342, 1.91666, 2.12314");
-            values ( \
-              "0.145258, 0.145561, 0.1971, 0.224483, 0.247839, 0.261996, 0.265233, 0.263728, 0.259074, 0.253581, 0.230632, 0.218138, 0.208971, 0.186917, 0.123563, 0.085887, 0.0721435, 0.0591387, 0.0448276, 0.0351443, 0.0242409, 0.0173961, 0.0116277, 0.00666716, 0.00341324, 0.00173029, 0.000442795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.07217, 1.11923, 1.14516, 1.16422, 1.18855, 1.19576, 1.21017, 1.23636, 1.26345, 1.2907, 1.33832, 1.38816, 1.4901, 1.5981, 1.70134, 1.74209, 1.79074, 2.06347, 2.13013, 2.23337, 2.30337, 2.3486, 2.43908, 2.50736, 2.58386, 2.66204, 2.76528, 2.81491, 2.91418, 3.01742, 3.12066, 3.32714, 3.53362, 3.84334");
-            values ( \
-              "0.138588, 0.184194, 0.234785, 0.256819, 0.270793, 0.272848, 0.275286, 0.276556, 0.275377, 0.273231, 0.268334, 0.262583, 0.249618, 0.234138, 0.215808, 0.206924, 0.195112, 0.120616, 0.103928, 0.0809091, 0.0676238, 0.0600022, 0.0468042, 0.0386223, 0.0310038, 0.0246673, 0.018109, 0.0156151, 0.011535, 0.00841376, 0.00610685, 0.00321339, 0.00168483, 0.000647103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.580427, 0.585351, 0.600328, 0.605011, 0.611765, 0.617869, 0.623722, 0.629595, 0.634477, 0.645484, 0.654575, 0.662648, 0.672669, 0.684057, 0.69124, 0.700818, 0.715074");
-            values ( \
-              "0.0257028, 0.0276072, 0.0776931, 0.0902121, 0.103905, 0.110834, 0.113156, 0.110082, 0.100488, 0.0631862, 0.0400195, 0.0261367, 0.014954, 0.00780525, 0.00520509, 0.00302118, 0.00146925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.607004, 0.615779, 0.62661, 0.631576, 0.637653, 0.646907, 0.655503, 0.66397, 0.673136, 0.678908, 0.696645, 0.709504, 0.72379, 0.736115, 0.742755, 0.752185, 0.762352, 0.7702, 0.785897, 0.802981");
-            values ( \
-              "0.0436365, 0.0607919, 0.106276, 0.123403, 0.139874, 0.156176, 0.162315, 0.161576, 0.152285, 0.139886, 0.0881851, 0.0584098, 0.0354953, 0.0224876, 0.0174645, 0.0121321, 0.00820154, 0.00603987, 0.00324097, 0.00185483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.655136, 0.663566, 0.677904, 0.683482, 0.693371, 0.699744, 0.71249, 0.717072, 0.722453, 0.727799, 0.741837, 0.75666, 0.771769, 0.79764, 0.812829, 0.834577, 0.847196, 0.864538, 0.874815, 0.89217, 0.904008, 0.92737, 0.958518, 0.982253");
-            values ( \
-              "0.0644889, 0.0713514, 0.134603, 0.154847, 0.182017, 0.193566, 0.206157, 0.207715, 0.208071, 0.207293, 0.200167, 0.184773, 0.158958, 0.107944, 0.0825718, 0.0542342, 0.0416963, 0.0288159, 0.0229049, 0.015531, 0.0118046, 0.00686518, 0.00329059, 0.00209493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.745437, 0.760159, 0.774373, 0.790865, 0.80182, 0.817444, 0.834931, 0.842249, 0.867339, 0.893603, 0.907082, 0.921896, 0.947005, 1.00239, 1.04671, 1.08682, 1.11731, 1.1583, 1.18235, 1.21457, 1.25753, 1.33434");
-            values ( \
-              "0.0656338, 0.0969608, 0.155224, 0.205066, 0.224641, 0.239227, 0.242741, 0.242079, 0.234164, 0.220973, 0.212447, 0.201174, 0.176965, 0.116669, 0.0766713, 0.0502178, 0.0356065, 0.0219845, 0.0164408, 0.011095, 0.00646118, 0.00233545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.93208, 0.964606, 0.982175, 0.998192, 1.01901, 1.03973, 1.05939, 1.08561, 1.11083, 1.19397, 1.23005, 1.25329, 1.29978, 1.41256, 1.49028, 1.56186, 1.61164, 1.65353, 1.71607, 1.77068, 1.83635, 1.92392, 2.02716, 2.11094");
-            values ( \
-              "0.135686, 0.180665, 0.224441, 0.247973, 0.262069, 0.265277, 0.263778, 0.259175, 0.253332, 0.230612, 0.218338, 0.208973, 0.186358, 0.123571, 0.0859311, 0.0591039, 0.0447628, 0.0351619, 0.0242683, 0.0174068, 0.0116145, 0.00664209, 0.0034008, 0.00203954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.28676, 1.3336, 1.35808, 1.37616, 1.40079, 1.4087, 1.42451, 1.45085, 1.48725, 1.53644, 1.6011, 1.69924, 1.81107, 1.91431, 1.95499, 2.00366, 2.27642, 2.34309, 2.44633, 2.51632, 2.56155, 2.65203, 2.72032, 2.79682, 2.875, 2.97824, 3.02787, 3.12713, 3.23037, 3.33361, 3.54009, 3.74657, 4.05629");
-            values ( \
-              "0.148358, 0.187468, 0.234708, 0.25581, 0.270547, 0.272768, 0.275453, 0.276488, 0.274659, 0.269952, 0.262566, 0.250133, 0.234134, 0.215801, 0.206934, 0.19512, 0.120616, 0.103926, 0.08091, 0.0676246, 0.0600016, 0.0468052, 0.0386229, 0.0310042, 0.0246666, 0.0181095, 0.0156146, 0.0115357, 0.00841329, 0.00610748, 0.00321398, 0.00168539, 0.000646588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.00989, 1.01734, 1.02154, 1.02999, 1.03886, 1.04592, 1.05222, 1.05822, 1.06422, 1.0702, 1.0766, 1.08198, 1.0905, 1.09445, 1.09972, 1.10453, 1.11094, 1.11842, 1.12228, 1.12853, 1.13687, 1.15354, 1.17505, 1.20251, 1.22898");
-            values ( \
-              "0.0116823, 0.0235355, 0.034191, 0.0611702, 0.0857987, 0.100137, 0.107827, 0.110677, 0.108246, 0.0967907, 0.0742884, 0.057717, 0.0376292, 0.0305997, 0.0230141, 0.0176434, 0.0122945, 0.00796723, 0.00640698, 0.00451047, 0.0028624, 0.00109139, 0.000363436, 7.12437e-05, 0.000202527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.04558, 1.05347, 1.06633, 1.07789, 1.08737, 1.09192, 1.09613, 1.10472, 1.11331, 1.11643, 1.11959, 1.13548, 1.14565, 1.15307, 1.15933, 1.16415, 1.1717, 1.17837, 1.18725, 1.1952, 1.20667, 1.21591, 1.23856, 1.25094, 1.25758");
-            values ( \
-              "0.0496981, 0.0508367, 0.100694, 0.135541, 0.152633, 0.156972, 0.159633, 0.159381, 0.152108, 0.146466, 0.139325, 0.0934029, 0.0682504, 0.053366, 0.0429945, 0.0361957, 0.0274135, 0.0213715, 0.0152098, 0.0111936, 0.00718019, 0.00501221, 0.00253949, 0.00159944, 0.00133012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.10868, 1.12123, 1.12541, 1.13055, 1.13638, 1.14045, 1.14371, 1.14534, 1.14925, 1.15055, 1.15316, 1.15576, 1.15837, 1.16097, 1.16299, 1.16501, 1.16853, 1.17205, 1.1755, 1.17895, 1.1859, 1.19307, 1.19624, 1.19987, 1.2035, 1.20795, 1.21284, 1.21447, 1.21609, 1.21771, 1.21934, 1.22096, 1.22318, 1.22495, 1.23687, 1.2526, 1.25469, 1.26467, 1.27207, 1.27577, 1.28084, 1.28791, 1.29135, 1.29766, 1.30585, 1.31584, 1.32552, 1.33449, 1.34346, 1.35116");
-            values ( \
-              "0.100505, 0.101116, 0.118044, 0.137778, 0.158327, 0.170204, 0.178304, 0.181887, 0.18896, 0.191034, 0.194582, 0.197677, 0.200318, 0.202507, 0.203891, 0.205003, 0.205515, 0.205649, 0.205394, 0.204815, 0.202366, 0.199091, 0.196555, 0.193024, 0.189137, 0.183849, 0.17643, 0.168293, 0.162198, 0.161878, 0.161111, 0.159894, 0.157513, 0.155003, 0.131075, 0.101243, 0.0977217, 0.0819805, 0.0714242, 0.0665042, 0.0602076, 0.0519659, 0.0484657, 0.0426578, 0.0359738, 0.0285423, 0.023177, 0.0190621, 0.0155831, 0.0130707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.21096, 1.22617, 1.24813, 1.25433, 1.26674, 1.28107, 1.29891, 1.30594, 1.31831, 1.33106, 1.35639, 1.37072, 1.38565, 1.41155, 1.46655, 1.51132, 1.54802, 1.57357, 1.59601, 1.62594, 1.64734, 1.67108, 1.70274, 1.76605, 1.81594");
-            values ( \
-              "0.0918021, 0.106387, 0.187087, 0.202961, 0.225258, 0.238175, 0.24235, 0.241574, 0.238626, 0.233876, 0.221471, 0.212442, 0.201126, 0.176094, 0.116254, 0.0760245, 0.0516576, 0.0387707, 0.0299369, 0.0209689, 0.016193, 0.0121308, 0.00818967, 0.00357426, 0.00213207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.39568, 1.41767, 1.44093, 1.45281, 1.46866, 1.48951, 1.51018, 1.52995, 1.55631, 1.58089, 1.66445, 1.70068, 1.72378, 1.76999, 1.88305, 1.9608, 2.03232, 2.08206, 2.12404, 2.18661, 2.2412, 2.3068, 2.39426, 2.4975, 2.60074, 2.80722");
-            values ( \
-              "0.104787, 0.122666, 0.197233, 0.224638, 0.247882, 0.262031, 0.265252, 0.26375, 0.259126, 0.253438, 0.23062, 0.218285, 0.208971, 0.186507, 0.123568, 0.0859189, 0.0591125, 0.0447802, 0.0351569, 0.0242607, 0.0174043, 0.0116183, 0.00664834, 0.00340438, 0.00172493, 0.000441012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.75052, 1.78931, 1.81608, 1.82947, 1.85471, 1.88012, 1.90696, 1.93487, 1.96923, 2.07251, 2.17445, 2.27732, 2.35291, 2.39912, 2.49154, 2.72446, 2.77678, 2.88002, 2.95272, 3.03175, 3.13499, 3.21389, 3.25786, 3.33931, 3.44255, 3.49878, 3.60202, 3.70526, 3.8085, 4.01498, 4.22146, 4.53118");
-            values ( \
-              "0.120131, 0.149264, 0.211635, 0.234796, 0.261799, 0.273043, 0.276486, 0.276168, 0.273766, 0.262542, 0.249592, 0.234959, 0.222172, 0.212942, 0.190912, 0.126757, 0.113205, 0.0888357, 0.0740371, 0.0601673, 0.0453108, 0.0362359, 0.0319455, 0.0251561, 0.0184991, 0.0156129, 0.0114154, 0.00830281, 0.00604854, 0.00318817, 0.00167707, 0.000627996" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.01252, 0.0125366, 0.0125552, 0.0125713, 0.0125824, 0.012589", \
-            "0.0167118, 0.0167291, 0.0167511, 0.0167722, 0.0167882, 0.0167981", \
-            "0.0198053, 0.019819, 0.0198395, 0.0198628, 0.0198825, 0.0198958", \
-            "0.0215499, 0.0215568, 0.0215689, 0.0215859, 0.0216035, 0.0216169", \
-            "0.0224216, 0.0224088, 0.0223939, 0.0223825, 0.022378, 0.0223787", \
-            "0.0229207, 0.0228936, 0.022852, 0.0227995, 0.0227503, 0.0227167" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0206286, 0.0206468, 0.0206706, 0.0206941, 0.020712, 0.0207232", \
-            "0.0230305, 0.023032, 0.0230417, 0.0230577, 0.0230731, 0.0230838", \
-            "0.0218941, 0.0218306, 0.0217552, 0.0216824, 0.0216285, 0.0215954", \
-            "0.0206396, 0.0205219, 0.0203589, 0.0201974, 0.0200647, 0.0199751", \
-            "0.0206647, 0.0204142, 0.0200822, 0.0197601, 0.0194856, 0.0193094", \
-            "0.0201313, 0.0201821, 0.0202756, 0.0200934, 0.0196171, 0.0192587" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.167438, 0.184883, 0.189622, 0.198882, 0.202545, 0.211437, 0.217154, 0.221206, 0.225723, 0.228961, 0.24445, 0.251952, 0.257504, 0.2621, 0.267023");
-            values ( \
-              "-0.00117923, -0.0740103, -0.0862138, -0.102452, -0.106687, -0.113696, -0.115524, -0.114718, -0.108633, -0.0991295, -0.0316989, -0.0149925, -0.00840668, -0.00508638, -0.00335071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.185251, 0.197505, 0.208066, 0.219209, 0.228855, 0.246249, 0.254406, 0.26263, 0.26747, 0.271279, 0.29065, 0.298458, 0.307038, 0.313958, 0.321865, 0.325289");
-            values ( \
-              "-0.000816875, -0.0677735, -0.111077, -0.135803, -0.149215, -0.165889, -0.17002, -0.166292, -0.156737, -0.143341, -0.0555141, -0.0339486, -0.019059, -0.0118509, -0.00672477, -0.00569896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.215842, 0.252636, 0.259984, 0.282394, 0.298736, 0.3116, 0.32408, 0.33655, 0.340852, 0.345293, 0.350541, 0.368176, 0.375131, 0.387803, 0.400287, 0.412218, 0.41907, 0.432774, 0.459213, 0.490164");
-            values ( \
-              "-0.00782473, -0.147823, -0.16334, -0.197197, -0.217116, -0.227863, -0.231331, -0.222794, -0.21523, -0.203451, -0.184419, -0.113196, -0.0899799, -0.0571974, -0.0356536, -0.0222871, -0.0169448, -0.00962618, -0.0029131, -0.000695599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.288077, 0.307683, 0.318488, 0.329538, 0.340043, 0.368535, 0.380671, 0.39256, 0.414631, 0.435705, 0.456643, 0.477572, 0.479755, 0.488487, 0.500129, 0.520366, 0.535555, 0.543738, 0.558551, 0.570892, 0.580831, 0.593154, 0.610496, 0.628771, 0.642807, 0.670879, 0.724737, 0.788758");
-            values ( \
-              "-0.0224024, -0.0996291, -0.13616, -0.164942, -0.185681, -0.233296, -0.249781, -0.263237, -0.279887, -0.287157, -0.284528, -0.267919, -0.264951, -0.249148, -0.219866, -0.163819, -0.126771, -0.109527, -0.0830648, -0.0653412, -0.0536431, -0.0417752, -0.0291366, -0.0198832, -0.0147577, -0.00797909, -0.00214322, -0.000403269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.429777, 0.473556, 0.522782, 0.539698, 0.557691, 0.579665, 0.598256, 0.636809, 0.674908, 0.71301, 0.734982, 0.754716, 0.794183, 0.835448, 0.878959, 0.914455, 0.944179, 0.977092, 1.02895, 1.09505, 1.16555, 1.20222");
-            values ( \
-              "-0.0676015, -0.165549, -0.252128, -0.275124, -0.294408, -0.310714, -0.320072, -0.3288, -0.327443, -0.316786, -0.305587, -0.290284, -0.238703, -0.175173, -0.119918, -0.0859829, -0.0642969, -0.0462066, -0.0270053, -0.0134632, -0.00615033, -0.0049453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.721967, 0.770996, 0.83143, 0.844687, 0.8712, 0.912638, 0.925893, 0.952402, 1.00024, 1.05835, 1.10486, 1.14891, 1.23137, 1.25958, 1.29718, 1.3349, 1.38519, 1.45347, 1.5234, 1.55737, 1.59628, 1.64816, 1.69809, 1.75699, 1.83433, 1.88035, 1.95099, 2.02834, 2.10569, 2.18304, 2.33773, 2.49243");
-            values ( \
-              "-0.176849, -0.178528, -0.273466, -0.289838, -0.315332, -0.339865, -0.344631, -0.351117, -0.355765, -0.354071, -0.349479, -0.343425, -0.326761, -0.318622, -0.304336, -0.283991, -0.247419, -0.19377, -0.145381, -0.125399, -0.105286, -0.0827062, -0.0651738, -0.0489024, -0.0331603, -0.0262989, -0.0183562, -0.0122962, -0.00821897, -0.00548403, -0.00243587, -0.00108051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.188442, 0.200939, 0.205937, 0.217137, 0.226358, 0.229215, 0.234955, 0.236912, 0.240675, 0.246421, 0.260224, 0.26811, 0.27377, 0.2777, 0.285562, 0.294037");
-            values ( \
-              "-0.0164668, -0.0692436, -0.0830334, -0.103103, -0.111671, -0.113346, -0.115157, -0.115133, -0.11306, -0.100445, -0.0384597, -0.017903, -0.00993673, -0.00655711, -0.00271784, -0.00127989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.207839, 0.213147, 0.214058, 0.21588, 0.217345, 0.219009, 0.220104, 0.222294, 0.224055, 0.226054, 0.228719, 0.231384, 0.232843, 0.235762, 0.237222, 0.239882, 0.242543, 0.246891, 0.251603, 0.255844, 0.259022, 0.262199, 0.268495, 0.271502, 0.274535, 0.276566, 0.280628, 0.280897, 0.281819, 0.282742, 0.284278, 0.285508, 0.286483, 0.287458, 0.289408, 0.294552, 0.302095, 0.303923, 0.305141, 0.307578, 0.308796, 0.310859, 0.313717, 0.315163, 0.31661, 0.318057, 0.319504, 0.320951, 0.322397, 0.326185");
-            values ( \
-              "-0.044749, -0.0586297, -0.0620067, -0.0693932, -0.0760136, -0.0844207, -0.0890725, -0.0976334, -0.103768, -0.110021, -0.117213, -0.123592, -0.126594, -0.132161, -0.134726, -0.138996, -0.142846, -0.148235, -0.153567, -0.157786, -0.160771, -0.163607, -0.168892, -0.16853, -0.167981, -0.167511, -0.166322, -0.165761, -0.16433, -0.162665, -0.159205, -0.155807, -0.152457, -0.148935, -0.141378, -0.117526, -0.0811023, -0.0732387, -0.0684651, -0.0595472, -0.055403, -0.0489151, -0.0403878, -0.0368123, -0.0335064, -0.0305779, -0.0278472, -0.0253144, -0.0229794, -0.0176896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.235261, 0.265732, 0.270921, 0.278326, 0.283828, 0.298943, 0.310651, 0.3171, 0.329999, 0.342473, 0.354955, 0.359171, 0.363523, 0.36895, 0.379804, 0.393396, 0.40612, 0.413163, 0.421212, 0.427938, 0.434761, 0.443858, 0.462052, 0.478646");
-            values ( \
-              "-0.00525759, -0.134586, -0.147791, -0.163233, -0.172242, -0.194274, -0.20963, -0.216818, -0.227551, -0.231168, -0.222594, -0.215287, -0.203905, -0.18435, -0.139234, -0.0904306, -0.0574195, -0.0441252, -0.03232, -0.0248098, -0.0189255, -0.0130956, -0.00606327, -0.00347281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.286736, 0.37734, 0.394606, 0.410599, 0.422168, 0.432619, 0.453753, 0.474703, 0.495651, 0.497819, 0.506492, 0.518055, 0.538873, 0.56165, 0.576373, 0.588879, 0.599125, 0.611376, 0.628665, 0.646732, 0.660586, 0.688293, 0.741562, 0.804766");
-            values ( \
-              "-0.000380022, -0.218026, -0.244048, -0.262684, -0.272747, -0.279511, -0.286785, -0.284401, -0.267703, -0.264849, -0.249217, -0.220235, -0.162683, -0.109868, -0.0834841, -0.0654693, -0.0534215, -0.0416612, -0.0290906, -0.0199392, -0.0148579, -0.00810335, -0.00221817, -0.000431156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.446212, 0.492056, 0.540021, 0.555741, 0.576194, 0.598335, 0.616802, 0.655389, 0.693499, 0.731616, 0.753389, 0.773321, 0.813185, 0.852739, 0.894761, 0.934033, 0.962876, 0.994087, 1.04728, 1.07973, 1.11682, 1.191, 1.26835, 1.3457");
-            values ( \
-              "-0.0594609, -0.165015, -0.249115, -0.271202, -0.293767, -0.310336, -0.319754, -0.328619, -0.327364, -0.31672, -0.305677, -0.290257, -0.238091, -0.177079, -0.123009, -0.0851867, -0.0642461, -0.0469777, -0.0270796, -0.0192974, -0.0130085, -0.00567677, -0.00236623, -0.000984877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.737819, 0.781755, 0.838184, 0.861919, 0.880242, 0.901182, 0.94183, 0.953599, 0.96929, 0.995027, 1.02005, 1.07011, 1.09366, 1.13065, 1.16876, 1.25107, 1.27828, 1.31456, 1.3597, 1.4765, 1.54124, 1.57731, 1.61718, 1.67033, 1.71818, 1.77462, 1.84987, 1.90008, 1.97728, 2.05463, 2.13197, 2.20932, 2.36402, 2.51871");
-            values ( \
-              "-0.163179, -0.165586, -0.253809, -0.28626, -0.305542, -0.322457, -0.34323, -0.346807, -0.350425, -0.354067, -0.355493, -0.354473, -0.352639, -0.348704, -0.343368, -0.326793, -0.318983, -0.305428, -0.280805, -0.19143, -0.146731, -0.125435, -0.104859, -0.0818442, -0.0651296, -0.0494658, -0.0339297, -0.0263481, -0.017782, -0.011898, -0.00796246, -0.00530161, -0.00235116, -0.00103951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.22536, 0.231791, 0.238767, 0.245813, 0.255291, 0.258043, 0.265517, 0.273477, 0.276097, 0.279194, 0.284947, 0.300021, 0.306237, 0.313343, 0.318968, 0.326329");
-            values ( \
-              "-0.00240956, -0.0383831, -0.066251, -0.0859884, -0.102439, -0.105643, -0.112058, -0.115128, -0.114944, -0.112961, -0.100417, -0.0342217, -0.0185834, -0.00891134, -0.00480894, -0.00244021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.245785, 0.261928, 0.269673, 0.280994, 0.290051, 0.300499, 0.310968, 0.319137, 0.323939, 0.327883, 0.34728, 0.355094, 0.363683, 0.370614, 0.378535, 0.382171");
-            values ( \
-              "-0.0353012, -0.102099, -0.123031, -0.14287, -0.153491, -0.163325, -0.169238, -0.166161, -0.156762, -0.143056, -0.0554788, -0.03394, -0.0190624, -0.0118169, -0.00673116, -0.00563502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.270566, 0.316979, 0.337494, 0.355717, 0.368624, 0.381108, 0.393598, 0.397765, 0.402067, 0.407595, 0.418652, 0.431959, 0.445771, 0.452121, 0.460587, 0.46894, 0.481651, 0.498599, 0.526304, 0.559696");
-            values ( \
-              "-0.0101876, -0.163326, -0.194042, -0.216604, -0.227423, -0.231011, -0.222529, -0.215311, -0.204146, -0.184306, -0.138397, -0.0906875, -0.0553509, -0.0436157, -0.0314144, -0.0226102, -0.0135698, -0.0066557, -0.00187403, -0.000399297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.338724, 0.379119, 0.395706, 0.425592, 0.449664, 0.469203, 0.484911, 0.492816, 0.508626, 0.513714, 0.523888, 0.53535, 0.557303, 0.577946, 0.600866, 0.628076, 0.650511, 0.667814, 0.685935, 0.699836, 0.727638, 0.76587");
-            values ( \
-              "-0.000893539, -0.147234, -0.182959, -0.232509, -0.262644, -0.278133, -0.285006, -0.286673, -0.285915, -0.28414, -0.278482, -0.2667, -0.220151, -0.162852, -0.109755, -0.0654558, -0.0416688, -0.0291264, -0.0199499, -0.0148082, -0.00809583, -0.00383989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.48554, 0.534635, 0.573548, 0.595101, 0.615317, 0.637437, 0.65591, 0.692473, 0.706684, 0.732498, 0.758325, 0.771336, 0.790954, 0.812359, 0.854283, 0.91052, 0.933532, 0.957706, 0.989938, 1.01743, 1.03719, 1.08726, 1.11463, 1.14591, 1.20848, 1.28582, 1.36317");
-            values ( \
-              "-0.0592156, -0.17194, -0.239898, -0.271611, -0.29359, -0.310407, -0.319586, -0.328484, -0.329035, -0.327011, -0.321066, -0.316268, -0.306487, -0.290168, -0.234972, -0.151314, -0.12345, -0.0987293, -0.072278, -0.0550449, -0.0451012, -0.0268525, -0.0201647, -0.0145036, -0.00728135, -0.00305801, -0.00125402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.780007, 0.838079, 0.888577, 0.931356, 0.984251, 1.00729, 1.05109, 1.07385, 1.13216, 1.24087, 1.28505, 1.36239, 1.40245, 1.60775, 1.72729, 1.79269, 1.9102, 1.99954, 2.07689, 2.20875");
-            values ( \
-              "-0.170904, -0.189996, -0.270561, -0.316043, -0.344331, -0.350182, -0.355377, -0.35569, -0.352624, -0.337542, -0.327934, -0.30124, -0.278174, -0.129984, -0.0749476, -0.0546706, -0.0303863, -0.019284, -0.0129496, -0.0068439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.308926, 0.323569, 0.329201, 0.340026, 0.34922, 0.357826, 0.359943, 0.363549, 0.369302, 0.383146, 0.390922, 0.396695, 0.4007, 0.40871, 0.419906, 0.427422");
-            values ( \
-              "-0.00230028, -0.0681983, -0.0838622, -0.103013, -0.111581, -0.115054, -0.114997, -0.11294, -0.100361, -0.0383266, -0.0180411, -0.00990524, -0.00647971, -0.0026421, -0.000785427, -0.000696893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.32776, 0.336479, 0.345108, 0.352447, 0.3605, 0.370186, 0.385444, 0.391818, 0.39583, 0.403966, 0.408688, 0.412752, 0.42484, 0.432163, 0.439962, 0.448555, 0.455523, 0.463486, 0.479212, 0.487253");
-            values ( \
-              "-0.00475535, -0.0581356, -0.0953732, -0.117578, -0.134286, -0.147986, -0.163239, -0.167665, -0.169144, -0.166136, -0.157011, -0.143018, -0.0842941, -0.0554335, -0.0339462, -0.01906, -0.0117981, -0.0066881, -0.00198207, -0.00163523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.366918, 0.386318, 0.39216, 0.401412, 0.418085, 0.431807, 0.439955, 0.449514, 0.454327, 0.463953, 0.472565, 0.479384, 0.492081, 0.510552, 0.519822, 0.530811, 0.542762, 0.555104, 0.562378, 0.576927, 0.602344, 0.632801");
-            values ( \
-              "-0.0479308, -0.122987, -0.14045, -0.160892, -0.186757, -0.205498, -0.215054, -0.224, -0.227286, -0.230928, -0.228895, -0.222117, -0.189507, -0.114915, -0.0844703, -0.0569384, -0.0362168, -0.0222755, -0.0166738, -0.0091037, -0.00293775, -0.000705241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.42718, 0.458325, 0.465329, 0.474735, 0.482495, 0.511743, 0.523851, 0.535813, 0.557932, 0.579012, 0.599965, 0.620909, 0.623081, 0.631766, 0.643346, 0.664095, 0.679007, 0.686928, 0.701661, 0.714148, 0.724361, 0.736621, 0.753915, 0.772003, 0.785875, 0.81362, 0.865947, 0.928");
-            values ( \
-              "-0.00369331, -0.126757, -0.147488, -0.169407, -0.184191, -0.232609, -0.249299, -0.26268, -0.279671, -0.286812, -0.28446, -0.267699, -0.26486, -0.249194, -0.220152, -0.162783, -0.126525, -0.109831, -0.0834389, -0.065455, -0.0534453, -0.0416743, -0.0290959, -0.0199339, -0.0148486, -0.00809074, -0.00228186, -0.000463078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.561586, 0.600169, 0.605985, 0.616539, 0.655413, 0.681952, 0.70252, 0.724642, 0.7431, 0.779143, 0.796951, 0.824526, 0.834159, 0.853423, 0.868467, 0.888527, 0.899518, 0.920726, 0.987561, 1.01413, 1.03421, 1.05451, 1.08158, 1.10575, 1.13798, 1.16582, 1.20334, 1.25336, 1.33071, 1.40806, 1.48541");
-            values ( \
-              "-0.0043389, -0.125291, -0.139192, -0.161982, -0.231099, -0.271395, -0.293876, -0.310508, -0.319753, -0.328428, -0.329057, -0.326226, -0.324181, -0.318295, -0.311761, -0.299444, -0.290178, -0.265457, -0.164548, -0.130599, -0.108758, -0.0898177, -0.0690096, -0.0542742, -0.0390586, -0.0292842, -0.0197913, -0.0115527, -0.00484328, -0.00201161, -0.000842017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.86346, 0.907604, 0.976863, 1.01779, 1.07186, 1.09984, 1.14624, 1.20268, 1.29493, 1.37731, 1.44186, 1.48362, 1.70878, 1.83036, 1.92553, 2.04147, 2.20238, 2.2443");
-            values ( \
-              "-0.162676, -0.165165, -0.27168, -0.315213, -0.344335, -0.351194, -0.355648, -0.35413, -0.343384, -0.326769, -0.304922, -0.282264, -0.122501, -0.0696555, -0.0437588, -0.0243969, -0.0106483, -0.00904797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.489412, 0.493615, 0.495683, 0.496372, 0.498104, 0.500615, 0.501451, 0.502838, 0.504225, 0.506075, 0.506999, 0.509139, 0.512966, 0.514654, 0.518067, 0.518368, 0.52189, 0.525036, 0.526557, 0.528035, 0.53135, 0.533673, 0.534834, 0.535394, 0.536514, 0.538194, 0.539944, 0.540819, 0.541693, 0.543136, 0.544578, 0.54602, 0.547463, 0.548096, 0.548729, 0.549514, 0.550299, 0.551085, 0.55187, 0.55344, 0.556325, 0.560065, 0.562672, 0.563669, 0.564665, 0.565661, 0.566657, 0.567654, 0.569646, 0.573083");
-            values ( \
-              "-0.0256632, -0.0368124, -0.0432332, -0.0457243, -0.0533348, -0.0635554, -0.0667486, -0.0712394, -0.0754013, -0.0804387, -0.0827381, -0.0869891, -0.0939989, -0.0968476, -0.102212, -0.102448, -0.106505, -0.109482, -0.110712, -0.11174, -0.113487, -0.114329, -0.114631, -0.114658, -0.114607, -0.114266, -0.112891, -0.111969, -0.110892, -0.108775, -0.106235, -0.103271, -0.0998835, -0.0978676, -0.0951915, -0.0855999, -0.0782107, -0.0767893, -0.0750599, -0.0706772, -0.0594172, -0.0436953, -0.0344638, -0.0311125, -0.0282221, -0.0255676, -0.0232721, -0.0211303, -0.0173082, -0.01201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.507493, 0.516844, 0.529966, 0.541235, 0.550179, 0.564511, 0.576688, 0.584914, 0.593099, 0.611777, 0.618759, 0.63007, 0.648261, 0.648615");
-            values ( \
-              "-0.00203428, -0.0566015, -0.10943, -0.134459, -0.1471, -0.161201, -0.168695, -0.165475, -0.145243, -0.0601152, -0.0391432, -0.0183606, -0.00513671, -0.00505586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.553922, 0.566407, 0.574104, 0.579867, 0.59291, 0.612585, 0.626366, 0.639307, 0.651847, 0.664376, 0.668281, 0.672312, 0.678386, 0.696172, 0.702791, 0.71555, 0.722905, 0.731311, 0.73977, 0.752637, 0.769792, 0.797143, 0.830103");
-            values ( \
-              "-0.0807665, -0.103694, -0.131285, -0.146492, -0.171134, -0.199114, -0.215734, -0.226576, -0.230442, -0.222016, -0.215458, -0.205446, -0.184086, -0.112635, -0.0905785, -0.0574878, -0.0436721, -0.0315338, -0.022604, -0.0134822, -0.00655642, -0.00188272, -0.000416664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.610409, 0.665776, 0.6719, 0.700466, 0.711285, 0.724524, 0.74662, 0.767727, 0.788683, 0.80963, 0.811801, 0.820485, 0.832063, 0.852824, 0.867733, 0.875649, 0.890381, 0.902872, 0.913091, 0.925349, 0.942643, 0.960728, 0.974598, 1.00234, 1.0543, 1.1159");
-            values ( \
-              "-0.00206474, -0.174186, -0.185446, -0.232662, -0.247697, -0.262685, -0.279612, -0.286789, -0.284413, -0.267683, -0.264835, -0.249179, -0.220152, -0.16276, -0.126514, -0.109833, -0.0834435, -0.0654555, -0.0534397, -0.0416705, -0.0290942, -0.0199341, -0.0148493, -0.00809254, -0.0023082, -0.000476671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.760343, 0.789974, 0.808358, 0.855872, 0.870915, 0.892678, 0.914887, 0.933286, 0.968754, 0.990725, 1.00987, 1.04802, 1.07119, 1.08978, 1.12696, 1.17784, 1.21127, 1.25195, 1.27925, 1.31376, 1.36427, 1.39349, 1.42689, 1.49367, 1.57102, 1.64837");
-            values ( \
-              "-0.0440162, -0.124764, -0.164972, -0.247933, -0.269364, -0.293601, -0.310255, -0.319636, -0.328204, -0.328906, -0.32696, -0.316767, -0.30475, -0.290185, -0.24218, -0.164794, -0.12298, -0.0839479, -0.0642734, -0.0454406, -0.0269348, -0.0198513, -0.0139386, -0.00666218, -0.0027852, -0.0011531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.05777, 1.10847, 1.16682, 1.18164, 1.21128, 1.25225, 1.26309, 1.28477, 1.32793, 1.35676, 1.41107, 1.44285, 1.48616, 1.5686, 1.59658, 1.63389, 1.67329, 1.72583, 1.78411, 1.85627, 1.88688, 1.94009, 2.00091, 2.03597, 2.07716, 2.12499, 2.19173, 2.27331, 2.35066, 2.42801, 2.50535, 2.66005, 2.81474");
-            values ( \
-              "-0.174801, -0.178019, -0.269572, -0.288306, -0.316778, -0.340321, -0.344267, -0.349856, -0.355247, -0.355614, -0.352614, -0.349245, -0.343385, -0.326748, -0.318687, -0.304568, -0.283263, -0.244752, -0.198803, -0.148134, -0.129765, -0.102179, -0.0768211, -0.0649571, -0.0531787, -0.0419761, -0.0299943, -0.0198169, -0.0132797, -0.00888228, -0.00592549, -0.00263175, -0.00116661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.846337, 0.851964, 0.853588, 0.855213, 0.860521, 0.861558, 0.863631, 0.864667, 0.866741, 0.8678, 0.870977, 0.872724, 0.874471, 0.876218, 0.877965, 0.878011, 0.878283, 0.879372, 0.880824, 0.884404, 0.886354, 0.888148, 0.890439, 0.89273, 0.895021, 0.895997, 0.897623, 0.898924, 0.899733, 0.900542, 0.90135, 0.902159, 0.903626, 0.905094, 0.906562, 0.908029, 0.908415, 0.909186, 0.910729, 0.916601, 0.918034, 0.920315, 0.922548, 0.924636, 0.925978, 0.926873, 0.927768, 0.929559, 0.931772, 0.93396");
-            values ( \
-              "-0.0142499, -0.032379, -0.0366102, -0.0416198, -0.0619046, -0.0652353, -0.071372, -0.0741781, -0.0792653, -0.0813982, -0.0874489, -0.090554, -0.0935012, -0.0962906, -0.0989221, -0.0990939, -0.0993084, -0.100735, -0.102419, -0.106106, -0.107824, -0.109215, -0.110618, -0.1117, -0.112462, -0.112519, -0.112423, -0.112175, -0.111634, -0.110964, -0.110163, -0.109233, -0.107213, -0.104765, -0.10189, -0.0985862, -0.0974858, -0.0945061, -0.0877558, -0.0596319, -0.0529895, -0.0439423, -0.0361589, -0.0294269, -0.025749, -0.0236827, -0.0217385, -0.0182165, -0.0146076, -0.0113614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.875029, 0.876461, 0.880896, 0.882328, 0.895574, 0.896441, 0.898176, 0.901645, 0.90682, 0.910821, 0.916157, 0.922137, 0.926825, 0.930473, 0.936029, 0.938804, 0.944353, 0.952654, 0.960953, 0.962901, 0.973206, 0.976786, 0.980877, 0.984631, 0.988971, 0.994759, 0.997663, 1.00076, 1.00489, 1.01315, 1.02403");
-            values ( \
-              "-0.0311937, -0.034207, -0.0478146, -0.0507941, -0.101523, -0.102503, -0.107742, -0.115994, -0.127005, -0.133836, -0.141475, -0.148585, -0.153501, -0.156982, -0.161714, -0.163673, -0.166345, -0.163767, -0.14394, -0.135948, -0.0860633, -0.0709399, -0.0558411, -0.0443828, -0.0336677, -0.0229808, -0.0188088, -0.0152265, -0.0115274, -0.00633498, -0.00320035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.91424, 0.972023, 0.990254, 1.00563, 1.0187, 1.02316, 1.03131, 1.04387, 1.0491, 1.05322, 1.05799, 1.07452, 1.08635, 1.09643, 1.10096, 1.11001, 1.11949, 1.12462, 1.13489, 1.15543, 1.18345, 1.2159");
-            values ( \
-              "-0.00516315, -0.167977, -0.194454, -0.21336, -0.224548, -0.226927, -0.228716, -0.2209, -0.211722, -0.200515, -0.183349, -0.116981, -0.0789851, -0.0548769, -0.0463437, -0.0326878, -0.0225279, -0.0183684, -0.0121094, -0.00501717, -0.00139114, -0.000334022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.00914, 1.04217, 1.0679, 1.09701, 1.12133, 1.14355, 1.16473, 1.18569, 1.20737, 1.22575, 1.27234, 1.30044, 1.32286, 1.35833, 1.40012, 1.4095");
-            values ( \
-              "-0.00181591, -0.120771, -0.181113, -0.229471, -0.260497, -0.278039, -0.285637, -0.283453, -0.266274, -0.230402, -0.111465, -0.0653777, -0.0416884, -0.0199176, -0.00805982, -0.00701558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.18459, 1.21246, 1.22819, 1.2691, 1.28351, 1.30665, 1.32169, 1.34733, 1.35847, 1.38075, 1.39625, 1.4169, 1.42398, 1.43813, 1.46282, 1.50189, 1.51627, 1.53231, 1.59118, 1.62763, 1.66192, 1.6871, 1.70803, 1.73254, 1.76722, 1.8034, 1.83114, 1.8866, 1.96395, 2.0413, 2.11865");
-            values ( \
-              "-0.121032, -0.144346, -0.174482, -0.245456, -0.266736, -0.292676, -0.304925, -0.319012, -0.322871, -0.327545, -0.328572, -0.327623, -0.326715, -0.323989, -0.316141, -0.292053, -0.276974, -0.255268, -0.165577, -0.120282, -0.087258, -0.0682704, -0.055461, -0.0432559, -0.0302155, -0.0207388, -0.0154726, -0.00847231, -0.00353793, -0.00147119, -0.00061693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.47259, 1.51939, 1.58872, 1.62874, 1.68339, 1.72193, 1.7578, 1.8133, 1.9065, 1.982, 2.05265, 2.09678, 2.3229, 2.42816, 2.53074, 2.65418, 2.82327, 2.85818");
-            values ( \
-              "-0.147357, -0.16484, -0.271693, -0.314458, -0.344112, -0.352853, -0.355493, -0.354186, -0.343334, -0.328569, -0.305273, -0.281239, -0.121099, -0.074374, -0.0451519, -0.0242311, -0.0101446, -0.00887579" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0123158, 0.012329, 0.0123443, 0.0123584, 0.0123686, 0.0123747", \
-            "0.0146416, 0.0146491, 0.0146588, 0.01467, 0.0146791, 0.0146851", \
-            "0.0160671, 0.0160697, 0.0160744, 0.0160811, 0.0160879, 0.016093", \
-            "0.0169171, 0.0169178, 0.0169192, 0.0169218, 0.0169255, 0.016929", \
-            "0.0173771, 0.0173774, 0.0173778, 0.0173786, 0.0173799, 0.0173817", \
-            "0.0176266, 0.017627, 0.0176276, 0.0176283, 0.017629, 0.0176299" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0168306, 0.0168415, 0.0168563, 0.016871, 0.0168822, 0.0168892", \
-            "0.0190039, 0.0190132, 0.0190251, 0.0190369, 0.0190462, 0.0190518", \
-            "0.020573, 0.0205836, 0.020596, 0.0206072, 0.0206154, 0.0206203", \
-            "0.0218755, 0.0218537, 0.0218298, 0.0218102, 0.0217977, 0.0217903", \
-            "0.0229689, 0.0228531, 0.0227076, 0.0225663, 0.0224596, 0.0223911", \
-            "0.0237247, 0.0236522, 0.023452, 0.0231301, 0.022858, 0.0226806" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(B & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.243333, 0.282145, 0.358168, 0.508609, 0.810538, 1.42452", \
-            "0.249135, 0.287937, 0.364154, 0.514737, 0.816915, 1.43094", \
-            "0.262441, 0.301298, 0.377564, 0.528314, 0.830794, 1.44489", \
-            "0.290398, 0.329302, 0.405531, 0.55646, 0.858839, 1.47362", \
-            "0.348596, 0.388893, 0.46676, 0.618605, 0.921415, 1.53594", \
-            "0.450263, 0.496766, 0.58492, 0.749529, 1.05908, 1.67449" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0386723, 0.0566938, 0.0949679, 0.177375, 0.353036, 0.722621", \
-            "0.0386716, 0.0566975, 0.0949705, 0.177368, 0.353041, 0.722599", \
-            "0.0386479, 0.0566969, 0.0949822, 0.17737, 0.353029, 0.722624", \
-            "0.0386814, 0.0566734, 0.0949824, 0.177368, 0.353037, 0.722624", \
-            "0.0388478, 0.0568064, 0.0950134, 0.177366, 0.353036, 0.722627", \
-            "0.0398169, 0.0575993, 0.0957638, 0.177507, 0.35304, 0.722584" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.187656, 0.216931, 0.273029, 0.382281, 0.597218, 1.0279", \
-            "0.191592, 0.220895, 0.277133, 0.386118, 0.601599, 1.03138", \
-            "0.200275, 0.229615, 0.286167, 0.395393, 0.609844, 1.04051", \
-            "0.218511, 0.248435, 0.305829, 0.415416, 0.6305, 1.06114", \
-            "0.248049, 0.281297, 0.34358, 0.457439, 0.675113, 1.10685", \
-            "0.276378, 0.317083, 0.391641, 0.525429, 0.763503, 1.20448" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.029681, 0.0426968, 0.0687318, 0.122233, 0.235965, 0.478073", \
-            "0.0297002, 0.0426917, 0.0687304, 0.122321, 0.235814, 0.478078", \
-            "0.0296944, 0.0426912, 0.0686819, 0.1223, 0.236009, 0.478065", \
-            "0.0297162, 0.0427249, 0.0686899, 0.122303, 0.236139, 0.478082", \
-            "0.0297823, 0.0426948, 0.0687934, 0.122296, 0.235929, 0.47808", \
-            "0.0303543, 0.0432075, 0.0692015, 0.122484, 0.236019, 0.477732" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.210862, 0.236427, 0.243118, 0.24918, 0.255003, 0.260827, 0.264161, 0.277038, 0.284741, 0.291646, 0.302254, 0.312279, 0.325812, 0.338082");
-            values ( \
-              "0.0124533, 0.0912433, 0.104725, 0.11149, 0.113657, 0.110477, 0.104711, 0.0623743, 0.04238, 0.0295399, 0.0164237, 0.00925932, 0.0043184, 0.00287617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.236714, 0.2425, 0.25648, 0.262631, 0.267433, 0.276646, 0.285217, 0.293666, 0.302348, 0.308625, 0.326315, 0.339174, 0.353449, 0.36578, 0.372418, 0.381848, 0.399862, 0.415559, 0.42889");
-            values ( \
-              "0.0461871, 0.048552, 0.107467, 0.128137, 0.140668, 0.156738, 0.162706, 0.161857, 0.153283, 0.139885, 0.0882206, 0.0584185, 0.035498, 0.0224913, 0.0174576, 0.0121364, 0.00603413, 0.00324534, 0.00216076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.280693, 0.29141, 0.311258, 0.321877, 0.327478, 0.33868, 0.347833, 0.355528, 0.369303, 0.377198, 0.384377, 0.398734, 0.427074, 0.445355, 0.466958, 0.481857, 0.493863, 0.502482, 0.51972, 0.5397, 0.555547, 0.587241, 0.615324");
-            values ( \
-              "0.0349762, 0.0719283, 0.155545, 0.183952, 0.193956, 0.205378, 0.208166, 0.207354, 0.200492, 0.193446, 0.184786, 0.160443, 0.104887, 0.0754711, 0.0492798, 0.0359779, 0.0277635, 0.0229654, 0.015543, 0.00986477, 0.00683421, 0.00316309, 0.00183833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.373119, 0.386531, 0.407371, 0.417277, 0.431475, 0.44386, 0.461712, 0.481005, 0.493755, 0.519056, 0.533503, 0.548313, 0.573469, 0.62883, 0.673179, 0.713073, 0.743719, 0.784954, 0.808841, 0.840833, 0.883488, 0.962591");
-            values ( \
-              "0.0817726, 0.0965731, 0.177951, 0.204783, 0.229112, 0.238933, 0.242899, 0.238956, 0.234005, 0.221632, 0.212434, 0.201186, 0.176904, 0.11665, 0.0766376, 0.0503048, 0.0356047, 0.021918, 0.016427, 0.0111174, 0.00649806, 0.00223222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.552295, 0.572699, 0.59596, 0.608401, 0.623686, 0.644647, 0.665204, 0.684968, 0.711319, 0.73594, 0.819467, 0.855691, 0.878804, 0.925032, 1.03807, 1.11582, 1.18734, 1.23709, 1.27906, 1.34163, 1.39622, 1.46182, 1.54929, 1.65253, 1.75577, 1.96225");
-            values ( \
-              "0.122464, 0.122659, 0.197265, 0.225762, 0.247878, 0.262096, 0.265252, 0.263746, 0.259144, 0.253451, 0.230639, 0.218291, 0.208972, 0.186499, 0.123568, 0.0859193, 0.0591119, 0.0447798, 0.0351571, 0.024261, 0.0174047, 0.0116183, 0.00664788, 0.00340439, 0.00172468, 0.000440824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.910316, 0.957636, 0.98309, 1.00183, 1.02635, 1.0337, 1.04839, 1.07472, 1.1109, 1.16413, 1.2261, 1.32803, 1.43606, 1.5393, 1.57998, 1.62865, 1.90141, 1.96808, 2.07132, 2.14131, 2.18654, 2.27702, 2.3453, 2.4218, 2.49999, 2.60323, 2.65285, 2.75211, 2.85535, 2.95859, 3.16507, 3.37155, 3.68127");
-            values ( \
-              "0.13951, 0.185232, 0.234732, 0.256509, 0.270727, 0.272836, 0.275311, 0.276546, 0.274741, 0.269646, 0.26259, 0.249616, 0.234134, 0.215804, 0.206937, 0.195119, 0.120615, 0.103928, 0.0809088, 0.0676238, 0.0600026, 0.0468044, 0.0386222, 0.0310035, 0.0246673, 0.0181089, 0.0156153, 0.0115351, 0.00841395, 0.00610684, 0.00321334, 0.00168477, 0.000647181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.230162, 0.256092, 0.262774, 0.268847, 0.27467, 0.280494, 0.283849, 0.296738, 0.307115, 0.315715, 0.325748, 0.337206, 0.35272, 0.361774");
-            values ( \
-              "0.0101426, 0.0913007, 0.104652, 0.111543, 0.113607, 0.110524, 0.104666, 0.0622744, 0.0369323, 0.0231921, 0.0132102, 0.00686773, 0.00286248, 0.00193568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.2567, 0.26606, 0.276209, 0.281321, 0.287165, 0.296379, 0.304951, 0.3134, 0.322082, 0.328358, 0.34605, 0.358909, 0.373184, 0.385515, 0.392154, 0.401583, 0.419598, 0.435295, 0.449513");
-            values ( \
-              "0.0404446, 0.0644285, 0.107411, 0.124975, 0.140639, 0.156735, 0.162683, 0.161857, 0.153273, 0.139887, 0.0882159, 0.0584148, 0.0355008, 0.0224883, 0.0174607, 0.0121334, 0.00603679, 0.00324269, 0.00208868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.300946, 0.311351, 0.331206, 0.34183, 0.34743, 0.358631, 0.361684, 0.36779, 0.375481, 0.389512, 0.397148, 0.404331, 0.418697, 0.447066, 0.4655, 0.486717, 0.501345, 0.514354, 0.523697, 0.540615, 0.558073, 0.571732, 0.599051, 0.646086, 0.702258");
-            values ( \
-              "0.0382882, 0.0718219, 0.155572, 0.183863, 0.194006, 0.205294, 0.206934, 0.208083, 0.207423, 0.20028, 0.193451, 0.18478, 0.160427, 0.104816, 0.0751952, 0.0494747, 0.0363466, 0.0274465, 0.0223288, 0.0152236, 0.0102361, 0.00746448, 0.00388382, 0.0011334, 0.000234047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.392614, 0.406661, 0.420882, 0.437388, 0.448299, 0.463972, 0.481436, 0.488777, 0.513868, 0.540132, 0.55361, 0.568426, 0.593597, 0.64895, 0.693308, 0.733139, 0.763833, 0.805138, 0.828978, 0.860902, 0.903467, 0.979924");
-            values ( \
-              "0.0735547, 0.0968524, 0.155127, 0.205043, 0.224509, 0.239243, 0.242702, 0.242104, 0.234193, 0.220972, 0.212448, 0.201173, 0.176899, 0.116636, 0.0766192, 0.0503337, 0.0356067, 0.0219009, 0.0164207, 0.0111221, 0.00651079, 0.00237405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.571972, 0.592746, 0.616001, 0.64372, 0.664691, 0.684902, 0.705685, 0.73288, 0.789329, 0.841952, 0.895229, 0.950899, 1.10563, 1.15638, 1.20064, 1.28789, 1.35282, 1.41171, 1.5201, 1.61414, 1.71738, 1.7271");
-            values ( \
-              "0.118035, 0.122726, 0.197298, 0.247829, 0.262103, 0.26522, 0.263638, 0.258836, 0.244943, 0.229858, 0.210555, 0.183303, 0.0996215, 0.0774471, 0.0612994, 0.03756, 0.0256102, 0.0178495, 0.00917711, 0.00497418, 0.00257485, 0.00248576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.930105, 1.01593, 1.05474, 1.0813, 1.11062, 1.15824, 1.32245, 1.4571, 1.56034, 1.6497, 1.92246, 2.09236, 2.20759, 2.36635, 2.52104, 2.62428, 2.8764, 3.16855");
-            values ( \
-              "0.127542, 0.249549, 0.272736, 0.276397, 0.276082, 0.27244, 0.253151, 0.234109, 0.21583, 0.195093, 0.120593, 0.0808863, 0.0600235, 0.0386017, 0.0246875, 0.0180885, 0.00843421, 0.00349053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.274989, 0.281007, 0.284782, 0.291971, 0.300177, 0.306123, 0.308321, 0.312904, 0.317331, 0.321542, 0.32456, 0.330438, 0.336612, 0.342081, 0.350462, 0.357423, 0.362789, 0.367631, 0.374088, 0.380958, 0.392618, 0.40738, 0.42717, 0.451928");
-            values ( \
-              "0.014397, 0.0291883, 0.0409216, 0.0675972, 0.0914233, 0.103408, 0.106681, 0.111413, 0.113478, 0.11279, 0.110262, 0.0982646, 0.0758082, 0.0584512, 0.0382249, 0.0263818, 0.0196604, 0.0149941, 0.0102769, 0.00693974, 0.00357072, 0.0016122, 0.000477976, 0.000202055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.300911, 0.310253, 0.320409, 0.325519, 0.331365, 0.340579, 0.34915, 0.357599, 0.366282, 0.372557, 0.390249, 0.403109, 0.417383, 0.429714, 0.436353, 0.445782, 0.463797, 0.479494, 0.493766");
-            values ( \
-              "0.0405519, 0.0643837, 0.107446, 0.124954, 0.140668, 0.156715, 0.162708, 0.161835, 0.153273, 0.139886, 0.0882163, 0.0584152, 0.0355006, 0.0224884, 0.0174605, 0.0121335, 0.00603671, 0.00324277, 0.00208436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.346784, 0.355741, 0.369668, 0.375568, 0.385474, 0.391802, 0.404457, 0.40927, 0.414569, 0.419835, 0.433869, 0.44869, 0.463809, 0.489687, 0.504496, 0.526716, 0.539564, 0.556452, 0.566471, 0.583408, 0.596382, 0.619644, 0.648084, 0.674029");
-            values ( \
-              "0.0559011, 0.071931, 0.133703, 0.15526, 0.18237, 0.193806, 0.206247, 0.207864, 0.208158, 0.207376, 0.200219, 0.184798, 0.158941, 0.107895, 0.0831111, 0.0541243, 0.0413771, 0.0289022, 0.0230757, 0.0158327, 0.0116939, 0.00680994, 0.0035339, 0.00211521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.436818, 0.45105, 0.465285, 0.481789, 0.492727, 0.508376, 0.525831, 0.545522, 0.558273, 0.583574, 0.598011, 0.61283, 0.637966, 0.693337, 0.737674, 0.777664, 0.808239, 0.849364, 0.873326, 0.90542, 0.948212, 1.02546");
-            values ( \
-              "0.0714767, 0.0967194, 0.155032, 0.204946, 0.224588, 0.239164, 0.24276, 0.238826, 0.234121, 0.221515, 0.212451, 0.201171, 0.176938, 0.116653, 0.0766478, 0.0502683, 0.0356077, 0.02195, 0.0164312, 0.0111055, 0.00648337, 0.00232047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.616185, 0.637925, 0.661164, 0.673254, 0.688873, 0.709639, 0.730255, 0.736983, 0.75044, 0.777353, 0.799439, 0.834383, 0.865609, 0.887112, 0.93012, 0.971791, 1.00859, 1.11028, 1.13713, 1.19085, 1.22405, 1.24114, 1.2753, 1.32015, 1.333, 1.3587, 1.39959, 1.45779, 1.48301, 1.53345, 1.63434, 1.73758, 1.84082, 1.94406");
-            values ( \
-              "0.107231, 0.122834, 0.197376, 0.225181, 0.24793, 0.26204, 0.265261, 0.265122, 0.263717, 0.258967, 0.253854, 0.244955, 0.236294, 0.229782, 0.214781, 0.196026, 0.176365, 0.119895, 0.106219, 0.0817878, 0.0688726, 0.0628975, 0.0521322, 0.040436, 0.0375262, 0.0323229, 0.025323, 0.0177873, 0.0152208, 0.0111551, 0.00580736, 0.00298412, 0.00149733, 0.000779538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.983152, 1.07317, 1.10005, 1.1286, 1.16123, 1.19494, 1.39082, 1.50234, 1.60558, 1.69498, 1.96771, 2.13761, 2.25284, 2.4371, 2.56628, 2.66952, 2.92166, 3.17516");
-            values ( \
-              "0.168117, 0.260725, 0.272989, 0.276313, 0.27584, 0.273213, 0.250103, 0.23414, 0.215805, 0.195114, 0.120617, 0.0809108, 0.0600008, 0.035928, 0.0246661, 0.0181102, 0.00841265, 0.00415802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.370772, 0.377071, 0.380374, 0.388068, 0.391898, 0.396287, 0.402981, 0.409045, 0.41487, 0.420695, 0.424003, 0.426996, 0.436864, 0.44439, 0.451755, 0.456923, 0.461928, 0.464825, 0.470619, 0.47545, 0.480671, 0.487633, 0.501556, 0.51194");
-            values ( \
-              "0.0128276, 0.0288618, 0.039207, 0.067287, 0.0794937, 0.0912227, 0.104668, 0.111477, 0.113616, 0.110472, 0.104758, 0.0963936, 0.062503, 0.0428683, 0.0291613, 0.0219265, 0.016604, 0.0140858, 0.0101191, 0.00767505, 0.00571465, 0.00386944, 0.00174564, 0.0011343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.398026, 0.405205, 0.416592, 0.424011, 0.427555, 0.436742, 0.440941, 0.445305, 0.453756, 0.462206, 0.468707, 0.486417, 0.499276, 0.505209, 0.513555, 0.520282, 0.525884, 0.532523, 0.541953, 0.552121, 0.55997, 0.57567, 0.603428, 0.636595");
-            values ( \
-              "0.0562532, 0.0592714, 0.107769, 0.131505, 0.140882, 0.156614, 0.160357, 0.162583, 0.161657, 0.153672, 0.139896, 0.0882026, 0.0584108, 0.0477745, 0.0355009, 0.0277173, 0.0224852, 0.0174639, 0.01213, 0.00819931, 0.00603925, 0.00323914, 0.000991621, 0.000236556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.442561, 0.451877, 0.465635, 0.471707, 0.481635, 0.48794, 0.500549, 0.505501, 0.510753, 0.515973, 0.526412, 0.537114, 0.544829, 0.559952, 0.583006, 0.601046, 0.622704, 0.635246, 0.652739, 0.680376, 0.699864, 0.715303, 0.74618, 0.761462");
-            values ( \
-              "0.0509243, 0.0720034, 0.132934, 0.155336, 0.182339, 0.193882, 0.20614, 0.2078, 0.208228, 0.207294, 0.20272, 0.194011, 0.184715, 0.159012, 0.113072, 0.0825684, 0.0542, 0.041874, 0.0287143, 0.0154378, 0.00989439, 0.00698626, 0.00324915, 0.00252258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.532619, 0.547378, 0.561599, 0.578094, 0.589045, 0.604676, 0.622202, 0.641822, 0.654572, 0.679873, 0.694314, 0.709129, 0.734284, 0.789646, 0.833994, 0.873897, 0.904537, 0.94576, 0.969655, 1.00166, 1.04433, 1.12183");
-            values ( \
-              "0.0653386, 0.0968437, 0.155125, 0.204988, 0.224667, 0.239165, 0.24279, 0.238851, 0.234107, 0.221535, 0.212449, 0.201173, 0.176917, 0.116644, 0.0766322, 0.0503039, 0.0356074, 0.0219236, 0.0164252, 0.0111141, 0.00649851, 0.00231251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.717293, 0.740721, 0.757251, 0.769082, 0.784997, 0.805748, 0.826466, 0.846379, 0.872931, 0.896651, 0.980751, 1.0174, 1.04012, 1.08555, 1.19939, 1.27721, 1.31135, 1.34857, 1.39821, 1.44044, 1.50308, 1.5576, 1.62299, 1.71018, 1.81342, 1.91666, 2.12314");
-            values ( \
-              "0.145258, 0.145561, 0.1971, 0.224483, 0.247839, 0.261996, 0.265233, 0.263728, 0.259074, 0.253581, 0.230632, 0.218138, 0.208971, 0.186917, 0.123563, 0.085887, 0.0721435, 0.0591387, 0.0448276, 0.0351443, 0.0242409, 0.0173961, 0.0116277, 0.00666716, 0.00341324, 0.00173029, 0.000442795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.07217, 1.11923, 1.14516, 1.16422, 1.18855, 1.19576, 1.21017, 1.23636, 1.26345, 1.2907, 1.33832, 1.38816, 1.4901, 1.5981, 1.70134, 1.74209, 1.79074, 2.06347, 2.13013, 2.23337, 2.30337, 2.3486, 2.43908, 2.50736, 2.58386, 2.66204, 2.76528, 2.81491, 2.91418, 3.01742, 3.12066, 3.32714, 3.53362, 3.84334");
-            values ( \
-              "0.138588, 0.184194, 0.234785, 0.256819, 0.270793, 0.272848, 0.275286, 0.276556, 0.275377, 0.273231, 0.268334, 0.262583, 0.249618, 0.234138, 0.215808, 0.206924, 0.195112, 0.120616, 0.103928, 0.0809091, 0.0676238, 0.0600022, 0.0468042, 0.0386223, 0.0310038, 0.0246673, 0.018109, 0.0156151, 0.011535, 0.00841376, 0.00610685, 0.00321339, 0.00168483, 0.000647103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.580427, 0.585351, 0.600328, 0.605011, 0.611765, 0.617869, 0.623722, 0.629595, 0.634477, 0.645484, 0.654575, 0.662648, 0.672669, 0.684057, 0.69124, 0.700818, 0.715074");
-            values ( \
-              "0.0257028, 0.0276072, 0.0776931, 0.0902121, 0.103905, 0.110834, 0.113156, 0.110082, 0.100488, 0.0631862, 0.0400195, 0.0261367, 0.014954, 0.00780525, 0.00520509, 0.00302118, 0.00146925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.607004, 0.615779, 0.62661, 0.631576, 0.637653, 0.646907, 0.655503, 0.66397, 0.673136, 0.678908, 0.696645, 0.709504, 0.72379, 0.736115, 0.742755, 0.752185, 0.762352, 0.7702, 0.785897, 0.802981");
-            values ( \
-              "0.0436365, 0.0607919, 0.106276, 0.123403, 0.139874, 0.156176, 0.162315, 0.161576, 0.152285, 0.139886, 0.0881851, 0.0584098, 0.0354953, 0.0224876, 0.0174645, 0.0121321, 0.00820154, 0.00603987, 0.00324097, 0.00185483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.655136, 0.663566, 0.677904, 0.683482, 0.693371, 0.699744, 0.71249, 0.717072, 0.722453, 0.727799, 0.741837, 0.75666, 0.771769, 0.79764, 0.812829, 0.834577, 0.847196, 0.864538, 0.874815, 0.89217, 0.904008, 0.92737, 0.958518, 0.982253");
-            values ( \
-              "0.0644889, 0.0713514, 0.134603, 0.154847, 0.182017, 0.193566, 0.206157, 0.207715, 0.208071, 0.207293, 0.200167, 0.184773, 0.158958, 0.107944, 0.0825718, 0.0542342, 0.0416963, 0.0288159, 0.0229049, 0.015531, 0.0118046, 0.00686518, 0.00329059, 0.00209493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.745437, 0.760159, 0.774373, 0.790865, 0.80182, 0.817444, 0.834931, 0.842249, 0.867339, 0.893603, 0.907082, 0.921896, 0.947005, 1.00239, 1.04671, 1.08682, 1.11731, 1.1583, 1.18235, 1.21457, 1.25753, 1.33434");
-            values ( \
-              "0.0656338, 0.0969608, 0.155224, 0.205066, 0.224641, 0.239227, 0.242741, 0.242079, 0.234164, 0.220973, 0.212447, 0.201174, 0.176965, 0.116669, 0.0766713, 0.0502178, 0.0356065, 0.0219845, 0.0164408, 0.011095, 0.00646118, 0.00233545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.93208, 0.964606, 0.982175, 0.998192, 1.01901, 1.03973, 1.05939, 1.08561, 1.11083, 1.19397, 1.23005, 1.25329, 1.29978, 1.41256, 1.49028, 1.56186, 1.61164, 1.65353, 1.71607, 1.77068, 1.83635, 1.92392, 2.02716, 2.11094");
-            values ( \
-              "0.135686, 0.180665, 0.224441, 0.247973, 0.262069, 0.265277, 0.263778, 0.259175, 0.253332, 0.230612, 0.218338, 0.208973, 0.186358, 0.123571, 0.0859311, 0.0591039, 0.0447628, 0.0351619, 0.0242683, 0.0174068, 0.0116145, 0.00664209, 0.0034008, 0.00203954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.28676, 1.3336, 1.35808, 1.37616, 1.40079, 1.4087, 1.42451, 1.45085, 1.48725, 1.53644, 1.6011, 1.69924, 1.81107, 1.91431, 1.95499, 2.00366, 2.27642, 2.34309, 2.44633, 2.51632, 2.56155, 2.65203, 2.72032, 2.79682, 2.875, 2.97824, 3.02787, 3.12713, 3.23037, 3.33361, 3.54009, 3.74657, 4.05629");
-            values ( \
-              "0.148358, 0.187468, 0.234708, 0.25581, 0.270547, 0.272768, 0.275453, 0.276488, 0.274659, 0.269952, 0.262566, 0.250133, 0.234134, 0.215801, 0.206934, 0.19512, 0.120616, 0.103926, 0.08091, 0.0676246, 0.0600016, 0.0468052, 0.0386229, 0.0310042, 0.0246666, 0.0181095, 0.0156146, 0.0115357, 0.00841329, 0.00610748, 0.00321398, 0.00168539, 0.000646588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.00989, 1.01734, 1.02154, 1.02999, 1.03886, 1.04592, 1.05222, 1.05822, 1.06422, 1.0702, 1.0766, 1.08198, 1.0905, 1.09445, 1.09972, 1.10453, 1.11094, 1.11842, 1.12228, 1.12853, 1.13687, 1.15354, 1.17505, 1.20251, 1.22898");
-            values ( \
-              "0.0116823, 0.0235355, 0.034191, 0.0611702, 0.0857987, 0.100137, 0.107827, 0.110677, 0.108246, 0.0967907, 0.0742884, 0.057717, 0.0376292, 0.0305997, 0.0230141, 0.0176434, 0.0122945, 0.00796723, 0.00640698, 0.00451047, 0.0028624, 0.00109139, 0.000363436, 7.12437e-05, 0.000202527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.04558, 1.05347, 1.06633, 1.07789, 1.08737, 1.09192, 1.09613, 1.10472, 1.11331, 1.11643, 1.11959, 1.13548, 1.14565, 1.15307, 1.15933, 1.16415, 1.1717, 1.17837, 1.18725, 1.1952, 1.20667, 1.21591, 1.23856, 1.25094, 1.25758");
-            values ( \
-              "0.0496981, 0.0508367, 0.100694, 0.135541, 0.152633, 0.156972, 0.159633, 0.159381, 0.152108, 0.146466, 0.139325, 0.0934029, 0.0682504, 0.053366, 0.0429945, 0.0361957, 0.0274135, 0.0213715, 0.0152098, 0.0111936, 0.00718019, 0.00501221, 0.00253949, 0.00159944, 0.00133012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.10868, 1.12123, 1.12541, 1.13055, 1.13638, 1.14045, 1.14371, 1.14534, 1.14925, 1.15055, 1.15316, 1.15576, 1.15837, 1.16097, 1.16299, 1.16501, 1.16853, 1.17205, 1.1755, 1.17895, 1.1859, 1.19307, 1.19624, 1.19987, 1.2035, 1.20795, 1.21284, 1.21447, 1.21609, 1.21771, 1.21934, 1.22096, 1.22318, 1.22495, 1.23687, 1.2526, 1.25469, 1.26467, 1.27207, 1.27577, 1.28084, 1.28791, 1.29135, 1.29766, 1.30585, 1.31584, 1.32552, 1.33449, 1.34346, 1.35116");
-            values ( \
-              "0.100505, 0.101116, 0.118044, 0.137778, 0.158327, 0.170204, 0.178304, 0.181887, 0.18896, 0.191034, 0.194582, 0.197677, 0.200318, 0.202507, 0.203891, 0.205003, 0.205515, 0.205649, 0.205394, 0.204815, 0.202366, 0.199091, 0.196555, 0.193024, 0.189137, 0.183849, 0.17643, 0.168293, 0.162198, 0.161878, 0.161111, 0.159894, 0.157513, 0.155003, 0.131075, 0.101243, 0.0977217, 0.0819805, 0.0714242, 0.0665042, 0.0602076, 0.0519659, 0.0484657, 0.0426578, 0.0359738, 0.0285423, 0.023177, 0.0190621, 0.0155831, 0.0130707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.21096, 1.22617, 1.24813, 1.25433, 1.26674, 1.28107, 1.29891, 1.30594, 1.31831, 1.33106, 1.35639, 1.37072, 1.38565, 1.41155, 1.46655, 1.51132, 1.54802, 1.57357, 1.59601, 1.62594, 1.64734, 1.67108, 1.70274, 1.76605, 1.81594");
-            values ( \
-              "0.0918021, 0.106387, 0.187087, 0.202961, 0.225258, 0.238175, 0.24235, 0.241574, 0.238626, 0.233876, 0.221471, 0.212442, 0.201126, 0.176094, 0.116254, 0.0760245, 0.0516576, 0.0387707, 0.0299369, 0.0209689, 0.016193, 0.0121308, 0.00818967, 0.00357426, 0.00213207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.39568, 1.41767, 1.44093, 1.45281, 1.46866, 1.48951, 1.51018, 1.52995, 1.55631, 1.58089, 1.66445, 1.70068, 1.72378, 1.76999, 1.88305, 1.9608, 2.03232, 2.08206, 2.12404, 2.18661, 2.2412, 2.3068, 2.39426, 2.4975, 2.60074, 2.80722");
-            values ( \
-              "0.104787, 0.122666, 0.197233, 0.224638, 0.247882, 0.262031, 0.265252, 0.26375, 0.259126, 0.253438, 0.23062, 0.218285, 0.208971, 0.186507, 0.123568, 0.0859189, 0.0591125, 0.0447802, 0.0351569, 0.0242607, 0.0174043, 0.0116183, 0.00664834, 0.00340438, 0.00172493, 0.000441012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.75052, 1.78931, 1.81608, 1.82947, 1.85471, 1.88012, 1.90696, 1.93487, 1.96923, 2.07251, 2.17445, 2.27732, 2.35291, 2.39912, 2.49154, 2.72446, 2.77678, 2.88002, 2.95272, 3.03175, 3.13499, 3.21389, 3.25786, 3.33931, 3.44255, 3.49878, 3.60202, 3.70526, 3.8085, 4.01498, 4.22146, 4.53118");
-            values ( \
-              "0.120131, 0.149264, 0.211635, 0.234796, 0.261799, 0.273043, 0.276486, 0.276168, 0.273766, 0.262542, 0.249592, 0.234959, 0.222172, 0.212942, 0.190912, 0.126757, 0.113205, 0.0888357, 0.0740371, 0.0601673, 0.0453108, 0.0362359, 0.0319455, 0.0251561, 0.0184991, 0.0156129, 0.0114154, 0.00830281, 0.00604854, 0.00318817, 0.00167707, 0.000627996" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0125363, 0.01255, 0.0125655, 0.0125789, 0.0125883, 0.0125938", \
-            "0.0167199, 0.0167337, 0.0167512, 0.0167681, 0.0167807, 0.0167886", \
-            "0.0197988, 0.0198098, 0.0198261, 0.0198446, 0.0198602, 0.0198708", \
-            "0.0214866, 0.0214891, 0.0214955, 0.0215063, 0.0215184, 0.0215281", \
-            "0.0223001, 0.0222842, 0.0222642, 0.0222467, 0.0222366, 0.0222326", \
-            "0.0227839, 0.0227584, 0.0227183, 0.0226661, 0.0226151, 0.0225787" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0206655, 0.0206821, 0.0207033, 0.020724, 0.0207399, 0.0207498", \
-            "0.0227402, 0.0227304, 0.0227259, 0.0227288, 0.0227348, 0.0227402", \
-            "0.0215355, 0.0214675, 0.0213887, 0.0213104, 0.021251, 0.0212145", \
-            "0.0204199, 0.020302, 0.0201534, 0.0200066, 0.0198692, 0.0197708", \
-            "0.0206561, 0.0203777, 0.020024, 0.0196973, 0.0194157, 0.0192119", \
-            "0.0200066, 0.0200545, 0.0201546, 0.0201023, 0.019586, 0.0192054" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.160294, 0.184343, 0.187409, 0.193389, 0.199194, 0.203777, 0.208359, 0.210832, 0.213445, 0.220546, 0.225065, 0.229443, 0.234712, 0.239785, 0.245583, 0.253739");
-            values ( \
-              "-0.00487841, -0.109621, -0.11883, -0.132995, -0.141641, -0.144477, -0.139213, -0.131181, -0.117509, -0.0681281, -0.0436178, -0.0272607, -0.0149835, -0.00831577, -0.00417296, -0.00175174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.179678, 0.185622, 0.195233, 0.206756, 0.214602, 0.221731, 0.228497, 0.235136, 0.241771, 0.244052, 0.249462, 0.262791, 0.269755, 0.278173, 0.285559, 0.290556, 0.300551, 0.302615");
-            values ( \
-              "-0.0378514, -0.0597819, -0.115943, -0.163535, -0.1857, -0.198573, -0.206128, -0.206842, -0.196846, -0.189882, -0.16198, -0.0791883, -0.0501161, -0.0277859, -0.016273, -0.011232, -0.00520656, -0.00470901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.209076, 0.235804, 0.241743, 0.24853, 0.254911, 0.262649, 0.273831, 0.284653, 0.295467, 0.306238, 0.309071, 0.312308, 0.340217, 0.354209, 0.365884, 0.37473, 0.383924, 0.390967, 0.405054, 0.409344");
-            values ( \
-              "-0.0293064, -0.175236, -0.199128, -0.220594, -0.235875, -0.249649, -0.262366, -0.267151, -0.263756, -0.247269, -0.240039, -0.228989, -0.102608, -0.0611674, -0.0387188, -0.0270544, -0.0185928, -0.0138863, -0.00761813, -0.00675418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.271045, 0.303686, 0.313119, 0.321328, 0.335559, 0.351045, 0.364623, 0.374758, 0.393851, 0.412909, 0.423571, 0.433756, 0.454126, 0.48564, 0.496912, 0.512253, 0.524753, 0.547209, 0.564352, 0.582238, 0.595948, 0.623368, 0.654461");
-            values ( \
-              "-0.0324815, -0.204907, -0.240156, -0.262525, -0.288573, -0.305016, -0.312266, -0.314457, -0.313011, -0.30297, -0.292753, -0.2781, -0.227741, -0.138406, -0.112953, -0.0844914, -0.0660688, -0.0418813, -0.0292547, -0.0200768, -0.0149756, -0.00819719, -0.00471729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.400868, 0.420266, 0.437078, 0.448289, 0.46032, 0.476743, 0.499288, 0.510271, 0.532237, 0.542847, 0.571884, 0.601666, 0.622591, 0.646769, 0.685139, 0.70109, 0.716405, 0.77314, 0.810892, 0.845712, 0.870412, 0.890469, 0.915155, 0.949953, 0.986701, 1.01494, 1.07141, 1.14638, 1.1617");
-            values ( \
-              "-0.142535, -0.158685, -0.234673, -0.271018, -0.297, -0.320623, -0.337641, -0.34177, -0.346145, -0.346398, -0.343858, -0.337458, -0.330926, -0.320846, -0.294465, -0.276791, -0.255198, -0.16761, -0.120296, -0.0867496, -0.0681562, -0.055833, -0.0434568, -0.0303056, -0.0206701, -0.0153334, -0.00829458, -0.00356635, -0.00326889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.645664, 0.675844, 0.706566, 0.72193, 0.743493, 0.769752, 0.795519, 0.819998, 0.855811, 0.891626, 0.988001, 1.03912, 1.11409, 1.15476, 1.19982, 1.27479, 1.38108, 1.44832, 1.51714, 1.57293, 1.62309, 1.68996, 1.74655, 1.82281, 1.89778, 1.97276, 2.1227, 2.34762");
-            values ( \
-              "-0.179651, -0.190464, -0.288984, -0.317781, -0.341979, -0.357184, -0.363683, -0.365868, -0.365216, -0.362748, -0.351706, -0.344168, -0.328886, -0.317182, -0.29853, -0.248264, -0.16706, -0.125228, -0.0915522, -0.070211, -0.055073, -0.0395408, -0.0296968, -0.0201527, -0.0137167, -0.00925257, -0.00421377, -0.00132108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.181777, 0.185299, 0.186246, 0.18814, 0.19029, 0.193374, 0.194902, 0.197958, 0.201797, 0.207457, 0.212515, 0.217246, 0.221832, 0.226413, 0.228968, 0.231499, 0.238599, 0.240102, 0.24311, 0.245038, 0.247503, 0.250789, 0.25277, 0.25493, 0.257811, 0.263571, 0.265538");
-            values ( \
-              "-0.0283018, -0.0383822, -0.0393337, -0.0476466, -0.0586456, -0.0760056, -0.0813546, -0.0943943, -0.107335, -0.124392, -0.134732, -0.142006, -0.144233, -0.139495, -0.130833, -0.117553, -0.0681057, -0.0590862, -0.0436279, -0.0355958, -0.0272186, -0.0187844, -0.014955, -0.0116651, -0.0083591, -0.00418859, -0.00360352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.197417, 0.203621, 0.213239, 0.224737, 0.232581, 0.239708, 0.246474, 0.253113, 0.259747, 0.262029, 0.267437, 0.280768, 0.287731, 0.296122, 0.301031, 0.308558, 0.318303");
-            values ( \
-              "-0.037351, -0.05993, -0.116193, -0.163537, -0.185779, -0.198552, -0.206193, -0.206813, -0.196903, -0.189885, -0.161985, -0.0791752, -0.0501097, -0.027837, -0.0195184, -0.0112075, -0.00535427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.221238, 0.260565, 0.268646, 0.280666, 0.291823, 0.302645, 0.313454, 0.324222, 0.327065, 0.330315, 0.358197, 0.372188, 0.383863, 0.392709, 0.401899, 0.408939, 0.423019, 0.429996");
-            values ( \
-              "-0.000697037, -0.202451, -0.226222, -0.250088, -0.2624, -0.267358, -0.263733, -0.247419, -0.240058, -0.228929, -0.102621, -0.0611726, -0.0387231, -0.027055, -0.0185952, -0.0138929, -0.00762093, -0.00620995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.282053, 0.295956, 0.326313, 0.341721, 0.353972, 0.369706, 0.382648, 0.393224, 0.412335, 0.431398, 0.452239, 0.516268, 0.54325, 0.565676, 0.600737, 0.631334");
-            values ( \
-              "-0.0273836, -0.0670385, -0.220882, -0.266194, -0.287604, -0.304931, -0.311934, -0.314182, -0.312983, -0.302841, -0.27808, -0.111148, -0.0660516, -0.0418957, -0.020072, -0.0112421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.417129, 0.436178, 0.457273, 0.467604, 0.478683, 0.494572, 0.512058, 0.517612, 0.52872, 0.550937, 0.560378, 0.572966, 0.590184, 0.626473, 0.64412, 0.665046, 0.681313, 0.703001, 0.721265, 0.742138, 0.776412, 0.810237, 0.832356, 0.863531, 0.888492, 0.908878, 0.933487, 0.968225, 0.98372, 1.00475, 1.03279, 1.08886, 1.16383, 1.23881, 1.31378");
-            values ( \
-              "-0.113976, -0.148633, -0.242494, -0.273906, -0.297693, -0.320198, -0.334697, -0.337678, -0.342069, -0.346125, -0.346367, -0.345849, -0.343803, -0.335797, -0.329841, -0.320814, -0.311689, -0.294839, -0.274185, -0.243456, -0.189446, -0.142432, -0.116749, -0.087068, -0.0682281, -0.0557074, -0.0433987, -0.0302772, -0.0257796, -0.0206984, -0.0153931, -0.00836017, -0.00359954, -0.00154171, -0.000663116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.666891, 0.707015, 0.730041, 0.761333, 0.784513, 0.811006, 0.83743, 0.873262, 0.90906, 1.00785, 1.05659, 1.13156, 1.1717, 1.21722, 1.29219, 1.39857, 1.46575, 1.53456, 1.59036, 1.64054, 1.70744, 1.764, 1.84022, 1.91519, 1.99016, 2.14011, 2.36503");
-            values ( \
-              "-0.188638, -0.239433, -0.301084, -0.342048, -0.35586, -0.363383, -0.365776, -0.365278, -0.362671, -0.351442, -0.344089, -0.328945, -0.317345, -0.298549, -0.248293, -0.16703, -0.125228, -0.09156, -0.070214, -0.055069, -0.0395308, -0.0296947, -0.0201561, -0.0137186, -0.00925431, -0.00421473, -0.00132101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.218862, 0.232472, 0.237709, 0.246764, 0.25182, 0.256551, 0.261137, 0.265717, 0.268278, 0.282413, 0.286806, 0.292073, 0.297112, 0.302871, 0.310386");
-            values ( \
-              "-0.000427611, -0.0749864, -0.0960994, -0.12441, -0.134776, -0.142011, -0.144261, -0.139494, -0.13082, -0.0436333, -0.0272194, -0.014956, -0.00836062, -0.00419082, -0.00194431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.236074, 0.243279, 0.254404, 0.265852, 0.272256, 0.279382, 0.286166, 0.292808, 0.299445, 0.301704, 0.320435, 0.327409, 0.336097, 0.347928, 0.357487, 0.358353");
-            values ( \
-              "-0.0340686, -0.0600394, -0.12279, -0.16819, -0.185278, -0.198598, -0.205931, -0.206887, -0.19674, -0.189924, -0.0793338, -0.050189, -0.0272893, -0.0114909, -0.00552653, -0.00530242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.265756, 0.272309, 0.277813, 0.294831, 0.304656, 0.315339, 0.320834, 0.332015, 0.342817, 0.353623, 0.36439, 0.367239, 0.398364, 0.412355, 0.424031, 0.432875, 0.442064, 0.449103, 0.463182, 0.465707");
-            values ( \
-              "-0.035303, -0.0581078, -0.0839038, -0.180034, -0.215168, -0.24075, -0.249916, -0.262585, -0.267289, -0.263867, -0.247338, -0.240058, -0.102625, -0.0611755, -0.0387237, -0.0270561, -0.0185968, -0.0138944, -0.00762263, -0.00710977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.326359, 0.356934, 0.371088, 0.383333, 0.393585, 0.413625, 0.422101, 0.432825, 0.451934, 0.470993, 0.481405, 0.491833, 0.512689, 0.533731, 0.555821, 0.57033, 0.582815, 0.605298, 0.622433, 0.640292, 0.653977, 0.681348, 0.706194");
-            values ( \
-              "-0.0199317, -0.180635, -0.239157, -0.270386, -0.288131, -0.307802, -0.311719, -0.314453, -0.312816, -0.303052, -0.293014, -0.278088, -0.22632, -0.164242, -0.11123, -0.0844914, -0.066087, -0.0418697, -0.0292514, -0.0200875, -0.0149895, -0.0082161, -0.00540562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.454847, 0.474106, 0.497808, 0.509473, 0.51751, 0.533516, 0.550518, 0.568703, 0.593077, 0.624651, 0.638533, 0.657041, 0.683836, 0.704104, 0.742558, 0.757942, 0.773472, 0.830998, 0.868157, 0.902731, 0.92762, 0.948034, 0.972636, 1.00737, 1.04387, 1.07189, 1.12793, 1.20291, 1.27788, 1.35285");
-            values ( \
-              "-0.119482, -0.140305, -0.245257, -0.279097, -0.295845, -0.319244, -0.333719, -0.341962, -0.346168, -0.34455, -0.342214, -0.338045, -0.329569, -0.320744, -0.294467, -0.277402, -0.2556, -0.166868, -0.120369, -0.0870103, -0.0682375, -0.0557007, -0.0433903, -0.0302787, -0.0207046, -0.0153951, -0.00836947, -0.00359914, -0.00154602, -0.000660538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.681077, 0.751983, 0.780357, 0.818545, 0.834072, 0.877684, 0.944941, 1.04552, 1.09679, 1.17176, 1.21254, 1.2575, 1.33247, 1.43876, 1.50599, 1.57482, 1.68076, 1.74763, 1.80422, 1.88049, 1.95546, 2.03043, 2.18038, 2.18859");
-            values ( \
-              "-0.0251769, -0.255402, -0.318528, -0.353124, -0.359314, -0.365787, -0.36307, -0.351769, -0.344104, -0.328945, -0.317146, -0.29853, -0.248263, -0.16706, -0.125228, -0.0915519, -0.0550736, -0.0395407, -0.0296973, -0.0201532, -0.0137165, -0.009253, -0.00421416, -0.00410855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.3052, 0.318831, 0.324073, 0.333146, 0.338208, 0.342941, 0.34753, 0.352112, 0.354645, 0.368814, 0.373205, 0.378473, 0.38929, 0.393472");
-            values ( \
-              "-0.000719566, -0.0747092, -0.0958361, -0.124242, -0.134711, -0.141887, -0.144226, -0.139401, -0.130896, -0.0436188, -0.027222, -0.014957, -0.00418239, -0.00293008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.322548, 0.331126, 0.332711, 0.3421, 0.342742, 0.347534, 0.351561, 0.359407, 0.366555, 0.373324, 0.379968, 0.386606, 0.388864, 0.391272, 0.394296, 0.40032, 0.40398, 0.407597, 0.412421, 0.414571, 0.418626, 0.42326, 0.427923, 0.430313, 0.435092, 0.440305");
-            values ( \
-              "-0.0323297, -0.0634983, -0.0717364, -0.12625, -0.128175, -0.148915, -0.16345, -0.185296, -0.198582, -0.205895, -0.206855, -0.196714, -0.18991, -0.17956, -0.161952, -0.121444, -0.0987999, -0.0793321, -0.0580103, -0.0501893, -0.037976, -0.0272909, -0.0194808, -0.0163728, -0.011493, -0.00823794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.353779, 0.360189, 0.365782, 0.379817, 0.388779, 0.396774, 0.408777, 0.419925, 0.430741, 0.441544, 0.452309, 0.455169, 0.486282, 0.500272, 0.51195, 0.520794, 0.529981, 0.54843");
-            values ( \
-              "-0.0364066, -0.0580895, -0.0843044, -0.166352, -0.203391, -0.226572, -0.250407, -0.262509, -0.267527, -0.263764, -0.247505, -0.240059, -0.102631, -0.0611782, -0.0387226, -0.0270548, -0.018597, -0.00900817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.419974, 0.432632, 0.444962, 0.45294, 0.459107, 0.47144, 0.481608, 0.497308, 0.510332, 0.52085, 0.539958, 0.559019, 0.569454, 0.57986, 0.600673, 0.621808, 0.643823, 0.658229, 0.670809, 0.681276, 0.693366, 0.710484, 0.728277, 0.741906, 0.769163, 0.807494");
-            values ( \
-              "-0.0783346, -0.110763, -0.18037, -0.216965, -0.238913, -0.270679, -0.287953, -0.304782, -0.311858, -0.314368, -0.312874, -0.302985, -0.292984, -0.278085, -0.226443, -0.164105, -0.111283, -0.0847023, -0.0661285, -0.0536009, -0.0418354, -0.0292365, -0.0201044, -0.0150223, -0.00825473, -0.00388294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.549701, 0.571317, 0.58335, 0.59257, 0.606615, 0.622308, 0.639192, 0.645585, 0.658369, 0.682057, 0.713764, 0.72723, 0.755032, 0.783158, 0.812435, 0.833682, 0.875242, 0.906745, 0.933259, 0.961954, 0.976813, 1.00653, 1.02994, 1.06643, 1.09894, 1.11223, 1.13883, 1.19201, 1.26698, 1.34195, 1.41693");
-            values ( \
-              "-0.164282, -0.181708, -0.234491, -0.265089, -0.297156, -0.319564, -0.333932, -0.337457, -0.342426, -0.346236, -0.344608, -0.342333, -0.335533, -0.325397, -0.309648, -0.292248, -0.235939, -0.186415, -0.149274, -0.115445, -0.100546, -0.0754716, -0.059924, -0.0414267, -0.029552, -0.025764, -0.0194655, -0.0109755, -0.00471652, -0.00203817, -0.000859045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.797597, 0.870222, 0.906694, 0.943965, 0.966727, 1.03836, 1.13681, 1.18589, 1.26086, 1.301, 1.34652, 1.42149, 1.52786, 1.59505, 1.66386, 1.76984, 1.83674, 1.8933, 1.96951, 2.04449, 2.11946, 2.25587");
-            values ( \
-              "-0.187182, -0.320096, -0.352739, -0.363926, -0.365746, -0.36267, -0.351484, -0.344094, -0.32894, -0.317345, -0.298549, -0.248294, -0.16703, -0.125228, -0.0915606, -0.0550695, -0.0395316, -0.0296951, -0.0201565, -0.013719, -0.00925444, -0.00466975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.487125, 0.491913, 0.496089, 0.499629, 0.507793, 0.513502, 0.518579, 0.523319, 0.527911, 0.532497, 0.534924, 0.537583, 0.544699, 0.549253, 0.552999, 0.558292, 0.562431, 0.567948, 0.569982");
-            values ( \
-              "-0.0263165, -0.0381382, -0.0569315, -0.0762753, -0.106329, -0.123724, -0.134419, -0.141749, -0.144167, -0.139371, -0.131283, -0.11743, -0.0679051, -0.0432814, -0.0292805, -0.01603, -0.0098969, -0.00514483, -0.00435729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.503593, 0.522871, 0.529516, 0.534577, 0.542451, 0.54792, 0.552968, 0.556303, 0.559606, 0.562934, 0.569563, 0.572079, 0.574483, 0.577283, 0.586879, 0.590721, 0.597226, 0.603791, 0.608846, 0.614279, 0.618474, 0.626864, 0.642001, 0.660056");
-            values ( \
-              "-0.000198338, -0.113561, -0.144254, -0.162716, -0.185311, -0.195516, -0.202761, -0.205567, -0.206911, -0.206291, -0.196534, -0.188754, -0.178142, -0.161743, -0.0992642, -0.0786618, -0.0514144, -0.0326114, -0.0226512, -0.0152636, -0.0111991, -0.00592466, -0.00171707, -0.000421511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.541891, 0.547803, 0.553443, 0.566962, 0.579111, 0.585032, 0.597072, 0.608234, 0.619067, 0.629888, 0.640666, 0.6435, 0.674655, 0.68865, 0.700329, 0.709176, 0.718368, 0.738416");
-            values ( \
-              "-0.0365468, -0.0553488, -0.0812831, -0.160013, -0.209654, -0.225916, -0.249932, -0.262046, -0.267142, -0.263426, -0.247274, -0.239912, -0.102589, -0.061161, -0.0387102, -0.027051, -0.0185936, -0.00818095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.60125, 0.664927, 0.674246, 0.689919, 0.703068, 0.713501, 0.732608, 0.751671, 0.762161, 0.772516, 0.793226, 0.822067, 0.836434, 0.858679, 0.887992, 0.91079, 0.924085, 0.950675, 0.984525");
-            values ( \
-              "-0.0207578, -0.272813, -0.287963, -0.304943, -0.312, -0.314274, -0.312932, -0.302878, -0.292895, -0.278063, -0.226735, -0.14422, -0.11141, -0.0726873, -0.0401828, -0.0249169, -0.0187854, -0.0105044, -0.00546327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.741642, 0.762362, 0.778317, 0.788705, 0.801701, 0.817935, 0.834392, 0.840732, 0.853413, 0.877223, 0.913413, 0.950207, 0.990545, 1.00418, 1.02236, 1.04194, 1.06805, 1.09435, 1.12941, 1.14937, 1.17346, 1.20559, 1.23316, 1.25298, 1.29064, 1.30678, 1.33013, 1.36125, 1.42351, 1.49848, 1.57345, 1.64843");
-            values ( \
-              "-0.140484, -0.159602, -0.231999, -0.267032, -0.296025, -0.31985, -0.333431, -0.337362, -0.342061, -0.346296, -0.343964, -0.335621, -0.319684, -0.311917, -0.298343, -0.277429, -0.239234, -0.197558, -0.147572, -0.123555, -0.0988324, -0.0724144, -0.0550852, -0.0450669, -0.0305013, -0.0257974, -0.0202154, -0.0145328, -0.00734274, -0.00315354, -0.00135337, -0.000581958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.987176, 1.01619, 1.04898, 1.06451, 1.08558, 1.11446, 1.13906, 1.16247, 1.19829, 1.23411, 1.33423, 1.38163, 1.45661, 1.49674, 1.54227, 1.61724, 1.72361, 1.7908, 1.85961, 1.91541, 1.96558, 2.03248, 2.08904, 2.16526, 2.24023, 2.3152, 2.46515, 2.69006");
-            values ( \
-              "-0.177886, -0.18234, -0.288603, -0.317391, -0.341649, -0.357753, -0.363908, -0.365667, -0.365341, -0.362595, -0.351323, -0.344026, -0.329008, -0.317345, -0.298553, -0.248291, -0.167029, -0.125227, -0.0915596, -0.0702162, -0.055071, -0.0395304, -0.0296966, -0.0201581, -0.013718, -0.00925579, -0.00421597, -0.00132001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.845129, 0.848649, 0.851033, 0.851468, 0.852338, 0.854078, 0.856189, 0.859694, 0.861315, 0.864556, 0.868689, 0.87459, 0.87979, 0.884616, 0.889276, 0.893929, 0.89411, 0.894316, 0.894728, 0.895551, 0.897188, 0.899049, 0.902771, 0.905381, 0.906191, 0.907812, 0.911054, 0.912336, 0.913388, 0.914791, 0.916949, 0.92002, 0.921326, 0.923936, 0.929158, 0.930904");
-            values ( \
-              "-0.0201968, -0.0269169, -0.0317848, -0.0331441, -0.0359918, -0.0420099, -0.0515278, -0.0690326, -0.0748641, -0.0877868, -0.102032, -0.120241, -0.131761, -0.13944, -0.142337, -0.1379, -0.137269, -0.136652, -0.135536, -0.133031, -0.126655, -0.116598, -0.0906843, -0.0727667, -0.0676759, -0.0580787, -0.0419076, -0.0366032, -0.0327222, -0.0281156, -0.0221059, -0.0155939, -0.0134144, -0.00993697, -0.00533738, -0.0046467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.870978, 0.89084, 0.902947, 0.91097, 0.918204, 0.925045, 0.931745, 0.938439, 0.942832, 0.959526, 0.966528, 0.975205, 0.987308, 0.997101, 1.00171");
-            values ( \
-              "-0.0039538, -0.108455, -0.158983, -0.182601, -0.196028, -0.204158, -0.205128, -0.195646, -0.180055, -0.0789588, -0.0499148, -0.0271974, -0.0112391, -0.00530631, -0.00462222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.91751, 0.927779, 0.935686, 0.952427, 0.965799, 0.977957, 0.989226, 1.00012, 1.01096, 1.0218, 1.02467, 1.05588, 1.06991, 1.08165, 1.09054, 1.09986, 1.10701, 1.11805");
-            values ( \
-              "-0.0272734, -0.0530339, -0.0879418, -0.176601, -0.222704, -0.24756, -0.260505, -0.265714, -0.262523, -0.246445, -0.239163, -0.102342, -0.0609511, -0.0384997, -0.0268549, -0.0183624, -0.0136526, -0.00884303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.00432, 1.03802, 1.05246, 1.06385, 1.07513, 1.09026, 1.09524, 1.10505, 1.11449, 1.13359, 1.1527, 1.16336, 1.17356, 1.19398, 1.21609, 1.23476, 1.24519, 1.26206, 1.28771, 1.30516, 1.32265, 1.33609, 1.36299, 1.371");
-            values ( \
-              "-0.00631755, -0.175219, -0.235957, -0.266572, -0.286618, -0.303336, -0.306798, -0.311554, -0.313769, -0.312512, -0.302729, -0.292549, -0.277922, -0.227493, -0.162518, -0.118951, -0.0979509, -0.0704627, -0.0419738, -0.029114, -0.0201525, -0.0151242, -0.00837788, -0.00744672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.16648, 1.19483, 1.20904, 1.21588, 1.22293, 1.23301, 1.24318, 1.26197, 1.27046, 1.28467, 1.29847, 1.32607, 1.3519, 1.3715, 1.4085, 1.42466, 1.4419, 1.4502, 1.46681, 1.52036, 1.54996, 1.57843, 1.59376, 1.62441, 1.6575, 1.6813, 1.71446, 1.72842, 1.75633, 1.81215, 1.88712, 1.96209, 2.03706");
-            values ( \
-              "-0.154249, -0.212544, -0.264026, -0.281468, -0.296281, -0.31162, -0.323509, -0.336927, -0.340437, -0.344301, -0.345725, -0.344843, -0.340429, -0.335329, -0.32133, -0.312348, -0.299828, -0.292179, -0.27279, -0.190363, -0.148669, -0.115192, -0.0998769, -0.0742566, -0.0534815, -0.0419956, -0.0297693, -0.0257618, -0.0192061, -0.0104927, -0.00451799, -0.0019376, -0.000835491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.42548, 1.46105, 1.47974, 1.49443, 1.5205, 1.53153, 1.55357, 1.58385, 1.6124, 1.65046, 1.69367, 1.73772, 1.81246, 1.86105, 1.89009, 1.94817, 1.97313, 2.01609, 2.11151, 2.15368, 2.20771, 2.24838, 2.29019, 2.34592, 2.39596, 2.46268, 2.51942, 2.5959, 2.67087, 2.74584, 2.82082, 2.97076, 3.12071");
-            values ( \
-              "-0.226729, -0.232816, -0.287879, -0.315711, -0.344469, -0.351657, -0.360383, -0.365427, -0.365864, -0.36381, -0.35981, -0.354865, -0.3441, -0.334964, -0.328225, -0.309797, -0.298527, -0.272183, -0.197888, -0.167278, -0.132887, -0.110886, -0.091488, -0.0702244, -0.0551177, -0.0395643, -0.0297316, -0.0201602, -0.013686, -0.00926708, -0.00626615, -0.00285667, -0.00130049" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0123158, 0.012329, 0.0123443, 0.0123584, 0.0123686, 0.0123747", \
-            "0.0146416, 0.0146491, 0.0146588, 0.01467, 0.0146791, 0.0146851", \
-            "0.0160671, 0.0160697, 0.0160744, 0.0160811, 0.0160879, 0.016093", \
-            "0.0169171, 0.0169178, 0.0169192, 0.0169218, 0.0169255, 0.016929", \
-            "0.0173771, 0.0173774, 0.0173778, 0.0173786, 0.0173799, 0.0173817", \
-            "0.0176266, 0.017627, 0.0176276, 0.0176283, 0.017629, 0.0176299" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0168306, 0.0168415, 0.0168563, 0.016871, 0.0168822, 0.0168892", \
-            "0.0190039, 0.0190132, 0.0190251, 0.0190369, 0.0190462, 0.0190518", \
-            "0.020573, 0.0205836, 0.020596, 0.0206072, 0.0206154, 0.0206203", \
-            "0.0218755, 0.0218537, 0.0218298, 0.0218102, 0.0217977, 0.0217903", \
-            "0.0229689, 0.0228531, 0.0227076, 0.0225663, 0.0224596, 0.0223911", \
-            "0.0237247, 0.0236522, 0.023452, 0.0231301, 0.022858, 0.0226806" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "(~B & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.242832, 0.279759, 0.352622, 0.497901, 0.788383, 1.3744", \
-            "0.248472, 0.285391, 0.358576, 0.50404, 0.793904, 1.38072", \
-            "0.2615, 0.298568, 0.371689, 0.517416, 0.808178, 1.39435", \
-            "0.290282, 0.327429, 0.400817, 0.546229, 0.837287, 1.42379", \
-            "0.350617, 0.389195, 0.46439, 0.611363, 0.902722, 1.48848", \
-            "0.456059, 0.500932, 0.586673, 0.746716, 1.04639, 1.63461" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0358309, 0.0542318, 0.0933, 0.177123, 0.354572, 0.726388", \
-            "0.0358367, 0.0541848, 0.0933176, 0.177127, 0.354569, 0.726383", \
-            "0.0357858, 0.0542017, 0.0933342, 0.177114, 0.354565, 0.726339", \
-            "0.0358138, 0.0542288, 0.0932983, 0.177128, 0.354573, 0.726386", \
-            "0.0359129, 0.054236, 0.0933217, 0.177125, 0.354569, 0.726389", \
-            "0.0364715, 0.054833, 0.094143, 0.17725, 0.354567, 0.726384" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.199944, 0.234665, 0.300128, 0.424192, 0.663356, 1.13725", \
-            "0.203784, 0.238683, 0.304489, 0.428256, 0.668081, 1.14284", \
-            "0.211424, 0.246392, 0.312335, 0.436615, 0.676426, 1.15142", \
-            "0.22772, 0.263241, 0.329845, 0.454539, 0.695236, 1.17055", \
-            "0.254972, 0.293356, 0.364284, 0.492632, 0.734789, 1.21084", \
-            "0.282342, 0.327853, 0.410746, 0.557122, 0.816107, 1.29874" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0362466, 0.0520568, 0.0805098, 0.134394, 0.245209, 0.481777", \
-            "0.0363754, 0.0521734, 0.0806134, 0.134487, 0.245344, 0.48182", \
-            "0.0363757, 0.052202, 0.0806705, 0.134597, 0.245335, 0.482008", \
-            "0.0364056, 0.0522328, 0.0807367, 0.134531, 0.245004, 0.481867", \
-            "0.0365891, 0.0524875, 0.0809662, 0.134535, 0.245297, 0.48186", \
-            "0.0374472, 0.0534209, 0.08172, 0.135501, 0.245349, 0.481915" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.217589, 0.222178, 0.231, 0.237897, 0.243756, 0.249202, 0.254546, 0.26166, 0.276401, 0.284973, 0.293079, 0.298854, 0.31244, 0.325731, 0.334382");
-            values ( \
-              "0.023112, 0.0393195, 0.082737, 0.10582, 0.117926, 0.122652, 0.122942, 0.113644, 0.0613705, 0.0392752, 0.0252261, 0.0182026, 0.00830896, 0.003905, 0.00299443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.23714, 0.245548, 0.255437, 0.262338, 0.267374, 0.275762, 0.283758, 0.291753, 0.299779, 0.324795, 0.33747, 0.349471, 0.363928, 0.380192, 0.39898, 0.405586");
-            values ( \
-              "0.0245447, 0.0556151, 0.114337, 0.142672, 0.156826, 0.169816, 0.17287, 0.168962, 0.158474, 0.0861246, 0.0568772, 0.0373408, 0.0218311, 0.0116709, 0.0055946, 0.00458461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.29033, 0.302926, 0.307511, 0.309195, 0.310878, 0.312561, 0.314245, 0.315928, 0.317927, 0.319927, 0.321926, 0.323925, 0.326847, 0.329768, 0.33269, 0.335611, 0.336051, 0.338248, 0.340884, 0.342641, 0.346156, 0.348602, 0.350665, 0.353417, 0.356169, 0.36031, 0.36445, 0.3714, 0.379949, 0.380749, 0.383949, 0.389478, 0.408729, 0.424399, 0.431572, 0.43728, 0.440596, 0.447228, 0.454014, 0.458297, 0.46258, 0.466863, 0.472933, 0.4824, 0.489193, 0.49752, 0.504244, 0.512142, 0.516092, 0.522248");
-            values ( \
-              "0.142462, 0.15028, 0.170985, 0.177807, 0.183632, 0.18888, 0.193549, 0.19764, 0.201036, 0.204091, 0.206806, 0.209179, 0.212036, 0.214165, 0.215567, 0.216241, 0.216244, 0.216138, 0.215785, 0.215428, 0.214422, 0.213482, 0.212511, 0.210865, 0.208977, 0.205608, 0.201827, 0.193688, 0.184276, 0.183174, 0.177845, 0.167813, 0.129242, 0.0995593, 0.0879654, 0.0793777, 0.0746471, 0.0657575, 0.0574039, 0.0523958, 0.0481333, 0.0441265, 0.0389782, 0.0316055, 0.0270329, 0.0226321, 0.0195517, 0.0164062, 0.0150093, 0.0130531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.369344, 0.394405, 0.40312, 0.408917, 0.42051, 0.432386, 0.436922, 0.44297, 0.450498, 0.470324, 0.508381, 0.524061, 0.537822, 0.563167, 0.607417, 0.633641, 0.663196, 0.686603, 0.702833, 0.733908, 0.74789, 0.775855, 0.799557, 0.83144, 0.87395, 0.9544, 1.04799");
-            values ( \
-              "0.0567699, 0.18252, 0.212365, 0.225894, 0.241519, 0.247478, 0.247919, 0.247626, 0.246355, 0.239708, 0.220913, 0.210937, 0.20046, 0.176192, 0.127751, 0.101732, 0.0763114, 0.0599169, 0.0502754, 0.0354352, 0.0301435, 0.0216495, 0.0162733, 0.0110434, 0.00648533, 0.00217737, 0.000584294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.54041, 0.557183, 0.571763, 0.57673, 0.586664, 0.602063, 0.606759, 0.61615, 0.631624, 0.648981, 0.672434, 0.696903, 0.74693, 0.799843, 0.853533, 0.877356, 0.898101, 1.00361, 1.06619, 1.11655, 1.15757, 1.18926, 1.23497, 1.2477, 1.27317, 1.31443, 1.37312, 1.433, 1.47869, 1.57007, 1.67271, 1.77535, 1.878");
-            values ( \
-              "0.146497, 0.151748, 0.211001, 0.225114, 0.245594, 0.261768, 0.264054, 0.26688, 0.267659, 0.265832, 0.261341, 0.255925, 0.243554, 0.228707, 0.209643, 0.198907, 0.188511, 0.130229, 0.0985295, 0.0767299, 0.061863, 0.0520143, 0.0401585, 0.0373247, 0.0321872, 0.0252056, 0.0176571, 0.0122525, 0.00923371, 0.00515926, 0.00265964, 0.0013683, 0.000705009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.864996, 0.92659, 0.950081, 0.966969, 0.982544, 1.00597, 1.03563, 1.17536, 1.28501, 1.38656, 1.4892, 1.58134, 1.85475, 2.02529, 2.13876, 2.22761, 2.3001, 2.38158, 2.45887, 2.56152, 2.70724, 2.80989, 2.91253, 3.11781, 3.22544");
-            values ( \
-              "0.11766, 0.248135, 0.270377, 0.276049, 0.277733, 0.277711, 0.275744, 0.260881, 0.247174, 0.232884, 0.214995, 0.193927, 0.119907, 0.0804088, 0.0599732, 0.0470492, 0.0384036, 0.0304158, 0.0242864, 0.0178876, 0.0115348, 0.00844819, 0.00615756, 0.00327025, 0.00246398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.243061, 0.252639, 0.254754, 0.256092, 0.25743, 0.258895, 0.260361, 0.261826, 0.263292, 0.264654, 0.266015, 0.267377, 0.268739, 0.270076, 0.271412, 0.272748, 0.274084, 0.275419, 0.276754, 0.278088, 0.279541, 0.280132, 0.280958, 0.281667, 0.282611, 0.283084, 0.284306, 0.286751, 0.287974, 0.290419, 0.294153, 0.295927, 0.298589, 0.300733, 0.30199, 0.304504, 0.308287, 0.309216, 0.310927, 0.312638, 0.313756, 0.315992, 0.317752, 0.319036, 0.320961, 0.32435, 0.327103, 0.330349, 0.331972, 0.33469");
-            values ( \
-              "0.0837983, 0.0914947, 0.098957, 0.103336, 0.107449, 0.111188, 0.114357, 0.116957, 0.118986, 0.119871, 0.12059, 0.121144, 0.121531, 0.121749, 0.121808, 0.121706, 0.121445, 0.120723, 0.119777, 0.118606, 0.117076, 0.115961, 0.114069, 0.11222, 0.109495, 0.108032, 0.103787, 0.0948235, 0.0901049, 0.0801941, 0.0669063, 0.0608812, 0.0533648, 0.0478429, 0.044827, 0.0392857, 0.0318544, 0.0301955, 0.027557, 0.0250986, 0.023636, 0.0208491, 0.0187851, 0.0173668, 0.0154383, 0.0127902, 0.0109607, 0.00911464, 0.0083049, 0.00710839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.255112, 0.282686, 0.286529, 0.294898, 0.302886, 0.310873, 0.319253, 0.351284, 0.363662, 0.370893, 0.381399, 0.397765, 0.418687, 0.437198, 0.438047");
-            values ( \
-              "0.00890141, 0.14739, 0.157198, 0.170205, 0.172834, 0.169151, 0.157527, 0.0680054, 0.044554, 0.0343036, 0.0232381, 0.01238, 0.00547663, 0.00259118, 0.00255863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.300811, 0.319531, 0.328679, 0.335679, 0.343405, 0.356852, 0.36506, 0.370171, 0.380392, 0.39095, 0.39864, 0.413668, 0.436807, 0.45472, 0.47672, 0.489541, 0.506594, 0.534307, 0.55444, 0.570241, 0.601773, 0.627888");
-            values ( \
-              "0.0157868, 0.135177, 0.175934, 0.195613, 0.208865, 0.216391, 0.214936, 0.212479, 0.205879, 0.19567, 0.185477, 0.159193, 0.112815, 0.0826659, 0.0538926, 0.0414783, 0.0286579, 0.015366, 0.00968792, 0.00685365, 0.00307511, 0.00193594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.383585, 0.409476, 0.423171, 0.428926, 0.440436, 0.452487, 0.456949, 0.462897, 0.474794, 0.498588, 0.529525, 0.556136, 0.582203, 0.638201, 0.679946, 0.726732, 0.765652, 0.786892, 0.816821, 0.872451, 0.920773, 0.962858");
-            values ( \
-              "0.01469, 0.156479, 0.210906, 0.224548, 0.240926, 0.247147, 0.24767, 0.247567, 0.245191, 0.23619, 0.220207, 0.202051, 0.177266, 0.11676, 0.0789135, 0.0482507, 0.0309534, 0.0241844, 0.016808, 0.00858409, 0.00459716, 0.00305982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.530847, 0.621665, 0.636765, 0.652863, 0.668584, 0.692037, 0.716506, 0.766532, 0.819446, 0.873136, 0.917699, 1.02321, 1.08578, 1.13615, 1.17718, 1.20888, 1.26731, 1.29276, 1.33403, 1.39272, 1.45261, 1.49831, 1.58971, 1.69235, 1.79499, 1.89763");
-            values ( \
-              "0.0140003, 0.261422, 0.267105, 0.267692, 0.265817, 0.261399, 0.255899, 0.243565, 0.228709, 0.209638, 0.188512, 0.130228, 0.0985341, 0.0767304, 0.0618592, 0.0520078, 0.0373246, 0.0321892, 0.0252067, 0.0176575, 0.0122522, 0.00923312, 0.00515841, 0.00265927, 0.0013681, 0.000704945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.881887, 0.937354, 0.952128, 0.968457, 0.982237, 1.00254, 1.0265, 1.0973, 1.29793, 1.40655, 1.50919, 1.60133, 1.87474, 2.04528, 2.15874, 2.24759, 2.32008, 2.40157, 2.47886, 2.5815, 2.72722, 2.82987, 2.93251, 3.13779, 3.34307, 3.651");
-            values ( \
-              "0.0922974, 0.231887, 0.256018, 0.269443, 0.274979, 0.277751, 0.277776, 0.271924, 0.248102, 0.232884, 0.214997, 0.193927, 0.119907, 0.0804083, 0.059974, 0.0470487, 0.0384032, 0.0304155, 0.024287, 0.0178872, 0.0115344, 0.00844875, 0.00615707, 0.00326975, 0.00173198, 0.000678154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.277523, 0.300456, 0.307021, 0.311131, 0.315126, 0.317792, 0.324037, 0.327029, 0.338104, 0.343819, 0.352197, 0.357216, 0.364032, 0.375257, 0.384077, 0.391211, 0.405479, 0.413877");
-            values ( \
-              "0.00348835, 0.104094, 0.117819, 0.121954, 0.123222, 0.122759, 0.115546, 0.107686, 0.0663055, 0.0495725, 0.0317138, 0.0239712, 0.0163046, 0.00851275, 0.00515305, 0.00343021, 0.00150277, 0.00124606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.301664, 0.308938, 0.318597, 0.326706, 0.330908, 0.339286, 0.347279, 0.35527, 0.363649, 0.385106, 0.3949, 0.408778, 0.422889, 0.433465, 0.442787, 0.460118, 0.475178, 0.475546");
-            values ( \
-              "0.0265358, 0.0559552, 0.113274, 0.145919, 0.156912, 0.170091, 0.172766, 0.169138, 0.157521, 0.0949128, 0.0697617, 0.0434377, 0.0259032, 0.0173465, 0.0120992, 0.00615981, 0.00338692, 0.00335532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.343215, 0.363421, 0.372594, 0.379464, 0.387338, 0.399288, 0.400794, 0.408924, 0.414116, 0.4245, 0.434664, 0.442588, 0.457606, 0.480757, 0.498636, 0.511041, 0.520694, 0.533565, 0.542974, 0.550521, 0.560583, 0.578231, 0.598454, 0.6146, 0.646891, 0.695157, 0.754081");
-            values ( \
-              "0.0046836, 0.134699, 0.175638, 0.195018, 0.208742, 0.215932, 0.216334, 0.214923, 0.212435, 0.20573, 0.195919, 0.185472, 0.159205, 0.112823, 0.0827019, 0.0652477, 0.0538803, 0.041399, 0.0337805, 0.0286891, 0.0231019, 0.015392, 0.00969297, 0.00676842, 0.00300159, 0.000927172, 0.000109326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.436959, 0.447025, 0.458695, 0.467642, 0.473207, 0.484338, 0.496536, 0.50149, 0.508094, 0.520991, 0.53091, 0.542246, 0.557735, 0.57372, 0.600363, 0.622574, 0.672972, 0.689128, 0.707592, 0.732302, 0.752177, 0.76743, 0.791466, 0.798228, 0.811753, 0.832317, 0.861722, 0.874407, 0.899777, 0.950518, 1.03186, 1.12868");
-            values ( \
-              "0.115314, 0.122317, 0.182574, 0.213134, 0.225896, 0.241162, 0.24743, 0.247929, 0.247541, 0.244722, 0.24115, 0.236434, 0.228986, 0.220209, 0.202022, 0.181245, 0.126524, 0.110148, 0.0928692, 0.0727694, 0.0590953, 0.0501467, 0.038236, 0.0354612, 0.030277, 0.0238292, 0.0166734, 0.0143637, 0.0104752, 0.00555222, 0.00179343, 0.000492315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.599377, 0.641419, 0.65348, 0.666774, 0.682225, 0.698131, 0.713687, 0.737141, 0.809955, 0.864553, 0.918242, 0.942058, 0.962806, 1.06831, 1.131, 1.18128, 1.22218, 1.25378, 1.29962, 1.3124, 1.33797, 1.3792, 1.43786, 1.49764, 1.54325, 1.63446, 1.7371, 1.83974, 1.94238");
-            values ( \
-              "0.0728102, 0.224841, 0.248618, 0.261915, 0.267261, 0.267794, 0.265761, 0.261465, 0.244068, 0.228715, 0.209639, 0.198912, 0.188515, 0.130231, 0.0984824, 0.0767215, 0.0618928, 0.0520695, 0.04017, 0.0373255, 0.0321699, 0.0251971, 0.0176537, 0.0122574, 0.00924239, 0.00516993, 0.00266522, 0.00137108, 0.000706497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.928179, 0.982524, 0.997652, 1.02373, 1.04383, 1.05498, 1.06984, 1.09956, 1.14228, 1.24034, 1.34296, 1.4456, 1.52588, 1.56879, 1.6546, 1.92499, 2.00016, 2.09989, 2.17921, 2.23995, 2.36623, 2.50463, 2.60727, 2.70227, 2.86157, 2.96421, 3.16949, 3.27277");
-            values ( \
-              "0.103209, 0.232091, 0.256542, 0.27392, 0.277571, 0.277934, 0.277718, 0.275815, 0.271885, 0.260895, 0.248111, 0.233773, 0.2204, 0.211947, 0.191829, 0.118536, 0.100099, 0.0784756, 0.0640045, 0.0543628, 0.0382454, 0.0256432, 0.0189512, 0.0142374, 0.00877527, 0.00644376, 0.0034353, 0.00262885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.37642, 0.386761, 0.391225, 0.398099, 0.403951, 0.409391, 0.414733, 0.420069, 0.423768, 0.43391, 0.440261, 0.449722, 0.46168, 0.472647, 0.485818, 0.497379, 0.502509");
-            values ( \
-              "0.000496269, 0.0629469, 0.0833659, 0.106233, 0.117844, 0.122917, 0.122778, 0.117522, 0.108352, 0.0701322, 0.0508278, 0.0307014, 0.0156476, 0.0082968, 0.00392814, 0.00201652, 0.00165393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.397584, 0.404712, 0.41599, 0.423011, 0.427963, 0.43635, 0.444345, 0.452339, 0.460365, 0.485387, 0.498087, 0.509979, 0.524553, 0.540816, 0.559424, 0.567421");
-            values ( \
-              "0.0244695, 0.0473249, 0.114308, 0.143058, 0.156809, 0.169886, 0.172834, 0.169014, 0.158435, 0.0861095, 0.0568175, 0.0374457, 0.0217988, 0.0116537, 0.00562538, 0.0043929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.443815, 0.460926, 0.470077, 0.476949, 0.484801, 0.496428, 0.501873, 0.509134, 0.51636, 0.525325, 0.539209, 0.594998, 0.619029, 0.64327, 0.661265, 0.677019, 0.705405, 0.729983, 0.745001");
-            values ( \
-              "0.0339869, 0.134747, 0.175697, 0.195604, 0.208692, 0.216249, 0.216115, 0.213734, 0.209678, 0.202795, 0.186872, 0.084269, 0.0529699, 0.0318284, 0.0214328, 0.0150048, 0.00784054, 0.00437864, 0.00338603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.530512, 0.543373, 0.548256, 0.55548, 0.564767, 0.570165, 0.58096, 0.59297, 0.599632, 0.608514, 0.619456, 0.644464, 0.66046, 0.670774, 0.691401, 0.699164, 0.713865, 0.765114, 0.786322, 0.801088, 0.828698, 0.842739, 0.851255, 0.867976, 0.895292, 0.906955, 0.928173, 0.958085, 0.977436, 1.01258, 1.05851, 1.1447, 1.24675");
-            values ( \
-              "0.0715549, 0.114643, 0.142986, 0.17925, 0.211635, 0.224745, 0.239999, 0.247137, 0.247827, 0.246822, 0.244269, 0.23418, 0.226084, 0.220159, 0.206704, 0.200342, 0.187104, 0.131562, 0.109939, 0.0961072, 0.0731627, 0.0632765, 0.0578793, 0.0481678, 0.0353855, 0.0310065, 0.0240965, 0.0168789, 0.0132914, 0.00862843, 0.00489089, 0.00143704, 0.000376339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.695674, 0.737503, 0.746731, 0.764231, 0.780234, 0.795904, 0.834653, 0.907463, 0.962059, 1.01575, 1.06032, 1.16582, 1.22845, 1.27877, 1.31974, 1.35139, 1.40992, 1.47667, 1.53535, 1.59518, 1.64084, 1.73214, 1.83478, 1.8719");
-            values ( \
-              "0.070376, 0.219645, 0.240726, 0.261073, 0.267131, 0.267594, 0.261391, 0.244012, 0.228708, 0.209642, 0.188511, 0.130229, 0.0985078, 0.0767259, 0.0618765, 0.0520394, 0.037325, 0.0252017, 0.0176556, 0.0122548, 0.00923778, 0.00516428, 0.00266224, 0.00230846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.02209, 1.08119, 1.09638, 1.11556, 1.13057, 1.14446, 1.16754, 1.19834, 1.23923, 1.33727, 1.43986, 1.54848, 1.65112, 1.74326, 2.01667, 2.08457, 2.18721, 2.30067, 2.38952, 2.46201, 2.5435, 2.62079, 2.72343, 2.86915, 2.9718, 3.07444, 3.27972, 3.485, 3.79293");
-            values ( \
-              "0.079918, 0.235618, 0.258709, 0.272377, 0.276487, 0.277738, 0.277764, 0.275653, 0.271897, 0.260915, 0.248103, 0.232884, 0.214995, 0.193927, 0.119907, 0.103069, 0.0804087, 0.0599737, 0.047049, 0.0384035, 0.0304157, 0.0242868, 0.0178874, 0.0115347, 0.0084486, 0.00615735, 0.00327, 0.00173221, 0.000677973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.588382, 0.609038, 0.615968, 0.620382, 0.625033, 0.629951, 0.633683, 0.646517, 0.656495, 0.663645, 0.673191, 0.684404, 0.701264, 0.71117");
-            values ( \
-              "0.0221981, 0.105222, 0.118597, 0.122286, 0.122645, 0.118747, 0.111378, 0.0648324, 0.0386469, 0.026186, 0.0152183, 0.0079581, 0.00304921, 0.00191932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.617896, 0.627352, 0.628912, 0.630471, 0.63203, 0.633589, 0.635148, 0.636707, 0.638402, 0.640097, 0.641792, 0.643487, 0.645182, 0.646877, 0.648466, 0.650055, 0.651644, 0.655251, 0.658443, 0.662424, 0.66448, 0.666463, 0.667521, 0.668579, 0.669646, 0.67178, 0.674976, 0.677106, 0.679235, 0.681365, 0.682278, 0.686845, 0.69063, 0.696138, 0.699653, 0.703652, 0.704985, 0.708442, 0.713671, 0.717213, 0.719926, 0.72264, 0.726273, 0.732117, 0.735433, 0.736538, 0.740958, 0.745379, 0.750952, 0.756218");
-            values ( \
-              "0.100439, 0.112466, 0.119794, 0.126635, 0.132988, 0.138856, 0.144238, 0.149134, 0.15344, 0.157301, 0.160548, 0.163461, 0.16604, 0.168284, 0.170085, 0.171535, 0.172637, 0.171877, 0.171, 0.169639, 0.168811, 0.166758, 0.165462, 0.164003, 0.162179, 0.158213, 0.151484, 0.146475, 0.141046, 0.135196, 0.132416, 0.117479, 0.105717, 0.0895595, 0.0800204, 0.0706047, 0.0676398, 0.0604441, 0.050334, 0.044242, 0.0402875, 0.0366003, 0.0321973, 0.0256353, 0.0225101, 0.0215563, 0.0183369, 0.0155103, 0.0125652, 0.0100317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.665873, 0.678077, 0.680368, 0.681762, 0.684549, 0.686727, 0.688904, 0.691082, 0.693259, 0.696264, 0.697767, 0.69927, 0.702275, 0.70528, 0.708285, 0.71129, 0.713447, 0.715603, 0.718194, 0.720786, 0.726046, 0.731306, 0.736566, 0.739817, 0.741443, 0.744694, 0.748369, 0.752467, 0.758268, 0.762019, 0.765771, 0.771292, 0.778373, 0.786624, 0.795392, 0.800804, 0.805665, 0.810525, 0.81674, 0.826189, 0.832654, 0.83912, 0.845585, 0.854927, 0.862424, 0.867422, 0.87242, 0.881275, 0.890131, 0.897767");
-            values ( \
-              "0.146474, 0.15065, 0.161433, 0.167265, 0.177821, 0.18504, 0.191359, 0.196777, 0.201295, 0.205546, 0.207408, 0.209094, 0.211939, 0.21408, 0.215519, 0.216255, 0.216189, 0.215955, 0.215425, 0.214626, 0.212175, 0.20906, 0.20528, 0.202547, 0.200988, 0.19753, 0.192976, 0.187322, 0.17853, 0.172353, 0.16579, 0.155401, 0.140753, 0.124425, 0.107691, 0.0978133, 0.089948, 0.0824862, 0.0736933, 0.0609732, 0.0534115, 0.0469959, 0.0411679, 0.0337848, 0.0283272, 0.0254721, 0.0228508, 0.0188404, 0.0154427, 0.0129738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.747548, 0.759103, 0.771114, 0.785735, 0.796876, 0.808776, 0.814666, 0.82252, 0.834991, 0.856551, 0.880706, 0.89753, 0.914675, 0.939347, 0.995172, 1.0316, 1.07126, 1.09229, 1.11723, 1.14977, 1.17679, 1.20808, 1.2498, 1.3169, 1.35159");
-            values ( \
-              "0.0907479, 0.116376, 0.180095, 0.225053, 0.240647, 0.247258, 0.247885, 0.247154, 0.244306, 0.235654, 0.223523, 0.213318, 0.200409, 0.176978, 0.116525, 0.083053, 0.0550727, 0.0437996, 0.0328654, 0.022417, 0.0163065, 0.0111671, 0.00651767, 0.00279843, 0.00200059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.92412, 0.948378, 0.954724, 0.967416, 0.980049, 0.995518, 1.01142, 1.02697, 1.05042, 1.12324, 1.17784, 1.23153, 1.25535, 1.27609, 1.3816, 1.44426, 1.49456, 1.53549, 1.5671, 1.61292, 1.62569, 1.65123, 1.69247, 1.75114, 1.81094, 1.85656, 1.94781, 2.05045, 2.1531, 2.25574");
-            values ( \
-              "0.195933, 0.206538, 0.225324, 0.24975, 0.261927, 0.267293, 0.267751, 0.265774, 0.261429, 0.244041, 0.228711, 0.209641, 0.19891, 0.188513, 0.13023, 0.0984925, 0.0767232, 0.0618864, 0.0520576, 0.0401676, 0.0373253, 0.0321737, 0.0251989, 0.0176545, 0.0122564, 0.00924056, 0.00516771, 0.00266402, 0.00137051, 0.000706163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.2106, 1.31152, 1.32959, 1.34529, 1.35973, 1.3824, 1.41139, 1.45448, 1.55255, 1.66219, 1.76375, 1.86639, 1.95853, 2.23195, 2.29985, 2.40249, 2.47153, 2.51595, 2.6048, 2.67729, 2.75879, 2.83608, 2.93872, 3.08443, 3.18707, 3.28972, 3.495, 3.70028, 4.0082");
-            values ( \
-              "0.00162836, 0.257156, 0.271122, 0.276236, 0.2776, 0.277811, 0.275797, 0.271938, 0.260942, 0.247181, 0.232878, 0.214998, 0.193922, 0.119904, 0.10307, 0.0804056, 0.0674095, 0.059975, 0.0470479, 0.0384017, 0.0304133, 0.0242876, 0.0178857, 0.0115335, 0.00845012, 0.00615614, 0.00326877, 0.00173096, 0.00067935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.02412, 1.03812, 1.0429, 1.04695, 1.053, 1.05856, 1.06401, 1.06954, 1.07256, 1.07559, 1.08166, 1.0875, 1.09214, 1.0963, 1.10145, 1.10705, 1.11411, 1.12, 1.12458, 1.12951, 1.13609, 1.14925, 1.16873, 1.19273, 1.23265");
-            values ( \
-              "0.00437167, 0.0715568, 0.0899315, 0.101929, 0.114902, 0.120222, 0.121052, 0.115694, 0.108923, 0.0988652, 0.0749598, 0.0565959, 0.0445046, 0.0357684, 0.0269931, 0.0196747, 0.013186, 0.00937811, 0.00722708, 0.00546434, 0.00375049, 0.00177034, 0.000564275, 0.000163305, 0.000206713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.05364, 1.07886, 1.08413, 1.09269, 1.1008, 1.10891, 1.117, 1.15012, 1.15852, 1.16747, 1.18207, 1.19896, 1.21592, 1.23902");
-            values ( \
-              "0.0181461, 0.137949, 0.152989, 0.167191, 0.170764, 0.167487, 0.157133, 0.0662284, 0.0498487, 0.0362742, 0.0210829, 0.011007, 0.00570577, 0.00315958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.11926, 1.13125, 1.13556, 1.13922, 1.14288, 1.14472, 1.14655, 1.15036, 1.15226, 1.15417, 1.15721, 1.16025, 1.16329, 1.16633, 1.16703, 1.16983, 1.17185, 1.17579, 1.18113, 1.18379, 1.18912, 1.19496, 1.19814, 1.20341, 1.20762, 1.20973, 1.21135, 1.21298, 1.21623, 1.21859, 1.22096, 1.22332, 1.22632, 1.22695, 1.23449, 1.24837, 1.2538, 1.25924, 1.26693, 1.27462, 1.28385, 1.28991, 1.29879, 1.30458, 1.31357, 1.31995, 1.3284, 1.33521, 1.34318, 1.35346");
-            values ( \
-              "0.132468, 0.13847, 0.159061, 0.17433, 0.186448, 0.191503, 0.19589, 0.202019, 0.204638, 0.206961, 0.210053, 0.212391, 0.213973, 0.2148, 0.214814, 0.214699, 0.214433, 0.213432, 0.211065, 0.209623, 0.206223, 0.201647, 0.198471, 0.192475, 0.187051, 0.184126, 0.179378, 0.17377, 0.159978, 0.160963, 0.160776, 0.159415, 0.15601, 0.155056, 0.139551, 0.111946, 0.102291, 0.0931962, 0.0812728, 0.0704704, 0.0587795, 0.051794, 0.0433536, 0.0385162, 0.0315573, 0.027225, 0.0227378, 0.0196141, 0.0164362, 0.0130287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.21618, 1.24843, 1.25414, 1.26556, 1.27767, 1.28266, 1.28932, 1.30362, 1.32486, 1.35499, 1.37622, 1.3977, 1.44932, 1.48872, 1.51372, 1.53333, 1.54842, 1.57955, 1.62064, 1.64494, 1.67767, 1.7213, 1.79493");
-            values ( \
-              "0.0901333, 0.208357, 0.22244, 0.23936, 0.24634, 0.247042, 0.246895, 0.243892, 0.235684, 0.220109, 0.206059, 0.187345, 0.131499, 0.0930351, 0.0726162, 0.0592226, 0.0502593, 0.035397, 0.0218458, 0.016345, 0.0109859, 0.00632314, 0.00249682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.38943, 1.43133, 1.44135, 1.45523, 1.46561, 1.47747, 1.50365, 1.55156, 1.60161, 1.65222, 1.68622, 1.71166, 1.76254, 1.87313, 1.96055, 2.00993, 2.04421, 2.08933, 2.12821, 2.16931, 2.25333, 2.30423, 2.40604, 2.50868, 2.55336");
-            values ( \
-              "0.0759745, 0.224314, 0.245198, 0.260698, 0.26552, 0.2676, 0.265804, 0.255863, 0.243516, 0.229426, 0.218133, 0.208089, 0.18341, 0.122278, 0.0809567, 0.0625907, 0.051922, 0.0401962, 0.0320905, 0.0251725, 0.0151172, 0.0110274, 0.00576345, 0.0029585, 0.00233922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.70868, 1.77183, 1.78776, 1.79511, 1.80491, 1.82212, 1.83829, 1.86342, 1.89272, 1.93306, 2.03111, 2.14075, 2.24231, 2.34495, 2.38926, 2.43709, 2.49274, 2.7105, 2.7784, 2.88104, 2.95008, 2.9945, 3.08336, 3.15584, 3.23733, 3.31462, 3.41726, 3.46583, 3.56298, 3.66562, 3.76827, 3.87091, 3.97355, 4.17883, 4.48676");
-            values ( \
-              "0.0398932, 0.22864, 0.255864, 0.263189, 0.269784, 0.275924, 0.27771, 0.277665, 0.275616, 0.271881, 0.260898, 0.247176, 0.232884, 0.214996, 0.205451, 0.193927, 0.179371, 0.119908, 0.10307, 0.080409, 0.0674118, 0.0599737, 0.0470492, 0.0384037, 0.0304159, 0.0242868, 0.0178876, 0.0154868, 0.0115348, 0.00844851, 0.00615742, 0.00450214, 0.00327006, 0.00173228, 0.000677874" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.01252, 0.0125366, 0.0125552, 0.0125713, 0.0125824, 0.012589", \
-            "0.0167118, 0.0167291, 0.0167511, 0.0167722, 0.0167882, 0.0167981", \
-            "0.0198053, 0.019819, 0.0198395, 0.0198628, 0.0198825, 0.0198958", \
-            "0.0215499, 0.0215568, 0.0215689, 0.0215859, 0.0216035, 0.0216169", \
-            "0.0224216, 0.0224088, 0.0223939, 0.0223825, 0.022378, 0.0223787", \
-            "0.0229207, 0.0228936, 0.022852, 0.0227995, 0.0227503, 0.0227167" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0206286, 0.0206468, 0.0206706, 0.0206941, 0.020712, 0.0207232", \
-            "0.0230305, 0.023032, 0.0230417, 0.0230577, 0.0230731, 0.0230838", \
-            "0.0218941, 0.0218306, 0.0217552, 0.0216824, 0.0216285, 0.0215954", \
-            "0.0206396, 0.0205219, 0.0203589, 0.0201974, 0.0200647, 0.0199751", \
-            "0.0206647, 0.0204142, 0.0200822, 0.0197601, 0.0194856, 0.0193094", \
-            "0.0201313, 0.0201821, 0.0202756, 0.0200934, 0.0196171, 0.0192587" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.167438, 0.184883, 0.189622, 0.198882, 0.202545, 0.211437, 0.217154, 0.221206, 0.225723, 0.228961, 0.24445, 0.251952, 0.257504, 0.2621, 0.267023");
-            values ( \
-              "-0.00117923, -0.0740103, -0.0862138, -0.102452, -0.106687, -0.113696, -0.115524, -0.114718, -0.108633, -0.0991295, -0.0316989, -0.0149925, -0.00840668, -0.00508638, -0.00335071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.185251, 0.197505, 0.208066, 0.219209, 0.228855, 0.246249, 0.254406, 0.26263, 0.26747, 0.271279, 0.29065, 0.298458, 0.307038, 0.313958, 0.321865, 0.325289");
-            values ( \
-              "-0.000816875, -0.0677735, -0.111077, -0.135803, -0.149215, -0.165889, -0.17002, -0.166292, -0.156737, -0.143341, -0.0555141, -0.0339486, -0.019059, -0.0118509, -0.00672477, -0.00569896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.215842, 0.252636, 0.259984, 0.282394, 0.298736, 0.3116, 0.32408, 0.33655, 0.340852, 0.345293, 0.350541, 0.368176, 0.375131, 0.387803, 0.400287, 0.412218, 0.41907, 0.432774, 0.459213, 0.490164");
-            values ( \
-              "-0.00782473, -0.147823, -0.16334, -0.197197, -0.217116, -0.227863, -0.231331, -0.222794, -0.21523, -0.203451, -0.184419, -0.113196, -0.0899799, -0.0571974, -0.0356536, -0.0222871, -0.0169448, -0.00962618, -0.0029131, -0.000695599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.288077, 0.307683, 0.318488, 0.329538, 0.340043, 0.368535, 0.380671, 0.39256, 0.414631, 0.435705, 0.456643, 0.477572, 0.479755, 0.488487, 0.500129, 0.520366, 0.535555, 0.543738, 0.558551, 0.570892, 0.580831, 0.593154, 0.610496, 0.628771, 0.642807, 0.670879, 0.724737, 0.788758");
-            values ( \
-              "-0.0224024, -0.0996291, -0.13616, -0.164942, -0.185681, -0.233296, -0.249781, -0.263237, -0.279887, -0.287157, -0.284528, -0.267919, -0.264951, -0.249148, -0.219866, -0.163819, -0.126771, -0.109527, -0.0830648, -0.0653412, -0.0536431, -0.0417752, -0.0291366, -0.0198832, -0.0147577, -0.00797909, -0.00214322, -0.000403269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.429777, 0.473556, 0.522782, 0.539698, 0.557691, 0.579665, 0.598256, 0.636809, 0.674908, 0.71301, 0.734982, 0.754716, 0.794183, 0.835448, 0.878959, 0.914455, 0.944179, 0.977092, 1.02895, 1.09505, 1.16555, 1.20222");
-            values ( \
-              "-0.0676015, -0.165549, -0.252128, -0.275124, -0.294408, -0.310714, -0.320072, -0.3288, -0.327443, -0.316786, -0.305587, -0.290284, -0.238703, -0.175173, -0.119918, -0.0859829, -0.0642969, -0.0462066, -0.0270053, -0.0134632, -0.00615033, -0.0049453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.721967, 0.770996, 0.83143, 0.844687, 0.8712, 0.912638, 0.925893, 0.952402, 1.00024, 1.05835, 1.10486, 1.14891, 1.23137, 1.25958, 1.29718, 1.3349, 1.38519, 1.45347, 1.5234, 1.55737, 1.59628, 1.64816, 1.69809, 1.75699, 1.83433, 1.88035, 1.95099, 2.02834, 2.10569, 2.18304, 2.33773, 2.49243");
-            values ( \
-              "-0.176849, -0.178528, -0.273466, -0.289838, -0.315332, -0.339865, -0.344631, -0.351117, -0.355765, -0.354071, -0.349479, -0.343425, -0.326761, -0.318622, -0.304336, -0.283991, -0.247419, -0.19377, -0.145381, -0.125399, -0.105286, -0.0827062, -0.0651738, -0.0489024, -0.0331603, -0.0262989, -0.0183562, -0.0122962, -0.00821897, -0.00548403, -0.00243587, -0.00108051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.188442, 0.200939, 0.205937, 0.217137, 0.226358, 0.229215, 0.234955, 0.236912, 0.240675, 0.246421, 0.260224, 0.26811, 0.27377, 0.2777, 0.285562, 0.294037");
-            values ( \
-              "-0.0164668, -0.0692436, -0.0830334, -0.103103, -0.111671, -0.113346, -0.115157, -0.115133, -0.11306, -0.100445, -0.0384597, -0.017903, -0.00993673, -0.00655711, -0.00271784, -0.00127989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.207839, 0.213147, 0.214058, 0.21588, 0.217345, 0.219009, 0.220104, 0.222294, 0.224055, 0.226054, 0.228719, 0.231384, 0.232843, 0.235762, 0.237222, 0.239882, 0.242543, 0.246891, 0.251603, 0.255844, 0.259022, 0.262199, 0.268495, 0.271502, 0.274535, 0.276566, 0.280628, 0.280897, 0.281819, 0.282742, 0.284278, 0.285508, 0.286483, 0.287458, 0.289408, 0.294552, 0.302095, 0.303923, 0.305141, 0.307578, 0.308796, 0.310859, 0.313717, 0.315163, 0.31661, 0.318057, 0.319504, 0.320951, 0.322397, 0.326185");
-            values ( \
-              "-0.044749, -0.0586297, -0.0620067, -0.0693932, -0.0760136, -0.0844207, -0.0890725, -0.0976334, -0.103768, -0.110021, -0.117213, -0.123592, -0.126594, -0.132161, -0.134726, -0.138996, -0.142846, -0.148235, -0.153567, -0.157786, -0.160771, -0.163607, -0.168892, -0.16853, -0.167981, -0.167511, -0.166322, -0.165761, -0.16433, -0.162665, -0.159205, -0.155807, -0.152457, -0.148935, -0.141378, -0.117526, -0.0811023, -0.0732387, -0.0684651, -0.0595472, -0.055403, -0.0489151, -0.0403878, -0.0368123, -0.0335064, -0.0305779, -0.0278472, -0.0253144, -0.0229794, -0.0176896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.235261, 0.265732, 0.270921, 0.278326, 0.283828, 0.298943, 0.310651, 0.3171, 0.329999, 0.342473, 0.354955, 0.359171, 0.363523, 0.36895, 0.379804, 0.393396, 0.40612, 0.413163, 0.421212, 0.427938, 0.434761, 0.443858, 0.462052, 0.478646");
-            values ( \
-              "-0.00525759, -0.134586, -0.147791, -0.163233, -0.172242, -0.194274, -0.20963, -0.216818, -0.227551, -0.231168, -0.222594, -0.215287, -0.203905, -0.18435, -0.139234, -0.0904306, -0.0574195, -0.0441252, -0.03232, -0.0248098, -0.0189255, -0.0130956, -0.00606327, -0.00347281" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.286736, 0.37734, 0.394606, 0.410599, 0.422168, 0.432619, 0.453753, 0.474703, 0.495651, 0.497819, 0.506492, 0.518055, 0.538873, 0.56165, 0.576373, 0.588879, 0.599125, 0.611376, 0.628665, 0.646732, 0.660586, 0.688293, 0.741562, 0.804766");
-            values ( \
-              "-0.000380022, -0.218026, -0.244048, -0.262684, -0.272747, -0.279511, -0.286785, -0.284401, -0.267703, -0.264849, -0.249217, -0.220235, -0.162683, -0.109868, -0.0834841, -0.0654693, -0.0534215, -0.0416612, -0.0290906, -0.0199392, -0.0148579, -0.00810335, -0.00221817, -0.000431156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.446212, 0.492056, 0.540021, 0.555741, 0.576194, 0.598335, 0.616802, 0.655389, 0.693499, 0.731616, 0.753389, 0.773321, 0.813185, 0.852739, 0.894761, 0.934033, 0.962876, 0.994087, 1.04728, 1.07973, 1.11682, 1.191, 1.26835, 1.3457");
-            values ( \
-              "-0.0594609, -0.165015, -0.249115, -0.271202, -0.293767, -0.310336, -0.319754, -0.328619, -0.327364, -0.31672, -0.305677, -0.290257, -0.238091, -0.177079, -0.123009, -0.0851867, -0.0642461, -0.0469777, -0.0270796, -0.0192974, -0.0130085, -0.00567677, -0.00236623, -0.000984877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.737819, 0.781755, 0.838184, 0.861919, 0.880242, 0.901182, 0.94183, 0.953599, 0.96929, 0.995027, 1.02005, 1.07011, 1.09366, 1.13065, 1.16876, 1.25107, 1.27828, 1.31456, 1.3597, 1.4765, 1.54124, 1.57731, 1.61718, 1.67033, 1.71818, 1.77462, 1.84987, 1.90008, 1.97728, 2.05463, 2.13197, 2.20932, 2.36402, 2.51871");
-            values ( \
-              "-0.163179, -0.165586, -0.253809, -0.28626, -0.305542, -0.322457, -0.34323, -0.346807, -0.350425, -0.354067, -0.355493, -0.354473, -0.352639, -0.348704, -0.343368, -0.326793, -0.318983, -0.305428, -0.280805, -0.19143, -0.146731, -0.125435, -0.104859, -0.0818442, -0.0651296, -0.0494658, -0.0339297, -0.0263481, -0.017782, -0.011898, -0.00796246, -0.00530161, -0.00235116, -0.00103951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.22536, 0.231791, 0.238767, 0.245813, 0.255291, 0.258043, 0.265517, 0.273477, 0.276097, 0.279194, 0.284947, 0.300021, 0.306237, 0.313343, 0.318968, 0.326329");
-            values ( \
-              "-0.00240956, -0.0383831, -0.066251, -0.0859884, -0.102439, -0.105643, -0.112058, -0.115128, -0.114944, -0.112961, -0.100417, -0.0342217, -0.0185834, -0.00891134, -0.00480894, -0.00244021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.245785, 0.261928, 0.269673, 0.280994, 0.290051, 0.300499, 0.310968, 0.319137, 0.323939, 0.327883, 0.34728, 0.355094, 0.363683, 0.370614, 0.378535, 0.382171");
-            values ( \
-              "-0.0353012, -0.102099, -0.123031, -0.14287, -0.153491, -0.163325, -0.169238, -0.166161, -0.156762, -0.143056, -0.0554788, -0.03394, -0.0190624, -0.0118169, -0.00673116, -0.00563502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.270566, 0.316979, 0.337494, 0.355717, 0.368624, 0.381108, 0.393598, 0.397765, 0.402067, 0.407595, 0.418652, 0.431959, 0.445771, 0.452121, 0.460587, 0.46894, 0.481651, 0.498599, 0.526304, 0.559696");
-            values ( \
-              "-0.0101876, -0.163326, -0.194042, -0.216604, -0.227423, -0.231011, -0.222529, -0.215311, -0.204146, -0.184306, -0.138397, -0.0906875, -0.0553509, -0.0436157, -0.0314144, -0.0226102, -0.0135698, -0.0066557, -0.00187403, -0.000399297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.338724, 0.379119, 0.395706, 0.425592, 0.449664, 0.469203, 0.484911, 0.492816, 0.508626, 0.513714, 0.523888, 0.53535, 0.557303, 0.577946, 0.600866, 0.628076, 0.650511, 0.667814, 0.685935, 0.699836, 0.727638, 0.76587");
-            values ( \
-              "-0.000893539, -0.147234, -0.182959, -0.232509, -0.262644, -0.278133, -0.285006, -0.286673, -0.285915, -0.28414, -0.278482, -0.2667, -0.220151, -0.162852, -0.109755, -0.0654558, -0.0416688, -0.0291264, -0.0199499, -0.0148082, -0.00809583, -0.00383989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.48554, 0.534635, 0.573548, 0.595101, 0.615317, 0.637437, 0.65591, 0.692473, 0.706684, 0.732498, 0.758325, 0.771336, 0.790954, 0.812359, 0.854283, 0.91052, 0.933532, 0.957706, 0.989938, 1.01743, 1.03719, 1.08726, 1.11463, 1.14591, 1.20848, 1.28582, 1.36317");
-            values ( \
-              "-0.0592156, -0.17194, -0.239898, -0.271611, -0.29359, -0.310407, -0.319586, -0.328484, -0.329035, -0.327011, -0.321066, -0.316268, -0.306487, -0.290168, -0.234972, -0.151314, -0.12345, -0.0987293, -0.072278, -0.0550449, -0.0451012, -0.0268525, -0.0201647, -0.0145036, -0.00728135, -0.00305801, -0.00125402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.780007, 0.838079, 0.888577, 0.931356, 0.984251, 1.00729, 1.05109, 1.07385, 1.13216, 1.24087, 1.28505, 1.36239, 1.40245, 1.60775, 1.72729, 1.79269, 1.9102, 1.99954, 2.07689, 2.20875");
-            values ( \
-              "-0.170904, -0.189996, -0.270561, -0.316043, -0.344331, -0.350182, -0.355377, -0.35569, -0.352624, -0.337542, -0.327934, -0.30124, -0.278174, -0.129984, -0.0749476, -0.0546706, -0.0303863, -0.019284, -0.0129496, -0.0068439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.308926, 0.323569, 0.329201, 0.340026, 0.34922, 0.357826, 0.359943, 0.363549, 0.369302, 0.383146, 0.390922, 0.396695, 0.4007, 0.40871, 0.419906, 0.427422");
-            values ( \
-              "-0.00230028, -0.0681983, -0.0838622, -0.103013, -0.111581, -0.115054, -0.114997, -0.11294, -0.100361, -0.0383266, -0.0180411, -0.00990524, -0.00647971, -0.0026421, -0.000785427, -0.000696893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.32776, 0.336479, 0.345108, 0.352447, 0.3605, 0.370186, 0.385444, 0.391818, 0.39583, 0.403966, 0.408688, 0.412752, 0.42484, 0.432163, 0.439962, 0.448555, 0.455523, 0.463486, 0.479212, 0.487253");
-            values ( \
-              "-0.00475535, -0.0581356, -0.0953732, -0.117578, -0.134286, -0.147986, -0.163239, -0.167665, -0.169144, -0.166136, -0.157011, -0.143018, -0.0842941, -0.0554335, -0.0339462, -0.01906, -0.0117981, -0.0066881, -0.00198207, -0.00163523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.366918, 0.386318, 0.39216, 0.401412, 0.418085, 0.431807, 0.439955, 0.449514, 0.454327, 0.463953, 0.472565, 0.479384, 0.492081, 0.510552, 0.519822, 0.530811, 0.542762, 0.555104, 0.562378, 0.576927, 0.602344, 0.632801");
-            values ( \
-              "-0.0479308, -0.122987, -0.14045, -0.160892, -0.186757, -0.205498, -0.215054, -0.224, -0.227286, -0.230928, -0.228895, -0.222117, -0.189507, -0.114915, -0.0844703, -0.0569384, -0.0362168, -0.0222755, -0.0166738, -0.0091037, -0.00293775, -0.000705241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.42718, 0.458325, 0.465329, 0.474735, 0.482495, 0.511743, 0.523851, 0.535813, 0.557932, 0.579012, 0.599965, 0.620909, 0.623081, 0.631766, 0.643346, 0.664095, 0.679007, 0.686928, 0.701661, 0.714148, 0.724361, 0.736621, 0.753915, 0.772003, 0.785875, 0.81362, 0.865947, 0.928");
-            values ( \
-              "-0.00369331, -0.126757, -0.147488, -0.169407, -0.184191, -0.232609, -0.249299, -0.26268, -0.279671, -0.286812, -0.28446, -0.267699, -0.26486, -0.249194, -0.220152, -0.162783, -0.126525, -0.109831, -0.0834389, -0.065455, -0.0534453, -0.0416743, -0.0290959, -0.0199339, -0.0148486, -0.00809074, -0.00228186, -0.000463078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.561586, 0.600169, 0.605985, 0.616539, 0.655413, 0.681952, 0.70252, 0.724642, 0.7431, 0.779143, 0.796951, 0.824526, 0.834159, 0.853423, 0.868467, 0.888527, 0.899518, 0.920726, 0.987561, 1.01413, 1.03421, 1.05451, 1.08158, 1.10575, 1.13798, 1.16582, 1.20334, 1.25336, 1.33071, 1.40806, 1.48541");
-            values ( \
-              "-0.0043389, -0.125291, -0.139192, -0.161982, -0.231099, -0.271395, -0.293876, -0.310508, -0.319753, -0.328428, -0.329057, -0.326226, -0.324181, -0.318295, -0.311761, -0.299444, -0.290178, -0.265457, -0.164548, -0.130599, -0.108758, -0.0898177, -0.0690096, -0.0542742, -0.0390586, -0.0292842, -0.0197913, -0.0115527, -0.00484328, -0.00201161, -0.000842017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.86346, 0.907604, 0.976863, 1.01779, 1.07186, 1.09984, 1.14624, 1.20268, 1.29493, 1.37731, 1.44186, 1.48362, 1.70878, 1.83036, 1.92553, 2.04147, 2.20238, 2.2443");
-            values ( \
-              "-0.162676, -0.165165, -0.27168, -0.315213, -0.344335, -0.351194, -0.355648, -0.35413, -0.343384, -0.326769, -0.304922, -0.282264, -0.122501, -0.0696555, -0.0437588, -0.0243969, -0.0106483, -0.00904797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.489412, 0.493615, 0.495683, 0.496372, 0.498104, 0.500615, 0.501451, 0.502838, 0.504225, 0.506075, 0.506999, 0.509139, 0.512966, 0.514654, 0.518067, 0.518368, 0.52189, 0.525036, 0.526557, 0.528035, 0.53135, 0.533673, 0.534834, 0.535394, 0.536514, 0.538194, 0.539944, 0.540819, 0.541693, 0.543136, 0.544578, 0.54602, 0.547463, 0.548096, 0.548729, 0.549514, 0.550299, 0.551085, 0.55187, 0.55344, 0.556325, 0.560065, 0.562672, 0.563669, 0.564665, 0.565661, 0.566657, 0.567654, 0.569646, 0.573083");
-            values ( \
-              "-0.0256632, -0.0368124, -0.0432332, -0.0457243, -0.0533348, -0.0635554, -0.0667486, -0.0712394, -0.0754013, -0.0804387, -0.0827381, -0.0869891, -0.0939989, -0.0968476, -0.102212, -0.102448, -0.106505, -0.109482, -0.110712, -0.11174, -0.113487, -0.114329, -0.114631, -0.114658, -0.114607, -0.114266, -0.112891, -0.111969, -0.110892, -0.108775, -0.106235, -0.103271, -0.0998835, -0.0978676, -0.0951915, -0.0855999, -0.0782107, -0.0767893, -0.0750599, -0.0706772, -0.0594172, -0.0436953, -0.0344638, -0.0311125, -0.0282221, -0.0255676, -0.0232721, -0.0211303, -0.0173082, -0.01201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.507493, 0.516844, 0.529966, 0.541235, 0.550179, 0.564511, 0.576688, 0.584914, 0.593099, 0.611777, 0.618759, 0.63007, 0.648261, 0.648615");
-            values ( \
-              "-0.00203428, -0.0566015, -0.10943, -0.134459, -0.1471, -0.161201, -0.168695, -0.165475, -0.145243, -0.0601152, -0.0391432, -0.0183606, -0.00513671, -0.00505586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.553922, 0.566407, 0.574104, 0.579867, 0.59291, 0.612585, 0.626366, 0.639307, 0.651847, 0.664376, 0.668281, 0.672312, 0.678386, 0.696172, 0.702791, 0.71555, 0.722905, 0.731311, 0.73977, 0.752637, 0.769792, 0.797143, 0.830103");
-            values ( \
-              "-0.0807665, -0.103694, -0.131285, -0.146492, -0.171134, -0.199114, -0.215734, -0.226576, -0.230442, -0.222016, -0.215458, -0.205446, -0.184086, -0.112635, -0.0905785, -0.0574878, -0.0436721, -0.0315338, -0.022604, -0.0134822, -0.00655642, -0.00188272, -0.000416664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.610409, 0.665776, 0.6719, 0.700466, 0.711285, 0.724524, 0.74662, 0.767727, 0.788683, 0.80963, 0.811801, 0.820485, 0.832063, 0.852824, 0.867733, 0.875649, 0.890381, 0.902872, 0.913091, 0.925349, 0.942643, 0.960728, 0.974598, 1.00234, 1.0543, 1.1159");
-            values ( \
-              "-0.00206474, -0.174186, -0.185446, -0.232662, -0.247697, -0.262685, -0.279612, -0.286789, -0.284413, -0.267683, -0.264835, -0.249179, -0.220152, -0.16276, -0.126514, -0.109833, -0.0834435, -0.0654555, -0.0534397, -0.0416705, -0.0290942, -0.0199341, -0.0148493, -0.00809254, -0.0023082, -0.000476671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.760343, 0.789974, 0.808358, 0.855872, 0.870915, 0.892678, 0.914887, 0.933286, 0.968754, 0.990725, 1.00987, 1.04802, 1.07119, 1.08978, 1.12696, 1.17784, 1.21127, 1.25195, 1.27925, 1.31376, 1.36427, 1.39349, 1.42689, 1.49367, 1.57102, 1.64837");
-            values ( \
-              "-0.0440162, -0.124764, -0.164972, -0.247933, -0.269364, -0.293601, -0.310255, -0.319636, -0.328204, -0.328906, -0.32696, -0.316767, -0.30475, -0.290185, -0.24218, -0.164794, -0.12298, -0.0839479, -0.0642734, -0.0454406, -0.0269348, -0.0198513, -0.0139386, -0.00666218, -0.0027852, -0.0011531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.05777, 1.10847, 1.16682, 1.18164, 1.21128, 1.25225, 1.26309, 1.28477, 1.32793, 1.35676, 1.41107, 1.44285, 1.48616, 1.5686, 1.59658, 1.63389, 1.67329, 1.72583, 1.78411, 1.85627, 1.88688, 1.94009, 2.00091, 2.03597, 2.07716, 2.12499, 2.19173, 2.27331, 2.35066, 2.42801, 2.50535, 2.66005, 2.81474");
-            values ( \
-              "-0.174801, -0.178019, -0.269572, -0.288306, -0.316778, -0.340321, -0.344267, -0.349856, -0.355247, -0.355614, -0.352614, -0.349245, -0.343385, -0.326748, -0.318687, -0.304568, -0.283263, -0.244752, -0.198803, -0.148134, -0.129765, -0.102179, -0.0768211, -0.0649571, -0.0531787, -0.0419761, -0.0299943, -0.0198169, -0.0132797, -0.00888228, -0.00592549, -0.00263175, -0.00116661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.846337, 0.851964, 0.853588, 0.855213, 0.860521, 0.861558, 0.863631, 0.864667, 0.866741, 0.8678, 0.870977, 0.872724, 0.874471, 0.876218, 0.877965, 0.878011, 0.878283, 0.879372, 0.880824, 0.884404, 0.886354, 0.888148, 0.890439, 0.89273, 0.895021, 0.895997, 0.897623, 0.898924, 0.899733, 0.900542, 0.90135, 0.902159, 0.903626, 0.905094, 0.906562, 0.908029, 0.908415, 0.909186, 0.910729, 0.916601, 0.918034, 0.920315, 0.922548, 0.924636, 0.925978, 0.926873, 0.927768, 0.929559, 0.931772, 0.93396");
-            values ( \
-              "-0.0142499, -0.032379, -0.0366102, -0.0416198, -0.0619046, -0.0652353, -0.071372, -0.0741781, -0.0792653, -0.0813982, -0.0874489, -0.090554, -0.0935012, -0.0962906, -0.0989221, -0.0990939, -0.0993084, -0.100735, -0.102419, -0.106106, -0.107824, -0.109215, -0.110618, -0.1117, -0.112462, -0.112519, -0.112423, -0.112175, -0.111634, -0.110964, -0.110163, -0.109233, -0.107213, -0.104765, -0.10189, -0.0985862, -0.0974858, -0.0945061, -0.0877558, -0.0596319, -0.0529895, -0.0439423, -0.0361589, -0.0294269, -0.025749, -0.0236827, -0.0217385, -0.0182165, -0.0146076, -0.0113614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.875029, 0.876461, 0.880896, 0.882328, 0.895574, 0.896441, 0.898176, 0.901645, 0.90682, 0.910821, 0.916157, 0.922137, 0.926825, 0.930473, 0.936029, 0.938804, 0.944353, 0.952654, 0.960953, 0.962901, 0.973206, 0.976786, 0.980877, 0.984631, 0.988971, 0.994759, 0.997663, 1.00076, 1.00489, 1.01315, 1.02403");
-            values ( \
-              "-0.0311937, -0.034207, -0.0478146, -0.0507941, -0.101523, -0.102503, -0.107742, -0.115994, -0.127005, -0.133836, -0.141475, -0.148585, -0.153501, -0.156982, -0.161714, -0.163673, -0.166345, -0.163767, -0.14394, -0.135948, -0.0860633, -0.0709399, -0.0558411, -0.0443828, -0.0336677, -0.0229808, -0.0188088, -0.0152265, -0.0115274, -0.00633498, -0.00320035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.91424, 0.972023, 0.990254, 1.00563, 1.0187, 1.02316, 1.03131, 1.04387, 1.0491, 1.05322, 1.05799, 1.07452, 1.08635, 1.09643, 1.10096, 1.11001, 1.11949, 1.12462, 1.13489, 1.15543, 1.18345, 1.2159");
-            values ( \
-              "-0.00516315, -0.167977, -0.194454, -0.21336, -0.224548, -0.226927, -0.228716, -0.2209, -0.211722, -0.200515, -0.183349, -0.116981, -0.0789851, -0.0548769, -0.0463437, -0.0326878, -0.0225279, -0.0183684, -0.0121094, -0.00501717, -0.00139114, -0.000334022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.00914, 1.04217, 1.0679, 1.09701, 1.12133, 1.14355, 1.16473, 1.18569, 1.20737, 1.22575, 1.27234, 1.30044, 1.32286, 1.35833, 1.40012, 1.4095");
-            values ( \
-              "-0.00181591, -0.120771, -0.181113, -0.229471, -0.260497, -0.278039, -0.285637, -0.283453, -0.266274, -0.230402, -0.111465, -0.0653777, -0.0416884, -0.0199176, -0.00805982, -0.00701558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.18459, 1.21246, 1.22819, 1.2691, 1.28351, 1.30665, 1.32169, 1.34733, 1.35847, 1.38075, 1.39625, 1.4169, 1.42398, 1.43813, 1.46282, 1.50189, 1.51627, 1.53231, 1.59118, 1.62763, 1.66192, 1.6871, 1.70803, 1.73254, 1.76722, 1.8034, 1.83114, 1.8866, 1.96395, 2.0413, 2.11865");
-            values ( \
-              "-0.121032, -0.144346, -0.174482, -0.245456, -0.266736, -0.292676, -0.304925, -0.319012, -0.322871, -0.327545, -0.328572, -0.327623, -0.326715, -0.323989, -0.316141, -0.292053, -0.276974, -0.255268, -0.165577, -0.120282, -0.087258, -0.0682704, -0.055461, -0.0432559, -0.0302155, -0.0207388, -0.0154726, -0.00847231, -0.00353793, -0.00147119, -0.00061693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.47259, 1.51939, 1.58872, 1.62874, 1.68339, 1.72193, 1.7578, 1.8133, 1.9065, 1.982, 2.05265, 2.09678, 2.3229, 2.42816, 2.53074, 2.65418, 2.82327, 2.85818");
-            values ( \
-              "-0.147357, -0.16484, -0.271693, -0.314458, -0.344112, -0.352853, -0.355493, -0.354186, -0.343334, -0.328569, -0.305273, -0.281239, -0.121099, -0.074374, -0.0451519, -0.0242311, -0.0101446, -0.00887579" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0122816, 0.0122941, 0.0123087, 0.012322, 0.0123315, 0.0123372", \
-            "0.0145998, 0.014607, 0.0146172, 0.0146279, 0.0146366, 0.0146422", \
-            "0.0160432, 0.0160463, 0.0160516, 0.0160586, 0.0160653, 0.0160702", \
-            "0.0169174, 0.0169184, 0.0169202, 0.0169233, 0.0169273, 0.016931", \
-            "0.017392, 0.0173924, 0.017393, 0.0173941, 0.0173958, 0.0173979", \
-            "0.017652, 0.0176525, 0.0176527, 0.0176539, 0.0176552, 0.0176566" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0167458, 0.0167576, 0.016773, 0.0167884, 0.0168001, 0.0168074", \
-            "0.019007, 0.019017, 0.0190301, 0.0190434, 0.0190535, 0.0190599", \
-            "0.0206836, 0.020697, 0.0207128, 0.0207279, 0.0207391, 0.020746", \
-            "0.021836, 0.0218034, 0.0217643, 0.0217285, 0.0217035, 0.0216897", \
-            "0.022742, 0.0226193, 0.0224621, 0.0223077, 0.0221906, 0.0221141", \
-            "0.0235426, 0.0234143, 0.0231807, 0.0228323, 0.0225322, 0.0223396" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.119014, 0.135058, 0.164659, 0.222902, 0.342849, 0.592891", \
-            "0.122956, 0.138959, 0.16858, 0.226692, 0.346507, 0.596412", \
-            "0.132026, 0.148025, 0.177578, 0.235643, 0.355371, 0.605102", \
-            "0.152472, 0.168432, 0.198186, 0.256367, 0.376025, 0.625615", \
-            "0.178225, 0.194634, 0.224546, 0.283473, 0.403853, 0.653417", \
-            "0.198113, 0.215433, 0.246177, 0.304415, 0.424658, 0.675461" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0389371, 0.057092, 0.0953276, 0.17763, 0.35293, 0.72134", \
-            "0.0388442, 0.0568921, 0.0951062, 0.177327, 0.352652, 0.721087", \
-            "0.0387135, 0.056722, 0.0949197, 0.177008, 0.352366, 0.720719", \
-            "0.0390221, 0.0569541, 0.0950181, 0.17696, 0.352231, 0.720487", \
-            "0.0407757, 0.0586267, 0.0968413, 0.178546, 0.353051, 0.720517", \
-            "0.0453736, 0.0622263, 0.0987809, 0.179644, 0.355288, 0.722339" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.119968, 0.135501, 0.162471, 0.210233, 0.300166, 0.480538", \
-            "0.125754, 0.141325, 0.168296, 0.215958, 0.305743, 0.486144", \
-            "0.139061, 0.154668, 0.181702, 0.229465, 0.319213, 0.49944", \
-            "0.165854, 0.181594, 0.208987, 0.257162, 0.347075, 0.527359", \
-            "0.207958, 0.224157, 0.253402, 0.304789, 0.39689, 0.577711", \
-            "0.278055, 0.295632, 0.325602, 0.378229, 0.474973, 0.660998" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0333928, 0.0481972, 0.0753842, 0.127889, 0.237177, 0.472876", \
-            "0.0334124, 0.0481814, 0.0753702, 0.127847, 0.237393, 0.472814", \
-            "0.0333073, 0.048106, 0.0753126, 0.127827, 0.237145, 0.472673", \
-            "0.0336102, 0.0483368, 0.0754856, 0.127956, 0.237385, 0.472873", \
-            "0.0350348, 0.0505572, 0.0799468, 0.133408, 0.240483, 0.473662", \
-            "0.0408177, 0.0554556, 0.0835825, 0.139404, 0.24968, 0.480695" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0804557, 0.0902559, 0.0935507, 0.102124, 0.107271, 0.112009, 0.118705, 0.124787, 0.130671, 0.136653, 0.140777, 0.152437, 0.161229, 0.170595, 0.17935, 0.183899, 0.190467, 0.195066, 0.20396, 0.21582, 0.219649");
-            values ( \
-              "0.000763362, 0.017911, 0.0284072, 0.0622319, 0.0791, 0.0913511, 0.104465, 0.110613, 0.112664, 0.10914, 0.101584, 0.0633025, 0.0408997, 0.025, 0.0153771, 0.0118658, 0.00814194, 0.00626533, 0.00378823, 0.00194012, 0.00168961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.000449135, 0.0014115, 0.00540896, 0.00957328, 0.0150264, 0.0240928, 0.0303154, 0.0357041, 0.0479526, 0.0799068, 0.0930192, 0.114685, 0.120675, 0.129917, 0.138543, 0.147062, 0.157326, 0.180162, 0.193831, 0.205908, 0.215759, 0.229309, 0.246575, 0.259912, 0.267605");
-            values ( \
-              "1e-22, 0.0065168, 0.00795438, 0.00734379, 0.00365725, 1e-22, 5.06319e-05, 0.00158112, 1e-22, 2e-22, 0.0312322, 0.124661, 0.140476, 0.155983, 0.16147, 0.160379, 0.149264, 0.0872445, 0.0563877, 0.0371203, 0.0258599, 0.0154377, 0.00790862, 0.00465222, 0.00374004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0791391, 0.127795, 0.134079, 0.146647, 0.151603, 0.156862, 0.162102, 0.172583, 0.183371, 0.191139, 0.206359, 0.22949, 0.247594, 0.269238, 0.281742, 0.299335, 0.309751, 0.326994, 0.346507, 0.361968, 0.39289, 0.411093");
-            values ( \
-              "0.00209807, 0.18427, 0.19511, 0.206192, 0.207453, 0.207547, 0.206373, 0.201509, 0.192739, 0.183548, 0.158225, 0.112734, 0.0823834, 0.0541786, 0.0419166, 0.0286866, 0.0228685, 0.0154044, 0.00985894, 0.0069492, 0.00322666, 0.00238786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0944209, 0.111069, 0.126276, 0.13216, 0.143929, 0.158141, 0.175236, 0.195167, 0.207975, 0.233348, 0.248035, 0.262707, 0.291733, 0.335936, 0.36962, 0.411226, 0.435349, 0.467064, 0.485811, 0.518813, 0.556339, 0.586266, 0.646119, 0.691059");
-            values ( \
-              "0.115677, 0.141827, 0.19959, 0.213446, 0.231796, 0.241367, 0.243235, 0.238371, 0.233235, 0.220872, 0.211672, 0.200673, 0.172584, 0.124235, 0.0917123, 0.0600948, 0.0461482, 0.0321643, 0.0258192, 0.0173348, 0.0109809, 0.007571, 0.00347532, 0.00218094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0943237, 0.112071, 0.120721, 0.131666, 0.144716, 0.157185, 0.173379, 0.189062, 0.204291, 0.22772, 0.252007, 0.301781, 0.354412, 0.4017, 0.430618, 0.469174, 0.565976, 0.618738, 0.669515, 0.711238, 0.743581, 0.800255, 0.866136, 0.924699, 0.984846, 1.0308, 1.11204, 1.19327, 1.2745, 1.43697");
-            values ( \
-              "0.129827, 0.151948, 0.190732, 0.224068, 0.248094, 0.25961, 0.266315, 0.267339, 0.266289, 0.262338, 0.257192, 0.244806, 0.229782, 0.213019, 0.200171, 0.180187, 0.126046, 0.0993159, 0.0771571, 0.0619178, 0.0518315, 0.0375185, 0.0254231, 0.0177975, 0.0123078, 0.00924196, 0.00551187, 0.00327175, 0.00194295, 0.000683193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.107526, 0.154038, 0.178343, 0.19157, 0.204387, 0.23002, 0.263542, 0.366652, 0.444275, 0.577973, 0.657723, 0.720998, 0.80223, 1.05064, 1.17541, 1.27554, 1.31334, 1.38727, 1.50688, 1.6131, 1.76623, 1.90252, 1.98375, 2.06498, 2.22745, 2.38991, 2.79607");
-            values ( \
-              "0.254468, 0.267154, 0.279671, 0.281652, 0.282405, 0.281426, 0.278684, 0.266879, 0.257078, 0.238608, 0.225656, 0.213102, 0.194055, 0.125554, 0.0946603, 0.073754, 0.0670137, 0.0548118, 0.0392419, 0.0288864, 0.0183209, 0.0121161, 0.00958916, 0.00734147, 0.0044193, 0.00264284, 0.000841561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.11159, 0.121115, 0.123085, 0.124389, 0.125693, 0.126997, 0.12922, 0.131443, 0.132926, 0.134408, 0.136077, 0.137389, 0.138728, 0.140497, 0.142155, 0.143812, 0.144918, 0.146023, 0.147128, 0.148209, 0.149291, 0.150733, 0.151454, 0.152947, 0.154439, 0.155921, 0.157402, 0.158883, 0.160364, 0.160806, 0.164344, 0.166998, 0.171257, 0.172472, 0.174092, 0.176252, 0.178952, 0.180302, 0.1828, 0.185265, 0.186982, 0.188699, 0.190943, 0.194443, 0.196958, 0.200415, 0.203219, 0.206521, 0.208172, 0.210971");
-            values ( \
-              "0.0626051, 0.0658538, 0.0726284, 0.0768171, 0.0807694, 0.0844855, 0.0899828, 0.0949597, 0.0979885, 0.100786, 0.103658, 0.105644, 0.107422, 0.109432, 0.110786, 0.111808, 0.112304, 0.112654, 0.112856, 0.112663, 0.112372, 0.111829, 0.111492, 0.110068, 0.108243, 0.106034, 0.103429, 0.100428, 0.097032, 0.0956775, 0.0830832, 0.0738748, 0.0602341, 0.0567679, 0.0525304, 0.0472555, 0.0412642, 0.0385196, 0.0338059, 0.0294775, 0.0269524, 0.0245933, 0.021822, 0.0178215, 0.0153072, 0.0126592, 0.010834, 0.0089942, 0.00818645, 0.0069789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.000561027, 0.0014853, 0.00522842, 0.0260595, 0.0515325, 0.0523065, 0.094756, 0.109975, 0.127865, 0.138776, 0.147968, 0.155329, 0.159037, 0.167103, 0.173753, 0.210629, 0.225115, 0.237283, 0.253364, 0.271222");
-            values ( \
-              "1e-22, 0.00273581, 0.00356138, 0.000499867, 0.00222185, 1e-22, 2e-22, 0.0255762, 0.107939, 0.141042, 0.156329, 0.161522, 0.162147, 0.159361, 0.152049, 0.0585586, 0.0354435, 0.0225592, 0.0121349, 0.00612597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.107751, 0.120383, 0.125708, 0.132709, 0.142718, 0.152013, 0.166204, 0.180002, 0.193798, 0.201891, 0.208931, 0.22301, 0.242407, 0.254696, 0.263283, 0.277033, 0.288424, 0.297318, 0.308937, 0.318588, 0.329767, 0.345591, 0.362071, 0.374699, 0.399956, 0.449319, 0.507929");
-            values ( \
-              "0.0521813, 0.0881894, 0.116332, 0.14698, 0.178201, 0.195866, 0.207666, 0.207335, 0.199905, 0.19256, 0.184082, 0.160566, 0.121787, 0.099573, 0.0855225, 0.0659534, 0.0526388, 0.0438057, 0.03423, 0.0277674, 0.021662, 0.0151393, 0.0103992, 0.00775327, 0.00425526, 0.00114095, 0.000225255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.107192, 0.121524, 0.134698, 0.142503, 0.150204, 0.164818, 0.176093, 0.193456, 0.213018, 0.225797, 0.25111, 0.265887, 0.280429, 0.309418, 0.353589, 0.387247, 0.410751, 0.428844, 0.452967, 0.484646, 0.503373, 0.536371, 0.573895, 0.603823, 0.663677, 0.74491, 0.826142");
-            values ( \
-              "0.0652959, 0.101689, 0.167558, 0.195038, 0.21435, 0.23558, 0.242194, 0.243826, 0.238892, 0.233849, 0.221206, 0.211939, 0.200947, 0.172754, 0.124319, 0.0917664, 0.0726406, 0.0601187, 0.0461591, 0.0321803, 0.0258361, 0.0173442, 0.0109852, 0.0075736, 0.00347489, 0.0011354, 0.000373599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.107383, 0.141051, 0.149861, 0.164586, 0.175302, 0.19218, 0.207439, 0.222267, 0.269897, 0.342811, 0.377897, 0.408371, 0.42905, 0.470408, 0.574926, 0.638995, 0.687817, 0.726726, 0.756539, 0.817877, 0.885286, 0.94319, 1.01778, 1.09901, 1.18024, 1.26147, 1.2785");
-            values ( \
-              "0.0638552, 0.199503, 0.22497, 0.251224, 0.260435, 0.267267, 0.267994, 0.266942, 0.257657, 0.238719, 0.228153, 0.217508, 0.209096, 0.189171, 0.130811, 0.0981297, 0.0769273, 0.0626666, 0.0532239, 0.0375296, 0.0251986, 0.0177074, 0.011175, 0.0066722, 0.00396857, 0.0023535, 0.00222334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.120588, 0.151186, 0.162321, 0.175321, 0.191934, 0.207396, 0.222113, 0.281963, 0.382511, 0.595391, 0.675021, 0.738348, 0.81958, 1.06794, 1.1927, 1.29284, 1.33066, 1.40458, 1.52418, 1.62723, 1.78151, 1.91971, 2.00094, 2.08217, 2.24464, 2.4071, 2.81327");
-            values ( \
-              "0.215685, 0.235068, 0.256602, 0.270355, 0.279467, 0.282114, 0.283184, 0.279102, 0.267375, 0.23875, 0.225785, 0.213082, 0.194138, 0.125521, 0.094628, 0.0737225, 0.0670465, 0.0547763, 0.0392108, 0.0291418, 0.0184196, 0.0121077, 0.00960277, 0.00733311, 0.00441167, 0.00263622, 0.000845314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.140468, 0.146461, 0.169679, 0.176317, 0.182358, 0.188205, 0.194041, 0.196575, 0.219337, 0.226514, 0.238663, 0.25094, 0.26503, 0.270415");
-            values ( \
-              "0.00506558, 0.0140435, 0.092432, 0.105091, 0.111484, 0.113183, 0.109939, 0.105849, 0.0395951, 0.027169, 0.0137978, 0.00684236, 0.00306173, 0.00246668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.146396, 0.153454, 0.167312, 0.177513, 0.182025, 0.187268, 0.195836, 0.204302, 0.21302, 0.220682, 0.237376, 0.251125, 0.262244, 0.277621, 0.293857, 0.311218, 0.331059, 0.339956");
-            values ( \
-              "0.0311241, 0.0465169, 0.110156, 0.140674, 0.149849, 0.157115, 0.162527, 0.161263, 0.152596, 0.135674, 0.0872224, 0.0561274, 0.0381728, 0.021605, 0.0115459, 0.00584514, 0.00260176, 0.00203048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.146118, 0.156973, 0.165901, 0.176077, 0.183403, 0.191941, 0.206073, 0.21985, 0.233612, 0.241843, 0.248723, 0.262483, 0.283924, 0.292958, 0.30325, 0.316604, 0.328298, 0.337205, 0.348679, 0.358142, 0.369353, 0.385194, 0.401769, 0.414483, 0.439911, 0.488697, 0.546625");
-            values ( \
-              "0.0350093, 0.0716869, 0.118998, 0.160383, 0.181043, 0.196642, 0.20824, 0.207772, 0.200314, 0.192749, 0.184373, 0.161343, 0.1185, 0.102134, 0.0852636, 0.0661492, 0.0524873, 0.0436756, 0.0342062, 0.0278556, 0.0217302, 0.0151605, 0.0103857, 0.00774255, 0.00421457, 0.0011632, 0.000223948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.153802, 0.185125, 0.190535, 0.201355, 0.216337, 0.228917, 0.240873, 0.265928, 0.295716, 0.317108, 0.338928, 0.393394, 0.419274, 0.448041, 0.465294, 0.500169, 0.515523, 0.532665, 0.552581, 0.580331, 0.61412, 0.659173, 0.740406, 0.821638");
-            values ( \
-              "0.109507, 0.203185, 0.215757, 0.232439, 0.242909, 0.244538, 0.242931, 0.2343, 0.218808, 0.203934, 0.183827, 0.124491, 0.0989442, 0.0745592, 0.0623558, 0.0425136, 0.0357007, 0.0292664, 0.0231305, 0.0165307, 0.0109357, 0.00619284, 0.00202806, 0.000669861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.153503, 0.170578, 0.180322, 0.189814, 0.204461, 0.215154, 0.232029, 0.247234, 0.26202, 0.309579, 0.362545, 0.411755, 0.46508, 0.519185, 0.629237, 0.658277, 0.716356, 0.765226, 0.799133, 0.857232, 0.883154, 0.923969, 0.982136, 1.05773, 1.13896, 1.22019, 1.30143, 1.54512");
-            values ( \
-              "0.135921, 0.156859, 0.199133, 0.226145, 0.252252, 0.260949, 0.267986, 0.268341, 0.267542, 0.258158, 0.244519, 0.230186, 0.210861, 0.184336, 0.122831, 0.107952, 0.0812876, 0.0629511, 0.0522991, 0.0375541, 0.0322463, 0.0253181, 0.0177358, 0.0111173, 0.00665882, 0.00393847, 0.00235658, 0.000475176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.161837, 0.191578, 0.204948, 0.215459, 0.232766, 0.247813, 0.262152, 0.289058, 0.321775, 0.4226, 0.553883, 0.635116, 0.714555, 0.777958, 0.85919, 1.10745, 1.23215, 1.31339, 1.37026, 1.44413, 1.56367, 1.6712, 1.74259, 1.87802, 1.95926, 2.04049, 2.20295, 2.36542, 2.60912, 2.85281");
-            values ( \
-              "0.229642, 0.23689, 0.260806, 0.271198, 0.280124, 0.282828, 0.28352, 0.282362, 0.279354, 0.267466, 0.2503, 0.238739, 0.225773, 0.213284, 0.194062, 0.125659, 0.0947603, 0.0775374, 0.0669366, 0.0548739, 0.0393118, 0.0288413, 0.0233951, 0.0156053, 0.0121839, 0.00952288, 0.005782, 0.00350095, 0.00163409, 0.000773997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.226683, 0.238, 0.248964, 0.256127, 0.261967, 0.26496, 0.271097, 0.276973, 0.282853, 0.286187, 0.28921, 0.295256, 0.299117, 0.306577, 0.309524, 0.314192, 0.319527, 0.3268, 0.331087, 0.337194, 0.339824, 0.345082, 0.3556, 0.373913, 0.377851");
-            values ( \
-              "0.00109128, 0.0220875, 0.0634068, 0.0847572, 0.0984237, 0.103264, 0.110688, 0.11231, 0.109695, 0.103858, 0.0956088, 0.0741833, 0.0622784, 0.0430301, 0.0370679, 0.0289664, 0.021586, 0.0143758, 0.0112846, 0.00792001, 0.00684678, 0.00505103, 0.00278331, 0.000939032, 0.00082654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.235648, 0.245839, 0.248861, 0.258194, 0.26651, 0.2735, 0.275735, 0.28017, 0.284338, 0.292835, 0.301334, 0.305003, 0.308917, 0.325776, 0.339321, 0.346819, 0.352081, 0.359258, 0.365599, 0.372046, 0.381314, 0.390944, 0.398326, 0.41309, 0.441368, 0.474965");
-            values ( \
-              "0.0401981, 0.0646442, 0.0790791, 0.115829, 0.140058, 0.153078, 0.155874, 0.159827, 0.161691, 0.160731, 0.152706, 0.145892, 0.13642, 0.0876644, 0.056845, 0.0440286, 0.0364909, 0.0280412, 0.0221242, 0.0173017, 0.0120745, 0.00831449, 0.00622501, 0.00345248, 0.00101363, 0.000236911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.226895, 0.244234, 0.253877, 0.26136, 0.270619, 0.280525, 0.294729, 0.308513, 0.322297, 0.330175, 0.337401, 0.351851, 0.377185, 0.386817, 0.39966, 0.408899, 0.418734, 0.431848, 0.443676, 0.460681, 0.475232, 0.492519, 0.515568, 0.559996, 0.611914");
-            values ( \
-              "0.00415068, 0.0618972, 0.111983, 0.145371, 0.175592, 0.195444, 0.207796, 0.207549, 0.200223, 0.193064, 0.184358, 0.160036, 0.110203, 0.0934352, 0.0737767, 0.0617342, 0.0506628, 0.0384823, 0.0298503, 0.0204882, 0.0147139, 0.00989843, 0.00574766, 0.00182369, 0.000456324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.227459, 0.271929, 0.279345, 0.293135, 0.305197, 0.317722, 0.329765, 0.350776, 0.393121, 0.418689, 0.495398, 0.538532, 0.577074, 0.604588, 0.637443, 0.677284, 0.721596, 0.810292");
-            values ( \
-              "0.00748405, 0.195626, 0.214112, 0.235359, 0.242458, 0.24472, 0.242725, 0.236329, 0.213661, 0.193073, 0.110895, 0.0731326, 0.0484118, 0.0354057, 0.0240281, 0.0147634, 0.00848181, 0.00346055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.248828, 0.278461, 0.292062, 0.303914, 0.3204, 0.335824, 0.350799, 0.374124, 0.447944, 0.500458, 0.55376, 0.607869, 0.717882, 0.805054, 0.887516, 0.945813, 1.0126, 1.07073, 1.14619, 1.22743, 1.3759");
-            values ( \
-              "0.197815, 0.22413, 0.249677, 0.260786, 0.267647, 0.26859, 0.26747, 0.263376, 0.245512, 0.230296, 0.210931, 0.184375, 0.122861, 0.0812756, 0.0523671, 0.0375579, 0.0253094, 0.0177398, 0.0111293, 0.0066595, 0.00272483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.256787, 0.336184, 0.350907, 0.377027, 0.410111, 0.540925, 0.723736, 0.803055, 0.8665, 0.947733, 1.19589, 1.32055, 1.45878, 1.53261, 1.65924, 1.75944, 1.9121, 2.12885, 2.29132, 2.45378, 2.56893");
-            values ( \
-              "0.271049, 0.282911, 0.283593, 0.282609, 0.279494, 0.263828, 0.238785, 0.225824, 0.213381, 0.194071, 0.125716, 0.0948139, 0.0669063, 0.0548951, 0.0385365, 0.0288721, 0.0183633, 0.00951353, 0.00577278, 0.00349218, 0.00284837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.387032, 0.391251, 0.405264, 0.407998, 0.415788, 0.433306, 0.438923, 0.443833, 0.447038, 0.453216, 0.459371, 0.465523, 0.477794, 0.489655, 0.498387, 0.509255, 0.521584, 0.531216, 0.544456");
-            values ( \
-              "2.58639e-05, 0.000981257, 0.0100169, 0.0139082, 0.0319929, 0.083125, 0.0944056, 0.101514, 0.104692, 0.107569, 0.105523, 0.0949835, 0.0563539, 0.0311959, 0.0194933, 0.0106601, 0.00528673, 0.00311401, 0.00150301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.405396, 0.416652, 0.43153, 0.438091, 0.442288, 0.450683, 0.454673, 0.459993, 0.462955, 0.466345, 0.469718, 0.476466, 0.478688, 0.483133, 0.488502, 0.498685, 0.505719, 0.511168, 0.520493, 0.53206, 0.538765, 0.547277, 0.554919, 0.560164, 0.569577, 0.579849, 0.5994, 0.616098");
-            values ( \
-              "0.0293927, 0.0429316, 0.100695, 0.121359, 0.132062, 0.147338, 0.151867, 0.155634, 0.156586, 0.156698, 0.156029, 0.15143, 0.148751, 0.141217, 0.128124, 0.0985882, 0.0808244, 0.0681927, 0.0502228, 0.0334394, 0.0261842, 0.0190432, 0.0139602, 0.0112505, 0.00795812, 0.00545063, 0.00254024, 0.00152484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.000366163, 0.159875, 0.275602, 0.384801, 0.412857, 0.436577, 0.456793, 0.471553, 0.485708, 0.499867, 0.515135, 0.575383, 0.599542, 0.624163, 0.645009, 0.658987, 0.679495, 0.702634");
-            values ( \
-              "1e-22, 3.07461e-05, 0.00101745, 1e-22, 0.0326524, 0.133932, 0.18692, 0.201612, 0.202714, 0.196565, 0.181758, 0.0770131, 0.0481223, 0.0285206, 0.0179307, 0.0130596, 0.00814199, 0.00483261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.412353, 0.427998, 0.444225, 0.454562, 0.470249, 0.481291, 0.498451, 0.51889, 0.531804, 0.569845, 0.58692, 0.613884, 0.666247, 0.716489, 0.753403, 0.794826, 0.845077, 0.898246, 0.944283, 0.988142");
-            values ( \
-              "0.106825, 0.107334, 0.176518, 0.204814, 0.229473, 0.236739, 0.23987, 0.235707, 0.230881, 0.212534, 0.200727, 0.174973, 0.117867, 0.0732433, 0.049444, 0.0307231, 0.0168052, 0.00887824, 0.00482848, 0.00312864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.412636, 0.433394, 0.444266, 0.45451, 0.469917, 0.480841, 0.497712, 0.513458, 0.528619, 0.576721, 0.599183, 0.622262, 0.651655, 0.679059, 0.732429, 0.776545, 0.881665, 0.943552, 0.993959, 1.03517, 1.06706, 1.11202, 1.1245, 1.14946, 1.1906, 1.24901, 1.3087, 1.35425, 1.43548, 1.51671, 1.59795, 1.76041");
-            values ( \
-              "0.107087, 0.133834, 0.181578, 0.214029, 0.243586, 0.254639, 0.262558, 0.26442, 0.26348, 0.256325, 0.251957, 0.246349, 0.238377, 0.230205, 0.210854, 0.189627, 0.130863, 0.0991977, 0.0771528, 0.0620849, 0.0521016, 0.0403496, 0.0375441, 0.032452, 0.0254019, 0.0177934, 0.0123372, 0.00928973, 0.00553631, 0.00328828, 0.00194935, 0.000683717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.412517, 0.451662, 0.464463, 0.479057, 0.493255, 0.509418, 0.528621, 0.56043, 0.586245, 0.622469, 0.681096, 0.796002, 0.871023, 0.928631, 1.00986, 1.04506, 1.10529, 1.17717, 1.37644, 1.50292, 1.59925, 1.69836, 1.77959, 1.85676, 1.89556, 1.97316, 2.05439, 2.1248, 2.17882, 2.25084, 2.33207, 2.49453, 2.657, 2.9007, 3.14439");
-            values ( \
-              "0.0909939, 0.211964, 0.242465, 0.262624, 0.272343, 0.277635, 0.279519, 0.278716, 0.278541, 0.275415, 0.268547, 0.253795, 0.243395, 0.234719, 0.220576, 0.213344, 0.199383, 0.180466, 0.125149, 0.093916, 0.0738537, 0.05682, 0.0454196, 0.0365018, 0.0326577, 0.0260243, 0.0204584, 0.0165592, 0.014075, 0.0113159, 0.00882163, 0.00534952, 0.00323473, 0.00152138, 0.000709702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.740823, 0.755196, 0.761612, 0.782831, 0.795006, 0.799107, 0.806021, 0.812741, 0.81945, 0.831941, 0.840541, 0.848132, 0.858079, 0.869844, 0.877647, 0.888051, 0.906378, 0.908254");
-            values ( \
-              "0.0114556, 0.015763, 0.0255753, 0.0668509, 0.0871866, 0.0921452, 0.0974712, 0.0979129, 0.0900586, 0.0548448, 0.0364805, 0.0248323, 0.0145654, 0.00759448, 0.00494848, 0.00279231, 0.00101771, 0.000983137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.74082, 0.755004, 0.762372, 0.76752, 0.793202, 0.80428, 0.814064, 0.823386, 0.832709, 0.837674, 0.842817, 0.853102, 0.860338, 0.865906, 0.875242, 0.88678, 0.893734, 0.902594, 0.913158, 0.918066, 0.92548, 0.935366, 0.955139, 0.984367, 1.02028");
-            values ( \
-              "0.0177163, 0.0209204, 0.0344975, 0.0464203, 0.112959, 0.134568, 0.145339, 0.148572, 0.144108, 0.136918, 0.125628, 0.097396, 0.0796919, 0.0672477, 0.0496999, 0.0332537, 0.0258529, 0.0185991, 0.0124032, 0.0102869, 0.00774211, 0.00528528, 0.00238431, 0.000700478, 0.00015033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.741039, 0.761417, 0.776306, 0.795573, 0.801164, 0.809316, 0.824947, 0.839594, 0.85424, 0.868847, 0.878247, 0.909605, 0.927445, 0.941808, 0.956883, 0.969509, 0.980967, 0.996245, 1.00832, 1.02154, 1.03916, 1.07441, 1.09476");
-            values ( \
-              "0.018317, 0.0378761, 0.0793123, 0.140543, 0.15561, 0.173215, 0.193019, 0.197939, 0.193708, 0.181502, 0.168056, 0.109609, 0.0804603, 0.061293, 0.0452465, 0.0346703, 0.0270792, 0.019287, 0.0146863, 0.010878, 0.00723404, 0.00305254, 0.00212574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.000305229, 0.330523, 0.574221, 0.659533, 0.706184, 0.753258, 0.77084, 0.806554, 0.822009, 0.834932, 0.854568, 0.860527, 0.872443, 0.886108, 0.911845, 0.925237, 0.95175, 1.02169, 1.06344, 1.08307, 1.10994, 1.14863, 1.1697, 1.19929, 1.23237, 1.25952, 1.28209, 1.32723, 1.35065");
-            values ( \
-              "1e-22, 2.79091e-05, 0.000880749, 1e-22, 2e-22, 0.024558, 0.0669414, 0.185807, 0.216063, 0.229399, 0.236468, 0.236377, 0.234519, 0.230414, 0.218948, 0.211086, 0.190472, 0.116837, 0.0790826, 0.0647644, 0.0485037, 0.0312755, 0.0244308, 0.0171088, 0.0111329, 0.00811388, 0.00617612, 0.0034773, 0.00280418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.752622, 0.779655, 0.804712, 0.824545, 0.832679, 0.848948, 0.865631, 0.881116, 0.904922, 0.969838, 1.03227, 1.08581, 1.14125, 1.23672, 1.30829, 1.3829, 1.41837, 1.47936, 1.56422, 1.60946, 1.65926, 1.72566, 1.80689, 1.88812, 1.93808");
-            values ( \
-              "0.0819868, 0.10298, 0.192993, 0.235764, 0.246069, 0.257793, 0.262471, 0.262727, 0.260242, 0.246021, 0.228641, 0.209842, 0.18311, 0.130258, 0.0945404, 0.0645266, 0.0531347, 0.0375393, 0.0226521, 0.0172023, 0.0126677, 0.00834294, 0.0049736, 0.00294729, 0.00246948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.753208, 0.79767, 0.809299, 0.822589, 0.833339, 0.852458, 0.867721, 0.881583, 0.905223, 0.935104, 0.975782, 1.07331, 1.26038, 1.28374, 1.33046, 1.40017, 1.47617, 1.53237, 1.72991, 1.79219, 1.855, 1.93623, 1.99053, 2.06294, 2.14417, 2.19271, 2.2394, 2.30165, 2.37031, 2.45155, 2.50012, 2.58135, 2.66259, 2.74382, 2.82505, 2.98752, 3.23121, 3.47491");
-            values ( \
-              "0.0646625, 0.170384, 0.208358, 0.23899, 0.255064, 0.271221, 0.276729, 0.278844, 0.279388, 0.277529, 0.273495, 0.262068, 0.237874, 0.234559, 0.226828, 0.213274, 0.195335, 0.180418, 0.125582, 0.109546, 0.0946063, 0.0773561, 0.067226, 0.0553771, 0.0442144, 0.0385495, 0.0337277, 0.0281473, 0.0229913, 0.0180398, 0.0155912, 0.012188, 0.00951108, 0.00741328, 0.00577197, 0.00349233, 0.00163903, 0.000768237" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0127013, 0.0127258, 0.0127544, 0.0127797, 0.0127969, 0.0128072", \
-            "0.0158584, 0.0158776, 0.0159047, 0.0159338, 0.0159577, 0.0159728", \
-            "0.0179017, 0.0179075, 0.0179195, 0.0179378, 0.017957, 0.017972", \
-            "0.0192061, 0.0192047, 0.0192039, 0.0192062, 0.0192129, 0.0192211", \
-            "0.0201056, 0.0201044, 0.0201023, 0.0200996, 0.0200979, 0.0200987", \
-            "0.0207627, 0.0207619, 0.0207605, 0.0207582, 0.0207551, 0.0207523" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0188354, 0.0188535, 0.0188854, 0.0189247, 0.0189599, 0.0189836", \
-            "0.0197961, 0.019781, 0.0197712, 0.0197757, 0.019791, 0.0198077", \
-            "0.0196723, 0.0196545, 0.0196263, 0.0195966, 0.0195783, 0.0195729", \
-            "0.019382, 0.0193788, 0.0193694, 0.0193481, 0.0193194, 0.0192963", \
-            "0.0199812, 0.0200166, 0.0200394, 0.0200594, 0.0200489, 0.0200408", \
-            "0.0198069, 0.0198034, 0.0198104, 0.0199235, 0.0199922, 0.020025" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0945136, 0.101232, 0.106806, 0.114341, 0.120408, 0.127569, 0.133101, 0.136712, 0.141975, 0.145104, 0.147749, 0.157136, 0.16209, 0.169542, 0.175534, 0.180484, 0.19035, 0.200433");
-            values ( \
-              "-0.00238707, -0.0575243, -0.08457, -0.103105, -0.113046, -0.120712, -0.124162, -0.124993, -0.121829, -0.114641, -0.104856, -0.0546589, -0.034492, -0.0160048, -0.00839259, -0.00485649, -0.00149883, -0.000605862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0957747, 0.103785, 0.108147, 0.112645, 0.116691, 0.122411, 0.131103, 0.139229, 0.147009, 0.154607, 0.1622, 0.167232, 0.170623, 0.182335, 0.189659, 0.196955, 0.205425, 0.212921, 0.221488, 0.236512");
-            values ( \
-              "-0.00477777, -0.0901092, -0.112838, -0.128357, -0.139142, -0.150761, -0.163795, -0.17315, -0.179244, -0.181605, -0.175482, -0.162926, -0.148668, -0.0864393, -0.056054, -0.0350426, -0.019641, -0.0115984, -0.00622729, -0.00193606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0986009, 0.105482, 0.111901, 0.120745, 0.135897, 0.149363, 0.161887, 0.173907, 0.185732, 0.19755, 0.201737, 0.20614, 0.235548, 0.24806, 0.26031, 0.272211, 0.279085, 0.292834, 0.311151");
-            values ( \
-              "-0.0485841, -0.117882, -0.147916, -0.173729, -0.202828, -0.221781, -0.234801, -0.241968, -0.242502, -0.230759, -0.222258, -0.208952, -0.0909351, -0.0577346, -0.0361164, -0.0225023, -0.0170535, -0.00961965, -0.0048387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0976705, 0.109404, 0.11523, 0.119911, 0.134474, 0.15503, 0.167396, 0.181013, 0.201624, 0.221719, 0.241802, 0.251085, 0.262803, 0.267526, 0.276306, 0.306603, 0.327115, 0.341502, 0.354168, 0.364691, 0.37679, 0.393919, 0.411669, 0.425256, 0.45243, 0.502531, 0.561765");
-            values ( \
-              "-0.0536622, -0.1505, -0.174281, -0.188032, -0.221101, -0.255809, -0.27147, -0.284275, -0.296244, -0.299567, -0.294078, -0.287258, -0.273348, -0.265119, -0.245121, -0.159144, -0.110984, -0.084636, -0.0660292, -0.0534956, -0.0417771, -0.0292053, -0.0201106, -0.0150509, -0.00828526, -0.00248284, -0.000548886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0971164, 0.116764, 0.134086, 0.158541, 0.175021, 0.193966, 0.212159, 0.237885, 0.274694, 0.311519, 0.348458, 0.363848, 0.376317, 0.389759, 0.410557, 0.477233, 0.503424, 0.523139, 0.543391, 0.570391, 0.594625, 0.626938, 0.666794, 0.691503, 0.740923, 0.804114, 0.867306, 0.993688");
-            values ( \
-              "-0.0751808, -0.187685, -0.231907, -0.276792, -0.298684, -0.31684, -0.328403, -0.337745, -0.341291, -0.336339, -0.323666, -0.315322, -0.306681, -0.294626, -0.268799, -0.165237, -0.131259, -0.109515, -0.0903598, -0.069361, -0.0544442, -0.0390846, -0.025823, -0.0199129, -0.0116826, -0.00581048, -0.00287733, -0.000702395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.107196, 0.136425, 0.17527, 0.200862, 0.224302, 0.250776, 0.274901, 0.311012, 0.346379, 0.418082, 0.44712, 0.491869, 0.555061, 0.595301, 0.65046, 0.713651, 0.803602, 0.907948, 0.970557, 1.02314, 1.11762, 1.18081, 1.23608, 1.33278, 1.39598, 1.57842");
-            values ( \
-              "-0.237947, -0.24343, -0.309008, -0.334529, -0.349583, -0.359238, -0.364314, -0.36676, -0.366322, -0.359958, -0.355966, -0.349131, -0.335934, -0.324607, -0.301801, -0.258832, -0.187196, -0.119841, -0.0898279, -0.0699066, -0.0439373, -0.0319766, -0.024138, -0.0146746, -0.0106096, -0.0041643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.114499, 0.120965, 0.126597, 0.134074, 0.140134, 0.149142, 0.156438, 0.158926, 0.161727, 0.16706, 0.181615, 0.186358, 0.192397, 0.197198, 0.2036, 0.207251");
-            values ( \
-              "-0.0051865, -0.0574355, -0.0847104, -0.103079, -0.11305, -0.122181, -0.124979, -0.124397, -0.121487, -0.106755, -0.0352418, -0.021776, -0.0114226, -0.00674889, -0.00335178, -0.00251067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.114784, 0.126114, 0.132435, 0.137464, 0.142204, 0.150894, 0.159027, 0.166791, 0.174387, 0.181978, 0.187047, 0.1904, 0.202111, 0.209432, 0.216734, 0.225203, 0.232685, 0.241236, 0.255971");
-            values ( \
-              "-0.00541199, -0.105345, -0.128322, -0.141429, -0.150726, -0.163876, -0.173249, -0.179307, -0.181653, -0.175516, -0.162807, -0.148695, -0.0864319, -0.0560551, -0.0350253, -0.0196277, -0.011618, -0.00622953, -0.00202873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.11412, 0.13173, 0.140572, 0.155714, 0.169167, 0.181705, 0.193711, 0.205535, 0.217352, 0.221548, 0.225947, 0.255355, 0.267867, 0.280105, 0.292015, 0.298898, 0.312665, 0.329704");
-            values ( \
-              "-0.0146512, -0.147984, -0.173863, -0.202901, -0.221904, -0.234815, -0.242038, -0.242466, -0.230807, -0.222235, -0.208939, -0.0909199, -0.0577258, -0.0361261, -0.0225003, -0.0170478, -0.00960709, -0.00516765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.114553, 0.129239, 0.135451, 0.145504, 0.165171, 0.17895, 0.186828, 0.196686, 0.209026, 0.221375, 0.241466, 0.261554, 0.270683, 0.282549, 0.287878, 0.296385, 0.326398, 0.343274, 0.352012, 0.369474, 0.387214, 0.398708, 0.421245, 0.434252, 0.460266, 0.506969, 0.561701");
-            values ( \
-              "-0.0129416, -0.150032, -0.176611, -0.203538, -0.241209, -0.261632, -0.271164, -0.28092, -0.290078, -0.296103, -0.299543, -0.293977, -0.287353, -0.273329, -0.263919, -0.244258, -0.159035, -0.118518, -0.100851, -0.0719887, -0.0505128, -0.0398961, -0.0248927, -0.0189079, -0.0107066, -0.00356602, -0.000912353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.124848, 0.146785, 0.165906, 0.178303, 0.193872, 0.219733, 0.239479, 0.25756, 0.29439, 0.316248, 0.331243, 0.361234, 0.384921, 0.409414, 0.450498, 0.482882, 0.529635, 0.549989, 0.577127, 0.598011, 0.622622, 0.655438, 0.677898, 0.700868, 0.731493, 0.792745, 0.855937, 0.982319");
-            values ( \
-              "-0.209058, -0.216541, -0.256457, -0.276819, -0.298061, -0.321417, -0.331801, -0.337867, -0.341178, -0.339069, -0.336171, -0.326567, -0.314353, -0.294599, -0.237692, -0.18606, -0.123993, -0.102634, -0.0789996, -0.0642871, -0.0501959, -0.0357916, -0.0283355, -0.0222893, -0.0161179, -0.00822304, -0.00408285, -0.000999113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.134162, 0.195088, 0.220523, 0.257583, 0.29226, 0.325904, 0.36003, 0.401159, 0.501019, 0.573447, 0.617348, 0.670062, 0.733253, 0.823614, 0.927167, 0.9894, 1.04242, 1.13758, 1.25549, 1.3518, 1.41499, 1.57898");
-            values ( \
-              "-0.278662, -0.309554, -0.334822, -0.355313, -0.363983, -0.366698, -0.366416, -0.363612, -0.350831, -0.336292, -0.323868, -0.30173, -0.258889, -0.186853, -0.120026, -0.0901323, -0.0700143, -0.0438527, -0.0241655, -0.0147251, -0.010632, -0.00483777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.155361, 0.173669, 0.184316, 0.189938, 0.195323, 0.200586, 0.205845, 0.208116, 0.211594, 0.225734, 0.230383, 0.238115, 0.246836");
-            values ( \
-              "-0.00152227, -0.0925217, -0.113389, -0.119792, -0.12387, -0.125427, -0.122174, -0.117221, -0.105157, -0.0351013, -0.021958, -0.00960863, -0.00391789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.155152, 0.178046, 0.186425, 0.195101, 0.203223, 0.210968, 0.218552, 0.226131, 0.231364, 0.253859, 0.26054, 0.269092, 0.277805, 0.287762, 0.290128");
-            values ( \
-              "-0.00290617, -0.132307, -0.151022, -0.164278, -0.173543, -0.179666, -0.181852, -0.17574, -0.162354, -0.0550563, -0.0358039, -0.0199738, -0.0108297, -0.00519044, -0.00463463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.154712, 0.184905, 0.200019, 0.217624, 0.225976, 0.237971, 0.249788, 0.2616, 0.265824, 0.27021, 0.299613, 0.31212, 0.324317, 0.336256, 0.34317, 0.356999, 0.373243");
-            values ( \
-              "-0.0154434, -0.174198, -0.203259, -0.227012, -0.235016, -0.242176, -0.242551, -0.230861, -0.222212, -0.208916, -0.0908868, -0.0577114, -0.0361748, -0.0225047, -0.0170257, -0.0095717, -0.00535256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.154623, 0.182713, 0.193105, 0.198695, 0.209875, 0.223336, 0.239903, 0.245181, 0.255739, 0.265742, 0.285822, 0.305902, 0.308248, 0.315511, 0.326926, 0.330385, 0.337302, 0.365303, 0.379591, 0.390295, 0.405495, 0.418243, 0.429006, 0.441049, 0.458135, 0.46564, 0.475725, 0.489171, 0.516064, 0.566087, 0.625161");
-            values ( \
-              "-0.00850523, -0.184755, -0.210432, -0.221904, -0.242014, -0.261945, -0.280228, -0.28465, -0.291694, -0.296255, -0.299652, -0.294019, -0.292528, -0.286964, -0.273313, -0.267447, -0.252868, -0.173948, -0.13684, -0.112986, -0.0848987, -0.0661349, -0.0533241, -0.0416873, -0.0291707, -0.0249277, -0.0201552, -0.015129, -0.00838506, -0.00251776, -0.000560927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.164608, 0.18048, 0.192999, 0.208597, 0.238483, 0.264006, 0.276969, 0.301816, 0.338638, 0.375455, 0.415485, 0.440287, 0.453702, 0.474501, 0.541176, 0.587084, 0.634336, 0.65857, 0.690882, 0.730739, 0.755449, 0.804869, 0.86806, 0.903783");
-            values ( \
-              "-0.127301, -0.187797, -0.22203, -0.254346, -0.299033, -0.321857, -0.328674, -0.338198, -0.340974, -0.336686, -0.322158, -0.306628, -0.294639, -0.268772, -0.165247, -0.109505, -0.0693524, -0.054437, -0.0390916, -0.0258294, -0.0199067, -0.0116886, -0.0058044, -0.00415309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.172295, 0.21339, 0.239764, 0.264869, 0.299795, 0.338868, 0.373085, 0.410217, 0.433304, 0.48213, 0.555809, 0.632366, 0.695557, 0.714481, 0.752328, 0.85545, 0.948275, 1.02863, 1.08469, 1.13583, 1.18409, 1.24728, 1.28319, 1.37819, 1.44138, 1.56777, 1.63096, 1.88372");
-            values ( \
-              "-0.232446, -0.270408, -0.310609, -0.335305, -0.354839, -0.364507, -0.366969, -0.366313, -0.364784, -0.359875, -0.349123, -0.332666, -0.310813, -0.301785, -0.277819, -0.196138, -0.132976, -0.0923283, -0.0705716, -0.0550242, -0.0434099, -0.0314686, -0.0262373, -0.0162142, -0.0116108, -0.00598882, -0.00437768, -0.00119269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.252435, 0.257571, 0.264031, 0.269531, 0.279228, 0.28492, 0.290339, 0.295628, 0.300914, 0.304548, 0.319426, 0.32414, 0.327655, 0.332275, 0.335497, 0.34194, 0.352122, 0.356551");
-            values ( \
-              "-0.00652757, -0.0445712, -0.074945, -0.0922858, -0.111789, -0.118528, -0.12326, -0.124804, -0.121927, -0.113042, -0.0401493, -0.0252264, -0.0174431, -0.0106517, -0.00747918, -0.00361929, -0.00109686, -0.00101041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.252904, 0.260427, 0.265212, 0.271317, 0.277169, 0.281314, 0.29009, 0.298241, 0.306029, 0.313635, 0.321238, 0.326159, 0.329665, 0.341383, 0.348718, 0.355999, 0.364473, 0.372005, 0.380612, 0.395734, 0.413658, 0.436475");
-            values ( \
-              "-0.00143812, -0.071536, -0.0991811, -0.123652, -0.139993, -0.148725, -0.163045, -0.172702, -0.179037, -0.181379, -0.175366, -0.163183, -0.14859, -0.0863954, -0.0559979, -0.0350452, -0.0196368, -0.0115849, -0.00618557, -0.00190459, -0.000513952, -0.000158376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.252982, 0.267157, 0.270965, 0.280063, 0.295325, 0.305689, 0.315143, 0.321385, 0.33341, 0.345247, 0.357079, 0.361232, 0.365654, 0.381207, 0.395074, 0.407596, 0.419902, 0.431764, 0.438598, 0.452265, 0.476945, 0.505819");
-            values ( \
-              "-0.00631504, -0.124136, -0.142407, -0.171253, -0.201894, -0.217378, -0.228573, -0.234371, -0.241732, -0.242184, -0.230636, -0.222183, -0.208894, -0.142633, -0.0909634, -0.0577464, -0.0360481, -0.0224954, -0.0170834, -0.0096679, -0.00319589, -0.000840706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.258812, 0.268869, 0.279649, 0.286578, 0.305381, 0.319031, 0.3377, 0.347395, 0.361529, 0.377989, 0.388862, 0.401726, 0.419794, 0.422786, 0.42877, 0.440738, 0.45966, 0.483409, 0.498591, 0.512441, 0.528317, 0.53891, 0.561534, 0.574283, 0.599782, 0.642315, 0.696687");
-            values ( \
-              "-0.0935786, -0.144433, -0.185662, -0.204058, -0.240984, -0.261237, -0.2817, -0.28894, -0.296003, -0.299445, -0.298548, -0.293693, -0.277414, -0.273191, -0.262415, -0.2329, -0.178035, -0.118619, -0.0894348, -0.0682434, -0.0496759, -0.0399696, -0.024891, -0.0190122, -0.0108947, -0.00407766, -0.00105625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.264265, 0.285973, 0.301854, 0.318581, 0.335248, 0.35497, 0.370208, 0.390526, 0.412556, 0.434655, 0.471368, 0.496806, 0.528631, 0.549724, 0.590956, 0.64933, 0.677096, 0.72025, 0.745548, 0.782522, 0.827106, 0.852512, 0.903323, 0.966514, 0.998652");
-            values ( \
-              "-0.195761, -0.213047, -0.247936, -0.276972, -0.298988, -0.317638, -0.327378, -0.335698, -0.340098, -0.34099, -0.336227, -0.328387, -0.312101, -0.29458, -0.237415, -0.149112, -0.11611, -0.0768336, -0.0598071, -0.0410816, -0.0258331, -0.019768, -0.0114194, -0.00567431, -0.0042195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.270476, 0.309845, 0.337608, 0.357069, 0.383521, 0.401532, 0.434873, 0.471118, 0.506333, 0.559723, 0.614361, 0.715015, 0.755256, 0.810415, 0.873607, 0.990072, 1.04428, 1.12507, 1.181, 1.23183, 1.28017, 1.37939, 1.46581, 1.529, 1.71857, 1.73424");
-            values ( \
-              "-0.261968, -0.270479, -0.312241, -0.331889, -0.349047, -0.356541, -0.364204, -0.366931, -0.366175, -0.361977, -0.355037, -0.336054, -0.324716, -0.301688, -0.258939, -0.168098, -0.133104, -0.0922143, -0.0705463, -0.0550881, -0.0434275, -0.0262479, -0.0169421, -0.0121538, -0.00456879, -0.00436229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.441914, 0.447054, 0.449849, 0.455261, 0.460737, 0.468807, 0.471653, 0.477344, 0.479363, 0.483225, 0.487009, 0.488679, 0.491379, 0.494132, 0.499639, 0.508668, 0.514611, 0.519472, 0.52311, 0.527225, 0.530447, 0.53689, 0.540395");
-            values ( \
-              "-0.0239111, -0.033924, -0.0430164, -0.0654592, -0.0823357, -0.100472, -0.105533, -0.113884, -0.116102, -0.119354, -0.121055, -0.121181, -0.120446, -0.117655, -0.103382, -0.0581336, -0.0343609, -0.0213408, -0.0146705, -0.00952907, -0.00673804, -0.00330351, -0.00252979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.43862, 0.462923, 0.469541, 0.478624, 0.482729, 0.491161, 0.49924, 0.507224, 0.515207, 0.519657, 0.524193, 0.543995, 0.550163, 0.559096, 0.568048, 0.579535, 0.580018");
-            values ( \
-              "-0.000174604, -0.112579, -0.132015, -0.151666, -0.1581, -0.167271, -0.171507, -0.171753, -0.165474, -0.156364, -0.141018, -0.0542984, -0.0371971, -0.020801, -0.011333, -0.00500363, -0.00489852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.440734, 0.462634, 0.472426, 0.481647, 0.488276, 0.498335, 0.509154, 0.528493, 0.541156, 0.550136, 0.560606, 0.568224, 0.592764, 0.606901, 0.617195, 0.632223, 0.643118, 0.657646, 0.670431");
-            values ( \
-              "-0.0017979, -0.128877, -0.162718, -0.184708, -0.195974, -0.207569, -0.215754, -0.225244, -0.226237, -0.22107, -0.205083, -0.181398, -0.0904769, -0.05474, -0.0371306, -0.0205708, -0.0132728, -0.00742841, -0.00485839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.425523, 0.501871, 0.520214, 0.54205, 0.565285, 0.578347, 0.589192, 0.600668, 0.621524, 0.626455, 0.63209, 0.64336, 0.664924, 0.686901, 0.700954, 0.714, 0.724877, 0.73692, 0.754016, 0.771551, 0.784946, 0.811735, 0.861748, 0.920765");
-            values ( \
-              "-0.00801373, -0.233754, -0.251572, -0.26843, -0.281467, -0.286735, -0.288109, -0.286058, -0.269785, -0.262402, -0.2514, -0.222712, -0.1623, -0.110825, -0.0851874, -0.0660406, -0.0531616, -0.0415877, -0.0291144, -0.020152, -0.015151, -0.00842318, -0.00253509, -0.000566426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.447766, 0.47388, 0.481768, 0.495223, 0.503097, 0.526051, 0.541695, 0.574147, 0.584333, 0.59697, 0.61474, 0.634529, 0.666614, 0.682164, 0.702897, 0.709771, 0.72352, 0.750265, 0.784411, 0.839148, 0.870747, 0.903677, 0.930941, 0.955766, 0.988867, 1.01557, 1.04506, 1.08437, 1.14756, 1.21075, 1.33714");
-            values ( \
-              "-0.06534, -0.189918, -0.212456, -0.238083, -0.248669, -0.274133, -0.288877, -0.314927, -0.321988, -0.327721, -0.333678, -0.33604, -0.334913, -0.33168, -0.324692, -0.322051, -0.314707, -0.294278, -0.248452, -0.163485, -0.123574, -0.0906899, -0.0695584, -0.0542945, -0.0385368, -0.0293185, -0.0215584, -0.0140564, -0.00710563, -0.00341872, -0.000782608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.467274, 0.523244, 0.577987, 0.610302, 0.63487, 0.671648, 0.706828, 0.778903, 0.852608, 0.915799, 0.956076, 1.01121, 1.07441, 1.16631, 1.26744, 1.32615, 1.38229, 1.48027, 1.5959, 1.75371, 1.90453");
-            values ( \
-              "-0.27784, -0.280213, -0.33243, -0.351336, -0.359108, -0.364306, -0.364873, -0.359467, -0.348934, -0.335988, -0.324658, -0.301717, -0.258905, -0.185705, -0.120498, -0.0920094, -0.0704401, -0.0435067, -0.0242506, -0.0107681, -0.00536853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.827267, 0.843891, 0.859483, 0.87213, 0.879298, 0.885872, 0.89214, 0.898285, 0.904422, 0.905421, 0.914704, 0.920838, 0.926053, 0.929145, 0.933553, 0.93943, 0.949561, 0.959519, 0.982946, 1.02068");
-            values ( \
-              "-3.5124e-06, -0.0298641, -0.0652433, -0.0867438, -0.0961504, -0.102746, -0.106793, -0.106811, -0.0957724, -0.0925558, -0.0519546, -0.0307237, -0.0187236, -0.0137822, -0.00884724, -0.00480867, -0.00158363, -0.000573933, -5.66676e-05, -7.75926e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.831557, 0.858808, 0.874467, 0.88527, 0.894853, 0.903741, 0.912283, 0.920776, 0.929265, 0.948421, 0.955729, 0.967259, 0.976115, 0.985561, 0.985902");
-            values ( \
-              "-0.00702051, -0.0814674, -0.117146, -0.136617, -0.149114, -0.158557, -0.162707, -0.160044, -0.140377, -0.0588417, -0.0380439, -0.0179592, -0.00983869, -0.00505966, -0.00498296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.835355, 0.84017, 0.847005, 0.872575, 0.891174, 0.906602, 0.920548, 0.933815, 0.946824, 0.960125, 0.965021, 0.969557, 0.974784, 0.993146, 1.00053, 1.01279, 1.02505, 1.03453, 1.04452, 1.05219, 1.06753, 1.07709");
-            values ( \
-              "-0.030956, -0.0399575, -0.0558693, -0.133438, -0.173402, -0.197572, -0.212536, -0.219594, -0.220214, -0.209868, -0.202081, -0.191642, -0.175388, -0.109035, -0.0864991, -0.0569524, -0.036465, -0.025427, -0.0173149, -0.0128138, -0.00688004, -0.00520098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.835083, 0.854739, 0.870527, 0.881704, 0.90295, 0.916881, 0.93364, 0.941106, 0.951938, 0.977767, 0.98612, 1.00283, 1.01873, 1.03072, 1.0536, 1.07346, 1.09808, 1.1132, 1.12552, 1.14495, 1.17091, 1.18616, 1.20359, 1.21581, 1.24273, 1.2852, 1.31599");
-            values ( \
-              "-0.0340969, -0.0876491, -0.139817, -0.169759, -0.214131, -0.235336, -0.252257, -0.257185, -0.262412, -0.270296, -0.271775, -0.271961, -0.266484, -0.256603, -0.21427, -0.162482, -0.107637, -0.0816028, -0.0644748, -0.0439115, -0.025761, -0.0187862, -0.0129782, -0.0100379, -0.00568482, -0.00233507, -0.00121328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.835998, 0.882533, 0.901836, 0.916777, 0.923931, 0.935722, 0.949942, 0.976983, 1.00422, 1.02035, 1.04383, 1.08284, 1.12185, 1.12925, 1.15005, 1.16385, 1.18522, 1.25345, 1.2859, 1.3076, 1.33239, 1.35424, 1.37895, 1.41189, 1.43427, 1.45715, 1.48766, 1.54867, 1.61186, 1.67505, 1.73824");
-            values ( \
-              "-0.0338525, -0.180738, -0.224578, -0.249955, -0.259194, -0.271848, -0.282102, -0.297276, -0.309864, -0.315357, -0.320073, -0.320908, -0.312055, -0.309132, -0.297937, -0.28722, -0.263375, -0.163151, -0.123157, -0.100881, -0.079567, -0.0642051, -0.0501244, -0.0357167, -0.0283094, -0.0222958, -0.016144, -0.00826504, -0.00410219, -0.00202925, -0.00100285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.836308, 0.883224, 0.910303, 0.929493, 0.953609, 1.01556, 1.04461, 1.06809, 1.09411, 1.11943, 1.17007, 1.19357, 1.21566, 1.26882, 1.30536, 1.3459, 1.40909, 1.42816, 1.4663, 1.5689, 1.62661, 1.665, 1.73294, 1.79548, 1.86516, 1.91045, 1.97347, 2.04515, 2.10834, 2.17153, 2.29791, 2.42429, 2.61387");
-            values ( \
-              "-0.0366321, -0.186127, -0.246582, -0.274459, -0.295384, -0.331866, -0.342379, -0.347799, -0.351148, -0.352866, -0.351874, -0.350355, -0.348049, -0.345033, -0.34011, -0.331657, -0.310741, -0.301543, -0.277639, -0.196397, -0.155064, -0.131268, -0.096414, -0.0715928, -0.050993, -0.040703, -0.0295943, -0.0205441, -0.0148259, -0.0106842, -0.00552757, -0.00285229, -0.00105284" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0125233, 0.0125466, 0.0125754, 0.0126028, 0.0126231, 0.0126357", \
-            "0.0146849, 0.0146947, 0.0147109, 0.0147311, 0.0147496, 0.0147629", \
-            "0.015882, 0.0158834, 0.0158874, 0.0158954, 0.0159063, 0.0159162", \
-            "0.0165404, 0.0165406, 0.0165411, 0.0165425, 0.0165459, 0.0165511", \
-            "0.0168661, 0.0168659, 0.0168659, 0.0168658, 0.0168661, 0.0168673", \
-            "0.0170376, 0.0170372, 0.0170368, 0.0170361, 0.0170354, 0.0170349" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0168169, 0.0168209, 0.0168284, 0.0168449, 0.01686, 0.0168721", \
-            "0.0178909, 0.0178896, 0.0178893, 0.0178908, 0.0178934, 0.0178954", \
-            "0.0184542, 0.0184506, 0.0184453, 0.0184388, 0.0184337, 0.0184309", \
-            "0.0190281, 0.0190172, 0.0190013, 0.0189824, 0.0189651, 0.018953", \
-            "0.0198985, 0.0198995, 0.0198965, 0.0198886, 0.0198772, 0.0198648", \
-            "0.0222889, 0.0222675, 0.0223352, 0.0224416, 0.0224926, 0.0225073" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0896375, 0.105554, 0.135206, 0.193657, 0.313806, 0.563847", \
-            "0.0939887, 0.109798, 0.1393, 0.197601, 0.317639, 0.567614", \
-            "0.102074, 0.117769, 0.147195, 0.205298, 0.325193, 0.57504", \
-            "0.113354, 0.129895, 0.159959, 0.218251, 0.338047, 0.587803", \
-            "0.121952, 0.139496, 0.170668, 0.230167, 0.351074, 0.600805", \
-            "0.116737, 0.135817, 0.169256, 0.229795, 0.350832, 0.602255" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0360733, 0.0541295, 0.0925039, 0.17511, 0.350755, 0.719265", \
-            "0.0360948, 0.0541693, 0.0924951, 0.175116, 0.350758, 0.719266", \
-            "0.0362669, 0.0542994, 0.0926056, 0.175143, 0.350765, 0.719268", \
-            "0.0389657, 0.0568166, 0.0942504, 0.175734, 0.350796, 0.719274", \
-            "0.0424621, 0.0603137, 0.0972865, 0.178632, 0.352537, 0.719462", \
-            "0.0489847, 0.0669907, 0.103133, 0.181417, 0.354277, 0.721741" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.129305, 0.145351, 0.172522, 0.220507, 0.310564, 0.491273", \
-            "0.132093, 0.148021, 0.175311, 0.22335, 0.313366, 0.494051", \
-            "0.141925, 0.157787, 0.1851, 0.233191, 0.323334, 0.503918", \
-            "0.169558, 0.185171, 0.212323, 0.26038, 0.350458, 0.531041", \
-            "0.226095, 0.242211, 0.269913, 0.318146, 0.408711, 0.589432", \
-            "0.316393, 0.335, 0.36424, 0.413634, 0.505448, 0.6889" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0358488, 0.0495433, 0.0758389, 0.128013, 0.237413, 0.473688", \
-            "0.0357503, 0.049432, 0.0757225, 0.127984, 0.237367, 0.473548", \
-            "0.0355604, 0.0493179, 0.0756354, 0.127879, 0.237287, 0.473452", \
-            "0.0354359, 0.0492498, 0.0755861, 0.127829, 0.23743, 0.473461", \
-            "0.0388797, 0.0520162, 0.0782139, 0.130363, 0.238769, 0.473836", \
-            "0.0481734, 0.0603143, 0.0833546, 0.133347, 0.243611, 0.477894" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0632704, 0.0777893, 0.0818507, 0.0896126, 0.0957589, 0.0998331, 0.1038, 0.106671, 0.112248, 0.118818, 0.123987, 0.132552, 0.141635, 0.150461, 0.160381, 0.167555, 0.17712, 0.192938, 0.197713");
-            values ( \
-              "0.00211071, 0.088207, 0.101492, 0.116697, 0.121424, 0.12154, 0.119325, 0.115807, 0.101367, 0.0755337, 0.0584987, 0.0375416, 0.0227461, 0.0137473, 0.00772293, 0.00510894, 0.00308635, 0.00115661, 0.00104692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.065957, 0.078007, 0.0835543, 0.0891017, 0.0927942, 0.100179, 0.101101, 0.106038, 0.109091, 0.115197, 0.120863, 0.125479, 0.133985, 0.140388, 0.146975, 0.156261, 0.165864, 0.170293, 0.180167, 0.189205, 0.201983, 0.208733, 0.218257, 0.227729, 0.245369, 0.275743, 0.31339");
-            values ( \
-              "0.00149996, 0.112211, 0.134768, 0.151679, 0.159475, 0.169436, 0.170176, 0.17238, 0.172154, 0.170169, 0.16488, 0.157316, 0.136056, 0.114671, 0.0947762, 0.0707069, 0.0510853, 0.0437168, 0.0304549, 0.0216734, 0.013204, 0.010146, 0.0069735, 0.00506006, 0.00229291, 0.000800376, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0723788, 0.0839007, 0.0922674, 0.100622, 0.106517, 0.118308, 0.127884, 0.133018, 0.143288, 0.153417, 0.161226, 0.176106, 0.199112, 0.215359, 0.229009, 0.243863, 0.267794, 0.285672, 0.303679, 0.328325, 0.386936");
-            values ( \
-              "0.0880849, 0.156744, 0.185798, 0.204971, 0.211723, 0.218387, 0.216733, 0.214369, 0.207677, 0.197801, 0.187116, 0.160514, 0.113328, 0.0856813, 0.0655886, 0.0486074, 0.0291079, 0.0195138, 0.0128961, 0.00720312, 0.00190807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0717991, 0.0828858, 0.0927759, 0.106359, 0.121476, 0.130669, 0.148345, 0.166541, 0.20401, 0.219983, 0.233146, 0.259473, 0.29983, 0.337299, 0.362212, 0.383146, 0.399305, 0.428169, 0.461499, 0.490998, 0.544169, 0.590202, 0.669781, 0.749359");
-            values ( \
-              "0.0558021, 0.160087, 0.203185, 0.235443, 0.249604, 0.251869, 0.250351, 0.243637, 0.223916, 0.213055, 0.202507, 0.176301, 0.131054, 0.0939385, 0.0732358, 0.0588399, 0.0493305, 0.0355942, 0.024095, 0.0168739, 0.00880872, 0.00490586, 0.00165494, 0.000553081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0794189, 0.097538, 0.107174, 0.120383, 0.131027, 0.147288, 0.162042, 0.176769, 0.199702, 0.27129, 0.325391, 0.378514, 0.432054, 0.542179, 0.628177, 0.67954, 0.713582, 0.770197, 0.836287, 0.894635, 0.954123, 0.999508, 1.07909, 1.15866, 1.23824, 1.3974");
-            values ( \
-              "0.213336, 0.225117, 0.248112, 0.265408, 0.271644, 0.274746, 0.273821, 0.271351, 0.266238, 0.247306, 0.231142, 0.211469, 0.184921, 0.123058, 0.0818608, 0.0625573, 0.0519073, 0.0375801, 0.0254305, 0.0178013, 0.0123586, 0.00932255, 0.00560743, 0.00337217, 0.00201219, 0.000716478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0835734, 0.115234, 0.139455, 0.153837, 0.177822, 0.237487, 0.415679, 0.547586, 0.630549, 0.691655, 0.771233, 1.02413, 1.17171, 1.31354, 1.45734, 1.53778, 1.67755, 1.78561, 1.92217, 2.08132, 2.24048, 2.55879, 2.79753");
-            values ( \
-              "0.261272, 0.267553, 0.28522, 0.287693, 0.287947, 0.281382, 0.258198, 0.239549, 0.225859, 0.213486, 0.194782, 0.124769, 0.0888564, 0.0617141, 0.0414692, 0.0329255, 0.0217966, 0.0157313, 0.0103463, 0.00629431, 0.00379609, 0.00132922, 0.000817038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0859378, 0.0889299, 0.0919767, 0.0960512, 0.102747, 0.108589, 0.114081, 0.11949, 0.124894, 0.125737, 0.128625, 0.138841, 0.145168, 0.150167, 0.154809, 0.162812, 0.166773, 0.171318, 0.177881, 0.185577, 0.191631, 0.203739, 0.21865");
-            values ( \
-              "0.0309715, 0.056633, 0.0725359, 0.088377, 0.10743, 0.117093, 0.121459, 0.121128, 0.116158, 0.11447, 0.107401, 0.0697232, 0.0506939, 0.0390182, 0.0304085, 0.0194314, 0.0155138, 0.0119467, 0.00816261, 0.00527533, 0.00375422, 0.00189547, 0.000949292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.0867881, 0.092002, 0.0960884, 0.0992855, 0.106352, 0.11091, 0.119223, 0.12724, 0.135276, 0.139096, 0.142977, 0.147557, 0.153014, 0.162763, 0.170255, 0.175667, 0.182586, 0.187966, 0.193367, 0.202821, 0.207236, 0.216065, 0.223556, 0.233937, 0.247778, 0.274282, 0.305428");
-            values ( \
-              "0.0160669, 0.089141, 0.111411, 0.125554, 0.148973, 0.159218, 0.169933, 0.172333, 0.168625, 0.164592, 0.158735, 0.14865, 0.132725, 0.1013, 0.0810552, 0.068058, 0.0538562, 0.0446213, 0.036745, 0.0258785, 0.0218921, 0.0155997, 0.0116645, 0.00780061, 0.00452401, 0.00147338, 0.000403669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0888838, 0.0958812, 0.101991, 0.110365, 0.122164, 0.124674, 0.129694, 0.137938, 0.151173, 0.153638, 0.160411, 0.164806, 0.171738, 0.179369, 0.194257, 0.206489, 0.217256, 0.22541, 0.235047, 0.247387, 0.257024, 0.269873, 0.279238, 0.286768, 0.296809, 0.31443, 0.322529, 0.334607, 0.350712, 0.382921, 0.433298, 0.49477");
-            values ( \
-              "0.0394354, 0.12432, 0.155905, 0.185968, 0.209604, 0.212185, 0.215906, 0.21802, 0.214602, 0.213153, 0.208403, 0.204618, 0.197443, 0.187257, 0.160337, 0.134715, 0.113557, 0.0988015, 0.0830126, 0.0655641, 0.0540981, 0.041412, 0.0339089, 0.0288028, 0.0230811, 0.0154841, 0.0128909, 0.00978567, 0.0067367, 0.00308569, 0.000807497, 0.000137199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.0895302, 0.100824, 0.1107, 0.124293, 0.129481, 0.139422, 0.148604, 0.166085, 0.184477, 0.22195, 0.23792, 0.251086, 0.277418, 0.317743, 0.355276, 0.380167, 0.401048, 0.417161, 0.44611, 0.479468, 0.508956, 0.562046, 0.608004, 0.687583, 0.767161");
-            values ( \
-              "0.0517122, 0.160206, 0.203177, 0.235411, 0.241906, 0.249559, 0.251883, 0.250344, 0.243581, 0.223863, 0.213048, 0.202504, 0.17629, 0.131085, 0.0939061, 0.0732265, 0.0588648, 0.0493778, 0.0355945, 0.0240873, 0.0168707, 0.00881588, 0.00491487, 0.00165799, 0.000554094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0964852, 0.125075, 0.138117, 0.148928, 0.165352, 0.180024, 0.194671, 0.241683, 0.289193, 0.343295, 0.396418, 0.449953, 0.560081, 0.646066, 0.697462, 0.731498, 0.788102, 0.854187, 0.912538, 0.972034, 1.01743, 1.097, 1.17658, 1.24071");
-            values ( \
-              "0.191073, 0.248171, 0.265221, 0.271662, 0.274732, 0.273818, 0.271343, 0.260112, 0.247298, 0.231147, 0.211462, 0.184919, 0.123061, 0.0818695, 0.0625527, 0.0519029, 0.0375789, 0.0254303, 0.0178025, 0.0123588, 0.00932119, 0.00560756, 0.00337131, 0.00227642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.104318, 0.17166, 0.195603, 0.223248, 0.384462, 0.485793, 0.565371, 0.648335, 0.709441, 0.789019, 1.04192, 1.10991, 1.18949, 1.2622, 1.33132, 1.39554, 1.50194, 1.55557, 1.63514, 1.69534, 1.8034, 1.93995, 2.09911, 2.25827, 2.497, 2.81531");
-            values ( \
-              "0.283447, 0.28782, 0.287806, 0.285195, 0.264594, 0.25095, 0.239415, 0.225726, 0.213618, 0.19465, 0.124898, 0.10748, 0.0889849, 0.0741294, 0.0618419, 0.0519563, 0.0385538, 0.033052, 0.0261951, 0.021923, 0.0158577, 0.0104727, 0.00642051, 0.00392221, 0.00186644, 0.000690902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.123415, 0.127232, 0.13467, 0.1415, 0.147398, 0.152928, 0.158363, 0.163795, 0.16728, 0.180077, 0.188843, 0.199541, 0.207061, 0.220015, 0.235749, 0.246834");
-            values ( \
-              "0.0157004, 0.0529961, 0.0864, 0.105786, 0.11635, 0.120577, 0.120822, 0.115664, 0.107905, 0.0621193, 0.0394557, 0.0219899, 0.0143604, 0.00680659, 0.00277323, 0.00168167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.123308, 0.134586, 0.140293, 0.146, 0.149672, 0.157016, 0.160108, 0.16423, 0.166075, 0.169765, 0.174117, 0.182204, 0.186935, 0.191911, 0.201713, 0.209046, 0.2145, 0.221466, 0.226923, 0.234201, 0.239934, 0.244626, 0.250882, 0.261034, 0.265826, 0.272924, 0.282388, 0.301317, 0.330724, 0.366756");
-            values ( \
-              "0.0129801, 0.1085, 0.131568, 0.150168, 0.157531, 0.168533, 0.170404, 0.171591, 0.171609, 0.170753, 0.168038, 0.158046, 0.147212, 0.132584, 0.101104, 0.0813164, 0.0681903, 0.0538986, 0.0445384, 0.0342076, 0.0276615, 0.0231952, 0.0182783, 0.0123187, 0.0102417, 0.0077842, 0.00538608, 0.00251371, 0.000720659, 0.000148374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.127944, 0.134048, 0.137139, 0.14879, 0.155835, 0.163158, 0.176526, 0.189778, 0.203028, 0.215445, 0.223119, 0.263236, 0.278014, 0.289196, 0.30385, 0.316658, 0.328693, 0.344738, 0.35617, 0.368945, 0.385978, 0.420043, 0.468474, 0.527898");
-            values ( \
-              "0.0679916, 0.119747, 0.136997, 0.183926, 0.200516, 0.210938, 0.217726, 0.214391, 0.205021, 0.190939, 0.178853, 0.100086, 0.0764248, 0.0615471, 0.0456514, 0.0347675, 0.0267667, 0.0186878, 0.0144306, 0.01079, 0.00727024, 0.00316998, 0.000885616, 0.00016668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.127596, 0.139099, 0.150474, 0.158315, 0.171482, 0.184899, 0.191504, 0.200312, 0.21097, 0.232285, 0.255465, 0.273713, 0.289587, 0.318259, 0.36222, 0.393508, 0.418761, 0.439576, 0.455685, 0.484642, 0.518002, 0.547488, 0.600568, 0.646516, 0.726095, 0.805673");
-            values ( \
-              "0.0506343, 0.157434, 0.205898, 0.226146, 0.244676, 0.25145, 0.251932, 0.250936, 0.248058, 0.239231, 0.226758, 0.215004, 0.202493, 0.173754, 0.124834, 0.0941361, 0.073218, 0.0588771, 0.0493722, 0.0355848, 0.024077, 0.0168808, 0.00880723, 0.00492603, 0.00164856, 0.000564075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.133569, 0.146708, 0.156881, 0.163313, 0.176177, 0.187192, 0.203603, 0.218291, 0.232945, 0.255882, 0.327472, 0.381575, 0.434698, 0.488235, 0.598363, 0.627025, 0.684349, 0.735743, 0.76978, 0.826384, 0.851369, 0.892469, 0.95082, 1.01031, 1.05571, 1.13528, 1.21486, 1.29444, 1.4536");
-            values ( \
-              "0.181587, 0.199304, 0.233554, 0.247704, 0.264779, 0.271531, 0.27465, 0.273795, 0.271307, 0.266236, 0.247305, 0.231143, 0.211458, 0.184916, 0.123062, 0.108296, 0.0818705, 0.0625543, 0.0519017, 0.0375777, 0.0324575, 0.0254291, 0.0178039, 0.01236, 0.00932033, 0.00560874, 0.00337034, 0.00201369, 0.000718029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.140249, 0.19627, 0.212421, 0.233761, 0.261589, 0.422621, 0.603531, 0.686494, 0.747601, 0.827179, 1.08008, 1.22765, 1.36949, 1.4337, 1.5401, 1.6733, 1.81307, 1.89853, 2.05769, 2.376, 2.43632");
-            values ( \
-              "0.263524, 0.28524, 0.288017, 0.287746, 0.285169, 0.264601, 0.239405, 0.225715, 0.213628, 0.194639, 0.124912, 0.0889993, 0.0618573, 0.0519406, 0.0385377, 0.0261791, 0.0172594, 0.0133336, 0.00818939, 0.00304756, 0.00274785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.198215, 0.20269, 0.209372, 0.215355, 0.219201, 0.225842, 0.231926, 0.237814, 0.243691, 0.246026, 0.24794, 0.258188, 0.267974, 0.275316, 0.283307, 0.285573, 0.294638, 0.299355, 0.301067, 0.311342, 0.325042, 0.344829, 0.369361");
-            values ( \
-              "0.0136231, 0.04503, 0.068508, 0.0841618, 0.0928344, 0.104525, 0.110676, 0.112394, 0.109273, 0.105766, 0.101659, 0.0669026, 0.0414909, 0.0283907, 0.0183076, 0.0161259, 0.00959081, 0.00771989, 0.00662458, 0.00365177, 0.00199314, 0.000404829, 0.000383505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.198146, 0.205941, 0.21754, 0.22291, 0.228105, 0.237234, 0.245815, 0.248882, 0.257454, 0.263079, 0.269589, 0.287097, 0.299876, 0.305938, 0.314323, 0.32657, 0.333243, 0.34272, 0.353053, 0.361049, 0.377042, 0.398756");
-            values ( \
-              "0.0010314, 0.0709895, 0.114559, 0.130812, 0.142971, 0.157196, 0.161952, 0.162227, 0.157946, 0.152174, 0.139174, 0.0882426, 0.0585915, 0.047688, 0.035365, 0.0224597, 0.017413, 0.0120779, 0.00811561, 0.00594228, 0.00315899, 0.0014598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.202349, 0.210276, 0.213211, 0.217646, 0.22303, 0.227195, 0.235524, 0.242755, 0.248937, 0.261285, 0.270162, 0.276106, 0.282446, 0.288398, 0.296335, 0.304787, 0.315757, 0.332948, 0.345755, 0.355286, 0.367382, 0.37664, 0.385909, 0.398284, 0.401962, 0.409319, 0.424031, 0.436819, 0.454073, 0.477079, 0.520766, 0.571738");
-            values ( \
-              "0.0438049, 0.1006, 0.113449, 0.132497, 0.152612, 0.166227, 0.186528, 0.198125, 0.203892, 0.208649, 0.20895, 0.207062, 0.203491, 0.198711, 0.190043, 0.177288, 0.15632, 0.121059, 0.0979021, 0.0824076, 0.0653848, 0.0543681, 0.0449228, 0.0345098, 0.0318961, 0.0271564, 0.019565, 0.0146427, 0.00987498, 0.00575743, 0.00188497, 0.000490972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.205282, 0.220024, 0.226489, 0.237408, 0.242141, 0.248851, 0.262699, 0.26767, 0.275769, 0.289047, 0.297767, 0.307061, 0.316691, 0.33595, 0.355991, 0.370743, 0.399477, 0.443569, 0.476965, 0.500551, 0.518875, 0.543308, 0.560569, 0.574349, 0.592722, 0.626046, 0.641261, 0.664089, 0.694525, 0.755399, 0.834977, 0.914555");
-            values ( \
-              "0.0850574, 0.153228, 0.178643, 0.210143, 0.219655, 0.229524, 0.241413, 0.244343, 0.246889, 0.246626, 0.244438, 0.241123, 0.236927, 0.226933, 0.214124, 0.202445, 0.173682, 0.124637, 0.0920745, 0.0727666, 0.0600478, 0.045921, 0.0377765, 0.0322399, 0.0259943, 0.0173857, 0.0144635, 0.0109457, 0.00749812, 0.00338897, 0.00113962, 0.000380496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.211292, 0.230404, 0.240811, 0.248836, 0.267597, 0.277357, 0.291059, 0.310727, 0.313849, 0.332581, 0.36088, 0.407402, 0.444272, 0.499061, 0.51912, 0.559237, 0.664691, 0.725298, 0.776599, 0.819179, 0.852307, 0.907394, 0.931166, 0.972693, 1.03137, 1.09208, 1.13852, 1.2181, 1.29768, 1.37726, 1.53641");
-            values ( \
-              "0.175377, 0.200458, 0.229201, 0.243693, 0.263869, 0.2693, 0.272175, 0.271161, 0.270669, 0.266933, 0.260053, 0.247544, 0.236845, 0.218221, 0.209845, 0.190287, 0.130991, 0.099821, 0.0773176, 0.0617442, 0.0514472, 0.0375665, 0.0326981, 0.0255392, 0.0178599, 0.0123049, 0.0092107, 0.00554742, 0.00332823, 0.00199336, 0.000712518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.221215, 0.292994, 0.312991, 0.368208, 0.549994, 0.68459, 0.767557, 0.828664, 0.908242, 1.16114, 1.30872, 1.45055, 1.51477, 1.62116, 1.67479, 1.81456, 1.92262, 2.05917, 2.21833, 2.37749, 2.544");
-            values ( \
-              "0.269676, 0.285809, 0.28712, 0.281996, 0.258437, 0.239428, 0.225738, 0.213603, 0.194662, 0.124887, 0.0889748, 0.0618342, 0.0519639, 0.0385605, 0.0330456, 0.0219171, 0.0158522, 0.0104675, 0.00641552, 0.00391738, 0.00249013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.348738, 0.358906, 0.371487, 0.377403, 0.379853, 0.384974, 0.390397, 0.395675, 0.399563, 0.403445, 0.409944, 0.421217, 0.432662, 0.439429, 0.444942, 0.45111, 0.462335, 0.475811, 0.491213, 0.494937");
-            values ( \
-              "0.0111824, 0.0370162, 0.073042, 0.0854341, 0.0891794, 0.0944832, 0.0981661, 0.100717, 0.101446, 0.100496, 0.0919163, 0.0583472, 0.0336073, 0.0236961, 0.0176415, 0.0126001, 0.00676801, 0.00326962, 0.00142391, 0.00125829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.350086, 0.359339, 0.371141, 0.379628, 0.385846, 0.398684, 0.405476, 0.412129, 0.414568, 0.419073, 0.423708, 0.432949, 0.444228, 0.458059, 0.465866, 0.474864, 0.482235, 0.488916, 0.497823, 0.505165, 0.513222, 0.523964, 0.545448, 0.555363, 0.562881, 0.621716");
-            values ( \
-              "0.011065, 0.0482264, 0.091626, 0.115898, 0.126904, 0.143872, 0.149589, 0.151813, 0.151701, 0.150198, 0.146313, 0.129356, 0.0974699, 0.0644496, 0.0499233, 0.0365496, 0.0279916, 0.0218934, 0.0156603, 0.0118361, 0.00871156, 0.00577329, 0.0024317, 0.00114572, 0.000692104, 0.000179231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.350324, 0.364799, 0.370979, 0.379205, 0.38409, 0.396323, 0.401942, 0.409079, 0.41699, 0.431355, 0.445716, 0.452174, 0.460848, 0.473847, 0.503202, 0.52653, 0.539594, 0.5531, 0.564445, 0.583144, 0.599036, 0.61931, 0.646342, 0.6843");
-            values ( \
-              "0.0020131, 0.077088, 0.103531, 0.133486, 0.146125, 0.171105, 0.181075, 0.190762, 0.197539, 0.201177, 0.196137, 0.191326, 0.182103, 0.161577, 0.105516, 0.0693068, 0.0537332, 0.0402003, 0.0314205, 0.0211328, 0.0148712, 0.00940935, 0.00497792, 0.00208886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.350271, 0.378178, 0.384622, 0.407934, 0.417056, 0.428177, 0.441146, 0.453289, 0.474734, 0.502066, 0.521802, 0.549971, 0.633879, 0.6623, 0.703772, 0.737459, 0.755262, 0.789179, 0.828323, 0.859834, 0.922855, 0.952121");
-            values ( \
-              "0.00365657, 0.140922, 0.160453, 0.213742, 0.226233, 0.235219, 0.239448, 0.239311, 0.233786, 0.220995, 0.20882, 0.184982, 0.0979384, 0.0739901, 0.0475017, 0.0323741, 0.0262849, 0.0174515, 0.0108392, 0.0073266, 0.00320802, 0.00242411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.36642, 0.383582, 0.401832, 0.408879, 0.419506, 0.428243, 0.445715, 0.461153, 0.475905, 0.499442, 0.52377, 0.558576, 0.589014, 0.626251, 0.668625, 0.711369, 0.747221, 0.828669, 0.890002, 0.940881, 0.981495, 1.01297, 1.05846, 1.07112, 1.09642, 1.13741, 1.19567, 1.22101, 1.25484, 1.29994, 1.37952, 1.4591, 1.53868, 1.69783");
-            values ( \
-              "0.161064, 0.164329, 0.213456, 0.228445, 0.244958, 0.253893, 0.263365, 0.265649, 0.265015, 0.261403, 0.256026, 0.247456, 0.241559, 0.23101, 0.215917, 0.196303, 0.176913, 0.130836, 0.0993595, 0.0771141, 0.0622252, 0.0523424, 0.0404143, 0.0375695, 0.0324058, 0.0253902, 0.0177999, 0.0152458, 0.0123803, 0.00934648, 0.00563011, 0.00337796, 0.00202343, 0.000723383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.375917, 0.447228, 0.462555, 0.476896, 0.502084, 0.558831, 0.581725, 0.623654, 0.768814, 0.848392, 0.931348, 0.992455, 1.07203, 1.32494, 1.47251, 1.61434, 1.67856, 1.78495, 1.83859, 1.97835, 2.08642, 2.22296, 2.38212, 2.54128, 2.69234");
-            values ( \
-              "0.225974, 0.276052, 0.280051, 0.281235, 0.280518, 0.274812, 0.274249, 0.270141, 0.250931, 0.23942, 0.225733, 0.213604, 0.194659, 0.124889, 0.088976, 0.0618352, 0.0519633, 0.0385599, 0.0330459, 0.0219175, 0.0158526, 0.010468, 0.00641582, 0.00391759, 0.00262265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.651492, 0.666719, 0.67165, 0.678689, 0.699952, 0.709397, 0.717479, 0.721086, 0.725016, 0.732303, 0.738536, 0.739849, 0.751443, 0.761788, 0.771268, 0.780486, 0.785667, 0.793129, 0.802341, 0.809668, 0.82011");
-            values ( \
-              "0.00466026, 0.0125352, 0.0178813, 0.0280718, 0.0630805, 0.0759687, 0.0848866, 0.0877382, 0.0897962, 0.0901065, 0.0849222, 0.0825143, 0.0543962, 0.0344619, 0.0220527, 0.0138677, 0.0106225, 0.00718294, 0.00449739, 0.00312764, 0.00198243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.674902, 0.692707, 0.701054, 0.711235, 0.716061, 0.717669, 0.720395, 0.72312, 0.724545, 0.72597, 0.727394, 0.728819, 0.731279, 0.73251, 0.73374, 0.735042, 0.736345, 0.737648, 0.738951, 0.742718, 0.745709, 0.750916, 0.751739, 0.754207, 0.755499, 0.756791, 0.758084, 0.759376, 0.762203, 0.76503, 0.770574, 0.777961, 0.782494, 0.787328, 0.791814, 0.796299, 0.801008, 0.804114, 0.80673, 0.809347, 0.812739, 0.817936, 0.821546, 0.82329, 0.826778, 0.828522, 0.83098, 0.835897, 0.838356, 0.842346");
-            values ( \
-              "0.0619437, 0.0667917, 0.0845829, 0.105445, 0.114278, 0.117014, 0.121148, 0.124828, 0.126478, 0.127966, 0.129291, 0.130453, 0.132027, 0.132665, 0.133203, 0.133637, 0.133977, 0.134224, 0.134376, 0.134183, 0.13391, 0.133182, 0.132898, 0.131818, 0.130927, 0.129836, 0.128544, 0.127053, 0.12264, 0.11769, 0.105192, 0.0891488, 0.0783722, 0.0683657, 0.0598364, 0.0520334, 0.0445931, 0.0400489, 0.0367504, 0.0336506, 0.0299987, 0.0247739, 0.0215364, 0.0202675, 0.0179058, 0.0168129, 0.0153991, 0.0128668, 0.0117483, 0.0101306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.676939, 0.694427, 0.71223, 0.716607, 0.721169, 0.724272, 0.727875, 0.729075, 0.731285, 0.733494, 0.737125, 0.742303, 0.74775, 0.752837, 0.761974, 0.764213, 0.766451, 0.770929, 0.773167, 0.777371, 0.780319, 0.783266, 0.787196, 0.790151, 0.793121, 0.795101, 0.799061, 0.80104, 0.803393, 0.81045, 0.814949, 0.823947, 0.83173, 0.840038, 0.844469, 0.85231, 0.859129, 0.866072, 0.873521, 0.877778, 0.882035, 0.888187, 0.894338, 0.897762, 0.901185, 0.904608, 0.910148, 0.915688, 0.927485, 0.938194");
-            values ( \
-              "0.0805519, 0.081058, 0.128045, 0.138562, 0.148139, 0.153816, 0.159393, 0.161023, 0.163607, 0.165875, 0.168829, 0.172476, 0.176503, 0.179868, 0.184858, 0.185471, 0.185896, 0.18604, 0.185937, 0.185429, 0.184366, 0.183013, 0.180757, 0.178641, 0.175957, 0.173698, 0.168708, 0.165977, 0.162321, 0.150845, 0.142318, 0.125956, 0.112551, 0.0976808, 0.0906687, 0.0790279, 0.0697005, 0.0610195, 0.0522735, 0.0480874, 0.0441472, 0.0389772, 0.0341415, 0.0315955, 0.0292273, 0.0270369, 0.0240452, 0.0213163, 0.0164809, 0.0126037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.669269, 0.691355, 0.714792, 0.721588, 0.730972, 0.736609, 0.756752, 0.76681, 0.776161, 0.784956, 0.802547, 0.811023, 0.824103, 0.837813, 0.864883, 0.875251, 0.886168, 0.939629, 0.969398, 0.995954, 1.01844, 1.03506, 1.06218, 1.07367, 1.09474, 1.12433, 1.13729, 1.15462, 1.17774, 1.21593, 1.23796, 1.26256, 1.33679, 1.39499");
-            values ( \
-              "0.0290757, 0.0792637, 0.147191, 0.164847, 0.182954, 0.190378, 0.212919, 0.221616, 0.22676, 0.229329, 0.229728, 0.228084, 0.224025, 0.218029, 0.20113, 0.192269, 0.181747, 0.124166, 0.0952618, 0.0733362, 0.058023, 0.0484366, 0.0356985, 0.0312785, 0.0244359, 0.0171267, 0.0146505, 0.0118714, 0.00893809, 0.00553776, 0.00403437, 0.0029883, 0.00119658, 0.000578481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.690314, 0.718769, 0.73243, 0.757031, 0.768654, 0.781052, 0.801778, 0.807717, 0.819595, 0.837673, 0.856003, 0.90598, 0.958752, 1.01236, 1.05657, 1.18844, 1.25496, 1.31488, 1.36565, 1.4214, 1.45436, 1.52028, 1.54613, 1.60852, 1.67982, 1.7594, 1.83898, 1.84911");
-            values ( \
-              "0.155327, 0.166334, 0.196412, 0.230641, 0.243372, 0.252293, 0.259479, 0.260142, 0.260417, 0.258861, 0.255814, 0.244193, 0.229211, 0.210029, 0.18903, 0.116634, 0.0854397, 0.06272, 0.0472935, 0.0343345, 0.0282459, 0.0189282, 0.0161509, 0.011001, 0.00700169, 0.00421489, 0.00251872, 0.00239085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.701415, 0.751726, 0.767163, 0.794013, 0.808443, 0.835186, 0.864459, 0.902648, 0.999954, 1.1471, 1.27721, 1.3776, 1.7703, 1.94109, 2.00613, 2.11886, 2.26344, 2.34301, 2.4552, 2.59789, 2.75705, 2.91621, 2.9626");
-            values ( \
-              "0.21953, 0.231876, 0.252572, 0.271908, 0.276316, 0.279146, 0.277962, 0.274297, 0.262536, 0.24299, 0.223456, 0.201825, 0.0971489, 0.0630444, 0.0528836, 0.0385658, 0.0253388, 0.0199952, 0.0142779, 0.00924214, 0.00566085, 0.00345669, 0.00319671" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0131532, 0.0131949, 0.0132443, 0.0132896, 0.013322, 0.0133414", \
-            "0.0169143, 0.0169575, 0.0170187, 0.0170847, 0.0171387, 0.0171741", \
-            "0.0194136, 0.0194337, 0.0194707, 0.0195235, 0.0195784, 0.0196203", \
-            "0.0209614, 0.0209601, 0.0209625, 0.0209752, 0.0209996, 0.0210269", \
-            "0.0219939, 0.0219915, 0.0219873, 0.0219826, 0.0219823, 0.0219891", \
-            "0.0225935, 0.022592, 0.0225894, 0.0225856, 0.022581, 0.0225782" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0199511, 0.0199933, 0.0200581, 0.0201289, 0.020186, 0.0202223", \
-            "0.0214804, 0.0214568, 0.0214331, 0.0214228, 0.021428, 0.0214305", \
-            "0.0210931, 0.0210562, 0.0209965, 0.0209277, 0.0208645, 0.0208193", \
-            "0.0209394, 0.0209325, 0.0209132, 0.0208725, 0.0208276, 0.0207809", \
-            "0.0214875, 0.0216186, 0.0217224, 0.0217675, 0.0217833, 0.0217774", \
-            "0.0208189, 0.0208216, 0.0208276, 0.020917, 0.0210643, 0.0211075" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.093958, 0.100965, 0.103069, 0.113018, 0.121811, 0.128878, 0.135104, 0.14085, 0.146359, 0.151812, 0.157262, 0.17041, 0.175014, 0.178314, 0.183408, 0.186946, 0.194022, 0.199227");
-            values ( \
-              "-0.00937808, -0.0280324, -0.0310286, -0.0629364, -0.0854014, -0.100179, -0.110277, -0.117392, -0.121037, -0.119526, -0.105307, -0.0403626, -0.0256751, -0.0181953, -0.010517, -0.00712785, -0.00316123, -0.00204236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.096623, 0.107057, 0.117211, 0.124279, 0.131104, 0.140547, 0.149002, 0.156919, 0.164592, 0.172256, 0.177709, 0.19685, 0.202943, 0.211162, 0.216302, 0.224816, 0.236167, 0.242828");
-            values ( \
-              "-0.021785, -0.0534264, -0.0942351, -0.116792, -0.134513, -0.15565, -0.168298, -0.177328, -0.180192, -0.175234, -0.161127, -0.0667789, -0.0456351, -0.0263364, -0.0184577, -0.0100886, -0.00429435, -0.00301092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0939464, 0.118376, 0.129185, 0.13918, 0.145575, 0.159382, 0.17201, 0.184033, 0.195862, 0.207683, 0.211829, 0.21625, 0.245637, 0.258143, 0.270447, 0.282275, 0.289083, 0.302698, 0.317926");
-            values ( \
-              "-0.00476991, -0.111932, -0.152114, -0.180388, -0.195245, -0.219357, -0.234178, -0.24182, -0.24254, -0.23072, -0.222331, -0.209017, -0.0911117, -0.0578502, -0.036092, -0.022536, -0.0171193, -0.00970427, -0.00568126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0941571, 0.12836, 0.136962, 0.14431, 0.159004, 0.169507, 0.190512, 0.197138, 0.204231, 0.212011, 0.227572, 0.241137, 0.2522, 0.272422, 0.274989, 0.285257, 0.316925, 0.329599, 0.337676, 0.352256, 0.364742, 0.375065, 0.38721, 0.404372, 0.422246, 0.43594, 0.46333, 0.513306, 0.572437");
-            values ( \
-              "-0.0129385, -0.161787, -0.19043, -0.211491, -0.243001, -0.260364, -0.283624, -0.2887, -0.292928, -0.296284, -0.299557, -0.298176, -0.293539, -0.274173, -0.270174, -0.248661, -0.1595, -0.128211, -0.110826, -0.0842162, -0.0659409, -0.0536442, -0.0418557, -0.0292423, -0.0200836, -0.0149931, -0.00821185, -0.00246786, -0.000548874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.111443, 0.138133, 0.155718, 0.169013, 0.190157, 0.210514, 0.238669, 0.266938, 0.28515, 0.321853, 0.338246, 0.370137, 0.390454, 0.400426, 0.42037, 0.488371, 0.533734, 0.554092, 0.581189, 0.605608, 0.638166, 0.67766, 0.702133, 0.751078, 0.814683, 0.863577");
-            values ( \
-              "-0.171814, -0.203467, -0.250066, -0.275182, -0.304007, -0.321519, -0.335463, -0.34058, -0.340705, -0.335784, -0.331011, -0.317009, -0.303053, -0.293911, -0.269517, -0.164617, -0.1097, -0.090456, -0.0693797, -0.0543667, -0.0389399, -0.0258283, -0.019962, -0.0117803, -0.00582517, -0.00355716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.112414, 0.138455, 0.152414, 0.169779, 0.206089, 0.222516, 0.244417, 0.274518, 0.307598, 0.357001, 0.411305, 0.46419, 0.566496, 0.605974, 0.661761, 0.725365, 0.816081, 0.879686, 0.918463, 0.978796, 1.03357, 1.08145, 1.13048, 1.19408, 1.24682, 1.34225, 1.40586, 1.46946, 1.59667, 1.85109");
-            values ( \
-              "-0.193906, -0.207398, -0.249784, -0.285435, -0.331339, -0.343284, -0.353513, -0.362728, -0.36621, -0.365784, -0.361241, -0.35457, -0.335472, -0.324419, -0.301098, -0.258574, -0.186239, -0.14292, -0.120291, -0.0911654, -0.0703024, -0.0557099, -0.0436189, -0.0317178, -0.0242349, -0.0148358, -0.0106907, -0.00765263, -0.003931, -0.00103117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.112062, 0.119982, 0.133219, 0.145529, 0.151735, 0.157466, 0.162962, 0.168405, 0.173844, 0.186926, 0.191638, 0.195016, 0.19992, 0.203331, 0.210155, 0.220103, 0.228565");
-            values ( \
-              "-0.0160294, -0.0317855, -0.0732004, -0.100557, -0.110595, -0.117685, -0.121285, -0.119739, -0.105453, -0.0406094, -0.0255409, -0.0179448, -0.0105747, -0.00727639, -0.00332147, -0.00106504, -0.000905335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.112146, 0.13245, 0.143382, 0.147771, 0.157176, 0.165616, 0.173516, 0.181175, 0.188825, 0.194316, 0.213397, 0.219486, 0.227701, 0.232837, 0.241347, 0.252692, 0.261118");
-            values ( \
-              "-0.0102261, -0.0889162, -0.124063, -0.135385, -0.155834, -0.168952, -0.177444, -0.180707, -0.175284, -0.161149, -0.0668155, -0.0456572, -0.0263427, -0.0184604, -0.0100867, -0.00430407, -0.0026704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.111885, 0.135314, 0.146033, 0.155678, 0.162354, 0.176127, 0.18873, 0.200735, 0.212548, 0.224354, 0.228532, 0.232934, 0.262303, 0.274798, 0.287044, 0.298908, 0.305754, 0.319447, 0.336242");
-            values ( \
-              "-0.00761135, -0.113241, -0.152992, -0.180315, -0.195823, -0.219825, -0.234564, -0.242162, -0.242828, -0.230949, -0.222446, -0.20912, -0.0910954, -0.0578482, -0.0361649, -0.0225457, -0.0170964, -0.00965669, -0.00524087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.111892, 0.145243, 0.161104, 0.175986, 0.186231, 0.208142, 0.216665, 0.228679, 0.248732, 0.268789, 0.271508, 0.27993, 0.289869, 0.309747, 0.329792, 0.352174, 0.370078, 0.384467, 0.400072, 0.426252, 0.442102, 0.460217, 0.496447, 0.548936, 0.612541");
-            values ( \
-              "-0.0146474, -0.163055, -0.212297, -0.244068, -0.260872, -0.285007, -0.290921, -0.296644, -0.299932, -0.294042, -0.29229, -0.285444, -0.273047, -0.227808, -0.169952, -0.115387, -0.0824258, -0.0621068, -0.0453038, -0.0262028, -0.0187516, -0.0127038, -0.00560222, -0.00155122, -0.000292688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.128629, 0.158891, 0.173858, 0.185956, 0.207826, 0.2274, 0.255684, 0.265215, 0.283767, 0.301968, 0.338645, 0.355221, 0.37206, 0.401023, 0.41717, 0.449464, 0.490503, 0.519784, 0.543642, 0.574899, 0.599566, 0.619488, 0.644112, 0.678809, 0.715463, 0.743627, 0.799954, 0.863559, 0.990768");
-            values ( \
-              "-0.166359, -0.215816, -0.253086, -0.275826, -0.305181, -0.321973, -0.335739, -0.338207, -0.340774, -0.341006, -0.335917, -0.331125, -0.324619, -0.308012, -0.294008, -0.2512, -0.185978, -0.145076, -0.116977, -0.0870562, -0.0683638, -0.0560407, -0.0436104, -0.030415, -0.0207417, -0.0153854, -0.00832097, -0.00410352, -0.000990443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.129373, 0.155282, 0.18652, 0.208936, 0.228244, 0.257515, 0.280813, 0.302144, 0.338194, 0.373634, 0.445462, 0.519401, 0.596144, 0.622421, 0.678228, 0.741832, 0.830471, 0.88998, 0.936894, 1.00049, 1.05194, 1.14469, 1.20829, 1.26441, 1.3622, 1.42581, 1.61662, 1.63435");
-            values ( \
-              "-0.194656, -0.208692, -0.28593, -0.317615, -0.335214, -0.353323, -0.36014, -0.364619, -0.366322, -0.366037, -0.35947, -0.348643, -0.332211, -0.324118, -0.301527, -0.258221, -0.187994, -0.146612, -0.119375, -0.0890767, -0.0695843, -0.0442074, -0.0320127, -0.0241487, -0.0146136, -0.0104668, -0.00389053, -0.00369148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.153633, 0.158515, 0.160497, 0.16471, 0.170769, 0.179415, 0.185784, 0.192574, 0.197504, 0.20306, 0.20519, 0.20803, 0.211435, 0.214975, 0.224393, 0.230829, 0.236781, 0.242698, 0.247586, 0.257224, 0.257751");
-            values ( \
-              "-0.0198693, -0.0295041, -0.0314632, -0.0430999, -0.0645078, -0.0865181, -0.0998424, -0.111056, -0.117126, -0.1213, -0.12177, -0.120766, -0.115504, -0.104005, -0.0547598, -0.029925, -0.0160764, -0.0084741, -0.00487974, -0.0015758, -0.00152481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.15491, 0.15919, 0.169582, 0.175371, 0.177292, 0.181136, 0.187768, 0.188684, 0.19418, 0.198029, 0.206453, 0.214341, 0.221991, 0.229632, 0.232654, 0.235167, 0.238021, 0.249358, 0.254189, 0.260279, 0.263018, 0.268496, 0.273627, 0.27646, 0.282126, 0.293395");
-            values ( \
-              "-0.0292849, -0.0398715, -0.0708604, -0.0992376, -0.101754, -0.116497, -0.132913, -0.136375, -0.148672, -0.156015, -0.169411, -0.177574, -0.181019, -0.175342, -0.168905, -0.161075, -0.148828, -0.088276, -0.0668383, -0.0456592, -0.0382075, -0.0263333, -0.0184567, -0.0151492, -0.0100862, -0.00435198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.152787, 0.17614, 0.182995, 0.194288, 0.203009, 0.216754, 0.229333, 0.241325, 0.253128, 0.264923, 0.269145, 0.273525, 0.302708, 0.314507, 0.326412, 0.33545, 0.344869, 0.35209, 0.366534, 0.379784");
-            values ( \
-              "-0.0062701, -0.114115, -0.141399, -0.176038, -0.196111, -0.220503, -0.234602, -0.242622, -0.242785, -0.231278, -0.222442, -0.209127, -0.0916276, -0.0598125, -0.0379359, -0.0264895, -0.0181583, -0.0135294, -0.00737641, -0.00477809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.156666, 0.182998, 0.193024, 0.202096, 0.217034, 0.22715, 0.247381, 0.252318, 0.269538, 0.289585, 0.30963, 0.321162, 0.330706, 0.349793, 0.373054, 0.394943, 0.408559, 0.421931, 0.445093, 0.469475, 0.484255, 0.513816, 0.551394");
-            values ( \
-              "-0.037233, -0.151208, -0.187523, -0.212944, -0.245168, -0.261099, -0.284004, -0.287749, -0.296882, -0.300047, -0.294186, -0.285147, -0.273124, -0.230029, -0.163325, -0.11138, -0.0862333, -0.0663641, -0.0415364, -0.024935, -0.0182115, -0.00949518, -0.00439865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.161395, 0.202033, 0.226884, 0.246636, 0.268289, 0.297247, 0.323656, 0.342785, 0.379449, 0.395996, 0.412805, 0.441864, 0.457957, 0.490143, 0.531436, 0.560894, 0.584303, 0.615445, 0.640245, 0.660423, 0.684985, 0.719634, 0.756113, 0.784122, 0.840141, 0.903746, 1.03096");
-            values ( \
-              "-0.086332, -0.223211, -0.276506, -0.30337, -0.322307, -0.336206, -0.340886, -0.341117, -0.336034, -0.331217, -0.324715, -0.308034, -0.294056, -0.25139, -0.185759, -0.144657, -0.117102, -0.0872476, -0.0684275, -0.055949, -0.0435623, -0.0303982, -0.0207685, -0.0154281, -0.00837637, -0.00412861, -0.00099533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.18, 0.224738, 0.263154, 0.280497, 0.303622, 0.339369, 0.363965, 0.389128, 0.464332, 0.522481, 0.560028, 0.623632, 0.663014, 0.718831, 0.782435, 0.873552, 0.975339, 1.03464, 1.09029, 1.13906, 1.18787, 1.25148, 1.30366, 1.398, 1.4616, 1.65242, 1.66748");
-            values ( \
-              "-0.269914, -0.281585, -0.331119, -0.343824, -0.355099, -0.364057, -0.366237, -0.366617, -0.361703, -0.354388, -0.348444, -0.335395, -0.324373, -0.301355, -0.258446, -0.186041, -0.120443, -0.0917326, -0.0703648, -0.0555119, -0.0435747, -0.0316221, -0.0242777, -0.0149518, -0.0107449, -0.00397096, -0.0037979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.246911, 0.257408, 0.269938, 0.282166, 0.288301, 0.293979, 0.299433, 0.304843, 0.31025, 0.323068, 0.328256, 0.336039, 0.344573, 0.352337");
-            values ( \
-              "-0.0131272, -0.0310865, -0.0736072, -0.101764, -0.111806, -0.11863, -0.122154, -0.12033, -0.105919, -0.0416303, -0.0249571, -0.0108772, -0.00418778, -0.00190893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.248914, 0.262775, 0.272608, 0.284005, 0.293352, 0.301749, 0.309618, 0.317256, 0.324885, 0.328102, 0.333275, 0.350441, 0.35775, 0.366752, 0.376772, 0.385504, 0.389505");
-            values ( \
-              "-0.0215871, -0.0602913, -0.102766, -0.136544, -0.156544, -0.169839, -0.177885, -0.181282, -0.175501, -0.168527, -0.148912, -0.062901, -0.0395333, -0.0214127, -0.0105724, -0.00557606, -0.00455918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.253925, 0.262117, 0.278648, 0.289533, 0.298503, 0.312228, 0.324795, 0.33678, 0.348578, 0.360369, 0.36461, 0.36898, 0.398169, 0.409959, 0.421842, 0.430885, 0.440323, 0.447561, 0.462038, 0.471511");
-            values ( \
-              "-0.0699107, -0.0699673, -0.141528, -0.175533, -0.196548, -0.220672, -0.23486, -0.242668, -0.242931, -0.231263, -0.22243, -0.209118, -0.0915772, -0.0597958, -0.0379531, -0.0264987, -0.0181517, -0.0135133, -0.00735814, -0.00550473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.254029, 0.268533, 0.278079, 0.289024, 0.297441, 0.312268, 0.322452, 0.342821, 0.347296, 0.364815, 0.384857, 0.404896, 0.416712, 0.425971, 0.444489, 0.470047, 0.486804, 0.507044, 0.520512, 0.537482, 0.562543, 0.577047, 0.593623, 0.626776, 0.655219");
-            values ( \
-              "-0.0594976, -0.105526, -0.149908, -0.189984, -0.213482, -0.245371, -0.261421, -0.284286, -0.287687, -0.296993, -0.300108, -0.294229, -0.284888, -0.273145, -0.231605, -0.158694, -0.118488, -0.0809638, -0.0621121, -0.0440472, -0.0260776, -0.0192111, -0.0134586, -0.00641665, -0.003886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.261487, 0.310792, 0.340722, 0.363548, 0.391259, 0.419821, 0.438084, 0.474706, 0.50085, 0.532066, 0.55319, 0.594493, 0.652717, 0.680741, 0.724284, 0.748994, 0.785787, 0.830684, 0.856408, 0.907856, 0.97146, 1.00399");
-            values ( \
-              "-0.1295, -0.256004, -0.302269, -0.322454, -0.335882, -0.340989, -0.341123, -0.336043, -0.327721, -0.311574, -0.294077, -0.237132, -0.149272, -0.116008, -0.0764963, -0.0598958, -0.0412244, -0.0258392, -0.0197084, -0.0112991, -0.00558738, -0.00413829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.267383, 0.300146, 0.341, 0.364163, 0.392713, 0.416341, 0.437996, 0.474029, 0.509459, 0.568204, 0.607494, 0.655201, 0.718805, 0.758184, 0.814, 0.877605, 0.968717, 1.07051, 1.12981, 1.18545, 1.28304, 1.34664, 1.39882, 1.49316, 1.55676, 1.74758, 1.75511");
-            values ( \
-              "-0.200243, -0.238027, -0.313229, -0.336215, -0.352879, -0.360709, -0.364385, -0.366833, -0.365759, -0.36092, -0.355942, -0.348398, -0.335478, -0.32445, -0.301297, -0.258513, -0.185996, -0.120402, -0.0916906, -0.0704067, -0.0435356, -0.031662, -0.0242407, -0.0149156, -0.0107816, -0.00393509, -0.00384854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.441997, 0.449392, 0.452294, 0.46073, 0.469072, 0.488074, 0.495007, 0.501214, 0.507016, 0.512708, 0.518389, 0.528097, 0.533136, 0.539038, 0.546106, 0.550202, 0.555042, 0.560232, 0.565755, 0.568747");
-            values ( \
-              "-0.00842916, -0.0153936, -0.0171705, -0.0296153, -0.0493662, -0.0881023, -0.1009, -0.110203, -0.115516, -0.115199, -0.102263, -0.0548436, -0.0348996, -0.0194509, -0.00917109, -0.00592066, -0.00369402, -0.00206837, -0.00119543, -0.00107535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.441479, 0.44838, 0.456138, 0.46056, 0.466041, 0.499997, 0.508915, 0.517136, 0.525072, 0.532995, 0.540953, 0.558023, 0.566427, 0.577166, 0.585904, 0.595889, 0.602449");
-            values ( \
-              "-0.0121271, -0.0195564, -0.0310973, -0.0399683, -0.0525338, -0.145621, -0.161412, -0.171175, -0.174683, -0.170121, -0.147926, -0.0670555, -0.0400188, -0.0195803, -0.0106808, -0.00517069, -0.00365221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.442149, 0.45748, 0.461567, 0.468624, 0.474619, 0.495189, 0.505454, 0.517069, 0.525297, 0.532834, 0.545266, 0.555239, 0.561921, 0.569881, 0.580796, 0.598996, 0.608366, 0.619966, 0.625187, 0.631694, 0.640841, 0.650527, 0.657978, 0.672879, 0.682074");
-            values ( \
-              "-0.0142873, -0.0410299, -0.0493605, -0.0683609, -0.087553, -0.157902, -0.185349, -0.208429, -0.219331, -0.226425, -0.234155, -0.234893, -0.232796, -0.224954, -0.197339, -0.122161, -0.0897521, -0.059215, -0.0487079, -0.0379132, -0.0264331, -0.0179643, -0.0132619, -0.00711898, -0.00538554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.419406, 0.457565, 0.471753, 0.50287, 0.513625, 0.529372, 0.546386, 0.566359, 0.573367, 0.5838, 0.593828, 0.614182, 0.623453, 0.635366, 0.649168, 0.679402, 0.705015, 0.728607, 0.751819, 0.77431, 0.787264, 0.813171, 0.831428");
-            values ( \
-              "-0.00388031, -0.0432218, -0.083382, -0.195862, -0.223137, -0.250485, -0.269371, -0.284632, -0.288849, -0.293047, -0.294816, -0.290948, -0.284774, -0.271417, -0.243388, -0.158671, -0.1008, -0.0637763, -0.0398508, -0.0248961, -0.0189268, -0.0107509, -0.00794225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.456833, 0.480402, 0.501815, 0.51229, 0.526351, 0.538604, 0.564597, 0.583692, 0.597029, 0.609465, 0.628328, 0.646569, 0.683529, 0.703074, 0.721676, 0.760065, 0.77462, 0.790346, 0.848605, 0.884917, 0.919098, 0.944113, 0.964868, 0.989295, 1.02384, 1.05993, 1.0876, 1.14293, 1.20653, 1.33374");
-            values ( \
-              "-0.110115, -0.121824, -0.204869, -0.234113, -0.262203, -0.279941, -0.306824, -0.322012, -0.329111, -0.333714, -0.337698, -0.338842, -0.334892, -0.329415, -0.321976, -0.295979, -0.279706, -0.25741, -0.166628, -0.120878, -0.0875956, -0.0685538, -0.0557296, -0.0434555, -0.0303502, -0.0208215, -0.0155286, -0.00849596, -0.00418915, -0.00100964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.465163, 0.495344, 0.511686, 0.528635, 0.571806, 0.601962, 0.625192, 0.64676, 0.683094, 0.718428, 0.774214, 0.822738, 0.864328, 0.927933, 0.967331, 1.02314, 1.08674, 1.17785, 1.27965, 1.33895, 1.3946, 1.44336, 1.49217, 1.55578, 1.60796, 1.70231, 1.76592, 1.95673, 1.9716");
-            values ( \
-              "-0.160601, -0.185521, -0.239884, -0.274409, -0.326215, -0.347719, -0.357041, -0.362048, -0.365524, -0.365211, -0.36094, -0.354899, -0.348407, -0.335388, -0.32437, -0.301348, -0.258452, -0.186033, -0.120428, -0.0917133, -0.0703807, -0.0555307, -0.0435594, -0.0316396, -0.0242598, -0.014933, -0.0107627, -0.00395238, -0.00378149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.838397, 0.858603, 0.865285, 0.871747, 0.880245, 0.89722, 0.916591, 0.931592, 0.938427, 0.945242, 0.958034, 0.963847, 0.967471, 0.970605, 0.975193, 0.98131, 0.991609, 1.00378, 1.07024");
-            values ( \
-              "-0.0026344, -0.0107617, -0.0155997, -0.0211913, -0.0321515, -0.0560349, -0.0793245, -0.0946683, -0.097714, -0.0902002, -0.0421753, -0.0254097, -0.0180016, -0.0132342, -0.00835571, -0.00441988, -0.00146486, -0.000497214, -7.51667e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.839467, 0.858124, 0.863314, 0.871165, 0.878409, 0.922441, 0.933387, 0.943035, 0.951917, 0.960552, 0.969669, 0.984067, 0.991728, 1.00055, 1.00503, 1.01121, 1.01946, 1.03418, 1.03638");
-            values ( \
-              "-0.00447519, -0.0156391, -0.0198692, -0.0286843, -0.0384555, -0.116026, -0.134627, -0.149185, -0.158664, -0.159393, -0.139564, -0.0743044, -0.0475905, -0.0271788, -0.0200805, -0.0131719, -0.00741478, -0.00239901, -0.00218415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.840069, 0.864039, 0.870272, 0.880841, 0.888839, 0.905822, 0.94512, 0.959394, 0.972425, 0.985023, 0.997597, 1.00181, 1.00615, 1.0117, 1.0228, 1.02958, 1.03622, 1.04507, 1.04904, 1.05646, 1.06493, 1.07336, 1.07764, 1.08618, 1.10327, 1.11433");
-            values ( \
-              "-0.0060619, -0.0262338, -0.0340512, -0.0506602, -0.0657244, -0.102246, -0.188835, -0.212554, -0.225873, -0.229485, -0.220992, -0.21363, -0.202553, -0.183025, -0.137676, -0.112061, -0.0901816, -0.0661459, -0.0572583, -0.0434549, -0.0313386, -0.0225227, -0.0190224, -0.0134891, -0.00659652, -0.00468055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.838631, 0.87436, 0.883348, 0.895029, 0.903617, 0.925935, 0.939311, 0.955782, 0.969616, 0.979432, 0.999064, 1.00516, 1.0133, 1.02201, 1.03942, 1.04946, 1.06284, 1.06747, 1.07364, 1.08599, 1.1073, 1.12212, 1.12984, 1.14447, 1.1572, 1.16773, 1.17997, 1.19727, 1.20835, 1.21831, 1.22647, 1.23453, 1.24731, 1.26799, 1.28435");
-            values ( \
-              "-0.00546128, -0.0455356, -0.0615898, -0.0855351, -0.105873, -0.162466, -0.198023, -0.234879, -0.257466, -0.268201, -0.282937, -0.285427, -0.287634, -0.288856, -0.286109, -0.280835, -0.268111, -0.261489, -0.250177, -0.219864, -0.161431, -0.125791, -0.109652, -0.0835788, -0.0653241, -0.0530532, -0.0414361, -0.0289583, -0.0230169, -0.0185518, -0.0155643, -0.0131364, -0.0100661, -0.00648295, -0.00479628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.836135, 0.884855, 0.904288, 0.954133, 0.97024, 0.998398, 1.01909, 1.03765, 1.07562, 1.09839, 1.11336, 1.14331, 1.17022, 1.19347, 1.2276, 1.28211, 1.32854, 1.37579, 1.39989, 1.43203, 1.47219, 1.49707, 1.54684, 1.61045, 1.63401");
-            values ( \
-              "-0.00299678, -0.0693231, -0.112896, -0.24787, -0.277289, -0.307739, -0.320217, -0.32707, -0.331779, -0.330176, -0.327605, -0.319176, -0.306298, -0.288682, -0.246309, -0.164736, -0.109147, -0.0692617, -0.0544694, -0.0391836, -0.0258149, -0.0198837, -0.0116109, -0.00575582, -0.00467023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.884846, 0.925866, 0.954336, 0.970941, 0.998839, 1.02108, 1.0489, 1.07532, 1.11249, 1.14853, 1.22214, 1.25619, 1.29668, 1.3343, 1.37373, 1.43733, 1.45604, 1.49345, 1.59734, 1.65569, 1.70274, 1.75956, 1.82315, 1.89484, 1.93962, 2.00207, 2.07211, 2.13572, 2.19932, 2.32653, 2.45374, 2.64455");
-            values ( \
-              "-0.156671, -0.177201, -0.256567, -0.288723, -0.321265, -0.33641, -0.34873, -0.35422, -0.358039, -0.357303, -0.352006, -0.350747, -0.346506, -0.340347, -0.331534, -0.310206, -0.301176, -0.277908, -0.195952, -0.154312, -0.125716, -0.097044, -0.0717174, -0.0505823, -0.0404777, -0.0295154, -0.020661, -0.0148782, -0.010698, -0.0055099, -0.00283028, -0.00103766" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0120079, 0.0120288, 0.0120514, 0.0120709, 0.0120855, 0.0120943", \
-            "0.0142215, 0.0142384, 0.0142625, 0.0142832, 0.0142997, 0.0143106", \
-            "0.0155913, 0.0156028, 0.0156211, 0.0156443, 0.0156615, 0.0156737", \
-            "0.016451, 0.0164568, 0.0164671, 0.0164824, 0.0164996, 0.0165113", \
-            "0.0169418, 0.0169436, 0.0169474, 0.0169542, 0.0169641, 0.0169744", \
-            "0.0172089, 0.0172093, 0.0172102, 0.0172122, 0.0172157, 0.0172211" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0168696, 0.0169083, 0.0169579, 0.0170082, 0.0170485, 0.0170745", \
-            "0.0188882, 0.0189215, 0.0189728, 0.0190373, 0.0190957, 0.0191357", \
-            "0.020295, 0.0203065, 0.0203305, 0.02037, 0.0204215, 0.0204648", \
-            "0.0211798, 0.021169, 0.0211569, 0.0211524, 0.0211629, 0.0211866", \
-            "0.0219945, 0.0219856, 0.0219708, 0.0219585, 0.0219363, 0.0219261", \
-            "0.0230706, 0.0231093, 0.023208, 0.0232745, 0.0233022, 0.0233045" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.2507, 0.289779, 0.366127, 0.516188, 0.813101, 1.40647", \
-            "0.256562, 0.295726, 0.372369, 0.522797, 0.819583, 1.41379", \
-            "0.269719, 0.309015, 0.385695, 0.536238, 0.833501, 1.42738", \
-            "0.297887, 0.337145, 0.414081, 0.564986, 0.862253, 1.45758", \
-            "0.35433, 0.395954, 0.474812, 0.628382, 0.926998, 1.52227", \
-            "0.443607, 0.492895, 0.585653, 0.75671, 1.06729, 1.6676" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0386181, 0.0570338, 0.0956629, 0.178353, 0.354099, 0.723285", \
-            "0.0386168, 0.0570051, 0.0956324, 0.178347, 0.354101, 0.723262", \
-            "0.038605, 0.057003, 0.0956059, 0.178353, 0.354105, 0.723276", \
-            "0.0386013, 0.0570371, 0.0956677, 0.178422, 0.354135, 0.723247", \
-            "0.0387548, 0.0570497, 0.0956602, 0.178394, 0.354195, 0.72328", \
-            "0.039104, 0.0578172, 0.0973736, 0.178614, 0.354134, 0.723265" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.197292, 0.228054, 0.287126, 0.401026, 0.624647, 1.07222", \
-            "0.201344, 0.232239, 0.291465, 0.405581, 0.629376, 1.07676", \
-            "0.209344, 0.240639, 0.300272, 0.414641, 0.638712, 1.08631", \
-            "0.223807, 0.25663, 0.318591, 0.434489, 0.658867, 1.10675", \
-            "0.244432, 0.281774, 0.350371, 0.473928, 0.7037, 1.15246", \
-            "0.258764, 0.303618, 0.385875, 0.53144, 0.786554, 1.25024" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0315295, 0.0445875, 0.0704893, 0.123649, 0.236775, 0.477516", \
-            "0.0316072, 0.0445575, 0.0705052, 0.123648, 0.236679, 0.477566", \
-            "0.0315373, 0.0445818, 0.0705022, 0.123661, 0.236776, 0.477546", \
-            "0.031618, 0.0446414, 0.0705322, 0.123652, 0.236725, 0.477567", \
-            "0.0311733, 0.0446393, 0.0707212, 0.123706, 0.236778, 0.477555", \
-            "0.031214, 0.044407, 0.0706267, 0.124149, 0.237154, 0.477904" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.219464, 0.225013, 0.235991, 0.243916, 0.250488, 0.256496, 0.262328, 0.268151, 0.271559, 0.284493, 0.292281, 0.298984, 0.309721, 0.32019, 0.331961, 0.346909");
-            values ( \
-              "0.0155908, 0.0286046, 0.0708798, 0.0936465, 0.105859, 0.111957, 0.113227, 0.109873, 0.103905, 0.0621482, 0.0421819, 0.0297837, 0.0164843, 0.00905321, 0.00464143, 0.00264722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.241119, 0.247848, 0.262191, 0.269668, 0.275428, 0.284589, 0.293188, 0.301706, 0.311018, 0.345189, 0.360598, 0.375058, 0.391226, 0.409157, 0.414809");
-            values ( \
-              "0.019429, 0.0358274, 0.10111, 0.127609, 0.142116, 0.15696, 0.161562, 0.160269, 0.150566, 0.0630972, 0.0372017, 0.0218325, 0.011722, 0.00579735, 0.00488516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.286008, 0.309314, 0.319443, 0.328906, 0.33534, 0.348209, 0.352331, 0.363441, 0.374668, 0.383609, 0.392648, 0.407901, 0.429711, 0.449095, 0.470876, 0.483476, 0.500711, 0.510894, 0.528187, 0.547669, 0.563128, 0.594046, 0.614242");
-            values ( \
-              "0.00300384, 0.120251, 0.160424, 0.18517, 0.195497, 0.205874, 0.206689, 0.205313, 0.199841, 0.192791, 0.182732, 0.157781, 0.115218, 0.0825704, 0.0543028, 0.041861, 0.0289479, 0.0231051, 0.0156136, 0.0100032, 0.0069458, 0.00328113, 0.00227037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.378721, 0.404884, 0.41833, 0.424956, 0.438208, 0.451084, 0.463777, 0.475972, 0.501324, 0.527838, 0.551788, 0.583794, 0.629552, 0.664748, 0.703778, 0.72142, 0.751751, 0.793453, 0.816849, 0.848146, 0.889876, 0.968776, 0.971619");
-            values ( \
-              "0.0289766, 0.148513, 0.197036, 0.212757, 0.231789, 0.23969, 0.241234, 0.239871, 0.231771, 0.219104, 0.203597, 0.173989, 0.124262, 0.0904704, 0.0609892, 0.0502282, 0.0356377, 0.0217554, 0.0165243, 0.011287, 0.00654065, 0.00232214, 0.00226674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.550567, 0.590701, 0.599805, 0.612889, 0.626022, 0.642614, 0.658486, 0.67379, 0.697452, 0.770346, 0.819003, 0.857936, 0.881785, 0.929483, 1.04115, 1.11896, 1.15299, 1.19005, 1.23946, 1.28195, 1.34458, 1.39892, 1.46391, 1.55057, 1.65369, 1.73128");
-            values ( \
-              "0.0330795, 0.188288, 0.215584, 0.240999, 0.255029, 0.262527, 0.264471, 0.263559, 0.260367, 0.244213, 0.230825, 0.218023, 0.208597, 0.185594, 0.123636, 0.0859596, 0.0722421, 0.0592642, 0.0449654, 0.0351906, 0.0242606, 0.0174181, 0.0116617, 0.00670367, 0.0034285, 0.00215649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.885501, 0.957545, 0.966808, 0.985334, 1.00115, 1.0155, 1.03925, 1.06954, 1.15783, 1.30655, 1.41816, 1.52128, 1.6112, 1.88364, 1.94381, 2.04693, 2.10304, 2.18274, 2.28586, 2.32788, 2.43461, 2.53772, 2.63147, 2.76303, 2.86615, 3.07238, 3.27861, 3.58796");
-            values ( \
-              "0.0589909, 0.239947, 0.252605, 0.268574, 0.273985, 0.276741, 0.277238, 0.276309, 0.267925, 0.249873, 0.233898, 0.215712, 0.195006, 0.120684, 0.105551, 0.0823108, 0.0713812, 0.057807, 0.0434325, 0.0385703, 0.0283525, 0.0208726, 0.0157577, 0.0105635, 0.00769618, 0.00406074, 0.00213505, 0.000806696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.23912, 0.245269, 0.255411, 0.263761, 0.270318, 0.276351, 0.282175, 0.288, 0.291363, 0.30427, 0.314656, 0.324511, 0.331954, 0.344724, 0.359911, 0.364658");
-            values ( \
-              "0.015173, 0.0300375, 0.0696936, 0.093482, 0.105703, 0.111872, 0.11318, 0.109818, 0.103988, 0.0623736, 0.0371557, 0.0218219, 0.0144031, 0.00695211, 0.00291164, 0.0024028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.259686, 0.284245, 0.290937, 0.294979, 0.303061, 0.30952, 0.312697, 0.321193, 0.329975, 0.338869, 0.35203, 0.365337, 0.378966, 0.389906, 0.402548, 0.410772, 0.432541, 0.453506");
-            values ( \
-              "0.000620966, 0.110934, 0.132517, 0.142481, 0.155625, 0.160667, 0.161534, 0.159892, 0.151243, 0.13216, 0.093451, 0.061858, 0.0388412, 0.0259461, 0.0160676, 0.0117876, 0.0049114, 0.00227178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.308743, 0.312772, 0.330018, 0.340079, 0.346832, 0.355945, 0.369591, 0.373713, 0.384009, 0.39518, 0.413209, 0.469663, 0.491426, 0.504012, 0.521275, 0.548751, 0.568207, 0.583639, 0.61087");
-            values ( \
-              "0.0281202, 0.0372618, 0.121991, 0.16143, 0.179608, 0.196041, 0.206172, 0.20692, 0.205403, 0.199949, 0.182747, 0.0825578, 0.0543088, 0.0418828, 0.0289387, 0.0156054, 0.00999769, 0.00696358, 0.00371291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.400953, 0.429939, 0.439604, 0.457332, 0.47165, 0.484373, 0.49652, 0.516768, 0.53208, 0.548387, 0.572595, 0.6028, 0.657861, 0.702527, 0.739673, 0.764879, 0.786939, 0.816352, 0.838103, 0.862157, 0.894229, 0.958373, 0.993176");
-            values ( \
-              "0.0413367, 0.167598, 0.19936, 0.230503, 0.239582, 0.241406, 0.239731, 0.233804, 0.227289, 0.218978, 0.203522, 0.175516, 0.116357, 0.0762784, 0.0515934, 0.0388245, 0.0300898, 0.0212224, 0.0162696, 0.0121222, 0.00816298, 0.00347408, 0.00250113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.584073, 0.605728, 0.620069, 0.630983, 0.646295, 0.662938, 0.678762, 0.694028, 0.717693, 0.742105, 0.814126, 0.844934, 0.878193, 0.902028, 0.9497, 1.06138, 1.13911, 1.17323, 1.21039, 1.25994, 1.30211, 1.36465, 1.41907, 1.48432, 1.57133, 1.67445, 1.77756, 1.98379");
-            values ( \
-              "0.15051, 0.169767, 0.21595, 0.237934, 0.255126, 0.262656, 0.264408, 0.263615, 0.260299, 0.255454, 0.237942, 0.229096, 0.218023, 0.20859, 0.185613, 0.123639, 0.0859967, 0.0722401, 0.0592295, 0.0449078, 0.035205, 0.0242841, 0.0174297, 0.0116511, 0.00667887, 0.00341853, 0.00173006, 0.000441338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.930361, 0.968096, 0.983844, 0.996947, 1.014, 1.03463, 1.0496, 1.06671, 1.09883, 1.13144, 1.22912, 1.33129, 1.43441, 1.50785, 1.55615, 1.65276, 1.88141, 2.03663, 2.1096, 2.18895, 2.29206, 2.37038, 2.49549, 2.59861, 2.75819, 2.86131, 2.96442, 3.17065, 3.37689, 3.68623");
-            values ( \
-              "0.217621, 0.220456, 0.249159, 0.262639, 0.272079, 0.276647, 0.277432, 0.277381, 0.27553, 0.272724, 0.262023, 0.249229, 0.234637, 0.222386, 0.212827, 0.1898, 0.126847, 0.0889777, 0.0741023, 0.060163, 0.0453123, 0.0362913, 0.02521, 0.0185391, 0.0114297, 0.00831438, 0.00605571, 0.0031913, 0.00167806, 0.000629014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.28255, 0.297212, 0.304677, 0.307661, 0.315418, 0.320231, 0.326057, 0.33192, 0.33782, 0.347632, 0.358996, 0.366024, 0.374387, 0.385476, 0.398307, 0.408823, 0.413774");
-            values ( \
-              "0.00543335, 0.061969, 0.0861572, 0.0934783, 0.107471, 0.111863, 0.113209, 0.109669, 0.0975193, 0.0638367, 0.0361574, 0.024998, 0.0155343, 0.0081852, 0.00411068, 0.00209451, 0.00186014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.307054, 0.3261, 0.333202, 0.339182, 0.34833, 0.356922, 0.365436, 0.373945, 0.375819, 0.382247, 0.393874, 0.400002, 0.412958, 0.422121, 0.429509, 0.435773, 0.445531, 0.454359, 0.465431, 0.480193, 0.500041");
-            values ( \
-              "0.0165976, 0.102176, 0.127283, 0.14237, 0.157124, 0.161653, 0.160332, 0.151808, 0.148747, 0.134072, 0.0998233, 0.0836196, 0.0551471, 0.040255, 0.0308059, 0.0244531, 0.0168993, 0.0120016, 0.00779537, 0.00431106, 0.0020779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.355926, 0.36527, 0.373814, 0.380344, 0.390886, 0.399716, 0.413471, 0.42062, 0.427775, 0.441673, 0.451448, 0.456986, 0.468061, 0.499001, 0.520585, 0.53773, 0.549694, 0.5641, 0.572183, 0.58835, 0.600154, 0.618192, 0.642243, 0.681372");
-            values ( \
-              "0.0440944, 0.0785398, 0.122102, 0.149216, 0.180445, 0.195957, 0.206347, 0.20692, 0.205436, 0.198141, 0.189349, 0.182725, 0.165403, 0.106194, 0.0721448, 0.0515646, 0.0402155, 0.0295322, 0.0247223, 0.0171667, 0.0131249, 0.00865393, 0.00486901, 0.00193445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.449323, 0.461102, 0.473942, 0.489486, 0.50393, 0.515601, 0.528258, 0.540485, 0.561553, 0.574413, 0.616003, 0.63062, 0.728386, 0.768853, 0.816265, 0.857095, 0.881081, 0.913198, 0.956021, 1.01862");
-            values ( \
-              "0.0942447, 0.108968, 0.168071, 0.212872, 0.233269, 0.239537, 0.241432, 0.239694, 0.23353, 0.228067, 0.203966, 0.191657, 0.0912833, 0.060561, 0.0357024, 0.0220547, 0.0165135, 0.0111431, 0.00647049, 0.00310055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.62572, 0.643834, 0.66465, 0.677608, 0.690912, 0.707263, 0.723273, 0.738701, 0.762366, 0.786774, 0.83526, 0.858778, 0.889604, 0.922901, 0.946699, 0.994293, 1.10601, 1.18351, 1.21789, 1.25534, 1.30528, 1.34655, 1.36964, 1.40881, 1.46347, 1.52946, 1.61746, 1.72057, 1.82369, 2.02992");
-            values ( \
-              "0.135992, 0.140104, 0.214905, 0.240558, 0.254752, 0.262433, 0.264341, 0.26359, 0.260288, 0.255444, 0.244153, 0.237947, 0.229096, 0.218009, 0.208589, 0.185654, 0.12366, 0.0861131, 0.0722434, 0.0591388, 0.0447373, 0.0352519, 0.0307952, 0.0243568, 0.0174576, 0.0116161, 0.00661372, 0.00338553, 0.00171265, 0.000436578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.931508, 1.03261, 1.05176, 1.06718, 1.08121, 1.10486, 1.13532, 1.17592, 1.27359, 1.37573, 1.48385, 1.58697, 1.62843, 1.67689, 1.94933, 2.00929, 2.1124, 2.19634, 2.24877, 2.35188, 2.39358, 2.43893, 2.4991, 2.60222, 2.69705, 2.83057, 2.93369, 3.03681, 3.13992, 3.34615, 3.6555");
-            values ( \
-              "0.00472118, 0.253718, 0.26895, 0.274635, 0.276538, 0.277641, 0.276023, 0.272813, 0.262094, 0.249209, 0.233885, 0.215727, 0.206733, 0.194994, 0.120675, 0.105612, 0.0823457, 0.0663983, 0.0577617, 0.0433842, 0.0385631, 0.0338697, 0.0284579, 0.0209415, 0.0157571, 0.0104977, 0.00765734, 0.00554991, 0.00404253, 0.00212788, 0.000796849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.379861, 0.404063, 0.410626, 0.416629, 0.422459, 0.428442, 0.431692, 0.444627, 0.45502, 0.463685, 0.47345, 0.484848, 0.500796, 0.506742");
-            values ( \
-              "0.0156267, 0.0935128, 0.10621, 0.111762, 0.113506, 0.109545, 0.103918, 0.0621335, 0.0369846, 0.0232007, 0.0134378, 0.00699718, 0.00281558, 0.00221007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.401149, 0.407845, 0.421737, 0.431343, 0.435689, 0.444851, 0.453452, 0.46197, 0.470484, 0.505449, 0.520864, 0.535321, 0.551489, 0.569425, 0.578808");
-            values ( \
-              "0.0191445, 0.0342167, 0.0978354, 0.131485, 0.142267, 0.156748, 0.161737, 0.16008, 0.151947, 0.0631108, 0.0372022, 0.0218326, 0.0117215, 0.00579814, 0.0042827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.444407, 0.487095, 0.496277, 0.509886, 0.514419, 0.524372, 0.534325, 0.545761, 0.553575, 0.568876, 0.590596, 0.610176, 0.631692, 0.644069, 0.661744, 0.672176, 0.689233, 0.708316, 0.723385, 0.753524, 0.773261");
-            values ( \
-              "0.00891806, 0.178807, 0.195688, 0.205928, 0.206777, 0.205299, 0.200647, 0.19163, 0.182692, 0.157707, 0.115287, 0.0823403, 0.0544214, 0.0421614, 0.0288763, 0.0229288, 0.0155671, 0.010059, 0.00706902, 0.00339364, 0.00237866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.528857, 0.58968, 0.595832, 0.608136, 0.612724, 0.621901, 0.63764, 0.659537, 0.683712, 0.701106, 0.717982, 0.747022, 0.791311, 0.806135, 0.824743, 0.84837, 0.866626, 0.890968, 0.90825, 0.921997, 0.940326, 0.973505, 0.988622, 1.01126, 1.04144, 1.10181, 1.19169, 1.29481");
-            values ( \
-              "0.000381786, 0.218127, 0.227087, 0.237389, 0.239311, 0.24094, 0.239764, 0.233057, 0.222132, 0.212199, 0.200009, 0.172447, 0.124231, 0.109284, 0.0920791, 0.0727614, 0.0601175, 0.0461186, 0.0378525, 0.0323058, 0.026131, 0.0174169, 0.0144897, 0.0109681, 0.0076001, 0.00338166, 0.000994058, 0.000158381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.703422, 0.761421, 0.771666, 0.786758, 0.794475, 0.803295, 0.819676, 0.835635, 0.859335, 0.883754, 0.928381, 0.986688, 1.02985, 1.0715, 1.10838, 1.2131, 1.24407, 1.30026, 1.33024, 1.38149, 1.43111, 1.48496, 1.55138, 1.597, 1.68865, 1.79177, 1.89488, 2.10111");
-            values ( \
-              "0.00215886, 0.212419, 0.234628, 0.253231, 0.258252, 0.261569, 0.264122, 0.263417, 0.260281, 0.255374, 0.245142, 0.229122, 0.214218, 0.195724, 0.17635, 0.118314, 0.102894, 0.0778427, 0.0665574, 0.0502699, 0.037851, 0.0275521, 0.0184744, 0.0138645, 0.00778722, 0.00407283, 0.00198228, 0.000465541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.06418, 1.09583, 1.11224, 1.13101, 1.15139, 1.17074, 1.17949, 1.197, 1.22582, 1.26927, 1.33706, 1.44146, 1.52603, 1.58213, 1.68524, 1.72659, 1.77509, 2.04756, 2.10764, 2.21075, 2.29445, 2.34682, 2.44993, 2.49181, 2.5374, 2.59789, 2.70101, 2.79533, 2.92795, 3.03106, 3.13418, 3.23729, 3.44353, 3.75287");
-            values ( \
-              "0.150271, 0.179863, 0.224928, 0.255026, 0.26998, 0.275771, 0.276766, 0.277569, 0.276624, 0.273218, 0.266049, 0.253449, 0.242152, 0.23386, 0.215738, 0.206767, 0.194987, 0.120662, 0.105597, 0.0823101, 0.0664107, 0.0578002, 0.0433972, 0.0385547, 0.0338389, 0.0284205, 0.0208982, 0.0157463, 0.0105172, 0.00768653, 0.00555635, 0.00406206, 0.00214222, 0.000790485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.584835, 0.591859, 0.603065, 0.611122, 0.617756, 0.6238, 0.629656, 0.635502, 0.638449, 0.653238, 0.661606, 0.670432, 0.677375, 0.688658, 0.704428, 0.710596");
-            values ( \
-              "0.0131843, 0.0274455, 0.0691732, 0.0924764, 0.105153, 0.111377, 0.11293, 0.109587, 0.104742, 0.0580676, 0.0381656, 0.0238145, 0.0162017, 0.00848858, 0.00346662, 0.00262115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.612262, 0.620448, 0.631534, 0.638849, 0.644743, 0.653913, 0.662516, 0.671036, 0.679552, 0.68141, 0.687782, 0.7057, 0.71915, 0.73088, 0.743613, 0.750153, 0.759456, 0.769219, 0.776713, 0.791702, 0.806984");
-            values ( \
-              "0.0169445, 0.0500073, 0.10075, 0.126771, 0.142069, 0.156686, 0.161661, 0.160095, 0.15191, 0.148741, 0.13425, 0.0832948, 0.0540825, 0.0359743, 0.022488, 0.0175483, 0.0122299, 0.00837555, 0.0062474, 0.00341137, 0.00208482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.670997, 0.683921, 0.685947, 0.687469, 0.692037, 0.694434, 0.696832, 0.699229, 0.701627, 0.704783, 0.707939, 0.711095, 0.714251, 0.717407, 0.720563, 0.72218, 0.725413, 0.730731, 0.734712, 0.738694, 0.741348, 0.746656, 0.750244, 0.752038, 0.755627, 0.759377, 0.763289, 0.76907, 0.772895, 0.77672, 0.780545, 0.782213, 0.792218, 0.797242, 0.80656, 0.812285, 0.817064, 0.821843, 0.82796, 0.837172, 0.843361, 0.84955, 0.855739, 0.865587, 0.873409, 0.88123, 0.888102, 0.896631, 0.900895, 0.908204");
-            values ( \
-              "0.129882, 0.130884, 0.140065, 0.146094, 0.162284, 0.169641, 0.176215, 0.182005, 0.187011, 0.191781, 0.195894, 0.199245, 0.202008, 0.204186, 0.205777, 0.206365, 0.206502, 0.206264, 0.20528, 0.203896, 0.202752, 0.199933, 0.197546, 0.196141, 0.192912, 0.18884, 0.184009, 0.176053, 0.170252, 0.164024, 0.157369, 0.154304, 0.134414, 0.124747, 0.10728, 0.097045, 0.08941, 0.0821516, 0.0735613, 0.061223, 0.0539232, 0.0477284, 0.0420671, 0.0341592, 0.0284318, 0.0240493, 0.0207097, 0.0171266, 0.0155489, 0.0131494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.743884, 0.814884, 0.826746, 0.839417, 0.85164, 0.872624, 0.876996, 0.903512, 0.926934, 0.942232, 0.964286, 1.00749, 1.03841, 1.06238, 1.08061, 1.10492, 1.12224, 1.13602, 1.15438, 1.18753, 1.20263, 1.22522, 1.25535, 1.3156, 1.40529, 1.5084");
-            values ( \
-              "0.0253243, 0.232791, 0.239495, 0.241289, 0.239722, 0.233473, 0.23165, 0.218993, 0.20408, 0.191198, 0.168735, 0.12202, 0.0923431, 0.0727929, 0.0601648, 0.0461062, 0.0378946, 0.0323369, 0.0260788, 0.0174503, 0.0145265, 0.0110108, 0.00757278, 0.0034287, 0.000963308, 0.000196628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.918243, 0.955444, 0.971678, 0.986779, 1.00187, 1.01087, 1.02115, 1.04136, 1.05096, 1.07016, 1.09905, 1.14748, 1.19808, 1.22501, 1.25892, 1.31709, 1.43156, 1.46685, 1.51743, 1.55876, 1.59075, 1.64788, 1.67265, 1.71377, 1.77211, 1.83167, 1.87713, 1.96804, 2.07116, 2.17427, 2.27739");
-            values ( \
-              "0.000865324, 0.133569, 0.19792, 0.234237, 0.253056, 0.258763, 0.262229, 0.264202, 0.263439, 0.261008, 0.25539, 0.244194, 0.230248, 0.221615, 0.208641, 0.180041, 0.11677, 0.0992858, 0.0772112, 0.0620975, 0.0520848, 0.0376017, 0.032538, 0.0254674, 0.0178437, 0.0123748, 0.00931621, 0.00519549, 0.00264628, 0.00134578, 0.000680582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.25161, 1.33031, 1.34598, 1.36495, 1.38047, 1.3946, 1.41818, 1.44879, 1.48932, 1.53692, 1.68564, 1.79725, 1.90037, 1.94183, 1.99029, 2.04663, 2.26272, 2.32266, 2.42578, 2.48221, 2.5622, 2.66532, 2.70698, 2.75228, 2.81236, 2.91548, 3.01044, 3.05502, 3.14418, 3.2473, 3.35042, 3.45353, 3.65976, 3.96911");
-            values ( \
-              "0.00691106, 0.228133, 0.253293, 0.268952, 0.27433, 0.276665, 0.277436, 0.276165, 0.272647, 0.267776, 0.24972, 0.233895, 0.215716, 0.206723, 0.195003, 0.180152, 0.120682, 0.105611, 0.0823575, 0.0713673, 0.0577499, 0.043385, 0.038568, 0.0338792, 0.0284645, 0.0209547, 0.0157621, 0.0137861, 0.0104953, 0.00764799, 0.00555057, 0.00403558, 0.00212224, 0.000800476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.00916, 1.01338, 1.02491, 1.02864, 1.03297, 1.03963, 1.04572, 1.05164, 1.05754, 1.06094, 1.06399, 1.07007, 1.07396, 1.08145, 1.08443, 1.08916, 1.09455, 1.10173, 1.10606, 1.11221, 1.11486, 1.12016, 1.13077, 1.14852, 1.14993");
-            values ( \
-              "0.0180473, 0.0279754, 0.0696374, 0.0810174, 0.0922392, 0.104402, 0.110457, 0.111713, 0.108429, 0.102773, 0.0948026, 0.0737754, 0.061885, 0.0427956, 0.0368591, 0.0287542, 0.0214167, 0.0143875, 0.0112714, 0.00792384, 0.00682878, 0.00504392, 0.00274663, 0.00095854, 0.000914502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.04031, 1.04936, 1.06085, 1.06937, 1.0746, 1.08389, 1.09262, 1.10126, 1.11179, 1.14512, 1.16091, 1.17549, 1.19458, 1.21427");
-            values ( \
-              "0.0206844, 0.0467919, 0.0981419, 0.127416, 0.140173, 0.154648, 0.159278, 0.157767, 0.146645, 0.0627325, 0.036703, 0.021528, 0.0103677, 0.00499101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.09755, 1.10666, 1.13442, 1.15068, 1.16357, 1.16844, 1.1793, 1.19014, 1.20896, 1.26462, 1.29105, 1.31791, 1.34548, 1.38197, 1.40644");
-            values ( \
-              "0.0197167, 0.0355421, 0.156129, 0.191622, 0.202173, 0.203188, 0.201945, 0.196926, 0.180275, 0.0843545, 0.0509922, 0.0289917, 0.0156408, 0.00675255, 0.00394826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.21591, 1.23164, 1.24175, 1.25237, 1.2613, 1.27646, 1.28774, 1.30049, 1.31276, 1.33383, 1.3468, 1.3647, 1.38914, 1.41795, 1.47362, 1.51624, 1.53431, 1.56075, 1.58263, 1.60079, 1.62164, 1.65112, 1.66986, 1.70462, 1.75098, 1.83619, 1.93747");
-            values ( \
-              "0.0521644, 0.0999696, 0.146878, 0.185953, 0.208762, 0.231199, 0.23796, 0.240307, 0.239016, 0.233081, 0.227689, 0.218773, 0.20322, 0.176701, 0.116983, 0.078389, 0.0651367, 0.0489648, 0.038277, 0.0310487, 0.0242564, 0.016993, 0.0135138, 0.00879426, 0.00487834, 0.00146705, 0.000335182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.40944, 1.42937, 1.44512, 1.45017, 1.46028, 1.47561, 1.47823, 1.48871, 1.50268, 1.52422, 1.54793, 1.57233, 1.61398, 1.63927, 1.67522, 1.72864, 1.75359, 1.78211, 1.87167, 1.92075, 1.97684, 2.00368, 2.03281, 2.066, 2.1092, 2.12109, 2.14487, 2.18634, 2.24495, 2.27076, 2.30551, 2.35184, 2.4445, 2.54762, 2.65073, 2.75385");
-            values ( \
-              "0.110981, 0.139894, 0.200219, 0.214488, 0.235555, 0.254106, 0.255888, 0.260947, 0.263834, 0.263649, 0.260259, 0.255467, 0.245802, 0.23928, 0.22909, 0.210189, 0.198931, 0.18442, 0.134397, 0.108677, 0.0828109, 0.0721577, 0.0618028, 0.0514982, 0.040267, 0.0376048, 0.0327232, 0.0255678, 0.0178792, 0.0152695, 0.0123273, 0.00922599, 0.00508642, 0.00258745, 0.00131876, 0.000663765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.75655, 1.78917, 1.81063, 1.82438, 1.84451, 1.85932, 1.87288, 1.8966, 1.92811, 1.96757, 2.01518, 2.16388, 2.27549, 2.37861, 2.42006, 2.46852, 2.74096, 2.80087, 2.90399, 2.98802, 3.04049, 3.1436, 3.18522, 3.23046, 3.29045, 3.39357, 3.48866, 3.53332, 3.62264, 3.72576, 3.82888, 3.93199, 4.13822, 4.44757");
-            values ( \
-              "0.142082, 0.178372, 0.234634, 0.254812, 0.270282, 0.274856, 0.276923, 0.277539, 0.276167, 0.272658, 0.267811, 0.249744, 0.233896, 0.215719, 0.206728, 0.195003, 0.120681, 0.105619, 0.0823611, 0.0663931, 0.057745, 0.0433779, 0.0385663, 0.0338831, 0.0284785, 0.0209623, 0.0157612, 0.0137849, 0.0104864, 0.00764419, 0.00554513, 0.00403426, 0.00212224, 0.00079841" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0126162, 0.0126593, 0.0127081, 0.0127506, 0.0127799, 0.0127971", \
-            "0.0159379, 0.0159886, 0.0160526, 0.016114, 0.0161603, 0.016189", \
-            "0.0180629, 0.0181018, 0.0181575, 0.0182185, 0.0182697, 0.0183037", \
-            "0.0192587, 0.019276, 0.0193058, 0.0193456, 0.0193844, 0.0194134", \
-            "0.0199979, 0.0199724, 0.0199474, 0.0199307, 0.0199285, 0.0199346", \
-            "0.020478, 0.0204358, 0.0203769, 0.020307, 0.0202428, 0.020201" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0189351, 0.0189938, 0.0190644, 0.0191302, 0.0191762, 0.0192029", \
-            "0.0201297, 0.0201466, 0.0201657, 0.0201744, 0.0201882, 0.0201885", \
-            "0.0196817, 0.0195614, 0.0194156, 0.0192796, 0.0191803, 0.0191198", \
-            "0.0193966, 0.0191451, 0.0188449, 0.0185703, 0.018366, 0.0182374", \
-            "0.0198231, 0.0195904, 0.0190139, 0.0185221, 0.0181226, 0.0178866", \
-            "0.0178114, 0.0179031, 0.0181127, 0.0186016, 0.0183983, 0.0179433" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.169774, 0.172196, 0.174731, 0.178235, 0.184655, 0.189496, 0.19784, 0.203846, 0.207897, 0.210868, 0.213723, 0.21857, 0.22084, 0.223821, 0.23109, 0.235492, 0.237653, 0.240394, 0.244048, 0.246315, 0.249984, 0.254876, 0.264468, 0.265853");
-            values ( \
-              "-0.0241501, -0.0294241, -0.0331437, -0.0462249, -0.0731199, -0.0896972, -0.113615, -0.126342, -0.132353, -0.135442, -0.13713, -0.133394, -0.127074, -0.113747, -0.0666163, -0.0436994, -0.034983, -0.0260953, -0.017336, -0.0134213, -0.00882652, -0.00498635, -0.00153162, -0.00140334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.18546, 0.188966, 0.193476, 0.195314, 0.198991, 0.204031, 0.211962, 0.216881, 0.22524, 0.232752, 0.239827, 0.246732, 0.25363, 0.255359, 0.257204, 0.260894, 0.26985, 0.275293, 0.278082, 0.281724, 0.284615, 0.288255, 0.293383, 0.295725, 0.298972, 0.303301, 0.311959, 0.313724");
-            values ( \
-              "-0.0294972, -0.0381382, -0.0522111, -0.0597358, -0.0768581, -0.102939, -0.135882, -0.152455, -0.175442, -0.189178, -0.197717, -0.199481, -0.191166, -0.186528, -0.180254, -0.162192, -0.105948, -0.0769022, -0.0644807, -0.0507623, -0.041752, -0.0324137, -0.0224717, -0.0189903, -0.0150138, -0.0109243, -0.00568359, -0.00521818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.215637, 0.223622, 0.230102, 0.248982, 0.259765, 0.270739, 0.276429, 0.288, 0.2991, 0.310123, 0.321141, 0.323787, 0.355399, 0.369455, 0.38108, 0.389974, 0.399269, 0.420358");
-            values ( \
-              "-0.0287325, -0.0486779, -0.073267, -0.16693, -0.204019, -0.230467, -0.240663, -0.25461, -0.260971, -0.258665, -0.243709, -0.237365, -0.102027, -0.0608625, -0.0386771, -0.0269993, -0.018496, -0.00765988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.285352, 0.301302, 0.314746, 0.324936, 0.329791, 0.339502, 0.353194, 0.369793, 0.373762, 0.381701, 0.393315, 0.412641, 0.431965, 0.440668, 0.449652, 0.459298, 0.472159, 0.503912, 0.516625, 0.523808, 0.538174, 0.550565, 0.557526, 0.568857, 0.584727, 0.591077, 0.603778, 0.62918, 0.675894");
-            values ( \
-              "-0.04972, -0.100367, -0.168032, -0.210473, -0.227754, -0.253223, -0.279606, -0.297862, -0.301462, -0.305497, -0.309894, -0.309311, -0.301015, -0.293159, -0.282023, -0.264255, -0.230191, -0.140193, -0.111547, -0.09753, -0.0738314, -0.0577073, -0.0501237, -0.0397126, -0.0284766, -0.0249231, -0.0190337, -0.0109366, -0.00367821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.398587, 0.502378, 0.526003, 0.537101, 0.559296, 0.570245, 0.599294, 0.636129, 0.651732, 0.674299, 0.712734, 0.728303, 0.743759, 0.800996, 0.838328, 0.872958, 0.897771, 0.918056, 0.942669, 0.977397, 1.01395, 1.04201, 1.09813, 1.17409, 1.18256");
-            values ( \
-              "-0.0229091, -0.312074, -0.332126, -0.337117, -0.343032, -0.343902, -0.342503, -0.335075, -0.329887, -0.320748, -0.294361, -0.277267, -0.255549, -0.167202, -0.120418, -0.0869867, -0.0682572, -0.0557815, -0.0434443, -0.0303095, -0.0207107, -0.0153909, -0.00835416, -0.0035465, -0.00338398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.692962, 0.741203, 0.765429, 0.790896, 0.818926, 0.842225, 0.864349, 0.900368, 0.936089, 0.994428, 1.08365, 1.16614, 1.19561, 1.23489, 1.26185, 1.29745, 1.40446, 1.48843, 1.53862, 1.59216, 1.63249, 1.67803, 1.72445, 1.78978, 1.86704, 1.943, 2.01895, 2.09491, 2.24682, 2.39873");
-            values ( \
-              "-0.191597, -0.259637, -0.310884, -0.339486, -0.355065, -0.361479, -0.363895, -0.364493, -0.362292, -0.356796, -0.344329, -0.327345, -0.318716, -0.303355, -0.289024, -0.264809, -0.181569, -0.127213, -0.101454, -0.0789467, -0.0650879, -0.052146, -0.0414258, -0.0298033, -0.0201234, -0.0135792, -0.00915053, -0.00614645, -0.00276902, -0.0012446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.187216, 0.192735, 0.206631, 0.216482, 0.221917, 0.226953, 0.231803, 0.236646, 0.241496, 0.249589, 0.254925, 0.258104, 0.264518, 0.271971, 0.276679");
-            values ( \
-              "-0.0229411, -0.0334103, -0.0866892, -0.11496, -0.126165, -0.133695, -0.137066, -0.133435, -0.115902, -0.0643212, -0.0382904, -0.0272067, -0.0134011, -0.00568172, -0.00365621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.207976, 0.215376, 0.216078, 0.2186, 0.221122, 0.22472, 0.226328, 0.229546, 0.231155, 0.23304, 0.234925, 0.236809, 0.238694, 0.240579, 0.242464, 0.244889, 0.247186, 0.248657, 0.250773, 0.255005, 0.257851, 0.259287, 0.260723, 0.261732, 0.262742, 0.263751, 0.26476, 0.266476, 0.268192, 0.269908, 0.271756, 0.272548, 0.273604, 0.27466, 0.275716, 0.278544, 0.279486, 0.285594, 0.290559, 0.292989, 0.295419, 0.29785, 0.300049, 0.30182, 0.303, 0.304181, 0.306542, 0.308903, 0.311497, 0.313558");
-            values ( \
-              "-0.0677688, -0.0691788, -0.0726426, -0.086427, -0.098974, -0.115096, -0.121889, -0.134699, -0.140716, -0.146901, -0.152793, -0.158392, -0.163698, -0.168711, -0.173431, -0.178904, -0.183561, -0.18619, -0.189493, -0.194752, -0.197879, -0.198448, -0.19871, -0.1987, -0.198545, -0.198243, -0.197795, -0.196698, -0.195179, -0.193237, -0.190666, -0.188726, -0.185518, -0.181577, -0.177147, -0.162538, -0.157335, -0.119594, -0.0901864, -0.0778645, -0.0670819, -0.0574214, -0.0496465, -0.0436684, -0.0401781, -0.0369565, -0.0315361, -0.0267558, -0.0223131, -0.019026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.232332, 0.273673, 0.281776, 0.294325, 0.305855, 0.316976, 0.327996, 0.339012, 0.341665, 0.350761, 0.373287, 0.387335, 0.398936, 0.407835, 0.41715, 0.424297, 0.438589, 0.446692");
-            values ( \
-              "-0.00506341, -0.19212, -0.215335, -0.240947, -0.254656, -0.261034, -0.258708, -0.243723, -0.237372, -0.202383, -0.101968, -0.0608411, -0.0386995, -0.0270093, -0.0184879, -0.0137622, -0.00748558, -0.00585843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.302273, 0.319881, 0.333286, 0.341342, 0.34829, 0.362176, 0.371684, 0.388594, 0.392255, 0.399576, 0.411807, 0.431132, 0.450455, 0.452419, 0.459152, 0.471357, 0.477812, 0.490722, 0.522307, 0.531519, 0.542439, 0.557, 0.565583, 0.575637, 0.587088, 0.603078, 0.609554, 0.622507, 0.648412, 0.695555, 0.750807");
-            values ( \
-              "-0.0351935, -0.100745, -0.167829, -0.203342, -0.227456, -0.262974, -0.279141, -0.298593, -0.301138, -0.305553, -0.309606, -0.309622, -0.300732, -0.299236, -0.293172, -0.276919, -0.264201, -0.229982, -0.140423, -0.119136, -0.097266, -0.0733446, -0.0618636, -0.0505116, -0.0399191, -0.0285586, -0.0249236, -0.018936, -0.0107498, -0.00352475, -0.000892269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.414253, 0.523498, 0.544721, 0.567284, 0.581608, 0.610255, 0.633575, 0.654912, 0.691962, 0.707862, 0.724823, 0.733638, 0.751268, 0.803083, 0.831756, 0.854076, 0.878768, 0.911691, 0.936278, 0.953942, 0.989269, 1.01116, 1.04337, 1.08631, 1.16226, 1.23822, 1.31417");
-            values ( \
-              "-0.0167991, -0.315115, -0.332242, -0.341009, -0.343176, -0.343401, -0.34004, -0.334875, -0.321286, -0.312512, -0.300338, -0.292267, -0.271526, -0.19151, -0.150838, -0.123717, -0.0983927, -0.0714624, -0.0559921, -0.0468468, -0.0325082, -0.0259011, -0.0184821, -0.0116696, -0.00496747, -0.00210006, -0.000893819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.707064, 0.753489, 0.768063, 0.783021, 0.803474, 0.809705, 0.822166, 0.845101, 0.883206, 0.92784, 1.00911, 1.06452, 1.1025, 1.17773, 1.21291, 1.25044, 1.28814, 1.33842, 1.40633, 1.47622, 1.51027, 1.54932, 1.60139, 1.65085, 1.70921, 1.78516, 1.83283, 1.90577, 1.98173, 2.05768, 2.13364, 2.28555, 2.43746");
-            values ( \
-              "-0.160975, -0.239274, -0.27895, -0.309006, -0.333099, -0.339555, -0.347123, -0.358037, -0.364386, -0.364356, -0.357511, -0.350498, -0.344097, -0.32952, -0.319193, -0.30489, -0.284441, -0.247688, -0.194151, -0.145658, -0.12557, -0.105337, -0.0826218, -0.0652283, -0.0490543, -0.0335062, -0.0263482, -0.0181693, -0.0122423, -0.00825466, -0.00553401, -0.00248952, -0.00111617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.226689, 0.231572, 0.241507, 0.249135, 0.254701, 0.260709, 0.264762, 0.267729, 0.270587, 0.275398, 0.277693, 0.280687, 0.287957, 0.292301, 0.297325, 0.303191, 0.306634, 0.311225, 0.318552");
-            values ( \
-              "-0.0241045, -0.0332954, -0.0731473, -0.0982423, -0.113482, -0.126198, -0.132438, -0.135532, -0.136985, -0.133487, -0.127097, -0.113736, -0.0666093, -0.043955, -0.0259095, -0.0134054, -0.0090504, -0.00529905, -0.00244662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.24419, 0.250661, 0.262096, 0.274042, 0.282397, 0.289909, 0.296984, 0.303888, 0.310785, 0.314383, 0.318096, 0.331523, 0.338629, 0.347071, 0.352903, 0.356564, 0.363887, 0.373899");
-            values ( \
-              "-0.0325067, -0.0522218, -0.10768, -0.152439, -0.175537, -0.189163, -0.197759, -0.199487, -0.191165, -0.18018, -0.161919, -0.0813962, -0.0515972, -0.0287838, -0.0189491, -0.0145221, -0.00840381, -0.00433692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.273623, 0.282842, 0.288164, 0.301801, 0.31566, 0.32192, 0.334468, 0.345999, 0.35712, 0.36814, 0.379156, 0.381808, 0.413428, 0.427477, 0.439081, 0.44798, 0.457293, 0.47576");
-            values ( \
-              "-0.0283122, -0.0534179, -0.0738843, -0.143321, -0.198054, -0.21542, -0.240897, -0.254731, -0.260981, -0.258767, -0.243675, -0.237373, -0.101975, -0.060844, -0.0386957, -0.0270082, -0.018489, -0.00901067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.351446, 0.366143, 0.37345, 0.375562, 0.379786, 0.38519, 0.388481, 0.391772, 0.395063, 0.398354, 0.401645, 0.404206, 0.411888, 0.416069, 0.420251, 0.424433, 0.428615, 0.432463, 0.436311, 0.440159, 0.449053, 0.456849, 0.461681, 0.466513, 0.471345, 0.481007, 0.49095, 0.494869, 0.499347, 0.503826, 0.508305, 0.511572, 0.51484, 0.521375, 0.524643, 0.531178, 0.544506, 0.548932, 0.553358, 0.56221, 0.575524, 0.583128, 0.590732, 0.598335, 0.608747, 0.614587, 0.620427, 0.626267, 0.638651, 0.649727");
-            values ( \
-              "-0.128298, -0.130924, -0.169101, -0.17918, -0.197802, -0.218564, -0.22968, -0.239312, -0.248096, -0.256032, -0.263119, -0.267643, -0.279747, -0.285154, -0.290079, -0.294524, -0.298486, -0.301708, -0.304504, -0.306874, -0.308142, -0.308514, -0.308398, -0.308016, -0.307368, -0.304464, -0.30045, -0.297297, -0.292733, -0.287525, -0.281674, -0.276487, -0.269751, -0.254805, -0.246593, -0.228695, -0.188411, -0.175834, -0.163755, -0.141088, -0.110347, -0.0957926, -0.0830545, -0.0716739, -0.0579796, -0.0510524, -0.0454782, -0.0403874, -0.0313746, -0.0242221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.4559, 0.557715, 0.572177, 0.584862, 0.603952, 0.621777, 0.658208, 0.687369, 0.7103, 0.733164, 0.771593, 0.787205, 0.802644, 0.85982, 0.897198, 0.931846, 0.956644, 0.976901, 1.00152, 1.03625, 1.07282, 1.10089, 1.15705, 1.233, 1.24066");
-            values ( \
-              "-0.0124155, -0.307559, -0.323029, -0.331984, -0.340018, -0.343278, -0.342501, -0.336989, -0.330135, -0.320625, -0.294503, -0.277221, -0.255521, -0.167262, -0.120414, -0.0869672, -0.0682521, -0.0557931, -0.0434501, -0.0303127, -0.0207093, -0.015387, -0.0083496, -0.00354418, -0.00339754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.747049, 0.820819, 0.850542, 0.873371, 0.899416, 0.924067, 0.960104, 1.05195, 1.14336, 1.22572, 1.29269, 1.32626, 1.56152, 1.67712, 1.7737, 1.87479, 2.01202, 2.06723");
-            values ( \
-              "-0.153102, -0.303247, -0.339047, -0.353008, -0.361, -0.364025, -0.364427, -0.356965, -0.344403, -0.327374, -0.304243, -0.286152, -0.119879, -0.0699633, -0.0436159, -0.0261894, -0.0129176, -0.0103472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.308728, 0.313608, 0.317438, 0.323983, 0.331669, 0.337259, 0.343288, 0.347348, 0.350331, 0.353188, 0.358008, 0.360228, 0.3633, 0.373443, 0.378978, 0.384805, 0.391127, 0.39823");
-            values ( \
-              "-0.0230472, -0.031963, -0.044881, -0.0724458, -0.0976783, -0.113045, -0.12587, -0.132126, -0.135255, -0.136762, -0.133283, -0.12718, -0.113617, -0.0509296, -0.0287769, -0.0150329, -0.00728062, -0.00313729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.326169, 0.335613, 0.351152, 0.35841, 0.364938, 0.370452, 0.374296, 0.38139, 0.388303, 0.395211, 0.398717, 0.402335, 0.412569, 0.417712, 0.425138, 0.430425, 0.435276, 0.441476, 0.449743, 0.45258");
-            values ( \
-              "-0.027098, -0.0543467, -0.125924, -0.152055, -0.170404, -0.182344, -0.188916, -0.197227, -0.199393, -0.190818, -0.180377, -0.162903, -0.0993421, -0.0729947, -0.0448763, -0.0311243, -0.0219989, -0.014032, -0.00753126, -0.0065014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.361089, 0.374386, 0.387953, 0.401919, 0.408238, 0.420791, 0.432333, 0.443459, 0.454482, 0.465502, 0.468151, 0.499769, 0.513823, 0.52544, 0.534336, 0.543638, 0.565042, 0.566568");
-            values ( \
-              "-0.0283094, -0.0735594, -0.142359, -0.197719, -0.215155, -0.240801, -0.254549, -0.260935, -0.258639, -0.24366, -0.237335, -0.102001, -0.0608537, -0.0386829, -0.0270034, -0.0184946, -0.00750102, -0.0071928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.426737, 0.471258, 0.486598, 0.499772, 0.515959, 0.529099, 0.539893, 0.559219, 0.578544, 0.596199, 0.605943, 0.663326, 0.685661, 0.714739, 0.737624, 0.777786, 0.785679");
-            values ( \
-              "-0.0127844, -0.209793, -0.254628, -0.279373, -0.297693, -0.306027, -0.30971, -0.30946, -0.30085, -0.282065, -0.264104, -0.111303, -0.0725156, -0.0402781, -0.0249282, -0.010438, -0.00926122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.547518, 0.633238, 0.649994, 0.667135, 0.685169, 0.710072, 0.746489, 0.783356, 0.823691, 0.854667, 0.87696, 0.979319, 1.02978, 1.07646, 1.11012, 1.15387, 1.24243, 1.26279");
-            values ( \
-              "-0.00436786, -0.287328, -0.312707, -0.328026, -0.33748, -0.343484, -0.342609, -0.335074, -0.319582, -0.299148, -0.275175, -0.127334, -0.0791662, -0.0497865, -0.0352243, -0.0223402, -0.00857786, -0.0072582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.838819, 0.913115, 0.938959, 0.985846, 1.01246, 1.04852, 1.15709, 1.23176, 1.31405, 1.38011, 1.41659, 1.65108, 1.7666, 1.86082, 1.97726, 2.1377, 2.15186");
-            values ( \
-              "-0.174885, -0.310039, -0.339302, -0.360367, -0.36415, -0.364263, -0.355111, -0.344527, -0.3274, -0.304675, -0.284934, -0.119274, -0.0696087, -0.0439066, -0.0244003, -0.0106693, -0.0101242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.481459, 0.486371, 0.495927, 0.503287, 0.508715, 0.514612, 0.518624, 0.524399, 0.529181, 0.532178, 0.546235, 0.55025, 0.55715, 0.564078, 0.569256");
-            values ( \
-              "-0.0238626, -0.0339234, -0.0761063, -0.101246, -0.116051, -0.127997, -0.13375, -0.137707, -0.133803, -0.124758, -0.0433809, -0.0285134, -0.013589, -0.00604093, -0.00358858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.504699, 0.511124, 0.513175, 0.514713, 0.517307, 0.521842, 0.525292, 0.528742, 0.53285, 0.536295, 0.538371, 0.542524, 0.543501, 0.544479, 0.545456, 0.546434, 0.548223, 0.549951, 0.550343, 0.551128, 0.554016, 0.555526, 0.557037, 0.558253, 0.560684, 0.561899, 0.563975, 0.564838, 0.565701, 0.567427, 0.569219, 0.57101, 0.572791, 0.574572, 0.576353, 0.578134, 0.578879, 0.584617, 0.588422, 0.592007, 0.593539, 0.595582, 0.597624, 0.599149, 0.601044, 0.602891, 0.604632, 0.606373, 0.610006, 0.613265");
-            values ( \
-              "-0.0332475, -0.052072, -0.061257, -0.0688027, -0.0835327, -0.106398, -0.122164, -0.136565, -0.148521, -0.159535, -0.166605, -0.181724, -0.181979, -0.182453, -0.183147, -0.18406, -0.186299, -0.188873, -0.190361, -0.191036, -0.19448, -0.195894, -0.196955, -0.19745, -0.197963, -0.197981, -0.197644, -0.19717, -0.196499, -0.194569, -0.191066, -0.186742, -0.181626, -0.175698, -0.168956, -0.161402, -0.157688, -0.121553, -0.0989131, -0.0796668, -0.0724732, -0.0638774, -0.056046, -0.0507319, -0.0444177, -0.0388198, -0.0345415, -0.0306324, -0.0238, -0.0183469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.543758, 0.556394, 0.57546, 0.590418, 0.603022, 0.614595, 0.625754, 0.636806, 0.647855, 0.650475, 0.65946, 0.682075, 0.696123, 0.707962, 0.716813, 0.725933, 0.744673");
-            values ( \
-              "-0.0316851, -0.0744248, -0.16519, -0.214427, -0.239898, -0.253845, -0.260111, -0.258118, -0.243099, -0.236993, -0.202837, -0.102217, -0.0610358, -0.0384754, -0.0269144, -0.0185752, -0.00883523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.624492, 0.638222, 0.641713, 0.653259, 0.658415, 0.662476, 0.666537, 0.670046, 0.673556, 0.677065, 0.680574, 0.685257, 0.689941, 0.698118, 0.702207, 0.706296, 0.71263, 0.718964, 0.727302, 0.734916, 0.744584, 0.749418, 0.754252, 0.759085, 0.763919, 0.769032, 0.772941, 0.777408, 0.781876, 0.786343, 0.789662, 0.792981, 0.799619, 0.802938, 0.809575, 0.82201, 0.826155, 0.834444, 0.838589, 0.852471, 0.854933, 0.859857, 0.864781, 0.875766, 0.884024, 0.890918, 0.897812, 0.907004, 0.914411, 0.927083");
-            values ( \
-              "-0.0719644, -0.102375, -0.118955, -0.178208, -0.201295, -0.216352, -0.229872, -0.239987, -0.249172, -0.257429, -0.264756, -0.272671, -0.279764, -0.289889, -0.294233, -0.298097, -0.303134, -0.306998, -0.308105, -0.308428, -0.307892, -0.307226, -0.306071, -0.30456, -0.302695, -0.30033, -0.297187, -0.292647, -0.287467, -0.281647, -0.276385, -0.269535, -0.254336, -0.245987, -0.227791, -0.190044, -0.178207, -0.155853, -0.145336, -0.112898, -0.107522, -0.0983013, -0.0896612, -0.0727162, -0.0609336, -0.0529046, -0.0461204, -0.0383186, -0.032964, -0.0249968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.740466, 0.843619, 0.857116, 0.868666, 0.887729, 0.90558, 0.937269, 0.9515, 0.970475, 0.995482, 1.01697, 1.05543, 1.07084, 1.08636, 1.1438, 1.18098, 1.21554, 1.24041, 1.26078, 1.28537, 1.32008, 1.35657, 1.38457, 1.44058, 1.51653, 1.52061");
-            values ( \
-              "-0.0180564, -0.310307, -0.323944, -0.33198, -0.339986, -0.34328, -0.343077, -0.341088, -0.337165, -0.329652, -0.320612, -0.294483, -0.277428, -0.255659, -0.167009, -0.120439, -0.0870558, -0.06828, -0.055748, -0.0434271, -0.0303036, -0.0207211, -0.0154077, -0.00837522, -0.00355504, -0.00347668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.03733, 1.07789, 1.10457, 1.11622, 1.13462, 1.15715, 1.18315, 1.20815, 1.24418, 1.27989, 1.33559, 1.42745, 1.50979, 1.53833, 1.57638, 1.61113, 1.65747, 1.74021, 1.80711, 1.84555, 1.90649, 1.96108, 2.00868, 2.05794, 2.12834, 2.15889, 2.21999, 2.29594, 2.3719, 2.44786, 2.59977, 2.75168");
-            values ( \
-              "-0.2146, -0.23718, -0.302503, -0.319926, -0.338969, -0.35281, -0.360905, -0.364006, -0.364424, -0.362365, -0.357016, -0.344398, -0.327381, -0.319064, -0.304422, -0.285663, -0.252351, -0.187461, -0.141958, -0.119915, -0.0907357, -0.0700044, -0.0555899, -0.0435831, -0.0305615, -0.0261897, -0.0191612, -0.0129293, -0.0087064, -0.00585127, -0.00263694, -0.0011865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.825562, 0.840899, 0.850441, 0.856462, 0.861811, 0.866787, 0.871598, 0.876403, 0.879034, 0.893452, 0.898121, 0.904126, 0.908177, 0.913578, 0.919739");
-            values ( \
-              "-0.000115064, -0.0672278, -0.100604, -0.117158, -0.127993, -0.135036, -0.137878, -0.133876, -0.126088, -0.0432842, -0.0264917, -0.0135143, -0.00850089, -0.0045073, -0.00248648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.86009, 0.866487, 0.878107, 0.888923, 0.897154, 0.904602, 0.911652, 0.918555, 0.925451, 0.92948, 0.946876, 0.954543, 0.962806, 0.974682, 0.984281, 0.98874");
-            values ( \
-              "-0.0351602, -0.0565115, -0.114622, -0.155707, -0.177266, -0.190493, -0.197845, -0.199457, -0.190472, -0.177975, -0.0782277, -0.047655, -0.0268556, -0.0113719, -0.0054802, -0.00478939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.916697, 0.939303, 0.953108, 0.958791, 0.970158, 0.97342, 0.982779, 0.9928, 0.996209, 1.00058, 1.00496, 1.01372, 1.01644, 1.02189, 1.04445, 1.05265, 1.0645, 1.07641, 1.08527, 1.09438, 1.10134, 1.11527, 1.13372");
-            values ( \
-              "-0.0364353, -0.150069, -0.203008, -0.217798, -0.239876, -0.244331, -0.25401, -0.259227, -0.259631, -0.259053, -0.256819, -0.24659, -0.241349, -0.226054, -0.124806, -0.0942725, -0.0609107, -0.0383508, -0.0268345, -0.0185436, -0.0139214, -0.00771393, -0.00387547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.01521, 1.02914, 1.04184, 1.05094, 1.05654, 1.06773, 1.07979, 1.09556, 1.11002, 1.12001, 1.13944, 1.15886, 1.16775, 1.17692, 1.18579, 1.19762, 1.21592, 1.24104, 1.26492, 1.27494, 1.28775, 1.30483, 1.32558, 1.33959, 1.36761, 1.38646");
-            values ( \
-              "-0.0631729, -0.108654, -0.173563, -0.211689, -0.230308, -0.258736, -0.279467, -0.296766, -0.305272, -0.308111, -0.307917, -0.299144, -0.291503, -0.280241, -0.264304, -0.234106, -0.180239, -0.118504, -0.075331, -0.0618078, -0.0477398, -0.0335029, -0.0216843, -0.0160953, -0.00869418, -0.00638845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.15385, 1.261, 1.27922, 1.29417, 1.31411, 1.33534, 1.35763, 1.39413, 1.40863, 1.4327, 1.45204, 1.47332, 1.5149, 1.57268, 1.60162, 1.64658, 1.66935, 1.70562, 1.75174, 1.77867, 1.83253, 1.90849, 1.9155");
-            values ( \
-              "-0.0156331, -0.31165, -0.327963, -0.335978, -0.341826, -0.343447, -0.341939, -0.33497, -0.330346, -0.320443, -0.309466, -0.292168, -0.235958, -0.149582, -0.115449, -0.0751777, -0.0600463, -0.0415927, -0.025772, -0.0194176, -0.010842, -0.0046141, -0.00443931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.46425, 1.50184, 1.52384, 1.53949, 1.56545, 1.58193, 1.60391, 1.63629, 1.65482, 1.676, 1.71061, 1.77464, 1.82923, 1.91616, 1.975, 2.01865, 2.0946, 2.19875, 2.26693, 2.33615, 2.39165, 2.44121, 2.50729, 2.56459, 2.64185, 2.71781, 2.79376, 2.94568, 3.17354");
-            values ( \
-              "-0.188227, -0.21485, -0.280122, -0.310442, -0.33962, -0.350156, -0.358422, -0.364063, -0.36454, -0.364368, -0.36228, -0.356136, -0.348854, -0.333242, -0.31705, -0.298888, -0.247657, -0.167893, -0.125331, -0.0914364, -0.0701999, -0.055211, -0.0397937, -0.0297699, -0.020092, -0.0136052, -0.00912098, -0.00410548, -0.00127158" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0121334, 0.0121572, 0.0121853, 0.0122104, 0.0122282, 0.0122388", \
-            "0.0142409, 0.0142558, 0.014277, 0.0142996, 0.0143181, 0.0143302", \
-            "0.0154446, 0.0154503, 0.0154603, 0.0154739, 0.0154877, 0.0154979", \
-            "0.0161456, 0.0161471, 0.0161496, 0.0161547, 0.0161619, 0.0161688", \
-            "0.0165246, 0.0165253, 0.0165263, 0.0165277, 0.0165302, 0.0165336", \
-            "0.0167332, 0.0167339, 0.0167348, 0.0167359, 0.0167372, 0.0167387" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0167108, 0.01673, 0.0167534, 0.0167751, 0.0167924, 0.0168041", \
-            "0.0182186, 0.0182338, 0.0182518, 0.0182678, 0.0182781, 0.0182836", \
-            "0.0191696, 0.0191867, 0.0192051, 0.01922, 0.0192292, 0.0192336", \
-            "0.0198407, 0.0198048, 0.0197665, 0.0197339, 0.019713, 0.0197002", \
-            "0.020819, 0.0206441, 0.0204319, 0.0202341, 0.0200913, 0.0200017", \
-            "0.0198514, 0.0206079, 0.0210764, 0.0207854, 0.0204421, 0.0202237" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(A & ~CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.2507, 0.289779, 0.366127, 0.516188, 0.813101, 1.40647", \
-            "0.256562, 0.295726, 0.372369, 0.522797, 0.819583, 1.41379", \
-            "0.269719, 0.309015, 0.385695, 0.536238, 0.833501, 1.42738", \
-            "0.297887, 0.337145, 0.414081, 0.564986, 0.862253, 1.45758", \
-            "0.35433, 0.395954, 0.474812, 0.628382, 0.926998, 1.52227", \
-            "0.443607, 0.492895, 0.585653, 0.75671, 1.06729, 1.6676" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0386181, 0.0570338, 0.0956629, 0.178353, 0.354099, 0.723285", \
-            "0.0386168, 0.0570051, 0.0956324, 0.178347, 0.354101, 0.723262", \
-            "0.038605, 0.057003, 0.0956059, 0.178353, 0.354105, 0.723276", \
-            "0.0386013, 0.0570371, 0.0956677, 0.178422, 0.354135, 0.723247", \
-            "0.0387548, 0.0570497, 0.0956602, 0.178394, 0.354195, 0.72328", \
-            "0.039104, 0.0578172, 0.0973736, 0.178614, 0.354134, 0.723265" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.191302, 0.220795, 0.277263, 0.386473, 0.601374, 1.03224", \
-            "0.195837, 0.225372, 0.281864, 0.391336, 0.605967, 1.03656", \
-            "0.205829, 0.235503, 0.292389, 0.401974, 0.617131, 1.04765", \
-            "0.227094, 0.257597, 0.315355, 0.425153, 0.640535, 1.07203", \
-            "0.260974, 0.295884, 0.360132, 0.475682, 0.693794, 1.12524", \
-            "0.292501, 0.335519, 0.41529, 0.556464, 0.801856, 1.24351" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0297093, 0.0427268, 0.0687523, 0.122355, 0.236116, 0.477738", \
-            "0.0297152, 0.0427149, 0.0687193, 0.122294, 0.235962, 0.47769", \
-            "0.0296958, 0.0427034, 0.0687128, 0.122322, 0.236028, 0.477663", \
-            "0.029747, 0.0427272, 0.068706, 0.122326, 0.236159, 0.477662", \
-            "0.0294492, 0.0426975, 0.0689013, 0.122402, 0.235999, 0.477632", \
-            "0.0297694, 0.0429559, 0.0688848, 0.123022, 0.236207, 0.477726" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.219464, 0.225013, 0.235991, 0.243916, 0.250488, 0.256496, 0.262328, 0.268151, 0.271559, 0.284493, 0.292281, 0.298984, 0.309721, 0.32019, 0.331961, 0.346909");
-            values ( \
-              "0.0155908, 0.0286046, 0.0708798, 0.0936465, 0.105859, 0.111957, 0.113227, 0.109873, 0.103905, 0.0621482, 0.0421819, 0.0297837, 0.0164843, 0.00905321, 0.00464143, 0.00264722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.241119, 0.247848, 0.262191, 0.269668, 0.275428, 0.284589, 0.293188, 0.301706, 0.311018, 0.345189, 0.360598, 0.375058, 0.391226, 0.409157, 0.414809");
-            values ( \
-              "0.019429, 0.0358274, 0.10111, 0.127609, 0.142116, 0.15696, 0.161562, 0.160269, 0.150566, 0.0630972, 0.0372017, 0.0218325, 0.011722, 0.00579735, 0.00488516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.286008, 0.309314, 0.319443, 0.328906, 0.33534, 0.348209, 0.352331, 0.363441, 0.374668, 0.383609, 0.392648, 0.407901, 0.429711, 0.449095, 0.470876, 0.483476, 0.500711, 0.510894, 0.528187, 0.547669, 0.563128, 0.594046, 0.614242");
-            values ( \
-              "0.00300384, 0.120251, 0.160424, 0.18517, 0.195497, 0.205874, 0.206689, 0.205313, 0.199841, 0.192791, 0.182732, 0.157781, 0.115218, 0.0825704, 0.0543028, 0.041861, 0.0289479, 0.0231051, 0.0156136, 0.0100032, 0.0069458, 0.00328113, 0.00227037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.378721, 0.404884, 0.41833, 0.424956, 0.438208, 0.451084, 0.463777, 0.475972, 0.501324, 0.527838, 0.551788, 0.583794, 0.629552, 0.664748, 0.703778, 0.72142, 0.751751, 0.793453, 0.816849, 0.848146, 0.889876, 0.968776, 0.971619");
-            values ( \
-              "0.0289766, 0.148513, 0.197036, 0.212757, 0.231789, 0.23969, 0.241234, 0.239871, 0.231771, 0.219104, 0.203597, 0.173989, 0.124262, 0.0904704, 0.0609892, 0.0502282, 0.0356377, 0.0217554, 0.0165243, 0.011287, 0.00654065, 0.00232214, 0.00226674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.550567, 0.590701, 0.599805, 0.612889, 0.626022, 0.642614, 0.658486, 0.67379, 0.697452, 0.770346, 0.819003, 0.857936, 0.881785, 0.929483, 1.04115, 1.11896, 1.15299, 1.19005, 1.23946, 1.28195, 1.34458, 1.39892, 1.46391, 1.55057, 1.65369, 1.73128");
-            values ( \
-              "0.0330795, 0.188288, 0.215584, 0.240999, 0.255029, 0.262527, 0.264471, 0.263559, 0.260367, 0.244213, 0.230825, 0.218023, 0.208597, 0.185594, 0.123636, 0.0859596, 0.0722421, 0.0592642, 0.0449654, 0.0351906, 0.0242606, 0.0174181, 0.0116617, 0.00670367, 0.0034285, 0.00215649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.885501, 0.957545, 0.966808, 0.985334, 1.00115, 1.0155, 1.03925, 1.06954, 1.15783, 1.30655, 1.41816, 1.52128, 1.6112, 1.88364, 1.94381, 2.04693, 2.10304, 2.18274, 2.28586, 2.32788, 2.43461, 2.53772, 2.63147, 2.76303, 2.86615, 3.07238, 3.27861, 3.58796");
-            values ( \
-              "0.0589909, 0.239947, 0.252605, 0.268574, 0.273985, 0.276741, 0.277238, 0.276309, 0.267925, 0.249873, 0.233898, 0.215712, 0.195006, 0.120684, 0.105551, 0.0823108, 0.0713812, 0.057807, 0.0434325, 0.0385703, 0.0283525, 0.0208726, 0.0157577, 0.0105635, 0.00769618, 0.00406074, 0.00213505, 0.000806696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.23912, 0.245269, 0.255411, 0.263761, 0.270318, 0.276351, 0.282175, 0.288, 0.291363, 0.30427, 0.314656, 0.324511, 0.331954, 0.344724, 0.359911, 0.364658");
-            values ( \
-              "0.015173, 0.0300375, 0.0696936, 0.093482, 0.105703, 0.111872, 0.11318, 0.109818, 0.103988, 0.0623736, 0.0371557, 0.0218219, 0.0144031, 0.00695211, 0.00291164, 0.0024028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.259686, 0.284245, 0.290937, 0.294979, 0.303061, 0.30952, 0.312697, 0.321193, 0.329975, 0.338869, 0.35203, 0.365337, 0.378966, 0.389906, 0.402548, 0.410772, 0.432541, 0.453506");
-            values ( \
-              "0.000620966, 0.110934, 0.132517, 0.142481, 0.155625, 0.160667, 0.161534, 0.159892, 0.151243, 0.13216, 0.093451, 0.061858, 0.0388412, 0.0259461, 0.0160676, 0.0117876, 0.0049114, 0.00227178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.308743, 0.312772, 0.330018, 0.340079, 0.346832, 0.355945, 0.369591, 0.373713, 0.384009, 0.39518, 0.413209, 0.469663, 0.491426, 0.504012, 0.521275, 0.548751, 0.568207, 0.583639, 0.61087");
-            values ( \
-              "0.0281202, 0.0372618, 0.121991, 0.16143, 0.179608, 0.196041, 0.206172, 0.20692, 0.205403, 0.199949, 0.182747, 0.0825578, 0.0543088, 0.0418828, 0.0289387, 0.0156054, 0.00999769, 0.00696358, 0.00371291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.400953, 0.429939, 0.439604, 0.457332, 0.47165, 0.484373, 0.49652, 0.516768, 0.53208, 0.548387, 0.572595, 0.6028, 0.657861, 0.702527, 0.739673, 0.764879, 0.786939, 0.816352, 0.838103, 0.862157, 0.894229, 0.958373, 0.993176");
-            values ( \
-              "0.0413367, 0.167598, 0.19936, 0.230503, 0.239582, 0.241406, 0.239731, 0.233804, 0.227289, 0.218978, 0.203522, 0.175516, 0.116357, 0.0762784, 0.0515934, 0.0388245, 0.0300898, 0.0212224, 0.0162696, 0.0121222, 0.00816298, 0.00347408, 0.00250113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.584073, 0.605728, 0.620069, 0.630983, 0.646295, 0.662938, 0.678762, 0.694028, 0.717693, 0.742105, 0.814126, 0.844934, 0.878193, 0.902028, 0.9497, 1.06138, 1.13911, 1.17323, 1.21039, 1.25994, 1.30211, 1.36465, 1.41907, 1.48432, 1.57133, 1.67445, 1.77756, 1.98379");
-            values ( \
-              "0.15051, 0.169767, 0.21595, 0.237934, 0.255126, 0.262656, 0.264408, 0.263615, 0.260299, 0.255454, 0.237942, 0.229096, 0.218023, 0.20859, 0.185613, 0.123639, 0.0859967, 0.0722401, 0.0592295, 0.0449078, 0.035205, 0.0242841, 0.0174297, 0.0116511, 0.00667887, 0.00341853, 0.00173006, 0.000441338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.930361, 0.968096, 0.983844, 0.996947, 1.014, 1.03463, 1.0496, 1.06671, 1.09883, 1.13144, 1.22912, 1.33129, 1.43441, 1.50785, 1.55615, 1.65276, 1.88141, 2.03663, 2.1096, 2.18895, 2.29206, 2.37038, 2.49549, 2.59861, 2.75819, 2.86131, 2.96442, 3.17065, 3.37689, 3.68623");
-            values ( \
-              "0.217621, 0.220456, 0.249159, 0.262639, 0.272079, 0.276647, 0.277432, 0.277381, 0.27553, 0.272724, 0.262023, 0.249229, 0.234637, 0.222386, 0.212827, 0.1898, 0.126847, 0.0889777, 0.0741023, 0.060163, 0.0453123, 0.0362913, 0.02521, 0.0185391, 0.0114297, 0.00831438, 0.00605571, 0.0031913, 0.00167806, 0.000629014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.28255, 0.297212, 0.304677, 0.307661, 0.315418, 0.320231, 0.326057, 0.33192, 0.33782, 0.347632, 0.358996, 0.366024, 0.374387, 0.385476, 0.398307, 0.408823, 0.413774");
-            values ( \
-              "0.00543335, 0.061969, 0.0861572, 0.0934783, 0.107471, 0.111863, 0.113209, 0.109669, 0.0975193, 0.0638367, 0.0361574, 0.024998, 0.0155343, 0.0081852, 0.00411068, 0.00209451, 0.00186014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.307054, 0.3261, 0.333202, 0.339182, 0.34833, 0.356922, 0.365436, 0.373945, 0.375819, 0.382247, 0.393874, 0.400002, 0.412958, 0.422121, 0.429509, 0.435773, 0.445531, 0.454359, 0.465431, 0.480193, 0.500041");
-            values ( \
-              "0.0165976, 0.102176, 0.127283, 0.14237, 0.157124, 0.161653, 0.160332, 0.151808, 0.148747, 0.134072, 0.0998233, 0.0836196, 0.0551471, 0.040255, 0.0308059, 0.0244531, 0.0168993, 0.0120016, 0.00779537, 0.00431106, 0.0020779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.355926, 0.36527, 0.373814, 0.380344, 0.390886, 0.399716, 0.413471, 0.42062, 0.427775, 0.441673, 0.451448, 0.456986, 0.468061, 0.499001, 0.520585, 0.53773, 0.549694, 0.5641, 0.572183, 0.58835, 0.600154, 0.618192, 0.642243, 0.681372");
-            values ( \
-              "0.0440944, 0.0785398, 0.122102, 0.149216, 0.180445, 0.195957, 0.206347, 0.20692, 0.205436, 0.198141, 0.189349, 0.182725, 0.165403, 0.106194, 0.0721448, 0.0515646, 0.0402155, 0.0295322, 0.0247223, 0.0171667, 0.0131249, 0.00865393, 0.00486901, 0.00193445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.449323, 0.461102, 0.473942, 0.489486, 0.50393, 0.515601, 0.528258, 0.540485, 0.561553, 0.574413, 0.616003, 0.63062, 0.728386, 0.768853, 0.816265, 0.857095, 0.881081, 0.913198, 0.956021, 1.01862");
-            values ( \
-              "0.0942447, 0.108968, 0.168071, 0.212872, 0.233269, 0.239537, 0.241432, 0.239694, 0.23353, 0.228067, 0.203966, 0.191657, 0.0912833, 0.060561, 0.0357024, 0.0220547, 0.0165135, 0.0111431, 0.00647049, 0.00310055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.62572, 0.643834, 0.66465, 0.677608, 0.690912, 0.707263, 0.723273, 0.738701, 0.762366, 0.786774, 0.83526, 0.858778, 0.889604, 0.922901, 0.946699, 0.994293, 1.10601, 1.18351, 1.21789, 1.25534, 1.30528, 1.34655, 1.36964, 1.40881, 1.46347, 1.52946, 1.61746, 1.72057, 1.82369, 2.02992");
-            values ( \
-              "0.135992, 0.140104, 0.214905, 0.240558, 0.254752, 0.262433, 0.264341, 0.26359, 0.260288, 0.255444, 0.244153, 0.237947, 0.229096, 0.218009, 0.208589, 0.185654, 0.12366, 0.0861131, 0.0722434, 0.0591388, 0.0447373, 0.0352519, 0.0307952, 0.0243568, 0.0174576, 0.0116161, 0.00661372, 0.00338553, 0.00171265, 0.000436578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.931508, 1.03261, 1.05176, 1.06718, 1.08121, 1.10486, 1.13532, 1.17592, 1.27359, 1.37573, 1.48385, 1.58697, 1.62843, 1.67689, 1.94933, 2.00929, 2.1124, 2.19634, 2.24877, 2.35188, 2.39358, 2.43893, 2.4991, 2.60222, 2.69705, 2.83057, 2.93369, 3.03681, 3.13992, 3.34615, 3.6555");
-            values ( \
-              "0.00472118, 0.253718, 0.26895, 0.274635, 0.276538, 0.277641, 0.276023, 0.272813, 0.262094, 0.249209, 0.233885, 0.215727, 0.206733, 0.194994, 0.120675, 0.105612, 0.0823457, 0.0663983, 0.0577617, 0.0433842, 0.0385631, 0.0338697, 0.0284579, 0.0209415, 0.0157571, 0.0104977, 0.00765734, 0.00554991, 0.00404253, 0.00212788, 0.000796849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.379861, 0.404063, 0.410626, 0.416629, 0.422459, 0.428442, 0.431692, 0.444627, 0.45502, 0.463685, 0.47345, 0.484848, 0.500796, 0.506742");
-            values ( \
-              "0.0156267, 0.0935128, 0.10621, 0.111762, 0.113506, 0.109545, 0.103918, 0.0621335, 0.0369846, 0.0232007, 0.0134378, 0.00699718, 0.00281558, 0.00221007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.401149, 0.407845, 0.421737, 0.431343, 0.435689, 0.444851, 0.453452, 0.46197, 0.470484, 0.505449, 0.520864, 0.535321, 0.551489, 0.569425, 0.578808");
-            values ( \
-              "0.0191445, 0.0342167, 0.0978354, 0.131485, 0.142267, 0.156748, 0.161737, 0.16008, 0.151947, 0.0631108, 0.0372022, 0.0218326, 0.0117215, 0.00579814, 0.0042827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.444407, 0.487095, 0.496277, 0.509886, 0.514419, 0.524372, 0.534325, 0.545761, 0.553575, 0.568876, 0.590596, 0.610176, 0.631692, 0.644069, 0.661744, 0.672176, 0.689233, 0.708316, 0.723385, 0.753524, 0.773261");
-            values ( \
-              "0.00891806, 0.178807, 0.195688, 0.205928, 0.206777, 0.205299, 0.200647, 0.19163, 0.182692, 0.157707, 0.115287, 0.0823403, 0.0544214, 0.0421614, 0.0288763, 0.0229288, 0.0155671, 0.010059, 0.00706902, 0.00339364, 0.00237866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.528857, 0.58968, 0.595832, 0.608136, 0.612724, 0.621901, 0.63764, 0.659537, 0.683712, 0.701106, 0.717982, 0.747022, 0.791311, 0.806135, 0.824743, 0.84837, 0.866626, 0.890968, 0.90825, 0.921997, 0.940326, 0.973505, 0.988622, 1.01126, 1.04144, 1.10181, 1.19169, 1.29481");
-            values ( \
-              "0.000381786, 0.218127, 0.227087, 0.237389, 0.239311, 0.24094, 0.239764, 0.233057, 0.222132, 0.212199, 0.200009, 0.172447, 0.124231, 0.109284, 0.0920791, 0.0727614, 0.0601175, 0.0461186, 0.0378525, 0.0323058, 0.026131, 0.0174169, 0.0144897, 0.0109681, 0.0076001, 0.00338166, 0.000994058, 0.000158381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.703422, 0.761421, 0.771666, 0.786758, 0.794475, 0.803295, 0.819676, 0.835635, 0.859335, 0.883754, 0.928381, 0.986688, 1.02985, 1.0715, 1.10838, 1.2131, 1.24407, 1.30026, 1.33024, 1.38149, 1.43111, 1.48496, 1.55138, 1.597, 1.68865, 1.79177, 1.89488, 2.10111");
-            values ( \
-              "0.00215886, 0.212419, 0.234628, 0.253231, 0.258252, 0.261569, 0.264122, 0.263417, 0.260281, 0.255374, 0.245142, 0.229122, 0.214218, 0.195724, 0.17635, 0.118314, 0.102894, 0.0778427, 0.0665574, 0.0502699, 0.037851, 0.0275521, 0.0184744, 0.0138645, 0.00778722, 0.00407283, 0.00198228, 0.000465541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.06418, 1.09583, 1.11224, 1.13101, 1.15139, 1.17074, 1.17949, 1.197, 1.22582, 1.26927, 1.33706, 1.44146, 1.52603, 1.58213, 1.68524, 1.72659, 1.77509, 2.04756, 2.10764, 2.21075, 2.29445, 2.34682, 2.44993, 2.49181, 2.5374, 2.59789, 2.70101, 2.79533, 2.92795, 3.03106, 3.13418, 3.23729, 3.44353, 3.75287");
-            values ( \
-              "0.150271, 0.179863, 0.224928, 0.255026, 0.26998, 0.275771, 0.276766, 0.277569, 0.276624, 0.273218, 0.266049, 0.253449, 0.242152, 0.23386, 0.215738, 0.206767, 0.194987, 0.120662, 0.105597, 0.0823101, 0.0664107, 0.0578002, 0.0433972, 0.0385547, 0.0338389, 0.0284205, 0.0208982, 0.0157463, 0.0105172, 0.00768653, 0.00555635, 0.00406206, 0.00214222, 0.000790485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.584835, 0.591859, 0.603065, 0.611122, 0.617756, 0.6238, 0.629656, 0.635502, 0.638449, 0.653238, 0.661606, 0.670432, 0.677375, 0.688658, 0.704428, 0.710596");
-            values ( \
-              "0.0131843, 0.0274455, 0.0691732, 0.0924764, 0.105153, 0.111377, 0.11293, 0.109587, 0.104742, 0.0580676, 0.0381656, 0.0238145, 0.0162017, 0.00848858, 0.00346662, 0.00262115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.612262, 0.620448, 0.631534, 0.638849, 0.644743, 0.653913, 0.662516, 0.671036, 0.679552, 0.68141, 0.687782, 0.7057, 0.71915, 0.73088, 0.743613, 0.750153, 0.759456, 0.769219, 0.776713, 0.791702, 0.806984");
-            values ( \
-              "0.0169445, 0.0500073, 0.10075, 0.126771, 0.142069, 0.156686, 0.161661, 0.160095, 0.15191, 0.148741, 0.13425, 0.0832948, 0.0540825, 0.0359743, 0.022488, 0.0175483, 0.0122299, 0.00837555, 0.0062474, 0.00341137, 0.00208482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.670997, 0.683921, 0.685947, 0.687469, 0.692037, 0.694434, 0.696832, 0.699229, 0.701627, 0.704783, 0.707939, 0.711095, 0.714251, 0.717407, 0.720563, 0.72218, 0.725413, 0.730731, 0.734712, 0.738694, 0.741348, 0.746656, 0.750244, 0.752038, 0.755627, 0.759377, 0.763289, 0.76907, 0.772895, 0.77672, 0.780545, 0.782213, 0.792218, 0.797242, 0.80656, 0.812285, 0.817064, 0.821843, 0.82796, 0.837172, 0.843361, 0.84955, 0.855739, 0.865587, 0.873409, 0.88123, 0.888102, 0.896631, 0.900895, 0.908204");
-            values ( \
-              "0.129882, 0.130884, 0.140065, 0.146094, 0.162284, 0.169641, 0.176215, 0.182005, 0.187011, 0.191781, 0.195894, 0.199245, 0.202008, 0.204186, 0.205777, 0.206365, 0.206502, 0.206264, 0.20528, 0.203896, 0.202752, 0.199933, 0.197546, 0.196141, 0.192912, 0.18884, 0.184009, 0.176053, 0.170252, 0.164024, 0.157369, 0.154304, 0.134414, 0.124747, 0.10728, 0.097045, 0.08941, 0.0821516, 0.0735613, 0.061223, 0.0539232, 0.0477284, 0.0420671, 0.0341592, 0.0284318, 0.0240493, 0.0207097, 0.0171266, 0.0155489, 0.0131494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.743884, 0.814884, 0.826746, 0.839417, 0.85164, 0.872624, 0.876996, 0.903512, 0.926934, 0.942232, 0.964286, 1.00749, 1.03841, 1.06238, 1.08061, 1.10492, 1.12224, 1.13602, 1.15438, 1.18753, 1.20263, 1.22522, 1.25535, 1.3156, 1.40529, 1.5084");
-            values ( \
-              "0.0253243, 0.232791, 0.239495, 0.241289, 0.239722, 0.233473, 0.23165, 0.218993, 0.20408, 0.191198, 0.168735, 0.12202, 0.0923431, 0.0727929, 0.0601648, 0.0461062, 0.0378946, 0.0323369, 0.0260788, 0.0174503, 0.0145265, 0.0110108, 0.00757278, 0.0034287, 0.000963308, 0.000196628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.918243, 0.955444, 0.971678, 0.986779, 1.00187, 1.01087, 1.02115, 1.04136, 1.05096, 1.07016, 1.09905, 1.14748, 1.19808, 1.22501, 1.25892, 1.31709, 1.43156, 1.46685, 1.51743, 1.55876, 1.59075, 1.64788, 1.67265, 1.71377, 1.77211, 1.83167, 1.87713, 1.96804, 2.07116, 2.17427, 2.27739");
-            values ( \
-              "0.000865324, 0.133569, 0.19792, 0.234237, 0.253056, 0.258763, 0.262229, 0.264202, 0.263439, 0.261008, 0.25539, 0.244194, 0.230248, 0.221615, 0.208641, 0.180041, 0.11677, 0.0992858, 0.0772112, 0.0620975, 0.0520848, 0.0376017, 0.032538, 0.0254674, 0.0178437, 0.0123748, 0.00931621, 0.00519549, 0.00264628, 0.00134578, 0.000680582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.25161, 1.33031, 1.34598, 1.36495, 1.38047, 1.3946, 1.41818, 1.44879, 1.48932, 1.53692, 1.68564, 1.79725, 1.90037, 1.94183, 1.99029, 2.04663, 2.26272, 2.32266, 2.42578, 2.48221, 2.5622, 2.66532, 2.70698, 2.75228, 2.81236, 2.91548, 3.01044, 3.05502, 3.14418, 3.2473, 3.35042, 3.45353, 3.65976, 3.96911");
-            values ( \
-              "0.00691106, 0.228133, 0.253293, 0.268952, 0.27433, 0.276665, 0.277436, 0.276165, 0.272647, 0.267776, 0.24972, 0.233895, 0.215716, 0.206723, 0.195003, 0.180152, 0.120682, 0.105611, 0.0823575, 0.0713673, 0.0577499, 0.043385, 0.038568, 0.0338792, 0.0284645, 0.0209547, 0.0157621, 0.0137861, 0.0104953, 0.00764799, 0.00555057, 0.00403558, 0.00212224, 0.000800476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.00916, 1.01338, 1.02491, 1.02864, 1.03297, 1.03963, 1.04572, 1.05164, 1.05754, 1.06094, 1.06399, 1.07007, 1.07396, 1.08145, 1.08443, 1.08916, 1.09455, 1.10173, 1.10606, 1.11221, 1.11486, 1.12016, 1.13077, 1.14852, 1.14993");
-            values ( \
-              "0.0180473, 0.0279754, 0.0696374, 0.0810174, 0.0922392, 0.104402, 0.110457, 0.111713, 0.108429, 0.102773, 0.0948026, 0.0737754, 0.061885, 0.0427956, 0.0368591, 0.0287542, 0.0214167, 0.0143875, 0.0112714, 0.00792384, 0.00682878, 0.00504392, 0.00274663, 0.00095854, 0.000914502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.04031, 1.04936, 1.06085, 1.06937, 1.0746, 1.08389, 1.09262, 1.10126, 1.11179, 1.14512, 1.16091, 1.17549, 1.19458, 1.21427");
-            values ( \
-              "0.0206844, 0.0467919, 0.0981419, 0.127416, 0.140173, 0.154648, 0.159278, 0.157767, 0.146645, 0.0627325, 0.036703, 0.021528, 0.0103677, 0.00499101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.09755, 1.10666, 1.13442, 1.15068, 1.16357, 1.16844, 1.1793, 1.19014, 1.20896, 1.26462, 1.29105, 1.31791, 1.34548, 1.38197, 1.40644");
-            values ( \
-              "0.0197167, 0.0355421, 0.156129, 0.191622, 0.202173, 0.203188, 0.201945, 0.196926, 0.180275, 0.0843545, 0.0509922, 0.0289917, 0.0156408, 0.00675255, 0.00394826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.21591, 1.23164, 1.24175, 1.25237, 1.2613, 1.27646, 1.28774, 1.30049, 1.31276, 1.33383, 1.3468, 1.3647, 1.38914, 1.41795, 1.47362, 1.51624, 1.53431, 1.56075, 1.58263, 1.60079, 1.62164, 1.65112, 1.66986, 1.70462, 1.75098, 1.83619, 1.93747");
-            values ( \
-              "0.0521644, 0.0999696, 0.146878, 0.185953, 0.208762, 0.231199, 0.23796, 0.240307, 0.239016, 0.233081, 0.227689, 0.218773, 0.20322, 0.176701, 0.116983, 0.078389, 0.0651367, 0.0489648, 0.038277, 0.0310487, 0.0242564, 0.016993, 0.0135138, 0.00879426, 0.00487834, 0.00146705, 0.000335182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.40944, 1.42937, 1.44512, 1.45017, 1.46028, 1.47561, 1.47823, 1.48871, 1.50268, 1.52422, 1.54793, 1.57233, 1.61398, 1.63927, 1.67522, 1.72864, 1.75359, 1.78211, 1.87167, 1.92075, 1.97684, 2.00368, 2.03281, 2.066, 2.1092, 2.12109, 2.14487, 2.18634, 2.24495, 2.27076, 2.30551, 2.35184, 2.4445, 2.54762, 2.65073, 2.75385");
-            values ( \
-              "0.110981, 0.139894, 0.200219, 0.214488, 0.235555, 0.254106, 0.255888, 0.260947, 0.263834, 0.263649, 0.260259, 0.255467, 0.245802, 0.23928, 0.22909, 0.210189, 0.198931, 0.18442, 0.134397, 0.108677, 0.0828109, 0.0721577, 0.0618028, 0.0514982, 0.040267, 0.0376048, 0.0327232, 0.0255678, 0.0178792, 0.0152695, 0.0123273, 0.00922599, 0.00508642, 0.00258745, 0.00131876, 0.000663765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.75655, 1.78917, 1.81063, 1.82438, 1.84451, 1.85932, 1.87288, 1.8966, 1.92811, 1.96757, 2.01518, 2.16388, 2.27549, 2.37861, 2.42006, 2.46852, 2.74096, 2.80087, 2.90399, 2.98802, 3.04049, 3.1436, 3.18522, 3.23046, 3.29045, 3.39357, 3.48866, 3.53332, 3.62264, 3.72576, 3.82888, 3.93199, 4.13822, 4.44757");
-            values ( \
-              "0.142082, 0.178372, 0.234634, 0.254812, 0.270282, 0.274856, 0.276923, 0.277539, 0.276167, 0.272658, 0.267811, 0.249744, 0.233896, 0.215719, 0.206728, 0.195003, 0.120681, 0.105619, 0.0823611, 0.0663931, 0.057745, 0.0433779, 0.0385663, 0.0338831, 0.0284785, 0.0209623, 0.0157612, 0.0137849, 0.0104864, 0.00764419, 0.00554513, 0.00403426, 0.00212224, 0.00079841" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0126618, 0.0127087, 0.0127637, 0.0128137, 0.0128493, 0.0128705", \
-            "0.0158924, 0.0159523, 0.0160339, 0.0161191, 0.0161877, 0.0162321", \
-            "0.0179636, 0.0180131, 0.0180919, 0.0181901, 0.0182812, 0.0183461", \
-            "0.0192361, 0.0192489, 0.0192789, 0.0193325, 0.0193986, 0.019456", \
-            "0.0201053, 0.0200757, 0.0200389, 0.0200083, 0.0199997, 0.0200103", \
-            "0.0207642, 0.0207177, 0.0206414, 0.0205352, 0.0204253, 0.0203474" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0188954, 0.0189372, 0.0190029, 0.0190759, 0.0191352, 0.0191734", \
-            "0.0200619, 0.0200342, 0.0200127, 0.0200064, 0.0200096, 0.0200144", \
-            "0.019817, 0.0197036, 0.019548, 0.0193828, 0.019248, 0.0191622", \
-            "0.0194485, 0.0192976, 0.0190832, 0.0188376, 0.0186124, 0.018445", \
-            "0.0196032, 0.019461, 0.0191551, 0.0187789, 0.0184206, 0.018156", \
-            "0.0168793, 0.0170544, 0.0174684, 0.0186796, 0.0186807, 0.0182543" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.167076, 0.180554, 0.188198, 0.193119, 0.19818, 0.202924, 0.207514, 0.212097, 0.214574, 0.228804, 0.233184, 0.238454, 0.243523, 0.249316, 0.250311");
-            values ( \
-              "-0.0154939, -0.0832251, -0.109836, -0.12391, -0.134866, -0.141688, -0.144368, -0.139257, -0.131131, -0.0436124, -0.0272521, -0.0149779, -0.00832434, -0.00417345, -0.00387868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.18248, 0.189619, 0.200996, 0.21084, 0.218695, 0.225834, 0.232604, 0.239248, 0.246037, 0.248145, 0.266879, 0.273853, 0.282544, 0.294375, 0.303005");
-            values ( \
-              "-0.0339756, -0.059336, -0.124302, -0.163535, -0.185218, -0.198668, -0.205791, -0.20694, -0.196354, -0.189886, -0.0793206, -0.0501789, -0.0272803, -0.0114859, -0.00610883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.210913, 0.222625, 0.238377, 0.247141, 0.255329, 0.267358, 0.278519, 0.289344, 0.300156, 0.313764, 0.344904, 0.358897, 0.370572, 0.388609, 0.403973");
-            values ( \
-              "-0.0290402, -0.0755286, -0.16504, -0.20171, -0.226184, -0.249833, -0.262443, -0.267156, -0.263804, -0.240036, -0.102614, -0.0611676, -0.0387217, -0.0185932, -0.0106114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.275017, 0.290258, 0.302815, 0.311932, 0.327319, 0.339615, 0.355797, 0.367442, 0.37889, 0.398017, 0.417078, 0.427235, 0.437914, 0.458864, 0.479709, 0.501963, 0.516515, 0.528938, 0.551343, 0.568498, 0.586428, 0.600176, 0.627674, 0.666842");
-            values ( \
-              "-0.0497169, -0.107312, -0.178259, -0.220086, -0.265629, -0.287494, -0.304996, -0.311387, -0.314201, -0.312832, -0.302895, -0.293249, -0.278063, -0.226032, -0.164533, -0.11111, -0.0843263, -0.0660403, -0.041904, -0.0292678, -0.0200689, -0.0149513, -0.00817428, -0.00380432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.384965, 0.4645, 0.481202, 0.503476, 0.534177, 0.551577, 0.576134, 0.612378, 0.647568, 0.671116, 0.691566, 0.732467, 0.76689, 0.818305, 0.849211, 0.874506, 0.919996, 0.954619, 0.990704, 1.01836, 1.07366, 1.14558");
-            values ( \
-              "-0.0175813, -0.296834, -0.321, -0.337703, -0.345993, -0.346346, -0.343616, -0.33594, -0.322433, -0.309111, -0.292233, -0.236945, -0.183039, -0.11706, -0.0875347, -0.0683739, -0.0432761, -0.0302289, -0.0207626, -0.0155, -0.00849843, -0.00386803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.651037, 0.689176, 0.7031, 0.727115, 0.751891, 0.764202, 0.778272, 0.801935, 0.824618, 0.860428, 0.896253, 0.957974, 1.03476, 1.0908, 1.12139, 1.18258, 1.20446, 1.24751, 1.34277, 1.43902, 1.52153, 1.57726, 1.62727, 1.69396, 1.75073, 1.82726, 1.90306, 1.97887, 2.05468, 2.2063, 2.35791");
-            values ( \
-              "-0.167111, -0.222245, -0.268, -0.318472, -0.344825, -0.352445, -0.358383, -0.363894, -0.365709, -0.365255, -0.36266, -0.356215, -0.345559, -0.335296, -0.32822, -0.308545, -0.298521, -0.27212, -0.197937, -0.132897, -0.0914778, -0.0702237, -0.0551231, -0.0395704, -0.0297353, -0.0201588, -0.0136213, -0.00918503, -0.00617972, -0.002791, -0.0012583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.186423, 0.191214, 0.197148, 0.202699, 0.211565, 0.216626, 0.22136, 0.225948, 0.230531, 0.233047, 0.247233, 0.25162, 0.256889, 0.261944, 0.26772, 0.270716");
-            values ( \
-              "-0.0291925, -0.0430551, -0.0739868, -0.0964846, -0.124141, -0.134809, -0.141806, -0.144309, -0.139336, -0.130986, -0.0436304, -0.0272435, -0.014975, -0.00833369, -0.00418941, -0.00329523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.202313, 0.207949, 0.217583, 0.223992, 0.22914, 0.236993, 0.244125, 0.250894, 0.257536, 0.264172, 0.266447, 0.271864, 0.285183, 0.292151, 0.300675, 0.305475, 0.312843, 0.322668, 0.325085");
-            values ( \
-              "-0.0380768, -0.0592643, -0.115621, -0.14493, -0.163324, -0.185634, -0.19843, -0.206105, -0.206732, -0.196853, -0.189865, -0.161956, -0.0792401, -0.0501419, -0.0275894, -0.0194992, -0.0113316, -0.00533061, -0.00473223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.226235, 0.26478, 0.273384, 0.281005, 0.28538, 0.29413, 0.296556, 0.301408, 0.307359, 0.318118, 0.328923, 0.330221, 0.335412, 0.352246, 0.358819, 0.365577, 0.374588, 0.377765, 0.383813, 0.389738, 0.401361, 0.408067, 0.42148, 0.447101, 0.477158");
-            values ( \
-              "-0.00176357, -0.200106, -0.225963, -0.242339, -0.249533, -0.26014, -0.262118, -0.265233, -0.266969, -0.263714, -0.247268, -0.244381, -0.22743, -0.146845, -0.118295, -0.0933594, -0.0667626, -0.0591248, -0.0467574, -0.0369501, -0.023051, -0.0174969, -0.00991008, -0.00302173, -0.000702714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.293295, 0.309738, 0.31868, 0.328518, 0.336071, 0.34933, 0.358533, 0.374415, 0.386937, 0.397787, 0.416896, 0.436292, 0.446375, 0.456797, 0.477641, 0.498709, 0.520779, 0.53526, 0.54777, 0.558168, 0.570274, 0.587405, 0.605245, 0.618915, 0.646254, 0.683566");
-            values ( \
-              "-0.0338147, -0.11167, -0.16376, -0.212257, -0.238928, -0.27322, -0.287738, -0.30532, -0.312019, -0.314176, -0.313087, -0.302616, -0.292991, -0.278086, -0.226344, -0.164206, -0.111242, -0.0845473, -0.0660994, -0.0536527, -0.0418585, -0.0292488, -0.0200939, -0.0149971, -0.00822861, -0.00402308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.424587, 0.483094, 0.499331, 0.516116, 0.534369, 0.558707, 0.594905, 0.631702, 0.672034, 0.703736, 0.723692, 0.831281, 0.863344, 0.917407, 0.977367, 1.04267, 1.09568");
-            values ( \
-              "-0.134566, -0.295123, -0.31948, -0.333217, -0.341651, -0.346283, -0.343979, -0.335649, -0.319686, -0.298442, -0.277102, -0.123126, -0.0912702, -0.0536154, -0.0289223, -0.0145179, -0.00869362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.663583, 0.696028, 0.721568, 0.744789, 0.765744, 0.778927, 0.796504, 0.820295, 0.842949, 0.878766, 0.914589, 0.987264, 1.06211, 1.13977, 1.1754, 1.22261, 1.26155, 1.36622, 1.4265, 1.48617, 1.51837, 1.58276, 1.64585, 1.69126, 1.76354, 1.8081, 1.89142, 1.96723, 2.11884, 2.27046, 2.42208");
-            values ( \
-              "-0.142087, -0.179885, -0.266543, -0.316912, -0.340438, -0.349864, -0.358418, -0.363581, -0.365874, -0.365029, -0.36285, -0.355011, -0.344253, -0.328238, -0.317965, -0.298624, -0.275105, -0.194036, -0.151745, -0.116946, -0.101032, -0.0746127, -0.0550301, -0.0439854, -0.0305595, -0.0244013, -0.0159377, -0.0107565, -0.00487294, -0.00220071, -0.000993388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.223003, 0.247149, 0.252399, 0.257463, 0.262195, 0.266783, 0.271365, 0.27386, 0.288069, 0.292451, 0.29772, 0.302783, 0.308571, 0.311443");
-            values ( \
-              "-0.00381196, -0.108885, -0.123976, -0.134972, -0.141713, -0.144435, -0.139263, -0.131085, -0.0436224, -0.0272513, -0.014978, -0.00832726, -0.00417903, -0.00332195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.242702, 0.258716, 0.27014, 0.277988, 0.285118, 0.291884, 0.298525, 0.30516, 0.30744, 0.312852, 0.326178, 0.333143, 0.341589, 0.346453, 0.353915, 0.363865, 0.365144");
-            values ( \
-              "-0.0270713, -0.116588, -0.163418, -0.185732, -0.198469, -0.206165, -0.206752, -0.196891, -0.189871, -0.161969, -0.0792016, -0.0501232, -0.0277353, -0.0195109, -0.0112587, -0.00524019, -0.00492776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.271761, 0.278678, 0.284053, 0.298229, 0.307063, 0.315164, 0.327177, 0.338329, 0.349149, 0.359955, 0.370722, 0.373575, 0.404697, 0.418687, 0.430365, 0.439209, 0.448397, 0.455435, 0.4681");
-            values ( \
-              "-0.0340698, -0.0581686, -0.083377, -0.166147, -0.202788, -0.226323, -0.250311, -0.262376, -0.267494, -0.263677, -0.247503, -0.240049, -0.102626, -0.0611749, -0.0387228, -0.0270542, -0.0185961, -0.0138961, -0.00825197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.322914, 0.390826, 0.399983, 0.415533, 0.429094, 0.439259, 0.458367, 0.477433, 0.487874, 0.498276, 0.519081, 0.540235, 0.562234, 0.589218, 0.611791, 0.628905, 0.646684, 0.687533, 0.710612");
-            values ( \
-              "-0.00400842, -0.272661, -0.287608, -0.304678, -0.312022, -0.314196, -0.312935, -0.302862, -0.292957, -0.278075, -0.226463, -0.164076, -0.111293, -0.0661382, -0.0418276, -0.0292342, -0.0201091, -0.00826408, -0.00564221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.466641, 0.525078, 0.558447, 0.575462, 0.605552, 0.636792, 0.673048, 0.707724, 0.734751, 0.752226, 0.787175, 0.873308, 0.906147, 0.958793, 1.01878, 1.08704, 1.14099");
-            values ( \
-              "-0.135823, -0.296377, -0.33409, -0.341817, -0.346228, -0.343747, -0.335766, -0.322671, -0.307039, -0.292234, -0.246161, -0.123164, -0.0906283, -0.0539529, -0.0290991, -0.0141732, -0.00839529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.715027, 0.751746, 0.771159, 0.786566, 0.808242, 0.834267, 0.860179, 0.884763, 0.920589, 0.9564, 1.05568, 1.10393, 1.17907, 1.21676, 1.25697, 1.27922, 1.30889, 1.44196, 1.51263, 1.60069, 1.65251, 1.71839, 1.7942, 1.83532, 1.89628, 1.97209, 2.12371, 2.27532, 2.42694");
-            values ( \
-              "-0.206911, -0.229701, -0.287402, -0.316726, -0.341494, -0.356772, -0.363548, -0.365747, -0.365211, -0.362695, -0.351338, -0.344121, -0.328869, -0.318103, -0.302255, -0.29055, -0.271194, -0.169422, -0.125205, -0.0835768, -0.065267, -0.047302, -0.0323071, -0.0262583, -0.0192608, -0.0130106, -0.00590064, -0.00266472, -0.00120148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.312698, 0.333503, 0.336096, 0.341767, 0.346841, 0.351578, 0.356171, 0.360757, 0.363217, 0.37747, 0.38185, 0.387121, 0.392194, 0.397991, 0.402752");
-            values ( \
-              "-0.00213939, -0.0983012, -0.107236, -0.123854, -0.134732, -0.141623, -0.144255, -0.139212, -0.131138, -0.0435921, -0.0272411, -0.0149683, -0.00832565, -0.00416294, -0.00274236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.327504, 0.357209, 0.360338, 0.368186, 0.375317, 0.382096, 0.388742, 0.395533, 0.397619, 0.403076, 0.416381, 0.423934, 0.432031, 0.436706, 0.443895, 0.453479, 0.456995");
-            values ( \
-              "-0.000107301, -0.151173, -0.164098, -0.185464, -0.198596, -0.205563, -0.20693, -0.196201, -0.189931, -0.161919, -0.0793169, -0.0482583, -0.0273195, -0.0194851, -0.0114818, -0.00549953, -0.00459407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.364129, 0.388715, 0.398242, 0.405868, 0.417877, 0.429028, 0.439847, 0.450653, 0.461419, 0.464275, 0.467538, 0.495394, 0.509385, 0.521063, 0.529907, 0.539095, 0.546133, 0.560209, 0.567698");
-            values ( \
-              "-0.0233974, -0.165218, -0.204525, -0.226439, -0.250331, -0.262435, -0.267478, -0.263711, -0.24748, -0.24004, -0.228841, -0.102625, -0.0611754, -0.0387214, -0.0270542, -0.0185963, -0.0138958, -0.00762336, -0.00609902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.430991, 0.442193, 0.454614, 0.462698, 0.468807, 0.481027, 0.491331, 0.506995, 0.520153, 0.530587, 0.549699, 0.568763, 0.579162, 0.589605, 0.610489, 0.631472, 0.653612, 0.668144, 0.680602, 0.703057, 0.720199, 0.738082, 0.751789, 0.779203, 0.813513");
-            values ( \
-              "-0.104228, -0.109442, -0.179637, -0.216576, -0.238628, -0.270068, -0.287872, -0.304552, -0.311749, -0.314353, -0.31278, -0.303002, -0.293003, -0.278068, -0.226231, -0.164327, -0.111195, -0.0844273, -0.066069, -0.041884, -0.029256, -0.02008, -0.0149784, -0.0082004, -0.00431908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.561101, 0.588521, 0.602425, 0.61647, 0.632207, 0.655486, 0.668245, 0.691969, 0.723795, 0.764951, 0.793307, 0.822359, 0.843603, 0.885164, 0.943177, 0.971876, 1.01646, 1.03986, 1.07635, 1.12215, 1.14875, 1.20194, 1.27775, 1.29736");
-            values ( \
-              "-0.166019, -0.214299, -0.264401, -0.296695, -0.319312, -0.337313, -0.342308, -0.346175, -0.344562, -0.335519, -0.325293, -0.309644, -0.292245, -0.235939, -0.149277, -0.115446, -0.0754684, -0.0599233, -0.0414269, -0.0257617, -0.0194676, -0.0109709, -0.00466915, -0.00417328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.806054, 0.879861, 0.916672, 0.954333, 0.977837, 1.04947, 1.14789, 1.197, 1.27212, 1.31001, 1.35747, 1.39977, 1.536, 1.60571, 1.69167, 1.74547, 1.81375, 1.92854, 2.06152, 2.21313, 2.24177");
-            values ( \
-              "-0.17151, -0.317507, -0.352096, -0.363803, -0.365759, -0.362685, -0.35148, -0.344104, -0.328894, -0.318047, -0.298627, -0.272752, -0.168756, -0.125204, -0.0844168, -0.0653072, -0.0467712, -0.0262399, -0.0132586, -0.00601426, -0.00556199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.500557, 0.505532, 0.508213, 0.512453, 0.517673, 0.520986, 0.526574, 0.531579, 0.53627, 0.540824, 0.545373, 0.548276, 0.550795, 0.55749, 0.561438, 0.566972, 0.571936, 0.575193, 0.578917, 0.581862");
-            values ( \
-              "-0.0257637, -0.0382047, -0.050697, -0.0753697, -0.0974486, -0.109077, -0.125651, -0.136192, -0.142942, -0.145325, -0.140049, -0.129889, -0.115875, -0.0683696, -0.046355, -0.0255355, -0.0144611, -0.00991568, -0.00641053, -0.00489787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.523172, 0.528214, 0.530198, 0.532877, 0.534845, 0.536995, 0.539552, 0.542022, 0.543998, 0.546716, 0.549434, 0.550323, 0.551212, 0.552101, 0.557208, 0.558146, 0.56096, 0.564337, 0.566034, 0.567732, 0.569429, 0.571126, 0.57279, 0.574453, 0.576117, 0.57778, 0.579443, 0.581107, 0.58277, 0.584584, 0.585189, 0.586096, 0.586701, 0.58791, 0.589119, 0.589875, 0.59214, 0.595158, 0.598176, 0.603045, 0.605457, 0.60787, 0.610282, 0.612586, 0.614185, 0.61651, 0.618836, 0.621161, 0.623755, 0.625811");
-            values ( \
-              "-0.0496208, -0.059148, -0.0693369, -0.0864199, -0.0982778, -0.110563, -0.124182, -0.135762, -0.14419, -0.154709, -0.163987, -0.17101, -0.172371, -0.173955, -0.185746, -0.187741, -0.193463, -0.199803, -0.201243, -0.202406, -0.203292, -0.2039, -0.204228, -0.204289, -0.204084, -0.203613, -0.202407, -0.200748, -0.198635, -0.195809, -0.194267, -0.19132, -0.189086, -0.183942, -0.178138, -0.17395, -0.160758, -0.14046, -0.121002, -0.0912199, -0.0786653, -0.0676936, -0.0578945, -0.0496073, -0.0441034, -0.0373537, -0.0319092, -0.0271045, -0.0225744, -0.0192328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.55644, 0.57779, 0.586283, 0.591978, 0.601178, 0.607704, 0.613223, 0.624449, 0.635288, 0.646131, 0.656926, 0.658124, 0.662916, 0.680509, 0.69247, 0.697712, 0.704675, 0.710862, 0.719112, 0.729414, 0.7358, 0.748571, 0.773144, 0.801809");
-            values ( \
-              "-0.00597294, -0.129537, -0.173909, -0.196999, -0.225051, -0.239453, -0.248847, -0.261567, -0.266514, -0.263131, -0.246876, -0.244195, -0.228983, -0.145547, -0.0971312, -0.0802827, -0.0617374, -0.0485959, -0.0349745, -0.0230296, -0.0177156, -0.0103318, -0.00334653, -0.00085373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.618521, 0.681518, 0.692421, 0.707735, 0.712494, 0.731717, 0.750836, 0.769915, 0.780304, 0.790764, 0.811683, 0.832606, 0.854799, 0.881785, 0.90422, 0.921368, 0.939272, 0.980449, 1.00355");
-            values ( \
-              "-0.0157312, -0.267979, -0.287737, -0.303647, -0.307548, -0.314298, -0.312425, -0.30304, -0.292915, -0.277979, -0.226106, -0.164397, -0.11115, -0.0660499, -0.0418925, -0.0292578, -0.0200725, -0.00818705, -0.00556572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.736238, 0.820478, 0.836051, 0.848577, 0.859482, 0.878208, 0.895968, 0.932172, 0.960398, 0.985822, 1.007, 1.04543, 1.06095, 1.07643, 1.13377, 1.17107, 1.2057, 1.23054, 1.25087, 1.27549, 1.31024, 1.3468, 1.37487, 1.431, 1.50681, 1.51296");
-            values ( \
-              "-0.000508378, -0.296336, -0.319163, -0.330384, -0.337309, -0.343753, -0.346174, -0.343902, -0.337941, -0.329918, -0.32074, -0.294501, -0.277266, -0.255506, -0.167038, -0.120352, -0.0869522, -0.0682192, -0.0557319, -0.0434074, -0.0302854, -0.0206976, -0.0153826, -0.00835308, -0.00355471, -0.00343638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.00896, 1.08378, 1.10697, 1.11935, 1.13064, 1.15293, 1.1815, 1.21735, 1.25312, 1.35828, 1.40065, 1.47587, 1.51227, 1.55109, 1.58182, 1.6162, 1.73499, 1.78972, 1.8261, 1.88736, 1.93738, 1.97723, 2.02835, 2.10019, 2.1473, 2.23571, 2.31152, 2.46314, 2.51423");
-            values ( \
-              "-0.161004, -0.318096, -0.343722, -0.351643, -0.356816, -0.36301, -0.365788, -0.36529, -0.362666, -0.350571, -0.344078, -0.328895, -0.3185, -0.303468, -0.28704, -0.263401, -0.171863, -0.13634, -0.116098, -0.0876229, -0.0690807, -0.0569747, -0.0442715, -0.0308369, -0.0243033, -0.0154579, -0.0104349, -0.00472759, -0.00385421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.863813, 0.86918, 0.881791, 0.890778, 0.895846, 0.900585, 0.905179, 0.909768, 0.912392, 0.926483, 0.9309, 0.936166, 0.941158, 0.946862, 0.947098");
-            values ( \
-              "-0.0239534, -0.0366242, -0.0954922, -0.123959, -0.134633, -0.141684, -0.144034, -0.139115, -0.130299, -0.0436484, -0.027184, -0.0149514, -0.00839708, -0.00424583, -0.00417306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.890077, 0.909278, 0.921591, 0.929493, 0.936679, 0.943486, 0.950164, 0.956836, 0.959073, 0.964556, 0.977891, 0.984881, 0.993602, 0.998259, 1.00542, 1.01497, 1.02005");
-            values ( \
-              "-0.00123331, -0.109634, -0.161875, -0.184399, -0.197357, -0.204922, -0.205692, -0.195917, -0.189192, -0.161411, -0.0791674, -0.0500945, -0.0272088, -0.0194398, -0.0114824, -0.00553159, -0.00419557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.945316, 0.963713, 0.970815, 0.975203, 0.983564, 0.989207, 1.00049, 1.00567, 1.01243, 1.01744, 1.02325, 1.03405, 1.03853, 1.0453, 1.04979, 1.05806, 1.06739, 1.07517, 1.08118, 1.09283, 1.09868, 1.10484, 1.11744, 1.12508, 1.14035, 1.16485, 1.19397");
-            values ( \
-              "-0.00369564, -0.118863, -0.158737, -0.179103, -0.210817, -0.226046, -0.248559, -0.255138, -0.261472, -0.264446, -0.26602, -0.262718, -0.25769, -0.24562, -0.232542, -0.196508, -0.150676, -0.116837, -0.0947093, -0.0614275, -0.0489881, -0.0383984, -0.0230396, -0.0168212, -0.00875889, -0.00284741, -0.000710788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.04063, 1.08529, 1.10121, 1.11298, 1.12519, 1.14446, 1.16357, 1.18267, 1.20354, 1.26787, 1.29657, 1.32444, 1.35032, 1.40241, 1.43676");
-            values ( \
-              "-0.0382235, -0.246665, -0.281589, -0.297005, -0.307018, -0.313955, -0.312474, -0.30271, -0.277912, -0.111647, -0.0641812, -0.0363212, -0.0211051, -0.00668203, -0.0047729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.19883, 1.22543, 1.24678, 1.26457, 1.27236, 1.28707, 1.3, 1.31887, 1.33661, 1.36746, 1.3837, 1.40965, 1.43269, 1.44775, 1.47788, 1.48842, 1.50948, 1.57632, 1.60273, 1.62263, 1.64294, 1.67002, 1.69426, 1.72658, 1.76671, 1.7916, 1.84137, 1.91718, 1.99299, 2.06879");
-            values ( \
-              "-0.127238, -0.173898, -0.258321, -0.299218, -0.310884, -0.326876, -0.335544, -0.342822, -0.345289, -0.344266, -0.341597, -0.335279, -0.327329, -0.320591, -0.301618, -0.292143, -0.266678, -0.164622, -0.130731, -0.109003, -0.0899863, -0.0690971, -0.0542841, -0.0390217, -0.025742, -0.0198187, -0.011608, -0.00494915, -0.00210211, -0.000895688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.46521, 1.53574, 1.56043, 1.59163, 1.62327, 1.65319, 1.72834, 1.85241, 1.93004, 1.9852, 2.05595, 2.24766, 2.33011, 2.43591, 2.55937, 2.71162, 2.79851");
-            values ( \
-              "-0.202027, -0.317839, -0.344527, -0.359618, -0.365383, -0.365852, -0.360324, -0.344094, -0.328227, -0.310943, -0.272242, -0.132878, -0.0914956, -0.0551132, -0.0297288, -0.013628, -0.00936201" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0121334, 0.0121572, 0.0121853, 0.0122104, 0.0122282, 0.0122388", \
-            "0.0142409, 0.0142558, 0.014277, 0.0142996, 0.0143181, 0.0143302", \
-            "0.0154446, 0.0154503, 0.0154603, 0.0154739, 0.0154877, 0.0154979", \
-            "0.0161456, 0.0161471, 0.0161496, 0.0161547, 0.0161619, 0.0161688", \
-            "0.0165246, 0.0165253, 0.0165263, 0.0165277, 0.0165302, 0.0165336", \
-            "0.0167332, 0.0167339, 0.0167348, 0.0167359, 0.0167372, 0.0167387" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0167108, 0.01673, 0.0167534, 0.0167751, 0.0167924, 0.0168041", \
-            "0.0182186, 0.0182338, 0.0182518, 0.0182678, 0.0182781, 0.0182836", \
-            "0.0191696, 0.0191867, 0.0192051, 0.01922, 0.0192292, 0.0192336", \
-            "0.0198407, 0.0198048, 0.0197665, 0.0197339, 0.019713, 0.0197002", \
-            "0.020819, 0.0206441, 0.0204319, 0.0202341, 0.0200913, 0.0200017", \
-            "0.0198514, 0.0206079, 0.0210764, 0.0207854, 0.0204421, 0.0202237" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "(~A & CI)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.226985, 0.26491, 0.339202, 0.485607, 0.77681, 1.36303", \
-            "0.23095, 0.269027, 0.34365, 0.490569, 0.781977, 1.36836", \
-            "0.241943, 0.280077, 0.354936, 0.502287, 0.794132, 1.38094", \
-            "0.269574, 0.307593, 0.382358, 0.529775, 0.821668, 1.40918", \
-            "0.330931, 0.370504, 0.445929, 0.594244, 0.885953, 1.47258", \
-            "0.433812, 0.480436, 0.569778, 0.732902, 1.0338, 1.62041" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0358922, 0.0542959, 0.0933339, 0.177128, 0.354569, 0.726381", \
-            "0.0358797, 0.0542836, 0.0933223, 0.177124, 0.354568, 0.726383", \
-            "0.0358856, 0.0542357, 0.0933549, 0.177135, 0.354571, 0.726384", \
-            "0.0359185, 0.0542894, 0.093329, 0.177139, 0.354483, 0.726382", \
-            "0.0362036, 0.0543855, 0.0934375, 0.177173, 0.354573, 0.72638", \
-            "0.0368788, 0.0551074, 0.0942386, 0.177567, 0.354629, 0.72638" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.197292, 0.228054, 0.287126, 0.401026, 0.624647, 1.07222", \
-            "0.201344, 0.232239, 0.291465, 0.405581, 0.629376, 1.07676", \
-            "0.209344, 0.240639, 0.300272, 0.414641, 0.638712, 1.08631", \
-            "0.223807, 0.25663, 0.318591, 0.434489, 0.658867, 1.10675", \
-            "0.244432, 0.281774, 0.350371, 0.473928, 0.7037, 1.15246", \
-            "0.258764, 0.303618, 0.385875, 0.53144, 0.786554, 1.25024" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0315295, 0.0445875, 0.0704893, 0.123649, 0.236775, 0.477516", \
-            "0.0316072, 0.0445575, 0.0705052, 0.123648, 0.236679, 0.477566", \
-            "0.0315373, 0.0445818, 0.0705022, 0.123661, 0.236776, 0.477546", \
-            "0.031618, 0.0446414, 0.0705322, 0.123652, 0.236725, 0.477567", \
-            "0.0311733, 0.0446393, 0.0707212, 0.123706, 0.236778, 0.477555", \
-            "0.031214, 0.044407, 0.0706267, 0.124149, 0.237154, 0.477904" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.198685, 0.218227, 0.221842, 0.22772, 0.233178, 0.238532, 0.24388, 0.247486, 0.258591, 0.26892, 0.27726, 0.28533, 0.296416, 0.31, 0.321949, 0.323564");
-            values ( \
-              "0.00237465, 0.0947703, 0.105574, 0.117469, 0.122546, 0.122592, 0.117307, 0.108526, 0.0671557, 0.0393759, 0.0249817, 0.0158081, 0.00832602, 0.00385092, 0.0019327, 0.00182379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.221109, 0.230318, 0.247047, 0.252125, 0.260537, 0.268547, 0.276556, 0.284589, 0.313559, 0.327148, 0.344208, 0.36408, 0.381582, 0.396722");
-            values ( \
-              "0.0222072, 0.0549704, 0.141884, 0.156185, 0.169502, 0.17258, 0.168844, 0.158317, 0.0760133, 0.0481573, 0.0258982, 0.0121063, 0.0061271, 0.00431654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.264796, 0.271568, 0.295259, 0.302978, 0.309993, 0.321901, 0.326583, 0.336783, 0.357549, 0.380284, 0.420331, 0.443269, 0.461726, 0.475502, 0.499621, 0.538672, 0.56991, 0.579858");
-            values ( \
-              "0.0268666, 0.0438723, 0.175256, 0.197159, 0.208485, 0.216161, 0.216077, 0.212581, 0.195543, 0.159061, 0.0840979, 0.0539937, 0.0367513, 0.0272849, 0.0158729, 0.00658105, 0.00298201, 0.00271497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.344027, 0.396558, 0.407946, 0.420045, 0.424643, 0.443033, 0.460869, 0.490689, 0.510023, 0.525499, 0.550126, 0.605972, 0.648727, 0.693536, 0.733853, 0.754879, 0.784644, 0.839119, 0.886362, 0.928809");
-            values ( \
-              "0.00261956, 0.225171, 0.241023, 0.247296, 0.247789, 0.245068, 0.238469, 0.2239, 0.212119, 0.200459, 0.176953, 0.116608, 0.0780013, 0.0486388, 0.0307681, 0.0240373, 0.0167968, 0.00864651, 0.00475717, 0.00312628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.527049, 0.545663, 0.556712, 0.565244, 0.578812, 0.590562, 0.605645, 0.621491, 0.637478, 0.660933, 0.733751, 0.788347, 0.842037, 0.865859, 0.886604, 0.992109, 1.05471, 1.10505, 1.14606, 1.17774, 1.22346, 1.2362, 1.26168, 1.30294, 1.36163, 1.42149, 1.46718, 1.55854, 1.66084, 1.76313, 1.86543");
-            values ( \
-              "0.116698, 0.151963, 0.199285, 0.225357, 0.251164, 0.261873, 0.267281, 0.267727, 0.26581, 0.2614, 0.244018, 0.228708, 0.209643, 0.198908, 0.188512, 0.130229, 0.0985238, 0.076729, 0.0618666, 0.0520209, 0.0401599, 0.0373248, 0.0321851, 0.0252046, 0.0176566, 0.012253, 0.00923469, 0.0051605, 0.00266661, 0.00137518, 0.000710229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.853764, 0.903375, 0.923439, 0.939584, 0.956219, 0.971564, 0.994868, 1.02464, 1.11404, 1.26696, 1.37558, 1.47787, 1.57072, 1.844, 1.91221, 2.01451, 2.1271, 2.21517, 2.28905, 2.37217, 2.44895, 2.55125, 2.69493, 2.79723, 2.89953, 3.10412, 3.21638");
-            values ( \
-              "0.11622, 0.224653, 0.258211, 0.270632, 0.276108, 0.27773, 0.277731, 0.275752, 0.266695, 0.248102, 0.232884, 0.215064, 0.193835, 0.119848, 0.102939, 0.0803689, 0.0600812, 0.0472408, 0.0384109, 0.0302783, 0.0242105, 0.0178502, 0.0115829, 0.00849192, 0.00619696, 0.00329858, 0.00244976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.21729, 0.232857, 0.236161, 0.239771, 0.245644, 0.251098, 0.256451, 0.261797, 0.265424, 0.275651, 0.281727, 0.291747, 0.303288, 0.314348, 0.327841, 0.339703, 0.341327");
-            values ( \
-              "0.001544, 0.0826934, 0.0949397, 0.105742, 0.117525, 0.122616, 0.122597, 0.117344, 0.108471, 0.0700816, 0.0515364, 0.0302172, 0.01577, 0.00831831, 0.00386788, 0.00195093, 0.00184036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.250156, 0.262038, 0.264842, 0.267259, 0.268274, 0.270304, 0.272408, 0.274511, 0.276614, 0.278717, 0.280717, 0.282717, 0.284717, 0.286717, 0.288718, 0.29072, 0.292722, 0.294723, 0.296731, 0.298739, 0.300748, 0.302756, 0.303836, 0.305995, 0.308155, 0.314687, 0.321219, 0.324096, 0.327699, 0.329758, 0.331818, 0.333877, 0.335936, 0.337995, 0.340055, 0.343878, 0.345935, 0.347991, 0.352008, 0.353347, 0.356837, 0.360326, 0.362373, 0.364419, 0.366466, 0.371342, 0.375297, 0.379956, 0.382286, 0.385964");
-            values ( \
-              "0.129267, 0.131595, 0.142235, 0.150253, 0.153301, 0.158834, 0.163182, 0.166707, 0.16941, 0.171289, 0.171515, 0.171529, 0.171332, 0.170923, 0.170303, 0.16947, 0.168427, 0.167171, 0.165236, 0.163036, 0.160568, 0.157835, 0.156017, 0.150904, 0.145141, 0.125201, 0.104494, 0.0963904, 0.0858307, 0.0804797, 0.0753898, 0.070607, 0.0660548, 0.0617329, 0.0576416, 0.0505855, 0.0469011, 0.0434419, 0.0377742, 0.036014, 0.0318083, 0.0278837, 0.0257128, 0.0237038, 0.0218566, 0.0182709, 0.015762, 0.0132028, 0.0120702, 0.010471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.29508, 0.307822, 0.310679, 0.314148, 0.315882, 0.317617, 0.319351, 0.321086, 0.324989, 0.326941, 0.328893, 0.331818, 0.334743, 0.337668, 0.340593, 0.341031, 0.343221, 0.345849, 0.349353, 0.351105, 0.353554, 0.355646, 0.358436, 0.361226, 0.36533, 0.369435, 0.376387, 0.384137, 0.384934, 0.385732, 0.388922, 0.394458, 0.413735, 0.429379, 0.43654, 0.442249, 0.445571, 0.452214, 0.459017, 0.463314, 0.467612, 0.471909, 0.47796, 0.487395, 0.494163, 0.502497, 0.509226, 0.517128, 0.52108, 0.527245");
-            values ( \
-              "0.138491, 0.149807, 0.163115, 0.177558, 0.183538, 0.18891, 0.193675, 0.197831, 0.204067, 0.206703, 0.209017, 0.211883, 0.214026, 0.215447, 0.216146, 0.216149, 0.216046, 0.215699, 0.2149, 0.214355, 0.213422, 0.212443, 0.210782, 0.208871, 0.205534, 0.201791, 0.193654, 0.185263, 0.184257, 0.18316, 0.177849, 0.167811, 0.129197, 0.099573, 0.0879961, 0.0794052, 0.0746669, 0.0657643, 0.0573877, 0.0523664, 0.0480928, 0.0440762, 0.0389487, 0.0316035, 0.0270457, 0.0226397, 0.0195575, 0.01641, 0.0150115, 0.0130519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.375092, 0.397645, 0.407934, 0.415664, 0.428279, 0.440668, 0.452883, 0.464927, 0.484268, 0.516234, 0.542904, 0.564999, 0.615508, 0.64623, 0.674072, 0.696137, 0.71113, 0.740743, 0.774282, 0.80392, 0.854201, 0.892565, 0.958911");
-            values ( \
-              "0.0511891, 0.16537, 0.205985, 0.225025, 0.242352, 0.247467, 0.247445, 0.244138, 0.236839, 0.220132, 0.202078, 0.181369, 0.12647, 0.0963322, 0.0733072, 0.0581652, 0.049499, 0.0354688, 0.023993, 0.016723, 0.00913669, 0.00558176, 0.00246562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.545681, 0.564828, 0.576049, 0.584415, 0.597974, 0.609734, 0.624727, 0.640529, 0.656649, 0.680104, 0.704579, 0.757972, 0.807518, 0.861207, 0.905774, 1.01128, 1.07391, 1.12423, 1.1652, 1.19684, 1.25537, 1.28089, 1.32213, 1.38081, 1.44064, 1.48629, 1.57758, 1.67988, 1.78218, 1.88448");
-            values ( \
-              "0.109316, 0.151851, 0.19988, 0.225323, 0.251168, 0.26186, 0.267284, 0.267722, 0.265829, 0.261386, 0.255891, 0.242676, 0.22871, 0.209643, 0.188512, 0.13023, 0.0985064, 0.0767258, 0.0618778, 0.0520416, 0.0373252, 0.0321788, 0.0252015, 0.0176555, 0.012255, 0.00923809, 0.00516463, 0.00266877, 0.00137626, 0.000710799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.865404, 0.917687, 0.93124, 0.942029, 0.960911, 0.976106, 0.99016, 1.01336, 1.04372, 1.08493, 1.13264, 1.28202, 1.39418, 1.49647, 1.58932, 1.8626, 1.93081, 2.03311, 2.10166, 2.1457, 2.23377, 2.30765, 2.39077, 2.46755, 2.56985, 2.71353, 2.81583, 2.91812, 3.12272, 3.32732, 3.63421");
-            values ( \
-              "0.0651342, 0.214026, 0.243717, 0.258315, 0.2722, 0.276309, 0.277813, 0.277652, 0.275772, 0.271799, 0.266755, 0.248618, 0.232886, 0.215061, 0.193837, 0.11985, 0.102937, 0.0803708, 0.067464, 0.0600802, 0.0472419, 0.0384121, 0.0302795, 0.0242098, 0.0178513, 0.0115839, 0.0084911, 0.00619791, 0.00329948, 0.00175237, 0.00068585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.259665, 0.270134, 0.274643, 0.281559, 0.287433, 0.292889, 0.298242, 0.30359, 0.307214, 0.317444, 0.323509, 0.333553, 0.345077, 0.356142, 0.369648, 0.381524, 0.385847");
-            values ( \
-              "0.000495418, 0.0621638, 0.0827311, 0.105764, 0.117447, 0.122639, 0.12253, 0.117372, 0.108467, 0.0700752, 0.0515657, 0.0301963, 0.0157754, 0.00831964, 0.00386479, 0.0019487, 0.00165448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.280053, 0.30817, 0.312017, 0.320403, 0.328402, 0.336401, 0.344784, 0.350683, 0.366243, 0.376329, 0.38965, 0.404037, 0.417171, 0.435036, 0.448834, 0.466127");
-            values ( \
-              "0.00638733, 0.146968, 0.156755, 0.169961, 0.1726, 0.169041, 0.157439, 0.143236, 0.094899, 0.0691046, 0.0438618, 0.0258947, 0.0156947, 0.00787186, 0.00452867, 0.00250724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.323889, 0.355956, 0.362933, 0.370717, 0.382689, 0.387162, 0.397507, 0.407926, 0.417996, 0.425985, 0.441002, 0.462795, 0.482029, 0.504102, 0.516985, 0.53392, 0.561632, 0.59805, 0.63039, 0.635373");
-            values ( \
-              "0.00352821, 0.175043, 0.195307, 0.208341, 0.216101, 0.216022, 0.212537, 0.205508, 0.195821, 0.185588, 0.159061, 0.115369, 0.0826041, 0.0539743, 0.0412766, 0.0287955, 0.0154982, 0.00665244, 0.00310354, 0.00286695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.414115, 0.439408, 0.451449, 0.458068, 0.47106, 0.483103, 0.495319, 0.507369, 0.526761, 0.543583, 0.558678, 0.585347, 0.607452, 0.657952, 0.673978, 0.691876, 0.717121, 0.737674, 0.753546, 0.77673, 0.796142, 0.816911, 0.846476, 0.876594, 0.89957, 0.945523, 1.03136, 1.13292");
-            values ( \
-              "0.023045, 0.160928, 0.208563, 0.224626, 0.242328, 0.247442, 0.247297, 0.244184, 0.236728, 0.228575, 0.220183, 0.202024, 0.181344, 0.126483, 0.110271, 0.0934506, 0.0728862, 0.058734, 0.0495311, 0.0380863, 0.0304605, 0.0239552, 0.0166938, 0.0115749, 0.00882386, 0.00485211, 0.00155758, 0.000291208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.591521, 0.612753, 0.627286, 0.638772, 0.652638, 0.668046, 0.683966, 0.69954, 0.722997, 0.747471, 0.800865, 0.850411, 0.9041, 0.927921, 0.948667, 1.05417, 1.11681, 1.16712, 1.20809, 1.23973, 1.28551, 1.29827, 1.32378, 1.36503, 1.4237, 1.48353, 1.52918, 1.62047, 1.72277, 1.82507, 1.92737");
-            values ( \
-              "0.137933, 0.174914, 0.225129, 0.248006, 0.261851, 0.267334, 0.267672, 0.265829, 0.261362, 0.255909, 0.242674, 0.228707, 0.209644, 0.198909, 0.188512, 0.130229, 0.0985054, 0.0767255, 0.0618784, 0.0520427, 0.0401645, 0.0373252, 0.0321785, 0.0252013, 0.0176555, 0.0122552, 0.00923828, 0.0051649, 0.00266888, 0.00137635, 0.000710812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.917859, 0.950714, 0.970332, 0.98517, 1.00372, 1.01905, 1.03325, 1.05645, 1.08727, 1.12801, 1.22606, 1.33571, 1.43726, 1.53956, 1.58489, 1.63241, 1.90568, 1.97389, 2.07619, 2.14475, 2.18878, 2.27685, 2.35074, 2.43385, 2.51064, 2.61293, 2.66083, 2.75661, 2.85891, 2.96121, 3.1658, 3.3704, 3.67729");
-            values ( \
-              "0.133801, 0.184309, 0.236754, 0.258826, 0.272141, 0.276397, 0.277757, 0.277726, 0.275663, 0.271869, 0.26089, 0.247173, 0.232885, 0.215063, 0.205294, 0.193835, 0.119848, 0.102939, 0.080369, 0.0674625, 0.0600818, 0.0472404, 0.0384106, 0.0302781, 0.0242113, 0.0178499, 0.0154854, 0.0115826, 0.0084925, 0.00619658, 0.00329816, 0.00175106, 0.000687136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.356977, 0.362071, 0.365881, 0.370413, 0.37463, 0.377348, 0.383231, 0.388696, 0.394055, 0.399523, 0.402995, 0.414114, 0.419189, 0.424436, 0.432851, 0.440831, 0.445407, 0.451944, 0.459604, 0.465622, 0.477659, 0.489948");
-            values ( \
-              "0.00950061, 0.042003, 0.0615555, 0.0822503, 0.0977534, 0.105352, 0.117458, 0.122317, 0.122609, 0.116955, 0.108533, 0.0671657, 0.0519359, 0.0394036, 0.0249021, 0.0158398, 0.0121817, 0.00833048, 0.00538642, 0.00383327, 0.00191457, 0.0010941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.387931, 0.401066, 0.402557, 0.404049, 0.406838, 0.407767, 0.409627, 0.411486, 0.413346, 0.415446, 0.416648, 0.418091, 0.419624, 0.421157, 0.424175, 0.425684, 0.428701, 0.43021, 0.432186, 0.433174, 0.434161, 0.436137, 0.438349, 0.440561, 0.442691, 0.444821, 0.446951, 0.44908, 0.449998, 0.454586, 0.458366, 0.463854, 0.467355, 0.471361, 0.472696, 0.476158, 0.479621, 0.481397, 0.48495, 0.487662, 0.490374, 0.494006, 0.497638, 0.499846, 0.503158, 0.504262, 0.508677, 0.513093, 0.518675, 0.52395");
-            values ( \
-              "0.130379, 0.137495, 0.142795, 0.147611, 0.154485, 0.156532, 0.16023, 0.16346, 0.166223, 0.168781, 0.169941, 0.171029, 0.17191, 0.172509, 0.171852, 0.171428, 0.170392, 0.16978, 0.168367, 0.167445, 0.16638, 0.163818, 0.16012, 0.155927, 0.151423, 0.146461, 0.141041, 0.135163, 0.132373, 0.117386, 0.105652, 0.089563, 0.0800614, 0.0706298, 0.0676599, 0.0604523, 0.0536552, 0.0503271, 0.0442212, 0.040271, 0.0365876, 0.0321887, 0.0280361, 0.0256326, 0.0225109, 0.0215582, 0.0183419, 0.0155177, 0.0125678, 0.0100295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.425635, 0.442432, 0.45159, 0.457484, 0.466353, 0.479806, 0.491043, 0.497265, 0.50688, 0.514791, 0.521605, 0.535233, 0.557158, 0.575377, 0.597035, 0.610426, 0.624867, 0.642352, 0.658322, 0.668726, 0.687464, 0.711719, 0.7411");
-            values ( \
-              "0.0391849, 0.13438, 0.175361, 0.192684, 0.208642, 0.216217, 0.213623, 0.210174, 0.202735, 0.194568, 0.185439, 0.161889, 0.117479, 0.0862517, 0.0568099, 0.0431701, 0.0317207, 0.0215713, 0.0151996, 0.0118262, 0.00765256, 0.00446958, 0.00239885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.511803, 0.533671, 0.539224, 0.548172, 0.553837, 0.565166, 0.577298, 0.578866, 0.582002, 0.588274, 0.598342, 0.603071, 0.612529, 0.628099, 0.653303, 0.669829, 0.682804, 0.708205, 0.752384, 0.763666, 0.778709, 0.808239, 0.831506, 0.847628, 0.871851, 0.878889, 0.892967, 0.921122, 0.944631, 0.976245, 1.0184, 1.09879, 1.19227");
-            values ( \
-              "0.0371678, 0.154011, 0.180198, 0.211805, 0.224885, 0.240999, 0.24713, 0.24754, 0.24769, 0.247565, 0.245517, 0.244207, 0.240721, 0.23408, 0.22088, 0.210385, 0.200447, 0.176134, 0.127759, 0.116198, 0.101653, 0.0762613, 0.0599666, 0.0503799, 0.038381, 0.0354357, 0.0301096, 0.0215753, 0.0162546, 0.0110672, 0.00653088, 0.00219453, 0.000590424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.690696, 0.717553, 0.73345, 0.74985, 0.75968, 0.772787, 0.795164, 0.818599, 0.885691, 0.946037, 0.996079, 1.04077, 1.21085, 1.30485, 1.39379, 1.45967, 1.51872, 1.62674, 1.72042, 1.78685");
-            values ( \
-              "0.170123, 0.209674, 0.246463, 0.262652, 0.26626, 0.267741, 0.26585, 0.26138, 0.245462, 0.22869, 0.211064, 0.190263, 0.0991941, 0.0614639, 0.0373148, 0.025324, 0.0177157, 0.0091116, 0.00502486, 0.00343947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.02548, 1.06425, 1.08673, 1.10771, 1.12958, 1.15383, 1.18355, 1.22433, 1.32239, 1.43204, 1.53359, 1.63589, 1.68122, 1.72874, 2.00201, 2.07022, 2.17252, 2.24107, 2.28511, 2.37318, 2.44707, 2.53018, 2.60696, 2.70926, 2.75715, 2.85294, 2.95524, 3.05753, 3.26213, 3.46673, 3.77362");
-            values ( \
-              "0.224919, 0.231126, 0.26347, 0.274449, 0.277795, 0.277703, 0.275658, 0.271875, 0.260893, 0.247175, 0.232885, 0.215063, 0.205295, 0.193836, 0.119849, 0.102939, 0.0803699, 0.0674634, 0.0600813, 0.0472411, 0.0384114, 0.0302789, 0.0242108, 0.0178506, 0.0154849, 0.0115833, 0.00849198, 0.00619719, 0.00329874, 0.00175162, 0.000686581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.567897, 0.589116, 0.595096, 0.60062, 0.606024, 0.611422, 0.614689, 0.625998, 0.635299, 0.641055, 0.650516, 0.664199, 0.677289, 0.690629");
-            values ( \
-              "0.0199059, 0.10346, 0.115789, 0.121304, 0.121605, 0.11663, 0.109064, 0.0675818, 0.0419114, 0.0308275, 0.018139, 0.00826301, 0.00392142, 0.00250218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.588856, 0.614879, 0.624104, 0.629471, 0.637513, 0.645552, 0.653603, 0.658861, 0.678339, 0.68978, 0.700105, 0.715485, 0.72951, 0.748926, 0.764669, 0.776961");
-            values ( \
-              "0.00270036, 0.137888, 0.161818, 0.168781, 0.172065, 0.168422, 0.158031, 0.145714, 0.0868434, 0.0599227, 0.0419347, 0.023833, 0.0139297, 0.0065627, 0.00348757, 0.00240062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.630261, 0.671999, 0.680387, 0.693881, 0.704881, 0.711875, 0.721014, 0.734913, 0.790712, 0.814786, 0.839024, 0.857017, 0.872784, 0.901227, 0.925857, 0.94341");
-            values ( \
-              "0.00110934, 0.192778, 0.207769, 0.215701, 0.213365, 0.209528, 0.20249, 0.186787, 0.0842597, 0.0529297, 0.0318142, 0.0214272, 0.0149964, 0.00782989, 0.00436659, 0.00321049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.720348, 0.750798, 0.76059, 0.768825, 0.781653, 0.793916, 0.806156, 0.818199, 0.837488, 0.854641, 0.896199, 0.918277, 0.971094, 1.00307, 1.02804, 1.04827, 1.06385, 1.09406, 1.12795, 1.15744, 1.19581, 1.24698, 1.32189");
-            values ( \
-              "0.000441076, 0.16238, 0.202229, 0.223582, 0.241724, 0.24712, 0.247194, 0.244048, 0.236762, 0.228445, 0.202009, 0.181319, 0.124088, 0.0931889, 0.0727854, 0.0589643, 0.0497583, 0.0354044, 0.023829, 0.0167465, 0.0105004, 0.00545285, 0.00210459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.891531, 0.927224, 0.938012, 0.951725, 0.963358, 0.978603, 0.994532, 1.01028, 1.03374, 1.05821, 1.11161, 1.16115, 1.21484, 1.25941, 1.36492, 1.42752, 1.47786, 1.51886, 1.55053, 1.60901, 1.6345, 1.67575, 1.73444, 1.7943, 1.83997, 1.93132, 2.03362, 2.13591, 2.23821");
-            values ( \
-              "0.0352455, 0.190077, 0.225076, 0.251174, 0.261775, 0.267272, 0.267677, 0.265821, 0.261366, 0.2559, 0.242671, 0.228707, 0.209641, 0.188511, 0.130228, 0.09852, 0.076728, 0.0618686, 0.0520249, 0.0373248, 0.0321839, 0.025204, 0.0176565, 0.0122536, 0.00923554, 0.0051615, 0.00266715, 0.00137546, 0.000710371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.22739, 1.296, 1.31423, 1.32977, 1.34414, 1.36726, 1.39739, 1.53694, 1.63599, 1.74814, 1.85044, 1.94329, 2.21656, 2.38707, 2.49966, 2.58773, 2.66162, 2.74473, 2.82152, 2.92381, 3.06749, 3.16979, 3.27208, 3.47668, 3.59512");
-            values ( \
-              "0.125961, 0.258183, 0.271752, 0.2763, 0.277771, 0.277714, 0.275767, 0.260856, 0.248585, 0.232884, 0.215065, 0.193835, 0.119848, 0.0803693, 0.0600815, 0.0472411, 0.0384112, 0.0302786, 0.0242109, 0.0178504, 0.0115832, 0.00849221, 0.00619711, 0.00329863, 0.00269383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.999707, 1.01441, 1.02153, 1.02992, 1.03618, 1.04053, 1.044, 1.04726, 1.05289, 1.06302, 1.07224, 1.07853, 1.08366, 1.09007, 1.09455, 1.10378, 1.11308, 1.1237, 1.14289, 1.14751");
-            values ( \
-              "0.000974537, 0.0648679, 0.091077, 0.111479, 0.11845, 0.119631, 0.118256, 0.11467, 0.100696, 0.063379, 0.0394569, 0.0281756, 0.0211329, 0.0147238, 0.0114077, 0.00670644, 0.0039837, 0.0021651, 0.000719347, 0.0006613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.04178, 1.05497, 1.05657, 1.05817, 1.05977, 1.06261, 1.06356, 1.06546, 1.06736, 1.06925, 1.07115, 1.07223, 1.07385, 1.07546, 1.07654, 1.07762, 1.0787, 1.08126, 1.08383, 1.08501, 1.08736, 1.08854, 1.09058, 1.09263, 1.09467, 1.09672, 1.09765, 1.09889, 1.10013, 1.10137, 1.10265, 1.10897, 1.11637, 1.11834, 1.12089, 1.1238, 1.12654, 1.12929, 1.1328, 1.13815, 1.14183, 1.1455, 1.14918, 1.15498, 1.15975, 1.16452, 1.16868, 1.17383, 1.1764, 1.18102");
-            values ( \
-              "0.113198, 0.12381, 0.130006, 0.135759, 0.14107, 0.148464, 0.150683, 0.154595, 0.158121, 0.161259, 0.164011, 0.165402, 0.166814, 0.167919, 0.168485, 0.168914, 0.169206, 0.16902, 0.168436, 0.167817, 0.166277, 0.165356, 0.163459, 0.161296, 0.158866, 0.156169, 0.154643, 0.15198, 0.148973, 0.1458, 0.14215, 0.123551, 0.100414, 0.0952372, 0.0879174, 0.0800191, 0.073507, 0.0673582, 0.0601709, 0.0498162, 0.043629, 0.038446, 0.0337402, 0.0272825, 0.0224876, 0.0188769, 0.0161722, 0.0133069, 0.0120537, 0.0100758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.09084, 1.09426, 1.12152, 1.13667, 1.14889, 1.15326, 1.1638, 1.17439, 1.19245, 1.24479, 1.26945, 1.29626, 1.31554, 1.35016, 1.37597, 1.398");
-            values ( \
-              "0.0277592, 0.0346076, 0.16864, 0.204483, 0.21357, 0.21381, 0.210844, 0.204111, 0.184677, 0.0887889, 0.0554993, 0.0317399, 0.0207997, 0.00949903, 0.00515887, 0.00342041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.20398, 1.21839, 1.22064, 1.22596, 1.23099, 1.23676, 1.24184, 1.24606, 1.24817, 1.25239, 1.2545, 1.25718, 1.25987, 1.26255, 1.26523, 1.27142, 1.27761, 1.28364, 1.28968, 1.29933, 1.30415, 1.31187, 1.31766, 1.32346, 1.33743, 1.34781, 1.35449, 1.36785, 1.37202, 1.37784, 1.38991, 1.40193, 1.41996, 1.43325, 1.45647, 1.46102, 1.47468, 1.48722, 1.49976, 1.5123, 1.52016, 1.52802, 1.53588, 1.5476, 1.56587, 1.57898, 1.58935, 1.59972, 1.62188, 1.64212");
-            values ( \
-              "0.142616, 0.146467, 0.152557, 0.173849, 0.192495, 0.209871, 0.222408, 0.230488, 0.233394, 0.238276, 0.240252, 0.242083, 0.243569, 0.24471, 0.245508, 0.245306, 0.244731, 0.243811, 0.242535, 0.239555, 0.237825, 0.234721, 0.23209, 0.229218, 0.221429, 0.215084, 0.210759, 0.201547, 0.198093, 0.192795, 0.180929, 0.168166, 0.148352, 0.134098, 0.109598, 0.105302, 0.093008, 0.0825042, 0.0727508, 0.0637604, 0.0583667, 0.0537617, 0.0494209, 0.0435395, 0.0351455, 0.0299635, 0.0266377, 0.0236039, 0.018209, 0.0138613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.35829, 1.42941, 1.44273, 1.44624, 1.45093, 1.45976, 1.47535, 1.49089, 1.51436, 1.58718, 1.64178, 1.69547, 1.71931, 1.74006, 1.84556, 1.90817, 1.95852, 1.99952, 2.0312, 2.07694, 2.08968, 2.11517, 2.15643, 2.21513, 2.27499, 2.32068, 2.41204, 2.51434, 2.61664, 2.71894");
-            values ( \
-              "0.00318573, 0.245208, 0.260078, 0.262184, 0.264346, 0.266639, 0.267364, 0.265617, 0.261308, 0.243974, 0.228678, 0.209619, 0.198881, 0.188489, 0.130216, 0.0985114, 0.0767207, 0.061862, 0.0520184, 0.0401564, 0.0373206, 0.0321807, 0.025201, 0.0176545, 0.0122519, 0.0092339, 0.0051606, 0.00266679, 0.00137566, 0.000710399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.72005, 1.79603, 1.8109, 1.82467, 1.84771, 1.91943, 2.01748, 2.12006, 2.22868, 2.33098, 2.42382, 2.6971, 2.76531, 2.86761, 2.9802, 3.06827, 3.14215, 3.22527, 3.30205, 3.40435, 3.54802, 3.65032, 3.75262, 3.95721, 4.16181, 4.4687");
-            values ( \
-              "0.208714, 0.272336, 0.27653, 0.27768, 0.277801, 0.271931, 0.260945, 0.2481, 0.232882, 0.215068, 0.193833, 0.119846, 0.102942, 0.0803671, 0.0600835, 0.0472393, 0.0384096, 0.0302771, 0.0242126, 0.0178488, 0.0115817, 0.0084938, 0.00619554, 0.00329708, 0.00174997, 0.000688215" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0126162, 0.0126593, 0.0127081, 0.0127506, 0.0127799, 0.0127971", \
-            "0.0159379, 0.0159886, 0.0160526, 0.016114, 0.0161603, 0.016189", \
-            "0.0180629, 0.0181018, 0.0181575, 0.0182185, 0.0182697, 0.0183037", \
-            "0.0192587, 0.019276, 0.0193058, 0.0193456, 0.0193844, 0.0194134", \
-            "0.0199979, 0.0199724, 0.0199474, 0.0199307, 0.0199285, 0.0199346", \
-            "0.020478, 0.0204358, 0.0203769, 0.020307, 0.0202428, 0.020201" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0189351, 0.0189938, 0.0190644, 0.0191302, 0.0191762, 0.0192029", \
-            "0.0201297, 0.0201466, 0.0201657, 0.0201744, 0.0201882, 0.0201885", \
-            "0.0196817, 0.0195614, 0.0194156, 0.0192796, 0.0191803, 0.0191198", \
-            "0.0193966, 0.0191451, 0.0188449, 0.0185703, 0.018366, 0.0182374", \
-            "0.0198231, 0.0195904, 0.0190139, 0.0185221, 0.0181226, 0.0178866", \
-            "0.0178114, 0.0179031, 0.0181127, 0.0186016, 0.0183983, 0.0179433" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.169774, 0.172196, 0.174731, 0.178235, 0.184655, 0.189496, 0.19784, 0.203846, 0.207897, 0.210868, 0.213723, 0.21857, 0.22084, 0.223821, 0.23109, 0.235492, 0.237653, 0.240394, 0.244048, 0.246315, 0.249984, 0.254876, 0.264468, 0.265853");
-            values ( \
-              "-0.0241501, -0.0294241, -0.0331437, -0.0462249, -0.0731199, -0.0896972, -0.113615, -0.126342, -0.132353, -0.135442, -0.13713, -0.133394, -0.127074, -0.113747, -0.0666163, -0.0436994, -0.034983, -0.0260953, -0.017336, -0.0134213, -0.00882652, -0.00498635, -0.00153162, -0.00140334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.18546, 0.188966, 0.193476, 0.195314, 0.198991, 0.204031, 0.211962, 0.216881, 0.22524, 0.232752, 0.239827, 0.246732, 0.25363, 0.255359, 0.257204, 0.260894, 0.26985, 0.275293, 0.278082, 0.281724, 0.284615, 0.288255, 0.293383, 0.295725, 0.298972, 0.303301, 0.311959, 0.313724");
-            values ( \
-              "-0.0294972, -0.0381382, -0.0522111, -0.0597358, -0.0768581, -0.102939, -0.135882, -0.152455, -0.175442, -0.189178, -0.197717, -0.199481, -0.191166, -0.186528, -0.180254, -0.162192, -0.105948, -0.0769022, -0.0644807, -0.0507623, -0.041752, -0.0324137, -0.0224717, -0.0189903, -0.0150138, -0.0109243, -0.00568359, -0.00521818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.215637, 0.223622, 0.230102, 0.248982, 0.259765, 0.270739, 0.276429, 0.288, 0.2991, 0.310123, 0.321141, 0.323787, 0.355399, 0.369455, 0.38108, 0.389974, 0.399269, 0.420358");
-            values ( \
-              "-0.0287325, -0.0486779, -0.073267, -0.16693, -0.204019, -0.230467, -0.240663, -0.25461, -0.260971, -0.258665, -0.243709, -0.237365, -0.102027, -0.0608625, -0.0386771, -0.0269993, -0.018496, -0.00765988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.285352, 0.301302, 0.314746, 0.324936, 0.329791, 0.339502, 0.353194, 0.369793, 0.373762, 0.381701, 0.393315, 0.412641, 0.431965, 0.440668, 0.449652, 0.459298, 0.472159, 0.503912, 0.516625, 0.523808, 0.538174, 0.550565, 0.557526, 0.568857, 0.584727, 0.591077, 0.603778, 0.62918, 0.675894");
-            values ( \
-              "-0.04972, -0.100367, -0.168032, -0.210473, -0.227754, -0.253223, -0.279606, -0.297862, -0.301462, -0.305497, -0.309894, -0.309311, -0.301015, -0.293159, -0.282023, -0.264255, -0.230191, -0.140193, -0.111547, -0.09753, -0.0738314, -0.0577073, -0.0501237, -0.0397126, -0.0284766, -0.0249231, -0.0190337, -0.0109366, -0.00367821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.398587, 0.502378, 0.526003, 0.537101, 0.559296, 0.570245, 0.599294, 0.636129, 0.651732, 0.674299, 0.712734, 0.728303, 0.743759, 0.800996, 0.838328, 0.872958, 0.897771, 0.918056, 0.942669, 0.977397, 1.01395, 1.04201, 1.09813, 1.17409, 1.18256");
-            values ( \
-              "-0.0229091, -0.312074, -0.332126, -0.337117, -0.343032, -0.343902, -0.342503, -0.335075, -0.329887, -0.320748, -0.294361, -0.277267, -0.255549, -0.167202, -0.120418, -0.0869867, -0.0682572, -0.0557815, -0.0434443, -0.0303095, -0.0207107, -0.0153909, -0.00835416, -0.0035465, -0.00338398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.692962, 0.741203, 0.765429, 0.790896, 0.818926, 0.842225, 0.864349, 0.900368, 0.936089, 0.994428, 1.08365, 1.16614, 1.19561, 1.23489, 1.26185, 1.29745, 1.40446, 1.48843, 1.53862, 1.59216, 1.63249, 1.67803, 1.72445, 1.78978, 1.86704, 1.943, 2.01895, 2.09491, 2.24682, 2.39873");
-            values ( \
-              "-0.191597, -0.259637, -0.310884, -0.339486, -0.355065, -0.361479, -0.363895, -0.364493, -0.362292, -0.356796, -0.344329, -0.327345, -0.318716, -0.303355, -0.289024, -0.264809, -0.181569, -0.127213, -0.101454, -0.0789467, -0.0650879, -0.052146, -0.0414258, -0.0298033, -0.0201234, -0.0135792, -0.00915053, -0.00614645, -0.00276902, -0.0012446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.187216, 0.192735, 0.206631, 0.216482, 0.221917, 0.226953, 0.231803, 0.236646, 0.241496, 0.249589, 0.254925, 0.258104, 0.264518, 0.271971, 0.276679");
-            values ( \
-              "-0.0229411, -0.0334103, -0.0866892, -0.11496, -0.126165, -0.133695, -0.137066, -0.133435, -0.115902, -0.0643212, -0.0382904, -0.0272067, -0.0134011, -0.00568172, -0.00365621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.207976, 0.215376, 0.216078, 0.2186, 0.221122, 0.22472, 0.226328, 0.229546, 0.231155, 0.23304, 0.234925, 0.236809, 0.238694, 0.240579, 0.242464, 0.244889, 0.247186, 0.248657, 0.250773, 0.255005, 0.257851, 0.259287, 0.260723, 0.261732, 0.262742, 0.263751, 0.26476, 0.266476, 0.268192, 0.269908, 0.271756, 0.272548, 0.273604, 0.27466, 0.275716, 0.278544, 0.279486, 0.285594, 0.290559, 0.292989, 0.295419, 0.29785, 0.300049, 0.30182, 0.303, 0.304181, 0.306542, 0.308903, 0.311497, 0.313558");
-            values ( \
-              "-0.0677688, -0.0691788, -0.0726426, -0.086427, -0.098974, -0.115096, -0.121889, -0.134699, -0.140716, -0.146901, -0.152793, -0.158392, -0.163698, -0.168711, -0.173431, -0.178904, -0.183561, -0.18619, -0.189493, -0.194752, -0.197879, -0.198448, -0.19871, -0.1987, -0.198545, -0.198243, -0.197795, -0.196698, -0.195179, -0.193237, -0.190666, -0.188726, -0.185518, -0.181577, -0.177147, -0.162538, -0.157335, -0.119594, -0.0901864, -0.0778645, -0.0670819, -0.0574214, -0.0496465, -0.0436684, -0.0401781, -0.0369565, -0.0315361, -0.0267558, -0.0223131, -0.019026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.232332, 0.273673, 0.281776, 0.294325, 0.305855, 0.316976, 0.327996, 0.339012, 0.341665, 0.350761, 0.373287, 0.387335, 0.398936, 0.407835, 0.41715, 0.424297, 0.438589, 0.446692");
-            values ( \
-              "-0.00506341, -0.19212, -0.215335, -0.240947, -0.254656, -0.261034, -0.258708, -0.243723, -0.237372, -0.202383, -0.101968, -0.0608411, -0.0386995, -0.0270093, -0.0184879, -0.0137622, -0.00748558, -0.00585843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.302273, 0.319881, 0.333286, 0.341342, 0.34829, 0.362176, 0.371684, 0.388594, 0.392255, 0.399576, 0.411807, 0.431132, 0.450455, 0.452419, 0.459152, 0.471357, 0.477812, 0.490722, 0.522307, 0.531519, 0.542439, 0.557, 0.565583, 0.575637, 0.587088, 0.603078, 0.609554, 0.622507, 0.648412, 0.695555, 0.750807");
-            values ( \
-              "-0.0351935, -0.100745, -0.167829, -0.203342, -0.227456, -0.262974, -0.279141, -0.298593, -0.301138, -0.305553, -0.309606, -0.309622, -0.300732, -0.299236, -0.293172, -0.276919, -0.264201, -0.229982, -0.140423, -0.119136, -0.097266, -0.0733446, -0.0618636, -0.0505116, -0.0399191, -0.0285586, -0.0249236, -0.018936, -0.0107498, -0.00352475, -0.000892269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.414253, 0.523498, 0.544721, 0.567284, 0.581608, 0.610255, 0.633575, 0.654912, 0.691962, 0.707862, 0.724823, 0.733638, 0.751268, 0.803083, 0.831756, 0.854076, 0.878768, 0.911691, 0.936278, 0.953942, 0.989269, 1.01116, 1.04337, 1.08631, 1.16226, 1.23822, 1.31417");
-            values ( \
-              "-0.0167991, -0.315115, -0.332242, -0.341009, -0.343176, -0.343401, -0.34004, -0.334875, -0.321286, -0.312512, -0.300338, -0.292267, -0.271526, -0.19151, -0.150838, -0.123717, -0.0983927, -0.0714624, -0.0559921, -0.0468468, -0.0325082, -0.0259011, -0.0184821, -0.0116696, -0.00496747, -0.00210006, -0.000893819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.707064, 0.753489, 0.768063, 0.783021, 0.803474, 0.809705, 0.822166, 0.845101, 0.883206, 0.92784, 1.00911, 1.06452, 1.1025, 1.17773, 1.21291, 1.25044, 1.28814, 1.33842, 1.40633, 1.47622, 1.51027, 1.54932, 1.60139, 1.65085, 1.70921, 1.78516, 1.83283, 1.90577, 1.98173, 2.05768, 2.13364, 2.28555, 2.43746");
-            values ( \
-              "-0.160975, -0.239274, -0.27895, -0.309006, -0.333099, -0.339555, -0.347123, -0.358037, -0.364386, -0.364356, -0.357511, -0.350498, -0.344097, -0.32952, -0.319193, -0.30489, -0.284441, -0.247688, -0.194151, -0.145658, -0.12557, -0.105337, -0.0826218, -0.0652283, -0.0490543, -0.0335062, -0.0263482, -0.0181693, -0.0122423, -0.00825466, -0.00553401, -0.00248952, -0.00111617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.226689, 0.231572, 0.241507, 0.249135, 0.254701, 0.260709, 0.264762, 0.267729, 0.270587, 0.275398, 0.277693, 0.280687, 0.287957, 0.292301, 0.297325, 0.303191, 0.306634, 0.311225, 0.318552");
-            values ( \
-              "-0.0241045, -0.0332954, -0.0731473, -0.0982423, -0.113482, -0.126198, -0.132438, -0.135532, -0.136985, -0.133487, -0.127097, -0.113736, -0.0666093, -0.043955, -0.0259095, -0.0134054, -0.0090504, -0.00529905, -0.00244662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.24419, 0.250661, 0.262096, 0.274042, 0.282397, 0.289909, 0.296984, 0.303888, 0.310785, 0.314383, 0.318096, 0.331523, 0.338629, 0.347071, 0.352903, 0.356564, 0.363887, 0.373899");
-            values ( \
-              "-0.0325067, -0.0522218, -0.10768, -0.152439, -0.175537, -0.189163, -0.197759, -0.199487, -0.191165, -0.18018, -0.161919, -0.0813962, -0.0515972, -0.0287838, -0.0189491, -0.0145221, -0.00840381, -0.00433692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.273623, 0.282842, 0.288164, 0.301801, 0.31566, 0.32192, 0.334468, 0.345999, 0.35712, 0.36814, 0.379156, 0.381808, 0.413428, 0.427477, 0.439081, 0.44798, 0.457293, 0.47576");
-            values ( \
-              "-0.0283122, -0.0534179, -0.0738843, -0.143321, -0.198054, -0.21542, -0.240897, -0.254731, -0.260981, -0.258767, -0.243675, -0.237373, -0.101975, -0.060844, -0.0386957, -0.0270082, -0.018489, -0.00901067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.351446, 0.366143, 0.37345, 0.375562, 0.379786, 0.38519, 0.388481, 0.391772, 0.395063, 0.398354, 0.401645, 0.404206, 0.411888, 0.416069, 0.420251, 0.424433, 0.428615, 0.432463, 0.436311, 0.440159, 0.449053, 0.456849, 0.461681, 0.466513, 0.471345, 0.481007, 0.49095, 0.494869, 0.499347, 0.503826, 0.508305, 0.511572, 0.51484, 0.521375, 0.524643, 0.531178, 0.544506, 0.548932, 0.553358, 0.56221, 0.575524, 0.583128, 0.590732, 0.598335, 0.608747, 0.614587, 0.620427, 0.626267, 0.638651, 0.649727");
-            values ( \
-              "-0.128298, -0.130924, -0.169101, -0.17918, -0.197802, -0.218564, -0.22968, -0.239312, -0.248096, -0.256032, -0.263119, -0.267643, -0.279747, -0.285154, -0.290079, -0.294524, -0.298486, -0.301708, -0.304504, -0.306874, -0.308142, -0.308514, -0.308398, -0.308016, -0.307368, -0.304464, -0.30045, -0.297297, -0.292733, -0.287525, -0.281674, -0.276487, -0.269751, -0.254805, -0.246593, -0.228695, -0.188411, -0.175834, -0.163755, -0.141088, -0.110347, -0.0957926, -0.0830545, -0.0716739, -0.0579796, -0.0510524, -0.0454782, -0.0403874, -0.0313746, -0.0242221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.4559, 0.557715, 0.572177, 0.584862, 0.603952, 0.621777, 0.658208, 0.687369, 0.7103, 0.733164, 0.771593, 0.787205, 0.802644, 0.85982, 0.897198, 0.931846, 0.956644, 0.976901, 1.00152, 1.03625, 1.07282, 1.10089, 1.15705, 1.233, 1.24066");
-            values ( \
-              "-0.0124155, -0.307559, -0.323029, -0.331984, -0.340018, -0.343278, -0.342501, -0.336989, -0.330135, -0.320625, -0.294503, -0.277221, -0.255521, -0.167262, -0.120414, -0.0869672, -0.0682521, -0.0557931, -0.0434501, -0.0303127, -0.0207093, -0.015387, -0.0083496, -0.00354418, -0.00339754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.747049, 0.820819, 0.850542, 0.873371, 0.899416, 0.924067, 0.960104, 1.05195, 1.14336, 1.22572, 1.29269, 1.32626, 1.56152, 1.67712, 1.7737, 1.87479, 2.01202, 2.06723");
-            values ( \
-              "-0.153102, -0.303247, -0.339047, -0.353008, -0.361, -0.364025, -0.364427, -0.356965, -0.344403, -0.327374, -0.304243, -0.286152, -0.119879, -0.0699633, -0.0436159, -0.0261894, -0.0129176, -0.0103472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.308728, 0.313608, 0.317438, 0.323983, 0.331669, 0.337259, 0.343288, 0.347348, 0.350331, 0.353188, 0.358008, 0.360228, 0.3633, 0.373443, 0.378978, 0.384805, 0.391127, 0.39823");
-            values ( \
-              "-0.0230472, -0.031963, -0.044881, -0.0724458, -0.0976783, -0.113045, -0.12587, -0.132126, -0.135255, -0.136762, -0.133283, -0.12718, -0.113617, -0.0509296, -0.0287769, -0.0150329, -0.00728062, -0.00313729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.326169, 0.335613, 0.351152, 0.35841, 0.364938, 0.370452, 0.374296, 0.38139, 0.388303, 0.395211, 0.398717, 0.402335, 0.412569, 0.417712, 0.425138, 0.430425, 0.435276, 0.441476, 0.449743, 0.45258");
-            values ( \
-              "-0.027098, -0.0543467, -0.125924, -0.152055, -0.170404, -0.182344, -0.188916, -0.197227, -0.199393, -0.190818, -0.180377, -0.162903, -0.0993421, -0.0729947, -0.0448763, -0.0311243, -0.0219989, -0.014032, -0.00753126, -0.0065014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.361089, 0.374386, 0.387953, 0.401919, 0.408238, 0.420791, 0.432333, 0.443459, 0.454482, 0.465502, 0.468151, 0.499769, 0.513823, 0.52544, 0.534336, 0.543638, 0.565042, 0.566568");
-            values ( \
-              "-0.0283094, -0.0735594, -0.142359, -0.197719, -0.215155, -0.240801, -0.254549, -0.260935, -0.258639, -0.24366, -0.237335, -0.102001, -0.0608537, -0.0386829, -0.0270034, -0.0184946, -0.00750102, -0.0071928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.426737, 0.471258, 0.486598, 0.499772, 0.515959, 0.529099, 0.539893, 0.559219, 0.578544, 0.596199, 0.605943, 0.663326, 0.685661, 0.714739, 0.737624, 0.777786, 0.785679");
-            values ( \
-              "-0.0127844, -0.209793, -0.254628, -0.279373, -0.297693, -0.306027, -0.30971, -0.30946, -0.30085, -0.282065, -0.264104, -0.111303, -0.0725156, -0.0402781, -0.0249282, -0.010438, -0.00926122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.547518, 0.633238, 0.649994, 0.667135, 0.685169, 0.710072, 0.746489, 0.783356, 0.823691, 0.854667, 0.87696, 0.979319, 1.02978, 1.07646, 1.11012, 1.15387, 1.24243, 1.26279");
-            values ( \
-              "-0.00436786, -0.287328, -0.312707, -0.328026, -0.33748, -0.343484, -0.342609, -0.335074, -0.319582, -0.299148, -0.275175, -0.127334, -0.0791662, -0.0497865, -0.0352243, -0.0223402, -0.00857786, -0.0072582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.838819, 0.913115, 0.938959, 0.985846, 1.01246, 1.04852, 1.15709, 1.23176, 1.31405, 1.38011, 1.41659, 1.65108, 1.7666, 1.86082, 1.97726, 2.1377, 2.15186");
-            values ( \
-              "-0.174885, -0.310039, -0.339302, -0.360367, -0.36415, -0.364263, -0.355111, -0.344527, -0.3274, -0.304675, -0.284934, -0.119274, -0.0696087, -0.0439066, -0.0244003, -0.0106693, -0.0101242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.481459, 0.486371, 0.495927, 0.503287, 0.508715, 0.514612, 0.518624, 0.524399, 0.529181, 0.532178, 0.546235, 0.55025, 0.55715, 0.564078, 0.569256");
-            values ( \
-              "-0.0238626, -0.0339234, -0.0761063, -0.101246, -0.116051, -0.127997, -0.13375, -0.137707, -0.133803, -0.124758, -0.0433809, -0.0285134, -0.013589, -0.00604093, -0.00358858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.504699, 0.511124, 0.513175, 0.514713, 0.517307, 0.521842, 0.525292, 0.528742, 0.53285, 0.536295, 0.538371, 0.542524, 0.543501, 0.544479, 0.545456, 0.546434, 0.548223, 0.549951, 0.550343, 0.551128, 0.554016, 0.555526, 0.557037, 0.558253, 0.560684, 0.561899, 0.563975, 0.564838, 0.565701, 0.567427, 0.569219, 0.57101, 0.572791, 0.574572, 0.576353, 0.578134, 0.578879, 0.584617, 0.588422, 0.592007, 0.593539, 0.595582, 0.597624, 0.599149, 0.601044, 0.602891, 0.604632, 0.606373, 0.610006, 0.613265");
-            values ( \
-              "-0.0332475, -0.052072, -0.061257, -0.0688027, -0.0835327, -0.106398, -0.122164, -0.136565, -0.148521, -0.159535, -0.166605, -0.181724, -0.181979, -0.182453, -0.183147, -0.18406, -0.186299, -0.188873, -0.190361, -0.191036, -0.19448, -0.195894, -0.196955, -0.19745, -0.197963, -0.197981, -0.197644, -0.19717, -0.196499, -0.194569, -0.191066, -0.186742, -0.181626, -0.175698, -0.168956, -0.161402, -0.157688, -0.121553, -0.0989131, -0.0796668, -0.0724732, -0.0638774, -0.056046, -0.0507319, -0.0444177, -0.0388198, -0.0345415, -0.0306324, -0.0238, -0.0183469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.543758, 0.556394, 0.57546, 0.590418, 0.603022, 0.614595, 0.625754, 0.636806, 0.647855, 0.650475, 0.65946, 0.682075, 0.696123, 0.707962, 0.716813, 0.725933, 0.744673");
-            values ( \
-              "-0.0316851, -0.0744248, -0.16519, -0.214427, -0.239898, -0.253845, -0.260111, -0.258118, -0.243099, -0.236993, -0.202837, -0.102217, -0.0610358, -0.0384754, -0.0269144, -0.0185752, -0.00883523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.624492, 0.638222, 0.641713, 0.653259, 0.658415, 0.662476, 0.666537, 0.670046, 0.673556, 0.677065, 0.680574, 0.685257, 0.689941, 0.698118, 0.702207, 0.706296, 0.71263, 0.718964, 0.727302, 0.734916, 0.744584, 0.749418, 0.754252, 0.759085, 0.763919, 0.769032, 0.772941, 0.777408, 0.781876, 0.786343, 0.789662, 0.792981, 0.799619, 0.802938, 0.809575, 0.82201, 0.826155, 0.834444, 0.838589, 0.852471, 0.854933, 0.859857, 0.864781, 0.875766, 0.884024, 0.890918, 0.897812, 0.907004, 0.914411, 0.927083");
-            values ( \
-              "-0.0719644, -0.102375, -0.118955, -0.178208, -0.201295, -0.216352, -0.229872, -0.239987, -0.249172, -0.257429, -0.264756, -0.272671, -0.279764, -0.289889, -0.294233, -0.298097, -0.303134, -0.306998, -0.308105, -0.308428, -0.307892, -0.307226, -0.306071, -0.30456, -0.302695, -0.30033, -0.297187, -0.292647, -0.287467, -0.281647, -0.276385, -0.269535, -0.254336, -0.245987, -0.227791, -0.190044, -0.178207, -0.155853, -0.145336, -0.112898, -0.107522, -0.0983013, -0.0896612, -0.0727162, -0.0609336, -0.0529046, -0.0461204, -0.0383186, -0.032964, -0.0249968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.740466, 0.843619, 0.857116, 0.868666, 0.887729, 0.90558, 0.937269, 0.9515, 0.970475, 0.995482, 1.01697, 1.05543, 1.07084, 1.08636, 1.1438, 1.18098, 1.21554, 1.24041, 1.26078, 1.28537, 1.32008, 1.35657, 1.38457, 1.44058, 1.51653, 1.52061");
-            values ( \
-              "-0.0180564, -0.310307, -0.323944, -0.33198, -0.339986, -0.34328, -0.343077, -0.341088, -0.337165, -0.329652, -0.320612, -0.294483, -0.277428, -0.255659, -0.167009, -0.120439, -0.0870558, -0.06828, -0.055748, -0.0434271, -0.0303036, -0.0207211, -0.0154077, -0.00837522, -0.00355504, -0.00347668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.03733, 1.07789, 1.10457, 1.11622, 1.13462, 1.15715, 1.18315, 1.20815, 1.24418, 1.27989, 1.33559, 1.42745, 1.50979, 1.53833, 1.57638, 1.61113, 1.65747, 1.74021, 1.80711, 1.84555, 1.90649, 1.96108, 2.00868, 2.05794, 2.12834, 2.15889, 2.21999, 2.29594, 2.3719, 2.44786, 2.59977, 2.75168");
-            values ( \
-              "-0.2146, -0.23718, -0.302503, -0.319926, -0.338969, -0.35281, -0.360905, -0.364006, -0.364424, -0.362365, -0.357016, -0.344398, -0.327381, -0.319064, -0.304422, -0.285663, -0.252351, -0.187461, -0.141958, -0.119915, -0.0907357, -0.0700044, -0.0555899, -0.0435831, -0.0305615, -0.0261897, -0.0191612, -0.0129293, -0.0087064, -0.00585127, -0.00263694, -0.0011865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.825562, 0.840899, 0.850441, 0.856462, 0.861811, 0.866787, 0.871598, 0.876403, 0.879034, 0.893452, 0.898121, 0.904126, 0.908177, 0.913578, 0.919739");
-            values ( \
-              "-0.000115064, -0.0672278, -0.100604, -0.117158, -0.127993, -0.135036, -0.137878, -0.133876, -0.126088, -0.0432842, -0.0264917, -0.0135143, -0.00850089, -0.0045073, -0.00248648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.86009, 0.866487, 0.878107, 0.888923, 0.897154, 0.904602, 0.911652, 0.918555, 0.925451, 0.92948, 0.946876, 0.954543, 0.962806, 0.974682, 0.984281, 0.98874");
-            values ( \
-              "-0.0351602, -0.0565115, -0.114622, -0.155707, -0.177266, -0.190493, -0.197845, -0.199457, -0.190472, -0.177975, -0.0782277, -0.047655, -0.0268556, -0.0113719, -0.0054802, -0.00478939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.916697, 0.939303, 0.953108, 0.958791, 0.970158, 0.97342, 0.982779, 0.9928, 0.996209, 1.00058, 1.00496, 1.01372, 1.01644, 1.02189, 1.04445, 1.05265, 1.0645, 1.07641, 1.08527, 1.09438, 1.10134, 1.11527, 1.13372");
-            values ( \
-              "-0.0364353, -0.150069, -0.203008, -0.217798, -0.239876, -0.244331, -0.25401, -0.259227, -0.259631, -0.259053, -0.256819, -0.24659, -0.241349, -0.226054, -0.124806, -0.0942725, -0.0609107, -0.0383508, -0.0268345, -0.0185436, -0.0139214, -0.00771393, -0.00387547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.01521, 1.02914, 1.04184, 1.05094, 1.05654, 1.06773, 1.07979, 1.09556, 1.11002, 1.12001, 1.13944, 1.15886, 1.16775, 1.17692, 1.18579, 1.19762, 1.21592, 1.24104, 1.26492, 1.27494, 1.28775, 1.30483, 1.32558, 1.33959, 1.36761, 1.38646");
-            values ( \
-              "-0.0631729, -0.108654, -0.173563, -0.211689, -0.230308, -0.258736, -0.279467, -0.296766, -0.305272, -0.308111, -0.307917, -0.299144, -0.291503, -0.280241, -0.264304, -0.234106, -0.180239, -0.118504, -0.075331, -0.0618078, -0.0477398, -0.0335029, -0.0216843, -0.0160953, -0.00869418, -0.00638845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.15385, 1.261, 1.27922, 1.29417, 1.31411, 1.33534, 1.35763, 1.39413, 1.40863, 1.4327, 1.45204, 1.47332, 1.5149, 1.57268, 1.60162, 1.64658, 1.66935, 1.70562, 1.75174, 1.77867, 1.83253, 1.90849, 1.9155");
-            values ( \
-              "-0.0156331, -0.31165, -0.327963, -0.335978, -0.341826, -0.343447, -0.341939, -0.33497, -0.330346, -0.320443, -0.309466, -0.292168, -0.235958, -0.149582, -0.115449, -0.0751777, -0.0600463, -0.0415927, -0.025772, -0.0194176, -0.010842, -0.0046141, -0.00443931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.46425, 1.50184, 1.52384, 1.53949, 1.56545, 1.58193, 1.60391, 1.63629, 1.65482, 1.676, 1.71061, 1.77464, 1.82923, 1.91616, 1.975, 2.01865, 2.0946, 2.19875, 2.26693, 2.33615, 2.39165, 2.44121, 2.50729, 2.56459, 2.64185, 2.71781, 2.79376, 2.94568, 3.17354");
-            values ( \
-              "-0.188227, -0.21485, -0.280122, -0.310442, -0.33962, -0.350156, -0.358422, -0.364063, -0.36454, -0.364368, -0.36228, -0.356136, -0.348854, -0.333242, -0.31705, -0.298888, -0.247657, -0.167893, -0.125331, -0.0914364, -0.0701999, -0.055211, -0.0397937, -0.0297699, -0.020092, -0.0136052, -0.00912098, -0.00410548, -0.00127158" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0120489, 0.0120858, 0.0121304, 0.0121717, 0.0122017, 0.0122198", \
-            "0.0142173, 0.0142461, 0.014287, 0.0143316, 0.0143686, 0.0143932", \
-            "0.0155427, 0.0155556, 0.0155783, 0.015609, 0.0156403, 0.015664", \
-            "0.0163757, 0.0163768, 0.0163805, 0.0163885, 0.0164023, 0.0164167", \
-            "0.0168697, 0.0168638, 0.0168541, 0.0168429, 0.0168351, 0.0168332", \
-            "0.0171421, 0.0171333, 0.0171189, 0.0170991, 0.017078, 0.0170616" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0167912, 0.0168269, 0.0168747, 0.0169269, 0.0169679, 0.0169937", \
-            "0.0186636, 0.0186669, 0.0186791, 0.0186987, 0.018718, 0.0187317", \
-            "0.0200155, 0.0199733, 0.0199212, 0.0198725, 0.0198378, 0.0198172", \
-            "0.0210854, 0.0209891, 0.0208635, 0.0207314, 0.0206212, 0.0205471", \
-            "0.0221291, 0.021949, 0.0216999, 0.0214423, 0.021233, 0.02109", \
-            "0.0205458, 0.0215332, 0.0223095, 0.0221422, 0.0217601, 0.0214821" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.116631, 0.132794, 0.162596, 0.221021, 0.341189, 0.591419", \
-            "0.120076, 0.136279, 0.166076, 0.224605, 0.344809, 0.59506", \
-            "0.127951, 0.144197, 0.174072, 0.232663, 0.352952, 0.603243", \
-            "0.144656, 0.161023, 0.191159, 0.250093, 0.370501, 0.620791", \
-            "0.167567, 0.184244, 0.214744, 0.274182, 0.395674, 0.646366", \
-            "0.185324, 0.203037, 0.234119, 0.293385, 0.4144, 0.666763" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0393334, 0.0575682, 0.0959447, 0.178245, 0.353563, 0.721734", \
-            "0.0393108, 0.0575638, 0.0959178, 0.178251, 0.353573, 0.721741", \
-            "0.0393237, 0.0575287, 0.0959758, 0.1783, 0.353596, 0.721761", \
-            "0.0399638, 0.0582136, 0.0965012, 0.178645, 0.353731, 0.721846", \
-            "0.0416495, 0.0595643, 0.0976666, 0.180317, 0.355374, 0.722441", \
-            "0.0464965, 0.0634691, 0.0997228, 0.180489, 0.356454, 0.725142" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.120893, 0.134032, 0.157253, 0.200525, 0.286778, 0.465961", \
-            "0.127101, 0.140261, 0.163509, 0.206756, 0.292957, 0.472122", \
-            "0.140667, 0.153915, 0.177366, 0.22074, 0.306964, 0.486071", \
-            "0.168255, 0.1817, 0.205518, 0.249366, 0.336071, 0.515305", \
-            "0.216943, 0.231629, 0.257093, 0.302635, 0.390076, 0.569682", \
-            "0.294564, 0.311665, 0.340063, 0.388618, 0.478457, 0.659238" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0291488, 0.0418523, 0.0671736, 0.119511, 0.231517, 0.471016", \
-            "0.0291727, 0.0418751, 0.067167, 0.119522, 0.231759, 0.470958", \
-            "0.0291887, 0.0419177, 0.0672013, 0.119528, 0.231594, 0.470934", \
-            "0.0296352, 0.0422496, 0.0674286, 0.11964, 0.231651, 0.471025", \
-            "0.0331603, 0.0458047, 0.0707659, 0.121991, 0.232564, 0.471239", \
-            "0.0406767, 0.0539368, 0.0785808, 0.128482, 0.236874, 0.472975" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0858074, 0.0918839, 0.100272, 0.105399, 0.109268, 0.11605, 0.122208, 0.128161, 0.134101, 0.138169, 0.151823, 0.155547, 0.160379, 0.164334, 0.169607, 0.174238, 0.180316, 0.187677, 0.190353, 0.197066, 0.204738, 0.220083, 0.242533, 0.270913");
-            values ( \
-              "0.0319481, 0.0327461, 0.0648981, 0.0804941, 0.0903916, 0.102967, 0.109482, 0.111222, 0.108294, 0.101266, 0.058054, 0.0483942, 0.0379306, 0.0308866, 0.0232234, 0.0180017, 0.0127933, 0.00838451, 0.00720586, 0.00493873, 0.00320094, 0.00133498, 0.000375165, 0.000101026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0809455, 0.0919374, 0.104198, 0.111346, 0.117656, 0.127001, 0.135719, 0.144323, 0.152921, 0.154618, 0.159526, 0.177408, 0.190247, 0.204809, 0.217003, 0.223678, 0.233133, 0.251287, 0.267115, 0.27991");
-            values ( \
-              "0.00856799, 0.0412881, 0.0974883, 0.122594, 0.138857, 0.154321, 0.159758, 0.158919, 0.150993, 0.148257, 0.137909, 0.0875078, 0.0583362, 0.0352909, 0.0225235, 0.0174871, 0.0121355, 0.00600024, 0.00318802, 0.00219647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0811736, 0.0949286, 0.104726, 0.111709, 0.122496, 0.131543, 0.145916, 0.1599, 0.173864, 0.181312, 0.189101, 0.204398, 0.226136, 0.245748, 0.258005, 0.267336, 0.279776, 0.297508, 0.307994, 0.325157, 0.344468, 0.359735, 0.39027, 0.41824");
-            values ( \
-              "0.0214851, 0.0617836, 0.112998, 0.143155, 0.176186, 0.192872, 0.205048, 0.204963, 0.198062, 0.191648, 0.182756, 0.157643, 0.11515, 0.0821653, 0.0651848, 0.0542191, 0.0419606, 0.0287157, 0.0227937, 0.0154532, 0.00995377, 0.00698429, 0.00333467, 0.00198273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0812036, 0.100185, 0.113796, 0.12233, 0.129597, 0.14413, 0.155844, 0.173985, 0.193155, 0.206012, 0.231514, 0.245886, 0.26093, 0.290015, 0.334259, 0.36796, 0.391486, 0.40957, 0.433682, 0.465397, 0.484135, 0.517108, 0.554511, 0.584324, 0.643949, 0.72521, 0.806472");
-            values ( \
-              "0.029392, 0.0966624, 0.163767, 0.193211, 0.210845, 0.232195, 0.239283, 0.24145, 0.237046, 0.232176, 0.220077, 0.211299, 0.200226, 0.172339, 0.124147, 0.0916783, 0.07259, 0.0601008, 0.0461665, 0.0321852, 0.0258399, 0.0173584, 0.0110133, 0.00760325, 0.00350164, 0.00114133, 0.000375512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0956996, 0.119752, 0.128923, 0.143963, 0.154739, 0.172555, 0.187601, 0.20217, 0.225722, 0.250062, 0.32239, 0.38036, 0.409633, 0.46792, 0.585946, 0.669527, 0.705424, 0.753287, 0.815944, 0.852975, 0.918419, 0.992784, 1.0521, 1.13336, 1.21462, 1.25074");
-            values ( \
-              "0.166954, 0.194393, 0.221241, 0.247677, 0.257458, 0.264608, 0.265883, 0.264868, 0.261441, 0.256351, 0.238326, 0.220297, 0.208824, 0.180005, 0.114756, 0.0765744, 0.0633801, 0.0486594, 0.0339469, 0.0272706, 0.0183034, 0.0115947, 0.00799525, 0.00475748, 0.0028246, 0.00249285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.107046, 0.187939, 0.202283, 0.230205, 0.262786, 0.362726, 0.57642, 0.65627, 0.719525, 0.800787, 1.04918, 1.17392, 1.31202, 1.38591, 1.50546, 1.61259, 1.76537, 1.90101, 1.98227, 2.06354, 2.22606, 2.38858, 2.79489");
-            values ( \
-              "0.267081, 0.279832, 0.281139, 0.280224, 0.277919, 0.266876, 0.238534, 0.2256, 0.212992, 0.194049, 0.125531, 0.0946536, 0.0670215, 0.0547885, 0.0392298, 0.0288076, 0.0182886, 0.0121175, 0.00959467, 0.0073401, 0.00441701, 0.00264064, 0.000839272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.100574, 0.107126, 0.11845, 0.126708, 0.132605, 0.135211, 0.138685, 0.14152, 0.145563, 0.151482, 0.155172, 0.157939, 0.16835, 0.171447, 0.179103, 0.183528, 0.188584, 0.192978, 0.199839, 0.20883, 0.214344, 0.225371, 0.243498");
-            values ( \
-              "0.0144652, 0.0255544, 0.0674687, 0.0905079, 0.101676, 0.105229, 0.108733, 0.110422, 0.111122, 0.108253, 0.102142, 0.0947576, 0.0605866, 0.0521476, 0.0354811, 0.0280963, 0.0213304, 0.0167271, 0.0113561, 0.00680008, 0.00498316, 0.00264282, 0.000975422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.100596, 0.10954, 0.121521, 0.1291, 0.135101, 0.14444, 0.153157, 0.161761, 0.170358, 0.172061, 0.176971, 0.194848, 0.207688, 0.222253, 0.234446, 0.250577, 0.268733, 0.284562, 0.294791");
-            values ( \
-              "0.0188184, 0.0424447, 0.0972225, 0.123701, 0.139017, 0.154343, 0.159794, 0.158882, 0.151001, 0.148232, 0.137879, 0.0875005, 0.0583339, 0.0352872, 0.0225233, 0.0121356, 0.00599929, 0.00318843, 0.00239547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.100591, 0.112715, 0.122291, 0.132794, 0.142625, 0.149, 0.16175, 0.16658, 0.171945, 0.177288, 0.191511, 0.198587, 0.20652, 0.221822, 0.243569, 0.263171, 0.284777, 0.297231, 0.314937, 0.325408, 0.342586, 0.354276, 0.377211, 0.407792, 0.432891");
-            values ( \
-              "0.0299887, 0.0644902, 0.114317, 0.156429, 0.182396, 0.193118, 0.204297, 0.205605, 0.205703, 0.204744, 0.197577, 0.191623, 0.182672, 0.157592, 0.115103, 0.0821437, 0.0542375, 0.0419116, 0.0287467, 0.0227765, 0.015483, 0.0118307, 0.00695269, 0.00335253, 0.00211163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.10067, 0.117959, 0.131391, 0.137723, 0.147148, 0.162161, 0.173375, 0.191253, 0.210681, 0.223541, 0.249045, 0.263416, 0.278463, 0.307549, 0.351795, 0.385497, 0.409023, 0.427105, 0.451216, 0.482935, 0.501674, 0.534646, 0.572046, 0.601855, 0.661474, 0.742736, 0.823998");
-            values ( \
-              "0.0393507, 0.0990689, 0.164745, 0.187169, 0.211288, 0.232771, 0.239402, 0.24141, 0.236969, 0.232209, 0.220005, 0.211287, 0.200214, 0.172333, 0.124144, 0.0916771, 0.0725882, 0.0601, 0.0461682, 0.0321841, 0.0258401, 0.0173575, 0.0110128, 0.0076047, 0.00350129, 0.0011424, 0.000374657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.114343, 0.139075, 0.146511, 0.161307, 0.172305, 0.190487, 0.205345, 0.219735, 0.24329, 0.267634, 0.339955, 0.398058, 0.42721, 0.485504, 0.583606, 0.640373, 0.687113, 0.72299, 0.770826, 0.815005, 0.870597, 0.936013, 1.01033, 1.06959, 1.15086, 1.23212, 1.4759");
-            values ( \
-              "0.177645, 0.200878, 0.221606, 0.24752, 0.257488, 0.264694, 0.265853, 0.264862, 0.261406, 0.256351, 0.238319, 0.220248, 0.208821, 0.179999, 0.125199, 0.0967128, 0.0765718, 0.0633848, 0.0486703, 0.0378132, 0.0272634, 0.0183019, 0.0115973, 0.00799955, 0.00476005, 0.00282614, 0.000585559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.124445, 0.205425, 0.219766, 0.280398, 0.379969, 0.593913, 0.673767, 0.73702, 0.818282, 1.06668, 1.19141, 1.32952, 1.40341, 1.52296, 1.62609, 1.78033, 1.91842, 1.99968, 2.08094, 2.24346, 2.40599, 2.81229");
-            values ( \
-              "0.266414, 0.279822, 0.281112, 0.277888, 0.266896, 0.238532, 0.225598, 0.212991, 0.194049, 0.125529, 0.0946512, 0.0670238, 0.0547849, 0.0392258, 0.029146, 0.0184268, 0.0121181, 0.00959973, 0.0073396, 0.00441599, 0.00263939, 0.000840939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.142059, 0.148774, 0.15716, 0.160002, 0.165381, 0.172146, 0.178299, 0.184252, 0.190194, 0.192365, 0.194385, 0.208135, 0.211786, 0.216539, 0.220344, 0.225418, 0.230336, 0.236772, 0.243922, 0.247455, 0.252906, 0.260175, 0.274712, 0.2969, 0.324714");
-            values ( \
-              "0.0281895, 0.0360549, 0.0678632, 0.0767439, 0.0906658, 0.103177, 0.109433, 0.111242, 0.108155, 0.105045, 0.100911, 0.0574428, 0.0480618, 0.0378068, 0.0310335, 0.0236062, 0.0180142, 0.0125472, 0.0083245, 0.00681966, 0.0050167, 0.00333128, 0.00145522, 0.000415098, 0.000109329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.141957, 0.148575, 0.162796, 0.173879, 0.183184, 0.191889, 0.200491, 0.209824, 0.21671, 0.233699, 0.247219, 0.260446, 0.273615, 0.289484, 0.306801, 0.321845, 0.336347");
-            values ( \
-              "0.0379778, 0.044868, 0.108017, 0.139419, 0.154913, 0.159638, 0.159116, 0.149815, 0.135303, 0.087175, 0.0567866, 0.035974, 0.0221564, 0.0120582, 0.00616144, 0.00338521, 0.00218728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.141804, 0.151962, 0.161239, 0.168041, 0.178848, 0.187807, 0.202171, 0.216159, 0.230128, 0.237709, 0.24538, 0.260692, 0.282425, 0.302068, 0.314315, 0.323624, 0.336036, 0.35383, 0.36435, 0.381484, 0.40074, 0.415954, 0.446382, 0.474558");
-            values ( \
-              "0.0441619, 0.0685452, 0.116172, 0.144834, 0.177078, 0.193219, 0.204982, 0.204868, 0.197882, 0.191395, 0.182646, 0.157562, 0.115123, 0.0821142, 0.065166, 0.05423, 0.0419928, 0.0287069, 0.0227649, 0.0154497, 0.00996535, 0.00699688, 0.00335438, 0.00198213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.141649, 0.157287, 0.170406, 0.179983, 0.186066, 0.198231, 0.212266, 0.230127, 0.237173, 0.249593, 0.262459, 0.287975, 0.30232, 0.317399, 0.34649, 0.390739, 0.424444, 0.447971, 0.466053, 0.490163, 0.521885, 0.540626, 0.573596, 0.610993, 0.640799, 0.700412, 0.781674, 0.862936");
-            values ( \
-              "0.0491348, 0.10388, 0.166642, 0.197824, 0.211817, 0.229936, 0.239337, 0.241284, 0.240182, 0.23685, 0.232113, 0.21993, 0.211251, 0.200173, 0.172312, 0.124135, 0.0916722, 0.0725853, 0.0600989, 0.0461682, 0.0321837, 0.0258391, 0.0173576, 0.0110136, 0.00760503, 0.00350224, 0.00114229, 0.000375012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.151364, 0.200475, 0.211294, 0.229152, 0.244176, 0.258732, 0.306645, 0.378905, 0.437995, 0.46624, 0.522731, 0.635761, 0.722954, 0.804086, 0.872, 0.908158, 0.974537, 1.05065, 1.11166, 1.26709");
-            values ( \
-              "0.149436, 0.248401, 0.257196, 0.264889, 0.265448, 0.265054, 0.256551, 0.238335, 0.219923, 0.208791, 0.180985, 0.118252, 0.077788, 0.0502892, 0.0340725, 0.0275049, 0.0183669, 0.0115107, 0.00784274, 0.0029888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.160672, 0.229318, 0.24455, 0.25902, 0.287961, 0.320049, 0.352859, 0.450081, 0.551934, 0.633196, 0.713059, 0.776308, 0.85757, 1.10596, 1.2307, 1.31196, 1.36882, 1.4427, 1.52396, 1.56941, 1.66974, 1.74113, 1.87654, 2.03906, 2.20159, 2.36411, 2.6079, 2.85168");
-            values ( \
-              "0.242595, 0.276774, 0.280097, 0.280815, 0.280349, 0.277565, 0.274409, 0.263057, 0.249933, 0.238316, 0.225395, 0.213182, 0.193858, 0.125685, 0.0948016, 0.0774731, 0.0668878, 0.0549162, 0.0437928, 0.0385232, 0.0288676, 0.0233829, 0.0155952, 0.00951083, 0.00576958, 0.0034891, 0.00164196, 0.000765801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.218548, 0.229073, 0.241324, 0.248962, 0.255892, 0.258679, 0.263119, 0.266887, 0.269168, 0.27373, 0.278165, 0.28168, 0.288358, 0.29315, 0.301708, 0.305614, 0.310822, 0.314568, 0.321897, 0.329201, 0.332814, 0.338476, 0.346024, 0.361121, 0.371916");
-            values ( \
-              "0.00289786, 0.0220126, 0.0644557, 0.0857484, 0.0990685, 0.102981, 0.107307, 0.109152, 0.109278, 0.108005, 0.102618, 0.0938873, 0.0715069, 0.0574509, 0.0376223, 0.0307471, 0.0233139, 0.018938, 0.0126365, 0.00826978, 0.00674815, 0.00490724, 0.00325706, 0.00134677, 0.000899263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.226364, 0.235786, 0.244646, 0.248859, 0.258336, 0.262204, 0.26784, 0.276713, 0.285428, 0.294136, 0.295695, 0.30061, 0.320999, 0.334189, 0.340176, 0.348158, 0.354608, 0.362882, 0.377532, 0.386816, 0.397426, 0.418646, 0.4339");
-            values ( \
-              "0.0422718, 0.0558673, 0.0948662, 0.109964, 0.135505, 0.143216, 0.151329, 0.157591, 0.15701, 0.14972, 0.147253, 0.137387, 0.0815115, 0.0534813, 0.0436052, 0.0327986, 0.0259134, 0.0190119, 0.0108091, 0.00755544, 0.00498266, 0.00209545, 0.00133835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.22594, 0.235695, 0.245682, 0.249087, 0.253396, 0.266471, 0.272863, 0.279256, 0.286984, 0.292769, 0.301497, 0.310155, 0.315804, 0.332251, 0.342241, 0.378663, 0.393465, 0.409074, 0.423911, 0.440501, 0.458262, 0.474245");
-            values ( \
-              "0.0563554, 0.0610255, 0.110446, 0.134826, 0.143408, 0.180517, 0.190358, 0.197276, 0.202689, 0.203845, 0.202916, 0.199252, 0.195511, 0.180154, 0.163882, 0.0958652, 0.0735509, 0.053945, 0.0400818, 0.027624, 0.0187847, 0.0129636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.219709, 0.241043, 0.248764, 0.258219, 0.270967, 0.282631, 0.29749, 0.315039, 0.322538, 0.335006, 0.347893, 0.373482, 0.387556, 0.40292, 0.43203, 0.476301, 0.509998, 0.53354, 0.551639, 0.57577, 0.607457, 0.626177, 0.659171, 0.696603, 0.726443, 0.786123, 0.867385, 0.948647");
-            values ( \
-              "0.018484, 0.0936798, 0.133558, 0.17213, 0.207856, 0.226578, 0.237549, 0.240216, 0.239152, 0.236145, 0.231498, 0.219623, 0.21117, 0.199995, 0.17222, 0.1241, 0.0916655, 0.0725787, 0.0600862, 0.0461473, 0.0321857, 0.0258462, 0.0173599, 0.0110108, 0.00759885, 0.00349712, 0.0011397, 0.000375078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.238674, 0.263189, 0.280942, 0.296512, 0.313588, 0.329138, 0.344153, 0.392124, 0.464128, 0.494773, 0.527421, 0.551804, 0.60057, 0.711725, 0.793005, 0.856056, 0.899868, 0.939939, 0.986809, 1.0493, 1.08989, 1.15214, 1.2334, 1.31466, 1.39592, 1.55845");
-            values ( \
-              "0.188092, 0.198476, 0.239108, 0.255616, 0.263345, 0.264714, 0.264304, 0.256183, 0.238289, 0.229389, 0.218445, 0.208753, 0.18509, 0.12326, 0.0841571, 0.0605205, 0.0474765, 0.0377493, 0.028673, 0.0196178, 0.0153137, 0.0104211, 0.0062254, 0.00369109, 0.00219643, 0.000772848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.248808, 0.314049, 0.329676, 0.344397, 0.372437, 0.405071, 0.504942, 0.637496, 0.718758, 0.798654, 0.861888, 0.94315, 1.19156, 1.3163, 1.45439, 1.52828, 1.66934, 1.75533, 1.90798, 2.0434, 2.12466, 2.28718, 2.4497, 2.69349, 2.93727");
-            values ( \
-              "0.266995, 0.275113, 0.278854, 0.280166, 0.279851, 0.277459, 0.266646, 0.249812, 0.238382, 0.225456, 0.213097, 0.193925, 0.125632, 0.0947527, 0.0669269, 0.0548826, 0.0369905, 0.0288531, 0.018351, 0.0121908, 0.00952165, 0.00577934, 0.00349783, 0.00163467, 0.000771731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.380527, 0.396823, 0.403779, 0.41967, 0.426318, 0.429568, 0.436262, 0.442571, 0.448839, 0.455104, 0.466017, 0.477654, 0.487101, 0.496775, 0.503625, 0.51114, 0.528899, 0.536224");
-            values ( \
-              "0.00149765, 0.0145925, 0.030223, 0.0742327, 0.0885124, 0.0941165, 0.102137, 0.105426, 0.103848, 0.0938396, 0.0598357, 0.0339147, 0.0205674, 0.0120733, 0.00819917, 0.00539692, 0.00200187, 0.00143964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.380862, 0.399795, 0.423579, 0.431375, 0.441396, 0.45054, 0.459463, 0.468542, 0.473662, 0.497054, 0.509036, 0.519482, 0.533794, 0.553227, 0.580126, 0.585831");
-            values ( \
-              "0.00380101, 0.0251444, 0.106777, 0.12746, 0.145846, 0.15331, 0.15423, 0.147319, 0.138666, 0.0772055, 0.0526555, 0.0367754, 0.0218128, 0.00997633, 0.00357327, 0.00321654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.000178464, 0.227506, 0.381021, 0.400554, 0.436608, 0.446382, 0.461288, 0.475589, 0.489885, 0.505279, 0.567792, 0.59521, 0.616518, 0.64377, 0.673067, 0.692401");
-            values ( \
-              "1e-22, 0.000856356, 0.000514342, 0.0314122, 0.164903, 0.184551, 0.199544, 0.200922, 0.194801, 0.180212, 0.0741735, 0.0433215, 0.0275898, 0.0150463, 0.00772467, 0.00506623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.386106, 0.411658, 0.427267, 0.44417, 0.455854, 0.471235, 0.489511, 0.5092, 0.522246, 0.548148, 0.570183, 0.592907, 0.68275, 0.729027, 0.774364, 0.807878, 0.837322, 0.889965, 0.935502, 0.973606");
-            values ( \
-              "0.0141035, 0.0795368, 0.14926, 0.201354, 0.221618, 0.234492, 0.237593, 0.233387, 0.228599, 0.216634, 0.203526, 0.185405, 0.0939332, 0.05887, 0.035561, 0.0240388, 0.016856, 0.00885604, 0.00497029, 0.00340323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.393559, 0.421493, 0.433926, 0.452885, 0.470167, 0.479488, 0.497487, 0.518423, 0.542356, 0.592711, 0.657057, 0.697435, 0.727561, 0.785932, 0.903993, 0.940851, 0.98763, 1.02355, 1.07144, 1.13406, 1.17107, 1.23655, 1.31095, 1.3703, 1.45156, 1.53282, 1.61409, 1.77661");
-            values ( \
-              "0.0525605, 0.126494, 0.178954, 0.229704, 0.251369, 0.256936, 0.261504, 0.260892, 0.257303, 0.248037, 0.232584, 0.220055, 0.208469, 0.179842, 0.114729, 0.0967058, 0.0765562, 0.0633628, 0.0486471, 0.0339432, 0.0272762, 0.0183013, 0.0115909, 0.00799391, 0.00475411, 0.00282533, 0.00166992, 0.000583432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.415646, 0.451457, 0.470941, 0.488497, 0.504196, 0.518952, 0.545452, 0.599533, 0.642752, 0.700379, 0.813588, 0.894849, 0.974908, 1.03807, 1.11933, 1.36779, 1.49254, 1.5738, 1.63059, 1.7045, 1.78576, 1.84558, 1.93162, 2.00299, 2.08425, 2.21963, 2.30089, 2.46342, 2.62594, 2.86973, 3.11351");
-            values ( \
-              "0.224858, 0.233613, 0.261494, 0.272298, 0.275826, 0.27682, 0.276073, 0.273471, 0.269921, 0.263752, 0.249617, 0.238271, 0.225366, 0.213054, 0.193897, 0.125625, 0.0947472, 0.0775076, 0.066929, 0.0548863, 0.0438277, 0.0369908, 0.0288498, 0.0233919, 0.0183504, 0.0121923, 0.00952006, 0.00577777, 0.00349625, 0.0016363, 0.000770082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.715852, 0.737011, 0.743143, 0.74874, 0.765047, 0.7784, 0.786151, 0.793254, 0.800151, 0.807034, 0.819062, 0.829611, 0.835245, 0.84093, 0.85189, 0.860566, 0.865623, 0.875738, 0.895291, 0.898028");
-            values ( \
-              "0.000853344, 0.0115369, 0.0182376, 0.0271826, 0.057821, 0.0798523, 0.0894247, 0.0948117, 0.0956533, 0.0885026, 0.055592, 0.0339645, 0.0255812, 0.0189735, 0.0104941, 0.00651435, 0.00494622, 0.00284605, 0.000966415, 0.000889824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.715762, 0.740625, 0.748155, 0.753498, 0.779919, 0.791367, 0.801424, 0.810955, 0.820489, 0.830007, 0.848745, 0.858224, 0.869114, 0.876982, 0.88786, 0.894095, 0.90641, 0.91349, 0.92765, 0.955136, 0.987491");
-            values ( \
-              "0.00187248, 0.0201656, 0.0335367, 0.0453214, 0.109206, 0.130435, 0.141725, 0.145702, 0.142025, 0.126632, 0.0781723, 0.0583392, 0.0406065, 0.0307126, 0.0206403, 0.0163437, 0.0102215, 0.00777998, 0.00447345, 0.00139829, 0.000363902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.715833, 0.746832, 0.759366, 0.776877, 0.79018, 0.796554, 0.809303, 0.812518, 0.81895, 0.827375, 0.842131, 0.851651, 0.857517, 0.869248, 0.899939, 0.921438, 0.939272, 0.951719, 0.97293, 0.988173, 1.00852, 1.02219, 1.04953, 1.07293");
-            values ( \
-              "0.00101683, 0.0359152, 0.067768, 0.120406, 0.155139, 0.168277, 0.18615, 0.189035, 0.19296, 0.195096, 0.191984, 0.185491, 0.179525, 0.162604, 0.105497, 0.0720263, 0.0509037, 0.0393558, 0.0249614, 0.0177692, 0.0112205, 0.0081988, 0.00427526, 0.00279796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.741874, 0.769958, 0.785942, 0.794454, 0.811478, 0.823153, 0.846371, 0.854253, 0.86435, 0.874671, 0.895313, 0.913566, 0.930045, 0.959285, 1.00383, 1.03757, 1.0613, 1.07959, 1.10398, 1.13539, 1.15393, 1.18715, 1.22849, 1.25371, 1.27964, 1.31315, 1.35892");
-            values ( \
-              "0.0603054, 0.108281, 0.160484, 0.183287, 0.215361, 0.227089, 0.235487, 0.234883, 0.232774, 0.229648, 0.22079, 0.210538, 0.198841, 0.17132, 0.123464, 0.0913479, 0.0722959, 0.0598049, 0.0458863, 0.0321652, 0.0259342, 0.0174042, 0.0104672, 0.00772343, 0.0056157, 0.00371345, 0.00222464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.750692, 0.778309, 0.796612, 0.802681, 0.813332, 0.821811, 0.838768, 0.855285, 0.870529, 0.894429, 0.918849, 0.961051, 1.0179, 1.04868, 1.07984, 1.13859, 1.22752, 1.27122, 1.33487, 1.36771, 1.41659, 1.47068, 1.49361, 1.53552, 1.59453, 1.63256, 1.70351, 1.78477, 1.86603, 1.94729, 2.02855, 2.10982");
-            values ( \
-              "0.124368, 0.142919, 0.199719, 0.213724, 0.233106, 0.243712, 0.25658, 0.261209, 0.261908, 0.259261, 0.254552, 0.244345, 0.228675, 0.218745, 0.206953, 0.178679, 0.129464, 0.107283, 0.0788478, 0.0665453, 0.0509848, 0.037462, 0.0328495, 0.0255454, 0.0179031, 0.0141218, 0.0090802, 0.00547248, 0.00318954, 0.00195136, 0.00109471, 0.000708792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.750393, 0.786247, 0.799682, 0.821894, 0.840307, 0.856103, 0.87039, 0.896275, 0.927983, 0.964956, 1.14434, 1.27459, 1.36176, 1.45131, 1.524, 1.72365, 1.78945, 1.87072, 1.94528, 2.01737, 2.08065, 2.18543, 2.23245, 2.31372, 2.37521, 2.48681, 2.62876, 2.79128, 2.9538, 3.19759, 3.52264");
-            values ( \
-              "0.117267, 0.174126, 0.213379, 0.253346, 0.269332, 0.275476, 0.2779, 0.278399, 0.276136, 0.2721, 0.250209, 0.233227, 0.2187, 0.199087, 0.180175, 0.125016, 0.10819, 0.0892736, 0.0740498, 0.0612994, 0.0516396, 0.0384972, 0.0336374, 0.0265476, 0.022133, 0.015847, 0.0102994, 0.0062525, 0.0037826, 0.00178009, 0.000649493" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00975123, 0.00976372, 0.00977847, 0.00979166, 0.00980064, 0.00980605", \
-            "0.0118, 0.0118075, 0.0118185, 0.0118309, 0.0118413, 0.011848", \
-            "0.0129961, 0.0129979, 0.0130018, 0.0130083, 0.0130157, 0.0130216", \
-            "0.0136796, 0.0136794, 0.0136796, 0.0136809, 0.0136836, 0.0136871", \
-            "0.0140578, 0.0140568, 0.0140551, 0.0140531, 0.0140516, 0.0140514", \
-            "0.0143037, 0.0143032, 0.0143023, 0.0143007, 0.0142984, 0.0142962" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0141931, 0.0142005, 0.0142147, 0.0142336, 0.0142512, 0.0142631", \
-            "0.0148673, 0.0148611, 0.0148573, 0.0148601, 0.0148682, 0.0148768", \
-            "0.0147709, 0.0147626, 0.0147494, 0.0147345, 0.0147243, 0.0147203", \
-            "0.0146793, 0.0146759, 0.0146681, 0.0146537, 0.0146348, 0.014619", \
-            "0.0153018, 0.0153349, 0.0153622, 0.0153798, 0.0153805, 0.0153778", \
-            "0.0154276, 0.0154253, 0.0154299, 0.0155133, 0.0155713, 0.0155967" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0994038, 0.108167, 0.117254, 0.122723, 0.127678, 0.132328, 0.136849, 0.141366, 0.144558, 0.158238, 0.161997, 0.168397, 0.175983, 0.18237");
-            values ( \
-              "-0.024999, -0.0760826, -0.111948, -0.127283, -0.137601, -0.144002, -0.146307, -0.140778, -0.12909, -0.0425448, -0.0283673, -0.0136683, -0.00557123, -0.00289459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.098432, 0.107073, 0.115173, 0.120461, 0.124356, 0.131916, 0.13888, 0.145516, 0.152051, 0.158583, 0.161119, 0.163473, 0.179629, 0.186049, 0.192546, 0.197584, 0.203017, 0.207215, 0.215611, 0.223608");
-            values ( \
-              "-0.00457011, -0.0883001, -0.136052, -0.15776, -0.171059, -0.190885, -0.203116, -0.209597, -0.209886, -0.198984, -0.190826, -0.179904, -0.0788031, -0.0516358, -0.0328197, -0.0227894, -0.01533, -0.0112272, -0.00592279, -0.00367283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0982896, 0.108275, 0.11455, 0.122639, 0.130351, 0.135798, 0.147361, 0.158199, 0.168761, 0.179314, 0.189898, 0.191292, 0.196869, 0.213071, 0.219568, 0.226291, 0.238417, 0.244443, 0.25034, 0.261906, 0.268578, 0.281921, 0.305963, 0.334115");
-            values ( \
-              "-0.000105696, -0.114641, -0.156788, -0.195599, -0.222495, -0.236726, -0.258422, -0.269421, -0.273176, -0.268363, -0.250903, -0.247438, -0.227723, -0.147638, -0.118979, -0.0938438, -0.0594032, -0.0469621, -0.0371116, -0.0231576, -0.0175849, -0.00996526, -0.00330606, -0.000857737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0980005, 0.111766, 0.121456, 0.12917, 0.144068, 0.155407, 0.17116, 0.181842, 0.193372, 0.21197, 0.23061, 0.243937, 0.251231, 0.265821, 0.310101, 0.33686, 0.353644, 0.365405, 0.388519, 0.40219, 0.429532, 0.45376");
-            values ( \
-              "-0.0181392, -0.155776, -0.211608, -0.242237, -0.281916, -0.300581, -0.315765, -0.320737, -0.322668, -0.319738, -0.308462, -0.293731, -0.281864, -0.246196, -0.121464, -0.0727287, -0.0518933, -0.0407174, -0.0250314, -0.0187028, -0.0102466, -0.00668427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0973779, 0.117921, 0.128269, 0.133532, 0.144056, 0.154591, 0.170354, 0.191672, 0.213651, 0.227027, 0.253779, 0.279255, 0.298378, 0.334673, 0.351763, 0.369992, 0.387659, 0.411217, 0.447509, 0.480602, 0.501226, 0.531819, 0.557183, 0.578511, 0.602782, 0.637202, 0.672898, 0.700225, 0.754878, 0.817474, 0.880071, 0.942667");
-            values ( \
-              "-0.0378495, -0.205515, -0.253291, -0.270505, -0.298848, -0.317931, -0.337633, -0.350748, -0.355451, -0.355441, -0.353085, -0.347479, -0.341474, -0.326533, -0.316154, -0.301606, -0.281824, -0.246692, -0.188365, -0.141976, -0.117782, -0.0881814, -0.068743, -0.0555466, -0.0433742, -0.0303164, -0.0208783, -0.01563, -0.0086132, -0.00430383, -0.0021443, -0.00106667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.109575, 0.13785, 0.154687, 0.169424, 0.187206, 0.201742, 0.221124, 0.262222, 0.332309, 0.44905, 0.534235, 0.592981, 0.635962, 0.698558, 0.791714, 0.891964, 0.949943, 1.00668, 1.05659, 1.10513, 1.16773, 1.22053, 1.3148, 1.3774, 1.44, 1.56519, 1.81557");
-            values ( \
-              "-0.260522, -0.292165, -0.328447, -0.348421, -0.36208, -0.368536, -0.373477, -0.375178, -0.369617, -0.354154, -0.337754, -0.320701, -0.301642, -0.259466, -0.185147, -0.120546, -0.092352, -0.0705715, -0.055369, -0.0434166, -0.031765, -0.0242129, -0.0148972, -0.0108532, -0.00774882, -0.00400478, -0.00104321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.119842, 0.123206, 0.128495, 0.137498, 0.142981, 0.147934, 0.152587, 0.15711, 0.161627, 0.16482, 0.178502, 0.182262, 0.188663, 0.196249, 0.19887");
-            values ( \
-              "-0.0373998, -0.0455246, -0.076614, -0.111741, -0.127303, -0.1375, -0.143992, -0.146233, -0.14077, -0.129055, -0.0425335, -0.028354, -0.0136729, -0.00557755, -0.00447529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.114671, 0.140144, 0.144587, 0.152165, 0.159122, 0.165761, 0.1723, 0.178834, 0.181367, 0.183723, 0.19988, 0.206303, 0.212803, 0.21784, 0.223272, 0.227469, 0.235863, 0.240686");
-            values ( \
-              "-0.00256726, -0.15567, -0.170704, -0.190897, -0.20287, -0.209611, -0.209707, -0.199016, -0.190789, -0.179871, -0.0788104, -0.0516341, -0.0328137, -0.0227873, -0.0153306, -0.0112274, -0.00592544, -0.00456757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.114166, 0.14308, 0.145016, 0.151654, 0.156074, 0.164914, 0.173056, 0.178466, 0.189026, 0.199587, 0.210174, 0.211561, 0.217108, 0.233345, 0.239845, 0.246571, 0.258697, 0.264718, 0.270617, 0.282186, 0.288861, 0.302211, 0.326247, 0.354395");
-            values ( \
-              "-0.00493567, -0.195497, -0.203698, -0.225197, -0.236653, -0.254093, -0.264647, -0.269235, -0.272991, -0.268292, -0.250799, -0.247393, -0.227835, -0.147642, -0.118971, -0.0938326, -0.0593976, -0.0469692, -0.0371149, -0.0231568, -0.0175823, -0.00996081, -0.00330601, -0.000857863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.113189, 0.149321, 0.154373, 0.164478, 0.175646, 0.194963, 0.213617, 0.232217, 0.250859, 0.264182, 0.271481, 0.286078, 0.33035, 0.357159, 0.373842, 0.385588, 0.408768, 0.42249, 0.449935, 0.471004");
-            values ( \
-              "-0.0196624, -0.24165, -0.258097, -0.281984, -0.300768, -0.317917, -0.322796, -0.319552, -0.308588, -0.293727, -0.281843, -0.246174, -0.121465, -0.0726601, -0.05195, -0.0407736, -0.025031, -0.0186838, -0.0102098, -0.00712388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.12426, 0.153631, 0.174688, 0.190075, 0.206287, 0.222707, 0.24709, 0.282392, 0.318467, 0.346817, 0.374058, 0.395939, 0.436926, 0.469348, 0.51595, 0.56288, 0.609428, 0.643008, 0.664512, 0.715953, 0.774743, 0.836726");
-            values ( \
-              "-0.157054, -0.271182, -0.318467, -0.33678, -0.348421, -0.353861, -0.355832, -0.351344, -0.34149, -0.330394, -0.3147, -0.295654, -0.238114, -0.18614, -0.124075, -0.0794824, -0.0499598, -0.0352897, -0.0282467, -0.0164066, -0.00864237, -0.00433735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.134353, 0.174899, 0.191041, 0.207555, 0.221686, 0.240529, 0.261672, 0.317265, 0.444679, 0.497524, 0.566131, 0.62159, 0.656145, 0.718741, 0.811824, 0.90245, 0.970212, 1.02686, 1.07669, 1.12524, 1.18784, 1.24071, 1.33502, 1.39762, 1.58541, 1.59558");
-            values ( \
-              "-0.31522, -0.328991, -0.349409, -0.362632, -0.36884, -0.372956, -0.375232, -0.373058, -0.357937, -0.349422, -0.33489, -0.317312, -0.301864, -0.259216, -0.185329, -0.125888, -0.0924162, -0.0704463, -0.055277, -0.0435326, -0.031665, -0.024305, -0.0149838, -0.0107585, -0.00409251, -0.00397206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.16123, 0.171121, 0.17537, 0.181935, 0.18742, 0.192369, 0.197031, 0.201557, 0.206078, 0.209192, 0.222757, 0.226957, 0.232224, 0.237594, 0.243399");
-            values ( \
-              "-0.000415185, -0.0658841, -0.0884844, -0.112243, -0.127252, -0.137458, -0.143738, -0.146224, -0.1406, -0.1294, -0.0434672, -0.0276401, -0.0151728, -0.00810471, -0.00412233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.161703, 0.180176, 0.185771, 0.19557, 0.198693, 0.207655, 0.210201, 0.215294, 0.219607, 0.223422, 0.230195, 0.24048, 0.247397, 0.253196, 0.261943, 0.265925, 0.273998, 0.284761, 0.292418");
-            values ( \
-              "-0.0118061, -0.136629, -0.159903, -0.188416, -0.194843, -0.207405, -0.209311, -0.210237, -0.206336, -0.198325, -0.168041, -0.0998996, -0.0647749, -0.0438544, -0.0234736, -0.0174526, -0.00955557, -0.00423006, -0.00268455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.162283, 0.176586, 0.179445, 0.187542, 0.194049, 0.200713, 0.212285, 0.223127, 0.233694, 0.244251, 0.254839, 0.25623, 0.261796, 0.278013, 0.284512, 0.291236, 0.303363, 0.309389, 0.315287, 0.326854, 0.333527, 0.346872, 0.370913, 0.399067");
-            values ( \
-              "-0.00226052, -0.138901, -0.156603, -0.195347, -0.218555, -0.236572, -0.258262, -0.269308, -0.273055, -0.268282, -0.250826, -0.247382, -0.227739, -0.147627, -0.118966, -0.0938342, -0.059398, -0.0469607, -0.03711, -0.0231561, -0.0175835, -0.00996382, -0.00330592, -0.000857639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.162708, 0.17686, 0.186524, 0.194061, 0.199189, 0.209445, 0.220457, 0.236501, 0.246323, 0.25843, 0.277035, 0.295676, 0.308981, 0.316296, 0.330927, 0.354547, 0.377776, 0.388235, 0.40218, 0.412717, 0.429877, 0.446544, 0.453572, 0.467628, 0.495741, 0.542494, 0.597791");
-            values ( \
-              "-0.0126218, -0.156591, -0.211555, -0.242019, -0.257766, -0.282753, -0.30043, -0.316039, -0.320576, -0.322572, -0.319746, -0.308373, -0.293724, -0.28184, -0.246063, -0.174293, -0.115781, -0.0950807, -0.0723633, -0.0586068, -0.0412255, -0.0290346, -0.0250354, -0.0185502, -0.00997951, -0.00328831, -0.000825162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.172136, 0.191231, 0.198419, 0.210127, 0.219474, 0.234929, 0.25655, 0.265947, 0.291889, 0.306185, 0.327203, 0.372352, 0.400695, 0.43664, 0.449317, 0.466221, 0.519125, 0.559238, 0.582107, 0.606501, 0.628807, 0.654126, 0.687885, 0.709189, 0.731046, 0.760189, 0.818475, 0.881072, 1.00626");
-            values ( \
-              "-0.215064, -0.245393, -0.270988, -0.301213, -0.318295, -0.337051, -0.350471, -0.353358, -0.355649, -0.354545, -0.351277, -0.338412, -0.325716, -0.299962, -0.286018, -0.262456, -0.178391, -0.125541, -0.101499, -0.0802232, -0.0643681, -0.0498891, -0.0351998, -0.0282048, -0.022444, -0.0164835, -0.00871175, -0.00435227, -0.0010781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.178681, 0.21977, 0.235833, 0.252515, 0.266361, 0.284821, 0.306255, 0.36213, 0.397402, 0.458939, 0.542354, 0.619056, 0.681652, 0.701161, 0.740178, 0.841304, 0.937523, 1.00823, 1.06901, 1.12663, 1.18922, 1.24574, 1.30529, 1.43048, 1.55567, 1.61827, 1.65289");
-            values ( \
-              "-0.306592, -0.329097, -0.349395, -0.362715, -0.368779, -0.372888, -0.375209, -0.373037, -0.369246, -0.362199, -0.349409, -0.332766, -0.311072, -0.301867, -0.276987, -0.196772, -0.131441, -0.0954508, -0.0713163, -0.053858, -0.0395412, -0.0295821, -0.0218396, -0.0114037, -0.00590681, -0.00437347, -0.00393764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.257781, 0.261914, 0.267922, 0.27735, 0.282995, 0.288049, 0.29277, 0.297346, 0.301915, 0.304383, 0.31859, 0.322951, 0.328214, 0.333299, 0.339111, 0.34205");
-            values ( \
-              "-0.0293836, -0.0392599, -0.0709318, -0.107884, -0.124299, -0.13523, -0.142107, -0.144816, -0.139671, -0.131512, -0.043648, -0.0273071, -0.0150038, -0.00832681, -0.00415278, -0.00326756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.253531, 0.28106, 0.284738, 0.292453, 0.299496, 0.306191, 0.312771, 0.319505, 0.324164, 0.336565, 0.345489, 0.350723, 0.358773, 0.372323, 0.383343");
-            values ( \
-              "-0.0021145, -0.154065, -0.166994, -0.188099, -0.201012, -0.208017, -0.208682, -0.19777, -0.179744, -0.0996041, -0.0564943, -0.0394785, -0.0221643, -0.00805398, -0.0037164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.260719, 0.268361, 0.275091, 0.283464, 0.289607, 0.296845, 0.308509, 0.319402, 0.330003, 0.340593, 0.351198, 0.352555, 0.357985, 0.374362, 0.380877, 0.387612, 0.396592, 0.39974, 0.40574, 0.411646, 0.42323, 0.429918, 0.443294, 0.467319, 0.495456");
-            values ( \
-              "-0.0452271, -0.104711, -0.149987, -0.191039, -0.213474, -0.23433, -0.256602, -0.268454, -0.272232, -0.267938, -0.250381, -0.247184, -0.228253, -0.147644, -0.118933, -0.0937848, -0.067019, -0.0593734, -0.0469935, -0.0371258, -0.0231532, -0.0175716, -0.00994379, -0.00330352, -0.000857822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.260697, 0.275485, 0.282757, 0.295622, 0.307899, 0.317013, 0.332864, 0.343466, 0.355079, 0.373702, 0.392357, 0.405566, 0.412975, 0.427792, 0.472715, 0.485922, 0.501017, 0.520958, 0.537383, 0.54992, 0.568346, 0.592913, 0.622791");
-            values ( \
-              "-0.049438, -0.167008, -0.206779, -0.255198, -0.284903, -0.299311, -0.315182, -0.320241, -0.322261, -0.31953, -0.308276, -0.293778, -0.281781, -0.245498, -0.119575, -0.0932733, -0.0693129, -0.0462886, -0.032836, -0.0252222, -0.0170385, -0.00994747, -0.00572309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.269442, 0.307919, 0.316807, 0.331329, 0.348544, 0.353925, 0.364686, 0.389269, 0.407625, 0.424596, 0.469394, 0.498093, 0.535966, 0.5515, 0.566743, 0.623417, 0.660746, 0.695352, 0.719981, 0.740048, 0.764614, 0.79926, 0.83581, 0.863885, 0.920037, 0.982633, 0.996338");
-            values ( \
-              "-0.207078, -0.301263, -0.317617, -0.335698, -0.348057, -0.350253, -0.353506, -0.35561, -0.354065, -0.351177, -0.338522, -0.3257, -0.298075, -0.279934, -0.257638, -0.168291, -0.120902, -0.0871859, -0.0684575, -0.0560209, -0.0436101, -0.0304166, -0.0207608, -0.0154122, -0.00834953, -0.00416747, -0.00382409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.276239, 0.313319, 0.337836, 0.354201, 0.376642, 0.424373, 0.494506, 0.611219, 0.69645, 0.755168, 0.798149, 0.860745, 0.953912, 1.05415, 1.1121, 1.16886, 1.26733, 1.38272, 1.53957, 1.69473");
-            values ( \
-              "-0.321566, -0.321648, -0.353909, -0.364395, -0.372317, -0.375141, -0.369556, -0.354119, -0.337714, -0.320674, -0.301653, -0.259465, -0.18514, -0.120555, -0.0923739, -0.070566, -0.0434235, -0.0242255, -0.0108414, -0.00520368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.44773, 0.460541, 0.46622, 0.474405, 0.480936, 0.486703, 0.492002, 0.49708, 0.50212, 0.507158, 0.518502, 0.523226, 0.525604, 0.530625, 0.535978, 0.542095, 0.550155, 0.550796");
-            values ( \
-              "-0.00374858, -0.0461727, -0.0691246, -0.0921022, -0.108066, -0.119597, -0.127271, -0.131421, -0.12883, -0.112597, -0.0473204, -0.0293327, -0.0227318, -0.013042, -0.00715817, -0.00351927, -0.00144614, -0.00142363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.451127, 0.468267, 0.482887, 0.490764, 0.49935, 0.506645, 0.509479, 0.513707, 0.520746, 0.524301, 0.52797, 0.54348, 0.550377, 0.555908, 0.560614, 0.566907, 0.571704, 0.581296, 0.589213");
-            values ( \
-              "-0.0180279, -0.0945824, -0.146375, -0.166823, -0.183112, -0.192066, -0.194157, -0.195094, -0.187917, -0.177947, -0.161095, -0.0724635, -0.0462045, -0.0315926, -0.0226684, -0.0144706, -0.0102154, -0.00499037, -0.0031859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.451775, 0.463731, 0.471943, 0.481719, 0.490497, 0.496716, 0.509425, 0.521037, 0.53221, 0.543251, 0.546721, 0.550191, 0.554615, 0.559555, 0.588092, 0.602528, 0.61393, 0.622842, 0.63226, 0.639499, 0.653976, 0.664698");
-            values ( \
-              "-0.0118114, -0.0840278, -0.125495, -0.166887, -0.195858, -0.212588, -0.238393, -0.253191, -0.260188, -0.258619, -0.255338, -0.251182, -0.242632, -0.229496, -0.10343, -0.0608848, -0.0389119, -0.0272026, -0.0185395, -0.013662, -0.00742439, -0.00524664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.45375, 0.474803, 0.481479, 0.490519, 0.495899, 0.506658, 0.519005, 0.539377, 0.54473, 0.550083, 0.564318, 0.575617, 0.581766, 0.589244, 0.596923, 0.61228, 0.61727, 0.627251, 0.660894, 0.673895, 0.68361, 0.693656, 0.707031, 0.719015, 0.734994, 0.748584, 0.76688, 0.791274, 0.837462, 0.89125");
-            values ( \
-              "-0.0264895, -0.149817, -0.18118, -0.214925, -0.231949, -0.25908, -0.282207, -0.305043, -0.308617, -0.311092, -0.314922, -0.314915, -0.313473, -0.310295, -0.305319, -0.28873, -0.280676, -0.258357, -0.159314, -0.126676, -0.105878, -0.0873938, -0.0670986, -0.0526924, -0.0378405, -0.0284377, -0.019292, -0.0113247, -0.00379859, -0.00100319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.461084, 0.478041, 0.487412, 0.495939, 0.509634, 0.518849, 0.537279, 0.550144, 0.56203, 0.571006, 0.581499, 0.593502, 0.617509, 0.629041, 0.646875, 0.665212, 0.702683, 0.718075, 0.735667, 0.742761, 0.75695, 0.819947, 0.860948, 0.887178, 0.915157, 0.931, 0.948755, 0.972428, 1.00551, 1.01944, 1.0473, 1.10302, 1.16562, 1.22821, 1.29081");
-            values ( \
-              "-0.124099, -0.170818, -0.213134, -0.243259, -0.280162, -0.298556, -0.32469, -0.335867, -0.342898, -0.346995, -0.350146, -0.351973, -0.351635, -0.350031, -0.346355, -0.341155, -0.325604, -0.316422, -0.302635, -0.295658, -0.278577, -0.180038, -0.125805, -0.0985305, -0.0750949, -0.0641982, -0.0537257, -0.0421841, -0.0299035, -0.025853, -0.0192805, -0.0105084, -0.00526429, -0.002617, -0.00130918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.460475, 0.490768, 0.504631, 0.525462, 0.543802, 0.564141, 0.58742, 0.607599, 0.629273, 0.664291, 0.699522, 0.801896, 0.844667, 0.907263, 0.949215, 1.00322, 1.06582, 1.1591, 1.25923, 1.317, 1.37392, 1.42402, 1.47251, 1.53511, 1.5878, 1.682, 1.74459, 1.93238, 1.94511");
-            values ( \
-              "-0.112588, -0.23238, -0.276444, -0.319373, -0.342716, -0.358406, -0.368607, -0.372465, -0.373649, -0.372389, -0.369251, -0.356208, -0.349224, -0.336209, -0.32434, -0.301755, -0.25941, -0.185136, -0.120608, -0.0925009, -0.0705491, -0.0552942, -0.0434398, -0.0317119, -0.024253, -0.014941, -0.0108241, -0.00404152, -0.0038908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.840819, 0.853021, 0.856824, 0.86123, 0.880283, 0.895948, 0.902511, 0.90866, 0.914661, 0.921567, 0.93075, 0.936563, 0.944232, 0.949552, 0.95391, 0.962626, 0.974061, 0.983474");
-            values ( \
-              "-0.00688284, -0.018073, -0.0231586, -0.030468, -0.0707669, -0.0958201, -0.10389, -0.109073, -0.109746, -0.0953402, -0.0527812, -0.031566, -0.0146716, -0.00842505, -0.00529236, -0.00201877, -0.000643875, -0.000562177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.846168, 0.863565, 0.883132, 0.901542, 0.910962, 0.919598, 0.92779, 0.935905, 0.944012, 0.957714, 0.964803, 0.970219, 0.979008, 0.985551, 0.994275, 1.00886, 1.01912");
-            values ( \
-              "-0.0136413, -0.0458451, -0.0984041, -0.137594, -0.153027, -0.164182, -0.170094, -0.167802, -0.146761, -0.0800216, -0.0527177, -0.0373923, -0.0206905, -0.0131388, -0.00704983, -0.00228904, -0.0017343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.847579, 0.868882, 0.888689, 0.907302, 0.922275, 0.93559, 0.948057, 0.960139, 0.972707, 0.977287, 0.981266, 1.00906, 1.02138, 1.02793, 1.03666, 1.05118, 1.06133, 1.08163, 1.08359");
-            values ( \
-              "-0.012299, -0.0697351, -0.130783, -0.176943, -0.205537, -0.224299, -0.235091, -0.238973, -0.228258, -0.218682, -0.206419, -0.0945774, -0.0606966, -0.0474047, -0.0337005, -0.018868, -0.0124414, -0.005157, -0.00489133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.84937, 0.897059, 0.906247, 0.922906, 0.933196, 0.953776, 0.959861, 0.976572, 0.993764, 0.996669, 1.00248, 1.01666, 1.02177, 1.032, 1.03756, 1.04831, 1.08132, 1.0981, 1.11847, 1.13176, 1.14852, 1.16721, 1.17994, 1.205, 1.21595, 1.2415, 1.26658");
-            values ( \
-              "-0.0149892, -0.167081, -0.191978, -0.230207, -0.248995, -0.277488, -0.28343, -0.295129, -0.300295, -0.300428, -0.300129, -0.29535, -0.29207, -0.282264, -0.274546, -0.252774, -0.159256, -0.118735, -0.0808428, -0.0622041, -0.0442806, -0.0299497, -0.0229225, -0.0134175, -0.0106164, -0.00623097, -0.00396978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.851156, 0.899171, 0.92066, 0.932943, 0.956839, 0.975291, 1.01, 1.0316, 1.04964, 1.08693, 1.10423, 1.12415, 1.16237, 1.17767, 1.19312, 1.25855, 1.28526, 1.31406, 1.34413, 1.37726, 1.39945, 1.44207, 1.46566, 1.51284, 1.57544, 1.61657");
-            values ( \
-              "-0.0194689, -0.182024, -0.238974, -0.264161, -0.30185, -0.320241, -0.339679, -0.34292, -0.343225, -0.337005, -0.331456, -0.322903, -0.296232, -0.278879, -0.256781, -0.156755, -0.12366, -0.0944544, -0.0703972, -0.0505315, -0.0402646, -0.025835, -0.0201608, -0.0121271, -0.00607623, -0.00407346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.877205, 0.916814, 0.945241, 0.976248, 1.00941, 1.04194, 1.066, 1.09356, 1.12104, 1.17601, 1.26703, 1.30165, 1.34373, 1.40632, 1.42588, 1.465, 1.56596, 1.62283, 1.66234, 1.73252, 1.79363, 1.86057, 1.90655, 1.96915, 2.0465, 2.1091, 2.1717, 2.29689, 2.42208, 2.60987");
-            values ( \
-              "-0.204223, -0.236131, -0.295041, -0.334346, -0.35646, -0.365643, -0.367741, -0.36764, -0.366099, -0.36055, -0.348505, -0.342274, -0.33249, -0.311167, -0.301683, -0.277006, -0.196921, -0.156063, -0.131487, -0.0955651, -0.0714362, -0.0515659, -0.0410233, -0.0298926, -0.0201518, -0.0145871, -0.0105426, -0.00548767, -0.00284907, -0.00106253" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0100185, 0.0100313, 0.0100471, 0.0100626, 0.0100741, 0.0100813", \
-            "0.0116454, 0.0116507, 0.0116593, 0.01167, 0.0116799, 0.011687", \
-            "0.0125152, 0.0125161, 0.0125183, 0.0125225, 0.0125282, 0.0125333", \
-            "0.0129868, 0.0129869, 0.012987, 0.0129878, 0.0129895, 0.0129921", \
-            "0.0132105, 0.0132104, 0.0132103, 0.0132101, 0.0132101, 0.0132106", \
-            "0.0133187, 0.0133185, 0.0133181, 0.0133175, 0.0133169, 0.0133164" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0133352, 0.0133352, 0.0133402, 0.0133459, 0.0133513, 0.0133571", \
-            "0.013986, 0.0139822, 0.0139786, 0.0139761, 0.0139749, 0.0139743", \
-            "0.0140467, 0.0140397, 0.0140302, 0.0140204, 0.0140129, 0.0140086", \
-            "0.0138909, 0.0138838, 0.0138719, 0.0138565, 0.0138403, 0.0138279", \
-            "0.0140202, 0.014019, 0.0140158, 0.0140097, 0.0140002, 0.0139895", \
-            "0.0146136, 0.0146064, 0.0146479, 0.0146819, 0.0146972, 0.0146996" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & ~B)";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "(!A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0976667, 0.112711, 0.141539, 0.199612, 0.319702, 0.569699", \
-            "0.102259, 0.117267, 0.146022, 0.204015, 0.324038, 0.574021", \
-            "0.111034, 0.126036, 0.154796, 0.212753, 0.332713, 0.582661", \
-            "0.126971, 0.142193, 0.171195, 0.229246, 0.349288, 0.599241", \
-            "0.145091, 0.160969, 0.190406, 0.248687, 0.369134, 0.619233", \
-            "0.152315, 0.169564, 0.200056, 0.258704, 0.379141, 0.629692" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0347823, 0.0529349, 0.0917988, 0.1751, 0.351122, 0.719566", \
-            "0.0348173, 0.0529622, 0.0918035, 0.175078, 0.351115, 0.719571", \
-            "0.0348013, 0.0529583, 0.0918231, 0.175103, 0.351126, 0.719577", \
-            "0.0356837, 0.0536224, 0.0921975, 0.175259, 0.351166, 0.719597", \
-            "0.0383503, 0.055774, 0.0935401, 0.177059, 0.35154, 0.719723", \
-            "0.0443905, 0.0608303, 0.0968917, 0.17736, 0.352132, 0.720205" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.154051, 0.169736, 0.197026, 0.244732, 0.334639, 0.51533", \
-            "0.158879, 0.174737, 0.201911, 0.249645, 0.33963, 0.520291", \
-            "0.171146, 0.187027, 0.214162, 0.262111, 0.352088, 0.532788", \
-            "0.198746, 0.214655, 0.241977, 0.290148, 0.380242, 0.560935", \
-            "0.250895, 0.267843, 0.296483, 0.345402, 0.436166, 0.617044", \
-            "0.340435, 0.359319, 0.390357, 0.442373, 0.536064, 0.719424" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.036126, 0.0500189, 0.0762802, 0.128539, 0.238122, 0.473917", \
-            "0.0361375, 0.0498658, 0.0762758, 0.128535, 0.238112, 0.473743", \
-            "0.0361448, 0.0499279, 0.0763224, 0.128486, 0.238121, 0.473724", \
-            "0.0363219, 0.0500703, 0.0763584, 0.128491, 0.238127, 0.473754", \
-            "0.0397003, 0.0536255, 0.0796513, 0.131218, 0.239277, 0.474151", \
-            "0.0465603, 0.0607742, 0.0867257, 0.13748, 0.245321, 0.478062" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.080977, 0.0907642, 0.0920611, 0.0933581, 0.0947425, 0.0961269, 0.0975113, 0.0988957, 0.100203, 0.101509, 0.102816, 0.104122, 0.105415, 0.106708, 0.108001, 0.109294, 0.110586, 0.111878, 0.113171, 0.114615, 0.115223, 0.116134, 0.11735, 0.117958, 0.119174, 0.120505, 0.122501, 0.124216, 0.126314, 0.129782, 0.130588, 0.133007, 0.134416, 0.135826, 0.137236, 0.138646, 0.142967, 0.144482, 0.145996, 0.147328, 0.14866, 0.149992, 0.151325, 0.154214, 0.156042, 0.15726, 0.158288, 0.16137, 0.165704, 0.169516");
-            values ( \
-              "0.103003, 0.106846, 0.110959, 0.114753, 0.118056, 0.120816, 0.123032, 0.124705, 0.125299, 0.125733, 0.126008, 0.126122, 0.126078, 0.125878, 0.125521, 0.125008, 0.12409, 0.122916, 0.121487, 0.119585, 0.118297, 0.115913, 0.11232, 0.110365, 0.106139, 0.100996, 0.0925713, 0.0856004, 0.0774029, 0.0646681, 0.0621295, 0.0549712, 0.051115, 0.0474919, 0.0441021, 0.0409456, 0.0321373, 0.0296279, 0.0272739, 0.0253346, 0.0235132, 0.0218096, 0.0202238, 0.0170864, 0.0152732, 0.0141811, 0.0133938, 0.0112392, 0.00878656, 0.00688525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0714364, 0.0963973, 0.100768, 0.108722, 0.11645, 0.124169, 0.12706, 0.12968, 0.132469, 0.136682, 0.151407, 0.158866, 0.164239, 0.171113, 0.176449, 0.181819, 0.189016, 0.195551, 0.204265, 0.211673, 0.22182, 0.23535, 0.261388, 0.291922");
-            values ( \
-              "0.00626318, 0.156634, 0.166996, 0.177101, 0.177867, 0.172832, 0.169227, 0.165264, 0.159923, 0.149563, 0.102014, 0.0814754, 0.0684164, 0.0541608, 0.0449183, 0.0370195, 0.0283283, 0.0221475, 0.0158382, 0.0118603, 0.00797526, 0.00464994, 0.00150114, 0.000397554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0716967, 0.0998879, 0.106443, 0.113604, 0.125094, 0.12951, 0.134474, 0.13956, 0.149731, 0.159844, 0.167624, 0.182476, 0.19489, 0.205495, 0.213504, 0.223186, 0.235564, 0.245246, 0.258156, 0.267371, 0.274758, 0.284609, 0.302255, 0.310341, 0.322454, 0.33852, 0.370652, 0.419387, 0.479978");
-            values ( \
-              "0.00831563, 0.194704, 0.210005, 0.219155, 0.222804, 0.221795, 0.219797, 0.216726, 0.208861, 0.198215, 0.187447, 0.160462, 0.134369, 0.113558, 0.0990709, 0.0833139, 0.0656903, 0.0541553, 0.0415044, 0.0339825, 0.0289357, 0.0233815, 0.0155501, 0.0129234, 0.00976793, 0.00682089, 0.00301215, 0.000903598, 7.9495e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0816669, 0.094444, 0.0998957, 0.107037, 0.112908, 0.124068, 0.136712, 0.14848, 0.160411, 0.181639, 0.205374, 0.222342, 0.239118, 0.267795, 0.311793, 0.34157, 0.367985, 0.381503, 0.406185, 0.434038, 0.466947, 0.496532, 0.51545, 0.549878, 0.594932, 0.674994, 0.755056");
-            values ( \
-              "0.1011, 0.189681, 0.212811, 0.232901, 0.243322, 0.253114, 0.255307, 0.252879, 0.248731, 0.238912, 0.226081, 0.215268, 0.202165, 0.173719, 0.124822, 0.0956412, 0.073478, 0.0638474, 0.048836, 0.0356024, 0.0241812, 0.0170122, 0.0134073, 0.00874995, 0.00503119, 0.0015927, 0.000613065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0888579, 0.11336, 0.122744, 0.134292, 0.141412, 0.150906, 0.182256, 0.253305, 0.328866, 0.362844, 0.38781, 0.437741, 0.547927, 0.633291, 0.686031, 0.719792, 0.775919, 0.84174, 0.900162, 1.00575, 1.08581, 1.16587, 1.22328");
-            values ( \
-              "0.253136, 0.257073, 0.268371, 0.27453, 0.275713, 0.275742, 0.270785, 0.253279, 0.231694, 0.219923, 0.209749, 0.185007, 0.123166, 0.0822015, 0.0623548, 0.051807, 0.0376004, 0.0254766, 0.0178209, 0.00928643, 0.00556624, 0.00333557, 0.00270622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0969622, 0.0969822, 0.24394, 0.339781, 0.473915, 0.545941, 0.636385, 0.697636, 0.777698, 1.02905, 1.15561, 1.25145, 1.34969, 1.42975, 1.47926, 1.54925, 1.61701, 1.76017, 1.85282, 2.01294, 2.17307, 2.33319, 2.49332, 2.81356");
-            values ( \
-              "1e-22, 0.30959, 0.280465, 0.268529, 0.250754, 0.240481, 0.225717, 0.213543, 0.194553, 0.125158, 0.0938657, 0.0738789, 0.0569524, 0.0457567, 0.039696, 0.0325872, 0.0266259, 0.0173644, 0.0131115, 0.00800829, 0.00485913, 0.00292982, 0.00175291, 0.000601525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0944467, 0.0984134, 0.105381, 0.108777, 0.11173, 0.117279, 0.122512, 0.127689, 0.132864, 0.137538, 0.148166, 0.157005, 0.164571, 0.169785, 0.174669, 0.181181, 0.185747, 0.191135, 0.198319, 0.212686, 0.224602");
-            values ( \
-              "0.0102937, 0.0558256, 0.0922036, 0.104653, 0.112964, 0.123331, 0.127142, 0.126224, 0.119953, 0.10652, 0.0652727, 0.0410424, 0.0271429, 0.0201765, 0.0152507, 0.0104159, 0.00800494, 0.00587303, 0.00386992, 0.00166473, 0.00096322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.0944244, 0.103434, 0.110318, 0.119155, 0.127118, 0.134851, 0.142668, 0.150878, 0.182655, 0.194869, 0.207438, 0.222688, 0.240251, 0.264281");
-            values ( \
-              "0.00809493, 0.105951, 0.140772, 0.166863, 0.176892, 0.17781, 0.172595, 0.159867, 0.0684056, 0.0449097, 0.028323, 0.0158346, 0.00797225, 0.00402995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0960348, 0.104397, 0.110271, 0.118222, 0.124997, 0.131944, 0.143236, 0.154952, 0.163814, 0.171445, 0.185148, 0.196283, 0.223752, 0.240729, 0.264285, 0.288522, 0.306497, 0.322086, 0.342332, 0.369326, 0.402855");
-            values ( \
-              "0.00939003, 0.127679, 0.162906, 0.194464, 0.210499, 0.218923, 0.22289, 0.218516, 0.212365, 0.205559, 0.188863, 0.1693, 0.113755, 0.0844935, 0.0534613, 0.0320478, 0.0215481, 0.015114, 0.00948569, 0.00497013, 0.00240523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.0963164, 0.109043, 0.115165, 0.124826, 0.131393, 0.14156, 0.155203, 0.166267, 0.178895, 0.202751, 0.226204, 0.246314, 0.280232, 0.337089, 0.377016, 0.410942, 0.452146, 0.47268, 0.500059, 0.527039, 0.56799, 0.622593, 0.675496");
-            values ( \
-              "0.0198645, 0.168186, 0.20082, 0.231699, 0.243006, 0.25283, 0.255264, 0.253102, 0.248518, 0.237735, 0.224641, 0.211249, 0.18017, 0.117758, 0.0808928, 0.0568206, 0.0358102, 0.0281999, 0.0203203, 0.0146557, 0.00884406, 0.00437505, 0.00244447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.103041, 0.125655, 0.131886, 0.143126, 0.155193, 0.169761, 0.185159, 0.223721, 0.295538, 0.349695, 0.402875, 0.456275, 0.566441, 0.594906, 0.651834, 0.704507, 0.73828, 0.79443, 0.860264, 0.918682, 0.978531, 1.02424, 1.1043, 1.18436, 1.26442, 1.42455");
-            values ( \
-              "0.170344, 0.244592, 0.257709, 0.269903, 0.275432, 0.27573, 0.274164, 0.265684, 0.247024, 0.230904, 0.211317, 0.184954, 0.123172, 0.108489, 0.0821958, 0.0623712, 0.0518079, 0.0375955, 0.0254703, 0.017824, 0.0123434, 0.00928506, 0.0055698, 0.00333343, 0.00198704, 0.000705093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.110045, 0.155986, 0.172042, 0.186779, 0.201383, 0.230589, 0.333253, 0.470697, 0.599559, 0.679621, 0.776015, 0.847869, 1.0492, 1.11907, 1.19913, 1.26861, 1.33398, 1.3995, 1.50818, 1.56543, 1.64549, 1.72555, 1.84751, 1.91751, 1.99757, 2.1577, 2.31782, 2.55801, 2.79819");
-            values ( \
-              "0.283169, 0.285542, 0.288306, 0.288167, 0.287136, 0.284152, 0.271647, 0.253707, 0.234991, 0.221007, 0.199559, 0.180604, 0.124659, 0.106821, 0.088263, 0.0741071, 0.0624413, 0.0523031, 0.0385655, 0.0326966, 0.025888, 0.0203902, 0.01415, 0.0114211, 0.00895504, 0.00547017, 0.00333285, 0.00156557, 0.000752008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.13155, 0.14508, 0.151397, 0.156935, 0.162163, 0.167338, 0.172511, 0.177228, 0.187841, 0.196711, 0.204059, 0.215343, 0.227604, 0.241816, 0.251266");
-            values ( \
-              "0.00399719, 0.092925, 0.113286, 0.123501, 0.127196, 0.126248, 0.119939, 0.10636, 0.0651749, 0.0409159, 0.0273939, 0.0143773, 0.00706459, 0.00310271, 0.00200796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.132635, 0.150078, 0.155402, 0.158892, 0.165872, 0.168812, 0.172731, 0.178224, 0.182376, 0.190247, 0.195598, 0.20846, 0.219179, 0.232263, 0.239762, 0.249358, 0.262565, 0.279923, 0.2933, 0.315597");
-            values ( \
-              "0.0162101, 0.140883, 0.159532, 0.166744, 0.176497, 0.177741, 0.177976, 0.175836, 0.172314, 0.160757, 0.147205, 0.105439, 0.0759915, 0.0487886, 0.0372881, 0.0261112, 0.0157383, 0.00800383, 0.00468247, 0.00196029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.137754, 0.144089, 0.149944, 0.154522, 0.157889, 0.164623, 0.171611, 0.182881, 0.187979, 0.194778, 0.203187, 0.211123, 0.224822, 0.23599, 0.263438, 0.280496, 0.293368, 0.303909, 0.312008, 0.328216, 0.346241, 0.361813, 0.381987, 0.408887, 0.452968, 0.505275");
-            values ( \
-              "0.0522256, 0.128525, 0.163319, 0.183376, 0.194611, 0.210322, 0.21894, 0.222751, 0.221564, 0.218398, 0.212574, 0.205493, 0.188858, 0.169226, 0.113743, 0.0843616, 0.0660243, 0.0535283, 0.0452952, 0.0320441, 0.0215221, 0.0151018, 0.0094941, 0.00498772, 0.00159311, 0.000386371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.137475, 0.148591, 0.157968, 0.165778, 0.170952, 0.181159, 0.192415, 0.199411, 0.20874, 0.237842, 0.269064, 0.295413, 0.322686, 0.377651, 0.422157, 0.459807, 0.491994, 0.506541, 0.535636, 0.557813, 0.582321, 0.614999, 0.680355, 0.717286");
-            values ( \
-              "0.0461634, 0.169131, 0.213841, 0.234821, 0.243658, 0.25265, 0.255309, 0.254492, 0.252103, 0.239813, 0.222571, 0.203801, 0.177036, 0.116678, 0.0763871, 0.0513701, 0.0357148, 0.030081, 0.0213359, 0.016239, 0.0120323, 0.00807845, 0.00335749, 0.00234686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.143645, 0.155501, 0.164884, 0.17126, 0.182725, 0.194564, 0.208978, 0.224455, 0.263097, 0.334918, 0.389077, 0.442258, 0.49566, 0.605828, 0.691225, 0.743893, 0.777668, 0.833821, 0.899657, 0.958075, 1.01793, 1.06363, 1.1437, 1.22376, 1.29444");
-            values ( \
-              "0.209229, 0.212773, 0.24459, 0.25761, 0.270278, 0.275244, 0.27589, 0.273991, 0.265506, 0.246854, 0.230891, 0.211318, 0.184955, 0.123165, 0.0821891, 0.0623679, 0.0518104, 0.0375974, 0.0254721, 0.0178209, 0.0123412, 0.00928652, 0.00556786, 0.00333517, 0.00256072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.150396, 0.150416, 0.313251, 0.430228, 0.531678, 0.639153, 0.719215, 0.815611, 0.887464, 1.0888, 1.15867, 1.23873, 1.30821, 1.37357, 1.4391, 1.54778, 1.60503, 1.68509, 1.76516, 1.8871, 1.95709, 2.03716, 2.19728, 2.3574, 2.59759, 2.83778");
-            values ( \
-              "1e-22, 0.293245, 0.279001, 0.264337, 0.250798, 0.235021, 0.220974, 0.199532, 0.180628, 0.124674, 0.106806, 0.0882758, 0.074113, 0.0624446, 0.0523013, 0.0385662, 0.0326943, 0.0258895, 0.0203873, 0.0141569, 0.0114141, 0.00896353, 0.00547949, 0.00334294, 0.00155455, 0.000764286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.214325, 0.219812, 0.223515, 0.232032, 0.234828, 0.240658, 0.246075, 0.248882, 0.253804, 0.257935, 0.262795, 0.272866, 0.281518, 0.287131, 0.296908, 0.305734, 0.311792, 0.321374, 0.33415, 0.345127");
-            values ( \
-              "0.00448929, 0.0433112, 0.0630951, 0.0983164, 0.106453, 0.118393, 0.123475, 0.124058, 0.121243, 0.115153, 0.100107, 0.0625749, 0.03982, 0.0293851, 0.0169108, 0.0101424, 0.00715214, 0.00412348, 0.00196635, 0.00119165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.214513, 0.225241, 0.233224, 0.24256, 0.248837, 0.254609, 0.258655, 0.262582, 0.266572, 0.274536, 0.307112, 0.324175, 0.338233, 0.354373, 0.373036, 0.389492");
-            values ( \
-              "0.00132753, 0.0888953, 0.130679, 0.160452, 0.170637, 0.174391, 0.17445, 0.173593, 0.170476, 0.159927, 0.0674713, 0.0371932, 0.0220047, 0.011783, 0.0056592, 0.00308949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.214666, 0.233203, 0.237317, 0.241674, 0.248911, 0.255791, 0.260356, 0.268942, 0.275485, 0.282058, 0.29524, 0.301448, 0.30807, 0.314504, 0.352076, 0.360085, 0.375504, 0.387637, 0.396062, 0.408978, 0.420305, 0.435408, 0.447653, 0.461149, 0.479144, 0.515134, 0.562831, 0.621742");
-            values ( \
-              "0.00465002, 0.149821, 0.169595, 0.185908, 0.204832, 0.213707, 0.217566, 0.220029, 0.218748, 0.215629, 0.205534, 0.198938, 0.190414, 0.180234, 0.106145, 0.0923205, 0.0691059, 0.0544057, 0.0457422, 0.0347527, 0.0271627, 0.0193614, 0.0146629, 0.010766, 0.00706409, 0.00289962, 0.000812908, 0.000158495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.2146, 0.238613, 0.24182, 0.248904, 0.257967, 0.263441, 0.271581, 0.279289, 0.291112, 0.303076, 0.324362, 0.347902, 0.365415, 0.381838, 0.410542, 0.454526, 0.485655, 0.510995, 0.531886, 0.548066, 0.576791, 0.610026, 0.639483, 0.669642, 0.692671, 0.738729, 0.818791, 0.898853");
-            values ( \
-              "0.00613692, 0.190427, 0.20349, 0.225803, 0.241895, 0.247783, 0.252423, 0.253622, 0.252111, 0.248218, 0.238766, 0.22602, 0.214882, 0.202157, 0.173602, 0.124867, 0.0943399, 0.0733428, 0.0589104, 0.0493687, 0.0356606, 0.0241487, 0.0169089, 0.0117112, 0.00880974, 0.00489931, 0.00163379, 0.000542431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.225249, 0.248826, 0.255544, 0.269881, 0.28138, 0.294944, 0.309777, 0.324798, 0.371948, 0.419599, 0.473757, 0.526941, 0.580368, 0.690529, 0.719015, 0.775988, 0.828515, 0.862317, 0.918518, 0.943194, 0.984379, 1.04279, 1.1026, 1.14828, 1.22834, 1.3084, 1.38847, 1.54859");
-            values ( \
-              "0.15404, 0.237308, 0.251479, 0.269148, 0.274043, 0.275021, 0.273478, 0.270638, 0.259388, 0.246809, 0.230868, 0.21131, 0.184937, 0.123155, 0.108474, 0.0821565, 0.0623903, 0.0518229, 0.0375969, 0.0325276, 0.0254683, 0.0178187, 0.0123426, 0.00928994, 0.00556972, 0.00333671, 0.00198595, 0.000703584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.233144, 0.293905, 0.310005, 0.325893, 0.385428, 0.564236, 0.696649, 0.77907, 0.840331, 0.920393, 1.17175, 1.29832, 1.39415, 1.49238, 1.62196, 1.7545, 1.89959, 2.00005, 2.16018, 2.3203, 2.48042, 2.9608");
-            values ( \
-              "0.27001, 0.287636, 0.287764, 0.287181, 0.280737, 0.258031, 0.239426, 0.225868, 0.213385, 0.194702, 0.125028, 0.0937401, 0.0737651, 0.0568471, 0.0395914, 0.0269523, 0.0174517, 0.0128485, 0.00781512, 0.0047106, 0.00280948, 0.000517784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.37445, 0.378589, 0.381431, 0.401742, 0.40844, 0.414451, 0.420203, 0.425956, 0.431735, 0.442588, 0.451456, 0.459642, 0.467948, 0.478905, 0.491618, 0.502732, 0.50395");
-            values ( \
-              "0.0128118, 0.0188309, 0.0258876, 0.0905062, 0.105431, 0.112541, 0.11538, 0.111849, 0.0994898, 0.061057, 0.0389267, 0.0251139, 0.0158143, 0.00845946, 0.00411423, 0.00220904, 0.00211313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.376879, 0.383358, 0.399843, 0.410617, 0.419629, 0.428008, 0.436283, 0.444817, 0.476937, 0.489254, 0.506815, 0.526343, 0.55039, 0.550418");
-            values ( \
-              "0.0210232, 0.0391301, 0.108688, 0.143559, 0.160465, 0.166325, 0.165032, 0.155507, 0.0682159, 0.0448674, 0.0235899, 0.0111371, 0.00435312, 0.00435052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.375249, 0.409146, 0.418536, 0.424563, 0.436616, 0.441708, 0.448498, 0.458178, 0.465527, 0.479457, 0.534186, 0.55703, 0.570605, 0.586773, 0.610882, 0.641754, 0.666663, 0.688384");
-            values ( \
-              "0.00444788, 0.164527, 0.191597, 0.202423, 0.213034, 0.213895, 0.212905, 0.208299, 0.202797, 0.187348, 0.085984, 0.0550011, 0.0416397, 0.029465, 0.0171993, 0.00848085, 0.00468565, 0.00312836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.393602, 0.412935, 0.415694, 0.419643, 0.423591, 0.426745, 0.429899, 0.433052, 0.436206, 0.439307, 0.442408, 0.445509, 0.44861, 0.454706, 0.460802, 0.466761, 0.47272, 0.477489, 0.487025, 0.497525, 0.508988, 0.512651, 0.516315, 0.519978, 0.523642, 0.53023, 0.536818, 0.543406, 0.549994, 0.552906, 0.554362, 0.558729, 0.561516, 0.569876, 0.578948, 0.618328, 0.641951, 0.660027, 0.671563, 0.688905, 0.700517, 0.712129, 0.723741, 0.742311, 0.757057, 0.771803, 0.784727, 0.800744, 0.808753, 0.822501");
-            values ( \
-              "0.192906, 0.195196, 0.205793, 0.217141, 0.226558, 0.231911, 0.236553, 0.240484, 0.243703, 0.245813, 0.247477, 0.248694, 0.249466, 0.248993, 0.248172, 0.247031, 0.245556, 0.244007, 0.240453, 0.235836, 0.229874, 0.222869, 0.216747, 0.211508, 0.207153, 0.206734, 0.205711, 0.204087, 0.20186, 0.200683, 0.199638, 0.1962, 0.193562, 0.185382, 0.176053, 0.1326, 0.107663, 0.0912178, 0.0817138, 0.0680334, 0.0599543, 0.0530844, 0.0468019, 0.0379759, 0.0315871, 0.0267019, 0.022991, 0.0190153, 0.0172638, 0.014595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.387113, 0.414633, 0.424343, 0.435237, 0.448139, 0.458119, 0.468765, 0.489562, 0.505579, 0.588577, 0.644258, 0.686786, 0.729144, 0.76529, 0.846743, 0.90797, 0.958892, 0.999561, 1.03109, 1.08908, 1.11431, 1.15531, 1.21357, 1.27284, 1.31803, 1.39809, 1.47815, 1.55822, 1.71834");
-            values ( \
-              "0.0889306, 0.208168, 0.237341, 0.256693, 0.268063, 0.271265, 0.272263, 0.270349, 0.26717, 0.246865, 0.230737, 0.215598, 0.196307, 0.176872, 0.130863, 0.0994439, 0.0771612, 0.062238, 0.0523298, 0.0375839, 0.0324298, 0.0254022, 0.0178051, 0.0123739, 0.0093335, 0.00560426, 0.00334992, 0.00200156, 0.000712106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.402007, 0.449781, 0.463565, 0.479557, 0.520766, 0.588632, 0.685366, 0.866877, 0.949343, 1.01058, 1.09065, 1.34202, 1.4686, 1.56443, 1.66264, 1.7427, 1.80224, 1.86227, 1.94232, 2.02238, 2.14159, 2.28973, 2.44986, 2.60998, 2.85017, 3.09035");
-            values ( \
-              "0.267837, 0.279024, 0.284283, 0.286153, 0.283626, 0.276164, 0.26419, 0.239222, 0.22567, 0.213544, 0.194521, 0.125185, 0.0938959, 0.0739193, 0.0570022, 0.045712, 0.0386398, 0.0325248, 0.0257259, 0.0202859, 0.0141734, 0.00901974, 0.00550624, 0.00335122, 0.00158906, 0.000749268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.696719, 0.710203, 0.712489, 0.73767, 0.748773, 0.753489, 0.760264, 0.766844, 0.773413, 0.785635, 0.794253, 0.803241, 0.808382, 0.815098, 0.825921, 0.838569, 0.853024, 0.857018");
-            values ( \
-              "0.00254487, 0.0169111, 0.0203507, 0.0699607, 0.0881335, 0.0940568, 0.099482, 0.100069, 0.0916469, 0.0556816, 0.0367929, 0.0231463, 0.0175747, 0.0121702, 0.00668467, 0.00337225, 0.00153523, 0.00133743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.699932, 0.708655, 0.714909, 0.722187, 0.743632, 0.74824, 0.757454, 0.76207, 0.768531, 0.777622, 0.786718, 0.79229, 0.81234, 0.816678, 0.828086, 0.836839, 0.844863, 0.852052, 0.861637, 0.868324, 0.875848, 0.885881, 0.905946, 0.917938");
-            values ( \
-              "0.00962668, 0.0198048, 0.0316496, 0.0489239, 0.105499, 0.116553, 0.135162, 0.142165, 0.148931, 0.152296, 0.147483, 0.138204, 0.0844123, 0.0739849, 0.0511076, 0.0377071, 0.0281899, 0.0216098, 0.0149981, 0.0116241, 0.00871253, 0.00589133, 0.00262257, 0.00183476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.701459, 0.719006, 0.753582, 0.764678, 0.779872, 0.794136, 0.808399, 0.823371, 0.875508, 0.8995, 0.926306, 0.946581, 0.977918, 1.00045, 1.01502");
-            values ( \
-              "0.0147431, 0.0459039, 0.15245, 0.178171, 0.198534, 0.202892, 0.198173, 0.183761, 0.089069, 0.056378, 0.0321979, 0.0206112, 0.0101036, 0.0059371, 0.00459242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.703747, 0.721649, 0.763435, 0.775717, 0.790624, 0.809159, 0.827868, 0.8406, 0.880218, 0.895112, 0.924018, 0.968145, 1.00165, 1.04326, 1.0674, 1.09869, 1.15004, 1.18691, 1.21608, 1.25607, 1.28872");
-            values ( \
-              "0.0216443, 0.0580544, 0.196571, 0.221315, 0.237071, 0.242459, 0.238975, 0.234209, 0.212693, 0.201371, 0.173071, 0.124536, 0.0920463, 0.0602993, 0.0462893, 0.0324154, 0.0175541, 0.0112074, 0.00779979, 0.00471168, 0.00338153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.724421, 0.74556, 0.763714, 0.774613, 0.79024, 0.808601, 0.823348, 0.837418, 0.860397, 0.884888, 0.950686, 1.01052, 1.04374, 1.10167, 1.21574, 1.29615, 1.35465, 1.41155, 1.44065, 1.49011, 1.55468, 1.62245, 1.67602, 1.75609, 1.83615, 1.87352");
-            values ( \
-              "0.133835, 0.148123, 0.209347, 0.234489, 0.256024, 0.266491, 0.268277, 0.267478, 0.263704, 0.258232, 0.241067, 0.22244, 0.209408, 0.180517, 0.117071, 0.0797208, 0.0584421, 0.0424344, 0.0358501, 0.026768, 0.0180615, 0.0119097, 0.00851238, 0.00511033, 0.00305153, 0.00267789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.737808, 0.782983, 0.808136, 0.823728, 0.83828, 0.861668, 0.891238, 0.978407, 1.10729, 1.26483, 1.31862, 1.39846, 1.45703, 1.70806, 1.78334, 1.8634, 1.97356, 2.0319, 2.1462, 2.21467, 2.29219, 2.37225, 2.48197, 2.6236, 2.78373, 2.94385, 3.18404, 3.42422");
-            values ( \
-              "0.225012, 0.256236, 0.278458, 0.282677, 0.283839, 0.283012, 0.280324, 0.270007, 0.253429, 0.230499, 0.220763, 0.203352, 0.188314, 0.119223, 0.100428, 0.0827412, 0.0621699, 0.0531284, 0.038579, 0.031641, 0.025244, 0.0198623, 0.014313, 0.00930048, 0.00568594, 0.00346803, 0.00161878, 0.000789015" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0104471, 0.0104729, 0.0105036, 0.0105316, 0.0105516, 0.0105635", \
-            "0.0133349, 0.0133586, 0.0133926, 0.0134298, 0.0134604, 0.0134805", \
-            "0.01519, 0.0152, 0.0152188, 0.0152459, 0.0152739, 0.0152961", \
-            "0.0162365, 0.0162344, 0.0162339, 0.0162386, 0.01625, 0.0162631", \
-            "0.0168311, 0.0168263, 0.0168227, 0.0168183, 0.0168161, 0.0168183", \
-            "0.0171849, 0.0171839, 0.0171826, 0.01718, 0.0171764, 0.017174" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.015595, 0.0156102, 0.0156375, 0.0156733, 0.0157031, 0.0157223", \
-            "0.0163353, 0.0163081, 0.0162805, 0.0162555, 0.0162459, 0.016246", \
-            "0.0153741, 0.0153469, 0.015306, 0.015258, 0.0152174, 0.0151886", \
-            "0.0145719, 0.0145646, 0.0145486, 0.0145191, 0.014487, 0.0144619", \
-            "0.0145443, 0.014616, 0.0146543, 0.0146713, 0.0146678, 0.0146544", \
-            "0.0139938, 0.0139965, 0.0140116, 0.0141056, 0.0141942, 0.0142161" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.118265, 0.128059, 0.131233, 0.137591, 0.141223, 0.146504, 0.153648, 0.159931, 0.165722, 0.171268, 0.176754, 0.182236, 0.192672, 0.197174, 0.202163, 0.205445, 0.209603, 0.215147, 0.223108");
-            values ( \
-              "-0.0155852, -0.0314193, -0.0407674, -0.0620442, -0.0718889, -0.0844237, -0.0991556, -0.109374, -0.116531, -0.120272, -0.118892, -0.10484, -0.0517337, -0.0340369, -0.0205467, -0.0145416, -0.0092811, -0.0049297, -0.00218317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.118112, 0.131444, 0.140714, 0.155074, 0.16467, 0.173223, 0.181211, 0.188943, 0.196665, 0.201072, 0.205097, 0.21684, 0.224161, 0.231444, 0.239898, 0.247385, 0.255942, 0.270905, 0.273277");
-            values ( \
-              "-0.0181143, -0.0529192, -0.0898316, -0.132157, -0.153441, -0.166764, -0.175729, -0.179092, -0.174153, -0.163887, -0.148003, -0.0864051, -0.0561133, -0.0351134, -0.0196868, -0.0116266, -0.00623024, -0.00193402, -0.00182968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.122894, 0.131904, 0.147319, 0.153175, 0.163444, 0.169839, 0.183785, 0.196506, 0.208598, 0.220483, 0.232359, 0.23637, 0.240648, 0.25695, 0.270187, 0.282766, 0.289847, 0.297939, 0.311039, 0.31984, 0.337442, 0.354457");
-            values ( \
-              "-0.0623819, -0.064738, -0.128027, -0.148441, -0.178093, -0.192772, -0.217666, -0.232578, -0.240663, -0.241436, -0.230015, -0.222005, -0.209499, -0.14074, -0.0916221, -0.0581064, -0.044443, -0.0323817, -0.0192007, -0.0134071, -0.00633244, -0.00347105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.108524, 0.179633, 0.19332, 0.214569, 0.236116, 0.252798, 0.263245, 0.276455, 0.299305, 0.309653, 0.36203, 0.389112, 0.411594, 0.428754, 0.446602, 0.487617, 0.495");
-            values ( \
-              "-0.00778897, -0.234126, -0.257579, -0.282039, -0.294932, -0.29849, -0.297688, -0.29283, -0.269667, -0.248133, -0.110797, -0.0659331, -0.0418498, -0.0292439, -0.020098, -0.00823264, -0.00738217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.13236, 0.161979, 0.176897, 0.192427, 0.213058, 0.2343, 0.261288, 0.290947, 0.309182, 0.345975, 0.376443, 0.399377, 0.424612, 0.4658, 0.498126, 0.545033, 0.593059, 0.6375, 0.669541, 0.692923, 0.748553, 0.812129, 0.865827");
-            values ( \
-              "-0.152531, -0.199968, -0.241032, -0.271726, -0.300889, -0.319667, -0.333864, -0.339766, -0.339864, -0.335362, -0.325375, -0.313707, -0.293711, -0.23725, -0.185981, -0.123902, -0.0785846, -0.0504823, -0.0362995, -0.0284692, -0.0158445, -0.00786388, -0.00451022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.132318, 0.160438, 0.192522, 0.229023, 0.268706, 0.299323, 0.328211, 0.380529, 0.452662, 0.526417, 0.58322, 0.64238, 0.68545, 0.749738, 0.837492, 0.94373, 1.05884, 1.15223, 1.27117, 1.43306, 1.55285");
-            values ( \
-              "-0.173115, -0.198523, -0.281772, -0.329252, -0.352417, -0.362261, -0.36554, -0.365507, -0.359151, -0.348491, -0.337107, -0.320143, -0.300879, -0.258044, -0.187812, -0.119356, -0.0698549, -0.0439782, -0.0240876, -0.0105651, -0.0063321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.13473, 0.143873, 0.1469, 0.156219, 0.165138, 0.172285, 0.178571, 0.184363, 0.189911, 0.195398, 0.200881, 0.209867, 0.215823, 0.220806, 0.228256, 0.23381, 0.243354, 0.250644");
-            values ( \
-              "-0.00143321, -0.0272632, -0.0318018, -0.0620571, -0.084432, -0.0990609, -0.109388, -0.116446, -0.12029, -0.118819, -0.104871, -0.0585928, -0.0340574, -0.0205789, -0.00925379, -0.00494309, -0.00161321, -0.000889781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.134039, 0.169853, 0.174177, 0.182825, 0.192233, 0.200213, 0.203306, 0.207914, 0.215584, 0.220573, 0.224055, 0.23579, 0.243062, 0.250443, 0.258881, 0.266163, 0.274485, 0.289376");
-            values ( \
-              "-0.000366985, -0.121873, -0.133124, -0.152142, -0.167256, -0.175791, -0.177918, -0.17932, -0.174268, -0.162265, -0.148077, -0.0864238, -0.0562887, -0.0349994, -0.019638, -0.0117665, -0.00642174, -0.00200775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.139599, 0.150816, 0.165924, 0.172033, 0.1823, 0.188688, 0.202631, 0.215351, 0.227443, 0.239328, 0.251204, 0.255217, 0.259498, 0.275795, 0.289031, 0.301611, 0.308693, 0.316786, 0.329884, 0.338683, 0.356281, 0.37289");
-            values ( \
-              "-0.0368851, -0.0652306, -0.12726, -0.148568, -0.178194, -0.192818, -0.217705, -0.232579, -0.240679, -0.241424, -0.230025, -0.221996, -0.209481, -0.140737, -0.0916209, -0.0581052, -0.0444425, -0.0323779, -0.0192023, -0.0134071, -0.00633512, -0.00353998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.125294, 0.198622, 0.212193, 0.23432, 0.254985, 0.271625, 0.282198, 0.295323, 0.318173, 0.328513, 0.3809, 0.407981, 0.430463, 0.447624, 0.465472, 0.506488, 0.513819");
-            values ( \
-              "-0.00101013, -0.234303, -0.257743, -0.282783, -0.294941, -0.298489, -0.297678, -0.292817, -0.269668, -0.248153, -0.110795, -0.065933, -0.0418493, -0.0292444, -0.0200983, -0.0082328, -0.00738828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.148434, 0.16997, 0.185875, 0.201116, 0.211343, 0.231336, 0.253236, 0.282236, 0.309148, 0.328086, 0.364879, 0.39128, 0.403094, 0.422361, 0.443509, 0.484869, 0.516362, 0.560208, 0.585883, 0.615226, 0.65273, 0.675801, 0.721087, 0.747203, 0.799437, 0.863725, 0.928013, 0.992301");
-            values ( \
-              "-0.142987, -0.159111, -0.215157, -0.2529, -0.271862, -0.300126, -0.319801, -0.334506, -0.339649, -0.339966, -0.335288, -0.327007, -0.321916, -0.311094, -0.293711, -0.236939, -0.186957, -0.12816, -0.101048, -0.0760824, -0.0523773, -0.0413925, -0.0258437, -0.0196312, -0.0111539, -0.00547136, -0.00267333, -0.00130297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.148927, 0.212043, 0.249926, 0.279602, 0.304927, 0.328422, 0.36463, 0.400113, 0.458069, 0.546029, 0.610317, 0.647852, 0.697898, 0.746112, 0.882756, 0.951665, 1.03629, 1.09083, 1.16003, 1.27306, 1.40535, 1.58559");
-            values ( \
-              "-0.137582, -0.2814, -0.329799, -0.349035, -0.358588, -0.363012, -0.365894, -0.36499, -0.360519, -0.348028, -0.335083, -0.32464, -0.304702, -0.275251, -0.169167, -0.125703, -0.0851156, -0.0655449, -0.0466613, -0.0264077, -0.0133762, -0.00545248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.179563, 0.186279, 0.187092, 0.188718, 0.191453, 0.193129, 0.199525, 0.205274, 0.208454, 0.214815, 0.217178, 0.219657, 0.221888, 0.226351, 0.227696, 0.230385, 0.233231, 0.238724, 0.244211, 0.245422, 0.247036, 0.253206, 0.254674, 0.257611, 0.259168, 0.261781, 0.264107, 0.267409, 0.268815, 0.271628, 0.277254, 0.281745");
-            values ( \
-              "-0.014636, -0.0256924, -0.0265853, -0.028842, -0.0355969, -0.0402357, -0.0620583, -0.0768067, -0.0843404, -0.0974313, -0.101742, -0.105903, -0.109235, -0.114943, -0.116285, -0.118621, -0.12011, -0.118759, -0.104729, -0.0997763, -0.0915755, -0.0585313, -0.0516471, -0.0394621, -0.0340201, -0.0262372, -0.0206569, -0.0145362, -0.0125054, -0.0092061, -0.0048913, -0.00333609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.180302, 0.192739, 0.203098, 0.217216, 0.226774, 0.235307, 0.243283, 0.251007, 0.25872, 0.263503, 0.26715, 0.278888, 0.286175, 0.293524, 0.301968, 0.309315, 0.317712, 0.332619, 0.35029, 0.372726");
-            values ( \
-              "-0.0126075, -0.0514507, -0.091982, -0.132681, -0.154034, -0.166938, -0.176068, -0.179142, -0.174352, -0.162786, -0.148056, -0.0864207, -0.056235, -0.0350391, -0.0196568, -0.0117204, -0.00636244, -0.0019803, -0.000554035, -0.000161652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.178, 0.193951, 0.197312, 0.211964, 0.222028, 0.232016, 0.245961, 0.2587, 0.2708, 0.28269, 0.294571, 0.298582, 0.30286, 0.308351, 0.319167, 0.325798, 0.332404, 0.341213, 0.344985, 0.352069, 0.360165, 0.366661, 0.373259, 0.382056, 0.39965, 0.404544");
-            values ( \
-              "-0.0163518, -0.0645482, -0.0768261, -0.137481, -0.168778, -0.19222, -0.217835, -0.232101, -0.240851, -0.241048, -0.230211, -0.221935, -0.209457, -0.188009, -0.140722, -0.114357, -0.0916103, -0.0668164, -0.0580989, -0.0444394, -0.032371, -0.0250131, -0.0192042, -0.0134071, -0.00633928, -0.00551482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.174034, 0.220671, 0.245295, 0.255532, 0.276007, 0.298302, 0.318461, 0.338686, 0.347131, 0.35974, 0.367801, 0.420597, 0.449856, 0.466918, 0.498653, 0.532793, 0.55943");
-            values ( \
-              "-0.000759098, -0.180523, -0.241267, -0.257797, -0.281559, -0.295012, -0.298592, -0.293012, -0.286991, -0.272376, -0.257407, -0.118376, -0.067785, -0.0481659, -0.024898, -0.0119537, -0.00736825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.195436, 0.255103, 0.27566, 0.296961, 0.32434, 0.353572, 0.371811, 0.408602, 0.439163, 0.46183, 0.487243, 0.607668, 0.655565, 0.700275, 0.755661, 0.810772, 0.873757, 0.883033");
-            values ( \
-              "-0.151086, -0.272193, -0.300899, -0.319897, -0.333935, -0.339674, -0.339965, -0.335257, -0.325326, -0.313805, -0.293706, -0.123901, -0.0786821, -0.0504091, -0.0284368, -0.0159162, -0.00795429, -0.00736858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.195681, 0.223201, 0.23822, 0.255196, 0.291041, 0.309919, 0.335089, 0.368207, 0.414084, 0.501305, 0.542976, 0.589184, 0.653472, 0.691011, 0.741062, 0.789233, 0.92593, 0.994824, 1.07941, 1.13399, 1.20323, 1.26752, 1.30489, 1.38415, 1.44844, 1.57702, 1.6413, 1.6933");
-            values ( \
-              "-0.179932, -0.1998, -0.246751, -0.282111, -0.328766, -0.342802, -0.353903, -0.363347, -0.366065, -0.360663, -0.355465, -0.347873, -0.335225, -0.324764, -0.304573, -0.275371, -0.16909, -0.125643, -0.0850716, -0.0654952, -0.0466058, -0.0338291, -0.0279037, -0.0187048, -0.0133446, -0.00681522, -0.00493331, -0.00419919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.274457, 0.27692, 0.281198, 0.282065, 0.283798, 0.288377, 0.294936, 0.30398, 0.310314, 0.312927, 0.316411, 0.319704, 0.323339, 0.328903, 0.334412, 0.339916, 0.342727, 0.348933, 0.353414, 0.355986, 0.359777, 0.363109, 0.364547, 0.367424, 0.373177, 0.382105");
-            values ( \
-              "-0.0131643, -0.0163021, -0.0243388, -0.0250479, -0.027463, -0.0389082, -0.0610049, -0.0835523, -0.0966117, -0.101398, -0.107092, -0.111695, -0.11583, -0.119793, -0.118386, -0.104596, -0.0914914, -0.0583917, -0.0391067, -0.0305368, -0.0207737, -0.0145597, -0.0125051, -0.00912512, -0.00479442, -0.00173723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.276729, 0.289597, 0.298484, 0.312901, 0.322504, 0.331066, 0.339063, 0.346804, 0.354536, 0.358851, 0.362975, 0.374726, 0.382055, 0.38933, 0.39779, 0.405299, 0.41388, 0.42885, 0.432447");
-            values ( \
-              "-0.0214993, -0.0542978, -0.0897064, -0.132152, -0.153248, -0.16661, -0.175483, -0.17892, -0.173944, -0.16402, -0.147891, -0.0863583, -0.0560711, -0.0351113, -0.0196844, -0.0116137, -0.00620835, -0.00193022, -0.00177253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.276715, 0.31108, 0.327761, 0.341731, 0.354461, 0.366566, 0.378463, 0.390351, 0.394338, 0.398591, 0.428196, 0.440778, 0.455946, 0.469059, 0.477867, 0.495437");
-            values ( \
-              "-0.0206266, -0.148377, -0.192577, -0.217355, -0.232338, -0.240378, -0.241239, -0.229812, -0.221909, -0.209553, -0.0915918, -0.0580899, -0.0323843, -0.0191969, -0.013399, -0.00634559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.286154, 0.303693, 0.30994, 0.326197, 0.337994, 0.351663, 0.373794, 0.394451, 0.41462, 0.43477, 0.443847, 0.455825, 0.461481, 0.472794, 0.493521, 0.519022, 0.533361, 0.546915, 0.558698, 0.570503, 0.594666, 0.609195, 0.638253, 0.685237, 0.740947");
-            values ( \
-              "-0.107334, -0.131141, -0.157497, -0.208317, -0.234836, -0.25816, -0.282945, -0.295223, -0.298619, -0.293119, -0.286519, -0.272492, -0.262547, -0.235436, -0.175887, -0.113485, -0.0867649, -0.0665648, -0.0525932, -0.041326, -0.0249333, -0.018311, -0.00966805, -0.00317689, -0.00079825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.285965, 0.305104, 0.320429, 0.336156, 0.351007, 0.372058, 0.392824, 0.420353, 0.430786, 0.449426, 0.467668, 0.504461, 0.535122, 0.557506, 0.583109, 0.624287, 0.656677, 0.70354, 0.724015, 0.751315, 0.796279, 0.828698, 0.851631, 0.906253, 0.968678, 1.03297, 1.04271");
-            values ( \
-              "-0.119333, -0.142033, -0.20131, -0.243702, -0.272819, -0.301665, -0.320096, -0.333944, -0.336838, -0.3396, -0.340006, -0.33517, -0.325257, -0.313896, -0.29369, -0.23726, -0.185898, -0.123898, -0.102472, -0.0787731, -0.0503398, -0.0360553, -0.0284058, -0.0159846, -0.00804003, -0.00393969, -0.00371225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.30162, 0.342248, 0.369872, 0.393606, 0.420201, 0.445101, 0.467804, 0.503989, 0.539486, 0.597676, 0.638917, 0.749702, 0.787246, 0.837305, 0.857921, 0.885409, 1.02219, 1.09106, 1.17559, 1.23022, 1.29953, 1.40115, 1.4803, 1.54458, 1.60887, 1.73745, 1.78361");
-            values ( \
-              "-0.255756, -0.263644, -0.309186, -0.333865, -0.349812, -0.359107, -0.36297, -0.36603, -0.364862, -0.360588, -0.355442, -0.335166, -0.324712, -0.304602, -0.293409, -0.275384, -0.169085, -0.125655, -0.0851062, -0.0655048, -0.0465987, -0.0279073, -0.0187099, -0.0133546, -0.00962368, -0.00493287, -0.00428089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.465085, 0.484122, 0.494252, 0.504594, 0.512678, 0.519679, 0.526068, 0.532102, 0.538029, 0.543949, 0.558621, 0.563945, 0.56787, 0.572409, 0.576048, 0.582388");
-            values ( \
-              "-3.13997e-05, -0.0271819, -0.0525236, -0.0740992, -0.0882276, -0.0989401, -0.106222, -0.110879, -0.110579, -0.09892, -0.0360033, -0.0216106, -0.0144349, -0.00898748, -0.00609924, -0.0031673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.469995, 0.488325, 0.507363, 0.524966, 0.534245, 0.542824, 0.550074, 0.553092, 0.556143, 0.559238, 0.565426, 0.584491, 0.592525, 0.602981, 0.608739, 0.616415, 0.630898, 0.668932");
-            values ( \
-              "-0.0101581, -0.047204, -0.102591, -0.140482, -0.154594, -0.164126, -0.168394, -0.168607, -0.167862, -0.165143, -0.152333, -0.0661442, -0.0404642, -0.0202155, -0.0136021, -0.00786998, -0.00260193, -0.000232502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.478932, 0.491809, 0.49308, 0.499868, 0.503045, 0.506223, 0.512577, 0.515755, 0.522111, 0.528099, 0.530909, 0.53372, 0.53934, 0.54215, 0.54587, 0.548227, 0.550583, 0.552862, 0.554002, 0.555141, 0.557224, 0.559306, 0.564796, 0.571998, 0.575082, 0.578166, 0.58125, 0.584333, 0.587417, 0.590501, 0.596669, 0.598095, 0.599679, 0.601579, 0.603663, 0.605746, 0.606956, 0.610585, 0.617953, 0.626918, 0.63397, 0.638731, 0.642578, 0.648089, 0.650863, 0.653082, 0.65752, 0.661959, 0.667132, 0.6714");
-            values ( \
-              "-0.0587777, -0.0657063, -0.0696231, -0.09428, -0.104813, -0.114908, -0.133787, -0.142551, -0.158809, -0.172577, -0.17852, -0.183892, -0.193726, -0.198189, -0.203631, -0.208326, -0.21399, -0.215026, -0.21571, -0.216504, -0.218363, -0.220353, -0.226227, -0.233565, -0.233133, -0.2325, -0.231666, -0.230631, -0.229395, -0.227957, -0.22448, -0.222358, -0.219259, -0.214823, -0.209046, -0.202559, -0.198292, -0.18475, -0.153851, -0.118143, -0.0930795, -0.079221, -0.0693724, -0.0561639, -0.050353, -0.0461749, -0.039209, -0.0330821, -0.0271046, -0.0225545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.475954, 0.492354, 0.51744, 0.528026, 0.544209, 0.550166, 0.562925, 0.578648, 0.589846, 0.599954, 0.620522, 0.641016, 0.66151, 0.663936, 0.673642, 0.70613, 0.72698, 0.741588, 0.75409, 0.76441, 0.77657, 0.793749, 0.81162, 0.825309, 0.852689, 0.902682, 0.961815");
-            values ( \
-              "-0.0719605, -0.0739228, -0.159975, -0.189194, -0.224286, -0.234451, -0.253804, -0.272286, -0.281641, -0.288051, -0.293806, -0.290057, -0.271623, -0.268224, -0.248981, -0.159207, -0.110617, -0.0840866, -0.0658579, -0.0536028, -0.0418283, -0.0292287, -0.0200841, -0.0149994, -0.00822159, -0.00247148, -0.000549702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.484218, 0.509299, 0.527385, 0.541993, 0.559959, 0.583484, 0.598164, 0.618661, 0.636782, 0.674054, 0.69874, 0.729799, 0.749263, 0.787719, 0.802316, 0.818054, 0.876354, 0.912669, 0.94685, 0.971882, 0.992654, 1.01708, 1.05163, 1.08769, 1.11533, 1.17062, 1.2349, 1.36348");
-            values ( \
-              "-0.116049, -0.138711, -0.197185, -0.23272, -0.265782, -0.297809, -0.312205, -0.325546, -0.332914, -0.338187, -0.336171, -0.32891, -0.321361, -0.295503, -0.279334, -0.257131, -0.166521, -0.120834, -0.0875833, -0.0685422, -0.0557162, -0.0434492, -0.0303495, -0.020829, -0.0155394, -0.00850773, -0.00416268, -0.000988262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.501593, 0.543573, 0.561437, 0.579898, 0.596677, 0.613409, 0.632531, 0.667643, 0.687546, 0.714084, 0.74616, 0.806386, 0.841962, 0.89222, 0.969064, 0.994178, 1.0444, 1.09089, 1.22968, 1.29794, 1.32567, 1.38113, 1.43702, 1.50783, 1.57212, 1.61944, 1.68498, 1.74927, 1.81356, 1.94213, 2.07071, 2.19929");
-            values ( \
-              "-0.238346, -0.242076, -0.276257, -0.304143, -0.323163, -0.337009, -0.348175, -0.359923, -0.362938, -0.364654, -0.364374, -0.359887, -0.355589, -0.348021, -0.331742, -0.324483, -0.304618, -0.276247, -0.168732, -0.125724, -0.110959, -0.0857173, -0.0655905, -0.0463235, -0.033516, -0.0263826, -0.0188805, -0.0135486, -0.00969398, -0.00495139, -0.00252148, -0.00128128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.868644, 0.887853, 0.89063, 0.896183, 0.899162, 0.90512, 0.909636, 0.918667, 0.930555, 0.936237, 0.948379, 0.955391, 0.962177, 0.968949, 0.96968, 0.981807, 0.98796, 0.991721, 0.994812, 0.999329, 1.00535, 1.01578, 1.02803, 1.04351, 1.09955");
-            values ( \
-              "-0.00116926, -0.0124845, -0.0145497, -0.0197652, -0.0231931, -0.0319174, -0.0404575, -0.0544627, -0.0707673, -0.0777972, -0.0906886, -0.0959845, -0.0975963, -0.0892417, -0.0872462, -0.0422589, -0.0249641, -0.0175442, -0.0130015, -0.00830169, -0.00444933, -0.00143285, -0.000471501, -0.000221685, -8.26975e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.881913, 0.901011, 0.905456, 0.924848, 0.946675, 0.957447, 0.967204, 0.976379, 0.985383, 0.994373, 1.01318, 1.02159, 1.03136, 1.03766, 1.04605, 1.06107, 1.07868, 1.10082");
-            values ( \
-              "-0.0192481, -0.0346165, -0.0421525, -0.0821817, -0.119649, -0.134864, -0.145851, -0.15248, -0.15223, -0.135301, -0.0601763, -0.0365992, -0.0195277, -0.012831, -0.00722149, -0.00233831, -0.000701496, -0.000216699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.88199, 0.899766, 0.908925, 0.940264, 0.969537, 0.984417, 0.99817, 1.01143, 1.02466, 1.03795, 1.0666, 1.07763, 1.08821, 1.09796, 1.1079, 1.11564, 1.13112, 1.14725");
-            values ( \
-              "-0.0239691, -0.0404429, -0.0579835, -0.130154, -0.18302, -0.201489, -0.214013, -0.218671, -0.212718, -0.182844, -0.0821665, -0.0557661, -0.0376818, -0.0258757, -0.0175427, -0.012866, -0.00682144, -0.00396271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.882237, 0.909438, 0.950694, 0.969957, 0.981177, 1.00362, 1.02029, 1.02876, 1.0457, 1.05966, 1.07164, 1.093, 1.09797, 1.10364, 1.11498, 1.14122, 1.16207, 1.17247, 1.18621, 1.19858, 1.21506, 1.22449, 1.2404, 1.25221, 1.27582, 1.32304, 1.37927");
-            values ( \
-              "-0.0224112, -0.0655729, -0.166261, -0.205062, -0.223535, -0.252537, -0.267117, -0.272645, -0.279704, -0.281375, -0.279212, -0.26377, -0.256956, -0.246818, -0.219904, -0.149996, -0.104441, -0.0861308, -0.0661047, -0.0517869, -0.0370225, -0.0304603, -0.0218764, -0.0170872, -0.0102932, -0.00342589, -0.000854413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.882984, 0.923121, 0.950184, 0.964628, 0.980455, 1.00594, 1.02696, 1.06716, 1.0786, 1.09041, 1.10595, 1.13704, 1.15818, 1.18301, 1.21593, 1.22434, 1.24117, 1.26035, 1.29651, 1.32957, 1.35089, 1.3788, 1.40641, 1.42904, 1.45305, 1.48728, 1.52211, 1.54866, 1.60176, 1.66605, 1.73034, 1.79462");
-            values ( \
-              "-0.0214201, -0.103158, -0.173607, -0.206535, -0.236708, -0.274263, -0.295768, -0.320477, -0.32395, -0.326501, -0.328299, -0.327402, -0.323471, -0.315307, -0.296304, -0.289021, -0.270878, -0.243534, -0.187498, -0.14207, -0.117201, -0.0901948, -0.0689498, -0.0550379, -0.0430464, -0.0302325, -0.0210301, -0.0158261, -0.00893442, -0.00433074, -0.00216071, -0.00100691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.912445, 0.953793, 0.980913, 1.00687, 1.02766, 1.06758, 1.10599, 1.14392, 1.17951, 1.27556, 1.3208, 1.34057, 1.40441, 1.46869, 1.4868, 1.52301, 1.62894, 1.68832, 1.73274, 1.78206, 1.84635, 1.90625, 1.94923, 2.01352, 2.05545, 2.11186, 2.17615, 2.24043, 2.36901, 2.49759, 2.62616");
-            values ( \
-              "-0.160521, -0.186725, -0.245017, -0.285535, -0.308713, -0.337678, -0.351049, -0.356582, -0.357296, -0.352609, -0.347559, -0.344494, -0.331217, -0.309623, -0.300935, -0.278572, -0.195293, -0.153122, -0.126182, -0.100886, -0.0743969, -0.0556597, -0.0450179, -0.032551, -0.0263304, -0.0197508, -0.0141803, -0.0101452, -0.00518255, -0.00263903, -0.00134068" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00920822, 0.00921887, 0.00923211, 0.00924418, 0.00925106, 0.00925535", \
-            "0.0107409, 0.01075, 0.0107628, 0.0107769, 0.0107867, 0.0107916", \
-            "0.0116681, 0.0116746, 0.0116848, 0.0116976, 0.0117097, 0.0117161", \
-            "0.0122324, 0.0122357, 0.0122415, 0.0122501, 0.0122598, 0.012268", \
-            "0.0125388, 0.01254, 0.0125422, 0.0125461, 0.0125516, 0.0125576", \
-            "0.0127084, 0.0127088, 0.0127096, 0.012711, 0.0127132, 0.0127163" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0129212, 0.0129423, 0.0129717, 0.013001, 0.0130226, 0.0130364", \
-            "0.0144609, 0.0144816, 0.014512, 0.0145467, 0.0145774, 0.0146005", \
-            "0.0153903, 0.0154009, 0.0154187, 0.0154456, 0.0154746, 0.0155008", \
-            "0.0159171, 0.0159121, 0.0159087, 0.0159118, 0.0159238, 0.0159397", \
-            "0.0164621, 0.0164539, 0.01644, 0.0164214, 0.0164047, 0.0163966", \
-            "0.0170524, 0.0170893, 0.0171224, 0.0171417, 0.0171443, 0.017135" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        timing_sense : non_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.218287, 0.25718, 0.333159, 0.483431, 0.785262, 1.39867", \
-            "0.222884, 0.261809, 0.338062, 0.488617, 0.790396, 1.40416", \
-            "0.234721, 0.273632, 0.34987, 0.500481, 0.802732, 1.41675", \
-            "0.264144, 0.302795, 0.378722, 0.529155, 0.831127, 1.44515", \
-            "0.328814, 0.369089, 0.445584, 0.595389, 0.896186, 1.50922", \
-            "0.437458, 0.486089, 0.577479, 0.743702, 1.04808, 1.65773" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0385545, 0.056677, 0.0950129, 0.177421, 0.353132, 0.722664", \
-            "0.0385892, 0.0566442, 0.0950109, 0.177424, 0.353105, 0.722661", \
-            "0.0385854, 0.0566781, 0.0950119, 0.177429, 0.353134, 0.72266", \
-            "0.0385992, 0.0566674, 0.0950087, 0.177432, 0.353138, 0.722588", \
-            "0.0388786, 0.0568313, 0.095063, 0.177424, 0.353097, 0.722666", \
-            "0.0403213, 0.0580428, 0.0958989, 0.177637, 0.353141, 0.722666" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.193555, 0.228178, 0.293611, 0.417556, 0.6567, 1.13104", \
-            "0.198202, 0.232788, 0.298174, 0.421911, 0.661384, 1.13567", \
-            "0.208554, 0.243181, 0.308786, 0.432698, 0.67235, 1.14675", \
-            "0.231581, 0.266578, 0.332363, 0.456623, 0.695944, 1.1707", \
-            "0.268688, 0.308806, 0.381763, 0.510028, 0.749744, 1.22405", \
-            "0.304945, 0.353889, 0.443807, 0.600141, 0.865555, 1.34407" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0357524, 0.0514687, 0.0799549, 0.134036, 0.245089, 0.48181", \
-            "0.0357886, 0.0514689, 0.0799891, 0.134185, 0.245197, 0.481884", \
-            "0.0358052, 0.0514821, 0.0799558, 0.134142, 0.245143, 0.481984", \
-            "0.0357706, 0.051489, 0.0799486, 0.134036, 0.244996, 0.481837", \
-            "0.0360583, 0.0518833, 0.0801368, 0.134051, 0.245134, 0.481861", \
-            "0.037181, 0.0532127, 0.0814869, 0.134764, 0.245482, 0.481985" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.186647, 0.20326, 0.211373, 0.21713, 0.219725, 0.22403, 0.229099, 0.231322, 0.234287, 0.238437, 0.24195, 0.248386, 0.257041, 0.265359, 0.270275, 0.278079, 0.282681, 0.289287, 0.297007, 0.303072, 0.315204, 0.326308");
-            values ( \
-              "0.0098771, 0.0694413, 0.0922107, 0.103655, 0.107396, 0.11165, 0.113585, 0.113302, 0.111709, 0.105918, 0.0963902, 0.0730793, 0.0483097, 0.0313202, 0.0240168, 0.0154824, 0.0119834, 0.00813544, 0.00525004, 0.00381124, 0.00186361, 0.00119317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.208519, 0.224277, 0.231542, 0.237126, 0.242429, 0.251625, 0.260191, 0.268639, 0.27708, 0.280718, 0.284599, 0.301414, 0.314933, 0.322452, 0.327738, 0.334897, 0.341241, 0.347707, 0.357005, 0.366661, 0.37406, 0.388858, 0.416373, 0.449033");
-            values ( \
-              "0.00596421, 0.0793101, 0.108676, 0.127202, 0.141142, 0.156829, 0.162797, 0.161783, 0.153702, 0.146778, 0.137215, 0.0878703, 0.0568739, 0.0439729, 0.0363935, 0.0279754, 0.0220717, 0.0172552, 0.0120457, 0.00830517, 0.00622748, 0.00346786, 0.00107423, 0.000262755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.256736, 0.281389, 0.296231, 0.302687, 0.315598, 0.325065, 0.33073, 0.34206, 0.350196, 0.359597, 0.415612, 0.437636, 0.450488, 0.467369, 0.494984, 0.515034, 0.531023, 0.563001, 0.565913");
-            values ( \
-              "0.0370812, 0.137533, 0.182103, 0.193988, 0.206186, 0.208208, 0.207189, 0.202123, 0.195618, 0.184688, 0.0829043, 0.0540105, 0.041484, 0.0287864, 0.0154729, 0.00978107, 0.00685542, 0.00305995, 0.00293157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.35128, 0.365423, 0.383027, 0.39207, 0.406149, 0.418669, 0.436665, 0.455837, 0.46859, 0.4939, 0.508313, 0.523158, 0.549088, 0.604072, 0.648855, 0.685412, 0.711038, 0.733567, 0.763605, 0.784892, 0.840045, 0.903077, 0.940778");
-            values ( \
-              "0.113689, 0.11466, 0.18074, 0.20488, 0.228657, 0.238891, 0.242639, 0.238749, 0.234047, 0.221477, 0.212427, 0.201155, 0.176075, 0.116241, 0.0759985, 0.0517189, 0.0387832, 0.0299177, 0.020926, 0.0161824, 0.00820925, 0.00359842, 0.00250172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.528029, 0.58609, 0.598755, 0.619592, 0.646932, 0.688776, 0.70628, 0.78353, 0.824065, 0.853945, 0.912151, 1.01023, 1.06692, 1.14987, 1.19799, 1.24178, 1.2969, 1.3626, 1.40748, 1.49699, 1.59986, 1.67767");
-            values ( \
-              "0.099585, 0.230018, 0.247181, 0.261753, 0.264994, 0.25865, 0.254616, 0.233857, 0.220701, 0.209047, 0.18, 0.125174, 0.0967226, 0.063378, 0.0485027, 0.037761, 0.0272956, 0.0183734, 0.0138849, 0.00792433, 0.00413092, 0.00255333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.887971, 0.98178, 1.00809, 1.0463, 1.0746, 1.15071, 1.29899, 1.41049, 1.51337, 1.60354, 1.87612, 2.046, 2.16028, 2.31972, 2.47562, 2.5785, 2.82801, 3.13663, 3.14481");
-            values ( \
-              "0.149392, 0.260445, 0.272514, 0.276247, 0.275311, 0.268299, 0.25008, 0.234132, 0.215879, 0.195009, 0.12055, 0.0808652, 0.0601193, 0.0386297, 0.0245825, 0.0180673, 0.00846147, 0.00324416, 0.00320301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.205487, 0.221779, 0.22987, 0.236518, 0.24255, 0.248377, 0.254192, 0.25808, 0.271264, 0.279998, 0.287959, 0.296806, 0.307894, 0.32126, 0.336349");
-            values ( \
-              "0.0117893, 0.0690475, 0.0921319, 0.105086, 0.111776, 0.113696, 0.110592, 0.103426, 0.059798, 0.0384719, 0.0251551, 0.0153389, 0.00813017, 0.00384948, 0.00231638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.226307, 0.242858, 0.250129, 0.256838, 0.261019, 0.269381, 0.275137, 0.278755, 0.28599, 0.287191, 0.289593, 0.295868, 0.304692, 0.322326, 0.331574, 0.343619, 0.357071, 0.365728, 0.375501, 0.392515, 0.406187, 0.432364, 0.464605");
-            values ( \
-              "0.00159985, 0.0792382, 0.108667, 0.130442, 0.141138, 0.155772, 0.161042, 0.162579, 0.162209, 0.161588, 0.160142, 0.153017, 0.132867, 0.0817222, 0.0606484, 0.040071, 0.0244538, 0.0176259, 0.0120674, 0.00626358, 0.00363943, 0.00122099, 0.000291326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.275302, 0.300153, 0.315038, 0.321489, 0.334391, 0.343922, 0.349531, 0.36075, 0.369217, 0.378398, 0.434315, 0.456461, 0.469363, 0.486144, 0.513756, 0.533899, 0.548718, 0.57795, 0.589167");
-            values ( \
-              "0.034479, 0.137409, 0.182129, 0.193996, 0.206188, 0.208213, 0.207187, 0.202199, 0.195414, 0.184678, 0.0830555, 0.053982, 0.041418, 0.0288016, 0.0154819, 0.00976583, 0.00703628, 0.0033856, 0.00283509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.366361, 0.380547, 0.394689, 0.411178, 0.425032, 0.437786, 0.455682, 0.474957, 0.48771, 0.51302, 0.52743, 0.542278, 0.56822, 0.623197, 0.667987, 0.704493, 0.730148, 0.752706, 0.782783, 0.804029, 0.859093, 0.922024, 0.961588");
-            values ( \
-              "0.0703646, 0.0982546, 0.155081, 0.204701, 0.22834, 0.23882, 0.24266, 0.238774, 0.234015, 0.221506, 0.212429, 0.201156, 0.176062, 0.116235, 0.075989, 0.0517408, 0.0387877, 0.029911, 0.020911, 0.0161787, 0.00821658, 0.00360704, 0.00245323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.548002, 0.57798, 0.605349, 0.617281, 0.636988, 0.657329, 0.665412, 0.681576, 0.721238, 0.762975, 0.813358, 0.846695, 0.872496, 0.924098, 1.03567, 1.06935, 1.12612, 1.18713, 1.23627, 1.2612, 1.32565, 1.38598, 1.44637, 1.49289, 1.58593, 1.68881, 1.89455");
-            values ( \
-              "0.11723, 0.16232, 0.231202, 0.247277, 0.261157, 0.264981, 0.264882, 0.263233, 0.255382, 0.244878, 0.230523, 0.219278, 0.208967, 0.18363, 0.121495, 0.104454, 0.0789669, 0.0571899, 0.0433759, 0.0375929, 0.02572, 0.0178026, 0.0122933, 0.00920242, 0.00506001, 0.00258918, 0.000672028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.908958, 0.999341, 1.02371, 1.05373, 1.08352, 1.12302, 1.2205, 1.32946, 1.43045, 1.53332, 1.62349, 1.89608, 2.06596, 2.18023, 2.26986, 2.36576, 2.49558, 2.59845, 2.74509, 2.84797, 2.95084, 3.15659, 3.22166");
-            values ( \
-              "0.154915, 0.258537, 0.271226, 0.27594, 0.275885, 0.273177, 0.262562, 0.248662, 0.234131, 0.21588, 0.195008, 0.120549, 0.080864, 0.0601203, 0.0470117, 0.0358749, 0.0245834, 0.0180664, 0.0115875, 0.00846238, 0.00614914, 0.00324326, 0.0029161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.248439, 0.256177, 0.263925, 0.272518, 0.27915, 0.285209, 0.291024, 0.296842, 0.300686, 0.313849, 0.32261, 0.330798, 0.339347, 0.343919, 0.350504, 0.358131, 0.364113, 0.376078, 0.389841");
-            values ( \
-              "0.0144407, 0.0393451, 0.0677748, 0.0919894, 0.104879, 0.111776, 0.113587, 0.110606, 0.103514, 0.0599931, 0.0385444, 0.0249001, 0.0154383, 0.0119187, 0.00815011, 0.00530225, 0.00380774, 0.00193156, 0.00103546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.270155, 0.288289, 0.296538, 0.303651, 0.312847, 0.321414, 0.329862, 0.338303, 0.34194, 0.34582, 0.362636, 0.376153, 0.383679, 0.38897, 0.396123, 0.40246, 0.408928, 0.418227, 0.427887, 0.435289, 0.450094, 0.477597, 0.510243");
-            values ( \
-              "0.00917026, 0.0911532, 0.121559, 0.141163, 0.156808, 0.16281, 0.161761, 0.153718, 0.14678, 0.137216, 0.0878752, 0.0568807, 0.0439696, 0.036381, 0.0279753, 0.0220772, 0.0172552, 0.0120484, 0.00830617, 0.00622445, 0.00346778, 0.00107274, 0.000264651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.313891, 0.328353, 0.337392, 0.347895, 0.357692, 0.364106, 0.376935, 0.38124, 0.386712, 0.39215, 0.403026, 0.41252, 0.421017, 0.436114, 0.459202, 0.467527, 0.477145, 0.489459, 0.498973, 0.511658, 0.521217, 0.528869, 0.539071, 0.556496, 0.564473, 0.57624, 0.591512, 0.622057, 0.669951, 0.728014");
-            values ( \
-              "0.00447728, 0.074732, 0.115408, 0.15579, 0.182309, 0.193863, 0.206238, 0.207647, 0.208155, 0.207205, 0.202376, 0.194729, 0.18467, 0.159047, 0.113039, 0.0981847, 0.082734, 0.0653588, 0.0540986, 0.0417066, 0.0339187, 0.028735, 0.0230607, 0.0154419, 0.0128802, 0.00983119, 0.00700657, 0.00325579, 0.00100111, 0.000115912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.409127, 0.423243, 0.437384, 0.45387, 0.468046, 0.480484, 0.498665, 0.517658, 0.530412, 0.555722, 0.570129, 0.584981, 0.610956, 0.665917, 0.710725, 0.747089, 0.772822, 0.795462, 0.825648, 0.846783, 0.901598, 0.964245, 1.00434");
-            values ( \
-              "0.0715322, 0.0980296, 0.155108, 0.204616, 0.228746, 0.238748, 0.242694, 0.238826, 0.233953, 0.221561, 0.212429, 0.201153, 0.176025, 0.116218, 0.0759617, 0.0518015, 0.0388002, 0.0298922, 0.0208693, 0.0161685, 0.00823686, 0.00363126, 0.00245393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.592697, 0.616867, 0.636844, 0.644866, 0.660614, 0.680483, 0.701463, 0.723326, 0.750667, 0.768089, 0.845451, 0.88593, 0.91581, 0.974015, 1.07209, 1.12878, 1.17565, 1.21174, 1.25985, 1.30365, 1.35876, 1.42446, 1.49919, 1.55887, 1.66174, 1.76462, 1.97036");
-            values ( \
-              "0.141602, 0.147689, 0.206838, 0.224133, 0.247367, 0.261211, 0.265063, 0.263473, 0.258547, 0.254549, 0.233912, 0.220768, 0.20898, 0.180066, 0.125221, 0.0967671, 0.0765896, 0.0633372, 0.0485432, 0.0377982, 0.0273314, 0.0183382, 0.0115964, 0.00795313, 0.00410125, 0.00207402, 0.000527101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.952372, 1.04265, 1.07124, 1.09616, 1.12559, 1.21385, 1.3657, 1.4737, 1.57657, 1.66674, 1.93932, 2.1092, 2.22348, 2.38292, 2.53883, 2.6417, 2.89122, 3.18493");
-            values ( \
-              "0.156029, 0.258586, 0.272439, 0.27589, 0.275935, 0.268274, 0.249605, 0.234131, 0.215879, 0.195008, 0.120549, 0.0808642, 0.0601202, 0.0386289, 0.0245833, 0.0180665, 0.00846227, 0.00349536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.346621, 0.370101, 0.376752, 0.382786, 0.388615, 0.394462, 0.398293, 0.411464, 0.420215, 0.428347, 0.436968, 0.448106, 0.461646, 0.477549");
-            values ( \
-              "0.0194843, 0.0920053, 0.105149, 0.111638, 0.113765, 0.110449, 0.103467, 0.0599086, 0.0385076, 0.0249455, 0.0154201, 0.00815418, 0.00381006, 0.00221263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.37026, 0.376648, 0.386653, 0.396887, 0.401054, 0.409389, 0.41532, 0.418787, 0.42722, 0.4359, 0.444663, 0.457767, 0.47058, 0.485262, 0.500648, 0.516926, 0.533645, 0.552753, 0.560273");
-            values ( \
-              "0.0336109, 0.0522057, 0.0951982, 0.130564, 0.141192, 0.15576, 0.161185, 0.162545, 0.161537, 0.153037, 0.13348, 0.0939677, 0.0629289, 0.0379231, 0.021369, 0.0113986, 0.006028, 0.0027183, 0.00240385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.410013, 0.427285, 0.434391, 0.440871, 0.444891, 0.45293, 0.461099, 0.475366, 0.4892, 0.503012, 0.509729, 0.516663, 0.520714, 0.526115, 0.534778, 0.552103, 0.564645, 0.574283, 0.586491, 0.595881, 0.608401, 0.618146, 0.625938, 0.636327, 0.653576, 0.661473, 0.673021, 0.688419, 0.719215, 0.76717, 0.825259");
-            values ( \
-              "0.000787447, 0.0832151, 0.115398, 0.141822, 0.155701, 0.178391, 0.193762, 0.207051, 0.207467, 0.200595, 0.19462, 0.186654, 0.180865, 0.17194, 0.155447, 0.120465, 0.0980308, 0.0824476, 0.0653699, 0.0542526, 0.0418632, 0.034027, 0.0287494, 0.022862, 0.0154754, 0.0129422, 0.00994376, 0.0069564, 0.00330419, 0.00093085, 0.000178355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.502659, 0.52002, 0.539002, 0.550717, 0.563945, 0.577349, 0.595314, 0.60218, 0.61453, 0.627283, 0.652594, 0.666993, 0.681852, 0.707761, 0.762755, 0.807526, 0.844173, 0.86975, 0.892227, 0.922196, 0.943553, 0.967257, 0.998862, 1.06207, 1.10428");
-            values ( \
-              "0.0397198, 0.0980644, 0.171557, 0.20437, 0.227323, 0.238737, 0.242609, 0.241833, 0.238754, 0.23401, 0.221501, 0.212437, 0.201154, 0.176098, 0.116252, 0.0760153, 0.0516808, 0.0387753, 0.0299295, 0.0209527, 0.0161888, 0.0121336, 0.00819684, 0.00358319, 0.0023607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.686931, 0.723444, 0.741102, 0.757191, 0.777066, 0.797793, 0.805331, 0.820406, 0.84765, 0.863886, 0.942834, 0.980979, 1.01239, 1.07052, 1.16855, 1.22514, 1.27215, 1.30848, 1.35693, 1.40026, 1.45482, 1.52086, 1.56606, 1.65645, 1.75932, 1.8622, 2.06794");
-            values ( \
-              "0.102829, 0.179166, 0.223257, 0.24716, 0.261207, 0.264975, 0.264906, 0.263357, 0.258492, 0.254762, 0.233647, 0.22129, 0.209012, 0.180078, 0.125255, 0.0968421, 0.0766524, 0.0633111, 0.0483793, 0.037764, 0.0273905, 0.0183842, 0.0138794, 0.00787822, 0.00409843, 0.00203703, 0.000500465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.05116, 1.10374, 1.11657, 1.14223, 1.16737, 1.19457, 1.22257, 1.25715, 1.2937, 1.35987, 1.4272, 1.53122, 1.56983, 1.64705, 1.68649, 1.74673, 1.81883, 2.03551, 2.1027, 2.20557, 2.2522, 2.31873, 2.40744, 2.50563, 2.5591, 2.66197, 2.78085, 2.84028, 2.94315, 3.04603, 3.1489, 3.25177, 3.45752, 3.76614");
-            values ( \
-              "0.176596, 0.211639, 0.233703, 0.261286, 0.272553, 0.276203, 0.275963, 0.273601, 0.270017, 0.26251, 0.254148, 0.239949, 0.234109, 0.220918, 0.212915, 0.199065, 0.180167, 0.120543, 0.10368, 0.0808277, 0.0717562, 0.0602259, 0.0472615, 0.0357948, 0.0307056, 0.0226465, 0.0158614, 0.0132824, 0.00967233, 0.00707131, 0.00511784, 0.00374316, 0.00197807, 0.000733612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.557878, 0.576921, 0.585237, 0.591962, 0.598078, 0.603952, 0.609837, 0.614068, 0.625608, 0.634383, 0.643709, 0.652486, 0.663602, 0.677023, 0.691424");
-            values ( \
-              "0.00212154, 0.0672572, 0.0904705, 0.103632, 0.110635, 0.112852, 0.109919, 0.102075, 0.0635676, 0.0409554, 0.0250281, 0.0153549, 0.00813895, 0.0038288, 0.00236984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.583218, 0.593025, 0.603877, 0.612857, 0.617868, 0.627121, 0.635722, 0.644195, 0.653368, 0.659142, 0.676887, 0.689744, 0.69569, 0.704041, 0.710766, 0.716361, 0.723002, 0.732432, 0.742598, 0.750446, 0.76614, 0.78758");
-            values ( \
-              "0.00556539, 0.050327, 0.0959166, 0.127047, 0.139985, 0.156147, 0.162167, 0.161477, 0.152185, 0.139816, 0.0881627, 0.0584105, 0.0477558, 0.0354866, 0.0277147, 0.0224911, 0.0174656, 0.0121348, 0.00820389, 0.00604081, 0.00324258, 0.00150059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.628976, 0.651666, 0.662223, 0.672015, 0.678479, 0.691408, 0.700905, 0.706556, 0.71786, 0.726094, 0.735435, 0.750503, 0.776347, 0.791468, 0.813471, 0.826305, 0.843221, 0.853256, 0.870838, 0.882875, 0.906807, 0.938716, 0.962917");
-            values ( \
-              "0.0105761, 0.114602, 0.155132, 0.181821, 0.193454, 0.206106, 0.207938, 0.20715, 0.201955, 0.195402, 0.184731, 0.159003, 0.108079, 0.0827944, 0.0541099, 0.0414171, 0.0288756, 0.0230882, 0.0155656, 0.0117868, 0.00676414, 0.00317121, 0.0019994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.725489, 0.743906, 0.751119, 0.767613, 0.779379, 0.794222, 0.811884, 0.819048, 0.831397, 0.844151, 0.869461, 0.883865, 0.89872, 0.924664, 0.979641, 1.02443, 1.06093, 1.08659, 1.10915, 1.13923, 1.16047, 1.18407, 1.21552, 1.27844, 1.32006");
-            values ( \
-              "0.0877336, 0.126695, 0.155235, 0.204699, 0.225562, 0.238849, 0.242653, 0.241841, 0.238772, 0.233999, 0.221513, 0.212432, 0.20115, 0.176061, 0.116232, 0.0759853, 0.0517451, 0.0387874, 0.0299091, 0.0209095, 0.0161773, 0.0121414, 0.00821847, 0.00360767, 0.00239321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.906975, 0.939191, 0.956953, 0.972913, 0.993446, 1.01436, 1.0345, 1.06136, 1.08374, 1.14805, 1.2176, 1.24926, 1.29268, 1.39488, 1.47728, 1.50745, 1.55391, 1.61709, 1.64556, 1.70251, 1.74734, 1.7967, 1.8625, 1.96538, 2.06825, 2.274");
-            values ( \
-              "0.138935, 0.179967, 0.223991, 0.247414, 0.261617, 0.265042, 0.263581, 0.258935, 0.253777, 0.236769, 0.213447, 0.199305, 0.176395, 0.119661, 0.0808443, 0.0691392, 0.0537599, 0.0375283, 0.0318047, 0.0225721, 0.0172068, 0.0127109, 0.00838303, 0.00432875, 0.00218633, 0.000554321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.26861, 1.35397, 1.37903, 1.41094, 1.47862, 1.5761, 1.78605, 1.88892, 2.42156, 2.53584, 2.72137, 2.95406, 3.20357, 3.32807");
-            values ( \
-              "0.182689, 0.257645, 0.271159, 0.275971, 0.273122, 0.262528, 0.234131, 0.215879, 0.0808647, 0.0601197, 0.0358742, 0.0180669, 0.00846181, 0.00635687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.999244, 1.0098, 1.02579, 1.03179, 1.03921, 1.04528, 1.05133, 1.0574, 1.06933, 1.0791, 1.08686, 1.09841, 1.10857, 1.11559, 1.12362, 1.13967, 1.15406");
-            values ( \
-              "0.0224023, 0.0396995, 0.0839231, 0.0962333, 0.105993, 0.109075, 0.107245, 0.0960802, 0.0572784, 0.0350574, 0.0231163, 0.012133, 0.00679372, 0.00464866, 0.00291953, 0.00123209, 0.00063115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.03245, 1.04131, 1.05503, 1.06687, 1.07522, 1.07917, 1.08445, 1.09069, 1.09403, 1.10071, 1.10287, 1.11257, 1.1296, 1.14245, 1.15388, 1.16759, 1.18384, 1.20276, 1.21589, 1.23335");
-            values ( \
-              "0.0368323, 0.0480318, 0.0972842, 0.132494, 0.148568, 0.153447, 0.157569, 0.158932, 0.158297, 0.153599, 0.150793, 0.129193, 0.0811102, 0.0534697, 0.035871, 0.0215511, 0.0115309, 0.00560306, 0.00328465, 0.0021369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.08952, 1.10419, 1.12578, 1.13619, 1.14269, 1.15571, 1.16043, 1.16588, 1.17126, 1.18204, 1.19218, 1.20034, 1.21554, 1.24255, 1.26581, 1.28814, 1.30366, 1.32161, 1.3373, 1.35771, 1.38493, 1.41735");
-            values ( \
-              "0.0136942, 0.0643212, 0.146697, 0.175737, 0.18823, 0.202556, 0.204614, 0.205482, 0.205038, 0.200844, 0.193088, 0.183724, 0.158178, 0.105806, 0.0693984, 0.0443899, 0.0319152, 0.0215123, 0.0150917, 0.00946202, 0.00494421, 0.00246906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.198, 1.21679, 1.23149, 1.24834, 1.26119, 1.27521, 1.29347, 1.31252, 1.32528, 1.35063, 1.36486, 1.37989, 1.40722, 1.45298, 1.48793, 1.52749, 1.54509, 1.57539, 1.61696, 1.64062, 1.67229, 1.71451, 1.79465, 1.88782");
-            values ( \
-              "0.0338601, 0.0934969, 0.150655, 0.201557, 0.224662, 0.237467, 0.241903, 0.238357, 0.233737, 0.221346, 0.212442, 0.201077, 0.17455, 0.12436, 0.0906661, 0.0606385, 0.0501031, 0.0356019, 0.0218273, 0.0164074, 0.0111482, 0.00655296, 0.00218996, 0.000575978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.38919, 1.41494, 1.4366, 1.45743, 1.47772, 1.49839, 1.50559, 1.51999, 1.54723, 1.56548, 1.64173, 1.68276, 1.71265, 1.77086, 1.86893, 1.92563, 1.97249, 2.00858, 2.0567, 2.10049, 2.1556, 2.2213, 2.29604, 2.35572, 2.45859, 2.56146, 2.76721");
-            values ( \
-              "0.134773, 0.151745, 0.213429, 0.24707, 0.261277, 0.264983, 0.264854, 0.263454, 0.258605, 0.254412, 0.234073, 0.220768, 0.20898, 0.180064, 0.125225, 0.0967722, 0.0765845, 0.063331, 0.0485483, 0.0378047, 0.0273387, 0.0183312, 0.0115881, 0.00796133, 0.0040928, 0.00208239, 0.000535569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.7498, 1.83507, 1.86009, 1.89377, 1.95993, 2.05741, 2.26736, 2.37023, 2.90287, 3.01715, 3.20268, 3.43537, 3.68488, 3.81198");
-            values ( \
-              "0.181556, 0.257475, 0.27108, 0.276052, 0.273127, 0.262536, 0.234132, 0.215878, 0.0808651, 0.0601195, 0.0358741, 0.0180671, 0.00846166, 0.00631295" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00998556, 0.0100107, 0.010041, 0.0100687, 0.0100886, 0.0101004", \
-            "0.0123137, 0.0123387, 0.0123734, 0.0124105, 0.0124408, 0.0124605", \
-            "0.0137421, 0.0137561, 0.0137797, 0.0138104, 0.0138406, 0.0138627", \
-            "0.0145687, 0.0145642, 0.0145623, 0.0145669, 0.0145772, 0.0145889", \
-            "0.0150405, 0.0150191, 0.0149892, 0.0149576, 0.0149347, 0.0149235", \
-            "0.0153157, 0.015292, 0.0152561, 0.0152058, 0.0151492, 0.0151041" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0146035, 0.0146077, 0.0146213, 0.0146413, 0.0146597, 0.0146721", \
-            "0.0153388, 0.015299, 0.015253, 0.0152121, 0.0151831, 0.0151657", \
-            "0.0147941, 0.0147296, 0.0146405, 0.0145437, 0.0144618, 0.0144069", \
-            "0.0144073, 0.0143107, 0.0141806, 0.0140408, 0.0139211, 0.0138383", \
-            "0.0147722, 0.0145273, 0.0142567, 0.0140001, 0.0137875, 0.013646", \
-            "0.0149685, 0.015, 0.0151422, 0.0144693, 0.0139976, 0.0137126" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.16536, 0.169828, 0.179072, 0.186792, 0.19339, 0.196534, 0.204149, 0.207432, 0.21088, 0.216499, 0.220206, 0.222556, 0.232257, 0.23738, 0.24112, 0.245363, 0.250867, 0.255423, 0.263451");
-            values ( \
-              "-0.0282097, -0.0386058, -0.0751399, -0.0940341, -0.104722, -0.108429, -0.114723, -0.11633, -0.117024, -0.115125, -0.107805, -0.100192, -0.0531619, -0.0335915, -0.0233718, -0.0150672, -0.00844871, -0.00518124, -0.00223049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.183593, 0.189508, 0.190759, 0.193262, 0.194513, 0.195274, 0.196035, 0.196796, 0.197557, 0.19984, 0.202204, 0.204567, 0.206931, 0.210057, 0.213183, 0.214497, 0.217125, 0.218438, 0.221629, 0.225011, 0.227329, 0.231502, 0.234637, 0.237771, 0.240881, 0.243961, 0.246931, 0.249927, 0.251932, 0.255942, 0.257188, 0.258055, 0.259355, 0.260222, 0.261089, 0.262823, 0.264674, 0.268376, 0.274136, 0.276197, 0.279286, 0.282392, 0.285403, 0.287501, 0.28969, 0.290646, 0.293514, 0.296223, 0.298931, 0.301105");
-            values ( \
-              "-0.0327787, -0.0598958, -0.0647168, -0.075186, -0.0808342, -0.0850696, -0.0887719, -0.0922514, -0.0953177, -0.10394, -0.111956, -0.118611, -0.12458, -0.131204, -0.137066, -0.139243, -0.143313, -0.145206, -0.149357, -0.153457, -0.15609, -0.160399, -0.163331, -0.166117, -0.168738, -0.17119, -0.170657, -0.169908, -0.169289, -0.167766, -0.165705, -0.164034, -0.161041, -0.158789, -0.156331, -0.150797, -0.143508, -0.125744, -0.0965499, -0.0867497, -0.072754, -0.0609985, -0.050965, -0.04443, -0.0382996, -0.0360879, -0.0300342, -0.0251704, -0.0209794, -0.0180853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.205447, 0.259603, 0.278808, 0.292344, 0.305127, 0.317536, 0.329945, 0.334835, 0.339045, 0.34393, 0.360335, 0.367414, 0.379924, 0.386617, 0.395541, 0.402467, 0.410136, 0.420362, 0.440812, 0.468778, 0.50324");
-            values ( \
-              "-0.00730495, -0.175486, -0.203021, -0.218897, -0.22922, -0.232179, -0.223411, -0.214383, -0.202663, -0.184645, -0.117676, -0.0933883, -0.0599374, -0.0467086, -0.0330849, -0.0251977, -0.0185804, -0.0122611, -0.00509272, -0.00141084, -0.000295732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.272416, 0.334184, 0.348711, 0.362275, 0.374622, 0.386115, 0.408076, 0.429124, 0.450036, 0.470941, 0.473158, 0.482024, 0.493845, 0.511715, 0.528241, 0.538107, 0.557895, 0.565629, 0.578067, 0.589441, 0.605382, 0.611725, 0.624412, 0.649784, 0.697827, 0.753967");
-            values ( \
-              "-0.00485276, -0.18884, -0.214236, -0.23568, -0.252109, -0.264561, -0.28074, -0.287534, -0.28482, -0.267968, -0.265007, -0.248855, -0.218945, -0.169206, -0.128293, -0.107548, -0.0739925, -0.0635995, -0.0496307, -0.0393647, -0.0282603, -0.0247588, -0.018951, -0.0109337, -0.00351986, -0.000878008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.43378, 0.459254, 0.474368, 0.510502, 0.522483, 0.551217, 0.562809, 0.591677, 0.603045, 0.62578, 0.638896, 0.653517, 0.668137, 0.697379, 0.726216, 0.748016, 0.789925, 0.820584, 0.846305, 0.869201, 0.893246, 0.925306, 0.953438, 0.973662, 1.01093, 1.02307, 1.04968, 1.08009, 1.14092, 1.21925, 1.29759");
-            values ( \
-              "-0.145199, -0.149535, -0.180141, -0.244565, -0.262586, -0.2951, -0.304468, -0.320239, -0.323989, -0.328304, -0.329067, -0.328676, -0.327032, -0.319947, -0.306702, -0.290156, -0.234984, -0.187198, -0.151144, -0.123435, -0.098837, -0.0724937, -0.0548627, -0.0447267, -0.0303944, -0.026811, -0.0203066, -0.0147323, -0.00756227, -0.00312689, -0.0012756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.710827, 0.752263, 0.816824, 0.838791, 0.869152, 0.912255, 0.919946, 0.935327, 0.96531, 0.994243, 1.05211, 1.09945, 1.14296, 1.2184, 1.2535, 1.29093, 1.32961, 1.38118, 1.44377, 1.51498, 1.54425, 1.59405, 1.65097, 1.69256, 1.74154, 1.80683, 1.87288, 1.90637, 1.97335, 2.05169, 2.13002, 2.20836, 2.36504, 2.52171");
-            values ( \
-              "-0.151024, -0.161104, -0.262201, -0.29037, -0.318656, -0.342053, -0.344772, -0.348753, -0.353836, -0.355455, -0.354069, -0.349429, -0.343282, -0.328614, -0.318639, -0.304455, -0.283567, -0.245893, -0.196617, -0.146941, -0.129439, -0.103524, -0.0793419, -0.065039, -0.0512494, -0.0370099, -0.026537, -0.0223928, -0.0158715, -0.0105663, -0.00702003, -0.00465776, -0.00204623, -0.000898172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.178103, 0.204618, 0.208843, 0.217644, 0.223671, 0.229318, 0.231581, 0.234944, 0.240603, 0.254067, 0.259061, 0.267517, 0.27773, 0.282895");
-            values ( \
-              "-0.00203219, -0.0926259, -0.10031, -0.111035, -0.115224, -0.117076, -0.116949, -0.114765, -0.101748, -0.0395494, -0.0245523, -0.0102421, -0.00334528, -0.00221242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.202377, 0.218324, 0.225554, 0.23695, 0.245847, 0.256202, 0.266441, 0.274462, 0.2779, 0.283195, 0.300967, 0.308172, 0.319338, 0.327905, 0.337042, 0.337884");
-            values ( \
-              "-0.0368928, -0.10436, -0.124636, -0.145337, -0.156104, -0.166059, -0.171414, -0.167894, -0.16151, -0.144034, -0.0609897, -0.0389926, -0.0184758, -0.0102046, -0.00526928, -0.00507289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.223943, 0.278072, 0.297352, 0.310886, 0.315806, 0.323654, 0.336074, 0.348491, 0.353324, 0.357562, 0.362478, 0.378886, 0.385968, 0.398478, 0.40517, 0.414093, 0.421022, 0.428693, 0.438922, 0.459379, 0.486991, 0.520996");
-            values ( \
-              "-0.00743778, -0.175189, -0.203025, -0.218868, -0.22336, -0.228948, -0.232161, -0.22322, -0.214445, -0.202702, -0.184608, -0.117665, -0.0933763, -0.0599318, -0.0467073, -0.033085, -0.0251978, -0.0185794, -0.0122577, -0.00509151, -0.00143916, -0.000310941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.303658, 0.336154, 0.351757, 0.370915, 0.380341, 0.392462, 0.404242, 0.426279, 0.447309, 0.468235, 0.489154, 0.491358, 0.500176, 0.511932, 0.53069, 0.546848, 0.555826, 0.570898, 0.582774, 0.601088, 0.620715, 0.627433, 0.643379, 0.661603, 0.698052, 0.751676, 0.817359");
-            values ( \
-              "-0.0458103, -0.152992, -0.186896, -0.220191, -0.234821, -0.251169, -0.264004, -0.280451, -0.287267, -0.284707, -0.26783, -0.264933, -0.248921, -0.21926, -0.167159, -0.127416, -0.108527, -0.0818682, -0.0649711, -0.0450276, -0.0299505, -0.0260413, -0.0186374, -0.0126196, -0.00556518, -0.00149887, -0.000269471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.437734, 0.479687, 0.522889, 0.53586, 0.552781, 0.569662, 0.591536, 0.610186, 0.6471, 0.65856, 0.671657, 0.686718, 0.716841, 0.74304, 0.766597, 0.808434, 0.839432, 0.865437, 0.895627, 0.911271, 0.942558, 0.973549, 0.995841, 1.03078, 1.06557, 1.09222, 1.14552, 1.22386, 1.3022, 1.38054");
-            values ( \
-              "-0.0412297, -0.15313, -0.233764, -0.254664, -0.277298, -0.294718, -0.311015, -0.320049, -0.328725, -0.329174, -0.328775, -0.327085, -0.319679, -0.307754, -0.290165, -0.23511, -0.186806, -0.150426, -0.114921, -0.0993567, -0.0734565, -0.0540376, -0.0431172, -0.0300204, -0.0209038, -0.0157917, -0.00885593, -0.00366293, -0.0014973, -0.000623146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.734616, 0.782234, 0.844138, 0.857116, 0.883073, 0.91326, 0.938205, 0.976694, 1.01257, 1.06794, 1.08619, 1.12268, 1.16127, 1.2436, 1.27091, 1.30733, 1.32213, 1.35175, 1.47734, 1.54598, 1.56582, 1.63011, 1.69288, 1.749, 1.82382, 1.87233, 1.92694, 1.99976, 2.07809, 2.15643, 2.31311, 2.46978");
-            values ( \
-              "-0.176323, -0.177793, -0.274536, -0.290282, -0.315172, -0.334382, -0.344561, -0.352922, -0.355635, -0.354114, -0.352704, -0.348712, -0.343394, -0.326767, -0.318926, -0.305302, -0.298306, -0.281102, -0.185262, -0.139187, -0.127617, -0.0954187, -0.0709042, -0.0540364, -0.0371835, -0.0291462, -0.0221075, -0.0151784, -0.0101164, -0.00670589, -0.00294468, -0.00128828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.225037, 0.228486, 0.229693, 0.232107, 0.233953, 0.237166, 0.242484, 0.246661, 0.253266, 0.253334, 0.253741, 0.254284, 0.255369, 0.257398, 0.259327, 0.2623, 0.265112, 0.270737, 0.276389, 0.282044, 0.282483, 0.283361, 0.285118, 0.28863, 0.290255, 0.291225, 0.292518, 0.294544, 0.297176, 0.297879, 0.299285, 0.301891, 0.305596, 0.306949, 0.308249, 0.309982, 0.313448, 0.32038, 0.321477");
-            values ( \
-              "-0.0279093, -0.0366471, -0.0380556, -0.0469589, -0.055049, -0.0694724, -0.0848735, -0.0937125, -0.10484, -0.10486, -0.105272, -0.105945, -0.107213, -0.109383, -0.111172, -0.113505, -0.115214, -0.117163, -0.114978, -0.101767, -0.100278, -0.0966415, -0.0882609, -0.0700999, -0.0619719, -0.0573714, -0.0515913, -0.0432161, -0.0339542, -0.0317279, -0.0277386, -0.0213725, -0.0146, -0.0126482, -0.011042, -0.0092178, -0.00634192, -0.00296329, -0.00275156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.237318, 0.261798, 0.267578, 0.277992, 0.286936, 0.299463, 0.303572, 0.307532, 0.315555, 0.318952, 0.324287, 0.335822, 0.341974, 0.349331, 0.353146, 0.360441, 0.368895, 0.377913, 0.39377, 0.412655, 0.436898");
-            values ( \
-              "-0.000963287, -0.110982, -0.126326, -0.144932, -0.15589, -0.167553, -0.170119, -0.171432, -0.167839, -0.16159, -0.144029, -0.0867139, -0.0612971, -0.038831, -0.0302616, -0.0184611, -0.0102765, -0.00536081, -0.00158038, -0.000423942, -0.000145162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.263985, 0.319503, 0.338812, 0.352339, 0.357621, 0.365101, 0.377517, 0.38993, 0.394793, 0.399017, 0.403917, 0.420323, 0.427404, 0.439913, 0.446606, 0.45553, 0.462458, 0.470128, 0.480355, 0.500809, 0.528204, 0.561933");
-            values ( \
-              "-0.00249586, -0.175281, -0.203125, -0.218944, -0.223789, -0.228997, -0.23225, -0.223231, -0.214409, -0.202678, -0.184625, -0.117669, -0.0933816, -0.059934, -0.0467071, -0.0330844, -0.0251972, -0.0185794, -0.0122593, -0.00509186, -0.00145837, -0.00031921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.342013, 0.361978, 0.370121, 0.376293, 0.385337, 0.392527, 0.40909, 0.42212, 0.434134, 0.446004, 0.468008, 0.489055, 0.509977, 0.530892, 0.5331, 0.541932, 0.553707, 0.572205, 0.588531, 0.597651, 0.612769, 0.62456, 0.642597, 0.662372, 0.669126, 0.685298, 0.70378, 0.740744, 0.794047, 0.859437");
-            values ( \
-              "-0.0192742, -0.10058, -0.129503, -0.14886, -0.170918, -0.185396, -0.214491, -0.235104, -0.251207, -0.264183, -0.280503, -0.287365, -0.284716, -0.267891, -0.264953, -0.248901, -0.219167, -0.167758, -0.127535, -0.108351, -0.0816562, -0.0649081, -0.0452375, -0.029997, -0.026062, -0.0185637, -0.0124979, -0.00544011, -0.00147959, -0.000269777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.47833, 0.510369, 0.521616, 0.564889, 0.577804, 0.594898, 0.6116, 0.633446, 0.652101, 0.688863, 0.701325, 0.714966, 0.728622, 0.755932, 0.767462, 0.787092, 0.808484, 0.850408, 0.880994, 0.906656, 0.929662, 0.953829, 0.986051, 1.01358, 1.03337, 1.07113, 1.0834, 1.11072, 1.14195, 1.20441, 1.28275, 1.36108");
-            values ( \
-              "-0.0310173, -0.125064, -0.153769, -0.234316, -0.255002, -0.277824, -0.294919, -0.311184, -0.320138, -0.328788, -0.329224, -0.328705, -0.327109, -0.320618, -0.316297, -0.306474, -0.290169, -0.234958, -0.187283, -0.151292, -0.123439, -0.0987265, -0.0722954, -0.0550391, -0.0450686, -0.0304757, -0.0268415, -0.0201814, -0.0145095, -0.0073071, -0.00301823, -0.00123375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.777942, 0.832792, 0.893235, 0.930963, 0.968151, 0.979723, 1.00287, 1.04607, 1.07023, 1.12761, 1.18507, 1.23818, 1.28051, 1.35884, 1.39242, 1.42344, 1.53863, 1.61176, 1.68941, 1.73984, 1.78042, 1.83139, 1.90313, 1.94997, 2.03775, 2.11609, 2.27276, 2.50778");
-            values ( \
-              "-0.178199, -0.190992, -0.283962, -0.319807, -0.340485, -0.344462, -0.350403, -0.355295, -0.35561, -0.352537, -0.346029, -0.337226, -0.327855, -0.300814, -0.281766, -0.2597, -0.171063, -0.125158, -0.0878406, -0.0691379, -0.0568255, -0.0441841, -0.030804, -0.0243058, -0.0155124, -0.0103371, -0.00455965, -0.00131887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.315442, 0.320601, 0.327322, 0.334495, 0.343738, 0.346835, 0.354842, 0.361678, 0.367298, 0.371191, 0.37336, 0.386583, 0.391452, 0.395076, 0.399848, 0.403183, 0.409853, 0.419546");
-            values ( \
-              "-0.0122852, -0.0385587, -0.0663049, -0.0873334, -0.104062, -0.107722, -0.114494, -0.117078, -0.114971, -0.107273, -0.100171, -0.0389732, -0.0244769, -0.0169061, -0.0102833, -0.00719521, -0.00345172, -0.00122882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.337351, 0.344449, 0.3459, 0.347276, 0.348652, 0.350716, 0.352117, 0.353519, 0.356321, 0.357722, 0.359124, 0.361813, 0.364502, 0.367111, 0.369719, 0.374018, 0.376337, 0.378657, 0.382831, 0.385906, 0.390635, 0.395296, 0.398267, 0.401263, 0.403269, 0.407281, 0.408733, 0.409379, 0.41024, 0.411531, 0.412392, 0.414113, 0.416014, 0.418864, 0.421246, 0.42554, 0.428837, 0.430546, 0.432114, 0.433683, 0.436717, 0.438843, 0.441072, 0.442025, 0.442978, 0.444883, 0.447587, 0.448938, 0.45029, 0.452452");
-            values ( \
-              "-0.0706833, -0.0744679, -0.0813347, -0.0884111, -0.0945022, -0.102528, -0.107411, -0.111936, -0.11965, -0.123167, -0.126457, -0.131995, -0.136979, -0.14121, -0.145067, -0.150606, -0.153383, -0.15601, -0.160331, -0.163216, -0.167361, -0.171124, -0.170595, -0.169858, -0.16925, -0.16776, -0.165299, -0.164026, -0.162103, -0.158837, -0.156406, -0.150931, -0.143456, -0.129863, -0.118153, -0.0962279, -0.0807009, -0.0730758, -0.0669544, -0.0611751, -0.0510471, -0.0444209, -0.0382014, -0.0360024, -0.0338994, -0.0299819, -0.0251364, -0.0229637, -0.0209577, -0.0180799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.370167, 0.388006, 0.394492, 0.40608, 0.415663, 0.429826, 0.444067, 0.456832, 0.463117, 0.469223, 0.481572, 0.488477, 0.49562, 0.513346, 0.520038, 0.53276, 0.539876, 0.54801, 0.554628, 0.561349, 0.57031, 0.588232, 0.615006, 0.647397");
-            values ( \
-              "-0.0424318, -0.118375, -0.140455, -0.166656, -0.182137, -0.202301, -0.218971, -0.229014, -0.231615, -0.23207, -0.223399, -0.20941, -0.184699, -0.112945, -0.090551, -0.0574797, -0.0440413, -0.0321398, -0.0247751, -0.0189736, -0.0131995, -0.00618895, -0.00183535, -0.000421033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.431837, 0.454603, 0.462623, 0.475484, 0.486157, 0.501405, 0.514251, 0.525941, 0.538089, 0.56007, 0.5811, 0.602012, 0.622917, 0.625134, 0.634004, 0.64583, 0.663638, 0.68018, 0.690128, 0.710099, 0.717656, 0.729795, 0.741249, 0.757269, 0.763695, 0.776548, 0.802252, 0.849164, 0.904016");
-            values ( \
-              "-0.00213383, -0.102988, -0.132349, -0.167504, -0.18884, -0.215459, -0.235692, -0.25131, -0.264563, -0.280749, -0.287532, -0.284823, -0.267959, -0.265, -0.248841, -0.218923, -0.169346, -0.128379, -0.10746, -0.0736639, -0.0635335, -0.0498801, -0.0394988, -0.0283117, -0.0247593, -0.0188857, -0.0108119, -0.00359663, -0.000935105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.573253, 0.60206, 0.617329, 0.656579, 0.685547, 0.703289, 0.725196, 0.743808, 0.780141, 0.795664, 0.813405, 0.834262, 0.859171, 0.898205, 0.912684, 0.928683, 0.987508, 1.02395, 1.05824, 1.08342, 1.10435, 1.12886, 1.16354, 1.19973, 1.22746, 1.28293, 1.36127, 1.4396, 1.51794");
-            values ( \
-              "-0.0469493, -0.126706, -0.16253, -0.23402, -0.276376, -0.294842, -0.311078, -0.320122, -0.328662, -0.329175, -0.328049, -0.324343, -0.31631, -0.292153, -0.276924, -0.255231, -0.165576, -0.120284, -0.0872592, -0.0682703, -0.0554597, -0.0432551, -0.0302146, -0.0207381, -0.0154722, -0.00847188, -0.00349346, -0.00143375, -0.000594407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.865083, 0.908709, 0.9685, 0.99126, 1.02323, 1.06406, 1.07247, 1.08929, 1.11854, 1.14678, 1.20324, 1.25477, 1.29549, 1.37788, 1.40552, 1.44238, 1.48431, 1.62905, 1.70943, 1.77813, 1.83123, 1.87572, 1.92577, 1.99682, 2.04217, 2.12646, 2.20479, 2.28313, 2.36147, 2.51815, 2.67482");
-            values ( \
-              "-0.159874, -0.166554, -0.260765, -0.290268, -0.319657, -0.341768, -0.344629, -0.349089, -0.353802, -0.355491, -0.354092, -0.349027, -0.343337, -0.326751, -0.318801, -0.304937, -0.282179, -0.172683, -0.122461, -0.0895212, -0.069562, -0.0560962, -0.0438342, -0.0306434, -0.0243827, -0.0158495, -0.0105465, -0.00701189, -0.00464734, -0.00203999, -0.000893807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.501942, 0.507645, 0.508763, 0.510528, 0.514574, 0.518528, 0.522141, 0.525225, 0.528309, 0.531393, 0.535107, 0.538053, 0.543888, 0.547001, 0.550283, 0.552997, 0.554267, 0.55521, 0.55814, 0.560227, 0.561271, 0.562184, 0.571132, 0.574502, 0.577539, 0.57961, 0.582718, 0.586071");
-            values ( \
-              "-0.00931762, -0.0366791, -0.0396346, -0.0458732, -0.0639858, -0.0767863, -0.0860473, -0.0922872, -0.0978227, -0.102654, -0.10743, -0.110253, -0.11454, -0.115848, -0.116436, -0.115767, -0.115009, -0.11406, -0.108246, -0.102894, -0.0998413, -0.0961689, -0.0521058, -0.0390257, -0.0290019, -0.0236666, -0.0174095, -0.012307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.52006, 0.550068, 0.556849, 0.566481, 0.581832, 0.587725, 0.59192, 0.599997, 0.605617, 0.608753, 0.620797, 0.628807, 0.635104, 0.643594, 0.649592, 0.657589, 0.672185, 0.689221, 0.710683");
-            values ( \
-              "-0.00348114, -0.120608, -0.13476, -0.149032, -0.164838, -0.168877, -0.170373, -0.167003, -0.155084, -0.143512, -0.0844615, -0.0531902, -0.0357836, -0.0202912, -0.0134364, -0.0075932, -0.00249358, -0.000714694, -0.000218381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.564423, 0.582028, 0.587706, 0.597492, 0.60359, 0.612299, 0.63465, 0.643046, 0.650179, 0.65683, 0.669269, 0.681707, 0.686426, 0.690722, 0.695703, 0.713214, 0.720509, 0.732225, 0.744029, 0.75323, 0.76297, 0.770462, 0.785445, 0.812214, 0.843983");
-            values ( \
-              "-0.000602559, -0.0938229, -0.117747, -0.14822, -0.16109, -0.176202, -0.20783, -0.217386, -0.223927, -0.228532, -0.231838, -0.222956, -0.214493, -0.202732, -0.184477, -0.113626, -0.0893196, -0.0588531, -0.0376906, -0.0262664, -0.0178513, -0.0131887, -0.00707007, -0.00209744, -0.000491563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.636751, 0.658596, 0.66788, 0.682081, 0.690133, 0.718219, 0.730823, 0.742069, 0.764057, 0.785084, 0.805998, 0.826904, 0.82912, 0.837983, 0.8498, 0.867735, 0.884245, 0.894024, 0.913622, 0.921541, 0.934291, 0.945583, 0.961441, 0.967698, 0.980213, 1.00524, 1.05198, 1.10647");
-            values ( \
-              "-0.00618977, -0.103354, -0.136975, -0.173564, -0.18889, -0.235578, -0.252381, -0.264485, -0.280746, -0.287477, -0.284829, -0.267922, -0.264995, -0.248855, -0.218964, -0.169052, -0.128203, -0.107639, -0.0743362, -0.0636661, -0.0493726, -0.0392237, -0.0282078, -0.0247567, -0.0190194, -0.0110598, -0.00369789, -0.000973485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.780077, 0.822657, 0.860903, 0.891421, 0.907596, 0.929414, 0.948092, 0.984822, 0.997491, 1.02461, 1.05174, 1.06345, 1.08308, 1.10447, 1.14639, 1.20264, 1.22565, 1.24981, 1.28203, 1.30957, 1.32937, 1.36712, 1.37939, 1.40671, 1.43792, 1.50036, 1.5787, 1.65704");
-            values ( \
-              "-0.061053, -0.164941, -0.234386, -0.278438, -0.295017, -0.311149, -0.32021, -0.328749, -0.329229, -0.327111, -0.320682, -0.316296, -0.306479, -0.290167, -0.234961, -0.15129, -0.123438, -0.0987271, -0.0722977, -0.0550367, -0.0450638, -0.0304746, -0.0268406, -0.0201828, -0.0145117, -0.00731011, -0.00301927, -0.00123441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.07446, 1.12825, 1.17049, 1.19511, 1.22598, 1.26708, 1.2763, 1.29475, 1.32317, 1.35061, 1.40549, 1.42424, 1.46125, 1.49933, 1.58165, 1.60886, 1.64516, 1.66019, 1.69026, 1.80724, 1.8722, 1.90792, 1.94739, 2.00002, 2.04874, 2.1062, 2.18283, 2.23081, 2.30468, 2.38302, 2.46135, 2.53969, 2.69637, 2.85304");
-            values ( \
-              "-0.180137, -0.189219, -0.257874, -0.290196, -0.318741, -0.341441, -0.344556, -0.349434, -0.353834, -0.355491, -0.354159, -0.352638, -0.348677, -0.343347, -0.326769, -0.318958, -0.305403, -0.298305, -0.280804, -0.191309, -0.146499, -0.125425, -0.10504, -0.0821968, -0.0651432, -0.0492254, -0.0335227, -0.0263257, -0.0180745, -0.0120315, -0.00800968, -0.00530475, -0.00232748, -0.00101797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.867428, 0.874053, 0.87577, 0.878059, 0.885504, 0.889908, 0.893912, 0.900924, 0.907279, 0.913281, 0.919115, 0.922576, 0.924886, 0.929505, 0.934309, 0.940605, 0.945491, 0.950073, 0.953842, 0.956423, 0.960469, 0.965862, 0.966411");
-            values ( \
-              "-0.0184024, -0.0290274, -0.0335004, -0.0401843, -0.0671991, -0.0791033, -0.0874717, -0.0995541, -0.106689, -0.111544, -0.11365, -0.113318, -0.111641, -0.103271, -0.0841564, -0.0538481, -0.0351767, -0.0227597, -0.0154975, -0.0118795, -0.0077755, -0.00436983, -0.00420652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.895268, 0.922276, 0.9293, 0.941681, 0.948856, 0.959325, 0.970138, 0.978376, 0.986651, 1.00527, 1.01258, 1.02387, 1.03261, 1.04193, 1.04933");
-            values ( \
-              "-0.000452937, -0.101016, -0.119668, -0.141569, -0.150268, -0.16062, -0.167143, -0.164476, -0.144372, -0.0604111, -0.0386015, -0.0181497, -0.00991167, -0.00514843, -0.00343582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.952385, 0.991581, 1.00068, 1.01958, 1.03224, 1.03874, 1.05174, 1.06437, 1.07697, 1.08424, 1.09102, 1.10885, 1.11552, 1.12831, 1.13562, 1.14397, 1.15264, 1.16588, 1.18353, 1.21026, 1.24017");
-            values ( \
-              "-0.00476737, -0.141344, -0.160928, -0.190258, -0.207016, -0.214236, -0.225266, -0.229212, -0.221132, -0.206649, -0.183549, -0.11236, -0.090237, -0.0572325, -0.0435694, -0.0315444, -0.0224255, -0.0131786, -0.00626463, -0.00186934, -0.00073369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.04477, 1.12625, 1.13317, 1.15275, 1.16483, 1.18701, 1.20813, 1.21592, 1.22907, 1.23956, 1.25071, 1.26663, 1.30312, 1.31544, 1.33453, 1.36053, 1.39061, 1.40808, 1.43138, 1.46868");
-            values ( \
-              "-0.00708735, -0.208528, -0.220004, -0.248352, -0.261938, -0.27896, -0.286333, -0.286609, -0.284045, -0.277664, -0.266534, -0.235378, -0.138076, -0.111188, -0.0777529, -0.0464672, -0.0248871, -0.017225, -0.0104359, -0.00486845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.22972, 1.25315, 1.27097, 1.31738, 1.33313, 1.35616, 1.37845, 1.39681, 1.43138, 1.44359, 1.47345, 1.5033, 1.53036, 1.55336, 1.59523, 1.65197, 1.68247, 1.7299, 1.75967, 1.78108, 1.81702, 1.85348, 1.88171, 1.93817, 2.00997");
-            values ( \
-              "-0.0932848, -0.120165, -0.162165, -0.24501, -0.267832, -0.293406, -0.310129, -0.319357, -0.327929, -0.328713, -0.326802, -0.319623, -0.307327, -0.290078, -0.235051, -0.150729, -0.114832, -0.0730356, -0.0543789, -0.0438035, -0.0301706, -0.0206433, -0.0153457, -0.00828317, -0.00384087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.51984, 1.5616, 1.62511, 1.64794, 1.67714, 1.72911, 1.7489, 1.78849, 1.80343, 1.8333, 1.87711, 1.96954, 2.0299, 2.10824, 2.14178, 2.17281, 2.28805, 2.36666, 2.43877, 2.48922, 2.52982, 2.58079, 2.65252, 2.69935, 2.78711, 2.86545, 3.02212, 3.25714");
-            values ( \
-              "-0.151066, -0.160719, -0.260608, -0.290447, -0.317499, -0.34452, -0.349845, -0.354875, -0.355633, -0.35526, -0.352677, -0.340532, -0.32791, -0.300776, -0.281812, -0.259695, -0.171019, -0.122153, -0.0878578, -0.0691333, -0.0568141, -0.0441889, -0.0307964, -0.0243112, -0.0155191, -0.010334, -0.00455589, -0.00132324" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00947861, 0.00949567, 0.00951624, 0.00953546, 0.00954942, 0.00955788", \
-            "0.0110661, 0.0110779, 0.0110944, 0.0111121, 0.0111267, 0.0111364", \
-            "0.0120089, 0.0120132, 0.012021, 0.0120319, 0.0120427, 0.0120508", \
-            "0.0125788, 0.0125774, 0.0125764, 0.0125772, 0.0125801, 0.0125839", \
-            "0.0128836, 0.0128769, 0.0128717, 0.012865, 0.0128598, 0.0128573", \
-            "0.0130591, 0.0130539, 0.0130456, 0.0130345, 0.0130227, 0.0130134" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0130735, 0.0130842, 0.0130976, 0.0131098, 0.0131207, 0.0131289", \
-            "0.0143926, 0.0143846, 0.0143774, 0.0143721, 0.0143685, 0.0143673", \
-            "0.0152346, 0.0152007, 0.0151596, 0.0151214, 0.015094, 0.0150777", \
-            "0.0159309, 0.015868, 0.01579, 0.0157143, 0.0156534, 0.0156115", \
-            "0.0165721, 0.0164667, 0.016317, 0.0161653, 0.0160458, 0.0159681", \
-            "0.0170148, 0.0169285, 0.0167713, 0.0165306, 0.0163047, 0.0161518" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(A & ~B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A * !B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.231143, 0.270714, 0.347848, 0.498954, 0.796383, 1.39067", \
-            "0.235686, 0.275364, 0.352806, 0.50416, 0.801789, 1.39664", \
-            "0.247359, 0.287034, 0.36454, 0.516173, 0.814298, 1.40906", \
-            "0.276303, 0.315559, 0.392815, 0.544403, 0.842561, 1.4374", \
-            "0.339112, 0.379394, 0.457742, 0.609433, 0.907785, 1.50228", \
-            "0.444205, 0.492244, 0.583229, 0.749587, 1.05479, 1.65013" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0387, 0.0570304, 0.0956393, 0.178377, 0.354102, 0.723268", \
-            "0.0386784, 0.0570099, 0.0956251, 0.178349, 0.354105, 0.723275", \
-            "0.0386665, 0.0570229, 0.0956019, 0.178354, 0.354104, 0.723278", \
-            "0.0386908, 0.0570326, 0.0956416, 0.178353, 0.354106, 0.723281", \
-            "0.0386455, 0.0570686, 0.0956825, 0.178382, 0.354107, 0.723279", \
-            "0.0382546, 0.0563752, 0.0950753, 0.178401, 0.354135, 0.723281" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.193555, 0.228178, 0.293611, 0.417556, 0.6567, 1.13104", \
-            "0.198202, 0.232788, 0.298174, 0.421911, 0.661384, 1.13567", \
-            "0.208554, 0.243181, 0.308786, 0.432698, 0.67235, 1.14675", \
-            "0.231581, 0.266578, 0.332363, 0.456623, 0.695944, 1.1707", \
-            "0.268688, 0.308806, 0.381763, 0.510028, 0.749744, 1.22405", \
-            "0.304945, 0.353889, 0.443807, 0.600141, 0.865555, 1.34407" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0357524, 0.0514687, 0.0799549, 0.134036, 0.245089, 0.48181", \
-            "0.0357886, 0.0514689, 0.0799891, 0.134185, 0.245197, 0.481884", \
-            "0.0358052, 0.0514821, 0.0799558, 0.134142, 0.245143, 0.481984", \
-            "0.0357706, 0.051489, 0.0799486, 0.134036, 0.244996, 0.481837", \
-            "0.0360583, 0.0518833, 0.0801368, 0.134051, 0.245134, 0.481861", \
-            "0.037181, 0.0532127, 0.0814869, 0.134764, 0.245482, 0.481985" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.199173, 0.205887, 0.214475, 0.224323, 0.230931, 0.236958, 0.242802, 0.248685, 0.251755, 0.266463, 0.274764, 0.283449, 0.290545, 0.301833, 0.317298, 0.327313");
-            values ( \
-              "0.0143174, 0.0303622, 0.0636796, 0.0927542, 0.105683, 0.111447, 0.113292, 0.109577, 0.104474, 0.0578763, 0.0381434, 0.0239819, 0.0161663, 0.00846389, 0.0035252, 0.00211267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.220855, 0.242766, 0.250083, 0.255962, 0.265127, 0.273725, 0.282242, 0.290754, 0.291552, 0.294743, 0.298998, 0.311014, 0.317065, 0.325134, 0.332478, 0.341288, 0.348653, 0.355432, 0.364471, 0.371596, 0.379549, 0.390153, 0.411361, 0.439385, 0.474045");
-            values ( \
-              "0.000259059, 0.101087, 0.127036, 0.142109, 0.156845, 0.161658, 0.160211, 0.151886, 0.150598, 0.144597, 0.134232, 0.0988842, 0.0828814, 0.0643369, 0.050396, 0.0369977, 0.0282714, 0.021963, 0.0155292, 0.0117956, 0.0086617, 0.00568899, 0.00236131, 0.000683941, 0.000145667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.262633, 0.310692, 0.317109, 0.329943, 0.334142, 0.345195, 0.356239, 0.365717, 0.374396, 0.38966, 0.411445, 0.430881, 0.452587, 0.465124, 0.48248, 0.49273, 0.509954, 0.529315, 0.544657, 0.57534, 0.593806");
-            values ( \
-              "0.00191295, 0.185386, 0.195682, 0.205943, 0.206778, 0.205373, 0.199996, 0.192481, 0.182742, 0.157768, 0.115244, 0.0825184, 0.0543319, 0.0419582, 0.0289206, 0.0230729, 0.0155918, 0.0100059, 0.0070012, 0.00329766, 0.00238009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.356366, 0.398768, 0.407646, 0.422073, 0.433755, 0.446411, 0.458636, 0.48399, 0.510492, 0.533853, 0.549369, 0.571279, 0.61227, 0.645341, 0.669359, 0.687629, 0.71199, 0.733826, 0.74298, 0.761287, 0.794485, 0.817165, 0.862491, 0.922925, 0.971125");
-            values ( \
-              "0.00646874, 0.191117, 0.213083, 0.233165, 0.239698, 0.241335, 0.239828, 0.231722, 0.219057, 0.204086, 0.191014, 0.168783, 0.124254, 0.0923381, 0.0728577, 0.0602042, 0.0460122, 0.0359141, 0.0324024, 0.0260494, 0.017512, 0.0132074, 0.0075013, 0.003469, 0.0020891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.534076, 0.569245, 0.582971, 0.594029, 0.609155, 0.625853, 0.641641, 0.656878, 0.68054, 0.704954, 0.776989, 0.841016, 0.864879, 0.912606, 1.02426, 1.10215, 1.17305, 1.22232, 1.26513, 1.32785, 1.38211, 1.44684, 1.53316, 1.63591, 1.72398");
-            values ( \
-              "0.029992, 0.173067, 0.216445, 0.238578, 0.255209, 0.262805, 0.264402, 0.2637, 0.260255, 0.255508, 0.237938, 0.218032, 0.208587, 0.185585, 0.123623, 0.0859132, 0.0592922, 0.0450295, 0.0351713, 0.0242325, 0.0174114, 0.0116767, 0.00672403, 0.00345149, 0.00199361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.882171, 0.948192, 0.971612, 0.991624, 1.01683, 1.08856, 1.2161, 1.37499, 1.45807, 1.51939, 1.62214, 1.84734, 2.00745, 2.14279, 2.3112, 2.3996, 2.50235, 2.61401, 2.77158, 3.07984, 3.14332");
-            values ( \
-              "0.124493, 0.251262, 0.269802, 0.275821, 0.277542, 0.273331, 0.259171, 0.238019, 0.224708, 0.212872, 0.188174, 0.126173, 0.0873771, 0.0617335, 0.0386985, 0.029998, 0.0221699, 0.01587, 0.00982631, 0.00375687, 0.00338861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.224405, 0.232838, 0.23417, 0.236095, 0.237353, 0.239868, 0.241352, 0.242838, 0.244324, 0.245808, 0.247294, 0.248779, 0.250425, 0.251967, 0.25318, 0.254891, 0.256603, 0.257744, 0.258885, 0.260026, 0.260982, 0.261937, 0.263848, 0.264678, 0.265507, 0.267166, 0.268645, 0.270124, 0.271602, 0.273081, 0.27353, 0.278515, 0.280535, 0.283418, 0.285483, 0.288079, 0.288945, 0.291169, 0.294229, 0.296736, 0.298604, 0.300473, 0.302972, 0.307058, 0.309436, 0.310228, 0.313399, 0.31657, 0.320253, 0.32339");
-            values ( \
-              "0.0608689, 0.0632871, 0.0680705, 0.0745831, 0.0785885, 0.0860016, 0.0896553, 0.0930824, 0.096283, 0.0992571, 0.102005, 0.104526, 0.106909, 0.108827, 0.110098, 0.111383, 0.112322, 0.112756, 0.113037, 0.113163, 0.112959, 0.112667, 0.111821, 0.111091, 0.110245, 0.1082, 0.105982, 0.103391, 0.100428, 0.0970927, 0.0957389, 0.0781852, 0.0713346, 0.0621266, 0.0560218, 0.0494623, 0.0474045, 0.0424869, 0.0361722, 0.0314997, 0.0285939, 0.0258931, 0.0226898, 0.0178618, 0.015546, 0.0148427, 0.012492, 0.0104512, 0.00850803, 0.00700107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.240663, 0.26013, 0.270381, 0.274506, 0.282755, 0.288898, 0.292232, 0.298901, 0.30073, 0.304389, 0.30951, 0.318387, 0.331562, 0.344662, 0.358764, 0.372185, 0.38184, 0.393381, 0.412654, 0.437866, 0.467149");
-            values ( \
-              "0.00482269, 0.0962439, 0.132154, 0.142396, 0.155743, 0.160586, 0.161523, 0.160903, 0.159904, 0.157433, 0.151285, 0.132214, 0.0934723, 0.0622906, 0.0384754, 0.0234222, 0.0162197, 0.0103519, 0.00479528, 0.00170452, 0.000397434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.298484, 0.310253, 0.315164, 0.320075, 0.322155, 0.324533, 0.326911, 0.32929, 0.332749, 0.336208, 0.339667, 0.343126, 0.346585, 0.350044, 0.351302, 0.353818, 0.358011, 0.361366, 0.36368, 0.365587, 0.36813, 0.371945, 0.376856, 0.381768, 0.385042, 0.388317, 0.391591, 0.393519, 0.397376, 0.403402, 0.413919, 0.426032, 0.43813, 0.442306, 0.450193, 0.457616, 0.461328, 0.467371, 0.470706, 0.474709, 0.481016, 0.485845, 0.494023, 0.501256, 0.506078, 0.5109, 0.519812, 0.524269, 0.528725, 0.536355");
-            values ( \
-              "0.121617, 0.121632, 0.142147, 0.160248, 0.167046, 0.174207, 0.180624, 0.186297, 0.191354, 0.195725, 0.199411, 0.202411, 0.204726, 0.206355, 0.206609, 0.206744, 0.206546, 0.206058, 0.20554, 0.204879, 0.203771, 0.201754, 0.198341, 0.194401, 0.19148, 0.188325, 0.184936, 0.182638, 0.176988, 0.167004, 0.147308, 0.12362, 0.101096, 0.0942032, 0.0819937, 0.0714699, 0.0665596, 0.0590981, 0.0551411, 0.0507217, 0.044725, 0.0405488, 0.0340218, 0.028694, 0.0258889, 0.0233058, 0.0191802, 0.0173542, 0.0156859, 0.0131649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.372564, 0.426812, 0.438065, 0.452939, 0.46567, 0.477796, 0.503149, 0.529665, 0.553803, 0.584507, 0.640886, 0.686859, 0.727649, 0.762049, 0.813615, 0.88178, 0.942364, 0.95065");
-            values ( \
-              "0.00738448, 0.213198, 0.229882, 0.239807, 0.241316, 0.239869, 0.231723, 0.219046, 0.203486, 0.175109, 0.114581, 0.0739587, 0.0479, 0.0323935, 0.0174749, 0.00753466, 0.00341022, 0.00318238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.565537, 0.584838, 0.596781, 0.613184, 0.628453, 0.645121, 0.660925, 0.676176, 0.699839, 0.724252, 0.796288, 0.827085, 0.860316, 0.884179, 0.931906, 1.04356, 1.12145, 1.15539, 1.19235, 1.24162, 1.28443, 1.34716, 1.40141, 1.46614, 1.55246, 1.65521, 1.75797, 1.96347");
-            values ( \
-              "0.148579, 0.157765, 0.201288, 0.238196, 0.255206, 0.262736, 0.264425, 0.263648, 0.26029, 0.255466, 0.237934, 0.229091, 0.218029, 0.208586, 0.185582, 0.123623, 0.0859131, 0.0722368, 0.0592929, 0.0450295, 0.0351714, 0.0242325, 0.0174108, 0.0116764, 0.00672466, 0.00345126, 0.00175087, 0.000448833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.900485, 0.952746, 0.971701, 0.987099, 1.00439, 1.02019, 1.04488, 1.07503, 1.1625, 1.31121, 1.42282, 1.52558, 1.61624, 1.88854, 2.0519, 2.18657, 2.28932, 2.34825, 2.44261, 2.54537, 2.67856, 2.86564, 2.9684, 3.17391, 3.21734");
-            values ( \
-              "0.106954, 0.225203, 0.255155, 0.267653, 0.274335, 0.276822, 0.277536, 0.276101, 0.267746, 0.24969, 0.233892, 0.215792, 0.194903, 0.120616, 0.0822417, 0.0579403, 0.0435761, 0.0368953, 0.0280786, 0.0206854, 0.0138734, 0.00782334, 0.00568286, 0.00299554, 0.002695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.260131, 0.266741, 0.277355, 0.285356, 0.291116, 0.29363, 0.297981, 0.303809, 0.309698, 0.313854, 0.325508, 0.33425, 0.343598, 0.352253, 0.356765, 0.363257, 0.376275, 0.387685, 0.390003");
-            values ( \
-              "0.0143012, 0.0297269, 0.069819, 0.0928563, 0.104265, 0.10768, 0.111607, 0.113082, 0.109571, 0.101899, 0.0635357, 0.0411213, 0.0251455, 0.0155479, 0.0120247, 0.00828313, 0.00395267, 0.00206126, 0.00189285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.281927, 0.302275, 0.313017, 0.317082, 0.325213, 0.328279, 0.334819, 0.343317, 0.347458, 0.352099, 0.360989, 0.373204, 0.387415, 0.401133, 0.412972, 0.424606, 0.432847, 0.442227, 0.454733, 0.479688, 0.480317");
-            values ( \
-              "0.000231367, 0.094235, 0.132245, 0.142106, 0.155624, 0.158513, 0.161564, 0.159964, 0.156886, 0.151342, 0.13209, 0.0959275, 0.061856, 0.0386792, 0.0250565, 0.0162206, 0.0116928, 0.0081003, 0.00501055, 0.00164921, 0.00162504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.329632, 0.352555, 0.362646, 0.36858, 0.378495, 0.382502, 0.390516, 0.39701, 0.406567, 0.411955, 0.419139, 0.429615, 0.43575, 0.44802, 0.477759, 0.499233, 0.516643, 0.528792, 0.542767, 0.550606, 0.566283, 0.578862, 0.59804, 0.62361, 0.668575, 0.721739");
-            values ( \
-              "0.00145429, 0.121726, 0.161294, 0.177757, 0.195748, 0.200101, 0.205373, 0.206681, 0.205299, 0.203105, 0.198828, 0.189918, 0.182636, 0.163282, 0.10619, 0.0722906, 0.0513921, 0.0399785, 0.0295715, 0.0249524, 0.017471, 0.0131157, 0.00840747, 0.00460012, 0.00137627, 0.000351458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.416684, 0.463613, 0.469647, 0.481716, 0.495765, 0.508478, 0.520634, 0.545987, 0.572504, 0.596472, 0.610136, 0.628355, 0.676571, 0.708794, 0.731714, 0.748877, 0.766354, 0.796421, 0.810099, 0.837454, 0.861302, 0.893226, 0.93579, 1.01507, 1.1081");
-            values ( \
-              "0.00267442, 0.199292, 0.213056, 0.230827, 0.239687, 0.241368, 0.239792, 0.231665, 0.218993, 0.203665, 0.192191, 0.173999, 0.121896, 0.0911008, 0.0725212, 0.0606182, 0.0501717, 0.0357277, 0.0304618, 0.0220235, 0.0164787, 0.011141, 0.00652317, 0.00218217, 0.000586691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.600699, 0.645587, 0.658806, 0.671746, 0.688599, 0.70432, 0.71949, 0.743152, 0.767567, 0.81605, 0.864738, 0.903614, 0.927493, 0.97525, 1.08689, 1.16487, 1.1987, 1.23555, 1.28467, 1.32784, 1.35199, 1.39067, 1.44483, 1.50927, 1.5952, 1.69795, 1.80071, 2.00621");
-            values ( \
-              "0.0597403, 0.216515, 0.241757, 0.255261, 0.262717, 0.264508, 0.263593, 0.260358, 0.255407, 0.244194, 0.230808, 0.21803, 0.208591, 0.185561, 0.123618, 0.0858703, 0.0722381, 0.0593312, 0.0450949, 0.0351548, 0.030512, 0.0242058, 0.0173982, 0.0116886, 0.00675227, 0.00346278, 0.00175949, 0.000452372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.947543, 0.97911, 1.00201, 1.01414, 1.03432, 1.04909, 1.06263, 1.08664, 1.11808, 1.15733, 1.25501, 1.35714, 1.46527, 1.56802, 1.61056, 1.65868, 1.71465, 1.93098, 1.99159, 2.09435, 2.17707, 2.22901, 2.33176, 2.39069, 2.42215, 2.48506, 2.58782, 2.65776, 2.721, 2.80533, 2.90808, 3.01084, 3.11359, 3.21635, 3.42186, 3.73012");
-            values ( \
-              "0.150418, 0.180698, 0.238149, 0.255159, 0.270232, 0.274917, 0.276775, 0.277572, 0.27602, 0.272719, 0.262012, 0.249215, 0.23389, 0.215794, 0.206563, 0.194901, 0.180155, 0.120615, 0.105392, 0.0822404, 0.0665198, 0.0579412, 0.0435753, 0.0368959, 0.0336975, 0.0280791, 0.0206845, 0.016786, 0.0138741, 0.0107189, 0.00782402, 0.00568232, 0.00413951, 0.00299498, 0.00157304, 0.0006066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.355895, 0.371604, 0.379426, 0.382383, 0.388297, 0.390364, 0.395013, 0.39952, 0.403519, 0.406719, 0.412638, 0.419342, 0.424601, 0.433321, 0.438036, 0.443425, 0.450341, 0.454646, 0.463361, 0.468605, 0.479091, 0.492994");
-            values ( \
-              "0.00187381, 0.0599579, 0.0853896, 0.0928472, 0.104404, 0.107208, 0.1115, 0.113019, 0.112098, 0.109466, 0.0975131, 0.0737242, 0.0574819, 0.0371701, 0.0289771, 0.0215459, 0.0146534, 0.0114713, 0.00696393, 0.0051626, 0.00281928, 0.00138171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.377329, 0.409687, 0.413779, 0.421964, 0.428259, 0.431523, 0.440023, 0.448805, 0.457691, 0.469915, 0.484059, 0.497923, 0.509664, 0.521243, 0.538992, 0.551627, 0.572347");
-            values ( \
-              "0.00266899, 0.132033, 0.142053, 0.155592, 0.160466, 0.16149, 0.159902, 0.151293, 0.132146, 0.0959691, 0.0620391, 0.0386191, 0.0251277, 0.0162081, 0.00813818, 0.00491545, 0.00223911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.430283, 0.45906, 0.468582, 0.474941, 0.487658, 0.492208, 0.503028, 0.513838, 0.524017, 0.532229, 0.547513, 0.570681, 0.588775, 0.610379, 0.622831, 0.640354, 0.650699, 0.667833, 0.687041, 0.702233, 0.732616, 0.759088");
-            values ( \
-              "0.0372941, 0.160599, 0.185576, 0.195525, 0.205983, 0.206819, 0.205387, 0.200113, 0.192021, 0.182735, 0.157728, 0.112675, 0.0824441, 0.0543637, 0.0420877, 0.0288808, 0.0230188, 0.0155618, 0.010018, 0.00705831, 0.00333148, 0.00200797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.524031, 0.550784, 0.566305, 0.5781, 0.592424, 0.605142, 0.617288, 0.642641, 0.669158, 0.693102, 0.725153, 0.770874, 0.806289, 0.834098, 0.862655, 0.907053, 0.935007, 0.958245, 1.01758, 1.08539, 1.09329");
-            values ( \
-              "0.0610007, 0.168816, 0.213218, 0.230463, 0.239797, 0.24129, 0.239876, 0.23173, 0.219051, 0.203622, 0.173892, 0.124333, 0.09036, 0.0683909, 0.0503597, 0.0303646, 0.0217765, 0.0164289, 0.00788944, 0.00319884, 0.0029943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.710334, 0.736617, 0.752991, 0.768265, 0.784942, 0.80074, 0.815987, 0.839649, 0.864063, 0.936098, 0.966896, 1.00013, 1.02399, 1.07171, 1.18337, 1.26125, 1.2952, 1.33217, 1.38147, 1.42423, 1.48694, 1.54121, 1.60598, 1.69235, 1.7951, 1.89786, 2.10337");
-            values ( \
-              "0.187322, 0.201587, 0.2383, 0.255227, 0.262769, 0.26442, 0.263672, 0.260274, 0.255481, 0.237935, 0.229092, 0.218029, 0.208585, 0.185585, 0.123623, 0.0859187, 0.0722364, 0.0592876, 0.0450206, 0.0351736, 0.0242361, 0.0174125, 0.0116747, 0.00672092, 0.00344967, 0.00174972, 0.000448385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.04228, 1.09916, 1.11171, 1.13181, 1.14661, 1.16019, 1.18433, 1.21593, 1.30251, 1.45122, 1.56284, 1.66559, 1.75625, 2.02855, 2.08915, 2.19191, 2.27465, 2.32659, 2.42935, 2.48826, 2.5826, 2.68535, 2.81859, 2.90295, 3.00571, 3.10846, 3.31397, 3.40168");
-            values ( \
-              "0.120558, 0.23798, 0.25538, 0.270311, 0.274871, 0.276817, 0.277512, 0.276034, 0.267745, 0.249693, 0.233891, 0.215791, 0.194902, 0.120616, 0.105392, 0.0822431, 0.066519, 0.0579387, 0.0435746, 0.0368958, 0.0280813, 0.020687, 0.013873, 0.0107175, 0.00782239, 0.00568175, 0.00299483, 0.00238807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.569248, 0.587796, 0.595821, 0.601719, 0.603827, 0.608442, 0.612915, 0.616992, 0.620131, 0.62604, 0.632736, 0.637991, 0.646698, 0.651401, 0.656776, 0.663693, 0.667987, 0.67667, 0.681884, 0.692313, 0.706654");
-            values ( \
-              "0.00220438, 0.0695341, 0.0928336, 0.104428, 0.107325, 0.111629, 0.113213, 0.112265, 0.109613, 0.0976608, 0.0738283, 0.0575577, 0.0372308, 0.0290268, 0.0215998, 0.0146798, 0.0114983, 0.00699032, 0.00518527, 0.00284138, 0.00133862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.603264, 0.614109, 0.617539, 0.619208, 0.620876, 0.622545, 0.624214, 0.626289, 0.628365, 0.630439, 0.632514, 0.63459, 0.636665, 0.637984, 0.64017, 0.64191, 0.64278, 0.646138, 0.649497, 0.652855, 0.654646, 0.656435, 0.658225, 0.660827, 0.663427, 0.665647, 0.667866, 0.670087, 0.67252, 0.673163, 0.679867, 0.682985, 0.687349, 0.691089, 0.694828, 0.698568, 0.705571, 0.70751, 0.708803, 0.711388, 0.714702, 0.718744, 0.720765, 0.723792, 0.727912, 0.729744, 0.731239, 0.735726, 0.741971, 0.747263");
-            values ( \
-              "0.0912192, 0.0965857, 0.110445, 0.116561, 0.122269, 0.127567, 0.132456, 0.137372, 0.141857, 0.145912, 0.149536, 0.15273, 0.155494, 0.156982, 0.15902, 0.160258, 0.160751, 0.160904, 0.160805, 0.160455, 0.159738, 0.158523, 0.156881, 0.153592, 0.149686, 0.145867, 0.141599, 0.136882, 0.131153, 0.129426, 0.109334, 0.100366, 0.0881772, 0.07854, 0.0700507, 0.062214, 0.0490895, 0.0456892, 0.0437409, 0.0400273, 0.0356269, 0.0307996, 0.0286075, 0.0255639, 0.0217164, 0.0201984, 0.0191252, 0.0161686, 0.0127746, 0.0101937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.642109, 0.650385, 0.674453, 0.690388, 0.704643, 0.718547, 0.732451, 0.747725, 0.813645, 0.834514, 0.851001, 0.873241, 0.902355, 0.924573, 0.944744");
-            values ( \
-              "0.0270823, 0.0512479, 0.160048, 0.195314, 0.206319, 0.205507, 0.198203, 0.182751, 0.0690007, 0.0455411, 0.0320831, 0.0195921, 0.0100733, 0.00594386, 0.00406976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.740171, 0.753163, 0.767726, 0.776924, 0.781539, 0.79077, 0.804737, 0.813551, 0.823071, 0.832531, 0.851449, 0.857914, 0.884436, 0.9093, 0.931828, 0.997581, 1.01287, 1.04141, 1.06778, 1.08889, 1.11513, 1.12943, 1.15802, 1.18295, 1.22085, 1.27139, 1.35535, 1.45595");
-            values ( \
-              "0.0749705, 0.109294, 0.174481, 0.202377, 0.212629, 0.227262, 0.238409, 0.240755, 0.241018, 0.239679, 0.234163, 0.231626, 0.218981, 0.202954, 0.182743, 0.112729, 0.0981339, 0.0741632, 0.056239, 0.0445693, 0.0330223, 0.0279678, 0.0198283, 0.0146533, 0.00917519, 0.00481546, 0.0014736, 0.000347916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.90848, 0.944487, 0.957915, 0.968762, 0.984113, 1.00078, 1.01659, 1.03183, 1.0555, 1.07991, 1.15195, 1.21598, 1.23984, 1.28756, 1.39922, 1.47708, 1.54805, 1.59738, 1.64006, 1.70275, 1.75703, 1.82188, 1.90833, 2.01109, 2.10007");
-            values ( \
-              "0.027017, 0.174097, 0.21636, 0.23814, 0.255207, 0.26276, 0.264411, 0.263669, 0.260269, 0.25548, 0.237935, 0.218027, 0.208584, 0.185588, 0.123625, 0.0859294, 0.059279, 0.0450046, 0.0351779, 0.0242428, 0.0174151, 0.0116715, 0.00671478, 0.00344658, 0.00197572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.25489, 1.30774, 1.32689, 1.3424, 1.35965, 1.3754, 1.40002, 1.4301, 1.51772, 1.66643, 1.77804, 1.8808, 1.97146, 2.24376, 2.40711, 2.54181, 2.64457, 2.70346, 2.79778, 2.90053, 3.03381, 3.22096, 3.32371, 3.52922, 3.57522");
-            values ( \
-              "0.102462, 0.22425, 0.254886, 0.26759, 0.274266, 0.276797, 0.277506, 0.276115, 0.267756, 0.249702, 0.233892, 0.215791, 0.194902, 0.120616, 0.0822448, 0.0579367, 0.0435738, 0.0368958, 0.0280833, 0.0206893, 0.013872, 0.00782083, 0.00568142, 0.00299487, 0.00267672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("1.00637, 1.0136, 1.01443, 1.01866, 1.02579, 1.03397, 1.03964, 1.04242, 1.0474, 1.0491, 1.05228, 1.05801, 1.06025, 1.06217, 1.07371, 1.07661, 1.08242, 1.08604, 1.09155, 1.09616, 1.10019, 1.10464, 1.11105, 1.1182, 1.12377, 1.13491, 1.14485");
-            values ( \
-              "0.0106908, 0.0240112, 0.0263227, 0.040329, 0.0671195, 0.0922905, 0.104489, 0.10871, 0.113531, 0.114304, 0.114791, 0.111445, 0.10777, 0.103332, 0.0641596, 0.0556916, 0.0414068, 0.0343425, 0.0254852, 0.0197081, 0.0156916, 0.012155, 0.00838118, 0.00556308, 0.00403717, 0.00211492, 0.00135704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.04035, 1.04918, 1.06347, 1.07091, 1.07431, 1.0811, 1.08337, 1.08774, 1.09185, 1.10024, 1.10864, 1.11265, 1.11692, 1.12801, 1.14011, 1.14795, 1.15459, 1.16653, 1.17223, 1.17877, 1.18808, 1.19798, 1.2056, 1.21596, 1.23803");
-            values ( \
-              "0.0167758, 0.045328, 0.108261, 0.133631, 0.142765, 0.155485, 0.158467, 0.162172, 0.163915, 0.162387, 0.153907, 0.146071, 0.135175, 0.101648, 0.0705419, 0.0543696, 0.0432483, 0.0279944, 0.022619, 0.0176088, 0.0122543, 0.00832818, 0.00615632, 0.00407558, 0.00196417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.11565, 1.13371, 1.13732, 1.14092, 1.14309, 1.14741, 1.14958, 1.15305, 1.15653, 1.16001, 1.16369, 1.16493, 1.16659, 1.17157, 1.17488, 1.17741, 1.18088, 1.18608, 1.19143, 1.1952, 1.20084, 1.20273, 1.20545, 1.20999, 1.21362, 1.2149, 1.21617, 1.21744, 1.21872, 1.21999, 1.22177, 1.22329, 1.23483, 1.24911, 1.25134, 1.25357, 1.25803, 1.26378, 1.2708, 1.27431, 1.2799, 1.28647, 1.2952, 1.30083, 1.30948, 1.31552, 1.32394, 1.3307, 1.33859, 1.34876");
-            values ( \
-              "0.155292, 0.161808, 0.173865, 0.183651, 0.187515, 0.194298, 0.197216, 0.200781, 0.203841, 0.206397, 0.208556, 0.208841, 0.208924, 0.208618, 0.208049, 0.2074, 0.20584, 0.202934, 0.199323, 0.195958, 0.190188, 0.188072, 0.184624, 0.178162, 0.172345, 0.167154, 0.160992, 0.160678, 0.160032, 0.159052, 0.157122, 0.154957, 0.131842, 0.104766, 0.100615, 0.0968928, 0.089706, 0.0809637, 0.0710456, 0.066405, 0.0594648, 0.0517882, 0.0434487, 0.0387173, 0.0319373, 0.0277379, 0.023161, 0.0199835, 0.016755, 0.0132887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.21585, 1.2338, 1.24851, 1.25455, 1.26662, 1.28078, 1.29353, 1.30566, 1.32554, 1.34194, 1.35752, 1.38387, 1.39928, 1.41834, 1.46802, 1.50295, 1.52217, 1.54245, 1.5695, 1.59508, 1.61339, 1.64395, 1.67461, 1.69828, 1.74564, 1.82962, 1.93098");
-            values ( \
-              "0.107627, 0.142183, 0.196498, 0.210942, 0.229878, 0.239416, 0.241348, 0.2398, 0.23392, 0.22691, 0.218965, 0.201828, 0.188259, 0.16872, 0.115423, 0.0833662, 0.0686557, 0.0553697, 0.0409047, 0.0304702, 0.0246169, 0.0169409, 0.0116416, 0.00874888, 0.00471062, 0.00151097, 0.00028405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.40325, 1.45189, 1.46441, 1.48178, 1.49726, 1.51219, 1.56027, 1.63229, 1.69641, 1.76783, 1.87954, 1.95708, 2.02883, 2.12012, 2.18243, 2.23705, 2.30292, 2.39076, 2.51139");
-            values ( \
-              "0.148996, 0.241872, 0.254757, 0.262737, 0.264302, 0.263597, 0.25545, 0.237922, 0.217994, 0.185636, 0.123651, 0.0860917, 0.059152, 0.0352439, 0.0243448, 0.0174528, 0.0116215, 0.00662415, 0.00374812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.73911, 1.80717, 1.84165, 1.87988, 1.91105, 1.998, 2.14671, 2.25832, 2.36107, 2.45174, 2.72403, 2.88733, 3.02217, 3.1837, 3.38054, 3.51421, 3.70164, 4.00018");
-            values ( \
-              "0.132753, 0.255213, 0.274757, 0.277532, 0.276033, 0.267723, 0.249671, 0.23389, 0.215792, 0.194901, 0.120615, 0.0822554, 0.0579238, 0.0369001, 0.020705, 0.0138675, 0.00781158, 0.00314262" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00998556, 0.0100107, 0.010041, 0.0100687, 0.0100886, 0.0101004", \
-            "0.0123137, 0.0123387, 0.0123734, 0.0124105, 0.0124408, 0.0124605", \
-            "0.0137421, 0.0137561, 0.0137797, 0.0138104, 0.0138406, 0.0138627", \
-            "0.0145687, 0.0145642, 0.0145623, 0.0145669, 0.0145772, 0.0145889", \
-            "0.0150405, 0.0150191, 0.0149892, 0.0149576, 0.0149347, 0.0149235", \
-            "0.0153157, 0.015292, 0.0152561, 0.0152058, 0.0151492, 0.0151041" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0146035, 0.0146077, 0.0146213, 0.0146413, 0.0146597, 0.0146721", \
-            "0.0153388, 0.015299, 0.015253, 0.0152121, 0.0151831, 0.0151657", \
-            "0.0147941, 0.0147296, 0.0146405, 0.0145437, 0.0144618, 0.0144069", \
-            "0.0144073, 0.0143107, 0.0141806, 0.0140408, 0.0139211, 0.0138383", \
-            "0.0147722, 0.0145273, 0.0142567, 0.0140001, 0.0137875, 0.013646", \
-            "0.0149685, 0.015, 0.0151422, 0.0144693, 0.0139976, 0.0137126" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.16536, 0.169828, 0.179072, 0.186792, 0.19339, 0.196534, 0.204149, 0.207432, 0.21088, 0.216499, 0.220206, 0.222556, 0.232257, 0.23738, 0.24112, 0.245363, 0.250867, 0.255423, 0.263451");
-            values ( \
-              "-0.0282097, -0.0386058, -0.0751399, -0.0940341, -0.104722, -0.108429, -0.114723, -0.11633, -0.117024, -0.115125, -0.107805, -0.100192, -0.0531619, -0.0335915, -0.0233718, -0.0150672, -0.00844871, -0.00518124, -0.00223049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.183593, 0.189508, 0.190759, 0.193262, 0.194513, 0.195274, 0.196035, 0.196796, 0.197557, 0.19984, 0.202204, 0.204567, 0.206931, 0.210057, 0.213183, 0.214497, 0.217125, 0.218438, 0.221629, 0.225011, 0.227329, 0.231502, 0.234637, 0.237771, 0.240881, 0.243961, 0.246931, 0.249927, 0.251932, 0.255942, 0.257188, 0.258055, 0.259355, 0.260222, 0.261089, 0.262823, 0.264674, 0.268376, 0.274136, 0.276197, 0.279286, 0.282392, 0.285403, 0.287501, 0.28969, 0.290646, 0.293514, 0.296223, 0.298931, 0.301105");
-            values ( \
-              "-0.0327787, -0.0598958, -0.0647168, -0.075186, -0.0808342, -0.0850696, -0.0887719, -0.0922514, -0.0953177, -0.10394, -0.111956, -0.118611, -0.12458, -0.131204, -0.137066, -0.139243, -0.143313, -0.145206, -0.149357, -0.153457, -0.15609, -0.160399, -0.163331, -0.166117, -0.168738, -0.17119, -0.170657, -0.169908, -0.169289, -0.167766, -0.165705, -0.164034, -0.161041, -0.158789, -0.156331, -0.150797, -0.143508, -0.125744, -0.0965499, -0.0867497, -0.072754, -0.0609985, -0.050965, -0.04443, -0.0382996, -0.0360879, -0.0300342, -0.0251704, -0.0209794, -0.0180853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.205447, 0.259603, 0.278808, 0.292344, 0.305127, 0.317536, 0.329945, 0.334835, 0.339045, 0.34393, 0.360335, 0.367414, 0.379924, 0.386617, 0.395541, 0.402467, 0.410136, 0.420362, 0.440812, 0.468778, 0.50324");
-            values ( \
-              "-0.00730495, -0.175486, -0.203021, -0.218897, -0.22922, -0.232179, -0.223411, -0.214383, -0.202663, -0.184645, -0.117676, -0.0933883, -0.0599374, -0.0467086, -0.0330849, -0.0251977, -0.0185804, -0.0122611, -0.00509272, -0.00141084, -0.000295732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.272416, 0.334184, 0.348711, 0.362275, 0.374622, 0.386115, 0.408076, 0.429124, 0.450036, 0.470941, 0.473158, 0.482024, 0.493845, 0.511715, 0.528241, 0.538107, 0.557895, 0.565629, 0.578067, 0.589441, 0.605382, 0.611725, 0.624412, 0.649784, 0.697827, 0.753967");
-            values ( \
-              "-0.00485276, -0.18884, -0.214236, -0.23568, -0.252109, -0.264561, -0.28074, -0.287534, -0.28482, -0.267968, -0.265007, -0.248855, -0.218945, -0.169206, -0.128293, -0.107548, -0.0739925, -0.0635995, -0.0496307, -0.0393647, -0.0282603, -0.0247588, -0.018951, -0.0109337, -0.00351986, -0.000878008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.43378, 0.459254, 0.474368, 0.510502, 0.522483, 0.551217, 0.562809, 0.591677, 0.603045, 0.62578, 0.638896, 0.653517, 0.668137, 0.697379, 0.726216, 0.748016, 0.789925, 0.820584, 0.846305, 0.869201, 0.893246, 0.925306, 0.953438, 0.973662, 1.01093, 1.02307, 1.04968, 1.08009, 1.14092, 1.21925, 1.29759");
-            values ( \
-              "-0.145199, -0.149535, -0.180141, -0.244565, -0.262586, -0.2951, -0.304468, -0.320239, -0.323989, -0.328304, -0.329067, -0.328676, -0.327032, -0.319947, -0.306702, -0.290156, -0.234984, -0.187198, -0.151144, -0.123435, -0.098837, -0.0724937, -0.0548627, -0.0447267, -0.0303944, -0.026811, -0.0203066, -0.0147323, -0.00756227, -0.00312689, -0.0012756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.710827, 0.752263, 0.816824, 0.838791, 0.869152, 0.912255, 0.919946, 0.935327, 0.96531, 0.994243, 1.05211, 1.09945, 1.14296, 1.2184, 1.2535, 1.29093, 1.32961, 1.38118, 1.44377, 1.51498, 1.54425, 1.59405, 1.65097, 1.69256, 1.74154, 1.80683, 1.87288, 1.90637, 1.97335, 2.05169, 2.13002, 2.20836, 2.36504, 2.52171");
-            values ( \
-              "-0.151024, -0.161104, -0.262201, -0.29037, -0.318656, -0.342053, -0.344772, -0.348753, -0.353836, -0.355455, -0.354069, -0.349429, -0.343282, -0.328614, -0.318639, -0.304455, -0.283567, -0.245893, -0.196617, -0.146941, -0.129439, -0.103524, -0.0793419, -0.065039, -0.0512494, -0.0370099, -0.026537, -0.0223928, -0.0158715, -0.0105663, -0.00702003, -0.00465776, -0.00204623, -0.000898172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.178103, 0.204618, 0.208843, 0.217644, 0.223671, 0.229318, 0.231581, 0.234944, 0.240603, 0.254067, 0.259061, 0.267517, 0.27773, 0.282895");
-            values ( \
-              "-0.00203219, -0.0926259, -0.10031, -0.111035, -0.115224, -0.117076, -0.116949, -0.114765, -0.101748, -0.0395494, -0.0245523, -0.0102421, -0.00334528, -0.00221242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.202377, 0.218324, 0.225554, 0.23695, 0.245847, 0.256202, 0.266441, 0.274462, 0.2779, 0.283195, 0.300967, 0.308172, 0.319338, 0.327905, 0.337042, 0.337884");
-            values ( \
-              "-0.0368928, -0.10436, -0.124636, -0.145337, -0.156104, -0.166059, -0.171414, -0.167894, -0.16151, -0.144034, -0.0609897, -0.0389926, -0.0184758, -0.0102046, -0.00526928, -0.00507289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.223943, 0.278072, 0.297352, 0.310886, 0.315806, 0.323654, 0.336074, 0.348491, 0.353324, 0.357562, 0.362478, 0.378886, 0.385968, 0.398478, 0.40517, 0.414093, 0.421022, 0.428693, 0.438922, 0.459379, 0.486991, 0.520996");
-            values ( \
-              "-0.00743778, -0.175189, -0.203025, -0.218868, -0.22336, -0.228948, -0.232161, -0.22322, -0.214445, -0.202702, -0.184608, -0.117665, -0.0933763, -0.0599318, -0.0467073, -0.033085, -0.0251978, -0.0185794, -0.0122577, -0.00509151, -0.00143916, -0.000310941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.303658, 0.336154, 0.351757, 0.370915, 0.380341, 0.392462, 0.404242, 0.426279, 0.447309, 0.468235, 0.489154, 0.491358, 0.500176, 0.511932, 0.53069, 0.546848, 0.555826, 0.570898, 0.582774, 0.601088, 0.620715, 0.627433, 0.643379, 0.661603, 0.698052, 0.751676, 0.817359");
-            values ( \
-              "-0.0458103, -0.152992, -0.186896, -0.220191, -0.234821, -0.251169, -0.264004, -0.280451, -0.287267, -0.284707, -0.26783, -0.264933, -0.248921, -0.21926, -0.167159, -0.127416, -0.108527, -0.0818682, -0.0649711, -0.0450276, -0.0299505, -0.0260413, -0.0186374, -0.0126196, -0.00556518, -0.00149887, -0.000269471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.437734, 0.479687, 0.522889, 0.53586, 0.552781, 0.569662, 0.591536, 0.610186, 0.6471, 0.65856, 0.671657, 0.686718, 0.716841, 0.74304, 0.766597, 0.808434, 0.839432, 0.865437, 0.895627, 0.911271, 0.942558, 0.973549, 0.995841, 1.03078, 1.06557, 1.09222, 1.14552, 1.22386, 1.3022, 1.38054");
-            values ( \
-              "-0.0412297, -0.15313, -0.233764, -0.254664, -0.277298, -0.294718, -0.311015, -0.320049, -0.328725, -0.329174, -0.328775, -0.327085, -0.319679, -0.307754, -0.290165, -0.23511, -0.186806, -0.150426, -0.114921, -0.0993567, -0.0734565, -0.0540376, -0.0431172, -0.0300204, -0.0209038, -0.0157917, -0.00885593, -0.00366293, -0.0014973, -0.000623146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.734616, 0.782234, 0.844138, 0.857116, 0.883073, 0.91326, 0.938205, 0.976694, 1.01257, 1.06794, 1.08619, 1.12268, 1.16127, 1.2436, 1.27091, 1.30733, 1.32213, 1.35175, 1.47734, 1.54598, 1.56582, 1.63011, 1.69288, 1.749, 1.82382, 1.87233, 1.92694, 1.99976, 2.07809, 2.15643, 2.31311, 2.46978");
-            values ( \
-              "-0.176323, -0.177793, -0.274536, -0.290282, -0.315172, -0.334382, -0.344561, -0.352922, -0.355635, -0.354114, -0.352704, -0.348712, -0.343394, -0.326767, -0.318926, -0.305302, -0.298306, -0.281102, -0.185262, -0.139187, -0.127617, -0.0954187, -0.0709042, -0.0540364, -0.0371835, -0.0291462, -0.0221075, -0.0151784, -0.0101164, -0.00670589, -0.00294468, -0.00128828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.225037, 0.228486, 0.229693, 0.232107, 0.233953, 0.237166, 0.242484, 0.246661, 0.253266, 0.253334, 0.253741, 0.254284, 0.255369, 0.257398, 0.259327, 0.2623, 0.265112, 0.270737, 0.276389, 0.282044, 0.282483, 0.283361, 0.285118, 0.28863, 0.290255, 0.291225, 0.292518, 0.294544, 0.297176, 0.297879, 0.299285, 0.301891, 0.305596, 0.306949, 0.308249, 0.309982, 0.313448, 0.32038, 0.321477");
-            values ( \
-              "-0.0279093, -0.0366471, -0.0380556, -0.0469589, -0.055049, -0.0694724, -0.0848735, -0.0937125, -0.10484, -0.10486, -0.105272, -0.105945, -0.107213, -0.109383, -0.111172, -0.113505, -0.115214, -0.117163, -0.114978, -0.101767, -0.100278, -0.0966415, -0.0882609, -0.0700999, -0.0619719, -0.0573714, -0.0515913, -0.0432161, -0.0339542, -0.0317279, -0.0277386, -0.0213725, -0.0146, -0.0126482, -0.011042, -0.0092178, -0.00634192, -0.00296329, -0.00275156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.237318, 0.261798, 0.267578, 0.277992, 0.286936, 0.299463, 0.303572, 0.307532, 0.315555, 0.318952, 0.324287, 0.335822, 0.341974, 0.349331, 0.353146, 0.360441, 0.368895, 0.377913, 0.39377, 0.412655, 0.436898");
-            values ( \
-              "-0.000963287, -0.110982, -0.126326, -0.144932, -0.15589, -0.167553, -0.170119, -0.171432, -0.167839, -0.16159, -0.144029, -0.0867139, -0.0612971, -0.038831, -0.0302616, -0.0184611, -0.0102765, -0.00536081, -0.00158038, -0.000423942, -0.000145162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.263985, 0.319503, 0.338812, 0.352339, 0.357621, 0.365101, 0.377517, 0.38993, 0.394793, 0.399017, 0.403917, 0.420323, 0.427404, 0.439913, 0.446606, 0.45553, 0.462458, 0.470128, 0.480355, 0.500809, 0.528204, 0.561933");
-            values ( \
-              "-0.00249586, -0.175281, -0.203125, -0.218944, -0.223789, -0.228997, -0.23225, -0.223231, -0.214409, -0.202678, -0.184625, -0.117669, -0.0933816, -0.059934, -0.0467071, -0.0330844, -0.0251972, -0.0185794, -0.0122593, -0.00509186, -0.00145837, -0.00031921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.342013, 0.361978, 0.370121, 0.376293, 0.385337, 0.392527, 0.40909, 0.42212, 0.434134, 0.446004, 0.468008, 0.489055, 0.509977, 0.530892, 0.5331, 0.541932, 0.553707, 0.572205, 0.588531, 0.597651, 0.612769, 0.62456, 0.642597, 0.662372, 0.669126, 0.685298, 0.70378, 0.740744, 0.794047, 0.859437");
-            values ( \
-              "-0.0192742, -0.10058, -0.129503, -0.14886, -0.170918, -0.185396, -0.214491, -0.235104, -0.251207, -0.264183, -0.280503, -0.287365, -0.284716, -0.267891, -0.264953, -0.248901, -0.219167, -0.167758, -0.127535, -0.108351, -0.0816562, -0.0649081, -0.0452375, -0.029997, -0.026062, -0.0185637, -0.0124979, -0.00544011, -0.00147959, -0.000269777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.47833, 0.510369, 0.521616, 0.564889, 0.577804, 0.594898, 0.6116, 0.633446, 0.652101, 0.688863, 0.701325, 0.714966, 0.728622, 0.755932, 0.767462, 0.787092, 0.808484, 0.850408, 0.880994, 0.906656, 0.929662, 0.953829, 0.986051, 1.01358, 1.03337, 1.07113, 1.0834, 1.11072, 1.14195, 1.20441, 1.28275, 1.36108");
-            values ( \
-              "-0.0310173, -0.125064, -0.153769, -0.234316, -0.255002, -0.277824, -0.294919, -0.311184, -0.320138, -0.328788, -0.329224, -0.328705, -0.327109, -0.320618, -0.316297, -0.306474, -0.290169, -0.234958, -0.187283, -0.151292, -0.123439, -0.0987265, -0.0722954, -0.0550391, -0.0450686, -0.0304757, -0.0268415, -0.0201814, -0.0145095, -0.0073071, -0.00301823, -0.00123375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.777942, 0.832792, 0.893235, 0.930963, 0.968151, 0.979723, 1.00287, 1.04607, 1.07023, 1.12761, 1.18507, 1.23818, 1.28051, 1.35884, 1.39242, 1.42344, 1.53863, 1.61176, 1.68941, 1.73984, 1.78042, 1.83139, 1.90313, 1.94997, 2.03775, 2.11609, 2.27276, 2.50778");
-            values ( \
-              "-0.178199, -0.190992, -0.283962, -0.319807, -0.340485, -0.344462, -0.350403, -0.355295, -0.35561, -0.352537, -0.346029, -0.337226, -0.327855, -0.300814, -0.281766, -0.2597, -0.171063, -0.125158, -0.0878406, -0.0691379, -0.0568255, -0.0441841, -0.030804, -0.0243058, -0.0155124, -0.0103371, -0.00455965, -0.00131887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.315442, 0.320601, 0.327322, 0.334495, 0.343738, 0.346835, 0.354842, 0.361678, 0.367298, 0.371191, 0.37336, 0.386583, 0.391452, 0.395076, 0.399848, 0.403183, 0.409853, 0.419546");
-            values ( \
-              "-0.0122852, -0.0385587, -0.0663049, -0.0873334, -0.104062, -0.107722, -0.114494, -0.117078, -0.114971, -0.107273, -0.100171, -0.0389732, -0.0244769, -0.0169061, -0.0102833, -0.00719521, -0.00345172, -0.00122882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.337351, 0.344449, 0.3459, 0.347276, 0.348652, 0.350716, 0.352117, 0.353519, 0.356321, 0.357722, 0.359124, 0.361813, 0.364502, 0.367111, 0.369719, 0.374018, 0.376337, 0.378657, 0.382831, 0.385906, 0.390635, 0.395296, 0.398267, 0.401263, 0.403269, 0.407281, 0.408733, 0.409379, 0.41024, 0.411531, 0.412392, 0.414113, 0.416014, 0.418864, 0.421246, 0.42554, 0.428837, 0.430546, 0.432114, 0.433683, 0.436717, 0.438843, 0.441072, 0.442025, 0.442978, 0.444883, 0.447587, 0.448938, 0.45029, 0.452452");
-            values ( \
-              "-0.0706833, -0.0744679, -0.0813347, -0.0884111, -0.0945022, -0.102528, -0.107411, -0.111936, -0.11965, -0.123167, -0.126457, -0.131995, -0.136979, -0.14121, -0.145067, -0.150606, -0.153383, -0.15601, -0.160331, -0.163216, -0.167361, -0.171124, -0.170595, -0.169858, -0.16925, -0.16776, -0.165299, -0.164026, -0.162103, -0.158837, -0.156406, -0.150931, -0.143456, -0.129863, -0.118153, -0.0962279, -0.0807009, -0.0730758, -0.0669544, -0.0611751, -0.0510471, -0.0444209, -0.0382014, -0.0360024, -0.0338994, -0.0299819, -0.0251364, -0.0229637, -0.0209577, -0.0180799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.370167, 0.388006, 0.394492, 0.40608, 0.415663, 0.429826, 0.444067, 0.456832, 0.463117, 0.469223, 0.481572, 0.488477, 0.49562, 0.513346, 0.520038, 0.53276, 0.539876, 0.54801, 0.554628, 0.561349, 0.57031, 0.588232, 0.615006, 0.647397");
-            values ( \
-              "-0.0424318, -0.118375, -0.140455, -0.166656, -0.182137, -0.202301, -0.218971, -0.229014, -0.231615, -0.23207, -0.223399, -0.20941, -0.184699, -0.112945, -0.090551, -0.0574797, -0.0440413, -0.0321398, -0.0247751, -0.0189736, -0.0131995, -0.00618895, -0.00183535, -0.000421033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.431837, 0.454603, 0.462623, 0.475484, 0.486157, 0.501405, 0.514251, 0.525941, 0.538089, 0.56007, 0.5811, 0.602012, 0.622917, 0.625134, 0.634004, 0.64583, 0.663638, 0.68018, 0.690128, 0.710099, 0.717656, 0.729795, 0.741249, 0.757269, 0.763695, 0.776548, 0.802252, 0.849164, 0.904016");
-            values ( \
-              "-0.00213383, -0.102988, -0.132349, -0.167504, -0.18884, -0.215459, -0.235692, -0.25131, -0.264563, -0.280749, -0.287532, -0.284823, -0.267959, -0.265, -0.248841, -0.218923, -0.169346, -0.128379, -0.10746, -0.0736639, -0.0635335, -0.0498801, -0.0394988, -0.0283117, -0.0247593, -0.0188857, -0.0108119, -0.00359663, -0.000935105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.573253, 0.60206, 0.617329, 0.656579, 0.685547, 0.703289, 0.725196, 0.743808, 0.780141, 0.795664, 0.813405, 0.834262, 0.859171, 0.898205, 0.912684, 0.928683, 0.987508, 1.02395, 1.05824, 1.08342, 1.10435, 1.12886, 1.16354, 1.19973, 1.22746, 1.28293, 1.36127, 1.4396, 1.51794");
-            values ( \
-              "-0.0469493, -0.126706, -0.16253, -0.23402, -0.276376, -0.294842, -0.311078, -0.320122, -0.328662, -0.329175, -0.328049, -0.324343, -0.31631, -0.292153, -0.276924, -0.255231, -0.165576, -0.120284, -0.0872592, -0.0682703, -0.0554597, -0.0432551, -0.0302146, -0.0207381, -0.0154722, -0.00847188, -0.00349346, -0.00143375, -0.000594407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.865083, 0.908709, 0.9685, 0.99126, 1.02323, 1.06406, 1.07247, 1.08929, 1.11854, 1.14678, 1.20324, 1.25477, 1.29549, 1.37788, 1.40552, 1.44238, 1.48431, 1.62905, 1.70943, 1.77813, 1.83123, 1.87572, 1.92577, 1.99682, 2.04217, 2.12646, 2.20479, 2.28313, 2.36147, 2.51815, 2.67482");
-            values ( \
-              "-0.159874, -0.166554, -0.260765, -0.290268, -0.319657, -0.341768, -0.344629, -0.349089, -0.353802, -0.355491, -0.354092, -0.349027, -0.343337, -0.326751, -0.318801, -0.304937, -0.282179, -0.172683, -0.122461, -0.0895212, -0.069562, -0.0560962, -0.0438342, -0.0306434, -0.0243827, -0.0158495, -0.0105465, -0.00701189, -0.00464734, -0.00203999, -0.000893807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.501942, 0.507645, 0.508763, 0.510528, 0.514574, 0.518528, 0.522141, 0.525225, 0.528309, 0.531393, 0.535107, 0.538053, 0.543888, 0.547001, 0.550283, 0.552997, 0.554267, 0.55521, 0.55814, 0.560227, 0.561271, 0.562184, 0.571132, 0.574502, 0.577539, 0.57961, 0.582718, 0.586071");
-            values ( \
-              "-0.00931762, -0.0366791, -0.0396346, -0.0458732, -0.0639858, -0.0767863, -0.0860473, -0.0922872, -0.0978227, -0.102654, -0.10743, -0.110253, -0.11454, -0.115848, -0.116436, -0.115767, -0.115009, -0.11406, -0.108246, -0.102894, -0.0998413, -0.0961689, -0.0521058, -0.0390257, -0.0290019, -0.0236666, -0.0174095, -0.012307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.52006, 0.550068, 0.556849, 0.566481, 0.581832, 0.587725, 0.59192, 0.599997, 0.605617, 0.608753, 0.620797, 0.628807, 0.635104, 0.643594, 0.649592, 0.657589, 0.672185, 0.689221, 0.710683");
-            values ( \
-              "-0.00348114, -0.120608, -0.13476, -0.149032, -0.164838, -0.168877, -0.170373, -0.167003, -0.155084, -0.143512, -0.0844615, -0.0531902, -0.0357836, -0.0202912, -0.0134364, -0.0075932, -0.00249358, -0.000714694, -0.000218381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.564423, 0.582028, 0.587706, 0.597492, 0.60359, 0.612299, 0.63465, 0.643046, 0.650179, 0.65683, 0.669269, 0.681707, 0.686426, 0.690722, 0.695703, 0.713214, 0.720509, 0.732225, 0.744029, 0.75323, 0.76297, 0.770462, 0.785445, 0.812214, 0.843983");
-            values ( \
-              "-0.000602559, -0.0938229, -0.117747, -0.14822, -0.16109, -0.176202, -0.20783, -0.217386, -0.223927, -0.228532, -0.231838, -0.222956, -0.214493, -0.202732, -0.184477, -0.113626, -0.0893196, -0.0588531, -0.0376906, -0.0262664, -0.0178513, -0.0131887, -0.00707007, -0.00209744, -0.000491563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.636751, 0.658596, 0.66788, 0.682081, 0.690133, 0.718219, 0.730823, 0.742069, 0.764057, 0.785084, 0.805998, 0.826904, 0.82912, 0.837983, 0.8498, 0.867735, 0.884245, 0.894024, 0.913622, 0.921541, 0.934291, 0.945583, 0.961441, 0.967698, 0.980213, 1.00524, 1.05198, 1.10647");
-            values ( \
-              "-0.00618977, -0.103354, -0.136975, -0.173564, -0.18889, -0.235578, -0.252381, -0.264485, -0.280746, -0.287477, -0.284829, -0.267922, -0.264995, -0.248855, -0.218964, -0.169052, -0.128203, -0.107639, -0.0743362, -0.0636661, -0.0493726, -0.0392237, -0.0282078, -0.0247567, -0.0190194, -0.0110598, -0.00369789, -0.000973485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.780077, 0.822657, 0.860903, 0.891421, 0.907596, 0.929414, 0.948092, 0.984822, 0.997491, 1.02461, 1.05174, 1.06345, 1.08308, 1.10447, 1.14639, 1.20264, 1.22565, 1.24981, 1.28203, 1.30957, 1.32937, 1.36712, 1.37939, 1.40671, 1.43792, 1.50036, 1.5787, 1.65704");
-            values ( \
-              "-0.061053, -0.164941, -0.234386, -0.278438, -0.295017, -0.311149, -0.32021, -0.328749, -0.329229, -0.327111, -0.320682, -0.316296, -0.306479, -0.290167, -0.234961, -0.15129, -0.123438, -0.0987271, -0.0722977, -0.0550367, -0.0450638, -0.0304746, -0.0268406, -0.0201828, -0.0145117, -0.00731011, -0.00301927, -0.00123441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.07446, 1.12825, 1.17049, 1.19511, 1.22598, 1.26708, 1.2763, 1.29475, 1.32317, 1.35061, 1.40549, 1.42424, 1.46125, 1.49933, 1.58165, 1.60886, 1.64516, 1.66019, 1.69026, 1.80724, 1.8722, 1.90792, 1.94739, 2.00002, 2.04874, 2.1062, 2.18283, 2.23081, 2.30468, 2.38302, 2.46135, 2.53969, 2.69637, 2.85304");
-            values ( \
-              "-0.180137, -0.189219, -0.257874, -0.290196, -0.318741, -0.341441, -0.344556, -0.349434, -0.353834, -0.355491, -0.354159, -0.352638, -0.348677, -0.343347, -0.326769, -0.318958, -0.305403, -0.298305, -0.280804, -0.191309, -0.146499, -0.125425, -0.10504, -0.0821968, -0.0651432, -0.0492254, -0.0335227, -0.0263257, -0.0180745, -0.0120315, -0.00800968, -0.00530475, -0.00232748, -0.00101797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.867428, 0.874053, 0.87577, 0.878059, 0.885504, 0.889908, 0.893912, 0.900924, 0.907279, 0.913281, 0.919115, 0.922576, 0.924886, 0.929505, 0.934309, 0.940605, 0.945491, 0.950073, 0.953842, 0.956423, 0.960469, 0.965862, 0.966411");
-            values ( \
-              "-0.0184024, -0.0290274, -0.0335004, -0.0401843, -0.0671991, -0.0791033, -0.0874717, -0.0995541, -0.106689, -0.111544, -0.11365, -0.113318, -0.111641, -0.103271, -0.0841564, -0.0538481, -0.0351767, -0.0227597, -0.0154975, -0.0118795, -0.0077755, -0.00436983, -0.00420652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.895268, 0.922276, 0.9293, 0.941681, 0.948856, 0.959325, 0.970138, 0.978376, 0.986651, 1.00527, 1.01258, 1.02387, 1.03261, 1.04193, 1.04933");
-            values ( \
-              "-0.000452937, -0.101016, -0.119668, -0.141569, -0.150268, -0.16062, -0.167143, -0.164476, -0.144372, -0.0604111, -0.0386015, -0.0181497, -0.00991167, -0.00514843, -0.00343582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.952385, 0.991581, 1.00068, 1.01958, 1.03224, 1.03874, 1.05174, 1.06437, 1.07697, 1.08424, 1.09102, 1.10885, 1.11552, 1.12831, 1.13562, 1.14397, 1.15264, 1.16588, 1.18353, 1.21026, 1.24017");
-            values ( \
-              "-0.00476737, -0.141344, -0.160928, -0.190258, -0.207016, -0.214236, -0.225266, -0.229212, -0.221132, -0.206649, -0.183549, -0.11236, -0.090237, -0.0572325, -0.0435694, -0.0315444, -0.0224255, -0.0131786, -0.00626463, -0.00186934, -0.00073369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.04477, 1.12625, 1.13317, 1.15275, 1.16483, 1.18701, 1.20813, 1.21592, 1.22907, 1.23956, 1.25071, 1.26663, 1.30312, 1.31544, 1.33453, 1.36053, 1.39061, 1.40808, 1.43138, 1.46868");
-            values ( \
-              "-0.00708735, -0.208528, -0.220004, -0.248352, -0.261938, -0.27896, -0.286333, -0.286609, -0.284045, -0.277664, -0.266534, -0.235378, -0.138076, -0.111188, -0.0777529, -0.0464672, -0.0248871, -0.017225, -0.0104359, -0.00486845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.22972, 1.25315, 1.27097, 1.31738, 1.33313, 1.35616, 1.37845, 1.39681, 1.43138, 1.44359, 1.47345, 1.5033, 1.53036, 1.55336, 1.59523, 1.65197, 1.68247, 1.7299, 1.75967, 1.78108, 1.81702, 1.85348, 1.88171, 1.93817, 2.00997");
-            values ( \
-              "-0.0932848, -0.120165, -0.162165, -0.24501, -0.267832, -0.293406, -0.310129, -0.319357, -0.327929, -0.328713, -0.326802, -0.319623, -0.307327, -0.290078, -0.235051, -0.150729, -0.114832, -0.0730356, -0.0543789, -0.0438035, -0.0301706, -0.0206433, -0.0153457, -0.00828317, -0.00384087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.51984, 1.5616, 1.62511, 1.64794, 1.67714, 1.72911, 1.7489, 1.78849, 1.80343, 1.8333, 1.87711, 1.96954, 2.0299, 2.10824, 2.14178, 2.17281, 2.28805, 2.36666, 2.43877, 2.48922, 2.52982, 2.58079, 2.65252, 2.69935, 2.78711, 2.86545, 3.02212, 3.25714");
-            values ( \
-              "-0.151066, -0.160719, -0.260608, -0.290447, -0.317499, -0.34452, -0.349845, -0.354875, -0.355633, -0.35526, -0.352677, -0.340532, -0.32791, -0.300776, -0.281812, -0.259695, -0.171019, -0.122153, -0.0878578, -0.0691333, -0.0568141, -0.0441889, -0.0307964, -0.0243112, -0.0155191, -0.010334, -0.00455589, -0.00132324" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0100096, 0.0100326, 0.010059, 0.0100823, 0.0100986, 0.0101082", \
-            "0.0117491, 0.0117655, 0.0117875, 0.0118101, 0.011828, 0.0118394", \
-            "0.0127641, 0.0127702, 0.0127812, 0.0127957, 0.0128096, 0.0128197", \
-            "0.0133769, 0.0133766, 0.0133753, 0.0133766, 0.0133806, 0.0133854", \
-            "0.0137117, 0.0137055, 0.0137002, 0.0136916, 0.0136851, 0.0136822", \
-            "0.0139121, 0.0139049, 0.0138956, 0.0138808, 0.0138657, 0.0138543" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0137404, 0.0137554, 0.0137736, 0.0137903, 0.0138056, 0.0138148", \
-            "0.0149557, 0.0149455, 0.0149378, 0.0149337, 0.0149314, 0.0149334", \
-            "0.0157248, 0.0156788, 0.0156247, 0.0155755, 0.0155337, 0.0155211", \
-            "0.0164069, 0.0163128, 0.0161985, 0.01609, 0.0160072, 0.0159534", \
-            "0.0172295, 0.0170753, 0.0168691, 0.0166587, 0.0164952, 0.0163945", \
-            "0.0157757, 0.0165257, 0.017221, 0.0171938, 0.0169258, 0.0167347" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CI";
-        sdf_cond : "(~A & B)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A * B)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.218287, 0.25718, 0.333159, 0.483431, 0.785262, 1.39867", \
-            "0.222884, 0.261809, 0.338062, 0.488617, 0.790396, 1.40416", \
-            "0.234721, 0.273632, 0.34987, 0.500481, 0.802732, 1.41675", \
-            "0.264144, 0.302795, 0.378722, 0.529155, 0.831127, 1.44515", \
-            "0.328814, 0.369089, 0.445584, 0.595389, 0.896186, 1.50922", \
-            "0.437458, 0.486089, 0.577479, 0.743702, 1.04808, 1.65773" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0385545, 0.056677, 0.0950129, 0.177421, 0.353132, 0.722664", \
-            "0.0385892, 0.0566442, 0.0950109, 0.177424, 0.353105, 0.722661", \
-            "0.0385854, 0.0566781, 0.0950119, 0.177429, 0.353134, 0.72266", \
-            "0.0385992, 0.0566674, 0.0950087, 0.177432, 0.353138, 0.722588", \
-            "0.0388786, 0.0568313, 0.095063, 0.177424, 0.353097, 0.722666", \
-            "0.0403213, 0.0580428, 0.0958989, 0.177637, 0.353141, 0.722666" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.18878, 0.219622, 0.278816, 0.392953, 0.616715, 1.06394", \
-            "0.193863, 0.224795, 0.284046, 0.398212, 0.621857, 1.06947", \
-            "0.203939, 0.235152, 0.294729, 0.409161, 0.633052, 1.08056", \
-            "0.223843, 0.256309, 0.3174, 0.432728, 0.656934, 1.10453", \
-            "0.253142, 0.29074, 0.359796, 0.482807, 0.709966, 1.15679", \
-            "0.277714, 0.323989, 0.409631, 0.560078, 0.817525, 1.27596" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0315355, 0.0445551, 0.0704229, 0.123619, 0.236646, 0.477506", \
-            "0.0315716, 0.0445549, 0.0704751, 0.123649, 0.236667, 0.477504", \
-            "0.0315956, 0.0445575, 0.0704936, 0.123633, 0.236658, 0.47751", \
-            "0.0316501, 0.0446101, 0.0705221, 0.123674, 0.236911, 0.477514", \
-            "0.0314153, 0.0445408, 0.0706967, 0.123705, 0.236674, 0.477576", \
-            "0.0316996, 0.0446279, 0.0705696, 0.123662, 0.236899, 0.477507" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.186647, 0.20326, 0.211373, 0.21713, 0.219725, 0.22403, 0.229099, 0.231322, 0.234287, 0.238437, 0.24195, 0.248386, 0.257041, 0.265359, 0.270275, 0.278079, 0.282681, 0.289287, 0.297007, 0.303072, 0.315204, 0.326308");
-            values ( \
-              "0.0098771, 0.0694413, 0.0922107, 0.103655, 0.107396, 0.11165, 0.113585, 0.113302, 0.111709, 0.105918, 0.0963902, 0.0730793, 0.0483097, 0.0313202, 0.0240168, 0.0154824, 0.0119834, 0.00813544, 0.00525004, 0.00381124, 0.00186361, 0.00119317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.208519, 0.224277, 0.231542, 0.237126, 0.242429, 0.251625, 0.260191, 0.268639, 0.27708, 0.280718, 0.284599, 0.301414, 0.314933, 0.322452, 0.327738, 0.334897, 0.341241, 0.347707, 0.357005, 0.366661, 0.37406, 0.388858, 0.416373, 0.449033");
-            values ( \
-              "0.00596421, 0.0793101, 0.108676, 0.127202, 0.141142, 0.156829, 0.162797, 0.161783, 0.153702, 0.146778, 0.137215, 0.0878703, 0.0568739, 0.0439729, 0.0363935, 0.0279754, 0.0220717, 0.0172552, 0.0120457, 0.00830517, 0.00622748, 0.00346786, 0.00107423, 0.000262755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.256736, 0.281389, 0.296231, 0.302687, 0.315598, 0.325065, 0.33073, 0.34206, 0.350196, 0.359597, 0.415612, 0.437636, 0.450488, 0.467369, 0.494984, 0.515034, 0.531023, 0.563001, 0.565913");
-            values ( \
-              "0.0370812, 0.137533, 0.182103, 0.193988, 0.206186, 0.208208, 0.207189, 0.202123, 0.195618, 0.184688, 0.0829043, 0.0540105, 0.041484, 0.0287864, 0.0154729, 0.00978107, 0.00685542, 0.00305995, 0.00293157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.35128, 0.365423, 0.383027, 0.39207, 0.406149, 0.418669, 0.436665, 0.455837, 0.46859, 0.4939, 0.508313, 0.523158, 0.549088, 0.604072, 0.648855, 0.685412, 0.711038, 0.733567, 0.763605, 0.784892, 0.840045, 0.903077, 0.940778");
-            values ( \
-              "0.113689, 0.11466, 0.18074, 0.20488, 0.228657, 0.238891, 0.242639, 0.238749, 0.234047, 0.221477, 0.212427, 0.201155, 0.176075, 0.116241, 0.0759985, 0.0517189, 0.0387832, 0.0299177, 0.020926, 0.0161824, 0.00820925, 0.00359842, 0.00250172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.528029, 0.58609, 0.598755, 0.619592, 0.646932, 0.688776, 0.70628, 0.78353, 0.824065, 0.853945, 0.912151, 1.01023, 1.06692, 1.14987, 1.19799, 1.24178, 1.2969, 1.3626, 1.40748, 1.49699, 1.59986, 1.67767");
-            values ( \
-              "0.099585, 0.230018, 0.247181, 0.261753, 0.264994, 0.25865, 0.254616, 0.233857, 0.220701, 0.209047, 0.18, 0.125174, 0.0967226, 0.063378, 0.0485027, 0.037761, 0.0272956, 0.0183734, 0.0138849, 0.00792433, 0.00413092, 0.00255333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.887971, 0.98178, 1.00809, 1.0463, 1.0746, 1.15071, 1.29899, 1.41049, 1.51337, 1.60354, 1.87612, 2.046, 2.16028, 2.31972, 2.47562, 2.5785, 2.82801, 3.13663, 3.14481");
-            values ( \
-              "0.149392, 0.260445, 0.272514, 0.276247, 0.275311, 0.268299, 0.25008, 0.234132, 0.215879, 0.195009, 0.12055, 0.0808652, 0.0601193, 0.0386297, 0.0245825, 0.0180673, 0.00846147, 0.00324416, 0.00320301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.205487, 0.221779, 0.22987, 0.236518, 0.24255, 0.248377, 0.254192, 0.25808, 0.271264, 0.279998, 0.287959, 0.296806, 0.307894, 0.32126, 0.336349");
-            values ( \
-              "0.0117893, 0.0690475, 0.0921319, 0.105086, 0.111776, 0.113696, 0.110592, 0.103426, 0.059798, 0.0384719, 0.0251551, 0.0153389, 0.00813017, 0.00384948, 0.00231638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.226307, 0.242858, 0.250129, 0.256838, 0.261019, 0.269381, 0.275137, 0.278755, 0.28599, 0.287191, 0.289593, 0.295868, 0.304692, 0.322326, 0.331574, 0.343619, 0.357071, 0.365728, 0.375501, 0.392515, 0.406187, 0.432364, 0.464605");
-            values ( \
-              "0.00159985, 0.0792382, 0.108667, 0.130442, 0.141138, 0.155772, 0.161042, 0.162579, 0.162209, 0.161588, 0.160142, 0.153017, 0.132867, 0.0817222, 0.0606484, 0.040071, 0.0244538, 0.0176259, 0.0120674, 0.00626358, 0.00363943, 0.00122099, 0.000291326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.275302, 0.300153, 0.315038, 0.321489, 0.334391, 0.343922, 0.349531, 0.36075, 0.369217, 0.378398, 0.434315, 0.456461, 0.469363, 0.486144, 0.513756, 0.533899, 0.548718, 0.57795, 0.589167");
-            values ( \
-              "0.034479, 0.137409, 0.182129, 0.193996, 0.206188, 0.208213, 0.207187, 0.202199, 0.195414, 0.184678, 0.0830555, 0.053982, 0.041418, 0.0288016, 0.0154819, 0.00976583, 0.00703628, 0.0033856, 0.00283509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.366361, 0.380547, 0.394689, 0.411178, 0.425032, 0.437786, 0.455682, 0.474957, 0.48771, 0.51302, 0.52743, 0.542278, 0.56822, 0.623197, 0.667987, 0.704493, 0.730148, 0.752706, 0.782783, 0.804029, 0.859093, 0.922024, 0.961588");
-            values ( \
-              "0.0703646, 0.0982546, 0.155081, 0.204701, 0.22834, 0.23882, 0.24266, 0.238774, 0.234015, 0.221506, 0.212429, 0.201156, 0.176062, 0.116235, 0.075989, 0.0517408, 0.0387877, 0.029911, 0.020911, 0.0161787, 0.00821658, 0.00360704, 0.00245323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.548002, 0.57798, 0.605349, 0.617281, 0.636988, 0.657329, 0.665412, 0.681576, 0.721238, 0.762975, 0.813358, 0.846695, 0.872496, 0.924098, 1.03567, 1.06935, 1.12612, 1.18713, 1.23627, 1.2612, 1.32565, 1.38598, 1.44637, 1.49289, 1.58593, 1.68881, 1.89455");
-            values ( \
-              "0.11723, 0.16232, 0.231202, 0.247277, 0.261157, 0.264981, 0.264882, 0.263233, 0.255382, 0.244878, 0.230523, 0.219278, 0.208967, 0.18363, 0.121495, 0.104454, 0.0789669, 0.0571899, 0.0433759, 0.0375929, 0.02572, 0.0178026, 0.0122933, 0.00920242, 0.00506001, 0.00258918, 0.000672028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.908958, 0.999341, 1.02371, 1.05373, 1.08352, 1.12302, 1.2205, 1.32946, 1.43045, 1.53332, 1.62349, 1.89608, 2.06596, 2.18023, 2.26986, 2.36576, 2.49558, 2.59845, 2.74509, 2.84797, 2.95084, 3.15659, 3.22166");
-            values ( \
-              "0.154915, 0.258537, 0.271226, 0.27594, 0.275885, 0.273177, 0.262562, 0.248662, 0.234131, 0.21588, 0.195008, 0.120549, 0.080864, 0.0601203, 0.0470117, 0.0358749, 0.0245834, 0.0180664, 0.0115875, 0.00846238, 0.00614914, 0.00324326, 0.0029161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.248439, 0.256177, 0.263925, 0.272518, 0.27915, 0.285209, 0.291024, 0.296842, 0.300686, 0.313849, 0.32261, 0.330798, 0.339347, 0.343919, 0.350504, 0.358131, 0.364113, 0.376078, 0.389841");
-            values ( \
-              "0.0144407, 0.0393451, 0.0677748, 0.0919894, 0.104879, 0.111776, 0.113587, 0.110606, 0.103514, 0.0599931, 0.0385444, 0.0249001, 0.0154383, 0.0119187, 0.00815011, 0.00530225, 0.00380774, 0.00193156, 0.00103546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.270155, 0.288289, 0.296538, 0.303651, 0.312847, 0.321414, 0.329862, 0.338303, 0.34194, 0.34582, 0.362636, 0.376153, 0.383679, 0.38897, 0.396123, 0.40246, 0.408928, 0.418227, 0.427887, 0.435289, 0.450094, 0.477597, 0.510243");
-            values ( \
-              "0.00917026, 0.0911532, 0.121559, 0.141163, 0.156808, 0.16281, 0.161761, 0.153718, 0.14678, 0.137216, 0.0878752, 0.0568807, 0.0439696, 0.036381, 0.0279753, 0.0220772, 0.0172552, 0.0120484, 0.00830617, 0.00622445, 0.00346778, 0.00107274, 0.000264651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.313891, 0.328353, 0.337392, 0.347895, 0.357692, 0.364106, 0.376935, 0.38124, 0.386712, 0.39215, 0.403026, 0.41252, 0.421017, 0.436114, 0.459202, 0.467527, 0.477145, 0.489459, 0.498973, 0.511658, 0.521217, 0.528869, 0.539071, 0.556496, 0.564473, 0.57624, 0.591512, 0.622057, 0.669951, 0.728014");
-            values ( \
-              "0.00447728, 0.074732, 0.115408, 0.15579, 0.182309, 0.193863, 0.206238, 0.207647, 0.208155, 0.207205, 0.202376, 0.194729, 0.18467, 0.159047, 0.113039, 0.0981847, 0.082734, 0.0653588, 0.0540986, 0.0417066, 0.0339187, 0.028735, 0.0230607, 0.0154419, 0.0128802, 0.00983119, 0.00700657, 0.00325579, 0.00100111, 0.000115912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.409127, 0.423243, 0.437384, 0.45387, 0.468046, 0.480484, 0.498665, 0.517658, 0.530412, 0.555722, 0.570129, 0.584981, 0.610956, 0.665917, 0.710725, 0.747089, 0.772822, 0.795462, 0.825648, 0.846783, 0.901598, 0.964245, 1.00434");
-            values ( \
-              "0.0715322, 0.0980296, 0.155108, 0.204616, 0.228746, 0.238748, 0.242694, 0.238826, 0.233953, 0.221561, 0.212429, 0.201153, 0.176025, 0.116218, 0.0759617, 0.0518015, 0.0388002, 0.0298922, 0.0208693, 0.0161685, 0.00823686, 0.00363126, 0.00245393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.592697, 0.616867, 0.636844, 0.644866, 0.660614, 0.680483, 0.701463, 0.723326, 0.750667, 0.768089, 0.845451, 0.88593, 0.91581, 0.974015, 1.07209, 1.12878, 1.17565, 1.21174, 1.25985, 1.30365, 1.35876, 1.42446, 1.49919, 1.55887, 1.66174, 1.76462, 1.97036");
-            values ( \
-              "0.141602, 0.147689, 0.206838, 0.224133, 0.247367, 0.261211, 0.265063, 0.263473, 0.258547, 0.254549, 0.233912, 0.220768, 0.20898, 0.180066, 0.125221, 0.0967671, 0.0765896, 0.0633372, 0.0485432, 0.0377982, 0.0273314, 0.0183382, 0.0115964, 0.00795313, 0.00410125, 0.00207402, 0.000527101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.952372, 1.04265, 1.07124, 1.09616, 1.12559, 1.21385, 1.3657, 1.4737, 1.57657, 1.66674, 1.93932, 2.1092, 2.22348, 2.38292, 2.53883, 2.6417, 2.89122, 3.18493");
-            values ( \
-              "0.156029, 0.258586, 0.272439, 0.27589, 0.275935, 0.268274, 0.249605, 0.234131, 0.215879, 0.195008, 0.120549, 0.0808642, 0.0601202, 0.0386289, 0.0245833, 0.0180665, 0.00846227, 0.00349536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.346621, 0.370101, 0.376752, 0.382786, 0.388615, 0.394462, 0.398293, 0.411464, 0.420215, 0.428347, 0.436968, 0.448106, 0.461646, 0.477549");
-            values ( \
-              "0.0194843, 0.0920053, 0.105149, 0.111638, 0.113765, 0.110449, 0.103467, 0.0599086, 0.0385076, 0.0249455, 0.0154201, 0.00815418, 0.00381006, 0.00221263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.37026, 0.376648, 0.386653, 0.396887, 0.401054, 0.409389, 0.41532, 0.418787, 0.42722, 0.4359, 0.444663, 0.457767, 0.47058, 0.485262, 0.500648, 0.516926, 0.533645, 0.552753, 0.560273");
-            values ( \
-              "0.0336109, 0.0522057, 0.0951982, 0.130564, 0.141192, 0.15576, 0.161185, 0.162545, 0.161537, 0.153037, 0.13348, 0.0939677, 0.0629289, 0.0379231, 0.021369, 0.0113986, 0.006028, 0.0027183, 0.00240385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.410013, 0.427285, 0.434391, 0.440871, 0.444891, 0.45293, 0.461099, 0.475366, 0.4892, 0.503012, 0.509729, 0.516663, 0.520714, 0.526115, 0.534778, 0.552103, 0.564645, 0.574283, 0.586491, 0.595881, 0.608401, 0.618146, 0.625938, 0.636327, 0.653576, 0.661473, 0.673021, 0.688419, 0.719215, 0.76717, 0.825259");
-            values ( \
-              "0.000787447, 0.0832151, 0.115398, 0.141822, 0.155701, 0.178391, 0.193762, 0.207051, 0.207467, 0.200595, 0.19462, 0.186654, 0.180865, 0.17194, 0.155447, 0.120465, 0.0980308, 0.0824476, 0.0653699, 0.0542526, 0.0418632, 0.034027, 0.0287494, 0.022862, 0.0154754, 0.0129422, 0.00994376, 0.0069564, 0.00330419, 0.00093085, 0.000178355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.502659, 0.52002, 0.539002, 0.550717, 0.563945, 0.577349, 0.595314, 0.60218, 0.61453, 0.627283, 0.652594, 0.666993, 0.681852, 0.707761, 0.762755, 0.807526, 0.844173, 0.86975, 0.892227, 0.922196, 0.943553, 0.967257, 0.998862, 1.06207, 1.10428");
-            values ( \
-              "0.0397198, 0.0980644, 0.171557, 0.20437, 0.227323, 0.238737, 0.242609, 0.241833, 0.238754, 0.23401, 0.221501, 0.212437, 0.201154, 0.176098, 0.116252, 0.0760153, 0.0516808, 0.0387753, 0.0299295, 0.0209527, 0.0161888, 0.0121336, 0.00819684, 0.00358319, 0.0023607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.686931, 0.723444, 0.741102, 0.757191, 0.777066, 0.797793, 0.805331, 0.820406, 0.84765, 0.863886, 0.942834, 0.980979, 1.01239, 1.07052, 1.16855, 1.22514, 1.27215, 1.30848, 1.35693, 1.40026, 1.45482, 1.52086, 1.56606, 1.65645, 1.75932, 1.8622, 2.06794");
-            values ( \
-              "0.102829, 0.179166, 0.223257, 0.24716, 0.261207, 0.264975, 0.264906, 0.263357, 0.258492, 0.254762, 0.233647, 0.22129, 0.209012, 0.180078, 0.125255, 0.0968421, 0.0766524, 0.0633111, 0.0483793, 0.037764, 0.0273905, 0.0183842, 0.0138794, 0.00787822, 0.00409843, 0.00203703, 0.000500465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("1.05116, 1.10374, 1.11657, 1.14223, 1.16737, 1.19457, 1.22257, 1.25715, 1.2937, 1.35987, 1.4272, 1.53122, 1.56983, 1.64705, 1.68649, 1.74673, 1.81883, 2.03551, 2.1027, 2.20557, 2.2522, 2.31873, 2.40744, 2.50563, 2.5591, 2.66197, 2.78085, 2.84028, 2.94315, 3.04603, 3.1489, 3.25177, 3.45752, 3.76614");
-            values ( \
-              "0.176596, 0.211639, 0.233703, 0.261286, 0.272553, 0.276203, 0.275963, 0.273601, 0.270017, 0.26251, 0.254148, 0.239949, 0.234109, 0.220918, 0.212915, 0.199065, 0.180167, 0.120543, 0.10368, 0.0808277, 0.0717562, 0.0602259, 0.0472615, 0.0357948, 0.0307056, 0.0226465, 0.0158614, 0.0132824, 0.00967233, 0.00707131, 0.00511784, 0.00374316, 0.00197807, 0.000733612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.557878, 0.576921, 0.585237, 0.591962, 0.598078, 0.603952, 0.609837, 0.614068, 0.625608, 0.634383, 0.643709, 0.652486, 0.663602, 0.677023, 0.691424");
-            values ( \
-              "0.00212154, 0.0672572, 0.0904705, 0.103632, 0.110635, 0.112852, 0.109919, 0.102075, 0.0635676, 0.0409554, 0.0250281, 0.0153549, 0.00813895, 0.0038288, 0.00236984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.583218, 0.593025, 0.603877, 0.612857, 0.617868, 0.627121, 0.635722, 0.644195, 0.653368, 0.659142, 0.676887, 0.689744, 0.69569, 0.704041, 0.710766, 0.716361, 0.723002, 0.732432, 0.742598, 0.750446, 0.76614, 0.78758");
-            values ( \
-              "0.00556539, 0.050327, 0.0959166, 0.127047, 0.139985, 0.156147, 0.162167, 0.161477, 0.152185, 0.139816, 0.0881627, 0.0584105, 0.0477558, 0.0354866, 0.0277147, 0.0224911, 0.0174656, 0.0121348, 0.00820389, 0.00604081, 0.00324258, 0.00150059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.628976, 0.651666, 0.662223, 0.672015, 0.678479, 0.691408, 0.700905, 0.706556, 0.71786, 0.726094, 0.735435, 0.750503, 0.776347, 0.791468, 0.813471, 0.826305, 0.843221, 0.853256, 0.870838, 0.882875, 0.906807, 0.938716, 0.962917");
-            values ( \
-              "0.0105761, 0.114602, 0.155132, 0.181821, 0.193454, 0.206106, 0.207938, 0.20715, 0.201955, 0.195402, 0.184731, 0.159003, 0.108079, 0.0827944, 0.0541099, 0.0414171, 0.0288756, 0.0230882, 0.0155656, 0.0117868, 0.00676414, 0.00317121, 0.0019994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.725489, 0.743906, 0.751119, 0.767613, 0.779379, 0.794222, 0.811884, 0.819048, 0.831397, 0.844151, 0.869461, 0.883865, 0.89872, 0.924664, 0.979641, 1.02443, 1.06093, 1.08659, 1.10915, 1.13923, 1.16047, 1.18407, 1.21552, 1.27844, 1.32006");
-            values ( \
-              "0.0877336, 0.126695, 0.155235, 0.204699, 0.225562, 0.238849, 0.242653, 0.241841, 0.238772, 0.233999, 0.221513, 0.212432, 0.20115, 0.176061, 0.116232, 0.0759853, 0.0517451, 0.0387874, 0.0299091, 0.0209095, 0.0161773, 0.0121414, 0.00821847, 0.00360767, 0.00239321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.906975, 0.939191, 0.956953, 0.972913, 0.993446, 1.01436, 1.0345, 1.06136, 1.08374, 1.14805, 1.2176, 1.24926, 1.29268, 1.39488, 1.47728, 1.50745, 1.55391, 1.61709, 1.64556, 1.70251, 1.74734, 1.7967, 1.8625, 1.96538, 2.06825, 2.274");
-            values ( \
-              "0.138935, 0.179967, 0.223991, 0.247414, 0.261617, 0.265042, 0.263581, 0.258935, 0.253777, 0.236769, 0.213447, 0.199305, 0.176395, 0.119661, 0.0808443, 0.0691392, 0.0537599, 0.0375283, 0.0318047, 0.0225721, 0.0172068, 0.0127109, 0.00838303, 0.00432875, 0.00218633, 0.000554321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.26861, 1.35397, 1.37903, 1.41094, 1.47862, 1.5761, 1.78605, 1.88892, 2.42156, 2.53584, 2.72137, 2.95406, 3.20357, 3.32807");
-            values ( \
-              "0.182689, 0.257645, 0.271159, 0.275971, 0.273122, 0.262528, 0.234131, 0.215879, 0.0808647, 0.0601197, 0.0358742, 0.0180669, 0.00846181, 0.00635687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.999244, 1.0098, 1.02579, 1.03179, 1.03921, 1.04528, 1.05133, 1.0574, 1.06933, 1.0791, 1.08686, 1.09841, 1.10857, 1.11559, 1.12362, 1.13967, 1.15406");
-            values ( \
-              "0.0224023, 0.0396995, 0.0839231, 0.0962333, 0.105993, 0.109075, 0.107245, 0.0960802, 0.0572784, 0.0350574, 0.0231163, 0.012133, 0.00679372, 0.00464866, 0.00291953, 0.00123209, 0.00063115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("1.03245, 1.04131, 1.05503, 1.06687, 1.07522, 1.07917, 1.08445, 1.09069, 1.09403, 1.10071, 1.10287, 1.11257, 1.1296, 1.14245, 1.15388, 1.16759, 1.18384, 1.20276, 1.21589, 1.23335");
-            values ( \
-              "0.0368323, 0.0480318, 0.0972842, 0.132494, 0.148568, 0.153447, 0.157569, 0.158932, 0.158297, 0.153599, 0.150793, 0.129193, 0.0811102, 0.0534697, 0.035871, 0.0215511, 0.0115309, 0.00560306, 0.00328465, 0.0021369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("1.08952, 1.10419, 1.12578, 1.13619, 1.14269, 1.15571, 1.16043, 1.16588, 1.17126, 1.18204, 1.19218, 1.20034, 1.21554, 1.24255, 1.26581, 1.28814, 1.30366, 1.32161, 1.3373, 1.35771, 1.38493, 1.41735");
-            values ( \
-              "0.0136942, 0.0643212, 0.146697, 0.175737, 0.18823, 0.202556, 0.204614, 0.205482, 0.205038, 0.200844, 0.193088, 0.183724, 0.158178, 0.105806, 0.0693984, 0.0443899, 0.0319152, 0.0215123, 0.0150917, 0.00946202, 0.00494421, 0.00246906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.198, 1.21679, 1.23149, 1.24834, 1.26119, 1.27521, 1.29347, 1.31252, 1.32528, 1.35063, 1.36486, 1.37989, 1.40722, 1.45298, 1.48793, 1.52749, 1.54509, 1.57539, 1.61696, 1.64062, 1.67229, 1.71451, 1.79465, 1.88782");
-            values ( \
-              "0.0338601, 0.0934969, 0.150655, 0.201557, 0.224662, 0.237467, 0.241903, 0.238357, 0.233737, 0.221346, 0.212442, 0.201077, 0.17455, 0.12436, 0.0906661, 0.0606385, 0.0501031, 0.0356019, 0.0218273, 0.0164074, 0.0111482, 0.00655296, 0.00218996, 0.000575978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.38919, 1.41494, 1.4366, 1.45743, 1.47772, 1.49839, 1.50559, 1.51999, 1.54723, 1.56548, 1.64173, 1.68276, 1.71265, 1.77086, 1.86893, 1.92563, 1.97249, 2.00858, 2.0567, 2.10049, 2.1556, 2.2213, 2.29604, 2.35572, 2.45859, 2.56146, 2.76721");
-            values ( \
-              "0.134773, 0.151745, 0.213429, 0.24707, 0.261277, 0.264983, 0.264854, 0.263454, 0.258605, 0.254412, 0.234073, 0.220768, 0.20898, 0.180064, 0.125225, 0.0967722, 0.0765845, 0.063331, 0.0485483, 0.0378047, 0.0273387, 0.0183312, 0.0115881, 0.00796133, 0.0040928, 0.00208239, 0.000535569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.7498, 1.83507, 1.86009, 1.89377, 1.95993, 2.05741, 2.26736, 2.37023, 2.90287, 3.01715, 3.20268, 3.43537, 3.68488, 3.81198");
-            values ( \
-              "0.181556, 0.257475, 0.27108, 0.276052, 0.273127, 0.262536, 0.234132, 0.215878, 0.0808651, 0.0601195, 0.0358741, 0.0180671, 0.00846166, 0.00631295" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0105213, 0.0105599, 0.0106032, 0.0106407, 0.0106666, 0.0106817", \
-            "0.0133841, 0.0134282, 0.0134843, 0.0135388, 0.0135801, 0.0136056", \
-            "0.0153504, 0.0153761, 0.0154163, 0.0154636, 0.0155051, 0.0155331", \
-            "0.0165859, 0.0165757, 0.0165707, 0.0165773, 0.0165921, 0.0166071", \
-            "0.0173154, 0.0172798, 0.0172319, 0.0171848, 0.0171536, 0.0171397", \
-            "0.0177071, 0.0176653, 0.0176098, 0.0175461, 0.0174759, 0.0174206" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0158499, 0.0158713, 0.0159053, 0.0159416, 0.0159695, 0.0159893", \
-            "0.0169987, 0.0169393, 0.0168746, 0.0168218, 0.0167847, 0.0167623", \
-            "0.0164675, 0.0163447, 0.0161896, 0.0160408, 0.0159279, 0.0158575", \
-            "0.015948, 0.0157647, 0.015536, 0.015315, 0.015148, 0.0150415", \
-            "0.0155941, 0.0156265, 0.0154643, 0.01514, 0.0148504, 0.0146716", \
-            "0.0140922, 0.0141624, 0.0142923, 0.0149382, 0.0150125, 0.0146726" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.157766, 0.185391, 0.189828, 0.195261, 0.200287, 0.205128, 0.209963, 0.214805, 0.22289, 0.228218, 0.233566, 0.237809, 0.240277, 0.245211, 0.25479, 0.262928");
-            values ( \
-              "-0.00363053, -0.103361, -0.115068, -0.126498, -0.133897, -0.137343, -0.133608, -0.116062, -0.0644431, -0.0382851, -0.0215376, -0.013343, -0.0100399, -0.00565044, -0.00170244, -0.000857547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.175849, 0.185291, 0.208274, 0.216628, 0.224133, 0.231202, 0.238101, 0.244993, 0.248592, 0.268796, 0.276432, 0.285255, 0.298126, 0.304436");
-            values ( \
-              "-0.0266516, -0.0536214, -0.152518, -0.175519, -0.189405, -0.19781, -0.199746, -0.191202, -0.18028, -0.067193, -0.0404254, -0.0216356, -0.00837444, -0.00586512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.210981, 0.221672, 0.222868, 0.227242, 0.230657, 0.234191, 0.2393, 0.242903, 0.245194, 0.247486, 0.249778, 0.255463, 0.261149, 0.263992, 0.266834, 0.271457, 0.273769, 0.276072, 0.279514, 0.281809, 0.284104, 0.288694, 0.291596, 0.294497, 0.296266, 0.298035, 0.301572, 0.304329, 0.307086, 0.309843, 0.3126, 0.313796, 0.315391, 0.316985, 0.31858, 0.321769, 0.325929, 0.331747, 0.337566, 0.341716, 0.346836, 0.350715, 0.354595, 0.358474, 0.364252, 0.367484, 0.370512, 0.37354, 0.379844, 0.385427");
-            values ( \
-              "-0.033238, -0.0739363, -0.079302, -0.10097, -0.120231, -0.138925, -0.162773, -0.177562, -0.186119, -0.194012, -0.201243, -0.215829, -0.228481, -0.234082, -0.239198, -0.246311, -0.249379, -0.251959, -0.255085, -0.256841, -0.258334, -0.260532, -0.260856, -0.260682, -0.25997, -0.259044, -0.256552, -0.253777, -0.250644, -0.247152, -0.243301, -0.241127, -0.236767, -0.231442, -0.225755, -0.213216, -0.194904, -0.165789, -0.139181, -0.121805, -0.101523, -0.0884733, -0.0770194, -0.0667312, -0.0532627, -0.0465721, -0.0414944, -0.0368541, -0.0287566, -0.0223629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.286274, 0.301899, 0.306993, 0.312156, 0.315914, 0.319987, 0.325537, 0.329051, 0.332564, 0.336077, 0.340748, 0.345419, 0.354171, 0.358548, 0.362924, 0.370585, 0.372117, 0.378829, 0.385542, 0.39037, 0.395198, 0.404854, 0.409683, 0.414512, 0.419341, 0.424452, 0.428396, 0.432904, 0.437412, 0.44192, 0.445069, 0.448218, 0.454516, 0.457666, 0.463964, 0.479388, 0.49231, 0.498358, 0.508579, 0.515244, 0.521908, 0.528572, 0.541293, 0.543375, 0.547541, 0.551706, 0.555871, 0.564201, 0.571039, 0.58271");
-            values ( \
-              "-0.14049, -0.141873, -0.168944, -0.19351, -0.208699, -0.223144, -0.240063, -0.249365, -0.257691, -0.265041, -0.272825, -0.279836, -0.29051, -0.295116, -0.299237, -0.305359, -0.306437, -0.30765, -0.308349, -0.308534, -0.308453, -0.307495, -0.306313, -0.304783, -0.302905, -0.300537, -0.297361, -0.292746, -0.287485, -0.281577, -0.276577, -0.270117, -0.255776, -0.247897, -0.230718, -0.184459, -0.149599, -0.134772, -0.11142, -0.0981838, -0.0867821, -0.0763956, -0.05908, -0.0563993, -0.0517549, -0.0474708, -0.0437488, -0.0369819, -0.0321829, -0.0249805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.388422, 0.495542, 0.514238, 0.525986, 0.540778, 0.555034, 0.583545, 0.607251, 0.628325, 0.665373, 0.681234, 0.698153, 0.707042, 0.72482, 0.776387, 0.804933, 0.827915, 0.855554, 0.886651, 0.921641, 0.943453, 0.973872, 1.00132, 1.03791, 1.11109, 1.18757, 1.26405");
-            values ( \
-              "-0.01704, -0.313362, -0.32983, -0.336092, -0.340998, -0.343325, -0.343387, -0.339972, -0.334987, -0.32126, -0.312574, -0.300426, -0.292299, -0.271341, -0.191661, -0.151137, -0.123228, -0.0952802, -0.0703893, -0.049651, -0.0397375, -0.0289849, -0.0217705, -0.0147538, -0.006525, -0.002742, -0.00115447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.682085, 0.726811, 0.75676, 0.782616, 0.809262, 0.833376, 0.85611, 0.927847, 1.00061, 1.0754, 1.15297, 1.18805, 1.22815, 1.25072, 1.28082, 1.41279, 1.48369, 1.57231, 1.62349, 1.68859, 1.76508, 1.79592, 1.86731, 1.9438, 2.09676, 2.24973, 2.40269");
-            values ( \
-              "-0.181512, -0.239627, -0.309987, -0.339418, -0.354838, -0.36089, -0.364326, -0.362721, -0.355324, -0.344707, -0.328611, -0.318523, -0.302641, -0.290721, -0.270991, -0.169754, -0.125297, -0.0833778, -0.0652945, -0.0474891, -0.0323285, -0.0276537, -0.0192534, -0.0129426, -0.00582021, -0.00260401, -0.00116109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.179962, 0.185347, 0.186099, 0.187604, 0.190048, 0.193974, 0.195288, 0.197914, 0.202919, 0.209069, 0.214496, 0.219525, 0.22437, 0.229207, 0.234053, 0.234503, 0.235404, 0.241758, 0.244443, 0.247472, 0.249355, 0.250668, 0.252814, 0.255828, 0.257064, 0.259538, 0.264486, 0.269397");
-            values ( \
-              "-0.0229519, -0.0342975, -0.0360953, -0.0410443, -0.0507575, -0.0689068, -0.0726239, -0.0825701, -0.0980411, -0.115279, -0.126172, -0.134005, -0.137064, -0.133702, -0.115846, -0.113915, -0.108334, -0.0666751, -0.0519552, -0.0382012, -0.0313426, -0.0273008, -0.0214886, -0.0153734, -0.0132833, -0.010086, -0.0055811, -0.00361451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.196431, 0.204136, 0.215034, 0.227549, 0.235899, 0.243404, 0.250473, 0.257373, 0.264266, 0.267874, 0.288097, 0.295688, 0.304513, 0.31752, 0.319351");
-            values ( \
-              "-0.0294968, -0.051772, -0.1048, -0.152537, -0.175636, -0.189323, -0.197864, -0.19964, -0.19124, -0.180216, -0.0670802, -0.0404811, -0.021668, -0.00829886, -0.00757603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.2261, 0.235432, 0.241005, 0.259933, 0.274792, 0.287332, 0.298856, 0.309972, 0.320988, 0.332, 0.334655, 0.366276, 0.38032, 0.391911, 0.400812, 0.410134, 0.431138");
-            values ( \
-              "-0.0278266, -0.0520202, -0.073421, -0.166716, -0.215576, -0.241023, -0.254831, -0.261098, -0.258834, -0.243763, -0.237427, -0.101955, -0.060838, -0.0387093, -0.0270144, -0.0184852, -0.00771147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.284445, 0.352585, 0.364285, 0.381741, 0.391112, 0.404423, 0.42374, 0.443061, 0.451767, 0.460754, 0.470375, 0.483202, 0.515065, 0.527685, 0.534802, 0.549038, 0.561732, 0.568865, 0.580115, 0.595905, 0.602172, 0.614705, 0.639772, 0.68912, 0.746852");
-            values ( \
-              "-0.0011949, -0.257818, -0.279223, -0.298809, -0.304896, -0.309758, -0.309559, -0.30087, -0.293199, -0.282041, -0.264313, -0.230356, -0.14004, -0.111618, -0.0977055, -0.0741677, -0.0576157, -0.049874, -0.0395717, -0.0284289, -0.0249186, -0.0191048, -0.0110571, -0.00339944, -0.0007894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.415184, 0.45174, 0.472965, 0.484108, 0.497224, 0.515621, 0.537146, 0.557441, 0.574035, 0.607222, 0.647338, 0.685444, 0.712373, 0.726047, 0.747011, 0.813929, 0.86015, 0.907515, 0.931753, 0.964071, 1.00414, 1.02898, 1.07866, 1.15515, 1.17843");
-            values ( \
-              "-0.0058314, -0.131007, -0.221309, -0.258156, -0.28721, -0.314795, -0.332301, -0.3405, -0.343132, -0.343126, -0.335072, -0.320698, -0.304242, -0.292291, -0.26696, -0.164696, -0.109111, -0.0691573, -0.0543244, -0.0390383, -0.0257614, -0.0198442, -0.0116246, -0.00491475, -0.00429988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.703102, 0.749379, 0.777327, 0.802232, 0.817849, 0.834506, 0.867822, 0.891301, 0.919323, 0.947349, 1.0034, 1.05395, 1.09491, 1.1701, 1.20593, 1.24415, 1.27797, 1.32306, 1.40748, 1.47444, 1.51304, 1.57432, 1.62862, 1.67593, 1.72526, 1.79571, 1.82633, 1.88756, 1.96405, 2.04053, 2.11701, 2.26998, 2.42294");
-            values ( \
-              "-0.184377, -0.251063, -0.312244, -0.339651, -0.349741, -0.356787, -0.363586, -0.364653, -0.364125, -0.362351, -0.357006, -0.350634, -0.344416, -0.329257, -0.319033, -0.304295, -0.286062, -0.253813, -0.187594, -0.142035, -0.119888, -0.0905734, -0.0699725, -0.0556425, -0.043609, -0.0305723, -0.0261889, -0.019148, -0.0128832, -0.00865152, -0.00579692, -0.00259725, -0.00116171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.217606, 0.240572, 0.243645, 0.249793, 0.255234, 0.260267, 0.265116, 0.269957, 0.274805, 0.282897, 0.288231, 0.293566, 0.297824, 0.300304, 0.305266, 0.314667, 0.318815");
-            values ( \
-              "-0.000623209, -0.0885776, -0.0982168, -0.114896, -0.126294, -0.133686, -0.137166, -0.133432, -0.115965, -0.0643358, -0.0383003, -0.0216178, -0.0133996, -0.00995594, -0.00568645, -0.00167811, -0.00142409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.237527, 0.240331, 0.245342, 0.247833, 0.258569, 0.265551, 0.268788, 0.277127, 0.284629, 0.291709, 0.298613, 0.305625, 0.307221, 0.309045, 0.312693, 0.322598, 0.328744, 0.334547, 0.337172, 0.342421, 0.347346, 0.350055, 0.355474, 0.364936");
-            values ( \
-              "-0.0297956, -0.036506, -0.0520131, -0.0622427, -0.115498, -0.140652, -0.153443, -0.175599, -0.189548, -0.197334, -0.19985, -0.190648, -0.186599, -0.180421, -0.162667, -0.100881, -0.0696682, -0.0475911, -0.0398155, -0.0274855, -0.019311, -0.0158834, -0.0106446, -0.00538801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.266608, 0.29771, 0.308141, 0.316048, 0.328595, 0.340123, 0.351241, 0.36226, 0.373275, 0.375929, 0.385029, 0.407552, 0.421597, 0.433192, 0.442093, 0.451412, 0.458562, 0.472863, 0.474418");
-            values ( \
-              "-0.000136547, -0.151574, -0.192786, -0.215405, -0.240984, -0.254723, -0.261057, -0.258762, -0.243732, -0.237393, -0.202366, -0.101958, -0.0608379, -0.0387055, -0.0270123, -0.0184864, -0.0137586, -0.00748092, -0.0071725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.330772, 0.35421, 0.367639, 0.382675, 0.392604, 0.406069, 0.422921, 0.426634, 0.43406, 0.446183, 0.465505, 0.484826, 0.493553, 0.502562, 0.512059, 0.524723, 0.557154, 0.569302, 0.576094, 0.589678, 0.597895, 0.611886, 0.627876, 0.643278, 0.651093, 0.666722, 0.697981, 0.732778");
-            values ( \
-              "-0.00169978, -0.100414, -0.168019, -0.22772, -0.253967, -0.279549, -0.298268, -0.301416, -0.305435, -0.30984, -0.309472, -0.300934, -0.293165, -0.281967, -0.264486, -0.231072, -0.139253, -0.111925, -0.0985896, -0.0758407, -0.0644608, -0.048621, -0.0348969, -0.0252707, -0.0214307, -0.0153398, -0.00766207, -0.00398514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.448673, 0.556231, 0.574667, 0.588479, 0.602567, 0.616151, 0.643318, 0.670796, 0.689447, 0.726525, 0.754584, 0.768162, 0.789129, 0.856044, 0.889032, 0.92257, 0.949634, 0.973871, 1.00619, 1.03384, 1.0711, 1.12079, 1.19727, 1.23219");
-            values ( \
-              "-0.0136151, -0.312929, -0.329408, -0.336679, -0.341132, -0.343299, -0.343446, -0.339454, -0.334944, -0.321219, -0.30416, -0.292272, -0.266952, -0.164693, -0.123235, -0.0900625, -0.0691586, -0.0543263, -0.0390372, -0.0293071, -0.0198458, -0.0116229, -0.00491634, -0.00399418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.742667, 0.788356, 0.817851, 0.839302, 0.871801, 0.917414, 0.989149, 1.06191, 1.13671, 1.21428, 1.24916, 1.28901, 1.3129, 1.34474, 1.46554, 1.56093, 1.62163, 1.67251, 1.714, 1.76469, 1.83618, 1.88262, 1.96949, 2.04597, 2.19894, 2.25808");
-            values ( \
-              "-0.171428, -0.241506, -0.310169, -0.334693, -0.355499, -0.364395, -0.362765, -0.355365, -0.344748, -0.328597, -0.318578, -0.302845, -0.290206, -0.269086, -0.175788, -0.116705, -0.088307, -0.069307, -0.0566962, -0.0441565, -0.0307833, -0.0243556, -0.0156144, -0.0104869, -0.00471035, -0.00398168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.307826, 0.318657, 0.323964, 0.332546, 0.33782, 0.342286, 0.348319, 0.353171, 0.358022, 0.362877, 0.373314, 0.379464, 0.385909, 0.388415, 0.393427, 0.401767");
-            values ( \
-              "-0.0100262, -0.0501182, -0.0735295, -0.100393, -0.11441, -0.124179, -0.133312, -0.136975, -0.133175, -0.115872, -0.0515855, -0.0272804, -0.0133929, -0.00992046, -0.00563565, -0.00209859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.330928, 0.338931, 0.341549, 0.343295, 0.345051, 0.346816, 0.349316, 0.352552, 0.35417, 0.35606, 0.35795, 0.35984, 0.36173, 0.363619, 0.365509, 0.36793, 0.370233, 0.371708, 0.373828, 0.375242, 0.378069, 0.380914, 0.382346, 0.383777, 0.385803, 0.386816, 0.38783, 0.389547, 0.391264, 0.392982, 0.39483, 0.395615, 0.396661, 0.397708, 0.398755, 0.401611, 0.402564, 0.408671, 0.41364, 0.416072, 0.418503, 0.420935, 0.423133, 0.424903, 0.426083, 0.427263, 0.429622, 0.431982, 0.434581, 0.436644");
-            values ( \
-              "-0.0667954, -0.0714408, -0.0855758, -0.0943234, -0.102592, -0.1105, -0.121183, -0.134105, -0.140184, -0.146407, -0.152337, -0.157974, -0.163319, -0.168371, -0.17313, -0.178608, -0.183293, -0.185935, -0.189261, -0.191102, -0.194546, -0.197692, -0.198266, -0.198536, -0.198385, -0.198088, -0.197643, -0.196494, -0.194958, -0.193036, -0.190536, -0.188638, -0.18548, -0.181585, -0.177211, -0.162496, -0.157251, -0.119574, -0.0901633, -0.0778422, -0.0670572, -0.0573985, -0.049636, -0.0436606, -0.0401737, -0.036955, -0.0315387, -0.0267612, -0.0223118, -0.0190218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.356392, 0.398593, 0.406692, 0.419246, 0.43078, 0.441904, 0.452926, 0.463945, 0.466594, 0.475678, 0.498213, 0.512265, 0.523879, 0.532776, 0.54208, 0.549217, 0.563491, 0.571623");
-            values ( \
-              "-0.00125136, -0.191993, -0.215255, -0.240849, -0.254602, -0.260955, -0.258676, -0.243672, -0.237351, -0.202439, -0.101996, -0.060851, -0.0386873, -0.0270038, -0.0184925, -0.0137713, -0.00749717, -0.00587477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.417839, 0.486529, 0.497777, 0.514885, 0.525315, 0.53792, 0.557247, 0.576572, 0.585242, 0.594192, 0.604039, 0.61717, 0.647645, 0.66159, 0.669549, 0.685467, 0.699088, 0.708867, 0.727524, 0.735512, 0.747072, 0.762486, 0.793313, 0.825296");
-            values ( \
-              "-0.00163566, -0.258706, -0.279103, -0.298417, -0.30516, -0.30966, -0.309495, -0.300815, -0.293193, -0.282119, -0.263952, -0.229007, -0.142356, -0.110827, -0.0954585, -0.069994, -0.0532993, -0.0436469, -0.0295255, -0.0249701, -0.0195603, -0.0140586, -0.00708858, -0.00398052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.562445, 0.58619, 0.607163, 0.618998, 0.63133, 0.648827, 0.671186, 0.701321, 0.721568, 0.744453, 0.780897, 0.795966, 0.819457, 0.835329, 0.86006, 0.901471, 0.960639, 0.980893, 1.00207, 1.03031, 1.07222, 1.10242, 1.12813, 1.1542, 1.18896, 1.25848, 1.33496, 1.41144");
-            values ( \
-              "-0.0775172, -0.133038, -0.22278, -0.261276, -0.287815, -0.314223, -0.332515, -0.342763, -0.344124, -0.342276, -0.335362, -0.330408, -0.320642, -0.311851, -0.292292, -0.236279, -0.148007, -0.123592, -0.101608, -0.0774336, -0.0510688, -0.0374775, -0.0287029, -0.0218694, -0.015129, -0.0069948, -0.00294901, -0.00123711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.839245, 0.87974, 0.909749, 0.93609, 0.967003, 1.00958, 1.08138, 1.15407, 1.22888, 1.30645, 1.34118, 1.38088, 1.40563, 1.43862, 1.55534, 1.64272, 1.71522, 1.76523, 1.80508, 1.85615, 1.92794, 1.975, 2.06334, 2.13983, 2.29279, 2.35165");
-            values ( \
-              "-0.218229, -0.238927, -0.309574, -0.339582, -0.356535, -0.364363, -0.362745, -0.355318, -0.344702, -0.328596, -0.318616, -0.302983, -0.289872, -0.267826, -0.177479, -0.122218, -0.0877136, -0.0691235, -0.0569982, -0.0443097, -0.0308457, -0.0243277, -0.0154773, -0.0103944, -0.00466861, -0.00394991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.486011, 0.50204, 0.511792, 0.517926, 0.523325, 0.528324, 0.533139, 0.537947, 0.542767, 0.556212, 0.561917, 0.565661, 0.572438");
-            values ( \
-              "-0.00106616, -0.0647831, -0.0981263, -0.115725, -0.127008, -0.13478, -0.137951, -0.134325, -0.116439, -0.0381295, -0.0204874, -0.0134079, -0.00627078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.511004, 0.516757, 0.519239, 0.52375, 0.529777, 0.539068, 0.543151, 0.550365, 0.551479, 0.553706, 0.558161, 0.56058, 0.563362, 0.566024, 0.571348, 0.572913, 0.576043, 0.579896, 0.58696, 0.59726, 0.600221, 0.602182, 0.606104, 0.608859, 0.611931, 0.615305, 0.620038, 0.621976, 0.625851, 0.633602, 0.642402");
-            values ( \
-              "-0.0258636, -0.0423703, -0.0487713, -0.0681721, -0.0991312, -0.138282, -0.152307, -0.173121, -0.175899, -0.180519, -0.188571, -0.192094, -0.195288, -0.197837, -0.199914, -0.19959, -0.197236, -0.190674, -0.162938, -0.0989882, -0.0831596, -0.0738209, -0.0572742, -0.0476798, -0.0386657, -0.0306464, -0.0217439, -0.0190168, -0.0142475, -0.00805526, -0.00460989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.556337, 0.56586, 0.584612, 0.592786, 0.599567, 0.612167, 0.623736, 0.63489, 0.645939, 0.656983, 0.659608, 0.662608, 0.668608, 0.679678, 0.69121, 0.69856, 0.70526, 0.717062, 0.725921, 0.735072, 0.742073, 0.756077, 0.777286");
-            values ( \
-              "-0.0521229, -0.0749744, -0.165042, -0.195497, -0.214302, -0.240149, -0.253801, -0.260364, -0.25807, -0.243311, -0.237051, -0.227757, -0.202781, -0.149595, -0.102185, -0.0784713, -0.0610031, -0.0385108, -0.0269273, -0.0185595, -0.0139009, -0.00766474, -0.00332319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.619193, 0.685221, 0.698475, 0.715204, 0.719057, 0.726764, 0.738621, 0.757955, 0.777288, 0.78595, 0.794892, 0.804805, 0.818023, 0.847628, 0.858319, 0.871093, 0.887336, 0.89845, 0.906366, 0.922196, 0.932572, 0.942661, 0.956111, 0.983013, 1.02608");
-            values ( \
-              "-0.00111881, -0.254258, -0.279238, -0.297947, -0.301134, -0.305362, -0.309596, -0.309322, -0.300766, -0.29311, -0.282067, -0.263793, -0.228593, -0.144217, -0.119252, -0.0939946, -0.0684307, -0.0547925, -0.0466439, -0.0335716, -0.0270105, -0.0218423, -0.0163998, -0.00908542, -0.00362184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.74685, 0.854174, 0.871946, 0.880957, 0.892973, 0.911837, 0.948275, 0.9655, 0.985142, 1.02221, 1.03787, 1.05458, 1.06386, 1.08244, 1.13269, 1.16055, 1.18474, 1.21398, 1.24391, 1.27664, 1.29903, 1.34204, 1.36597, 1.41383, 1.49031, 1.56679, 1.64327");
-            values ( \
-              "-0.0250544, -0.315428, -0.330431, -0.335301, -0.339676, -0.343368, -0.342599, -0.339719, -0.334953, -0.321245, -0.312658, -0.300733, -0.292273, -0.270246, -0.19244, -0.152722, -0.123224, -0.0938389, -0.0701038, -0.050588, -0.0402575, -0.025757, -0.0200442, -0.0119796, -0.00507381, -0.0021221, -0.000905764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("1.03634, 1.07246, 1.09718, 1.11327, 1.13902, 1.1566, 1.1797, 1.21257, 1.24872, 1.28431, 1.3572, 1.43187, 1.51414, 1.54226, 1.57976, 1.61763, 1.66813, 1.73505, 1.80516, 1.83963, 1.87934, 1.93228, 1.9803, 2.03695, 2.11248, 2.16206, 2.23833, 2.31481, 2.39129, 2.46778, 2.62074, 2.77371");
-            values ( \
-              "-0.192522, -0.200872, -0.277106, -0.309149, -0.338733, -0.350145, -0.358709, -0.364127, -0.364293, -0.362481, -0.355074, -0.344493, -0.327405, -0.319227, -0.304885, -0.284343, -0.247446, -0.194672, -0.145909, -0.12556, -0.105012, -0.0820469, -0.0652185, -0.0494668, -0.0338444, -0.0263561, -0.0178625, -0.0120205, -0.00806181, -0.00540851, -0.00242522, -0.00108713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.844747, 0.850544, 0.852538, 0.861242, 0.86679, 0.869117, 0.875335, 0.880813, 0.885848, 0.890696, 0.895536, 0.900382, 0.908471, 0.913802, 0.919119, 0.923376, 0.925857, 0.93082, 0.935388");
-            values ( \
-              "-0.0189447, -0.0300966, -0.0359018, -0.0712714, -0.087717, -0.0968788, -0.114332, -0.125607, -0.133999, -0.13692, -0.133778, -0.11575, -0.0644442, -0.0382531, -0.0216159, -0.0133763, -0.0100337, -0.00563901, -0.00371543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.875805, 0.885206, 0.885629, 0.886475, 0.888168, 0.891652, 0.89709, 0.898905, 0.902535, 0.9093, 0.9177, 0.925214, 0.932287, 0.93919, 0.946086, 0.947855, 0.949742, 0.953926, 0.960008, 0.963788, 0.965012, 0.967462, 0.972361, 0.974516, 0.976252, 0.978568, 0.983198, 0.986763, 0.987992, 0.990449, 0.995363, 1.00361");
-            values ( \
-              "-0.0242628, -0.0493332, -0.0506075, -0.0536462, -0.0603337, -0.0767453, -0.103778, -0.110379, -0.126536, -0.151127, -0.17535, -0.189207, -0.197828, -0.199487, -0.191125, -0.186366, -0.179896, -0.158778, -0.120079, -0.0974817, -0.0908253, -0.0783368, -0.057283, -0.0496314, -0.04416, -0.037683, -0.0271851, -0.0210603, -0.0192786, -0.0161411, -0.011249, -0.0062643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.93302, 0.948094, 0.96747, 0.975709, 0.982385, 0.99493, 1.00646, 1.0176, 1.02863, 1.03967, 1.04233, 1.04538, 1.05147, 1.06217, 1.074, 1.0816, 1.08805, 1.09963, 1.10854, 1.11788, 1.12505, 1.13939, 1.16595, 1.19748");
-            values ( \
-              "-0.00515473, -0.0707892, -0.164814, -0.19636, -0.215432, -0.241012, -0.254494, -0.260617, -0.258298, -0.243292, -0.236987, -0.227503, -0.202001, -0.150518, -0.101835, -0.0774734, -0.0607826, -0.0386985, -0.0270037, -0.0184685, -0.013736, -0.0074592, -0.00215676, -0.000463282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("1.0433, 1.05651, 1.07013, 1.08022, 1.08529, 1.09544, 1.10869, 1.12439, 1.12924, 1.13895, 1.1488, 1.16813, 1.18746, 1.1962, 1.20522, 1.21856, 1.25946, 1.27214, 1.27929, 1.29361, 1.30614, 1.32449, 1.34664, 1.35927, 1.38453, 1.43077");
-            values ( \
-              "-0.0820368, -0.0992079, -0.165473, -0.208796, -0.227052, -0.254546, -0.279573, -0.297365, -0.301279, -0.306423, -0.309626, -0.309342, -0.300722, -0.293009, -0.281824, -0.255422, -0.14008, -0.111514, -0.0975723, -0.0739422, -0.0576415, -0.039632, -0.0249118, -0.0190557, -0.010989, -0.00376893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("1.17702, 1.30954, 1.32637, 1.34881, 1.37223, 1.38855, 1.434, 1.4636, 1.49381, 1.52521, 1.61855, 1.6587, 1.71001, 1.76999, 1.80724, 1.85691, 1.91358");
-            values ( \
-              "-0.0217888, -0.327694, -0.336673, -0.342404, -0.343386, -0.342042, -0.332229, -0.320489, -0.301538, -0.266901, -0.130781, -0.0900687, -0.054312, -0.0292966, -0.01984, -0.0116311, -0.00665211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("1.49403, 1.53526, 1.56463, 1.59103, 1.62193, 1.6645, 1.7363, 1.80912, 1.88379, 1.96136, 1.99577, 2.03509, 2.06187, 2.09752, 2.20473, 2.28859, 2.33847, 2.39168, 2.4326, 2.47884, 2.54048, 2.59399, 2.64821, 2.72051, 2.79699, 2.94995, 3.10292, 3.25588");
-            values ( \
-              "-0.212464, -0.241683, -0.309904, -0.339798, -0.356616, -0.364366, -0.362717, -0.355276, -0.344678, -0.328597, -0.318723, -0.303313, -0.289082, -0.264869, -0.18146, -0.127194, -0.101584, -0.0791846, -0.0651, -0.0519777, -0.0382234, -0.0292001, -0.0221851, -0.015272, -0.0102722, -0.00461848, -0.00207165, -0.000930059" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00947861, 0.00949567, 0.00951624, 0.00953546, 0.00954942, 0.00955788", \
-            "0.0110661, 0.0110779, 0.0110944, 0.0111121, 0.0111267, 0.0111364", \
-            "0.0120089, 0.0120132, 0.012021, 0.0120319, 0.0120427, 0.0120508", \
-            "0.0125788, 0.0125774, 0.0125764, 0.0125772, 0.0125801, 0.0125839", \
-            "0.0128836, 0.0128769, 0.0128717, 0.012865, 0.0128598, 0.0128573", \
-            "0.0130591, 0.0130539, 0.0130456, 0.0130345, 0.0130227, 0.0130134" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0130735, 0.0130842, 0.0130976, 0.0131098, 0.0131207, 0.0131289", \
-            "0.0143926, 0.0143846, 0.0143774, 0.0143721, 0.0143685, 0.0143673", \
-            "0.0152346, 0.0152007, 0.0151596, 0.0151214, 0.015094, 0.0150777", \
-            "0.0159309, 0.015868, 0.01579, 0.0157143, 0.0156534, 0.0156115", \
-            "0.0165721, 0.0164667, 0.016317, 0.0161653, 0.0160458, 0.0159681", \
-            "0.0170148, 0.0169285, 0.0167713, 0.0165306, 0.0163047, 0.0161518" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(A * B * !CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0776717, 0.0931112, 0.122488, 0.181781, 0.305014, 0.562433", \
-            "0.0824156, 0.0978469, 0.127278, 0.186585, 0.309827, 0.567242", \
-            "0.0928826, 0.108298, 0.13777, 0.197214, 0.320541, 0.577995", \
-            "0.109131, 0.124868, 0.154778, 0.214436, 0.337888, 0.595461", \
-            "0.125599, 0.142126, 0.172367, 0.232345, 0.356264, 0.613891", \
-            "0.134197, 0.152806, 0.184615, 0.245042, 0.368883, 0.626931" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0346344, 0.0532825, 0.0932814, 0.179023, 0.360126, 0.73905", \
-            "0.0346576, 0.0532995, 0.0932924, 0.179025, 0.360134, 0.739065", \
-            "0.0349246, 0.0534799, 0.0933622, 0.179051, 0.360187, 0.739064", \
-            "0.0368268, 0.0549896, 0.0942344, 0.179323, 0.360179, 0.739056", \
-            "0.0409677, 0.0580524, 0.0960006, 0.180489, 0.360772, 0.739108", \
-            "0.0498321, 0.0658819, 0.101158, 0.182357, 0.361314, 0.739649" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0578136, 0.0607909, 0.0635374, 0.0672488, 0.0745222, 0.07883, 0.0840112, 0.0860867, 0.0891607, 0.0943235, 0.0995069, 0.109795, 0.116758, 0.124712, 0.133679, 0.139472, 0.145957, 0.158456, 0.169442, 0.178959");
-            values ( \
-              "0.0251057, 0.063045, 0.0801446, 0.0965112, 0.118304, 0.124859, 0.12785, 0.127712, 0.126256, 0.119749, 0.104524, 0.0649281, 0.0452539, 0.0294619, 0.0177056, 0.0126525, 0.00862044, 0.00415875, 0.00215653, 0.00140978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0578762, 0.0634149, 0.0671901, 0.0775083, 0.0810392, 0.0881011, 0.0907281, 0.0967086, 0.102675, 0.108426, 0.112894, 0.12135, 0.134375, 0.144845, 0.157193, 0.164374, 0.174783, 0.180984, 0.190954, 0.202326, 0.211325, 0.229324, 0.243881");
-            values ( \
-              "0.00299985, 0.0960062, 0.1217, 0.161525, 0.16773, 0.176715, 0.177328, 0.176628, 0.172614, 0.165911, 0.158174, 0.135961, 0.0949141, 0.0682934, 0.0448098, 0.0345982, 0.0234954, 0.0185527, 0.0125739, 0.00807802, 0.00564417, 0.00270233, 0.00170259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0611624, 0.0664576, 0.0695887, 0.0722298, 0.0767616, 0.0801385, 0.0868923, 0.0939292, 0.105077, 0.110987, 0.120247, 0.128389, 0.134057, 0.145395, 0.155852, 0.165707, 0.183232, 0.195745, 0.205413, 0.217801, 0.227311, 0.239991, 0.249674, 0.25739, 0.267679, 0.285096, 0.291104, 0.293053, 0.304749, 0.320344, 0.351533, 0.400566, 0.460204");
-            values ( \
-              "0.0851373, 0.131267, 0.150943, 0.164905, 0.184027, 0.194631, 0.209282, 0.216863, 0.219775, 0.21808, 0.213025, 0.206809, 0.20145, 0.188967, 0.172988, 0.154167, 0.119549, 0.0973158, 0.0821398, 0.0649048, 0.0538262, 0.0416534, 0.0337856, 0.0286098, 0.0229903, 0.0153752, 0.013592, 0.0128344, 0.00981252, 0.00697766, 0.00315459, 0.000963428, 7.51456e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0639618, 0.0745865, 0.0798879, 0.0873534, 0.0930383, 0.104052, 0.115523, 0.120656, 0.127501, 0.141447, 0.16666, 0.196605, 0.21625, 0.234027, 0.254869, 0.296386, 0.331019, 0.354483, 0.372197, 0.395815, 0.419415, 0.448996, 0.481508, 0.496327, 0.517902, 0.546668, 0.604201, 0.685633, 0.767064");
-            values ( \
-              "0.173859, 0.189445, 0.211214, 0.230932, 0.24026, 0.2488, 0.250528, 0.249758, 0.247839, 0.243007, 0.231294, 0.214997, 0.201987, 0.187252, 0.166529, 0.122693, 0.0902399, 0.0717085, 0.0597353, 0.0462828, 0.0355473, 0.0252632, 0.0171321, 0.0143432, 0.0110481, 0.00775538, 0.00370449, 0.00121753, 0.000400833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0651252, 0.0929736, 0.103619, 0.115002, 0.120058, 0.140282, 0.16333, 0.260725, 0.316525, 0.365049, 0.394286, 0.433269, 0.548261, 0.636339, 0.68358, 0.71634, 0.76978, 0.834776, 0.894631, 0.957707, 1.00615, 1.08758, 1.16901, 1.23047");
-            values ( \
-              "0.194707, 0.253647, 0.264837, 0.269514, 0.270081, 0.26865, 0.263903, 0.239867, 0.224352, 0.208193, 0.196044, 0.177031, 0.115993, 0.0765368, 0.0598728, 0.050112, 0.0370968, 0.0253983, 0.0177175, 0.0120863, 0.00896514, 0.00536566, 0.0031985, 0.00256273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0704917, 0.113878, 0.138267, 0.193671, 0.463172, 0.573998, 0.693576, 0.828943, 1.10648, 1.18791, 1.32262, 1.50064, 1.64125, 1.72268, 1.84369, 1.99431, 2.32003, 2.37941");
-            values ( \
-              "0.278521, 0.278819, 0.281792, 0.276553, 0.24334, 0.228172, 0.207965, 0.176656, 0.104676, 0.0867328, 0.0618157, 0.0379486, 0.025354, 0.0201158, 0.0139179, 0.00881484, 0.00321073, 0.00289959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0765747, 0.0794443, 0.0822224, 0.085958, 0.0914427, 0.0935109, 0.0975679, 0.101459, 0.102754, 0.105323, 0.107907, 0.113076, 0.118153, 0.125124, 0.133147, 0.14221, 0.151503, 0.158537, 0.164766, 0.176788, 0.187278, 0.194957");
-            values ( \
-              "0.0292879, 0.0621622, 0.0793988, 0.096116, 0.114076, 0.118499, 0.124605, 0.127499, 0.127651, 0.127576, 0.126087, 0.119789, 0.104897, 0.076668, 0.0512724, 0.0315793, 0.0186799, 0.0124184, 0.00860475, 0.00425747, 0.00228935, 0.00164134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.0758459, 0.0857964, 0.0961661, 0.0996906, 0.10674, 0.112408, 0.121301, 0.127172, 0.131561, 0.140022, 0.153044, 0.163526, 0.175853, 0.18842, 0.203532, 0.210963, 0.221072, 0.234551, 0.258522, 0.288089");
-            values ( \
-              "0.016842, 0.120858, 0.161181, 0.167565, 0.176493, 0.177251, 0.172715, 0.16588, 0.158041, 0.136057, 0.094804, 0.0683693, 0.0447246, 0.0282557, 0.0160537, 0.0118522, 0.00794928, 0.00477312, 0.00158435, 0.000543758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0781398, 0.0850963, 0.0909085, 0.0988533, 0.106805, 0.112625, 0.123121, 0.130959, 0.138945, 0.159638, 0.167559, 0.182698, 0.20464, 0.223976, 0.246117, 0.259006, 0.275992, 0.303684, 0.323714, 0.339676, 0.371602, 0.386461");
-            values ( \
-              "0.0343993, 0.130267, 0.165438, 0.194689, 0.211744, 0.216774, 0.220128, 0.217646, 0.212763, 0.19428, 0.184044, 0.157874, 0.114683, 0.0822523, 0.0538077, 0.0412866, 0.0287618, 0.0155035, 0.00983721, 0.00678957, 0.00312912, 0.00243876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.0810928, 0.0950155, 0.0986238, 0.10584, 0.111773, 0.122978, 0.134452, 0.145071, 0.155031, 0.170482, 0.208484, 0.233793, 0.255158, 0.32886, 0.368733, 0.400426, 0.422503, 0.438655, 0.456197, 0.476231, 0.504161, 0.537948, 0.582998, 0.661918, 0.74335");
-            values ( \
-              "0.127057, 0.197118, 0.211275, 0.230404, 0.240262, 0.248896, 0.250509, 0.248258, 0.244943, 0.238368, 0.219014, 0.202866, 0.18505, 0.109172, 0.074974, 0.0540323, 0.0423759, 0.0353405, 0.0288999, 0.0229045, 0.0163577, 0.0108592, 0.00621561, 0.00211885, 0.000712338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0854888, 0.104305, 0.111749, 0.122681, 0.135462, 0.144164, 0.167722, 0.182105, 0.279506, 0.335303, 0.38347, 0.413772, 0.454174, 0.555248, 0.631311, 0.669169, 0.71037, 0.788197, 0.850136, 0.913248, 0.977772, 1.0281, 1.10953, 1.19096, 1.25701");
-            values ( \
-              "0.231042, 0.238744, 0.253413, 0.265235, 0.269759, 0.270109, 0.267023, 0.263893, 0.239809, 0.224354, 0.208325, 0.195723, 0.175928, 0.121945, 0.0861539, 0.071259, 0.0573516, 0.037157, 0.0259107, 0.0177266, 0.0119847, 0.00878222, 0.00525698, 0.00313165, 0.00246301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.0917278, 0.0917478, 0.528479, 0.592961, 0.674393, 0.774432, 0.847958, 1.05366, 1.20674, 1.2766, 1.34184, 1.40876, 1.51965, 1.57857, 1.66, 1.74143, 1.86281, 2.01369, 2.17656, 2.33942, 2.58372, 2.82801");
-            values ( \
-              "1e-22, 0.292297, 0.237185, 0.228053, 0.215091, 0.194672, 0.176522, 0.122299, 0.0866431, 0.0729253, 0.0616592, 0.0516775, 0.0380698, 0.0321462, 0.0254939, 0.0200109, 0.0140311, 0.00892199, 0.00544947, 0.00332328, 0.00151377, 0.000764592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.116739, 0.119529, 0.122746, 0.126793, 0.132534, 0.136787, 0.138771, 0.142739, 0.14658, 0.1492, 0.154409, 0.15683, 0.159411, 0.167001, 0.172553, 0.177221, 0.184398, 0.189391, 0.194195, 0.202475, 0.207087, 0.218421, 0.231374, 0.241877");
-            values ( \
-              "0.0433423, 0.0507571, 0.0714533, 0.0905139, 0.111015, 0.119954, 0.122765, 0.126163, 0.126619, 0.125358, 0.119323, 0.113411, 0.104991, 0.0745924, 0.0566994, 0.0443964, 0.0301665, 0.0227725, 0.0173142, 0.0106771, 0.00815677, 0.00418864, 0.00192608, 0.00118108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.118412, 0.126511, 0.129745, 0.131943, 0.136339, 0.140864, 0.148894, 0.156702, 0.1645, 0.167751, 0.172916, 0.176537, 0.18227, 0.19199, 0.199601, 0.204983, 0.211864, 0.217141, 0.22254, 0.23193, 0.23628, 0.24498, 0.252343, 0.262357, 0.275709, 0.301125, 0.330776");
-            values ( \
-              "0.0557042, 0.111991, 0.129258, 0.139026, 0.154666, 0.165531, 0.175384, 0.175932, 0.17069, 0.166694, 0.157975, 0.149607, 0.132708, 0.10141, 0.0808707, 0.0680082, 0.0539708, 0.0449311, 0.0370359, 0.0261812, 0.0222167, 0.0158959, 0.0119357, 0.00806452, 0.00471852, 0.00156885, 0.000420823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.121488, 0.137645, 0.144533, 0.153864, 0.164236, 0.172564, 0.180236, 0.200774, 0.208857, 0.223992, 0.24595, 0.265252, 0.287439, 0.300367, 0.317275, 0.344963, 0.365062, 0.381092, 0.413153, 0.43006");
-            values ( \
-              "0.11632, 0.184406, 0.203214, 0.216161, 0.219254, 0.21695, 0.212839, 0.194453, 0.184016, 0.157901, 0.11468, 0.0822977, 0.0537838, 0.0412406, 0.0287717, 0.0155089, 0.00982497, 0.00677338, 0.00310748, 0.00232642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.12187, 0.136477, 0.139981, 0.146989, 0.153214, 0.164138, 0.175442, 0.189522, 0.201673, 0.225975, 0.253585, 0.280685, 0.303022, 0.356507, 0.388423, 0.41389, 0.434547, 0.450478, 0.480378, 0.493388, 0.514243, 0.5439, 0.582475, 0.633909, 0.71534, 0.796772");
-            values ( \
-              "0.118198, 0.194903, 0.208928, 0.228612, 0.239328, 0.248456, 0.250261, 0.247349, 0.242815, 0.231791, 0.216918, 0.198882, 0.178927, 0.122831, 0.0926939, 0.0722904, 0.0584228, 0.049231, 0.0352629, 0.0303866, 0.0238228, 0.0167134, 0.0104713, 0.00546278, 0.00180487, 0.000592796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.129201, 0.177058, 0.19194, 0.223729, 0.322982, 0.376925, 0.424541, 0.456489, 0.501062, 0.601446, 0.670694, 0.71081, 0.753045, 0.809358, 0.83788, 0.885549, 0.949107, 1.02875, 1.09186, 1.17329, 1.25472, 1.30443");
-            values ( \
-              "0.25371, 0.269446, 0.269776, 0.263972, 0.239295, 0.22435, 0.208535, 0.195231, 0.173171, 0.119644, 0.0871447, 0.0712864, 0.0570552, 0.041746, 0.0354848, 0.0268906, 0.0183581, 0.0113197, 0.00764638, 0.00457067, 0.00272187, 0.00228416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.135417, 0.135437, 0.298813, 0.569802, 0.634288, 0.715719, 0.815759, 0.889285, 1.09498, 1.24807, 1.31793, 1.38316, 1.45009, 1.53152, 1.6199, 1.70133, 1.78276, 1.85205, 1.97359, 2.13645, 2.29931, 2.62504, 2.86933");
-            values ( \
-              "1e-22, 0.300468, 0.271104, 0.237175, 0.228064, 0.215081, 0.194661, 0.176533, 0.122311, 0.086655, 0.0729373, 0.0616711, 0.0516657, 0.0412537, 0.032158, 0.0254824, 0.0200225, 0.0163648, 0.0113347, 0.00691072, 0.00419215, 0.00152396, 0.000754759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.191701, 0.201991, 0.2086, 0.216183, 0.223782, 0.228048, 0.232188, 0.23627, 0.239109, 0.24473, 0.252637, 0.258318, 0.267633, 0.278096, 0.285905, 0.291886, 0.299562, 0.309798, 0.324422");
-            values ( \
-              "0.00687556, 0.0447974, 0.0731401, 0.0986822, 0.114317, 0.118541, 0.119848, 0.118247, 0.115032, 0.101657, 0.0702729, 0.0533368, 0.0329478, 0.0185013, 0.0118521, 0.00835756, 0.00535475, 0.00293578, 0.00130566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.196591, 0.202341, 0.208021, 0.214589, 0.220682, 0.224558, 0.232312, 0.234749, 0.237999, 0.241238, 0.247715, 0.248957, 0.250179, 0.254109, 0.259082, 0.266204, 0.278896, 0.28858, 0.296639, 0.303876, 0.308925, 0.315468, 0.320772, 0.327389, 0.336726, 0.346739, 0.354457, 0.369894, 0.397321, 0.430016");
-            values ( \
-              "0.0378919, 0.0574376, 0.0881119, 0.119861, 0.142153, 0.152789, 0.165751, 0.167897, 0.169576, 0.169973, 0.167819, 0.166876, 0.164275, 0.160223, 0.1526, 0.134983, 0.0963672, 0.0715171, 0.0547004, 0.0425996, 0.0355239, 0.0279249, 0.0228998, 0.0177827, 0.0123726, 0.00837793, 0.00617224, 0.00330434, 0.000983763, 0.000222989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.20004, 0.207019, 0.214441, 0.21921, 0.223516, 0.232036, 0.238258, 0.24894, 0.251818, 0.257313, 0.262739, 0.265328, 0.270507, 0.27806, 0.279258, 0.281653, 0.29188, 0.294144, 0.298671, 0.311032, 0.317645, 0.332584, 0.345402, 0.359402, 0.368524, 0.381556, 0.397894, 0.416069, 0.438449, 0.451907, 0.478821, 0.522377, 0.573649");
-            values ( \
-              "0.0705874, 0.0935339, 0.137125, 0.159809, 0.176218, 0.198639, 0.207714, 0.214084, 0.211324, 0.213361, 0.209786, 0.210712, 0.206185, 0.202209, 0.19983, 0.198695, 0.187128, 0.183111, 0.177086, 0.154455, 0.140528, 0.111866, 0.0899121, 0.0700228, 0.0581725, 0.0446475, 0.0315432, 0.0211087, 0.0126347, 0.00973793, 0.00473082, 0.0018982, 0.00014902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.204394, 0.235301, 0.242473, 0.248922, 0.253056, 0.262524, 0.272161, 0.28697, 0.312241, 0.335487, 0.356337, 0.36776, 0.390607, 0.448712, 0.488913, 0.524031, 0.548454, 0.572003, 0.611535, 0.63347, 0.655607, 0.685123, 0.744155, 0.791023");
-            values ( \
-              "0.125666, 0.226494, 0.237131, 0.2426, 0.242862, 0.245701, 0.245767, 0.241946, 0.231017, 0.218895, 0.205881, 0.197418, 0.176744, 0.115961, 0.0800839, 0.0559017, 0.0428161, 0.0328299, 0.0206729, 0.0159025, 0.0121767, 0.00847637, 0.00396744, 0.00243521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.210515, 0.237465, 0.248768, 0.264605, 0.269468, 0.279195, 0.29278, 0.309092, 0.406465, 0.462304, 0.506651, 0.54836, 0.586472, 0.686354, 0.743191, 0.786806, 0.817146, 0.853376, 0.915532, 0.944466, 1.00233, 1.04741, 1.09704, 1.16322, 1.24465, 1.32608, 1.40751, 1.57038");
-            values ( \
-              "0.213822, 0.243074, 0.258262, 0.265795, 0.267039, 0.267898, 0.26673, 0.263739, 0.239783, 0.224345, 0.209744, 0.192241, 0.173123, 0.119874, 0.0927448, 0.0747978, 0.063923, 0.0525927, 0.037103, 0.0314061, 0.0222795, 0.0169918, 0.01257, 0.00833165, 0.00498663, 0.00296812, 0.00176863, 0.000624789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.21727, 0.21729, 0.365031, 0.405203, 0.609264, 0.7201, 0.776853, 0.839679, 0.900886, 0.975046, 1.18081, 1.33402, 1.39286, 1.46872, 1.53572, 1.64674, 1.70593, 1.78736, 1.86879, 1.98979, 2.1404, 2.30327, 2.46613, 2.71043, 2.95472");
-            values ( \
-              "1e-22, 0.286583, 0.2734, 0.268602, 0.243282, 0.228111, 0.219241, 0.207903, 0.194746, 0.176593, 0.122346, 0.086666, 0.0749737, 0.0617457, 0.0516443, 0.03802, 0.0321717, 0.0254257, 0.020044, 0.0139911, 0.00888826, 0.00541241, 0.00328444, 0.00155731, 0.000724794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.347582, 0.355027, 0.357019, 0.361004, 0.380274, 0.386624, 0.39445, 0.400635, 0.406736, 0.412831, 0.423502, 0.432355, 0.438589, 0.447498, 0.453786, 0.459821, 0.467366, 0.477426, 0.490251");
-            values ( \
-              "0.017439, 0.0177984, 0.0210471, 0.0293116, 0.0782665, 0.0919875, 0.103887, 0.108176, 0.106893, 0.0962989, 0.0612556, 0.0395696, 0.0286842, 0.0176304, 0.0123782, 0.00876959, 0.00570885, 0.0031888, 0.00166344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.351199, 0.36001, 0.365517, 0.383256, 0.38993, 0.399716, 0.408584, 0.417232, 0.425863, 0.431695, 0.451603, 0.46495, 0.477562, 0.487946, 0.493426, 0.503645, 0.514642, 0.523344, 0.543556");
-            values ( \
-              "0.0275723, 0.0346221, 0.0503925, 0.110563, 0.129905, 0.149998, 0.158441, 0.158957, 0.151631, 0.140371, 0.0845527, 0.05516, 0.0356236, 0.024343, 0.019819, 0.0133612, 0.00874281, 0.00622409, 0.00308047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.356488, 0.366592, 0.388357, 0.398723, 0.405016, 0.417601, 0.423, 0.430198, 0.433283, 0.439454, 0.447475, 0.461785, 0.466937, 0.472778, 0.492988, 0.507732, 0.51667, 0.53079, 0.542735, 0.556312, 0.563248, 0.572033, 0.58278, 0.599645, 0.607169, 0.617798, 0.63197, 0.660315, 0.690772");
-            values ( \
-              "0.0513873, 0.0619004, 0.148271, 0.179027, 0.191513, 0.204765, 0.206439, 0.2062, 0.205373, 0.202707, 0.197476, 0.183159, 0.175777, 0.166142, 0.127322, 0.100768, 0.0862963, 0.0664296, 0.0526262, 0.0392441, 0.0337463, 0.0280461, 0.0222637, 0.0152501, 0.0128874, 0.0101269, 0.00730769, 0.00370156, 0.00201053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.360752, 0.374679, 0.388251, 0.40411, 0.415231, 0.429446, 0.440417, 0.45212, 0.470354, 0.500691, 0.520591, 0.55001, 0.618876, 0.66441, 0.699876, 0.734449, 0.7816, 0.809522, 0.855034, 0.915715, 0.959274");
-            values ( \
-              "0.0702002, 0.10168, 0.162205, 0.212062, 0.230451, 0.240831, 0.241894, 0.240113, 0.233836, 0.219447, 0.207874, 0.185194, 0.11459, 0.0749212, 0.0518324, 0.0352781, 0.0203234, 0.0145515, 0.00834449, 0.00381063, 0.00244416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.3714, 0.390582, 0.404599, 0.415555, 0.430088, 0.445686, 0.461724, 0.50133, 0.598807, 0.65894, 0.689561, 0.74002, 0.852646, 0.936501, 0.997021, 1.03001, 1.08466, 1.15018, 1.20982, 1.27208, 1.3198, 1.40123, 1.48266, 1.55518");
-            values ( \
-              "0.176414, 0.178589, 0.224725, 0.245887, 0.259831, 0.264594, 0.264293, 0.25695, 0.233446, 0.215606, 0.204343, 0.181225, 0.121264, 0.082354, 0.0603203, 0.050467, 0.0371111, 0.025333, 0.0176743, 0.0121186, 0.00904173, 0.00540146, 0.00323026, 0.00247046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.382504, 0.431102, 0.439648, 0.454856, 0.47543, 0.519694, 0.55868, 0.597729, 0.724333, 0.859685, 0.945835, 1.00866, 1.06987, 1.14403, 1.3498, 1.50302, 1.57269, 1.63771, 1.70471, 1.81574, 1.87493, 1.95636, 2.0378, 2.15878, 2.30939, 2.47225, 2.63512, 2.87941, 3.12371");
-            values ( \
-              "0.267266, 0.270353, 0.274462, 0.277777, 0.277948, 0.273779, 0.268846, 0.265582, 0.250141, 0.232318, 0.219265, 0.207867, 0.194769, 0.17656, 0.12232, 0.0866407, 0.0729599, 0.0617294, 0.0516615, 0.0380354, 0.0321555, 0.0254396, 0.0200288, 0.0140037, 0.00890048, 0.0054241, 0.00329564, 0.00154687, 0.000734788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.66348, 0.678602, 0.686084, 0.691741, 0.707593, 0.725918, 0.734462, 0.742168, 0.749483, 0.756799, 0.76938, 0.779525, 0.78943, 0.796641, 0.80113, 0.810115, 0.826109, 0.841685");
-            values ( \
-              "0.00779552, 0.00954797, 0.0153188, 0.022195, 0.0468289, 0.0718292, 0.08176, 0.0883097, 0.0909183, 0.0855951, 0.0537555, 0.0338834, 0.0206124, 0.0141159, 0.0110816, 0.00679489, 0.00283669, 0.00129432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.669468, 0.68496, 0.698674, 0.727801, 0.740223, 0.750912, 0.760809, 0.77057, 0.780318, 0.793684, 0.811009, 0.823852, 0.835744, 0.84245, 0.851855, 0.869152, 0.88415, 0.891347");
-            values ( \
-              "0.0156156, 0.0197554, 0.0414931, 0.0991384, 0.121318, 0.135123, 0.141456, 0.139573, 0.125376, 0.0908661, 0.0540772, 0.0349391, 0.0227362, 0.0177254, 0.0123781, 0.0063962, 0.00352425, 0.00288956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.679575, 0.698478, 0.745972, 0.75944, 0.762523, 0.76869, 0.777652, 0.792612, 0.808121, 0.815826, 0.825361, 0.843283, 0.86429, 0.8776, 0.888002, 0.895989, 0.912574, 0.934258, 0.947001, 0.963621, 0.985782, 1.02826");
-            values ( \
-              "0.0336204, 0.0483175, 0.160081, 0.181235, 0.184471, 0.189244, 0.192537, 0.190246, 0.178301, 0.16797, 0.151864, 0.118012, 0.0834135, 0.0651873, 0.0532702, 0.0453405, 0.0320422, 0.0199605, 0.0150165, 0.0103113, 0.00614581, 0.00211338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.691767, 0.717156, 0.744528, 0.757589, 0.77393, 0.795772, 0.799993, 0.808432, 0.825933, 0.852995, 0.878081, 0.889923, 0.905712, 0.963101, 1.00301, 1.02007, 1.03856, 1.0632, 1.08561, 1.11738, 1.15464, 1.17522, 1.21586, 1.23573, 1.28482, 1.31524");
-            values ( \
-              "0.0729944, 0.0996855, 0.176202, 0.203864, 0.22449, 0.233249, 0.233177, 0.232057, 0.227095, 0.214876, 0.199307, 0.189916, 0.175348, 0.115952, 0.0804887, 0.0679225, 0.0560386, 0.0429099, 0.033316, 0.0230495, 0.0147727, 0.0115724, 0.0069453, 0.00514128, 0.00284743, 0.00201238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.699164, 0.737675, 0.75905, 0.773499, 0.794765, 0.814041, 0.822652, 0.839874, 0.88635, 0.963434, 1.00339, 1.03506, 1.09468, 1.22312, 1.27251, 1.31175, 1.36626, 1.43035, 1.46063, 1.5212, 1.5792, 1.67328, 1.75471, 1.83614, 2.08044");
-            values ( \
-              "0.0923421, 0.166855, 0.220756, 0.242047, 0.256809, 0.259405, 0.259132, 0.256882, 0.247314, 0.227607, 0.215537, 0.204024, 0.176535, 0.108531, 0.0864077, 0.070986, 0.0531366, 0.0370925, 0.0311664, 0.0217301, 0.0153207, 0.00857943, 0.00514721, 0.00305371, 0.000650718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.711002, 0.779825, 0.789841, 0.806363, 0.82359, 0.848484, 0.874629, 1.00345, 1.142, 1.23524, 1.26028, 1.31053, 1.4062, 1.49021, 1.65989, 1.75923, 1.8215, 1.90284, 1.95325, 2.1019, 2.16101, 2.22022, 2.34867, 2.46558, 2.61798, 2.78084, 2.94371, 3.26943, 3.51373");
-            values ( \
-              "0.160157, 0.258096, 0.265738, 0.272496, 0.274851, 0.274574, 0.27243, 0.257801, 0.240501, 0.227271, 0.224662, 0.21637, 0.197184, 0.176735, 0.131347, 0.10651, 0.0927318, 0.0757197, 0.0666265, 0.044722, 0.0379445, 0.0320868, 0.0220905, 0.0156037, 0.00982992, 0.00594515, 0.00356395, 0.00123287, 0.000756122" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(A * !B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.07929, 0.0939401, 0.122365, 0.180065, 0.299783, 0.549532", \
-            "0.0841816, 0.0987986, 0.127249, 0.184967, 0.30471, 0.554431", \
-            "0.0948622, 0.109445, 0.137901, 0.195714, 0.315518, 0.565262", \
-            "0.112126, 0.126905, 0.155548, 0.21345, 0.33337, 0.583195", \
-            "0.128165, 0.143089, 0.171684, 0.229774, 0.350119, 0.600042", \
-            "0.131883, 0.148224, 0.177553, 0.235918, 0.356308, 0.606734" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0327308, 0.0511289, 0.0905761, 0.17447, 0.350755, 0.719294", \
-            "0.0326954, 0.0511284, 0.0905892, 0.174484, 0.350773, 0.719293", \
-            "0.0327328, 0.0511409, 0.0905703, 0.174461, 0.350772, 0.719293", \
-            "0.0337432, 0.0518908, 0.0909727, 0.174534, 0.350759, 0.719291", \
-            "0.0360746, 0.053585, 0.0919181, 0.17531, 0.35114, 0.71932", \
-            "0.042009, 0.0582921, 0.0949874, 0.176555, 0.351782, 0.719912" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0591119, 0.0706583, 0.0761942, 0.0811741, 0.0859807, 0.0907807, 0.0958248, 0.100818, 0.108466, 0.115372, 0.123806, 0.131669, 0.141776, 0.153577, 0.162775, 0.174413");
-            values ( \
-              "0.00539662, 0.10813, 0.127181, 0.135757, 0.137399, 0.134612, 0.125455, 0.107884, 0.0744611, 0.0518061, 0.0325375, 0.0206587, 0.0113671, 0.00563486, 0.00324032, 0.00177512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.05992, 0.0753023, 0.0799489, 0.0832717, 0.0899172, 0.0950716, 0.097981, 0.1038, 0.108882, 0.121624, 0.134452, 0.144527, 0.157068, 0.17408, 0.190001, 0.201811, 0.211269, 0.230185, 0.237944");
-            values ( \
-              "0.0195104, 0.158685, 0.175445, 0.181371, 0.188266, 0.187768, 0.185725, 0.180725, 0.173315, 0.139784, 0.0964109, 0.0701899, 0.0449174, 0.0237081, 0.0125839, 0.00780563, 0.00575144, 0.00223656, 0.00195829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.060611, 0.0752003, 0.0824554, 0.0841955, 0.0876757, 0.0916296, 0.095326, 0.101605, 0.107794, 0.12029, 0.128693, 0.133929, 0.144401, 0.148345, 0.155814, 0.182013, 0.1943, 0.203818, 0.215985, 0.225347, 0.237831, 0.247367, 0.254977, 0.265124, 0.282278, 0.290122, 0.301655, 0.317033, 0.347787, 0.396319, 0.455243");
-            values ( \
-              "0.013011, 0.183241, 0.211266, 0.215577, 0.222014, 0.226913, 0.229018, 0.230244, 0.228188, 0.220711, 0.213012, 0.20762, 0.194722, 0.188655, 0.175662, 0.12156, 0.0990375, 0.0833772, 0.0660309, 0.0547547, 0.04221, 0.0343824, 0.0291165, 0.0232412, 0.0156794, 0.0130969, 0.0100276, 0.00699482, 0.00327352, 0.000892092, 0.000152284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0656418, 0.0736051, 0.0821775, 0.0889468, 0.094474, 0.104159, 0.114427, 0.117622, 0.136793, 0.165617, 0.188409, 0.197893, 0.219604, 0.242285, 0.292259, 0.312139, 0.338645, 0.351902, 0.37258, 0.396212, 0.413594, 0.433897, 0.460967, 0.481294, 0.501918, 0.529417, 0.584414, 0.663947, 0.74348");
-            values ( \
-              "0.114094, 0.186561, 0.228748, 0.246308, 0.253963, 0.259755, 0.259587, 0.259028, 0.252079, 0.237749, 0.225205, 0.219204, 0.202746, 0.18026, 0.124877, 0.104743, 0.0813643, 0.0708303, 0.05715, 0.0436485, 0.0357564, 0.0281752, 0.0206666, 0.0158314, 0.0122608, 0.00901405, 0.00415436, 0.00162366, 0.000304454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0713335, 0.0991611, 0.108394, 0.1172, 0.132457, 0.14729, 0.257155, 0.311283, 0.358733, 0.386322, 0.423108, 0.518984, 0.58586, 0.628687, 0.658602, 0.694233, 0.755443, 0.783983, 0.841065, 0.885452, 0.934387, 0.999634, 1.07917, 1.1587, 1.3973");
-            values ( \
-              "0.266973, 0.272851, 0.277587, 0.279019, 0.277607, 0.274991, 0.247174, 0.231064, 0.213879, 0.201407, 0.182173, 0.128118, 0.094382, 0.0760463, 0.0649337, 0.0534196, 0.0376626, 0.0318547, 0.022593, 0.0172227, 0.012739, 0.00845112, 0.0050777, 0.0030455, 0.000647173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0785385, 0.0785585, 0.249095, 0.296455, 0.432024, 0.497711, 0.561368, 0.640901, 0.737755, 0.809589, 1.01175, 1.08347, 1.163, 1.23013, 1.29245, 1.35897, 1.4385, 1.53111, 1.61064, 1.69017, 1.77362, 1.87276, 2.03183, 2.19089, 2.34996, 2.50902, 2.58855, 2.66809, 2.74762");
-            values ( \
-              "1e-22, 0.313121, 0.27742, 0.271699, 0.253961, 0.244785, 0.234932, 0.221289, 0.199757, 0.180572, 0.124374, 0.106323, 0.0876965, 0.0740298, 0.0628577, 0.0527414, 0.0419925, 0.0321793, 0.0257319, 0.0200693, 0.0155892, 0.0115045, 0.00700586, 0.00422832, 0.00252426, 0.00148278, 0.00140152, 0.000847752, 0.000906471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0799687, 0.0862624, 0.0895503, 0.0951038, 0.100072, 0.104865, 0.109657, 0.114701, 0.132398, 0.140796, 0.151969, 0.161137, 0.177996, 0.186249");
-            values ( \
-              "0.0131322, 0.0910526, 0.108626, 0.127604, 0.136015, 0.137561, 0.134705, 0.125481, 0.0571834, 0.0361357, 0.0189994, 0.0110198, 0.00403873, 0.00266491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.083218, 0.0894205, 0.0940728, 0.0987183, 0.10204, 0.108683, 0.113842, 0.116749, 0.122563, 0.12766, 0.132248, 0.140392, 0.15322, 0.163296, 0.175834, 0.183248, 0.19285, 0.208771, 0.220572, 0.23002, 0.248917, 0.25543");
-            values ( \
-              "0.082352, 0.136183, 0.158595, 0.175591, 0.181215, 0.18844, 0.187533, 0.185965, 0.180492, 0.173056, 0.163538, 0.139537, 0.0966387, 0.0699547, 0.0451404, 0.0344802, 0.0239174, 0.012795, 0.00801356, 0.00554992, 0.00244565, 0.00204713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0828925, 0.0887398, 0.0974307, 0.101144, 0.108145, 0.114051, 0.123758, 0.132073, 0.139277, 0.152126, 0.159877, 0.16706, 0.181426, 0.203562, 0.219759, 0.233949, 0.246528, 0.255062, 0.273613, 0.283628, 0.300935, 0.320609, 0.336271, 0.367596, 0.415776, 0.47438");
-            values ( \
-              "0.0475037, 0.14833, 0.198453, 0.210731, 0.224607, 0.229254, 0.229647, 0.225502, 0.220188, 0.208297, 0.199073, 0.188738, 0.162013, 0.116405, 0.087785, 0.0670075, 0.0520859, 0.0435975, 0.0291951, 0.0233639, 0.0157166, 0.0099845, 0.00690743, 0.00319051, 0.000872982, 0.000157876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.0827829, 0.0924743, 0.100419, 0.104999, 0.113334, 0.121285, 0.131814, 0.145805, 0.183608, 0.210415, 0.236745, 0.258692, 0.315535, 0.342949, 0.367481, 0.387207, 0.402348, 0.432791, 0.466501, 0.495591, 0.533135, 0.583193, 0.662726, 0.742259");
-            values ( \
-              "0.0599886, 0.18698, 0.227251, 0.240698, 0.254116, 0.259096, 0.259932, 0.256034, 0.238433, 0.223122, 0.204176, 0.18298, 0.120414, 0.093761, 0.0734058, 0.059662, 0.0505657, 0.0357937, 0.0240717, 0.01691, 0.0106566, 0.00561121, 0.00187839, 0.000626453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0882913, 0.113456, 0.122727, 0.136166, 0.156513, 0.252418, 0.327914, 0.361918, 0.386816, 0.436613, 0.546704, 0.6316, 0.685085, 0.718642, 0.774409, 0.839976, 0.898347, 1.00406, 1.08359, 1.16312, 1.21336");
-            values ( \
-              "0.231107, 0.268099, 0.276252, 0.279086, 0.276897, 0.253545, 0.231909, 0.22007, 0.209919, 0.185227, 0.123367, 0.082499, 0.0623123, 0.0518124, 0.0376641, 0.0255442, 0.0178657, 0.00929162, 0.00558451, 0.00335382, 0.00279742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.0948913, 0.0949113, 0.274688, 0.371242, 0.516418, 0.580104, 0.659637, 0.696383, 0.756491, 0.828325, 1.03048, 1.1022, 1.18173, 1.23848, 1.31119, 1.37771, 1.48811, 1.54984, 1.62938, 1.70891, 1.8254, 1.97103, 2.13009, 2.28916, 2.44823, 2.76636");
-            values ( \
-              "1e-22, 0.304824, 0.276603, 0.264458, 0.244594, 0.235129, 0.221094, 0.213684, 0.199563, 0.180768, 0.12457, 0.106126, 0.0878934, 0.0761065, 0.0630575, 0.0525423, 0.0385329, 0.0323789, 0.0255321, 0.0202691, 0.0141668, 0.00905885, 0.00552048, 0.00334362, 0.00201106, 0.000702393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.121026, 0.126506, 0.129578, 0.133792, 0.136553, 0.141545, 0.146357, 0.151212, 0.156202, 0.160566, 0.168523, 0.174065, 0.182234, 0.191818, 0.201596, 0.213764, 0.222605, 0.236125");
-            values ( \
-              "0.00320457, 0.0810526, 0.1001, 0.118513, 0.126825, 0.135438, 0.137467, 0.13446, 0.125402, 0.110235, 0.0754352, 0.056647, 0.036248, 0.0209009, 0.0117631, 0.00569344, 0.00335426, 0.00157677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.121095, 0.130835, 0.135545, 0.140251, 0.143552, 0.150153, 0.15542, 0.158275, 0.163985, 0.169395, 0.173775, 0.181926, 0.188819, 0.194744, 0.204851, 0.211809, 0.217334, 0.224701, 0.23005, 0.234394, 0.240186, 0.250318, 0.262028, 0.271389, 0.29011, 0.306216");
-            values ( \
-              "0.00689228, 0.133778, 0.157279, 0.175003, 0.180793, 0.18824, 0.187492, 0.185874, 0.180666, 0.17276, 0.163477, 0.139585, 0.115276, 0.0965842, 0.0699535, 0.0548882, 0.0451218, 0.0346326, 0.0281327, 0.0238433, 0.0192649, 0.0127283, 0.00798161, 0.00563904, 0.00242807, 0.00148887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.124322, 0.130216, 0.135453, 0.142007, 0.144227, 0.147186, 0.153104, 0.155636, 0.160701, 0.168103, 0.180597, 0.189046, 0.194236, 0.204615, 0.20865, 0.216117, 0.242317, 0.254605, 0.264124, 0.276289, 0.28565, 0.298131, 0.30767, 0.315281, 0.325429, 0.342581, 0.350424, 0.361953, 0.377325, 0.408069, 0.455866, 0.513842");
-            values ( \
-              "0.0488383, 0.14557, 0.180951, 0.208469, 0.214487, 0.220513, 0.227715, 0.229126, 0.230165, 0.228381, 0.220591, 0.212985, 0.207643, 0.194856, 0.188671, 0.175666, 0.121556, 0.0990436, 0.0833692, 0.0660375, 0.0547629, 0.0422079, 0.0343897, 0.0291225, 0.0232347, 0.0156854, 0.0131031, 0.0100344, 0.00699156, 0.00328063, 0.000910565, 0.000168817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.128823, 0.141677, 0.148759, 0.154956, 0.164401, 0.175047, 0.178092, 0.201572, 0.226081, 0.24879, 0.26881, 0.280066, 0.302578, 0.359349, 0.399124, 0.43302, 0.456624, 0.474053, 0.494411, 0.521556, 0.54864, 0.589719, 0.64449, 0.698258");
-            values ( \
-              "0.203723, 0.225897, 0.245225, 0.254198, 0.259787, 0.259705, 0.259035, 0.25002, 0.237864, 0.225147, 0.211657, 0.202615, 0.180574, 0.118105, 0.0812034, 0.057011, 0.0438248, 0.0359117, 0.028313, 0.020479, 0.0147417, 0.00887511, 0.00433379, 0.00240086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.128667, 0.147678, 0.155276, 0.16412, 0.174091, 0.177976, 0.185745, 0.201285, 0.270323, 0.319697, 0.369712, 0.403711, 0.428614, 0.47842, 0.588507, 0.67343, 0.72685, 0.760418, 0.816207, 0.881784, 0.940151, 1.00006, 1.04583, 1.12536, 1.20489, 1.25836");
-            values ( \
-              "0.198436, 0.254288, 0.268449, 0.276019, 0.278892, 0.279046, 0.278664, 0.27629, 0.259718, 0.246533, 0.23183, 0.220074, 0.209917, 0.185225, 0.123363, 0.0824835, 0.0623216, 0.0518205, 0.037666, 0.0255444, 0.0178634, 0.0123586, 0.00929458, 0.00558401, 0.0033558, 0.00244404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.134756, 0.134776, 0.331012, 0.51422, 0.621668, 0.701201, 0.798055, 0.869889, 1.07205, 1.14377, 1.2233, 1.28004, 1.35275, 1.41927, 1.52967, 1.59141, 1.67094, 1.75047, 1.86696, 2.01259, 2.17166, 2.33072, 2.48979, 2.80792");
-            values ( \
-              "1e-22, 0.299175, 0.274853, 0.25086, 0.235079, 0.221143, 0.199612, 0.180718, 0.124521, 0.106176, 0.0878437, 0.0761563, 0.063008, 0.0525918, 0.0385823, 0.0323295, 0.0255814, 0.0202198, 0.0142159, 0.00910774, 0.00556928, 0.00339233, 0.00205968, 0.00075082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.202895, 0.207783, 0.215048, 0.22124, 0.22564, 0.228399, 0.231566, 0.236545, 0.241668, 0.246412, 0.253678, 0.26122, 0.270304, 0.279375, 0.292416, 0.304397, 0.314855, 0.318602");
-            values ( \
-              "0.00414336, 0.0495388, 0.0931401, 0.117251, 0.127259, 0.130805, 0.13254, 0.131284, 0.123823, 0.108367, 0.0761156, 0.0521589, 0.0317852, 0.0189375, 0.00874462, 0.00433162, 0.00228588, 0.00196716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.20198, 0.217924, 0.22414, 0.22858, 0.236269, 0.243759, 0.248932, 0.251396, 0.255266, 0.262007, 0.268628, 0.277205, 0.283516, 0.294889, 0.304192, 0.315706, 0.321082, 0.327564, 0.336734, 0.346483, 0.353987, 0.368995, 0.395896, 0.427785");
-            values ( \
-              "0.00331952, 0.13268, 0.160615, 0.172859, 0.183136, 0.183096, 0.17937, 0.173897, 0.170841, 0.15614, 0.135653, 0.106033, 0.0877851, 0.0601579, 0.0433711, 0.0282439, 0.0230063, 0.0181604, 0.0123976, 0.00841148, 0.0064369, 0.0032844, 0.00113766, 0.000109139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.202091, 0.226772, 0.233317, 0.241034, 0.248906, 0.251289, 0.263429, 0.272934, 0.280008, 0.293598, 0.304687, 0.332002, 0.348951, 0.361762, 0.372218, 0.380246, 0.396357, 0.414238, 0.429673, 0.449622, 0.47622, 0.519463, 0.570723");
-            values ( \
-              "0.0198037, 0.197133, 0.214847, 0.224872, 0.227057, 0.225159, 0.220836, 0.214023, 0.20735, 0.19013, 0.170204, 0.114337, 0.0848805, 0.066442, 0.0539186, 0.045646, 0.0322972, 0.0217053, 0.0152468, 0.00960193, 0.00504691, 0.00163938, 0.000398326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.212622, 0.224806, 0.227768, 0.233693, 0.240454, 0.248889, 0.25294, 0.263384, 0.271477, 0.279375, 0.303661, 0.337924, 0.356489, 0.365972, 0.380465, 0.438579, 0.456012, 0.473664, 0.496017, 0.51241, 0.530003, 0.553325, 0.574194, 0.60202, 0.625294, 0.656478, 0.698056, 0.777589, 0.857122");
-            values ( \
-              "0.182675, 0.205203, 0.218115, 0.236856, 0.249184, 0.256245, 0.255734, 0.257003, 0.255689, 0.253178, 0.242211, 0.223116, 0.210374, 0.202561, 0.188934, 0.125042, 0.107317, 0.0908919, 0.0726481, 0.0611505, 0.0504738, 0.0387713, 0.0304419, 0.0218348, 0.0164606, 0.0112259, 0.00663497, 0.00222592, 0.000742832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.212718, 0.233765, 0.240905, 0.24899, 0.252708, 0.262852, 0.270023, 0.278218, 0.29328, 0.309244, 0.356299, 0.403873, 0.458003, 0.501073, 0.541729, 0.676352, 0.731933, 0.775194, 0.805782, 0.841242, 0.888026, 0.90218, 0.930488, 0.987104, 1.03196, 1.08134, 1.14717, 1.22671, 1.30624, 1.38577, 1.54484");
-            values ( \
-              "0.173694, 0.248557, 0.263804, 0.272182, 0.2727, 0.276747, 0.277646, 0.277371, 0.275014, 0.271483, 0.259751, 0.247052, 0.231068, 0.215655, 0.1971, 0.122388, 0.0946758, 0.0761462, 0.0647859, 0.0533309, 0.0408753, 0.0376564, 0.0319042, 0.0226849, 0.0172521, 0.0127265, 0.00840368, 0.00505534, 0.00302583, 0.00181243, 0.000647279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.218645, 0.259619, 0.271475, 0.282449, 0.296104, 0.337218, 0.498903, 0.600322, 0.707769, 0.787302, 0.884157, 0.955991, 1.15815, 1.22987, 1.3094, 1.36615, 1.43885, 1.50537, 1.61577, 1.67751, 1.75704, 1.83658, 1.95306, 2.09869, 2.25775, 2.41682, 2.65542, 2.89401");
-            values ( \
-              "0.282648, 0.285541, 0.289081, 0.289634, 0.289021, 0.284668, 0.26442, 0.250866, 0.235083, 0.22114, 0.19961, 0.180719, 0.124518, 0.106179, 0.0878404, 0.07616, 0.0630006, 0.0526, 0.0385912, 0.0323204, 0.0255907, 0.0202103, 0.0142272, 0.00911954, 0.0055813, 0.00340458, 0.00162521, 0.000763808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.359905, 0.366256, 0.367878, 0.382235, 0.386397, 0.392576, 0.398141, 0.403502, 0.408862, 0.410964, 0.423927, 0.432506, 0.440949, 0.446787, 0.453634, 0.459628, 0.46741, 0.477786, 0.478431");
-            values ( \
-              "0.0118274, 0.0234451, 0.0281473, 0.0841387, 0.098106, 0.114082, 0.121416, 0.123185, 0.11834, 0.113854, 0.0663851, 0.0424574, 0.026763, 0.0191835, 0.0128903, 0.00906056, 0.00574951, 0.00309714, 0.00302043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.360691, 0.369031, 0.383954, 0.394049, 0.402452, 0.406507, 0.41036, 0.418574, 0.426499, 0.457978, 0.470063, 0.484163, 0.493048, 0.502635, 0.520616, 0.533355");
-            values ( \
-              "0.014979, 0.0405336, 0.115395, 0.154244, 0.170595, 0.173815, 0.174948, 0.171253, 0.159877, 0.069538, 0.0458876, 0.0273238, 0.0194734, 0.0133749, 0.00658571, 0.0046626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.358244, 0.398849, 0.407, 0.417906, 0.420148, 0.433217, 0.446777, 0.460042, 0.516456, 0.538179, 0.561657, 0.582592, 0.615435, 0.639509, 0.65888");
-            values ( \
-              "0.00245039, 0.197178, 0.213355, 0.220848, 0.22094, 0.216317, 0.205537, 0.18955, 0.0843504, 0.0553648, 0.0330535, 0.0209877, 0.00988918, 0.00554833, 0.00386597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.358014, 0.40629, 0.416863, 0.430414, 0.445065, 0.454154, 0.47882, 0.504859, 0.531307, 0.638795, 0.681104, 0.705888, 0.735814, 0.787185, 0.825883, 0.857005, 0.919248, 0.931324");
-            values ( \
-              "0.0115096, 0.236234, 0.249973, 0.254139, 0.251357, 0.248102, 0.236761, 0.222361, 0.203758, 0.0927461, 0.0601986, 0.0457912, 0.0325131, 0.0175443, 0.0109274, 0.00739847, 0.00326434, 0.00304426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.374675, 0.389813, 0.396967, 0.406781, 0.416733, 0.427691, 0.435691, 0.446357, 0.476072, 0.581723, 0.625115, 0.658211, 0.681792, 0.728952, 0.840434, 0.917817, 0.952001, 0.989297, 1.03903, 1.08053, 1.1428, 1.19732, 1.26302, 1.34255, 1.42209, 1.50162, 1.54578");
-            values ( \
-              "0.146166, 0.184351, 0.219679, 0.250044, 0.265972, 0.273116, 0.274826, 0.275022, 0.270153, 0.243902, 0.231089, 0.219558, 0.209881, 0.18664, 0.124033, 0.0862597, 0.0723895, 0.0592693, 0.0448583, 0.0352813, 0.024359, 0.0174663, 0.0116378, 0.00700964, 0.00421669, 0.00251616, 0.0021507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.385894, 0.459744, 0.476834, 0.586091, 0.666336, 0.847252, 0.930298, 0.991399, 1.07093, 1.32365, 1.47102, 1.61296, 1.67707, 1.78327, 1.91614, 1.97632, 2.0844, 2.22103, 2.3801, 2.53916, 2.71902");
-            values ( \
-              "0.287234, 0.287432, 0.286357, 0.274541, 0.26439, 0.239389, 0.225729, 0.213629, 0.194733, 0.125012, 0.0890863, 0.0618738, 0.0520025, 0.038598, 0.0262425, 0.0219494, 0.0158698, 0.0104723, 0.00641721, 0.00391771, 0.00237408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.677963, 0.691824, 0.693208, 0.698399, 0.71859, 0.726595, 0.733464, 0.739812, 0.746005, 0.752189, 0.763107, 0.772015, 0.780621, 0.78847, 0.793069, 0.799583, 0.806973, 0.812737, 0.824263, 0.832983");
-            values ( \
-              "0.00243075, 0.0164893, 0.0186194, 0.0282604, 0.0737837, 0.0898054, 0.100508, 0.106069, 0.105912, 0.0956929, 0.0602846, 0.0388051, 0.0246496, 0.0159518, 0.0122977, 0.00846905, 0.00558481, 0.00403909, 0.00209723, 0.00145653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.683408, 0.696387, 0.702717, 0.72843, 0.738532, 0.747521, 0.756158, 0.764792, 0.769028, 0.7734, 0.790644, 0.795894, 0.803837, 0.81082, 0.815915, 0.8225, 0.827876, 0.834415, 0.84363, 0.853183, 0.860489, 0.875099, 0.896631");
-            values ( \
-              "0.0106232, 0.031587, 0.0473132, 0.12433, 0.146911, 0.157764, 0.159538, 0.152781, 0.144844, 0.133763, 0.0839937, 0.071247, 0.0547705, 0.0430371, 0.0358535, 0.0281416, 0.0230236, 0.0179538, 0.012557, 0.00867038, 0.00651239, 0.00361956, 0.00162357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.705707, 0.72705, 0.73058, 0.733942, 0.73554, 0.738736, 0.742324, 0.74352, 0.745479, 0.747438, 0.749397, 0.751356, 0.753003, 0.75465, 0.756296, 0.757943, 0.761395, 0.764847, 0.768298, 0.77175, 0.775202, 0.778654, 0.785559, 0.788197, 0.791011, 0.794455, 0.796831, 0.800515, 0.802417, 0.808123, 0.814948, 0.821583, 0.834666, 0.846726, 0.851378, 0.85603, 0.862332, 0.871859, 0.875085, 0.87831, 0.884761, 0.891212, 0.90027, 0.90744, 0.91222, 0.917, 0.925743, 0.930114, 0.934486, 0.941808");
-            values ( \
-              "0.139431, 0.14026, 0.153428, 0.164602, 0.169474, 0.178369, 0.187008, 0.189571, 0.193258, 0.1966, 0.199597, 0.20225, 0.204213, 0.205932, 0.207408, 0.20864, 0.208218, 0.207647, 0.206928, 0.206059, 0.20504, 0.203873, 0.20109, 0.198901, 0.196186, 0.192456, 0.189587, 0.184594, 0.181694, 0.172319, 0.159597, 0.146845, 0.120597, 0.0983356, 0.0907698, 0.0835722, 0.0745714, 0.0616287, 0.0577043, 0.0540176, 0.0475369, 0.0416473, 0.0343747, 0.0290362, 0.026223, 0.0236301, 0.0195152, 0.0176912, 0.0160227, 0.0135467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.681061, 0.701527, 0.742559, 0.756399, 0.768584, 0.781087, 0.793005, 0.810942, 0.830612, 0.870767, 0.892516, 0.958485, 1.00147, 1.03773, 1.07905, 1.09945, 1.14055, 1.16505, 1.22036, 1.25714");
-            values ( \
-              "0.0165129, 0.052157, 0.207923, 0.234707, 0.244016, 0.246183, 0.244095, 0.238008, 0.228713, 0.203002, 0.182479, 0.111408, 0.073698, 0.0500989, 0.0312982, 0.0246009, 0.0149618, 0.0110649, 0.00499394, 0.00352071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.701007, 0.721814, 0.742407, 0.756531, 0.767858, 0.783461, 0.798698, 0.814427, 0.837593, 0.902403, 0.964079, 1.01718, 1.04325, 1.07305, 1.18326, 1.25041, 1.29207, 1.32609, 1.3847, 1.41838, 1.43808, 1.47749, 1.53458, 1.60642, 1.68595, 1.76548, 1.84501, 1.92455, 2.08361");
-            values ( \
-              "0.101649, 0.138722, 0.220536, 0.251315, 0.263278, 0.269413, 0.269959, 0.267976, 0.263645, 0.248023, 0.23042, 0.211237, 0.199194, 0.183778, 0.122184, 0.0892437, 0.0721889, 0.0602026, 0.0432403, 0.0356209, 0.0317131, 0.0250793, 0.0176794, 0.0113333, 0.00684479, 0.00409726, 0.00246384, 0.00146166, 0.000516865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.70063, 0.747839, 0.759966, 0.768854, 0.783269, 0.798516, 0.815244, 0.838412, 0.908426, 1.00528, 1.15101, 1.21445, 1.26604, 1.33072, 1.39086, 1.46267, 1.66486, 1.73661, 1.81614, 1.88325, 1.94553, 2.01207, 2.12249, 2.18429, 2.26382, 2.34335, 2.45977, 2.52581, 2.60534, 2.76441, 2.92347, 3.16207, 3.40067");
-            values ( \
-              "0.0762531, 0.24173, 0.264426, 0.273909, 0.281319, 0.284144, 0.284123, 0.282934, 0.275671, 0.263891, 0.244228, 0.23494, 0.226334, 0.21358, 0.199609, 0.180665, 0.124477, 0.106189, 0.0878019, 0.0741461, 0.062984, 0.0526213, 0.0386087, 0.0322966, 0.0256041, 0.0201896, 0.0142431, 0.0116345, 0.00913604, 0.00559659, 0.00341894, 0.0016127, 0.000776335" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(A & ~B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(A * !B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0820802, 0.0973014, 0.125119, 0.175989, 0.269221, 0.452948", \
-            "0.0870559, 0.102294, 0.130175, 0.181083, 0.274319, 0.458027", \
-            "0.100288, 0.115563, 0.143633, 0.194688, 0.287964, 0.471668", \
-            "0.127563, 0.143505, 0.172195, 0.223867, 0.317483, 0.501269", \
-            "0.170332, 0.188554, 0.220144, 0.275117, 0.370856, 0.554947", \
-            "0.23811, 0.260078, 0.297618, 0.359711, 0.461193, 0.647968" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0312229, 0.0471727, 0.0776382, 0.13324, 0.243174, 0.47948", \
-            "0.0312413, 0.0471715, 0.0775174, 0.133213, 0.2431, 0.479478", \
-            "0.0313415, 0.0472744, 0.0775475, 0.133218, 0.243163, 0.479476", \
-            "0.0338123, 0.049286, 0.0790226, 0.134151, 0.243827, 0.479634", \
-            "0.040766, 0.0568585, 0.0868978, 0.141168, 0.247507, 0.48053", \
-            "0.0508144, 0.0696842, 0.102509, 0.156777, 0.259118, 0.485895" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0578975, 0.0724372, 0.0778879, 0.0833826, 0.0894451, 0.0960704, 0.0985357, 0.103466, 0.105363, 0.109125, 0.123272, 0.129, 0.132481, 0.137944, 0.142579");
-            values ( \
-              "-0.000173896, -0.0996337, -0.114288, -0.123787, -0.129904, -0.132428, -0.132069, -0.127154, -0.12232, -0.107858, -0.0348641, -0.0192881, -0.013229, -0.00718168, -0.00452964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0617501, 0.066974, 0.0709677, 0.0762766, 0.0804963, 0.0853233, 0.0904367, 0.10126, 0.106938, 0.112572, 0.116303, 0.12197, 0.127929, 0.132499, 0.149155, 0.158011, 0.167426, 0.17193, 0.177935, 0.189944, 0.192413");
-            values ( \
-              "-0.0144288, -0.0905374, -0.116672, -0.139143, -0.151836, -0.161815, -0.169374, -0.179519, -0.182504, -0.183074, -0.181723, -0.176667, -0.163655, -0.145709, -0.0650171, -0.0373829, -0.0198604, -0.0145554, -0.00970154, -0.00384346, -0.00344023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0597749, 0.0689891, 0.0752283, 0.083634, 0.0917444, 0.0981582, 0.107776, 0.121766, 0.129015, 0.14048, 0.150732, 0.154149, 0.161673, 0.174462, 0.193237, 0.201988, 0.214227, 0.226095, 0.234526, 0.244511, 0.257825, 0.282679, 0.311178");
-            values ( \
-              "-0.00397217, -0.120342, -0.156071, -0.183629, -0.199327, -0.20837, -0.218571, -0.227239, -0.22958, -0.231169, -0.229762, -0.227673, -0.220317, -0.187869, -0.113648, -0.0853941, -0.0550505, -0.0352826, -0.0252264, -0.0169443, -0.00992554, -0.00319377, -0.000982451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0656072, 0.0770271, 0.0826025, 0.0903838, 0.101156, 0.116375, 0.138336, 0.166321, 0.18223, 0.197884, 0.208368, 0.229388, 0.234467, 0.240272, 0.251881, 0.272482, 0.29538, 0.310106, 0.322547, 0.332692, 0.344944, 0.362222, 0.380319, 0.394203, 0.421969, 0.472306, 0.531929");
-            values ( \
-              "-0.167652, -0.174965, -0.195551, -0.214501, -0.232315, -0.249267, -0.264102, -0.279489, -0.285067, -0.286214, -0.283578, -0.26773, -0.26024, -0.249184, -0.220158, -0.163168, -0.109939, -0.0834963, -0.0655314, -0.0535628, -0.0417579, -0.029146, -0.0199528, -0.0148549, -0.00808072, -0.00241933, -0.000533244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0701608, 0.0994934, 0.121054, 0.164956, 0.180533, 0.199875, 0.214624, 0.242959, 0.2603, 0.280649, 0.318544, 0.342727, 0.360134, 0.394948, 0.430243, 0.475235, 0.514057, 0.541544, 0.566734, 0.600321, 0.626706, 0.655909, 0.694845, 0.758152, 0.82146, 0.948074");
-            values ( \
-              "-0.235874, -0.240976, -0.267347, -0.302032, -0.312036, -0.321721, -0.326632, -0.331209, -0.331184, -0.3292, -0.318392, -0.30553, -0.291471, -0.246344, -0.190783, -0.130064, -0.0906738, -0.0693435, -0.0539581, -0.0382635, -0.029104, -0.0214631, -0.014188, -0.00706822, -0.00351073, -0.000862391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0747767, 0.126112, 0.180503, 0.222624, 0.243298, 0.277877, 0.316467, 0.389612, 0.464307, 0.541862, 0.570357, 0.624729, 0.688037, 0.780807, 0.872635, 0.941881, 0.997884, 1.09589, 1.15919, 1.21211, 1.30725, 1.37055, 1.56048, 1.56467");
-            values ( \
-              "-0.27837, -0.279571, -0.325337, -0.346919, -0.352934, -0.357916, -0.359274, -0.35456, -0.344586, -0.32878, -0.32059, -0.298965, -0.257355, -0.18489, -0.125344, -0.0915437, -0.0700813, -0.0434828, -0.0315407, -0.024243, -0.0149103, -0.0106503, -0.00403829, -0.00398993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0771201, 0.0969291, 0.102418, 0.107595, 0.116374, 0.119953, 0.122614, 0.127511, 0.137349, 0.143638, 0.149167, 0.155514, 0.160459, 0.169355");
-            values ( \
-              "-0.0177691, -0.115305, -0.124306, -0.129351, -0.132378, -0.130518, -0.126605, -0.110894, -0.0556787, -0.030504, -0.0170067, -0.00841163, -0.00490064, -0.00162745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.0787643, 0.0898854, 0.0943937, 0.0952057, 0.104243, 0.112407, 0.120172, 0.125813, 0.131484, 0.135215, 0.140835, 0.146841, 0.151412, 0.167947, 0.176969, 0.186383, 0.196685, 0.208375, 0.212126");
-            values ( \
-              "-0.00888159, -0.114316, -0.13943, -0.13916, -0.161924, -0.172958, -0.179668, -0.182361, -0.182977, -0.181797, -0.176646, -0.163587, -0.14576, -0.0654523, -0.0372949, -0.0198203, -0.00979256, -0.00401763, -0.00336661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0786232, 0.0880898, 0.0942951, 0.10267, 0.110594, 0.117176, 0.126783, 0.140783, 0.147994, 0.159591, 0.168026, 0.180428, 0.184836, 0.189415, 0.19472, 0.212502, 0.219444, 0.23219, 0.244817, 0.256728, 0.26354, 0.277162, 0.302093, 0.331182");
-            values ( \
-              "-0.000874089, -0.120051, -0.156897, -0.184107, -0.199376, -0.208648, -0.218786, -0.227189, -0.229503, -0.231323, -0.230395, -0.220686, -0.213152, -0.201443, -0.182807, -0.112472, -0.0896201, -0.0569967, -0.0354122, -0.0221895, -0.0169143, -0.00965833, -0.00319288, -0.000857111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.0835903, 0.0916019, 0.0991911, 0.10584, 0.117816, 0.131099, 0.140623, 0.152285, 0.172776, 0.185412, 0.201468, 0.206538, 0.216679, 0.227453, 0.248471, 0.253568, 0.259392, 0.271042, 0.291183, 0.306408, 0.314612, 0.329415, 0.341719, 0.351608, 0.363921, 0.381245, 0.399517, 0.413554, 0.441626, 0.492056, 0.551863");
-            values ( \
-              "-0.145907, -0.153031, -0.18817, -0.207044, -0.229034, -0.245428, -0.253369, -0.260972, -0.273215, -0.279708, -0.28502, -0.28596, -0.286143, -0.283746, -0.26761, -0.260221, -0.249117, -0.219948, -0.16418, -0.126967, -0.109639, -0.0831352, -0.0654204, -0.053746, -0.0418482, -0.0291843, -0.0199064, -0.0147686, -0.00797844, -0.00237992, -0.000523064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0874498, 0.110976, 0.132815, 0.183861, 0.19915, 0.223704, 0.247527, 0.261804, 0.290356, 0.318083, 0.338187, 0.377074, 0.39117, 0.407226, 0.466002, 0.502425, 0.536685, 0.561798, 0.582652, 0.607144, 0.641789, 0.677977, 0.705721, 0.76121, 0.824517, 0.951132");
-            values ( \
-              "-0.217293, -0.227986, -0.26047, -0.302057, -0.312032, -0.323547, -0.329586, -0.331138, -0.330467, -0.32507, -0.317996, -0.293443, -0.278362, -0.256339, -0.166018, -0.120498, -0.0873585, -0.0683556, -0.0555485, -0.043318, -0.0302523, -0.0207538, -0.0154786, -0.0084677, -0.00420273, -0.00102917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.0964905, 0.158857, 0.201737, 0.242896, 0.262475, 0.29763, 0.335639, 0.385248, 0.445521, 0.483479, 0.546787, 0.589531, 0.643902, 0.70721, 0.799982, 0.901703, 0.961057, 1.01706, 1.06612, 1.11506, 1.17837, 1.23128, 1.32642, 1.38973, 1.57965, 1.58349");
-            values ( \
-              "-0.29157, -0.291746, -0.326916, -0.347449, -0.352866, -0.358085, -0.359182, -0.356723, -0.350129, -0.344487, -0.33221, -0.320681, -0.298867, -0.257448, -0.184799, -0.119962, -0.0914591, -0.0701645, -0.0553352, -0.0433998, -0.0316228, -0.0241626, -0.0148306, -0.0107298, -0.0039593, -0.00391502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.124908, 0.134564, 0.142114, 0.146372, 0.151584, 0.158992, 0.161579, 0.16655, 0.169669, 0.186264, 0.19324, 0.19958, 0.204783, 0.208229");
-            values ( \
-              "-0.0358884, -0.0982813, -0.116075, -0.123186, -0.128831, -0.13204, -0.131739, -0.126827, -0.118215, -0.0351938, -0.0170261, -0.0084815, -0.00465306, -0.00348509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.123209, 0.133749, 0.139163, 0.147043, 0.1547, 0.164262, 0.16979, 0.175599, 0.179335, 0.185061, 0.190977, 0.19555, 0.212312, 0.221047, 0.226453, 0.231994, 0.241141, 0.253338, 0.258507");
-            values ( \
-              "-0.0180775, -0.112771, -0.137846, -0.158692, -0.170243, -0.179229, -0.181925, -0.182612, -0.181592, -0.176218, -0.163325, -0.145725, -0.064457, -0.0376078, -0.0261642, -0.0179461, -0.00944282, -0.0039141, -0.00299848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.1232, 0.132234, 0.138523, 0.146931, 0.154737, 0.161457, 0.171094, 0.17964, 0.187487, 0.203486, 0.210569, 0.215868, 0.222934, 0.229026, 0.237149, 0.26322, 0.277386, 0.284892, 0.2949, 0.310087, 0.322371, 0.339759");
-            values ( \
-              "-0.00935302, -0.117354, -0.155845, -0.183728, -0.198953, -0.20847, -0.218667, -0.224543, -0.227998, -0.231188, -0.230686, -0.228673, -0.222778, -0.213205, -0.189827, -0.0912242, -0.0552201, -0.0416929, -0.0282199, -0.0154559, -0.00936102, -0.00495933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.127709, 0.136046, 0.143636, 0.150319, 0.159428, 0.169881, 0.184995, 0.217473, 0.229832, 0.245959, 0.260974, 0.27188, 0.292899, 0.298, 0.303831, 0.359087, 0.373914, 0.386177, 0.408322, 0.42566, 0.443985, 0.458068, 0.486234, 0.506281");
-            values ( \
-              "-0.134171, -0.153004, -0.188406, -0.20736, -0.224774, -0.2394, -0.253333, -0.273401, -0.279648, -0.285062, -0.286179, -0.283688, -0.267636, -0.2602, -0.249084, -0.109546, -0.0830247, -0.0653848, -0.0418748, -0.0291945, -0.0198914, -0.0147426, -0.00794714, -0.0057306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.131457, 0.150545, 0.163794, 0.17773, 0.194843, 0.234326, 0.245982, 0.268332, 0.294581, 0.306457, 0.325364, 0.344201, 0.382093, 0.406196, 0.423679, 0.458645, 0.493569, 0.538736, 0.577667, 0.605122, 0.630238, 0.663725, 0.690214, 0.719513, 0.758579, 0.821886, 0.885193, 1.01181");
-            values ( \
-              "-0.214029, -0.216136, -0.242706, -0.260885, -0.275865, -0.306161, -0.313313, -0.32359, -0.330033, -0.331246, -0.331246, -0.329183, -0.318469, -0.305586, -0.291467, -0.246109, -0.191113, -0.130114, -0.0906214, -0.0693218, -0.0539816, -0.0383241, -0.029116, -0.0214498, -0.0141621, -0.00705282, -0.00350568, -0.000862494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.139912, 0.200468, 0.242061, 0.283979, 0.306972, 0.341618, 0.380134, 0.42896, 0.490012, 0.527974, 0.591281, 0.634027, 0.688398, 0.751706, 0.844479, 0.946201, 1.00556, 1.06156, 1.11061, 1.15956, 1.22287, 1.27579, 1.37093, 1.43423, 1.62416, 1.62677");
-            values ( \
-              "-0.283771, -0.289243, -0.324074, -0.346272, -0.352899, -0.358061, -0.359189, -0.356784, -0.350128, -0.344481, -0.332209, -0.32068, -0.298862, -0.257446, -0.184796, -0.119959, -0.0914558, -0.0701659, -0.0553374, -0.0433971, -0.0316252, -0.0241594, -0.0148272, -0.0107329, -0.0039559, -0.00392571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.212671, 0.225547, 0.234746, 0.241045, 0.24675, 0.24908, 0.257492, 0.26064, 0.262806, 0.267137, 0.282552, 0.288753, 0.294317, 0.301075");
-            values ( \
-              "-0.016624, -0.0700471, -0.0972836, -0.110891, -0.118566, -0.120826, -0.124316, -0.123464, -0.121081, -0.110325, -0.0358786, -0.0191748, -0.0105516, -0.00587554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.215778, 0.221283, 0.222291, 0.225081, 0.227535, 0.229059, 0.232107, 0.232703, 0.23568, 0.238122, 0.239968, 0.243037, 0.245252, 0.247467, 0.249054, 0.250045, 0.250541, 0.251709, 0.255901, 0.258417, 0.260207, 0.263215, 0.267632, 0.268102, 0.269041, 0.271859, 0.27485, 0.275848, 0.277296, 0.278743, 0.280191, 0.281639, 0.283705, 0.284705, 0.286703, 0.28886, 0.290017, 0.292331, 0.296835, 0.302336, 0.305417, 0.306447, 0.307819, 0.310381, 0.315326, 0.318076, 0.320825, 0.323575, 0.32657, 0.329089");
-            values ( \
-              "-0.0589401, -0.0628392, -0.0674058, -0.0825358, -0.0948352, -0.101998, -0.115232, -0.116936, -0.12701, -0.134379, -0.139344, -0.146369, -0.150762, -0.154586, -0.158309, -0.158544, -0.158822, -0.159913, -0.165735, -0.16873, -0.170588, -0.173193, -0.176363, -0.176654, -0.17686, -0.177089, -0.176693, -0.176414, -0.175741, -0.174839, -0.173709, -0.17235, -0.169318, -0.167236, -0.162265, -0.155614, -0.151544, -0.142474, -0.12127, -0.0935318, -0.079276, -0.0745955, -0.0691528, -0.0598468, -0.0437955, -0.0365872, -0.0308084, -0.0257554, -0.0211603, -0.0175766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.205235, 0.246242, 0.260753, 0.270934, 0.283945, 0.294292, 0.301272, 0.309172, 0.319319, 0.327345, 0.336112, 0.360859, 0.374725, 0.38907, 0.402509, 0.411537, 0.429595, 0.438661");
-            values ( \
-              "-0.00134218, -0.178192, -0.202908, -0.215196, -0.223996, -0.22725, -0.22816, -0.227454, -0.221156, -0.208581, -0.181712, -0.0897162, -0.0549259, -0.031907, -0.0188115, -0.013096, -0.00611566, -0.00467252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.205438, 0.248894, 0.259832, 0.271022, 0.27617, 0.288762, 0.313261, 0.327081, 0.345339, 0.354399, 0.366479, 0.375251, 0.386948, 0.391133, 0.399504, 0.415787, 0.434811, 0.449193, 0.459868, 0.474101, 0.484074, 0.502669, 0.522082, 0.528735, 0.54434, 0.562174, 0.597843, 0.650415, 0.713722");
-            values ( \
-              "-0.00619539, -0.200136, -0.221052, -0.238086, -0.243927, -0.254313, -0.269702, -0.277055, -0.283421, -0.284545, -0.283258, -0.279756, -0.270729, -0.265892, -0.252204, -0.212076, -0.159901, -0.125283, -0.103372, -0.0789734, -0.0650195, -0.0447864, -0.0299135, -0.0260382, -0.0187652, -0.0128098, -0.00575702, -0.00160061, -0.000315065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.221595, 0.23709, 0.247334, 0.26224, 0.272634, 0.286444, 0.325596, 0.359976, 0.377176, 0.40011, 0.411732, 0.441781, 0.471829, 0.497695, 0.521294, 0.562948, 0.620342, 0.638371, 0.665116, 0.695681, 0.729123, 0.752966, 0.799842, 0.82756, 0.882996, 0.946303, 1.07292");
-            values ( \
-              "-0.15331, -0.169486, -0.205274, -0.236884, -0.253174, -0.267907, -0.299355, -0.319676, -0.325722, -0.330006, -0.33071, -0.328666, -0.321175, -0.309226, -0.291327, -0.23585, -0.150082, -0.127973, -0.0999618, -0.0744178, -0.0534008, -0.0418884, -0.0257534, -0.019262, -0.010549, -0.0052601, -0.00129778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.234653, 0.287042, 0.348396, 0.397167, 0.419136, 0.477733, 0.55079, 0.625634, 0.703195, 0.731703, 0.786067, 0.849374, 0.937631, 1.0337, 1.07612, 1.16298, 1.24014, 1.30344, 1.35662, 1.43167, 1.49498, 1.55829, 1.6849, 1.93813");
-            values ( \
-              "-0.275795, -0.276828, -0.328313, -0.350506, -0.355266, -0.359172, -0.354717, -0.344786, -0.328994, -0.32033, -0.299183, -0.257107, -0.188443, -0.125315, -0.103475, -0.0686649, -0.047587, -0.0341994, -0.0260963, -0.0177187, -0.0132409, -0.00908004, -0.00456854, -0.00102141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.393771, 0.400972, 0.4073, 0.414138, 0.423872, 0.431821, 0.438905, 0.445486, 0.451811, 0.458055, 0.463523, 0.480944, 0.488894, 0.496494, 0.503155, 0.504059");
-            values ( \
-              "-0.0153393, -0.0243714, -0.0379792, -0.0572127, -0.0764853, -0.0885132, -0.0965133, -0.102338, -0.105301, -0.10476, -0.0958982, -0.0305921, -0.0143755, -0.00668985, -0.00322903, -0.00306115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.398838, 0.407569, 0.410172, 0.416474, 0.419898, 0.423064, 0.42509, 0.427115, 0.430373, 0.434072, 0.436219, 0.440514, 0.444889, 0.44823, 0.450671, 0.453325, 0.458253, 0.459453, 0.46164, 0.463828, 0.465464, 0.468736, 0.470372, 0.472096, 0.474861, 0.477052, 0.478158, 0.479263, 0.480369, 0.481474, 0.483266, 0.485058, 0.486851, 0.488643, 0.489798, 0.490953, 0.493263, 0.495573, 0.501882, 0.506083, 0.509185, 0.511538, 0.514222, 0.515874, 0.517857, 0.519179, 0.521823, 0.523145, 0.526572, 0.529806");
-            values ( \
-              "-0.0456875, -0.050066, -0.0576277, -0.0789844, -0.0884787, -0.0963326, -0.100968, -0.105343, -0.111776, -0.118388, -0.121944, -0.128631, -0.134859, -0.138951, -0.141652, -0.144376, -0.148973, -0.150002, -0.151659, -0.153119, -0.154079, -0.155664, -0.156288, -0.156363, -0.156118, -0.155603, -0.15492, -0.154056, -0.153009, -0.151781, -0.149403, -0.146548, -0.143214, -0.139403, -0.136222, -0.132712, -0.123747, -0.114449, -0.0875149, -0.0704777, -0.0598686, -0.052696, -0.0449043, -0.0404224, -0.0356836, -0.0330092, -0.0281014, -0.0258679, -0.0208537, -0.0165214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.394699, 0.40931, 0.415773, 0.419877, 0.423863, 0.427848, 0.432103, 0.436359, 0.442066, 0.448671, 0.452087, 0.45559, 0.460546, 0.466907, 0.469255, 0.471602, 0.47547, 0.48077, 0.483729, 0.487254, 0.491392, 0.497007, 0.501668, 0.503527, 0.507246, 0.512501, 0.515896, 0.517627, 0.521087, 0.522817, 0.526094, 0.529371, 0.532648, 0.535925, 0.537868, 0.548368, 0.550339, 0.553066, 0.556229, 0.558482, 0.564127, 0.566732, 0.570206, 0.574328, 0.579403, 0.584243, 0.588546, 0.592849, 0.597743, 0.601588");
-            values ( \
-              "-0.0140623, -0.0643281, -0.0857528, -0.10023, -0.112405, -0.123387, -0.133798, -0.143124, -0.15423, -0.165363, -0.170517, -0.175414, -0.181832, -0.189334, -0.191937, -0.194153, -0.197449, -0.201454, -0.203409, -0.205339, -0.207235, -0.209251, -0.210474, -0.210488, -0.210239, -0.209261, -0.208238, -0.206953, -0.203674, -0.201682, -0.197263, -0.191999, -0.18589, -0.178937, -0.173747, -0.136666, -0.120358, -0.115662, -0.10854, -0.102368, -0.0852464, -0.0783816, -0.069931, -0.0608254, -0.0504295, -0.0419835, -0.0359926, -0.0306799, -0.0255423, -0.0217819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.382628, 0.454325, 0.45939, 0.464399, 0.471962, 0.478376, 0.480202, 0.48229, 0.486465, 0.492167, 0.497521, 0.504055, 0.510619, 0.518575, 0.527739, 0.539367, 0.550124, 0.550198, 0.550346, 0.550643, 0.551236, 0.552422, 0.558843, 0.565473, 0.572213, 0.583164, 0.594518, 0.617104, 0.619881, 0.636543, 0.652494, 0.656215, 0.661137, 0.666763, 0.676144, 0.688275, 0.690995, 0.696436, 0.707319, 0.726611, 0.733246, 0.739845, 0.748644, 0.766243, 0.801439, 0.853973, 0.91728");
-            values ( \
-              "-0.000260726, -0.191623, -0.199673, -0.207173, -0.217079, -0.224272, -0.226075, -0.228062, -0.23177, -0.236351, -0.24024, -0.244758, -0.249204, -0.254457, -0.260107, -0.266209, -0.26991, -0.269636, -0.269408, -0.269427, -0.269585, -0.270043, -0.271923, -0.273043, -0.27294, -0.269445, -0.260411, -0.216992, -0.209398, -0.164804, -0.126589, -0.118488, -0.108562, -0.0979991, -0.0821672, -0.0649934, -0.0615853, -0.0553258, -0.0444118, -0.0298016, -0.0259337, -0.0225979, -0.0188129, -0.0128971, -0.0058977, -0.00163094, -0.000340398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.407045, 0.426584, 0.440698, 0.458122, 0.470689, 0.489425, 0.540004, 0.568273, 0.581625, 0.594862, 0.607487, 0.626826, 0.645889, 0.684211, 0.701918, 0.720196, 0.725758, 0.736881, 0.759128, 0.800219, 0.832881, 0.844168, 0.861409, 0.881113, 0.907781, 0.931019, 0.954965, 0.989195, 1.00404, 1.03372, 1.09308, 1.15638, 1.21969, 1.283");
-            values ( \
-              "-0.12102, -0.13496, -0.173823, -0.208437, -0.228138, -0.249446, -0.292173, -0.310602, -0.317277, -0.321844, -0.324639, -0.326513, -0.325716, -0.316671, -0.308261, -0.295629, -0.290694, -0.278824, -0.248023, -0.183999, -0.13942, -0.126062, -0.107619, -0.0893278, -0.0688627, -0.054648, -0.0428594, -0.0300557, -0.0257654, -0.0188549, -0.00987985, -0.00491045, -0.00243625, -0.00120436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.42347, 0.473136, 0.491583, 0.541091, 0.583832, 0.607835, 0.63113, 0.670495, 0.681902, 0.704716, 0.750344, 0.784288, 0.84363, 0.871029, 0.90753, 0.970838, 0.990539, 1.02994, 1.13123, 1.18789, 1.22833, 1.25911, 1.30015, 1.36069, 1.41795, 1.48125, 1.53781, 1.59763, 1.66094, 1.72424, 1.78755, 1.85086, 1.97747, 2.16739");
-            values ( \
-              "-0.233418, -0.237934, -0.259639, -0.30564, -0.335929, -0.346027, -0.352113, -0.356774, -0.35715, -0.356988, -0.354303, -0.350671, -0.342007, -0.336849, -0.328605, -0.307937, -0.298808, -0.274821, -0.196112, -0.155909, -0.130974, -0.114225, -0.0946817, -0.0710495, -0.053832, -0.0393183, -0.0295539, -0.0218251, -0.0157829, -0.0113616, -0.00819673, -0.00587871, -0.00303104, -0.00113489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.774013, 0.79441, 0.814716, 0.827181, 0.837758, 0.846005, 0.853889, 0.861637, 0.869379, 0.871561, 0.890392, 0.897885, 0.906928, 0.914891, 0.917209");
-            values ( \
-              "-0.00293052, -0.023579, -0.0551411, -0.0688357, -0.0771565, -0.0817908, -0.0845976, -0.0847501, -0.0780269, -0.0734361, -0.0232742, -0.0123482, -0.00548617, -0.00257119, -0.00228357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.784915, 0.797067, 0.803083, 0.814768, 0.821513, 0.834632, 0.844667, 0.854825, 0.866645, 0.875095, 0.879082, 0.883989, 0.889802, 0.892518, 0.895234, 0.899549, 0.90746, 0.919091, 0.927253, 0.934324, 0.938512, 0.944069");
-            values ( \
-              "-0.0355182, -0.0363832, -0.0471133, -0.070803, -0.0821678, -0.0981835, -0.108494, -0.116464, -0.123317, -0.127052, -0.127938, -0.128236, -0.127427, -0.125648, -0.122803, -0.11548, -0.0960759, -0.0608598, -0.0406135, -0.0273685, -0.0215898, -0.0155298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.782542, 0.798557, 0.80381, 0.812674, 0.819961, 0.823872, 0.828317, 0.834245, 0.840172, 0.845931, 0.852178, 0.856091, 0.859444, 0.868449, 0.878818, 0.883472, 0.889174, 0.89334, 0.897506, 0.90166, 0.905814, 0.909919, 0.914024, 0.917907, 0.921789, 0.925639, 0.929489, 0.933519, 0.937549, 0.939531, 0.941514, 0.943497, 0.94548, 0.947681, 0.949881, 0.954282, 0.957517, 0.963987, 0.967222, 0.976836, 0.980084, 0.985497, 0.989828, 0.993874, 0.999366, 1.00489, 1.00765, 1.01318, 1.01594, 1.02136");
-            values ( \
-              "-0.0247186, -0.0468124, -0.0571541, -0.076442, -0.0915137, -0.099113, -0.10682, -0.115458, -0.123319, -0.129633, -0.137031, -0.141089, -0.144208, -0.151932, -0.160086, -0.163529, -0.167503, -0.170127, -0.172534, -0.174719, -0.176689, -0.178411, -0.179914, -0.181097, -0.18206, -0.181866, -0.181283, -0.180256, -0.178803, -0.177465, -0.175839, -0.173926, -0.171726, -0.168267, -0.164463, -0.155826, -0.147916, -0.131234, -0.122463, -0.0949484, -0.0862995, -0.0734876, -0.0642293, -0.0563687, -0.0462571, -0.0382539, -0.0347867, -0.0285862, -0.0258529, -0.021134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.76409, 0.867418, 0.897747, 0.91517, 0.945788, 0.971162, 0.978145, 0.991651, 1.0155, 1.0255, 1.03565, 1.0721, 1.08805, 1.11121, 1.12208, 1.13437, 1.15174, 1.16943, 1.18292, 1.2099, 1.22773, 1.25278, 1.30435");
-            values ( \
-              "-0.00238066, -0.168628, -0.197101, -0.210353, -0.231318, -0.246305, -0.249222, -0.252462, -0.245912, -0.235235, -0.217024, -0.131109, -0.0997152, -0.0647986, -0.0524561, -0.0410651, -0.0287885, -0.0199873, -0.0150678, -0.00841818, -0.00570119, -0.00338257, -0.00113411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.764274, 0.867415, 0.8845, 0.904725, 0.956669, 0.98707, 1.00931, 1.02904, 1.06922, 1.10939, 1.15111, 1.16143, 1.1752, 1.2393, 1.27825, 1.30837, 1.33474, 1.35765, 1.38172, 1.41608, 1.43102, 1.46091, 1.52069, 1.584, 1.6473, 1.71061");
-            values ( \
-              "-0.00208029, -0.178122, -0.197361, -0.217125, -0.262472, -0.286329, -0.299457, -0.307609, -0.314845, -0.309439, -0.286771, -0.276683, -0.259734, -0.164871, -0.117499, -0.0887057, -0.0686494, -0.0546953, -0.0428558, -0.0300409, -0.0257231, -0.0187967, -0.00980369, -0.00488286, -0.00241991, -0.00120392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.807433, 0.852597, 0.895327, 0.956988, 1.00425, 1.02888, 1.06831, 1.10561, 1.15662, 1.18031, 1.25581, 1.3094, 1.3337, 1.37322, 1.4167, 1.48001, 1.57278, 1.63609, 1.67467, 1.73431, 1.79014, 1.839, 1.88803, 1.95134, 2.00447, 2.09975, 2.16306, 2.22637, 2.35298, 2.60621");
-            values ( \
-              "-0.150895, -0.163673, -0.21554, -0.274927, -0.314165, -0.3283, -0.342756, -0.349018, -0.350591, -0.34927, -0.342126, -0.333348, -0.327924, -0.316589, -0.298566, -0.257247, -0.184759, -0.142042, -0.119883, -0.0912945, -0.0700853, -0.0553298, -0.0434062, -0.0316132, -0.0241517, -0.0148207, -0.0107074, -0.00768456, -0.00396653, -0.00104687" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_rise;
-        when : "(!A * B * CI)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.078052, 0.0935736, 0.122431, 0.17999, 0.299427, 0.548928", \
-            "0.0826572, 0.0981724, 0.127067, 0.184661, 0.304111, 0.55361", \
-            "0.0926036, 0.108158, 0.137134, 0.194861, 0.31443, 0.563933", \
-            "0.108268, 0.124164, 0.153664, 0.211615, 0.331263, 0.580855", \
-            "0.122978, 0.140235, 0.170406, 0.228748, 0.348852, 0.598526", \
-            "0.127335, 0.146834, 0.179159, 0.238354, 0.358501, 0.608841" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0356492, 0.0534152, 0.0917338, 0.17457, 0.350446, 0.719017", \
-            "0.0356917, 0.0534565, 0.0917404, 0.174562, 0.350453, 0.719017", \
-            "0.0360778, 0.0536715, 0.0918407, 0.174592, 0.350478, 0.719018", \
-            "0.0385933, 0.0556126, 0.0929829, 0.174964, 0.350493, 0.71902", \
-            "0.0441226, 0.0598119, 0.095601, 0.176535, 0.351198, 0.719101", \
-            "0.0543273, 0.0693378, 0.102352, 0.179465, 0.352208, 0.719962" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0564027, 0.0590935, 0.0644292, 0.0696919, 0.0787786, 0.0842207, 0.0895402, 0.0949586, 0.0981101, 0.109287, 0.118862, 0.127102, 0.133447, 0.14234, 0.154484, 0.163969, 0.179727");
-            values ( \
-              "0.0380725, 0.0595045, 0.0811682, 0.0979135, 0.117991, 0.123045, 0.123704, 0.11812, 0.110422, 0.0681596, 0.0414963, 0.026524, 0.0185193, 0.0110577, 0.00546813, 0.00311904, 0.00127414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.058162, 0.0619321, 0.0693992, 0.0765781, 0.0810966, 0.0893312, 0.0971939, 0.105083, 0.113311, 0.144293, 0.157786, 0.171796, 0.182264, 0.191382, 0.203386, 0.219393, 0.233437");
-            values ( \
-              "0.0573682, 0.0881275, 0.122662, 0.148506, 0.160359, 0.172588, 0.175675, 0.171725, 0.159853, 0.0703354, 0.0442019, 0.0263519, 0.0176453, 0.0123271, 0.00767231, 0.00399624, 0.00252651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0609416, 0.0688591, 0.0765572, 0.0800763, 0.0871146, 0.0942723, 0.105142, 0.111937, 0.120461, 0.133559, 0.139787, 0.152459, 0.189708, 0.211352, 0.227757, 0.239237, 0.250245, 0.263184, 0.280435, 0.290407, 0.305758, 0.326226, 0.367162, 0.41894");
-            values ( \
-              "0.124954, 0.137408, 0.17252, 0.18469, 0.203473, 0.214172, 0.220973, 0.220508, 0.216665, 0.20709, 0.200214, 0.181755, 0.107348, 0.0722755, 0.0521806, 0.041021, 0.0323701, 0.0243378, 0.0164358, 0.0130892, 0.00918152, 0.00565236, 0.00198817, 0.000480823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.063336, 0.0934553, 0.103627, 0.115227, 0.12229, 0.141244, 0.165763, 0.191645, 0.21961, 0.251651, 0.292123, 0.323783, 0.36097, 0.383709, 0.414105, 0.447835, 0.489553, 0.51467, 0.564903, 0.644493, 0.724083");
-            values ( \
-              "0.161561, 0.23693, 0.249022, 0.254226, 0.254351, 0.249582, 0.238437, 0.223707, 0.203055, 0.17029, 0.124963, 0.094163, 0.0643379, 0.0502525, 0.0355541, 0.0238625, 0.0143159, 0.0108096, 0.00541634, 0.00205238, 0.000449769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0660201, 0.0885114, 0.102811, 0.116928, 0.132045, 0.162444, 0.209398, 0.258655, 0.310953, 0.358496, 0.385684, 0.421935, 0.517631, 0.580677, 0.626712, 0.661501, 0.707887, 0.753844, 0.811562, 0.875405, 0.947087, 1.00381, 1.0834, 1.16299, 1.24258, 1.40176");
-            values ( \
-              "0.213549, 0.238753, 0.264384, 0.274011, 0.276232, 0.272085, 0.260461, 0.246918, 0.231285, 0.213941, 0.201601, 0.182631, 0.128605, 0.0965577, 0.0766436, 0.0637732, 0.0493392, 0.0379271, 0.0269786, 0.0182737, 0.0117574, 0.00823487, 0.00495059, 0.00296595, 0.001774, 0.000632665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0744295, 0.0744495, 0.453163, 0.560614, 0.615667, 0.676753, 0.756343, 0.808892, 0.975081, 1.0766, 1.15619, 1.21759, 1.2987, 1.36272, 1.46878, 1.6013, 1.7412, 1.82752, 1.9867, 2.14588, 2.30506, 2.46424, 2.7826");
-            values ( \
-              "1e-22, 0.300535, 0.251162, 0.235307, 0.225631, 0.213845, 0.194562, 0.180824, 0.133993, 0.107492, 0.0892812, 0.0762565, 0.0619732, 0.0517869, 0.0384135, 0.0260935, 0.0171416, 0.0131715, 0.00802909, 0.00484661, 0.00289151, 0.00169578, 0.000522309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0746678, 0.0775628, 0.0829508, 0.0882436, 0.0915045, 0.0973637, 0.102811, 0.108137, 0.113457, 0.116648, 0.127858, 0.136914, 0.14293, 0.152067, 0.159048, 0.165384, 0.177935, 0.188916, 0.196737");
-            values ( \
-              "0.0320174, 0.0586776, 0.0805397, 0.0973747, 0.105991, 0.117644, 0.122965, 0.123491, 0.118265, 0.110573, 0.0682627, 0.042755, 0.0309706, 0.0185132, 0.0123752, 0.00855283, 0.00412413, 0.00217272, 0.00155298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.0766928, 0.0803965, 0.0846153, 0.0903259, 0.095125, 0.0996588, 0.107908, 0.115775, 0.123649, 0.131552, 0.134065, 0.136938, 0.149655, 0.156306, 0.160533, 0.168986, 0.173325, 0.180156, 0.188019, 0.195097, 0.204534, 0.211054, 0.218428, 0.22826, 0.247924, 0.275829, 0.310086");
-            values ( \
-              "0.0610238, 0.0870722, 0.107644, 0.131684, 0.148206, 0.159886, 0.172558, 0.175419, 0.171719, 0.16073, 0.155059, 0.147357, 0.105996, 0.0869862, 0.0760127, 0.0572191, 0.0492627, 0.038561, 0.0287905, 0.0220246, 0.0152451, 0.011821, 0.00884875, 0.0059679, 0.00263615, 0.000755161, 0.000160389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0795873, 0.0872769, 0.0951312, 0.0986405, 0.105659, 0.112847, 0.123597, 0.125984, 0.130759, 0.139041, 0.152143, 0.164936, 0.17119, 0.179528, 0.20276, 0.216205, 0.23157, 0.240034, 0.253663, 0.269077, 0.286893, 0.302448, 0.322713, 0.349733, 0.393877, 0.446314");
-            values ( \
-              "0.129541, 0.136182, 0.172128, 0.184646, 0.203102, 0.214258, 0.220728, 0.220852, 0.220238, 0.216804, 0.206904, 0.191588, 0.181491, 0.16555, 0.117664, 0.0934698, 0.0699944, 0.0592921, 0.0447938, 0.0321806, 0.02168, 0.0152041, 0.00952291, 0.00496935, 0.00157867, 0.000372201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.081524, 0.0954929, 0.104167, 0.111979, 0.1223, 0.133983, 0.136106, 0.14035, 0.148839, 0.159771, 0.181634, 0.199582, 0.210177, 0.231365, 0.251847, 0.270108, 0.303378, 0.325824, 0.343016, 0.367452, 0.386219, 0.411242, 0.427673, 0.440818, 0.458345, 0.492269, 0.51564, 0.531414, 0.562964, 0.626063, 0.705653, 0.785243");
-            values ( \
-              "0.159721, 0.188105, 0.219223, 0.236735, 0.249264, 0.254109, 0.254328, 0.254217, 0.252891, 0.249414, 0.239725, 0.229898, 0.223569, 0.208586, 0.1899, 0.170196, 0.132716, 0.109604, 0.093324, 0.0731603, 0.0600621, 0.045545, 0.0378286, 0.0325056, 0.0264244, 0.0175474, 0.0131788, 0.0108836, 0.00731216, 0.0032106, 0.00105428, 0.000366077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0844824, 0.121312, 0.132875, 0.152427, 0.181187, 0.275508, 0.329695, 0.37734, 0.440065, 0.566351, 0.626092, 0.691764, 0.773239, 0.86711, 0.921452, 1.00383, 1.16301, 1.1803");
-            values ( \
-              "0.207958, 0.263941, 0.272794, 0.276052, 0.271939, 0.247454, 0.231284, 0.213898, 0.182968, 0.112717, 0.084566, 0.059882, 0.0377675, 0.0215811, 0.0154815, 0.00927093, 0.00334518, 0.00315452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.0927025, 0.0927225, 0.240704, 0.419459, 0.551352, 0.634266, 0.695352, 0.774942, 1.02752, 1.17479, 1.3173, 1.38132, 1.48738, 1.6199, 1.68021, 1.78857, 1.92571, 2.08489, 2.24407, 2.41836");
-            values ( \
-              "1e-22, 0.299096, 0.281401, 0.258121, 0.239471, 0.225792, 0.213683, 0.194724, 0.125015, 0.0891175, 0.0618074, 0.0519529, 0.03858, 0.0262603, 0.0219634, 0.015869, 0.010458, 0.00640806, 0.00391217, 0.00241718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.113873, 0.116753, 0.122838, 0.128861, 0.131996, 0.137754, 0.139624, 0.141554, 0.143548, 0.147538, 0.151602, 0.154373, 0.159884, 0.16728, 0.169745, 0.173031, 0.178684, 0.185789, 0.191255, 0.197365, 0.20139, 0.209297, 0.213795, 0.222791, 0.239832, 0.245244");
-            values ( \
-              "0.043047, 0.0480867, 0.0732189, 0.0934373, 0.10225, 0.114765, 0.11743, 0.119728, 0.12127, 0.122556, 0.120762, 0.117209, 0.102563, 0.0729917, 0.0647783, 0.054815, 0.0407375, 0.0277349, 0.02038, 0.0143736, 0.0113979, 0.00719721, 0.00553273, 0.00327311, 0.00114385, 0.000931782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.116164, 0.119967, 0.124651, 0.130704, 0.135513, 0.140306, 0.148096, 0.149762, 0.151982, 0.156565, 0.164598, 0.167605, 0.170106, 0.172751, 0.176983, 0.182018, 0.191699, 0.199075, 0.204451, 0.211318, 0.216638, 0.221974, 0.229118, 0.231268, 0.235569, 0.24417, 0.251538, 0.261584, 0.274979, 0.300272, 0.329828");
-            values ( \
-              "0.0681189, 0.0761013, 0.0996128, 0.126236, 0.143753, 0.157049, 0.170333, 0.171885, 0.173182, 0.174458, 0.170925, 0.167753, 0.164253, 0.159503, 0.149417, 0.133923, 0.102156, 0.0818675, 0.0687488, 0.0544581, 0.0451833, 0.037258, 0.0285538, 0.026349, 0.0223707, 0.016028, 0.0120075, 0.0080824, 0.00470604, 0.0015655, 0.000420646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.119907, 0.130574, 0.135799, 0.139319, 0.146359, 0.153684, 0.164146, 0.166877, 0.172338, 0.179957, 0.193084, 0.199076, 0.205467, 0.212925, 0.22287, 0.244147, 0.254472, 0.263792, 0.275773, 0.284813, 0.294055, 0.306367, 0.310027, 0.317348, 0.33199, 0.34457, 0.361481, 0.384028, 0.427164, 0.477438");
-            values ( \
-              "0.124021, 0.144445, 0.168105, 0.181232, 0.200821, 0.212927, 0.219982, 0.220265, 0.219642, 0.216539, 0.206771, 0.200389, 0.192118, 0.180046, 0.160587, 0.116824, 0.0980248, 0.0827556, 0.0657652, 0.0548872, 0.0453351, 0.0348369, 0.0321884, 0.0274061, 0.0197237, 0.0148016, 0.0100243, 0.00586965, 0.00192567, 0.000495822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.1221, 0.135717, 0.146073, 0.152878, 0.163172, 0.174429, 0.177046, 0.182279, 0.191441, 0.200747, 0.219359, 0.251154, 0.277433, 0.2994, 0.356233, 0.383501, 0.408178, 0.428077, 0.443377, 0.473618, 0.507305, 0.536483, 0.574221, 0.62454, 0.70413, 0.78372");
-            values ( \
-              "0.161058, 0.182226, 0.220277, 0.235505, 0.248567, 0.253689, 0.254025, 0.253918, 0.252352, 0.249328, 0.241281, 0.223514, 0.204476, 0.183088, 0.120313, 0.0937816, 0.0733475, 0.0594821, 0.050356, 0.0357452, 0.0240653, 0.0168753, 0.0106139, 0.00559598, 0.0018608, 0.000637337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.127774, 0.153025, 0.161832, 0.173401, 0.18335, 0.196615, 0.217113, 0.232423, 0.306773, 0.37075, 0.416629, 0.448798, 0.491591, 0.57868, 0.645544, 0.688265, 0.718046, 0.753742, 0.815062, 0.843683, 0.900925, 0.94523, 0.994095, 1.05925, 1.13884, 1.21843, 1.29802, 1.4572");
-            values ( \
-              "0.246358, 0.248702, 0.2628, 0.272239, 0.275275, 0.275894, 0.273044, 0.269597, 0.250186, 0.231264, 0.21465, 0.199997, 0.177119, 0.127937, 0.0942507, 0.0759643, 0.0649097, 0.0534125, 0.037649, 0.0318022, 0.0225672, 0.0171868, 0.0127157, 0.00847372, 0.00506311, 0.00306601, 0.00180146, 0.000629143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.132471, 0.132491, 0.28165, 0.512886, 0.592476, 0.67539, 0.736476, 0.816066, 1.06864, 1.21591, 1.35843, 1.42245, 1.5285, 1.58143, 1.72133, 1.8297, 1.96683, 2.12601, 2.28519, 2.46707");
-            values ( \
-              "1e-22, 0.293799, 0.281443, 0.250982, 0.23949, 0.22581, 0.213664, 0.194742, 0.124999, 0.0891017, 0.0617939, 0.0519663, 0.0385929, 0.0331209, 0.021951, 0.015857, 0.0104461, 0.00639638, 0.00390062, 0.00235809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.188234, 0.196043, 0.198869, 0.205097, 0.213973, 0.220899, 0.226992, 0.232753, 0.238517, 0.244253, 0.244683, 0.252595, 0.258743, 0.263718, 0.265207, 0.269355, 0.27378, 0.277092, 0.279481, 0.282667, 0.289038, 0.292926, 0.29431, 0.297076, 0.30261, 0.313678, 0.332191, 0.354448");
-            values ( \
-              "0.0178008, 0.0367703, 0.0441868, 0.0610508, 0.0865083, 0.103058, 0.112033, 0.115477, 0.11275, 0.100242, 0.098252, 0.0682397, 0.0505362, 0.039505, 0.036435, 0.0292924, 0.0229962, 0.0191563, 0.0167617, 0.013996, 0.0097118, 0.00777421, 0.00719121, 0.00613546, 0.00447619, 0.00234541, 0.000770752, 0.000204296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.191205, 0.200853, 0.219126, 0.223113, 0.231088, 0.234308, 0.240499, 0.244293, 0.248994, 0.249606, 0.257215, 0.261554, 0.281503, 0.292504, 0.303613, 0.316074, 0.327801, 0.336356, 0.346732, 0.360566, 0.379026");
-            values ( \
-              "0.0341293, 0.0612949, 0.129857, 0.141787, 0.158813, 0.162941, 0.167148, 0.167467, 0.165877, 0.164322, 0.155719, 0.146703, 0.087579, 0.0613934, 0.0417704, 0.0263767, 0.0168457, 0.0120523, 0.00801279, 0.00457796, 0.00234943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.198072, 0.211774, 0.221885, 0.229079, 0.237355, 0.248923, 0.251049, 0.2553, 0.259885, 0.264448, 0.271166, 0.278144, 0.290585, 0.292707, 0.305439, 0.328451, 0.330419, 0.334355, 0.350456, 0.363605, 0.377854, 0.394827, 0.419082, 0.430101, 0.434209, 0.45886, 0.487587, 0.532231, 0.587682");
-            values ( \
-              "0.0901788, 0.118857, 0.162925, 0.185818, 0.202892, 0.213771, 0.211809, 0.21487, 0.212874, 0.213687, 0.208951, 0.205409, 0.190279, 0.188311, 0.165387, 0.117257, 0.114443, 0.106329, 0.0795679, 0.0616295, 0.0459842, 0.0318822, 0.0184091, 0.0148534, 0.0129577, 0.00717703, 0.00400158, 0.000871754, 0.000527508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.201178, 0.214452, 0.221951, 0.231211, 0.236671, 0.248878, 0.251451, 0.261605, 0.267555, 0.276568, 0.285576, 0.31017, 0.336075, 0.362387, 0.38424, 0.436571, 0.468458, 0.4931, 0.513017, 0.528326, 0.55855, 0.592231, 0.621411, 0.659157, 0.709484, 0.789075, 0.868665");
-            values ( \
-              "0.12745, 0.142251, 0.178143, 0.211104, 0.224639, 0.242678, 0.243179, 0.248805, 0.250251, 0.250027, 0.248099, 0.237977, 0.223475, 0.204387, 0.183144, 0.12512, 0.0937776, 0.0733333, 0.0594942, 0.050329, 0.0357284, 0.0240504, 0.0168933, 0.0106303, 0.00557986, 0.00187519, 0.000622336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.208838, 0.236954, 0.248856, 0.250129, 0.26138, 0.268057, 0.276992, 0.290945, 0.307227, 0.330147, 0.403463, 0.455762, 0.49872, 0.539596, 0.57663, 0.674039, 0.729628, 0.77295, 0.803625, 0.839093, 0.885898, 0.900054, 0.928365, 0.984987, 1.02992, 1.07938, 1.14531, 1.2249, 1.30449, 1.38408, 1.54326");
-            values ( \
-              "0.20672, 0.237806, 0.258728, 0.258664, 0.268388, 0.27161, 0.273837, 0.273903, 0.271595, 0.266394, 0.246911, 0.231275, 0.215812, 0.197077, 0.177095, 0.122354, 0.0946456, 0.0761026, 0.0647235, 0.0532831, 0.0408403, 0.0376269, 0.0318819, 0.0226749, 0.0172401, 0.012715, 0.0083942, 0.00504971, 0.00302316, 0.00181057, 0.000646495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.213746, 0.253108, 0.262104, 0.270951, 0.279331, 0.293688, 0.307827, 0.334161, 0.545632, 0.677506, 0.76042, 0.821507, 0.901097, 1.15368, 1.22136, 1.30095, 1.37394, 1.44346, 1.50748, 1.58707, 1.61354, 1.66647, 1.74606, 1.80637, 1.91473, 2.05186, 2.21104, 2.37022, 2.60899, 2.92735");
-            values ( \
-              "0.268271, 0.270043, 0.278571, 0.283749, 0.286483, 0.288108, 0.287845, 0.285395, 0.258153, 0.239504, 0.225823, 0.21365, 0.194754, 0.124989, 0.107679, 0.0890927, 0.0741574, 0.0617898, 0.0519704, 0.0415635, 0.0385958, 0.0331181, 0.026275, 0.0219488, 0.0158558, 0.0104456, 0.00639626, 0.00390087, 0.00187479, 0.00070072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.336742, 0.345446, 0.35191, 0.357487, 0.367632, 0.384117, 0.391477, 0.398115, 0.404553, 0.410973, 0.4189, 0.423002, 0.426669, 0.431432, 0.43515, 0.440106, 0.445145, 0.451603, 0.458506, 0.466394, 0.472631, 0.485104, 0.504589");
-            values ( \
-              "0.0124653, 0.0176778, 0.0256205, 0.0336848, 0.0508197, 0.0832746, 0.0951601, 0.101622, 0.10256, 0.0936028, 0.0681851, 0.0566433, 0.0475586, 0.037589, 0.0311217, 0.0239541, 0.0182572, 0.0127877, 0.00867259, 0.00559685, 0.00395454, 0.00195538, 0.000637477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.34275, 0.353811, 0.361181, 0.364873, 0.372197, 0.386434, 0.397122, 0.406481, 0.415366, 0.424251, 0.427782, 0.434008, 0.448391, 0.45259, 0.460989, 0.470516, 0.477184, 0.486075, 0.491061, 0.501033, 0.505252, 0.515158, 0.526479, 0.548191");
-            values ( \
-              "0.030596, 0.0364203, 0.0493309, 0.0574206, 0.0761512, 0.116098, 0.140335, 0.152449, 0.155912, 0.150505, 0.14474, 0.129579, 0.0883988, 0.0778436, 0.0593749, 0.042955, 0.0338069, 0.0243672, 0.0201831, 0.0137343, 0.011665, 0.00794105, 0.00506259, 0.0021526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.348646, 0.36349, 0.395258, 0.402717, 0.417589, 0.431595, 0.445597, 0.46043, 0.5152, 0.538142, 0.556754, 0.571219, 0.598817, 0.626626, 0.658325");
-            values ( \
-              "0.0527278, 0.0621397, 0.164708, 0.182391, 0.202526, 0.206385, 0.200704, 0.185494, 0.085057, 0.0545717, 0.0365426, 0.0267602, 0.0144575, 0.00757881, 0.00352091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.357744, 0.38062, 0.401473, 0.415682, 0.428086, 0.440818, 0.452661, 0.471707, 0.477567, 0.50367, 0.530139, 0.549961, 0.60471, 0.627239, 0.655518, 0.680448, 0.697635, 0.726606, 0.760082, 0.789349, 0.827419, 0.878179, 0.957769, 1.03736");
-            values ( \
-              "0.0892424, 0.128805, 0.201474, 0.229392, 0.24088, 0.244853, 0.244043, 0.238337, 0.235728, 0.222041, 0.203578, 0.184894, 0.124941, 0.102291, 0.077663, 0.0598763, 0.0496231, 0.0357283, 0.0241113, 0.0169132, 0.010599, 0.00553551, 0.00185562, 0.000620354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.366088, 0.396601, 0.41268, 0.427995, 0.44425, 0.459783, 0.474718, 0.522025, 0.589831, 0.650664, 0.680467, 0.727724, 0.839146, 0.916647, 0.988003, 1.03765, 1.07946, 1.14187, 1.19636, 1.26189, 1.34148, 1.50066, 1.51436");
-            values ( \
-              "0.140092, 0.197406, 0.239264, 0.259827, 0.268571, 0.270208, 0.268944, 0.258863, 0.241381, 0.222028, 0.210008, 0.186597, 0.123983, 0.0861733, 0.0592556, 0.0448715, 0.035239, 0.024317, 0.0174433, 0.0116396, 0.00701151, 0.00251727, 0.0024039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.378386, 0.42049, 0.428907, 0.445515, 0.460908, 0.475422, 0.498646, 0.539313, 0.557427, 0.596238, 0.740432, 0.873632, 0.953222, 1.04997, 1.12181, 1.32392, 1.39551, 1.4751, 1.54244, 1.60503, 1.67148, 1.78178, 1.84319, 1.92278, 2.00237, 2.11932, 2.18575, 2.26534, 2.42452, 2.5837, 2.82247, 3.06124");
-            values ( \
-              "0.253967, 0.260381, 0.270301, 0.281064, 0.284545, 0.285142, 0.283863, 0.279412, 0.276781, 0.273332, 0.254546, 0.235132, 0.221202, 0.199651, 0.18068, 0.124482, 0.106226, 0.0878306, 0.0741296, 0.0629214, 0.0525752, 0.0385918, 0.0323263, 0.0256201, 0.0202064, 0.0142285, 0.0116151, 0.00911504, 0.00558178, 0.00340835, 0.00161108, 0.000771658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.650755, 0.670483, 0.680319, 0.685253, 0.717394, 0.726909, 0.735347, 0.743205, 0.751073, 0.765714, 0.771568, 0.777577, 0.786696, 0.796153, 0.803177, 0.811013, 0.817114, 0.829317, 0.842933");
-            values ( \
-              "0.0111625, 0.0143148, 0.0207211, 0.0253298, 0.0637055, 0.0740379, 0.0814322, 0.0852783, 0.0818454, 0.0485592, 0.0373666, 0.0282895, 0.0179606, 0.0110035, 0.00757516, 0.00503593, 0.00367883, 0.00195143, 0.00111573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.656078, 0.676065, 0.683814, 0.68908, 0.695426, 0.732839, 0.744386, 0.754817, 0.764939, 0.775033, 0.792495, 0.806241, 0.818541, 0.825385, 0.831013, 0.837709, 0.847163, 0.856998, 0.864528, 0.879589, 0.894064");
-            values ( \
-              "0.0222492, 0.0246528, 0.0314356, 0.0380738, 0.0478409, 0.11084, 0.126658, 0.135351, 0.136027, 0.123397, 0.0804794, 0.0529112, 0.0349809, 0.0274159, 0.0223493, 0.0174327, 0.0121812, 0.00838857, 0.00628441, 0.00347864, 0.00222331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.665654, 0.693613, 0.70365, 0.738836, 0.756485, 0.772099, 0.787186, 0.802266, 0.807737, 0.819699, 0.832437, 0.84834, 0.857881, 0.871176, 0.882071, 0.890481, 0.90266, 0.912777, 0.923709, 0.939203, 0.945831, 0.959086, 0.985595, 1.02864, 1.07956");
-            values ( \
-              "0.0369011, 0.0522704, 0.071572, 0.147787, 0.176985, 0.189398, 0.190248, 0.180364, 0.173492, 0.15347, 0.128897, 0.100167, 0.0847316, 0.0660365, 0.0532764, 0.0448453, 0.0346527, 0.0278438, 0.0218688, 0.0153982, 0.0132524, 0.00976502, 0.0051949, 0.00172003, 0.00044061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.674988, 0.706255, 0.737174, 0.753096, 0.768109, 0.792751, 0.797772, 0.804465, 0.817853, 0.832839, 0.846437, 0.8732, 0.894602, 0.947586, 0.97788, 1.00379, 1.02532, 1.04206, 1.0694, 1.10189, 1.13113, 1.16062, 1.18304, 1.2364, 1.25925, 1.29358");
-            values ( \
-              "0.057083, 0.0836439, 0.16189, 0.197077, 0.21916, 0.234505, 0.234949, 0.234673, 0.232238, 0.226798, 0.22033, 0.202628, 0.182624, 0.124806, 0.0951686, 0.0736474, 0.0588009, 0.0489895, 0.0359736, 0.0245997, 0.0172846, 0.0120788, 0.00916106, 0.00447661, 0.00338685, 0.00240675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.691329, 0.730921, 0.750153, 0.767512, 0.790854, 0.815759, 0.818337, 0.828647, 0.842394, 0.864367, 0.905609, 0.966467, 1.01953, 1.04616, 1.0766, 1.1691, 1.23926, 1.28335, 1.31707, 1.35177, 1.41151, 1.43878, 1.4933, 1.54039, 1.6035, 1.68309, 1.76268, 1.84227, 1.92186, 2.08104");
-            values ( \
-              "0.10829, 0.154587, 0.204855, 0.236516, 0.258204, 0.264188, 0.264221, 0.263681, 0.26196, 0.257823, 0.247879, 0.23045, 0.211162, 0.198858, 0.183033, 0.131075, 0.0951989, 0.0764437, 0.063984, 0.0529224, 0.037636, 0.0320586, 0.0231309, 0.0173317, 0.0117366, 0.0070987, 0.00424404, 0.0025595, 0.00151194, 0.000532033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.70608, 0.751026, 0.76858, 0.790007, 0.816234, 0.833032, 0.85095, 0.880569, 1.00758, 1.15324, 1.24267, 1.29218, 1.33308, 1.39307, 1.46503, 1.66719, 1.73883, 1.81842, 1.88569, 1.9482, 2.01469, 2.09428, 2.12503, 2.18655, 2.26614, 2.34573, 2.46254, 2.52887, 2.60846, 2.76764, 2.92682, 3.16559, 3.40436");
-            values ( \
-              "0.188098, 0.213363, 0.247086, 0.270019, 0.280245, 0.281578, 0.281271, 0.279003, 0.263793, 0.244242, 0.230683, 0.222012, 0.213613, 0.199668, 0.180655, 0.124459, 0.10621, 0.0878038, 0.0741191, 0.0629261, 0.0525869, 0.0420802, 0.0385967, 0.0323101, 0.0256175, 0.0201945, 0.0142352, 0.0116153, 0.00912379, 0.00558892, 0.00341443, 0.00160746, 0.000776258" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & B & ~CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(!A * B * !CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0828747, 0.0951852, 0.117491, 0.160358, 0.247616, 0.429553", \
-            "0.0879607, 0.100299, 0.122652, 0.165565, 0.252802, 0.434755", \
-            "0.101362, 0.113728, 0.136178, 0.179172, 0.266475, 0.448387", \
-            "0.130066, 0.14287, 0.165712, 0.208782, 0.296188, 0.478362", \
-            "0.175196, 0.188913, 0.212858, 0.257262, 0.345533, 0.527417", \
-            "0.245686, 0.262595, 0.289191, 0.334805, 0.423173, 0.605982" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.025906, 0.0384137, 0.0638374, 0.117476, 0.232345, 0.475685", \
-            "0.0258997, 0.0384052, 0.0638207, 0.117466, 0.23235, 0.475688", \
-            "0.0259884, 0.0384503, 0.0638309, 0.117462, 0.232361, 0.475692", \
-            "0.0277847, 0.0398287, 0.0646965, 0.117848, 0.232455, 0.475704", \
-            "0.0326899, 0.04415, 0.0681164, 0.120675, 0.234073, 0.476068", \
-            "0.0420376, 0.053298, 0.0750231, 0.123927, 0.235633, 0.477711" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.066515, 0.0695488, 0.072284, 0.0789369, 0.0859208, 0.0902428, 0.0943504, 0.0983835, 0.102413, 0.105405, 0.116999, 0.121097, 0.126396, 0.132626, 0.138088, 0.140179");
-            values ( \
-              "-0.0248595, -0.0616532, -0.0840243, -0.122197, -0.146958, -0.156464, -0.162527, -0.162816, -0.154534, -0.139932, -0.051566, -0.032588, -0.0173898, -0.00819143, -0.00415468, -0.0035002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0658539, 0.0713443, 0.075106, 0.0798865, 0.0876416, 0.0943915, 0.100655, 0.106714, 0.112734, 0.118981, 0.120349, 0.122172, 0.138927, 0.145618, 0.152274, 0.158625, 0.162289, 0.169616, 0.177188");
-            values ( \
-              "-0.00696558, -0.0929388, -0.127567, -0.158409, -0.193279, -0.212682, -0.22408, -0.228713, -0.226524, -0.211505, -0.206226, -0.197123, -0.0816228, -0.0516331, -0.0319924, -0.0199431, -0.0151442, -0.00858092, -0.00531257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0609172, 0.0863181, 0.0922067, 0.0982636, 0.108184, 0.11904, 0.129026, 0.139012, 0.146273, 0.149773, 0.155246, 0.180712, 0.191086, 0.197902, 0.206501, 0.219339, 0.235373, 0.252477, 0.255113");
-            values ( \
-              "-0.00832518, -0.217115, -0.241143, -0.258704, -0.277396, -0.286382, -0.287, -0.279089, -0.266231, -0.257057, -0.236656, -0.111229, -0.075815, -0.0582589, -0.0413902, -0.0244319, -0.0125073, -0.00592034, -0.0054972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0694161, 0.0766323, 0.0855575, 0.092308, 0.0971864, 0.106169, 0.117048, 0.130008, 0.144539, 0.153552, 0.17165, 0.177778, 0.190706, 0.203912, 0.210839, 0.224693, 0.250229, 0.272048, 0.289786, 0.304158, 0.319839, 0.345827, 0.36155, 0.379519, 0.415457, 0.440164");
-            values ( \
-              "-0.165836, -0.165885, -0.231119, -0.262904, -0.279504, -0.30214, -0.318299, -0.328171, -0.331056, -0.330045, -0.32386, -0.320092, -0.309693, -0.293906, -0.282269, -0.248276, -0.1709, -0.116277, -0.0829696, -0.0623808, -0.0453303, -0.0262502, -0.0188295, -0.0127679, -0.00567088, -0.00373747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0699404, 0.0824943, 0.0969193, 0.106841, 0.116665, 0.129051, 0.15241, 0.169962, 0.18734, 0.222759, 0.259055, 0.296796, 0.313526, 0.332645, 0.345865, 0.363178, 0.415013, 0.448007, 0.467558, 0.507183, 0.522517, 0.54735, 0.570168, 0.614956, 0.640527, 0.691669, 0.753428, 0.815187, 0.938706");
-            values ( \
-              "-0.177894, -0.220463, -0.291516, -0.31972, -0.335436, -0.348941, -0.357909, -0.357975, -0.356913, -0.349786, -0.339165, -0.322982, -0.313145, -0.298, -0.283891, -0.26027, -0.178942, -0.134632, -0.112684, -0.0771799, -0.066365, -0.0517787, -0.0410294, -0.0257673, -0.0197036, -0.0113429, -0.00575096, -0.00289904, -0.000735252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0787137, 0.142304, 0.17268, 0.223664, 0.29456, 0.429916, 0.518602, 0.568026, 0.601523, 0.663282, 0.758001, 0.859287, 0.974788, 1.07187, 1.18926, 1.34618, 1.45076");
-            values ( \
-              "-0.325541, -0.367479, -0.374042, -0.372383, -0.365319, -0.347307, -0.329218, -0.313894, -0.299083, -0.258693, -0.184516, -0.119967, -0.0700099, -0.0435781, -0.0241436, -0.0107205, -0.00700417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0802842, 0.100802, 0.105016, 0.109337, 0.11345, 0.117484, 0.121514, 0.124502, 0.136096, 0.140201, 0.145498, 0.151724, 0.156852");
-            values ( \
-              "-0.00130005, -0.132878, -0.146748, -0.156541, -0.162392, -0.162897, -0.154431, -0.13994, -0.0515844, -0.0325773, -0.0173877, -0.00819438, -0.00440331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.085022, 0.0904366, 0.0941922, 0.0989642, 0.106711, 0.113457, 0.119718, 0.125776, 0.131795, 0.138042, 0.139411, 0.145112, 0.154321, 0.157988, 0.164679, 0.171336, 0.177686, 0.18135, 0.188677, 0.201935, 0.217435");
-            values ( \
-              "-0.00906411, -0.0921085, -0.128003, -0.158659, -0.193419, -0.212785, -0.224124, -0.228759, -0.22653, -0.211522, -0.206233, -0.170722, -0.103106, -0.0816323, -0.0516423, -0.0319996, -0.019951, -0.0151347, -0.00858965, -0.00285508, -0.00078697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0855941, 0.0926201, 0.098235, 0.105457, 0.111593, 0.117379, 0.12766, 0.133359, 0.138142, 0.148124, 0.158109, 0.165408, 0.168872, 0.174345, 0.191251, 0.199812, 0.210187, 0.217002, 0.225602, 0.238442, 0.25448, 0.271587, 0.287345");
-            values ( \
-              "-0.035118, -0.128002, -0.176718, -0.217942, -0.242341, -0.259127, -0.277966, -0.283659, -0.286457, -0.287055, -0.279096, -0.266142, -0.257068, -0.236638, -0.149113, -0.111233, -0.0758189, -0.0582635, -0.0413955, -0.0244373, -0.0125118, -0.00591059, -0.00339089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.0861238, 0.0993197, 0.104767, 0.116365, 0.125253, 0.136205, 0.149134, 0.163685, 0.172697, 0.190793, 0.196968, 0.20985, 0.22297, 0.229983, 0.24401, 0.269141, 0.291226, 0.309082, 0.323319, 0.33874, 0.364933, 0.399161, 0.435672, 0.455461");
-            values ( \
-              "-0.0768753, -0.197816, -0.23221, -0.280098, -0.302246, -0.318561, -0.328206, -0.331048, -0.330138, -0.323808, -0.32006, -0.309684, -0.29403, -0.282254, -0.247776, -0.171559, -0.116201, -0.0827285, -0.0623661, -0.0455653, -0.0262739, -0.0126319, -0.00552932, -0.00401971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0864666, 0.106758, 0.116024, 0.124192, 0.134346, 0.138589, 0.144248, 0.155564, 0.171497, 0.189097, 0.206425, 0.234531, 0.256474, 0.278141, 0.314669, 0.323173, 0.332893, 0.352332, 0.363823, 0.379143, 0.438467, 0.468643, 0.479347, 0.494656, 0.513891, 0.538728, 0.559093, 0.583675, 0.618379, 0.654876, 0.682896, 0.738934, 0.800693, 0.862453, 0.924212");
-            values ( \
-              "-0.105802, -0.253289, -0.292393, -0.315422, -0.33405, -0.33949, -0.345266, -0.352787, -0.357623, -0.358305, -0.356626, -0.351277, -0.34564, -0.33895, -0.323635, -0.318984, -0.312936, -0.297446, -0.285247, -0.26489, -0.172608, -0.132803, -0.120538, -0.104602, -0.0871015, -0.0683052, -0.0557655, -0.0434418, -0.030306, -0.0207176, -0.015405, -0.00836791, -0.00423088, -0.00213576, -0.00107582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.0949759, 0.120471, 0.136569, 0.148677, 0.161131, 0.192167, 0.242619, 0.313517, 0.448875, 0.537561, 0.586986, 0.620482, 0.682241, 0.778409, 0.877701, 0.934876, 0.992681, 1.0921, 1.20761, 1.30146, 1.36322, 1.52676");
-            values ( \
-              "-0.292456, -0.313959, -0.346887, -0.359062, -0.367799, -0.37436, -0.372618, -0.36554, -0.347494, -0.329375, -0.314043, -0.298928, -0.25885, -0.183329, -0.120156, -0.0925284, -0.0704559, -0.0432034, -0.0241318, -0.0149029, -0.0109081, -0.0048963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.129156, 0.13252, 0.1354, 0.137557, 0.141607, 0.149229, 0.153568, 0.157687, 0.161729, 0.165767, 0.168744, 0.17632, 0.181752, 0.185035, 0.189994, 0.193683, 0.198602, 0.207007, 0.210566");
-            values ( \
-              "-0.0202499, -0.0577573, -0.0811282, -0.096986, -0.118097, -0.14616, -0.155994, -0.16206, -0.162594, -0.154256, -0.139884, -0.0780638, -0.0441733, -0.0304456, -0.0169002, -0.0108253, -0.00588117, -0.00198692, -0.00170472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.129256, 0.134484, 0.138326, 0.14315, 0.150936, 0.1577, 0.163971, 0.170035, 0.17606, 0.182082, 0.183674, 0.185492, 0.202262, 0.208954, 0.215612, 0.221964, 0.225629, 0.232958, 0.241165");
-            values ( \
-              "-0.0150062, -0.0899076, -0.125659, -0.157277, -0.19265, -0.212506, -0.223723, -0.228659, -0.226275, -0.212094, -0.206165, -0.197097, -0.0816114, -0.051628, -0.03199, -0.0199427, -0.0151358, -0.00858267, -0.00503683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.129485, 0.136991, 0.142612, 0.149834, 0.156212, 0.161754, 0.171908, 0.178972, 0.182513, 0.189595, 0.192488, 0.197471, 0.202587, 0.21325, 0.216176, 0.220078, 0.235547, 0.246012, 0.25336, 0.259804, 0.26516, 0.271333, 0.280074, 0.289165, 0.296129, 0.310058, 0.335641, 0.365912");
-            values ( \
-              "-0.0250615, -0.127682, -0.1766, -0.217964, -0.243261, -0.259159, -0.277848, -0.284575, -0.286423, -0.287577, -0.286824, -0.284129, -0.278628, -0.257057, -0.247208, -0.230392, -0.149437, -0.104168, -0.0793861, -0.0619568, -0.0502067, -0.0391899, -0.0273823, -0.0188202, -0.0140601, -0.00771836, -0.00231368, -0.000518548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.135073, 0.161053, 0.171397, 0.18085, 0.193757, 0.208349, 0.21736, 0.238457, 0.254514, 0.267607, 0.274648, 0.288729, 0.313734, 0.334412, 0.356921, 0.380863, 0.397827, 0.418637, 0.460819, 0.481442");
-            values ( \
-              "-0.183671, -0.280627, -0.305255, -0.318742, -0.328169, -0.331014, -0.330204, -0.322035, -0.309672, -0.294056, -0.282239, -0.247612, -0.17176, -0.119407, -0.0777142, -0.0480199, -0.0337115, -0.0217466, -0.00865587, -0.00613833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.134959, 0.146536, 0.153037, 0.160844, 0.170916, 0.180532, 0.192891, 0.204966, 0.216259, 0.233805, 0.251182, 0.286546, 0.322898, 0.360645, 0.378257, 0.398385, 0.416492, 0.437184, 0.471897, 0.505525, 0.5273, 0.539201, 0.558333, 0.583377, 0.603973, 0.628501, 0.663165, 0.678595, 0.699506, 0.727389, 0.783153, 0.844912, 0.906672, 0.968431");
-            values ( \
-              "-0.208576, -0.223619, -0.262784, -0.292829, -0.320834, -0.335907, -0.349154, -0.354583, -0.357967, -0.358031, -0.356897, -0.349766, -0.339126, -0.322966, -0.312543, -0.296184, -0.275302, -0.244439, -0.189324, -0.142414, -0.117022, -0.104784, -0.0873353, -0.0683613, -0.0556825, -0.0433935, -0.0302912, -0.025805, -0.0207406, -0.0154422, -0.00841751, -0.00425381, -0.00214969, -0.00108061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.142434, 0.181077, 0.193751, 0.205901, 0.217178, 0.236979, 0.287115, 0.358012, 0.430287, 0.493378, 0.582057, 0.631527, 0.66498, 0.72674, 0.822917, 0.884677, 0.922199, 0.979359, 1.03718, 1.08813, 1.13661, 1.19837, 1.25212, 1.34596, 1.40772, 1.46948, 1.593, 1.84004");
-            values ( \
-              "-0.329419, -0.346617, -0.360097, -0.367604, -0.371143, -0.374077, -0.372328, -0.36527, -0.356519, -0.347275, -0.329214, -0.313882, -0.29906, -0.258718, -0.183391, -0.14184, -0.120196, -0.0925697, -0.0704234, -0.0550249, -0.0432285, -0.0317623, -0.0241453, -0.0149142, -0.0108968, -0.00784719, -0.00410624, -0.00110458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.220093, 0.224628, 0.226451, 0.233583, 0.240223, 0.24552, 0.252537, 0.257644, 0.261046, 0.263224, 0.267572, 0.27691, 0.281546, 0.287826, 0.291656, 0.296762, 0.297203");
-            values ( \
-              "-0.0238743, -0.0359814, -0.043511, -0.0846967, -0.114455, -0.133265, -0.149359, -0.155318, -0.153666, -0.147927, -0.127677, -0.0572514, -0.0345723, -0.0168122, -0.0106863, -0.00552591, -0.00533872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.220821, 0.227605, 0.231168, 0.238712, 0.243688, 0.247419, 0.24914, 0.256513, 0.261268, 0.263339, 0.267548, 0.273731, 0.279913, 0.2812, 0.285612, 0.295483, 0.30018, 0.306494, 0.31289, 0.317784, 0.322973, 0.326967, 0.334955, 0.336453");
-            values ( \
-              "-0.0358412, -0.0624751, -0.0833936, -0.134977, -0.161665, -0.177137, -0.183685, -0.204047, -0.213984, -0.217248, -0.221634, -0.221543, -0.208813, -0.204554, -0.180848, -0.109155, -0.081343, -0.0530567, -0.0336091, -0.023396, -0.0158996, -0.0117631, -0.00632637, -0.00586411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.213813, 0.257653, 0.265355, 0.269763, 0.27858, 0.283159, 0.289266, 0.295815, 0.300377, 0.311094, 0.314279, 0.320649, 0.337864, 0.343586, 0.35152, 0.357807, 0.369126, 0.377923, 0.387211, 0.394348, 0.408622, 0.426642");
-            values ( \
-              "-0.00534748, -0.243567, -0.263073, -0.271013, -0.281066, -0.283545, -0.284333, -0.28151, -0.277018, -0.256179, -0.245404, -0.216318, -0.128658, -0.105286, -0.0785569, -0.0616846, -0.039357, -0.0274441, -0.0187139, -0.0138779, -0.00750248, -0.00380259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.219543, 0.24268, 0.248844, 0.25762, 0.263086, 0.269664, 0.278037, 0.291389, 0.296665, 0.305826, 0.314872, 0.333031, 0.33808, 0.343292, 0.352073, 0.369636, 0.377514, 0.385707, 0.415144, 0.433191, 0.440514, 0.450126, 0.462515, 0.472782, 0.484879, 0.501985, 0.519869, 0.533582, 0.56101, 0.61096, 0.670124");
-            values ( \
-              "-0.0141324, -0.19689, -0.231682, -0.266129, -0.283319, -0.299199, -0.313081, -0.325419, -0.32766, -0.329531, -0.329062, -0.323331, -0.320365, -0.316846, -0.309446, -0.286826, -0.270888, -0.249299, -0.160917, -0.116726, -0.1018, -0.0846391, -0.0662405, -0.0538632, -0.0420093, -0.0293248, -0.0201052, -0.0149957, -0.00818701, -0.00246128, -0.000541338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.230846, 0.268042, 0.27831, 0.291861, 0.303544, 0.314316, 0.331928, 0.378188, 0.421038, 0.457544, 0.476136, 0.499093, 0.517839, 0.571635, 0.625068, 0.681223, 0.727092, 0.761583, 0.797272, 0.8792, 0.909168");
-            values ( \
-              "-0.192718, -0.309967, -0.330733, -0.346463, -0.353394, -0.356494, -0.357837, -0.351059, -0.338889, -0.32365, -0.312679, -0.293637, -0.27089, -0.186944, -0.117459, -0.0685797, -0.0432084, -0.0302169, -0.0208322, -0.00862227, -0.00655256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.236561, 0.262252, 0.277659, 0.293519, 0.315075, 0.326016, 0.347068, 0.385113, 0.45588, 0.52837, 0.590129, 0.628195, 0.6802, 0.741959, 0.763103, 0.805391, 0.943705, 1.01093, 1.07967, 1.13544, 1.18562, 1.23428, 1.29604, 1.35027, 1.44445, 1.50621, 1.56797, 1.69148, 1.93852");
-            values ( \
-              "-0.300314, -0.30205, -0.339561, -0.358853, -0.370205, -0.372493, -0.373948, -0.372169, -0.365189, -0.356429, -0.3474, -0.340662, -0.329109, -0.308919, -0.299109, -0.273025, -0.167225, -0.125303, -0.0916092, -0.0702863, -0.0551164, -0.0433421, -0.0317706, -0.0241659, -0.0149158, -0.0108316, -0.00786564, -0.00413349, -0.00113833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.402787, 0.40542, 0.409379, 0.413525, 0.41709, 0.423642, 0.430201, 0.432578, 0.439433, 0.445246, 0.450447, 0.455303, 0.460096, 0.46488, 0.472124, 0.475763, 0.478181, 0.480217, 0.4825, 0.486916, 0.489389, 0.492217, 0.497871, 0.501157");
-            values ( \
-              "-0.0134268, -0.0164675, -0.0218898, -0.029733, -0.0386969, -0.0613, -0.0788509, -0.0863382, -0.105423, -0.120211, -0.131824, -0.137899, -0.136051, -0.118213, -0.0697434, -0.0492056, -0.0383522, -0.0308302, -0.0238984, -0.0144261, -0.0109016, -0.00781401, -0.00404317, -0.00308703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.401975, 0.41288, 0.417359, 0.426928, 0.449564, 0.457081, 0.463996, 0.470693, 0.477378, 0.480451, 0.483624, 0.498843, 0.505141, 0.512022, 0.518408, 0.522038, 0.529296, 0.537184");
-            values ( \
-              "-0.0123179, -0.0379437, -0.0511644, -0.0893703, -0.172273, -0.191966, -0.203469, -0.206394, -0.197454, -0.187499, -0.171435, -0.0767538, -0.0506061, -0.0312867, -0.0196889, -0.0150797, -0.00872758, -0.00533581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.408544, 0.41836, 0.446097, 0.454338, 0.463968, 0.471361, 0.477465, 0.48811, 0.498755, 0.510673, 0.515894, 0.541318, 0.550325, 0.564798, 0.581849, 0.59208, 0.605722, 0.608051");
-            values ( \
-              "-0.052715, -0.0639801, -0.18872, -0.220214, -0.246744, -0.25944, -0.266243, -0.27168, -0.267304, -0.246731, -0.228844, -0.110042, -0.079519, -0.045906, -0.0231199, -0.015217, -0.00855801, -0.00801409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.409267, 0.425206, 0.4454, 0.453278, 0.462751, 0.475374, 0.48594, 0.494847, 0.511239, 0.518532, 0.528257, 0.540733, 0.550231, 0.560566, 0.572219, 0.579709, 0.59428, 0.607272, 0.62212, 0.630267, 0.643081, 0.657726, 0.666102, 0.675931, 0.687431, 0.703468, 0.710016, 0.723112, 0.749304, 0.795779, 0.850307");
-            values ( \
-              "-0.050393, -0.0997916, -0.203181, -0.238352, -0.26997, -0.296294, -0.309326, -0.315584, -0.320188, -0.319684, -0.317335, -0.311473, -0.304608, -0.294563, -0.278921, -0.263505, -0.222822, -0.183478, -0.143006, -0.123576, -0.097391, -0.0731864, -0.06195, -0.0507843, -0.0400831, -0.028617, -0.0249418, -0.0188743, -0.0106452, -0.00354993, -0.000928647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.423603, 0.448541, 0.462168, 0.475039, 0.488395, 0.501088, 0.512446, 0.530595, 0.548281, 0.553901, 0.572614, 0.584224, 0.602223, 0.620679, 0.658472, 0.674033, 0.691818, 0.698884, 0.713016, 0.779162, 0.817991, 0.840025, 0.858321, 0.887394, 0.919125, 0.958322, 0.971792, 1.00369, 1.04014, 1.1019, 1.16366, 1.22542, 1.28718");
-            values ( \
-              "-0.185277, -0.228977, -0.282978, -0.313021, -0.332248, -0.341809, -0.3471, -0.349614, -0.349319, -0.348064, -0.347853, -0.34681, -0.343419, -0.338286, -0.322803, -0.313726, -0.300164, -0.29342, -0.276917, -0.175377, -0.124983, -0.101951, -0.0856319, -0.0643813, -0.0467907, -0.031149, -0.0270907, -0.0194069, -0.0131691, -0.00667869, -0.00337743, -0.00170238, -0.000860965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.423309, 0.447527, 0.460543, 0.487123, 0.512954, 0.53277, 0.584348, 0.624327, 0.655352, 0.764298, 0.802207, 0.863966, 0.910988, 0.962433, 1.02419, 1.12059, 1.18235, 1.21971, 1.27658, 1.33466, 1.38588, 1.43431, 1.49607, 1.54967, 1.64342, 1.70518, 1.76694, 1.89046, 2.1375");
-            values ( \
-              "-0.201116, -0.230285, -0.286233, -0.341376, -0.36048, -0.365452, -0.369406, -0.367959, -0.365018, -0.351253, -0.34522, -0.332913, -0.320027, -0.299076, -0.258706, -0.18329, -0.141713, -0.120227, -0.0927302, -0.0704177, -0.0549448, -0.043222, -0.0317167, -0.0241708, -0.0149475, -0.0108818, -0.0078763, -0.00413273, -0.00112847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.785542, 0.799892, 0.809085, 0.818263, 0.830154, 0.846867, 0.853699, 0.859953, 0.865962, 0.872665, 0.882546, 0.887465, 0.890676, 0.894879, 0.898112, 0.9004, 0.904978, 0.914132, 0.925533, 0.95914");
-            values ( \
-              "-0.00213216, -0.016144, -0.0272025, -0.0448642, -0.0652106, -0.0912957, -0.100963, -0.108145, -0.11064, -0.0976665, -0.0505724, -0.0322529, -0.0234769, -0.0151334, -0.010765, -0.00843999, -0.00516122, -0.00189606, -0.000658475, -0.000151365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.789196, 0.800937, 0.80455, 0.811776, 0.815364, 0.82254, 0.834275, 0.852742, 0.862308, 0.870804, 0.878683, 0.886405, 0.894107, 0.905482, 0.909634, 0.91438, 0.91889, 0.922111, 0.927472, 0.933037, 0.937368, 0.94603, 0.950841");
-            values ( \
-              "-0.0120064, -0.0243361, -0.0286802, -0.0409275, -0.0482281, -0.0658845, -0.0925708, -0.133322, -0.153415, -0.168882, -0.178375, -0.177136, -0.154266, -0.0918377, -0.072177, -0.0535099, -0.0397728, -0.0320036, -0.0219204, -0.0147787, -0.0108715, -0.0057009, -0.00445431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.790568, 0.807483, 0.811047, 0.818174, 0.838861, 0.858323, 0.873103, 0.885793, 0.897455, 0.908803, 0.92013, 0.923855, 0.927829, 0.943773, 0.952476, 0.956878, 0.965682, 0.970094, 0.975719, 0.98152, 0.989661, 0.992859, 0.999257, 1.01205, 1.02794");
-            values ( \
-              "-0.016441, -0.0413035, -0.047752, -0.0635853, -0.119508, -0.174146, -0.213248, -0.238193, -0.251922, -0.253511, -0.241021, -0.232061, -0.218589, -0.144867, -0.108897, -0.0933514, -0.0675087, -0.0571039, -0.0459308, -0.0365094, -0.0262863, -0.0230831, -0.0177696, -0.0103655, -0.00581108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.790656, 0.819854, 0.883143, 0.895379, 0.90431, 0.922171, 0.934995, 0.943153, 0.959469, 0.968784, 0.983096, 0.994444, 1.02621, 1.04461, 1.07405, 1.09635, 1.1135, 1.13137, 1.17246, 1.18089");
-            values ( \
-              "-0.0185607, -0.0745891, -0.263912, -0.286774, -0.297748, -0.310117, -0.312329, -0.311527, -0.304619, -0.296942, -0.278034, -0.253032, -0.160658, -0.116191, -0.0660257, -0.0420256, -0.0293498, -0.0201542, -0.00824364, -0.00723132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.815014, 0.848419, 0.872048, 0.882346, 0.897675, 0.91118, 0.922192, 0.941001, 0.958544, 0.985365, 0.994514, 1.01259, 1.03121, 1.07154, 1.08518, 1.10336, 1.12312, 1.14946, 1.17502, 1.2091, 1.23133, 1.26181, 1.28502, 1.30519, 1.33503, 1.37267, 1.38492, 1.41214, 1.44324, 1.505, 1.56676, 1.62852, 1.69028");
-            values ( \
-              "-0.118665, -0.169368, -0.250183, -0.279535, -0.310992, -0.327751, -0.337043, -0.345446, -0.348354, -0.346821, -0.345443, -0.341333, -0.336097, -0.319376, -0.311432, -0.297743, -0.276672, -0.238294, -0.197962, -0.149346, -0.122858, -0.0927551, -0.0741592, -0.0607821, -0.0450019, -0.0304667, -0.0268246, -0.0201985, -0.0145285, -0.00738621, -0.00373467, -0.00189195, -0.000952814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.823128, 0.867821, 0.882411, 0.911309, 0.941819, 0.963851, 0.990885, 1.04039, 1.11652, 1.24393, 1.29137, 1.34723, 1.37428, 1.41712, 1.55477, 1.62226, 1.69114, 1.74689, 1.8457, 1.90746, 1.96185, 2.05613, 2.11789, 2.30316, 2.32368");
-            values ( \
-              "-0.165935, -0.244497, -0.289499, -0.340564, -0.361609, -0.366987, -0.368445, -0.365315, -0.356758, -0.338258, -0.328743, -0.311127, -0.29891, -0.2725, -0.167273, -0.125219, -0.0915032, -0.0702373, -0.0433184, -0.0317734, -0.0241378, -0.0148917, -0.0108296, -0.00412437, -0.00387588" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        sdf_cond : "(~A & ~B & CI)";
-        timing_sense : positive_unate;
-        timing_type : combinational_fall;
-        when : "(!A * !B * CI)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0860752, 0.101382, 0.127888, 0.17531, 0.266427, 0.452783", \
-            "0.0912515, 0.106554, 0.133096, 0.180524, 0.271646, 0.457992", \
-            "0.104953, 0.12032, 0.146986, 0.194497, 0.285599, 0.47191", \
-            "0.134139, 0.150113, 0.177379, 0.22529, 0.316456, 0.502672", \
-            "0.181109, 0.199258, 0.229408, 0.279921, 0.372308, 0.558338", \
-            "0.256202, 0.278412, 0.314579, 0.369468, 0.464497, 0.651823" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0319727, 0.0466786, 0.0737493, 0.127049, 0.240828, 0.486725", \
-            "0.0319917, 0.0466883, 0.0737395, 0.127028, 0.24082, 0.486731", \
-            "0.0321594, 0.0467953, 0.0737947, 0.127055, 0.240828, 0.486732", \
-            "0.0344095, 0.0488709, 0.0752046, 0.127861, 0.241101, 0.486784", \
-            "0.0414195, 0.0566177, 0.082591, 0.133631, 0.243937, 0.487207", \
-            "0.0518978, 0.0698325, 0.0972454, 0.145056, 0.250562, 0.490236" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0652125, 0.0749152, 0.0813911, 0.0871205, 0.0924968, 0.10204, 0.106906, 0.109639, 0.113362, 0.125599, 0.130508, 0.138264, 0.146839, 0.148687");
-            values ( \
-              "-0.0374077, -0.0958376, -0.110489, -0.119444, -0.125065, -0.12997, -0.127426, -0.122038, -0.108442, -0.0419195, -0.0253916, -0.0108126, -0.00397907, -0.00348482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0657559, 0.0697994, 0.0797158, 0.08535, 0.0891335, 0.0936542, 0.0975725, 0.112996, 0.120335, 0.127675, 0.132479, 0.149655, 0.157555, 0.166607, 0.177306, 0.185977, 0.193091");
-            values ( \
-              "-0.0495878, -0.0859631, -0.136858, -0.145285, -0.158005, -0.161331, -0.170027, -0.185717, -0.18762, -0.181878, -0.16834, -0.0769493, -0.0467598, -0.0252419, -0.0118043, -0.00617753, -0.00493207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0652879, 0.0718836, 0.0784904, 0.0837363, 0.092123, 0.110724, 0.124649, 0.13371, 0.139411, 0.150933, 0.162456, 0.167066, 0.171171, 0.197501, 0.21168, 0.223222, 0.232272, 0.241861, 0.249235, 0.263984, 0.273836");
-            values ( \
-              "-0.0604073, -0.113274, -0.149215, -0.166345, -0.18683, -0.2193, -0.237723, -0.245541, -0.24846, -0.248474, -0.235573, -0.225091, -0.211381, -0.100057, -0.0599058, -0.0384154, -0.0267706, -0.0182016, -0.0134606, -0.00722536, -0.00532707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0674006, 0.0956829, 0.119489, 0.136653, 0.146679, 0.165476, 0.176052, 0.186821, 0.206648, 0.220894, 0.227928, 0.238737, 0.289009, 0.309537, 0.339895, 0.365272, 0.396937, 0.430713, 0.431283");
-            values ( \
-              "-0.132672, -0.211843, -0.257095, -0.280424, -0.289522, -0.299854, -0.301744, -0.301588, -0.294173, -0.281591, -0.271999, -0.250533, -0.117712, -0.0801635, -0.0438525, -0.0258866, -0.0132576, -0.00626858, -0.00621949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0722146, 0.0992654, 0.114597, 0.124826, 0.136882, 0.152284, 0.165703, 0.185265, 0.203393, 0.234067, 0.253346, 0.278019, 0.315688, 0.332308, 0.350037, 0.358106, 0.374244, 0.429284, 0.459374, 0.476259, 0.502883, 0.53331, 0.549522, 0.568532, 0.592334, 0.625391, 0.639092, 0.666495, 0.7213, 0.784987, 0.848674, 0.912361");
-            values ( \
-              "-0.212374, -0.228674, -0.261645, -0.28041, -0.298199, -0.314749, -0.324487, -0.333135, -0.336801, -0.337205, -0.334664, -0.329176, -0.315717, -0.306949, -0.294692, -0.287652, -0.269925, -0.18822, -0.146839, -0.126622, -0.0993267, -0.07425, -0.0633939, -0.0525224, -0.0413271, -0.0294164, -0.025552, -0.0192028, -0.0106682, -0.00531058, -0.00264077, -0.00130484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0808213, 0.130517, 0.154612, 0.189665, 0.215446, 0.240798, 0.276871, 0.370778, 0.42246, 0.527872, 0.574417, 0.627942, 0.691629, 0.786129, 0.889207, 0.949915, 1.00581, 1.10416, 1.22188, 1.31808, 1.38177, 1.5645");
-            values ( \
-              "-0.289886, -0.298262, -0.327917, -0.349421, -0.356245, -0.358429, -0.35851, -0.350325, -0.343748, -0.32556, -0.313643, -0.293225, -0.254326, -0.182688, -0.118607, -0.0901272, -0.0695312, -0.0429922, -0.0238474, -0.0145864, -0.0107128, -0.00414288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0778021, 0.0993534, 0.100464, 0.102686, 0.106199, 0.110681, 0.111579, 0.11675, 0.121123, 0.123222, 0.126021, 0.12868, 0.132454, 0.140071, 0.144696, 0.149602, 0.154927, 0.157343, 0.160564, 0.165819, 0.17421, 0.185785, 0.22027");
-            values ( \
-              "-0.00669598, -0.103929, -0.110496, -0.112604, -0.119432, -0.12346, -0.124969, -0.128562, -0.129937, -0.129695, -0.12733, -0.122107, -0.108419, -0.0641408, -0.0418989, -0.0253999, -0.0142093, -0.0108436, -0.00781152, -0.00404813, -0.00174307, -0.000314324, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.0812179, 0.0930599, 0.0986592, 0.103213, 0.108108, 0.116544, 0.130112, 0.135691, 0.139308, 0.146612, 0.150082, 0.15482, 0.166211, 0.17285, 0.18106, 0.187063, 0.194233, 0.200065, 0.211729, 0.227818, 0.247737");
-            values ( \
-              "-0.00440303, -0.11053, -0.133039, -0.145429, -0.155788, -0.168499, -0.183741, -0.187275, -0.187855, -0.181613, -0.173035, -0.152894, -0.0885022, -0.0592766, -0.0345245, -0.0226928, -0.0136503, -0.00892512, -0.00367186, -0.00102436, -0.000271703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0818183, 0.0911344, 0.0977388, 0.106515, 0.115805, 0.134689, 0.146933, 0.152919, 0.158615, 0.170136, 0.181659, 0.183813, 0.190377, 0.212197, 0.219498, 0.230886, 0.242426, 0.251477, 0.261069, 0.268446, 0.2832, 0.300534");
-            values ( \
-              "-0.00901481, -0.113939, -0.149249, -0.176882, -0.1959, -0.226437, -0.240858, -0.245522, -0.248534, -0.248426, -0.235633, -0.231332, -0.211369, -0.116452, -0.0907976, -0.0598996, -0.0384173, -0.0267681, -0.0181971, -0.0134592, -0.00722005, -0.00388453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.088199, 0.102608, 0.110291, 0.122831, 0.134937, 0.15032, 0.158145, 0.165901, 0.181412, 0.195662, 0.206042, 0.225865, 0.233325, 0.240016, 0.247141, 0.257949, 0.291307, 0.308226, 0.328754, 0.342177, 0.359114, 0.377965, 0.384492, 0.399269, 0.416158, 0.449934, 0.502098, 0.565338");
-            values ( \
-              "-0.162012, -0.17939, -0.201148, -0.227694, -0.250699, -0.273907, -0.282751, -0.289595, -0.298579, -0.301811, -0.301452, -0.294221, -0.288437, -0.281668, -0.271979, -0.25052, -0.157861, -0.117709, -0.0801631, -0.0616509, -0.0438518, -0.0296547, -0.0258869, -0.0190047, -0.0132584, -0.00626703, -0.00177354, -0.000351167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0928937, 0.125055, 0.143986, 0.155555, 0.169774, 0.184866, 0.204471, 0.222554, 0.25268, 0.273591, 0.297176, 0.334856, 0.351324, 0.368889, 0.394015, 0.477623, 0.507123, 0.554725, 0.585125, 0.606982, 0.64286, 0.679028, 0.706933, 0.762743, 0.82643, 0.837933");
-            values ( \
-              "-0.224659, -0.24339, -0.280576, -0.297612, -0.313357, -0.324517, -0.333215, -0.3368, -0.33727, -0.334478, -0.329159, -0.315711, -0.307022, -0.294937, -0.269154, -0.14799, -0.113958, -0.0726281, -0.0538729, -0.0432549, -0.0299089, -0.0205896, -0.0153752, -0.00841927, -0.00419134, -0.00390604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.0975178, 0.141496, 0.161206, 0.198171, 0.222196, 0.252727, 0.27428, 0.332873, 0.436042, 0.48325, 0.546938, 0.593491, 0.647011, 0.710698, 0.8052, 0.908279, 0.968989, 1.02489, 1.07367, 1.12323, 1.18692, 1.24095, 1.33716, 1.40085, 1.59191, 1.61451");
-            values ( \
-              "-0.272749, -0.284574, -0.314732, -0.344944, -0.353601, -0.358204, -0.358822, -0.355913, -0.344362, -0.337415, -0.325422, -0.313504, -0.293357, -0.254188, -0.182816, -0.118731, -0.09025, -0.0694066, -0.0549336, -0.0431145, -0.0313928, -0.0239668, -0.0147053, -0.0105936, -0.00396191, -0.00370479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.123422, 0.144845, 0.149838, 0.150635, 0.155412, 0.165077, 0.16881, 0.171432, 0.176588, 0.188473, 0.194561, 0.19977, 0.208021, 0.215152, 0.225964, 0.256536");
-            values ( \
-              "-0.0114736, -0.110276, -0.11525, -0.118673, -0.123877, -0.129512, -0.128789, -0.125521, -0.110168, -0.0450998, -0.0243089, -0.0137342, -0.00534572, -0.00260159, -0.000531099, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.128282, 0.133091, 0.137526, 0.143212, 0.148329, 0.157266, 0.165327, 0.17438, 0.180381, 0.184001, 0.191319, 0.194723, 0.199533, 0.215343, 0.221313, 0.229736, 0.234423, 0.242217, 0.25261, 0.255435");
-            values ( \
-              "-0.0324805, -0.0814813, -0.10773, -0.131671, -0.145977, -0.162544, -0.173379, -0.183046, -0.186988, -0.187435, -0.181453, -0.173042, -0.152762, -0.0681465, -0.0465558, -0.0262022, -0.0188495, -0.0107673, -0.00492926, -0.00428736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.128282, 0.13569, 0.142359, 0.151166, 0.160491, 0.179385, 0.19164, 0.197632, 0.203332, 0.21486, 0.226389, 0.228532, 0.235096, 0.256938, 0.264211, 0.275617, 0.287171, 0.296219, 0.3058, 0.313168, 0.327904, 0.346018");
-            values ( \
-              "-0.0426255, -0.112, -0.148352, -0.176424, -0.195585, -0.226161, -0.240636, -0.245337, -0.248364, -0.24831, -0.235543, -0.23128, -0.211364, -0.116415, -0.0908611, -0.0599062, -0.0384038, -0.0267613, -0.0182009, -0.0134682, -0.00723114, -0.00373978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.132439, 0.145203, 0.150101, 0.159316, 0.177722, 0.18923, 0.199966, 0.210697, 0.229412, 0.240576, 0.250839, 0.270667, 0.278376, 0.28495, 0.29195, 0.302761, 0.336116, 0.353036, 0.373567, 0.386988, 0.403924, 0.422777, 0.429304, 0.444084, 0.460975, 0.494757, 0.546915, 0.61015");
-            values ( \
-              "-0.157678, -0.168605, -0.188548, -0.210237, -0.247038, -0.265923, -0.279538, -0.289628, -0.299702, -0.301859, -0.30147, -0.294242, -0.288229, -0.281522, -0.271978, -0.250508, -0.157862, -0.117708, -0.0801599, -0.061651, -0.0438543, -0.0296528, -0.0258881, -0.0190019, -0.0132585, -0.00626394, -0.00177516, -0.000349675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.13671, 0.164428, 0.181525, 0.200232, 0.214395, 0.229633, 0.249246, 0.267324, 0.297408, 0.318453, 0.34195, 0.379632, 0.396083, 0.413631, 0.438856, 0.522301, 0.551932, 0.599747, 0.629623, 0.651103, 0.687407, 0.724296, 0.752885, 0.810063, 0.87375, 0.88419");
-            values ( \
-              "-0.21934, -0.231667, -0.267412, -0.297424, -0.313145, -0.324485, -0.333174, -0.336791, -0.337248, -0.334443, -0.32916, -0.315695, -0.307025, -0.294957, -0.269065, -0.148113, -0.113924, -0.0724536, -0.0540211, -0.0435428, -0.0299762, -0.0204824, -0.0151833, -0.00818376, -0.0040732, -0.00382157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.141732, 0.186166, 0.206675, 0.241397, 0.263517, 0.293841, 0.326333, 0.377653, 0.481146, 0.528059, 0.591746, 0.638302, 0.691821, 0.755508, 0.850012, 0.953091, 1.0138, 1.0697, 1.11848, 1.16805, 1.23173, 1.28577, 1.38197, 1.44566, 1.50935, 1.63672, 1.89147");
-            values ( \
-              "-0.265992, -0.284179, -0.315386, -0.344102, -0.352616, -0.357908, -0.358761, -0.355957, -0.344351, -0.337362, -0.325465, -0.313547, -0.293308, -0.254234, -0.182767, -0.118683, -0.0902031, -0.0694531, -0.05498, -0.0430675, -0.0314393, -0.0239202, -0.0146589, -0.01064, -0.00759922, -0.00391569, -0.00101797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.217789, 0.225335, 0.232522, 0.240706, 0.244263, 0.248948, 0.258622, 0.264014, 0.266472, 0.269348, 0.274721, 0.287379, 0.292707, 0.296529, 0.300266, 0.302888, 0.308133, 0.317876");
-            values ( \
-              "-0.0170639, -0.0408518, -0.0727319, -0.0949413, -0.102438, -0.110186, -0.120341, -0.123206, -0.123174, -0.120864, -0.106747, -0.0421944, -0.0248616, -0.016568, -0.0110421, -0.00829312, -0.00458341, -0.00147013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.21656, 0.224311, 0.229571, 0.23217, 0.239106, 0.243902, 0.249487, 0.249772, 0.252899, 0.258923, 0.267277, 0.275142, 0.282738, 0.29033, 0.297949, 0.308375, 0.314397, 0.322166, 0.327579, 0.332265, 0.337761, 0.344877");
-            values ( \
-              "-0.0249588, -0.0483834, -0.0678746, -0.0829662, -0.112713, -0.128067, -0.141353, -0.14047, -0.14739, -0.158024, -0.169969, -0.178481, -0.182356, -0.177652, -0.153959, -0.0967727, -0.0686346, -0.0418544, -0.029063, -0.0209284, -0.014184, -0.00851745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.207356, 0.259741, 0.27543, 0.281238, 0.289966, 0.295867, 0.301849, 0.313529, 0.325207, 0.32916, 0.333377, 0.349474, 0.362667, 0.375154, 0.38207, 0.389974, 0.396606, 0.403321, 0.412275, 0.430183, 0.456523, 0.488472");
-            values ( \
-              "-0.00289202, -0.187718, -0.216077, -0.224777, -0.235449, -0.240823, -0.244553, -0.245744, -0.233714, -0.225379, -0.212313, -0.142075, -0.0921362, -0.0583965, -0.0448715, -0.0328879, -0.0252476, -0.0192737, -0.0133533, -0.00620486, -0.00184665, -0.000408589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.223852, 0.234319, 0.24656, 0.248882, 0.260764, 0.270067, 0.287506, 0.298238, 0.309326, 0.326993, 0.335377, 0.346556, 0.35613, 0.369855, 0.390063, 0.39288, 0.404147, 0.435008, 0.45573, 0.47031, 0.482979, 0.493495, 0.5057, 0.52297, 0.540917, 0.554663, 0.582154, 0.632497, 0.692046");
-            values ( \
-              "-0.111267, -0.117381, -0.171542, -0.178038, -0.207685, -0.227962, -0.260034, -0.274858, -0.28624, -0.297177, -0.299619, -0.300549, -0.29913, -0.293201, -0.273015, -0.268635, -0.244601, -0.158223, -0.110176, -0.0838591, -0.0655262, -0.0531711, -0.0415029, -0.0290031, -0.0199425, -0.0149042, -0.00818416, -0.00246256, -0.000549687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.230232, 0.253727, 0.268857, 0.2812, 0.298078, 0.311557, 0.32837, 0.348203, 0.366234, 0.395243, 0.419713, 0.440948, 0.478677, 0.494636, 0.511658, 0.521055, 0.539849, 0.590537, 0.618367, 0.643394, 0.673644, 0.703336, 0.731346, 0.768692, 0.791754, 0.817807, 0.852545, 0.916232, 0.97992, 1.04361, 1.10729");
-            values ( \
-              "-0.190914, -0.198206, -0.236744, -0.263242, -0.291905, -0.308325, -0.322288, -0.331816, -0.335946, -0.336866, -0.33377, -0.329007, -0.315609, -0.307252, -0.295704, -0.287609, -0.266515, -0.190716, -0.151905, -0.121998, -0.0922991, -0.0693168, -0.0525757, -0.0359494, -0.0283593, -0.0216659, -0.0150476, -0.00751264, -0.00374092, -0.00185035, -0.000922731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.240687, 0.287038, 0.311252, 0.349651, 0.374644, 0.40343, 0.438659, 0.530751, 0.626867, 0.690554, 0.737107, 0.79063, 0.854317, 0.948825, 1.0519, 1.16851, 1.26686, 1.38458, 1.54448, 1.67544");
-            values ( \
-              "-0.280977, -0.282656, -0.318774, -0.347115, -0.354772, -0.358171, -0.358274, -0.350496, -0.33737, -0.325445, -0.313526, -0.293317, -0.254214, -0.18278, -0.118698, -0.0694357, -0.0430835, -0.0239365, -0.0106238, -0.00603706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.399019, 0.416829, 0.424034, 0.429838, 0.442233, 0.449481, 0.456197, 0.462627, 0.468898, 0.473314, 0.475517, 0.486807, 0.492384, 0.499936, 0.504753, 0.511176, 0.521341, 0.553231, 0.59639");
-            values ( \
-              "-0.00176442, -0.0355413, -0.0550663, -0.0671863, -0.0864348, -0.094466, -0.100339, -0.103864, -0.104098, -0.0983529, -0.0929414, -0.0468961, -0.0288971, -0.0138663, -0.00847939, -0.00427741, -0.00141267, -1e-22, -0.000101619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.397262, 0.409017, 0.412329, 0.41793, 0.421894, 0.428327, 0.436991, 0.444729, 0.454931, 0.461297, 0.465782, 0.47064, 0.475, 0.481367, 0.483723, 0.488015, 0.492239, 0.500687, 0.50273, 0.51312, 0.516221, 0.519368, 0.523419, 0.526691, 0.530528, 0.53594, 0.537804, 0.541641, 0.546026, 0.549978");
-            values ( \
-              "-0.0130138, -0.0277139, -0.0339225, -0.0475241, -0.0589713, -0.0795081, -0.100249, -0.114539, -0.130567, -0.13842, -0.143692, -0.148973, -0.153474, -0.158869, -0.160277, -0.161456, -0.159629, -0.142284, -0.13433, -0.0856788, -0.0726313, -0.0607481, -0.0476793, -0.0388928, -0.0303478, -0.0211599, -0.01864, -0.0143837, -0.0106036, -0.00814515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.410721, 0.423443, 0.426185, 0.429292, 0.430846, 0.435507, 0.438769, 0.44203, 0.44499, 0.450911, 0.455737, 0.461896, 0.481655, 0.491623, 0.496294, 0.500521, 0.504748, 0.507905, 0.511063, 0.51422, 0.517377, 0.523691, 0.526847, 0.530082, 0.531485, 0.533044, 0.534916, 0.538072, 0.541556, 0.543987, 0.545592, 0.547198, 0.550409, 0.551705, 0.554295, 0.557174, 0.558759, 0.561927, 0.564345, 0.566762, 0.569179, 0.573876, 0.576225, 0.579368, 0.58429, 0.587674, 0.59078, 0.593886, 0.600351, 0.606049");
-            values ( \
-              "-0.0724027, -0.0751041, -0.0839819, -0.0950965, -0.100081, -0.113749, -0.121967, -0.129643, -0.135869, -0.147527, -0.156245, -0.166501, -0.197713, -0.211574, -0.217829, -0.222911, -0.227445, -0.227406, -0.227161, -0.226711, -0.226055, -0.224127, -0.222854, -0.221327, -0.219279, -0.216413, -0.212284, -0.203705, -0.192361, -0.183279, -0.175032, -0.165513, -0.142655, -0.14034, -0.134933, -0.127706, -0.123183, -0.112975, -0.103927, -0.0960913, -0.0886864, -0.0755905, -0.0696069, -0.0622261, -0.0516299, -0.0450954, -0.0402135, -0.0357453, -0.0279221, -0.0217568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.408574, 0.424543, 0.440547, 0.452429, 0.481223, 0.498258, 0.512315, 0.530241, 0.545905, 0.560394, 0.575712, 0.586154, 0.597259, 0.61912, 0.639813, 0.662732, 0.68999, 0.712489, 0.729843, 0.748034, 0.761992, 0.789906, 0.82511");
-            values ( \
-              "-0.0634076, -0.0864523, -0.136355, -0.165106, -0.220396, -0.248541, -0.265876, -0.280811, -0.28713, -0.288458, -0.285866, -0.279696, -0.267795, -0.220488, -0.162734, -0.109589, -0.0652721, -0.0415645, -0.0290146, -0.0198599, -0.0147758, -0.00804336, -0.00411575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.420016, 0.447719, 0.464942, 0.49228, 0.511524, 0.532254, 0.550068, 0.571721, 0.581643, 0.594882, 0.609571, 0.638951, 0.664035, 0.686261, 0.725501, 0.740763, 0.756678, 0.815382, 0.852499, 0.887196, 0.912472, 0.933352, 0.958106, 0.993089, 1.02968, 1.05774, 1.11386, 1.17754, 1.24123, 1.30492");
-            values ( \
-              "-0.155255, -0.160835, -0.199277, -0.254302, -0.283951, -0.305601, -0.317148, -0.326498, -0.32943, -0.331597, -0.332202, -0.329265, -0.322912, -0.314377, -0.28942, -0.273587, -0.252431, -0.164664, -0.119278, -0.0864312, -0.0676929, -0.0551094, -0.042966, -0.0300009, -0.0205651, -0.0153267, -0.00836966, -0.00416233, -0.00206855, -0.00102338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.426642, 0.471526, 0.510314, 0.528046, 0.541183, 0.568161, 0.582127, 0.609201, 0.636519, 0.676115, 0.721986, 0.820628, 0.858252, 0.912293, 0.975981, 0.997038, 1.03915, 1.18024, 1.24832, 1.31779, 1.37413, 1.42473, 1.47388, 1.53756, 1.59049, 1.68575, 1.74944, 1.81312, 1.9405, 2.19525");
-            values ( \
-              "-0.197232, -0.219247, -0.292372, -0.313763, -0.325108, -0.341272, -0.347416, -0.35396, -0.356292, -0.355569, -0.351798, -0.339328, -0.333068, -0.321798, -0.302373, -0.293272, -0.269145, -0.16532, -0.123947, -0.0906731, -0.0696062, -0.0546154, -0.042937, -0.0312778, -0.0239937, -0.0147892, -0.0106767, -0.00768369, -0.00397551, -0.00105756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.789159, 0.803557, 0.813538, 0.828927, 0.836562, 0.846852, 0.85595, 0.864362, 0.872416, 0.8803, 0.888177, 0.907555, 0.914306, 0.922358, 0.928822, 0.9404, 0.943116");
-            values ( \
-              "-0.00585139, -0.0146311, -0.0249124, -0.0491631, -0.0586159, -0.0687011, -0.075457, -0.0800584, -0.0829768, -0.083447, -0.0772392, -0.0263648, -0.0149753, -0.00725421, -0.00394426, -0.00130848, -0.00121809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.789815, 0.81444, 0.831772, 0.848767, 0.86246, 0.874934, 0.892081, 0.905692, 0.912195, 0.918612, 0.943227, 0.951283, 0.96091, 0.977428, 0.98596");
-            values ( \
-              "-0.00424885, -0.0355972, -0.0678282, -0.0920806, -0.106017, -0.115524, -0.125935, -0.13138, -0.129408, -0.120138, -0.0438027, -0.0274763, -0.0150778, -0.00509896, -0.00330326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.794698, 0.813957, 0.83677, 0.845337, 0.859651, 0.869402, 0.897441, 0.922637, 0.937252, 0.951459, 0.965652, 0.985977, 0.996566, 1.00416, 1.01093, 1.01996, 1.02715, 1.03504, 1.04555, 1.06658, 1.0812");
-            values ( \
-              "-0.0169177, -0.0425194, -0.0878672, -0.10279, -0.122628, -0.13359, -0.162091, -0.190297, -0.201758, -0.20142, -0.17622, -0.106468, -0.0758372, -0.0583098, -0.0457079, -0.0326073, -0.0247536, -0.0182347, -0.0120236, -0.00496434, -0.00312016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.769661, 0.810905, 0.85383, 0.896013, 0.930526, 0.943849, 0.95495, 0.977584, 0.999535, 1.02149, 1.0285, 1.03598, 1.06735, 1.09317, 1.11717, 1.14111, 1.1635, 1.1762, 1.2016, 1.21603, 1.24481");
-            values ( \
-              "-1e-22, -0.0408372, -0.125573, -0.180483, -0.230813, -0.246928, -0.25766, -0.271432, -0.273628, -0.260005, -0.250522, -0.236139, -0.155285, -0.0995139, -0.0630562, -0.039134, -0.024678, -0.0189381, -0.0109816, -0.00808599, -0.00439726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.810986, 0.844014, 0.937029, 0.952252, 0.975585, 0.99444, 1.03063, 1.04034, 1.07246, 1.11125, 1.13625, 1.15388, 1.18913, 1.23847, 1.28327, 1.31493, 1.3401, 1.38543, 1.42054, 1.4575, 1.48588, 1.54263, 1.60632, 1.60677");
-            values ( \
-              "-0.105865, -0.113299, -0.25841, -0.27797, -0.300004, -0.311698, -0.32277, -0.32353, -0.321572, -0.310844, -0.297969, -0.284626, -0.242137, -0.169393, -0.115375, -0.0860397, -0.0674886, -0.0430071, -0.0299966, -0.020491, -0.0152281, -0.0082554, -0.00411269, -0.00410187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.827164, 0.884917, 0.93554, 0.978492, 1.022, 1.05435, 1.09235, 1.18272, 1.29271, 1.36498, 1.41736, 1.4601, 1.67985, 1.80284, 1.89815, 2.01845, 2.18012, 2.22746");
-            values ( \
-              "-0.1758, -0.181563, -0.266556, -0.316695, -0.341489, -0.34868, -0.350948, -0.344505, -0.330803, -0.314699, -0.296026, -0.272729, -0.121259, -0.0689214, -0.0434564, -0.0238523, -0.0104607, -0.00883591" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0776717, 0.0931112, 0.122488, 0.181781, 0.305014, 0.562433", \
-            "0.0824156, 0.0978469, 0.127278, 0.186585, 0.309827, 0.567242", \
-            "0.0928826, 0.108298, 0.13777, 0.197214, 0.320541, 0.577995", \
-            "0.109131, 0.124868, 0.154778, 0.214436, 0.337888, 0.595461", \
-            "0.125599, 0.142126, 0.172367, 0.232345, 0.356264, 0.613891", \
-            "0.134197, 0.152806, 0.184615, 0.245042, 0.368883, 0.626931" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0346344, 0.0532825, 0.0932814, 0.179023, 0.360126, 0.73905", \
-            "0.0346576, 0.0532995, 0.0932924, 0.179025, 0.360134, 0.739065", \
-            "0.0349246, 0.0534799, 0.0933622, 0.179051, 0.360187, 0.739064", \
-            "0.0368268, 0.0549896, 0.0942344, 0.179323, 0.360179, 0.739056", \
-            "0.0409677, 0.0580524, 0.0960006, 0.180489, 0.360772, 0.739108", \
-            "0.0498321, 0.0658819, 0.101158, 0.182357, 0.361314, 0.739649" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0860752, 0.101382, 0.127888, 0.17531, 0.266427, 0.452783", \
-            "0.0912515, 0.106554, 0.133096, 0.180524, 0.271646, 0.457992", \
-            "0.104953, 0.12032, 0.146986, 0.194497, 0.285599, 0.47191", \
-            "0.134139, 0.150113, 0.177379, 0.22529, 0.316456, 0.502672", \
-            "0.181109, 0.199258, 0.229408, 0.279921, 0.372308, 0.558338", \
-            "0.256202, 0.278412, 0.314579, 0.369468, 0.464497, 0.651823" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0319727, 0.0466786, 0.0737493, 0.127049, 0.240828, 0.486725", \
-            "0.0319917, 0.0466883, 0.0737395, 0.127028, 0.24082, 0.486731", \
-            "0.0321594, 0.0467953, 0.0737947, 0.127055, 0.240828, 0.486732", \
-            "0.0344095, 0.0488709, 0.0752046, 0.127861, 0.241101, 0.486784", \
-            "0.0414195, 0.0566177, 0.082591, 0.133631, 0.243937, 0.487207", \
-            "0.0518978, 0.0698325, 0.0972454, 0.145056, 0.250562, 0.490236" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0578136, 0.0607909, 0.0635374, 0.0672488, 0.0745222, 0.07883, 0.0840112, 0.0860867, 0.0891607, 0.0943235, 0.0995069, 0.109795, 0.116758, 0.124712, 0.133679, 0.139472, 0.145957, 0.158456, 0.169442, 0.178959");
-            values ( \
-              "0.0251057, 0.063045, 0.0801446, 0.0965112, 0.118304, 0.124859, 0.12785, 0.127712, 0.126256, 0.119749, 0.104524, 0.0649281, 0.0452539, 0.0294619, 0.0177056, 0.0126525, 0.00862044, 0.00415875, 0.00215653, 0.00140978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0578762, 0.0634149, 0.0671901, 0.0775083, 0.0810392, 0.0881011, 0.0907281, 0.0967086, 0.102675, 0.108426, 0.112894, 0.12135, 0.134375, 0.144845, 0.157193, 0.164374, 0.174783, 0.180984, 0.190954, 0.202326, 0.211325, 0.229324, 0.243881");
-            values ( \
-              "0.00299985, 0.0960062, 0.1217, 0.161525, 0.16773, 0.176715, 0.177328, 0.176628, 0.172614, 0.165911, 0.158174, 0.135961, 0.0949141, 0.0682934, 0.0448098, 0.0345982, 0.0234954, 0.0185527, 0.0125739, 0.00807802, 0.00564417, 0.00270233, 0.00170259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0611624, 0.0664576, 0.0695887, 0.0722298, 0.0767616, 0.0801385, 0.0868923, 0.0939292, 0.105077, 0.110987, 0.120247, 0.128389, 0.134057, 0.145395, 0.155852, 0.165707, 0.183232, 0.195745, 0.205413, 0.217801, 0.227311, 0.239991, 0.249674, 0.25739, 0.267679, 0.285096, 0.291104, 0.293053, 0.304749, 0.320344, 0.351533, 0.400566, 0.460204");
-            values ( \
-              "0.0851373, 0.131267, 0.150943, 0.164905, 0.184027, 0.194631, 0.209282, 0.216863, 0.219775, 0.21808, 0.213025, 0.206809, 0.20145, 0.188967, 0.172988, 0.154167, 0.119549, 0.0973158, 0.0821398, 0.0649048, 0.0538262, 0.0416534, 0.0337856, 0.0286098, 0.0229903, 0.0153752, 0.013592, 0.0128344, 0.00981252, 0.00697766, 0.00315459, 0.000963428, 7.51456e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0639618, 0.0745865, 0.0798879, 0.0873534, 0.0930383, 0.104052, 0.115523, 0.120656, 0.127501, 0.141447, 0.16666, 0.196605, 0.21625, 0.234027, 0.254869, 0.296386, 0.331019, 0.354483, 0.372197, 0.395815, 0.419415, 0.448996, 0.481508, 0.496327, 0.517902, 0.546668, 0.604201, 0.685633, 0.767064");
-            values ( \
-              "0.173859, 0.189445, 0.211214, 0.230932, 0.24026, 0.2488, 0.250528, 0.249758, 0.247839, 0.243007, 0.231294, 0.214997, 0.201987, 0.187252, 0.166529, 0.122693, 0.0902399, 0.0717085, 0.0597353, 0.0462828, 0.0355473, 0.0252632, 0.0171321, 0.0143432, 0.0110481, 0.00775538, 0.00370449, 0.00121753, 0.000400833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0651252, 0.0929736, 0.103619, 0.115002, 0.120058, 0.140282, 0.16333, 0.260725, 0.316525, 0.365049, 0.394286, 0.433269, 0.548261, 0.636339, 0.68358, 0.71634, 0.76978, 0.834776, 0.894631, 0.957707, 1.00615, 1.08758, 1.16901, 1.23047");
-            values ( \
-              "0.194707, 0.253647, 0.264837, 0.269514, 0.270081, 0.26865, 0.263903, 0.239867, 0.224352, 0.208193, 0.196044, 0.177031, 0.115993, 0.0765368, 0.0598728, 0.050112, 0.0370968, 0.0253983, 0.0177175, 0.0120863, 0.00896514, 0.00536566, 0.0031985, 0.00256273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0704917, 0.113878, 0.138267, 0.193671, 0.463172, 0.573998, 0.693576, 0.828943, 1.10648, 1.18791, 1.32262, 1.50064, 1.64125, 1.72268, 1.84369, 1.99431, 2.32003, 2.37941");
-            values ( \
-              "0.278521, 0.278819, 0.281792, 0.276553, 0.24334, 0.228172, 0.207965, 0.176656, 0.104676, 0.0867328, 0.0618157, 0.0379486, 0.025354, 0.0201158, 0.0139179, 0.00881484, 0.00321073, 0.00289959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0765747, 0.0794443, 0.0822224, 0.085958, 0.0914427, 0.0935109, 0.0975679, 0.101459, 0.102754, 0.105323, 0.107907, 0.113076, 0.118153, 0.125124, 0.133147, 0.14221, 0.151503, 0.158537, 0.164766, 0.176788, 0.187278, 0.194957");
-            values ( \
-              "0.0292879, 0.0621622, 0.0793988, 0.096116, 0.114076, 0.118499, 0.124605, 0.127499, 0.127651, 0.127576, 0.126087, 0.119789, 0.104897, 0.076668, 0.0512724, 0.0315793, 0.0186799, 0.0124184, 0.00860475, 0.00425747, 0.00228935, 0.00164134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.0758459, 0.0857964, 0.0961661, 0.0996906, 0.10674, 0.112408, 0.121301, 0.127172, 0.131561, 0.140022, 0.153044, 0.163526, 0.175853, 0.18842, 0.203532, 0.210963, 0.221072, 0.234551, 0.258522, 0.288089");
-            values ( \
-              "0.016842, 0.120858, 0.161181, 0.167565, 0.176493, 0.177251, 0.172715, 0.16588, 0.158041, 0.136057, 0.094804, 0.0683693, 0.0447246, 0.0282557, 0.0160537, 0.0118522, 0.00794928, 0.00477312, 0.00158435, 0.000543758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0781398, 0.0850963, 0.0909085, 0.0988533, 0.106805, 0.112625, 0.123121, 0.130959, 0.138945, 0.159638, 0.167559, 0.182698, 0.20464, 0.223976, 0.246117, 0.259006, 0.275992, 0.303684, 0.323714, 0.339676, 0.371602, 0.386461");
-            values ( \
-              "0.0343993, 0.130267, 0.165438, 0.194689, 0.211744, 0.216774, 0.220128, 0.217646, 0.212763, 0.19428, 0.184044, 0.157874, 0.114683, 0.0822523, 0.0538077, 0.0412866, 0.0287618, 0.0155035, 0.00983721, 0.00678957, 0.00312912, 0.00243876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.0810928, 0.0950155, 0.0986238, 0.10584, 0.111773, 0.122978, 0.134452, 0.145071, 0.155031, 0.170482, 0.208484, 0.233793, 0.255158, 0.32886, 0.368733, 0.400426, 0.422503, 0.438655, 0.456197, 0.476231, 0.504161, 0.537948, 0.582998, 0.661918, 0.74335");
-            values ( \
-              "0.127057, 0.197118, 0.211275, 0.230404, 0.240262, 0.248896, 0.250509, 0.248258, 0.244943, 0.238368, 0.219014, 0.202866, 0.18505, 0.109172, 0.074974, 0.0540323, 0.0423759, 0.0353405, 0.0288999, 0.0229045, 0.0163577, 0.0108592, 0.00621561, 0.00211885, 0.000712338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0854888, 0.104305, 0.111749, 0.122681, 0.135462, 0.144164, 0.167722, 0.182105, 0.279506, 0.335303, 0.38347, 0.413772, 0.454174, 0.555248, 0.631311, 0.669169, 0.71037, 0.788197, 0.850136, 0.913248, 0.977772, 1.0281, 1.10953, 1.19096, 1.25701");
-            values ( \
-              "0.231042, 0.238744, 0.253413, 0.265235, 0.269759, 0.270109, 0.267023, 0.263893, 0.239809, 0.224354, 0.208325, 0.195723, 0.175928, 0.121945, 0.0861539, 0.071259, 0.0573516, 0.037157, 0.0259107, 0.0177266, 0.0119847, 0.00878222, 0.00525698, 0.00313165, 0.00246301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.0917278, 0.0917478, 0.528479, 0.592961, 0.674393, 0.774432, 0.847958, 1.05366, 1.20674, 1.2766, 1.34184, 1.40876, 1.51965, 1.57857, 1.66, 1.74143, 1.86281, 2.01369, 2.17656, 2.33942, 2.58372, 2.82801");
-            values ( \
-              "1e-22, 0.292297, 0.237185, 0.228053, 0.215091, 0.194672, 0.176522, 0.122299, 0.0866431, 0.0729253, 0.0616592, 0.0516775, 0.0380698, 0.0321462, 0.0254939, 0.0200109, 0.0140311, 0.00892199, 0.00544947, 0.00332328, 0.00151377, 0.000764592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.116739, 0.119529, 0.122746, 0.126793, 0.132534, 0.136787, 0.138771, 0.142739, 0.14658, 0.1492, 0.154409, 0.15683, 0.159411, 0.167001, 0.172553, 0.177221, 0.184398, 0.189391, 0.194195, 0.202475, 0.207087, 0.218421, 0.231374, 0.241877");
-            values ( \
-              "0.0433423, 0.0507571, 0.0714533, 0.0905139, 0.111015, 0.119954, 0.122765, 0.126163, 0.126619, 0.125358, 0.119323, 0.113411, 0.104991, 0.0745924, 0.0566994, 0.0443964, 0.0301665, 0.0227725, 0.0173142, 0.0106771, 0.00815677, 0.00418864, 0.00192608, 0.00118108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.118412, 0.126511, 0.129745, 0.131943, 0.136339, 0.140864, 0.148894, 0.156702, 0.1645, 0.167751, 0.172916, 0.176537, 0.18227, 0.19199, 0.199601, 0.204983, 0.211864, 0.217141, 0.22254, 0.23193, 0.23628, 0.24498, 0.252343, 0.262357, 0.275709, 0.301125, 0.330776");
-            values ( \
-              "0.0557042, 0.111991, 0.129258, 0.139026, 0.154666, 0.165531, 0.175384, 0.175932, 0.17069, 0.166694, 0.157975, 0.149607, 0.132708, 0.10141, 0.0808707, 0.0680082, 0.0539708, 0.0449311, 0.0370359, 0.0261812, 0.0222167, 0.0158959, 0.0119357, 0.00806452, 0.00471852, 0.00156885, 0.000420823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.121488, 0.137645, 0.144533, 0.153864, 0.164236, 0.172564, 0.180236, 0.200774, 0.208857, 0.223992, 0.24595, 0.265252, 0.287439, 0.300367, 0.317275, 0.344963, 0.365062, 0.381092, 0.413153, 0.43006");
-            values ( \
-              "0.11632, 0.184406, 0.203214, 0.216161, 0.219254, 0.21695, 0.212839, 0.194453, 0.184016, 0.157901, 0.11468, 0.0822977, 0.0537838, 0.0412406, 0.0287717, 0.0155089, 0.00982497, 0.00677338, 0.00310748, 0.00232642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.12187, 0.136477, 0.139981, 0.146989, 0.153214, 0.164138, 0.175442, 0.189522, 0.201673, 0.225975, 0.253585, 0.280685, 0.303022, 0.356507, 0.388423, 0.41389, 0.434547, 0.450478, 0.480378, 0.493388, 0.514243, 0.5439, 0.582475, 0.633909, 0.71534, 0.796772");
-            values ( \
-              "0.118198, 0.194903, 0.208928, 0.228612, 0.239328, 0.248456, 0.250261, 0.247349, 0.242815, 0.231791, 0.216918, 0.198882, 0.178927, 0.122831, 0.0926939, 0.0722904, 0.0584228, 0.049231, 0.0352629, 0.0303866, 0.0238228, 0.0167134, 0.0104713, 0.00546278, 0.00180487, 0.000592796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.129201, 0.177058, 0.19194, 0.223729, 0.322982, 0.376925, 0.424541, 0.456489, 0.501062, 0.601446, 0.670694, 0.71081, 0.753045, 0.809358, 0.83788, 0.885549, 0.949107, 1.02875, 1.09186, 1.17329, 1.25472, 1.30443");
-            values ( \
-              "0.25371, 0.269446, 0.269776, 0.263972, 0.239295, 0.22435, 0.208535, 0.195231, 0.173171, 0.119644, 0.0871447, 0.0712864, 0.0570552, 0.041746, 0.0354848, 0.0268906, 0.0183581, 0.0113197, 0.00764638, 0.00457067, 0.00272187, 0.00228416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.135417, 0.135437, 0.298813, 0.569802, 0.634288, 0.715719, 0.815759, 0.889285, 1.09498, 1.24807, 1.31793, 1.38316, 1.45009, 1.53152, 1.6199, 1.70133, 1.78276, 1.85205, 1.97359, 2.13645, 2.29931, 2.62504, 2.86933");
-            values ( \
-              "1e-22, 0.300468, 0.271104, 0.237175, 0.228064, 0.215081, 0.194661, 0.176533, 0.122311, 0.086655, 0.0729373, 0.0616711, 0.0516657, 0.0412537, 0.032158, 0.0254824, 0.0200225, 0.0163648, 0.0113347, 0.00691072, 0.00419215, 0.00152396, 0.000754759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.191701, 0.201991, 0.2086, 0.216183, 0.223782, 0.228048, 0.232188, 0.23627, 0.239109, 0.24473, 0.252637, 0.258318, 0.267633, 0.278096, 0.285905, 0.291886, 0.299562, 0.309798, 0.324422");
-            values ( \
-              "0.00687556, 0.0447974, 0.0731401, 0.0986822, 0.114317, 0.118541, 0.119848, 0.118247, 0.115032, 0.101657, 0.0702729, 0.0533368, 0.0329478, 0.0185013, 0.0118521, 0.00835756, 0.00535475, 0.00293578, 0.00130566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.196591, 0.202341, 0.208021, 0.214589, 0.220682, 0.224558, 0.232312, 0.234749, 0.237999, 0.241238, 0.247715, 0.248957, 0.250179, 0.254109, 0.259082, 0.266204, 0.278896, 0.28858, 0.296639, 0.303876, 0.308925, 0.315468, 0.320772, 0.327389, 0.336726, 0.346739, 0.354457, 0.369894, 0.397321, 0.430016");
-            values ( \
-              "0.0378919, 0.0574376, 0.0881119, 0.119861, 0.142153, 0.152789, 0.165751, 0.167897, 0.169576, 0.169973, 0.167819, 0.166876, 0.164275, 0.160223, 0.1526, 0.134983, 0.0963672, 0.0715171, 0.0547004, 0.0425996, 0.0355239, 0.0279249, 0.0228998, 0.0177827, 0.0123726, 0.00837793, 0.00617224, 0.00330434, 0.000983763, 0.000222989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.20004, 0.207019, 0.214441, 0.21921, 0.223516, 0.232036, 0.238258, 0.24894, 0.251818, 0.257313, 0.262739, 0.265328, 0.270507, 0.27806, 0.279258, 0.281653, 0.29188, 0.294144, 0.298671, 0.311032, 0.317645, 0.332584, 0.345402, 0.359402, 0.368524, 0.381556, 0.397894, 0.416069, 0.438449, 0.451907, 0.478821, 0.522377, 0.573649");
-            values ( \
-              "0.0705874, 0.0935339, 0.137125, 0.159809, 0.176218, 0.198639, 0.207714, 0.214084, 0.211324, 0.213361, 0.209786, 0.210712, 0.206185, 0.202209, 0.19983, 0.198695, 0.187128, 0.183111, 0.177086, 0.154455, 0.140528, 0.111866, 0.0899121, 0.0700228, 0.0581725, 0.0446475, 0.0315432, 0.0211087, 0.0126347, 0.00973793, 0.00473082, 0.0018982, 0.00014902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.204394, 0.235301, 0.242473, 0.248922, 0.253056, 0.262524, 0.272161, 0.28697, 0.312241, 0.335487, 0.356337, 0.36776, 0.390607, 0.448712, 0.488913, 0.524031, 0.548454, 0.572003, 0.611535, 0.63347, 0.655607, 0.685123, 0.744155, 0.791023");
-            values ( \
-              "0.125666, 0.226494, 0.237131, 0.2426, 0.242862, 0.245701, 0.245767, 0.241946, 0.231017, 0.218895, 0.205881, 0.197418, 0.176744, 0.115961, 0.0800839, 0.0559017, 0.0428161, 0.0328299, 0.0206729, 0.0159025, 0.0121767, 0.00847637, 0.00396744, 0.00243521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.210515, 0.237465, 0.248768, 0.264605, 0.269468, 0.279195, 0.29278, 0.309092, 0.406465, 0.462304, 0.506651, 0.54836, 0.586472, 0.686354, 0.743191, 0.786806, 0.817146, 0.853376, 0.915532, 0.944466, 1.00233, 1.04741, 1.09704, 1.16322, 1.24465, 1.32608, 1.40751, 1.57038");
-            values ( \
-              "0.213822, 0.243074, 0.258262, 0.265795, 0.267039, 0.267898, 0.26673, 0.263739, 0.239783, 0.224345, 0.209744, 0.192241, 0.173123, 0.119874, 0.0927448, 0.0747978, 0.063923, 0.0525927, 0.037103, 0.0314061, 0.0222795, 0.0169918, 0.01257, 0.00833165, 0.00498663, 0.00296812, 0.00176863, 0.000624789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.21727, 0.21729, 0.365031, 0.405203, 0.609264, 0.7201, 0.776853, 0.839679, 0.900886, 0.975046, 1.18081, 1.33402, 1.39286, 1.46872, 1.53572, 1.64674, 1.70593, 1.78736, 1.86879, 1.98979, 2.1404, 2.30327, 2.46613, 2.71043, 2.95472");
-            values ( \
-              "1e-22, 0.286583, 0.2734, 0.268602, 0.243282, 0.228111, 0.219241, 0.207903, 0.194746, 0.176593, 0.122346, 0.086666, 0.0749737, 0.0617457, 0.0516443, 0.03802, 0.0321717, 0.0254257, 0.020044, 0.0139911, 0.00888826, 0.00541241, 0.00328444, 0.00155731, 0.000724794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.347582, 0.355027, 0.357019, 0.361004, 0.380274, 0.386624, 0.39445, 0.400635, 0.406736, 0.412831, 0.423502, 0.432355, 0.438589, 0.447498, 0.453786, 0.459821, 0.467366, 0.477426, 0.490251");
-            values ( \
-              "0.017439, 0.0177984, 0.0210471, 0.0293116, 0.0782665, 0.0919875, 0.103887, 0.108176, 0.106893, 0.0962989, 0.0612556, 0.0395696, 0.0286842, 0.0176304, 0.0123782, 0.00876959, 0.00570885, 0.0031888, 0.00166344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.351199, 0.36001, 0.365517, 0.383256, 0.38993, 0.399716, 0.408584, 0.417232, 0.425863, 0.431695, 0.451603, 0.46495, 0.477562, 0.487946, 0.493426, 0.503645, 0.514642, 0.523344, 0.543556");
-            values ( \
-              "0.0275723, 0.0346221, 0.0503925, 0.110563, 0.129905, 0.149998, 0.158441, 0.158957, 0.151631, 0.140371, 0.0845527, 0.05516, 0.0356236, 0.024343, 0.019819, 0.0133612, 0.00874281, 0.00622409, 0.00308047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.356488, 0.366592, 0.388357, 0.398723, 0.405016, 0.417601, 0.423, 0.430198, 0.433283, 0.439454, 0.447475, 0.461785, 0.466937, 0.472778, 0.492988, 0.507732, 0.51667, 0.53079, 0.542735, 0.556312, 0.563248, 0.572033, 0.58278, 0.599645, 0.607169, 0.617798, 0.63197, 0.660315, 0.690772");
-            values ( \
-              "0.0513873, 0.0619004, 0.148271, 0.179027, 0.191513, 0.204765, 0.206439, 0.2062, 0.205373, 0.202707, 0.197476, 0.183159, 0.175777, 0.166142, 0.127322, 0.100768, 0.0862963, 0.0664296, 0.0526262, 0.0392441, 0.0337463, 0.0280461, 0.0222637, 0.0152501, 0.0128874, 0.0101269, 0.00730769, 0.00370156, 0.00201053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.360752, 0.374679, 0.388251, 0.40411, 0.415231, 0.429446, 0.440417, 0.45212, 0.470354, 0.500691, 0.520591, 0.55001, 0.618876, 0.66441, 0.699876, 0.734449, 0.7816, 0.809522, 0.855034, 0.915715, 0.959274");
-            values ( \
-              "0.0702002, 0.10168, 0.162205, 0.212062, 0.230451, 0.240831, 0.241894, 0.240113, 0.233836, 0.219447, 0.207874, 0.185194, 0.11459, 0.0749212, 0.0518324, 0.0352781, 0.0203234, 0.0145515, 0.00834449, 0.00381063, 0.00244416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.3714, 0.390582, 0.404599, 0.415555, 0.430088, 0.445686, 0.461724, 0.50133, 0.598807, 0.65894, 0.689561, 0.74002, 0.852646, 0.936501, 0.997021, 1.03001, 1.08466, 1.15018, 1.20982, 1.27208, 1.3198, 1.40123, 1.48266, 1.55518");
-            values ( \
-              "0.176414, 0.178589, 0.224725, 0.245887, 0.259831, 0.264594, 0.264293, 0.25695, 0.233446, 0.215606, 0.204343, 0.181225, 0.121264, 0.082354, 0.0603203, 0.050467, 0.0371111, 0.025333, 0.0176743, 0.0121186, 0.00904173, 0.00540146, 0.00323026, 0.00247046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.382504, 0.431102, 0.439648, 0.454856, 0.47543, 0.519694, 0.55868, 0.597729, 0.724333, 0.859685, 0.945835, 1.00866, 1.06987, 1.14403, 1.3498, 1.50302, 1.57269, 1.63771, 1.70471, 1.81574, 1.87493, 1.95636, 2.0378, 2.15878, 2.30939, 2.47225, 2.63512, 2.87941, 3.12371");
-            values ( \
-              "0.267266, 0.270353, 0.274462, 0.277777, 0.277948, 0.273779, 0.268846, 0.265582, 0.250141, 0.232318, 0.219265, 0.207867, 0.194769, 0.17656, 0.12232, 0.0866407, 0.0729599, 0.0617294, 0.0516615, 0.0380354, 0.0321555, 0.0254396, 0.0200288, 0.0140037, 0.00890048, 0.0054241, 0.00329564, 0.00154687, 0.000734788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.66348, 0.678602, 0.686084, 0.691741, 0.707593, 0.725918, 0.734462, 0.742168, 0.749483, 0.756799, 0.76938, 0.779525, 0.78943, 0.796641, 0.80113, 0.810115, 0.826109, 0.841685");
-            values ( \
-              "0.00779552, 0.00954797, 0.0153188, 0.022195, 0.0468289, 0.0718292, 0.08176, 0.0883097, 0.0909183, 0.0855951, 0.0537555, 0.0338834, 0.0206124, 0.0141159, 0.0110816, 0.00679489, 0.00283669, 0.00129432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.669468, 0.68496, 0.698674, 0.727801, 0.740223, 0.750912, 0.760809, 0.77057, 0.780318, 0.793684, 0.811009, 0.823852, 0.835744, 0.84245, 0.851855, 0.869152, 0.88415, 0.891347");
-            values ( \
-              "0.0156156, 0.0197554, 0.0414931, 0.0991384, 0.121318, 0.135123, 0.141456, 0.139573, 0.125376, 0.0908661, 0.0540772, 0.0349391, 0.0227362, 0.0177254, 0.0123781, 0.0063962, 0.00352425, 0.00288956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.679575, 0.698478, 0.745972, 0.75944, 0.762523, 0.76869, 0.777652, 0.792612, 0.808121, 0.815826, 0.825361, 0.843283, 0.86429, 0.8776, 0.888002, 0.895989, 0.912574, 0.934258, 0.947001, 0.963621, 0.985782, 1.02826");
-            values ( \
-              "0.0336204, 0.0483175, 0.160081, 0.181235, 0.184471, 0.189244, 0.192537, 0.190246, 0.178301, 0.16797, 0.151864, 0.118012, 0.0834135, 0.0651873, 0.0532702, 0.0453405, 0.0320422, 0.0199605, 0.0150165, 0.0103113, 0.00614581, 0.00211338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.691767, 0.717156, 0.744528, 0.757589, 0.77393, 0.795772, 0.799993, 0.808432, 0.825933, 0.852995, 0.878081, 0.889923, 0.905712, 0.963101, 1.00301, 1.02007, 1.03856, 1.0632, 1.08561, 1.11738, 1.15464, 1.17522, 1.21586, 1.23573, 1.28482, 1.31524");
-            values ( \
-              "0.0729944, 0.0996855, 0.176202, 0.203864, 0.22449, 0.233249, 0.233177, 0.232057, 0.227095, 0.214876, 0.199307, 0.189916, 0.175348, 0.115952, 0.0804887, 0.0679225, 0.0560386, 0.0429099, 0.033316, 0.0230495, 0.0147727, 0.0115724, 0.0069453, 0.00514128, 0.00284743, 0.00201238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.699164, 0.737675, 0.75905, 0.773499, 0.794765, 0.814041, 0.822652, 0.839874, 0.88635, 0.963434, 1.00339, 1.03506, 1.09468, 1.22312, 1.27251, 1.31175, 1.36626, 1.43035, 1.46063, 1.5212, 1.5792, 1.67328, 1.75471, 1.83614, 2.08044");
-            values ( \
-              "0.0923421, 0.166855, 0.220756, 0.242047, 0.256809, 0.259405, 0.259132, 0.256882, 0.247314, 0.227607, 0.215537, 0.204024, 0.176535, 0.108531, 0.0864077, 0.070986, 0.0531366, 0.0370925, 0.0311664, 0.0217301, 0.0153207, 0.00857943, 0.00514721, 0.00305371, 0.000650718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.711002, 0.779825, 0.789841, 0.806363, 0.82359, 0.848484, 0.874629, 1.00345, 1.142, 1.23524, 1.26028, 1.31053, 1.4062, 1.49021, 1.65989, 1.75923, 1.8215, 1.90284, 1.95325, 2.1019, 2.16101, 2.22022, 2.34867, 2.46558, 2.61798, 2.78084, 2.94371, 3.26943, 3.51373");
-            values ( \
-              "0.160157, 0.258096, 0.265738, 0.272496, 0.274851, 0.274574, 0.27243, 0.257801, 0.240501, 0.227271, 0.224662, 0.21637, 0.197184, 0.176735, 0.131347, 0.10651, 0.0927318, 0.0757197, 0.0666265, 0.044722, 0.0379445, 0.0320868, 0.0220905, 0.0156037, 0.00982992, 0.00594515, 0.00356395, 0.00123287, 0.000756122" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0652125, 0.0749152, 0.0813911, 0.0871205, 0.0924968, 0.10204, 0.106906, 0.109639, 0.113362, 0.125599, 0.130508, 0.138264, 0.146839, 0.148687");
-            values ( \
-              "-0.0374077, -0.0958376, -0.110489, -0.119444, -0.125065, -0.12997, -0.127426, -0.122038, -0.108442, -0.0419195, -0.0253916, -0.0108126, -0.00397907, -0.00348482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760732");
-            index_3 ("0.0657559, 0.0697994, 0.0797158, 0.08535, 0.0891335, 0.0936542, 0.0975725, 0.112996, 0.120335, 0.127675, 0.132479, 0.149655, 0.157555, 0.166607, 0.177306, 0.185977, 0.193091");
-            values ( \
-              "-0.0495878, -0.0859631, -0.136858, -0.145285, -0.158005, -0.161331, -0.170027, -0.185717, -0.18762, -0.181878, -0.16834, -0.0769493, -0.0467598, -0.0252419, -0.0118043, -0.00617753, -0.00493207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158973");
-            index_3 ("0.0652879, 0.0718836, 0.0784904, 0.0837363, 0.092123, 0.110724, 0.124649, 0.13371, 0.139411, 0.150933, 0.162456, 0.167066, 0.171171, 0.197501, 0.21168, 0.223222, 0.232272, 0.241861, 0.249235, 0.263984, 0.273836");
-            values ( \
-              "-0.0604073, -0.113274, -0.149215, -0.166345, -0.18683, -0.2193, -0.237723, -0.245541, -0.24846, -0.248474, -0.235573, -0.225091, -0.211381, -0.100057, -0.0599058, -0.0384154, -0.0267706, -0.0182016, -0.0134606, -0.00722536, -0.00532707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332213");
-            index_3 ("0.0674006, 0.0956829, 0.119489, 0.136653, 0.146679, 0.165476, 0.176052, 0.186821, 0.206648, 0.220894, 0.227928, 0.238737, 0.289009, 0.309537, 0.339895, 0.365272, 0.396937, 0.430713, 0.431283");
-            values ( \
-              "-0.132672, -0.211843, -0.257095, -0.280424, -0.289522, -0.299854, -0.301744, -0.301588, -0.294173, -0.281591, -0.271999, -0.250533, -0.117712, -0.0801635, -0.0438525, -0.0258866, -0.0132576, -0.00626858, -0.00621949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694239");
-            index_3 ("0.0722146, 0.0992654, 0.114597, 0.124826, 0.136882, 0.152284, 0.165703, 0.185265, 0.203393, 0.234067, 0.253346, 0.278019, 0.315688, 0.332308, 0.350037, 0.358106, 0.374244, 0.429284, 0.459374, 0.476259, 0.502883, 0.53331, 0.549522, 0.568532, 0.592334, 0.625391, 0.639092, 0.666495, 0.7213, 0.784987, 0.848674, 0.912361");
-            values ( \
-              "-0.212374, -0.228674, -0.261645, -0.28041, -0.298199, -0.314749, -0.324487, -0.333135, -0.336801, -0.337205, -0.334664, -0.329176, -0.315717, -0.306949, -0.294692, -0.287652, -0.269925, -0.18822, -0.146839, -0.126622, -0.0993267, -0.07425, -0.0633939, -0.0525224, -0.0413271, -0.0294164, -0.025552, -0.0192028, -0.0106682, -0.00531058, -0.00264077, -0.00130484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145078");
-            index_3 ("0.0808213, 0.130517, 0.154612, 0.189665, 0.215446, 0.240798, 0.276871, 0.370778, 0.42246, 0.527872, 0.574417, 0.627942, 0.691629, 0.786129, 0.889207, 0.949915, 1.00581, 1.10416, 1.22188, 1.31808, 1.38177, 1.5645");
-            values ( \
-              "-0.289886, -0.298262, -0.327917, -0.349421, -0.356245, -0.358429, -0.35851, -0.350325, -0.343748, -0.32556, -0.313643, -0.293225, -0.254326, -0.182688, -0.118607, -0.0901272, -0.0695312, -0.0429922, -0.0238474, -0.0145864, -0.0107128, -0.00414288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0778021, 0.0993534, 0.100464, 0.102686, 0.106199, 0.110681, 0.111579, 0.11675, 0.121123, 0.123222, 0.126021, 0.12868, 0.132454, 0.140071, 0.144696, 0.149602, 0.154927, 0.157343, 0.160564, 0.165819, 0.17421, 0.185785, 0.22027");
-            values ( \
-              "-0.00669598, -0.103929, -0.110496, -0.112604, -0.119432, -0.12346, -0.124969, -0.128562, -0.129937, -0.129695, -0.12733, -0.122107, -0.108419, -0.0641408, -0.0418989, -0.0253999, -0.0142093, -0.0108436, -0.00781152, -0.00404813, -0.00174307, -0.000314324, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760732");
-            index_3 ("0.0812179, 0.0930599, 0.0986592, 0.103213, 0.108108, 0.116544, 0.130112, 0.135691, 0.139308, 0.146612, 0.150082, 0.15482, 0.166211, 0.17285, 0.18106, 0.187063, 0.194233, 0.200065, 0.211729, 0.227818, 0.247737");
-            values ( \
-              "-0.00440303, -0.11053, -0.133039, -0.145429, -0.155788, -0.168499, -0.183741, -0.187275, -0.187855, -0.181613, -0.173035, -0.152894, -0.0885022, -0.0592766, -0.0345245, -0.0226928, -0.0136503, -0.00892512, -0.00367186, -0.00102436, -0.000271703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158973");
-            index_3 ("0.0818183, 0.0911344, 0.0977388, 0.106515, 0.115805, 0.134689, 0.146933, 0.152919, 0.158615, 0.170136, 0.181659, 0.183813, 0.190377, 0.212197, 0.219498, 0.230886, 0.242426, 0.251477, 0.261069, 0.268446, 0.2832, 0.300534");
-            values ( \
-              "-0.00901481, -0.113939, -0.149249, -0.176882, -0.1959, -0.226437, -0.240858, -0.245522, -0.248534, -0.248426, -0.235633, -0.231332, -0.211369, -0.116452, -0.0907976, -0.0598996, -0.0384173, -0.0267681, -0.0181971, -0.0134592, -0.00722005, -0.00388453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332213");
-            index_3 ("0.088199, 0.102608, 0.110291, 0.122831, 0.134937, 0.15032, 0.158145, 0.165901, 0.181412, 0.195662, 0.206042, 0.225865, 0.233325, 0.240016, 0.247141, 0.257949, 0.291307, 0.308226, 0.328754, 0.342177, 0.359114, 0.377965, 0.384492, 0.399269, 0.416158, 0.449934, 0.502098, 0.565338");
-            values ( \
-              "-0.162012, -0.17939, -0.201148, -0.227694, -0.250699, -0.273907, -0.282751, -0.289595, -0.298579, -0.301811, -0.301452, -0.294221, -0.288437, -0.281668, -0.271979, -0.25052, -0.157861, -0.117709, -0.0801631, -0.0616509, -0.0438518, -0.0296547, -0.0258869, -0.0190047, -0.0132584, -0.00626703, -0.00177354, -0.000351167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694239");
-            index_3 ("0.0928937, 0.125055, 0.143986, 0.155555, 0.169774, 0.184866, 0.204471, 0.222554, 0.25268, 0.273591, 0.297176, 0.334856, 0.351324, 0.368889, 0.394015, 0.477623, 0.507123, 0.554725, 0.585125, 0.606982, 0.64286, 0.679028, 0.706933, 0.762743, 0.82643, 0.837933");
-            values ( \
-              "-0.224659, -0.24339, -0.280576, -0.297612, -0.313357, -0.324517, -0.333215, -0.3368, -0.33727, -0.334478, -0.329159, -0.315711, -0.307022, -0.294937, -0.269154, -0.14799, -0.113958, -0.0726281, -0.0538729, -0.0432549, -0.0299089, -0.0205896, -0.0153752, -0.00841927, -0.00419134, -0.00390604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145078");
-            index_3 ("0.0975178, 0.141496, 0.161206, 0.198171, 0.222196, 0.252727, 0.27428, 0.332873, 0.436042, 0.48325, 0.546938, 0.593491, 0.647011, 0.710698, 0.8052, 0.908279, 0.968989, 1.02489, 1.07367, 1.12323, 1.18692, 1.24095, 1.33716, 1.40085, 1.59191, 1.61451");
-            values ( \
-              "-0.272749, -0.284574, -0.314732, -0.344944, -0.353601, -0.358204, -0.358822, -0.355913, -0.344362, -0.337415, -0.325422, -0.313504, -0.293357, -0.254188, -0.182816, -0.118731, -0.09025, -0.0694066, -0.0549336, -0.0431145, -0.0313928, -0.0239668, -0.0147053, -0.0105936, -0.00396191, -0.00370479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.123422, 0.144845, 0.149838, 0.150635, 0.155412, 0.165077, 0.16881, 0.171432, 0.176588, 0.188473, 0.194561, 0.19977, 0.208021, 0.215152, 0.225964, 0.256536");
-            values ( \
-              "-0.0114736, -0.110276, -0.11525, -0.118673, -0.123877, -0.129512, -0.128789, -0.125521, -0.110168, -0.0450998, -0.0243089, -0.0137342, -0.00534572, -0.00260159, -0.000531099, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760732");
-            index_3 ("0.128282, 0.133091, 0.137526, 0.143212, 0.148329, 0.157266, 0.165327, 0.17438, 0.180381, 0.184001, 0.191319, 0.194723, 0.199533, 0.215343, 0.221313, 0.229736, 0.234423, 0.242217, 0.25261, 0.255435");
-            values ( \
-              "-0.0324805, -0.0814813, -0.10773, -0.131671, -0.145977, -0.162544, -0.173379, -0.183046, -0.186988, -0.187435, -0.181453, -0.173042, -0.152762, -0.0681465, -0.0465558, -0.0262022, -0.0188495, -0.0107673, -0.00492926, -0.00428736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158973");
-            index_3 ("0.128282, 0.13569, 0.142359, 0.151166, 0.160491, 0.179385, 0.19164, 0.197632, 0.203332, 0.21486, 0.226389, 0.228532, 0.235096, 0.256938, 0.264211, 0.275617, 0.287171, 0.296219, 0.3058, 0.313168, 0.327904, 0.346018");
-            values ( \
-              "-0.0426255, -0.112, -0.148352, -0.176424, -0.195585, -0.226161, -0.240636, -0.245337, -0.248364, -0.24831, -0.235543, -0.23128, -0.211364, -0.116415, -0.0908611, -0.0599062, -0.0384038, -0.0267613, -0.0182009, -0.0134682, -0.00723114, -0.00373978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332213");
-            index_3 ("0.132439, 0.145203, 0.150101, 0.159316, 0.177722, 0.18923, 0.199966, 0.210697, 0.229412, 0.240576, 0.250839, 0.270667, 0.278376, 0.28495, 0.29195, 0.302761, 0.336116, 0.353036, 0.373567, 0.386988, 0.403924, 0.422777, 0.429304, 0.444084, 0.460975, 0.494757, 0.546915, 0.61015");
-            values ( \
-              "-0.157678, -0.168605, -0.188548, -0.210237, -0.247038, -0.265923, -0.279538, -0.289628, -0.299702, -0.301859, -0.30147, -0.294242, -0.288229, -0.281522, -0.271978, -0.250508, -0.157862, -0.117708, -0.0801599, -0.061651, -0.0438543, -0.0296528, -0.0258881, -0.0190019, -0.0132585, -0.00626394, -0.00177516, -0.000349675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694239");
-            index_3 ("0.13671, 0.164428, 0.181525, 0.200232, 0.214395, 0.229633, 0.249246, 0.267324, 0.297408, 0.318453, 0.34195, 0.379632, 0.396083, 0.413631, 0.438856, 0.522301, 0.551932, 0.599747, 0.629623, 0.651103, 0.687407, 0.724296, 0.752885, 0.810063, 0.87375, 0.88419");
-            values ( \
-              "-0.21934, -0.231667, -0.267412, -0.297424, -0.313145, -0.324485, -0.333174, -0.336791, -0.337248, -0.334443, -0.32916, -0.315695, -0.307025, -0.294957, -0.269065, -0.148113, -0.113924, -0.0724536, -0.0540211, -0.0435428, -0.0299762, -0.0204824, -0.0151833, -0.00818376, -0.0040732, -0.00382157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145078");
-            index_3 ("0.141732, 0.186166, 0.206675, 0.241397, 0.263517, 0.293841, 0.326333, 0.377653, 0.481146, 0.528059, 0.591746, 0.638302, 0.691821, 0.755508, 0.850012, 0.953091, 1.0138, 1.0697, 1.11848, 1.16805, 1.23173, 1.28577, 1.38197, 1.44566, 1.50935, 1.63672, 1.89147");
-            values ( \
-              "-0.265992, -0.284179, -0.315386, -0.344102, -0.352616, -0.357908, -0.358761, -0.355957, -0.344351, -0.337362, -0.325465, -0.313547, -0.293308, -0.254234, -0.182767, -0.118683, -0.0902031, -0.0694531, -0.05498, -0.0430675, -0.0314393, -0.0239202, -0.0146589, -0.01064, -0.00759922, -0.00391569, -0.00101797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.217789, 0.225335, 0.232522, 0.240706, 0.244263, 0.248948, 0.258622, 0.264014, 0.266472, 0.269348, 0.274721, 0.287379, 0.292707, 0.296529, 0.300266, 0.302888, 0.308133, 0.317876");
-            values ( \
-              "-0.0170639, -0.0408518, -0.0727319, -0.0949413, -0.102438, -0.110186, -0.120341, -0.123206, -0.123174, -0.120864, -0.106747, -0.0421944, -0.0248616, -0.016568, -0.0110421, -0.00829312, -0.00458341, -0.00147013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760732");
-            index_3 ("0.21656, 0.224311, 0.229571, 0.23217, 0.239106, 0.243902, 0.249487, 0.249772, 0.252899, 0.258923, 0.267277, 0.275142, 0.282738, 0.29033, 0.297949, 0.308375, 0.314397, 0.322166, 0.327579, 0.332265, 0.337761, 0.344877");
-            values ( \
-              "-0.0249588, -0.0483834, -0.0678746, -0.0829662, -0.112713, -0.128067, -0.141353, -0.14047, -0.14739, -0.158024, -0.169969, -0.178481, -0.182356, -0.177652, -0.153959, -0.0967727, -0.0686346, -0.0418544, -0.029063, -0.0209284, -0.014184, -0.00851745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158973");
-            index_3 ("0.207356, 0.259741, 0.27543, 0.281238, 0.289966, 0.295867, 0.301849, 0.313529, 0.325207, 0.32916, 0.333377, 0.349474, 0.362667, 0.375154, 0.38207, 0.389974, 0.396606, 0.403321, 0.412275, 0.430183, 0.456523, 0.488472");
-            values ( \
-              "-0.00289202, -0.187718, -0.216077, -0.224777, -0.235449, -0.240823, -0.244553, -0.245744, -0.233714, -0.225379, -0.212313, -0.142075, -0.0921362, -0.0583965, -0.0448715, -0.0328879, -0.0252476, -0.0192737, -0.0133533, -0.00620486, -0.00184665, -0.000408589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332213");
-            index_3 ("0.223852, 0.234319, 0.24656, 0.248882, 0.260764, 0.270067, 0.287506, 0.298238, 0.309326, 0.326993, 0.335377, 0.346556, 0.35613, 0.369855, 0.390063, 0.39288, 0.404147, 0.435008, 0.45573, 0.47031, 0.482979, 0.493495, 0.5057, 0.52297, 0.540917, 0.554663, 0.582154, 0.632497, 0.692046");
-            values ( \
-              "-0.111267, -0.117381, -0.171542, -0.178038, -0.207685, -0.227962, -0.260034, -0.274858, -0.28624, -0.297177, -0.299619, -0.300549, -0.29913, -0.293201, -0.273015, -0.268635, -0.244601, -0.158223, -0.110176, -0.0838591, -0.0655262, -0.0531711, -0.0415029, -0.0290031, -0.0199425, -0.0149042, -0.00818416, -0.00246256, -0.000549687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694239");
-            index_3 ("0.230232, 0.253727, 0.268857, 0.2812, 0.298078, 0.311557, 0.32837, 0.348203, 0.366234, 0.395243, 0.419713, 0.440948, 0.478677, 0.494636, 0.511658, 0.521055, 0.539849, 0.590537, 0.618367, 0.643394, 0.673644, 0.703336, 0.731346, 0.768692, 0.791754, 0.817807, 0.852545, 0.916232, 0.97992, 1.04361, 1.10729");
-            values ( \
-              "-0.190914, -0.198206, -0.236744, -0.263242, -0.291905, -0.308325, -0.322288, -0.331816, -0.335946, -0.336866, -0.33377, -0.329007, -0.315609, -0.307252, -0.295704, -0.287609, -0.266515, -0.190716, -0.151905, -0.121998, -0.0922991, -0.0693168, -0.0525757, -0.0359494, -0.0283593, -0.0216659, -0.0150476, -0.00751264, -0.00374092, -0.00185035, -0.000922731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145078");
-            index_3 ("0.240687, 0.287038, 0.311252, 0.349651, 0.374644, 0.40343, 0.438659, 0.530751, 0.626867, 0.690554, 0.737107, 0.79063, 0.854317, 0.948825, 1.0519, 1.16851, 1.26686, 1.38458, 1.54448, 1.67544");
-            values ( \
-              "-0.280977, -0.282656, -0.318774, -0.347115, -0.354772, -0.358171, -0.358274, -0.350496, -0.33737, -0.325445, -0.313526, -0.293317, -0.254214, -0.18278, -0.118698, -0.0694357, -0.0430835, -0.0239365, -0.0106238, -0.00603706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.399019, 0.416829, 0.424034, 0.429838, 0.442233, 0.449481, 0.456197, 0.462627, 0.468898, 0.473314, 0.475517, 0.486807, 0.492384, 0.499936, 0.504753, 0.511176, 0.521341, 0.553231, 0.59639");
-            values ( \
-              "-0.00176442, -0.0355413, -0.0550663, -0.0671863, -0.0864348, -0.094466, -0.100339, -0.103864, -0.104098, -0.0983529, -0.0929414, -0.0468961, -0.0288971, -0.0138663, -0.00847939, -0.00427741, -0.00141267, -1e-22, -0.000101619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760732");
-            index_3 ("0.397262, 0.409017, 0.412329, 0.41793, 0.421894, 0.428327, 0.436991, 0.444729, 0.454931, 0.461297, 0.465782, 0.47064, 0.475, 0.481367, 0.483723, 0.488015, 0.492239, 0.500687, 0.50273, 0.51312, 0.516221, 0.519368, 0.523419, 0.526691, 0.530528, 0.53594, 0.537804, 0.541641, 0.546026, 0.549978");
-            values ( \
-              "-0.0130138, -0.0277139, -0.0339225, -0.0475241, -0.0589713, -0.0795081, -0.100249, -0.114539, -0.130567, -0.13842, -0.143692, -0.148973, -0.153474, -0.158869, -0.160277, -0.161456, -0.159629, -0.142284, -0.13433, -0.0856788, -0.0726313, -0.0607481, -0.0476793, -0.0388928, -0.0303478, -0.0211599, -0.01864, -0.0143837, -0.0106036, -0.00814515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158973");
-            index_3 ("0.410721, 0.423443, 0.426185, 0.429292, 0.430846, 0.435507, 0.438769, 0.44203, 0.44499, 0.450911, 0.455737, 0.461896, 0.481655, 0.491623, 0.496294, 0.500521, 0.504748, 0.507905, 0.511063, 0.51422, 0.517377, 0.523691, 0.526847, 0.530082, 0.531485, 0.533044, 0.534916, 0.538072, 0.541556, 0.543987, 0.545592, 0.547198, 0.550409, 0.551705, 0.554295, 0.557174, 0.558759, 0.561927, 0.564345, 0.566762, 0.569179, 0.573876, 0.576225, 0.579368, 0.58429, 0.587674, 0.59078, 0.593886, 0.600351, 0.606049");
-            values ( \
-              "-0.0724027, -0.0751041, -0.0839819, -0.0950965, -0.100081, -0.113749, -0.121967, -0.129643, -0.135869, -0.147527, -0.156245, -0.166501, -0.197713, -0.211574, -0.217829, -0.222911, -0.227445, -0.227406, -0.227161, -0.226711, -0.226055, -0.224127, -0.222854, -0.221327, -0.219279, -0.216413, -0.212284, -0.203705, -0.192361, -0.183279, -0.175032, -0.165513, -0.142655, -0.14034, -0.134933, -0.127706, -0.123183, -0.112975, -0.103927, -0.0960913, -0.0886864, -0.0755905, -0.0696069, -0.0622261, -0.0516299, -0.0450954, -0.0402135, -0.0357453, -0.0279221, -0.0217568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332213");
-            index_3 ("0.408574, 0.424543, 0.440547, 0.452429, 0.481223, 0.498258, 0.512315, 0.530241, 0.545905, 0.560394, 0.575712, 0.586154, 0.597259, 0.61912, 0.639813, 0.662732, 0.68999, 0.712489, 0.729843, 0.748034, 0.761992, 0.789906, 0.82511");
-            values ( \
-              "-0.0634076, -0.0864523, -0.136355, -0.165106, -0.220396, -0.248541, -0.265876, -0.280811, -0.28713, -0.288458, -0.285866, -0.279696, -0.267795, -0.220488, -0.162734, -0.109589, -0.0652721, -0.0415645, -0.0290146, -0.0198599, -0.0147758, -0.00804336, -0.00411575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694239");
-            index_3 ("0.420016, 0.447719, 0.464942, 0.49228, 0.511524, 0.532254, 0.550068, 0.571721, 0.581643, 0.594882, 0.609571, 0.638951, 0.664035, 0.686261, 0.725501, 0.740763, 0.756678, 0.815382, 0.852499, 0.887196, 0.912472, 0.933352, 0.958106, 0.993089, 1.02968, 1.05774, 1.11386, 1.17754, 1.24123, 1.30492");
-            values ( \
-              "-0.155255, -0.160835, -0.199277, -0.254302, -0.283951, -0.305601, -0.317148, -0.326498, -0.32943, -0.331597, -0.332202, -0.329265, -0.322912, -0.314377, -0.28942, -0.273587, -0.252431, -0.164664, -0.119278, -0.0864312, -0.0676929, -0.0551094, -0.042966, -0.0300009, -0.0205651, -0.0153267, -0.00836966, -0.00416233, -0.00206855, -0.00102338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145078");
-            index_3 ("0.426642, 0.471526, 0.510314, 0.528046, 0.541183, 0.568161, 0.582127, 0.609201, 0.636519, 0.676115, 0.721986, 0.820628, 0.858252, 0.912293, 0.975981, 0.997038, 1.03915, 1.18024, 1.24832, 1.31779, 1.37413, 1.42473, 1.47388, 1.53756, 1.59049, 1.68575, 1.74944, 1.81312, 1.9405, 2.19525");
-            values ( \
-              "-0.197232, -0.219247, -0.292372, -0.313763, -0.325108, -0.341272, -0.347416, -0.35396, -0.356292, -0.355569, -0.351798, -0.339328, -0.333068, -0.321798, -0.302373, -0.293272, -0.269145, -0.16532, -0.123947, -0.0906731, -0.0696062, -0.0546154, -0.042937, -0.0312778, -0.0239937, -0.0147892, -0.0106767, -0.00768369, -0.00397551, -0.00105756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.789159, 0.803557, 0.813538, 0.828927, 0.836562, 0.846852, 0.85595, 0.864362, 0.872416, 0.8803, 0.888177, 0.907555, 0.914306, 0.922358, 0.928822, 0.9404, 0.943116");
-            values ( \
-              "-0.00585139, -0.0146311, -0.0249124, -0.0491631, -0.0586159, -0.0687011, -0.075457, -0.0800584, -0.0829768, -0.083447, -0.0772392, -0.0263648, -0.0149753, -0.00725421, -0.00394426, -0.00130848, -0.00121809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760732");
-            index_3 ("0.789815, 0.81444, 0.831772, 0.848767, 0.86246, 0.874934, 0.892081, 0.905692, 0.912195, 0.918612, 0.943227, 0.951283, 0.96091, 0.977428, 0.98596");
-            values ( \
-              "-0.00424885, -0.0355972, -0.0678282, -0.0920806, -0.106017, -0.115524, -0.125935, -0.13138, -0.129408, -0.120138, -0.0438027, -0.0274763, -0.0150778, -0.00509896, -0.00330326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158973");
-            index_3 ("0.794698, 0.813957, 0.83677, 0.845337, 0.859651, 0.869402, 0.897441, 0.922637, 0.937252, 0.951459, 0.965652, 0.985977, 0.996566, 1.00416, 1.01093, 1.01996, 1.02715, 1.03504, 1.04555, 1.06658, 1.0812");
-            values ( \
-              "-0.0169177, -0.0425194, -0.0878672, -0.10279, -0.122628, -0.13359, -0.162091, -0.190297, -0.201758, -0.20142, -0.17622, -0.106468, -0.0758372, -0.0583098, -0.0457079, -0.0326073, -0.0247536, -0.0182347, -0.0120236, -0.00496434, -0.00312016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332213");
-            index_3 ("0.769661, 0.810905, 0.85383, 0.896013, 0.930526, 0.943849, 0.95495, 0.977584, 0.999535, 1.02149, 1.0285, 1.03598, 1.06735, 1.09317, 1.11717, 1.14111, 1.1635, 1.1762, 1.2016, 1.21603, 1.24481");
-            values ( \
-              "-1e-22, -0.0408372, -0.125573, -0.180483, -0.230813, -0.246928, -0.25766, -0.271432, -0.273628, -0.260005, -0.250522, -0.236139, -0.155285, -0.0995139, -0.0630562, -0.039134, -0.024678, -0.0189381, -0.0109816, -0.00808599, -0.00439726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694239");
-            index_3 ("0.810986, 0.844014, 0.937029, 0.952252, 0.975585, 0.99444, 1.03063, 1.04034, 1.07246, 1.11125, 1.13625, 1.15388, 1.18913, 1.23847, 1.28327, 1.31493, 1.3401, 1.38543, 1.42054, 1.4575, 1.48588, 1.54263, 1.60632, 1.60677");
-            values ( \
-              "-0.105865, -0.113299, -0.25841, -0.27797, -0.300004, -0.311698, -0.32277, -0.32353, -0.321572, -0.310844, -0.297969, -0.284626, -0.242137, -0.169393, -0.115375, -0.0860397, -0.0674886, -0.0430071, -0.0299966, -0.020491, -0.0152281, -0.0082554, -0.00411269, -0.00410187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145078");
-            index_3 ("0.827164, 0.884917, 0.93554, 0.978492, 1.022, 1.05435, 1.09235, 1.18272, 1.29271, 1.36498, 1.41736, 1.4601, 1.67985, 1.80284, 1.89815, 2.01845, 2.18012, 2.22746");
-            values ( \
-              "-0.1758, -0.181563, -0.266556, -0.316695, -0.341489, -0.34868, -0.350948, -0.344505, -0.330803, -0.314699, -0.296026, -0.272729, -0.121259, -0.0689214, -0.0434564, -0.0238523, -0.0104607, -0.00883591" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "-0.00487894, -0.00490258, -0.00499216, -0.00517389, -0.00537533, -0.00553055", \
-            "-0.00549272, -0.00551474, -0.00562523, -0.00579632, -0.00598886, -0.00613923", \
-            "-0.00618614, -0.00620432, -0.00626152, -0.00641557, -0.00658835, -0.00672945", \
-            "-0.00664944, -0.00665917, -0.00675563, -0.0068429, -0.00706244, -0.00720496", \
-            "-0.00686062, -0.00683161, -0.00688937, -0.00702978, -0.00713156, -0.00733866", \
-            "-0.00627605, -0.00630994, -0.00633311, -0.00637433, -0.00648039, -0.00668639" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0378085, 0.0379027, 0.0379642, 0.0379102, 0.0378087, 0.0377239", \
-            "0.0376669, 0.0377755, 0.0378419, 0.0377976, 0.0377007, 0.0376158", \
-            "0.0375192, 0.0376172, 0.0376806, 0.0376543, 0.0375676, 0.0374847", \
-            "0.0374115, 0.0374946, 0.03758, 0.0376077, 0.0375672, 0.0375046", \
-            "0.0372557, 0.0374081, 0.0374685, 0.0375805, 0.0376499, 0.0375845", \
-            "0.0380107, 0.0380224, 0.038053, 0.0381064, 0.0381761, 0.0381508" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0164461, 0.0165222, 0.0166212, 0.0167831, 0.0171901, 0.0182649", \
-            "0.016402, 0.01649, 0.0165957, 0.0167729, 0.0171891, 0.0182552", \
-            "0.0163482, 0.0164311, 0.016557, 0.0167471, 0.0171525, 0.0182046", \
-            "0.0162761, 0.0163555, 0.0164755, 0.0166912, 0.0171823, 0.0182387", \
-            "0.0162107, 0.0163308, 0.016438, 0.0166283, 0.0170978, 0.0181523", \
-            "0.0164597, 0.0164828, 0.0165713, 0.0167955, 0.017126, 0.0181679" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00354863, 0.00359311, 0.00364692, 0.00373423, 0.00399781, 0.00444207", \
-            "0.00339575, 0.0034497, 0.00350358, 0.00360274, 0.00387132, 0.00431594", \
-            "0.00321744, 0.00327078, 0.00335116, 0.00348002, 0.00368606, 0.00415563", \
-            "0.00307856, 0.00312778, 0.00318742, 0.00329085, 0.00354718, 0.00405678", \
-            "0.0030348, 0.00308135, 0.00315787, 0.00320518, 0.0034384, 0.00398291", \
-            "0.00336231, 0.00333943, 0.00333036, 0.003413, 0.00362354, 0.00397436" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0175661, 0.017627, 0.0177015, 0.0177418, 0.0178764, 0.0184536", \
-            "0.0175101, 0.017596, 0.0176273, 0.0176903, 0.0178879, 0.0184368", \
-            "0.0174536, 0.017517, 0.0175874, 0.0176627, 0.0178256, 0.0184192", \
-            "0.0173776, 0.0174252, 0.0175035, 0.0176099, 0.0177963, 0.0184065", \
-            "0.0173533, 0.017373, 0.0174794, 0.0175383, 0.0177569, 0.0183765", \
-            "0.0176583, 0.0176414, 0.017646, 0.017667, 0.0178572, 0.0183817" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00296492, 0.00301526, 0.00310042, 0.00329076, 0.00361883, 0.00426654", \
-            "0.00289844, 0.00296546, 0.0030706, 0.00322828, 0.00358679, 0.00424507", \
-            "0.00278411, 0.0028703, 0.00297595, 0.00314216, 0.00348013, 0.00419944", \
-            "0.00271417, 0.0027903, 0.00285569, 0.00302485, 0.00345801, 0.0041747", \
-            "0.00264559, 0.00272884, 0.00284877, 0.00297863, 0.00331624, 0.00408165", \
-            "0.00299107, 0.00299247, 0.00299838, 0.00322747, 0.00349774, 0.0040849" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "(!B * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "-0.00402681, -0.00392899, -0.00380641, -0.00373541, -0.00370531, -0.00369964", \
-            "-0.00418269, -0.00408392, -0.00396095, -0.00388522, -0.00382336, -0.0038201", \
-            "-0.0044159, -0.00432061, -0.0042054, -0.00411472, -0.00407176, -0.00406092", \
-            "-0.00460584, -0.00456892, -0.0044473, -0.00433586, -0.00429336, -0.00429417", \
-            "-0.004702, -0.00469886, -0.00463702, -0.00454814, -0.00445448, -0.00443006", \
-            "-0.00422144, -0.00422646, -0.00419823, -0.00403913, -0.00395467, -0.00393214" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0377113, 0.037716, 0.0376486, 0.0373847, 0.0370548, 0.0367963", \
-            "0.0374915, 0.0375344, 0.0374586, 0.0372082, 0.0368871, 0.0366356", \
-            "0.0373298, 0.0373402, 0.0372606, 0.0370299, 0.0367296, 0.0364856", \
-            "0.0371625, 0.0371557, 0.0370946, 0.0369113, 0.0366527, 0.0364274", \
-            "0.0371189, 0.0371116, 0.0370843, 0.0370513, 0.0367893, 0.0366544", \
-            "0.0374449, 0.0373999, 0.0374607, 0.0373685, 0.0371822, 0.0371119" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0175661, 0.017627, 0.0177015, 0.0177418, 0.0178764, 0.0184536", \
-            "0.0175101, 0.017596, 0.0176273, 0.0176903, 0.0178879, 0.0184368", \
-            "0.0174536, 0.017517, 0.0175874, 0.0176627, 0.0178256, 0.0184192", \
-            "0.0173776, 0.0174252, 0.0175035, 0.0176099, 0.0177963, 0.0184065", \
-            "0.0173533, 0.017373, 0.0174794, 0.0175383, 0.0177569, 0.0183765", \
-            "0.0176583, 0.0176414, 0.017646, 0.017667, 0.0178572, 0.0183817" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0378085, 0.0379027, 0.0379642, 0.0379102, 0.0378087, 0.0377239", \
-            "0.0376669, 0.0377755, 0.0378419, 0.0377976, 0.0377007, 0.0376158", \
-            "0.0375192, 0.0376172, 0.0376806, 0.0376543, 0.0375676, 0.0374847", \
-            "0.0374115, 0.0374946, 0.03758, 0.0376077, 0.0375672, 0.0375046", \
-            "0.0372557, 0.0374081, 0.0374685, 0.0375805, 0.0376499, 0.0375845", \
-            "0.0380107, 0.0380224, 0.038053, 0.0381064, 0.0381761, 0.0381508" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "7.46106e-05, 5.73266e-05, -8.13769e-06, -0.000122694, -0.000251057, -0.000352528", \
-            "-0.000459827, -0.000466932, -0.000509062, -0.000617629, -0.000740616, -0.000842117", \
-            "-0.00119815, -0.00120166, -0.00124139, -0.00129558, -0.00140562, -0.00150548", \
-            "-0.00175629, -0.00178268, -0.00184874, -0.00189631, -0.00204996, -0.00215785", \
-            "-0.00200982, -0.00204364, -0.00204435, -0.00211147, -0.00229066, -0.00242364", \
-            "-0.00117844, -0.00117042, -0.00131234, -0.00126279, -0.00137335, -0.00161695" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0318061, 0.0319483, 0.0320896, 0.032111, 0.0320732, 0.0320302", \
-            "0.031595, 0.031753, 0.0318772, 0.0319076, 0.0318733, 0.0318342", \
-            "0.0313882, 0.0315334, 0.0316586, 0.0317026, 0.0316789, 0.0316415", \
-            "0.0311474, 0.0312859, 0.0314243, 0.0315115, 0.0315293, 0.0315113", \
-            "0.0310348, 0.0311004, 0.0312225, 0.0313834, 0.0314147, 0.0314595", \
-            "0.0317954, 0.0318605, 0.0319822, 0.0320789, 0.0321242, 0.0322337" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0173523, 0.0175035, 0.0176897, 0.017895, 0.0181475, 0.0188175", \
-            "0.0172904, 0.0174511, 0.0176472, 0.0178637, 0.0181356, 0.0188085", \
-            "0.0172002, 0.0173505, 0.0175582, 0.0178122, 0.018103, 0.0187918", \
-            "0.0170163, 0.0171781, 0.0174129, 0.0177071, 0.0180685, 0.0187598", \
-            "0.0167501, 0.0168863, 0.0171492, 0.0174787, 0.0179227, 0.018702", \
-            "0.0168311, 0.0168935, 0.017044, 0.0172365, 0.0178216, 0.0185743" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00517933, 0.00522317, 0.00528198, 0.0053873, 0.0055658, 0.00606804", \
-            "0.00506792, 0.00513135, 0.00521029, 0.00531104, 0.00555567, 0.00601722", \
-            "0.00489021, 0.00497829, 0.0050865, 0.00523135, 0.00549517, 0.00598988", \
-            "0.00460647, 0.00471882, 0.00487663, 0.00504035, 0.00535004, 0.0059131", \
-            "0.00437673, 0.00445511, 0.00459207, 0.00486395, 0.00521629, 0.00579871", \
-            "0.00454464, 0.00460018, 0.00477755, 0.00488927, 0.00524414, 0.00574065" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0168181, 0.0169552, 0.0170942, 0.0172209, 0.0174017, 0.0179978", \
-            "0.0167093, 0.0168622, 0.0170244, 0.0171675, 0.0173811, 0.0179956", \
-            "0.0165358, 0.0166951, 0.0168922, 0.0170826, 0.0173218, 0.0179603", \
-            "0.0163628, 0.0165222, 0.0167302, 0.017016, 0.0173033, 0.0179383", \
-            "0.0164519, 0.0165146, 0.0166664, 0.0169312, 0.0172546, 0.0178873", \
-            "0.0170332, 0.0171358, 0.0170801, 0.0171339, 0.0174257, 0.0179974" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00352674, 0.00360204, 0.00368674, 0.00379994, 0.00404487, 0.00459386", \
-            "0.00346612, 0.00357158, 0.00366913, 0.00379507, 0.00404416, 0.00460983", \
-            "0.0033465, 0.00344703, 0.00358483, 0.00374397, 0.00401933, 0.00460212", \
-            "0.00305774, 0.00321909, 0.00341699, 0.00361239, 0.0039452, 0.00454984", \
-            "0.00292543, 0.0030361, 0.00322842, 0.00348289, 0.00385435, 0.00449166", \
-            "0.00314146, 0.00315688, 0.00336424, 0.00344311, 0.00380464, 0.00447814" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * !CI)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "(!A * !CI)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "-0.00890829, -0.00874505, -0.00858857, -0.00848944, -0.00844559, -0.00843141", \
-            "-0.00913865, -0.00898334, -0.00882626, -0.00872618, -0.00868184, -0.00866781", \
-            "-0.0094616, -0.00932549, -0.00917406, -0.00905648, -0.00899667, -0.00897491", \
-            "-0.00973955, -0.00965991, -0.00954071, -0.00943215, -0.00931656, -0.00927555", \
-            "-0.00977987, -0.00968495, -0.00955571, -0.00944932, -0.00937405, -0.00931959", \
-            "-0.00850599, -0.0084921, -0.00854579, -0.00844371, -0.00827179, -0.00822678" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0332003, 0.0332573, 0.0332704, 0.0332331, 0.0331784, 0.0331382", \
-            "0.0328079, 0.0328681, 0.0329063, 0.0328852, 0.0328411, 0.0328049", \
-            "0.0323735, 0.0324327, 0.03248, 0.0324831, 0.0325373, 0.0325056", \
-            "0.0323939, 0.0324593, 0.0325097, 0.0325322, 0.0325159, 0.0324847", \
-            "0.033068, 0.0330671, 0.0331195, 0.0331446, 0.033193, 0.0331221", \
-            "0.0354655, 0.0354347, 0.0353799, 0.0352818, 0.0352797, 0.0351622" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0173523, 0.0175035, 0.0176897, 0.017895, 0.0181475, 0.0188175", \
-            "0.0172904, 0.0174511, 0.0176472, 0.0178637, 0.0181356, 0.0188085", \
-            "0.0172002, 0.0173505, 0.0175582, 0.0178122, 0.018103, 0.0187918", \
-            "0.0170163, 0.0171781, 0.0174129, 0.0177071, 0.0180685, 0.0187598", \
-            "0.0167501, 0.0168863, 0.0171492, 0.0174787, 0.0179227, 0.018702", \
-            "0.0168311, 0.0168935, 0.017044, 0.0172365, 0.0178216, 0.0185743" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0332003, 0.0332573, 0.0332704, 0.0332331, 0.0331784, 0.0331382", \
-            "0.0328079, 0.0328681, 0.0329063, 0.0328852, 0.0328411, 0.0328049", \
-            "0.0323735, 0.0324327, 0.03248, 0.0324831, 0.0325373, 0.0325056", \
-            "0.0323939, 0.0324593, 0.0325097, 0.0325322, 0.0325159, 0.0324847", \
-            "0.033068, 0.0330671, 0.0331195, 0.0331446, 0.033193, 0.0331221", \
-            "0.0354655, 0.0354347, 0.0353799, 0.0352818, 0.0352797, 0.0351622" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00352759, 0.00350339, 0.00342161, 0.00328956, 0.00315581, 0.00305335", \
-            "0.00333709, 0.00331729, 0.00324743, 0.00312707, 0.00300147, 0.00290348", \
-            "0.0030269, 0.00301342, 0.00296038, 0.00286443, 0.0027529, 0.00265861", \
-            "0.00293895, 0.00289626, 0.00282476, 0.00273412, 0.00266219, 0.00248327", \
-            "0.00306943, 0.00303664, 0.00298433, 0.00290988, 0.00276939, 0.00264513", \
-            "0.00399182, 0.00390154, 0.00383974, 0.00381896, 0.00372624, 0.00354732" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0278743, 0.0280174, 0.0281246, 0.0281592, 0.0281448, 0.0281218", \
-            "0.0277515, 0.0278774, 0.0279928, 0.0280348, 0.0280217, 0.0279988", \
-            "0.0275896, 0.0277144, 0.0278296, 0.0278844, 0.0278495, 0.0278295", \
-            "0.0274218, 0.0275446, 0.0276757, 0.0277624, 0.0277313, 0.0277286", \
-            "0.0273392, 0.0273795, 0.0275025, 0.027642, 0.0277162, 0.0277265", \
-            "0.0280248, 0.0281009, 0.0281618, 0.0282233, 0.0282988, 0.0283912" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0150778, 0.0152632, 0.0155114, 0.0157972, 0.0161217, 0.0167935", \
-            "0.0150002, 0.0151988, 0.0154652, 0.0157769, 0.0161077, 0.0167866", \
-            "0.0148984, 0.015092, 0.0153875, 0.0157189, 0.0160698, 0.016775", \
-            "0.0148438, 0.0150169, 0.0152887, 0.0156502, 0.0160507, 0.0167614", \
-            "0.0149553, 0.0150986, 0.0152579, 0.0156096, 0.0160175, 0.0167602", \
-            "0.0157543, 0.0157337, 0.0157329, 0.0158237, 0.016184, 0.0168358" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00552326, 0.00558233, 0.00568029, 0.00584713, 0.00619405, 0.0068689", \
-            "0.00549865, 0.00557784, 0.00567236, 0.00585356, 0.00621463, 0.00686725", \
-            "0.0054118, 0.0054901, 0.00560821, 0.00579899, 0.00619159, 0.0068755", \
-            "0.00538705, 0.00544934, 0.00552718, 0.00569833, 0.0061032, 0.00685259", \
-            "0.00541433, 0.00552896, 0.00561753, 0.00570943, 0.00602973, 0.00680075", \
-            "0.005872, 0.00592393, 0.00592063, 0.00602556, 0.00623816, 0.00678879" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0135624, 0.0136429, 0.0137524, 0.0139353, 0.0143823, 0.0154491", \
-            "0.013501, 0.0135842, 0.0137095, 0.013903, 0.0143796, 0.0154444", \
-            "0.013421, 0.0135056, 0.01364, 0.0138611, 0.0143463, 0.0154297", \
-            "0.0134369, 0.0134921, 0.0136215, 0.0138479, 0.0143357, 0.015436", \
-            "0.0135776, 0.013705, 0.0137005, 0.0138493, 0.0142872, 0.0154024", \
-            "0.0144443, 0.0144027, 0.0143725, 0.0143833, 0.0146148, 0.0155229" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.00438067, 0.00446959, 0.00457805, 0.00472091, 0.00500202, 0.00560126", \
-            "0.00433003, 0.00442175, 0.00452997, 0.00467014, 0.00494173, 0.0055358", \
-            "0.00423592, 0.00432739, 0.00448276, 0.00461654, 0.00489156, 0.00548256", \
-            "0.00404046, 0.0041523, 0.00433721, 0.00454092, 0.00485737, 0.0054359", \
-            "0.0040118, 0.00407488, 0.00418676, 0.00437428, 0.00477859, 0.00538766", \
-            "0.00444924, 0.00442263, 0.00446808, 0.00460165, 0.00487104, 0.00540543" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * !B)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        when : "(!A * !B)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.000122254, 0.000246038, 0.000392709, 0.000506322, 0.000571341, 0.000596876", \
-            "-1.53275e-05, 0.000112093, 0.000274821, 0.000392042, 0.000459118, 0.000484314", \
-            "-0.000273124, -0.00015723, -9.17993e-06, 0.000116394, 0.000192954, 0.000223003", \
-            "-0.000584722, -0.000482615, -0.000394533, -0.000238283, -0.000179799, -9.39538e-05", \
-            "-0.000744108, -0.000701548, -0.0005793, -0.000251182, -0.00037963, -0.000350792", \
-            "-7.65854e-05, -0.000106582, -7.47139e-05, 4.35546e-05, 0.000207318, 0.000271698" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0301989, 0.030262, 0.0302162, 0.0300873, 0.029936, 0.029823", \
-            "0.0300679, 0.0301036, 0.0300877, 0.0299743, 0.0298291, 0.0297196", \
-            "0.029925, 0.0299966, 0.0299711, 0.029873, 0.0297404, 0.0296341", \
-            "0.0298684, 0.0298887, 0.0298907, 0.0298374, 0.0297362, 0.0296423", \
-            "0.029859, 0.0298735, 0.0299332, 0.0299438, 0.029864, 0.0297704", \
-            "0.0309789, 0.0309396, 0.0308932, 0.0307811, 0.0307301, 0.0307208" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CI";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0150778, 0.0152632, 0.0155114, 0.0157972, 0.0161217, 0.0167935", \
-            "0.0150002, 0.0151988, 0.0154652, 0.0157769, 0.0161077, 0.0167866", \
-            "0.0148984, 0.015092, 0.0153875, 0.0157189, 0.0160698, 0.016775", \
-            "0.0148438, 0.0150169, 0.0152887, 0.0156502, 0.0160507, 0.0167614", \
-            "0.0149553, 0.0150986, 0.0152579, 0.0156096, 0.0160175, 0.0167602", \
-            "0.0157543, 0.0157337, 0.0157329, 0.0158237, 0.016184, 0.0168358" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760732, 0.0158973, 0.0332213, 0.0694239, 0.145078");
-          values ( \
-            "0.0301989, 0.030262, 0.0302162, 0.0300873, 0.029936, 0.029823", \
-            "0.0300679, 0.0301036, 0.0300877, 0.0299743, 0.0298291, 0.0297196", \
-            "0.029925, 0.0299966, 0.0299711, 0.029873, 0.0297404, 0.0296341", \
-            "0.0298684, 0.0298887, 0.0298907, 0.0298374, 0.0297362, 0.0296423", \
-            "0.029859, 0.0298735, 0.0299332, 0.0299438, 0.029864, 0.0297704", \
-            "0.0309789, 0.0309396, 0.0308932, 0.0307811, 0.0307301, 0.0307208" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0210017;
-      rise_capacitance : 0.0210017;
-      rise_capacitance_range (0.0166948, 0.0210017);
-      fall_capacitance : 0.0207573;
-      fall_capacitance_range (0.014838, 0.0207573);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0209227;
-      rise_capacitance : 0.0209227;
-      rise_capacitance_range (0.0159333, 0.0209227);
-      fall_capacitance : 0.0205048;
-      fall_capacitance_range (0.0147408, 0.0205048);
-    }
-    pin (CI) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.016031;
-      rise_capacitance : 0.016031;
-      rise_capacitance_range (0.01214, 0.016031);
-      fall_capacitance : 0.0154321;
-      fall_capacitance_range (0.0114367, 0.0154321);
-    }
-  }
-  cell (ADDHX1) {
-    area : 27.8388;
-    cell_footprint : "ADDH";
-    cell_leakage_power : 0.709793;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * CO * CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.819799;
-      when : "(A * B * CO * CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !CO * !CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.819576;
-      when : "(A * !B * !CO * !CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !CO * !CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.646876;
-      when : "(!A * B * !CO * !CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !CO * CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.55292;
-      when : "(!A * !B * !CO * CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.709793;
-      related_pg_pin : VDD;
-    }
-    pin (CO) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.215129;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0874739, 0.101747, 0.128965, 0.184618, 0.307245, 0.58393", \
-            "0.091671, 0.105968, 0.133198, 0.188877, 0.311494, 0.588176", \
-            "0.101795, 0.116008, 0.14319, 0.198898, 0.321556, 0.598292", \
-            "0.12012, 0.134664, 0.162163, 0.217998, 0.340862, 0.617638", \
-            "0.140033, 0.155741, 0.184111, 0.240645, 0.363686, 0.640807", \
-            "0.151334, 0.16929, 0.199652, 0.257085, 0.3801, 0.657527" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0314341, 0.0460472, 0.0789557, 0.156552, 0.338113, 0.752669", \
-            "0.0313941, 0.0460068, 0.0789983, 0.156518, 0.338113, 0.752655", \
-            "0.0314151, 0.0460949, 0.07897, 0.156563, 0.338117, 0.752658", \
-            "0.0335798, 0.0478121, 0.0800879, 0.156921, 0.338154, 0.752665", \
-            "0.0383828, 0.052071, 0.0833736, 0.159095, 0.339089, 0.752724", \
-            "0.0477234, 0.0610762, 0.0904197, 0.162319, 0.340469, 0.754018" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0758845, 0.087515, 0.109575, 0.154641, 0.253783, 0.477725", \
-            "0.0819997, 0.0936181, 0.115781, 0.160906, 0.260069, 0.484025", \
-            "0.0967085, 0.108369, 0.130628, 0.175873, 0.275091, 0.499145", \
-            "0.127616, 0.139786, 0.162456, 0.207904, 0.307218, 0.531224", \
-            "0.175715, 0.189779, 0.214514, 0.261557, 0.361372, 0.58525", \
-            "0.248921, 0.266491, 0.295412, 0.345512, 0.446264, 0.670865" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.024515, 0.0358131, 0.0604308, 0.117122, 0.25005, 0.553708", \
-            "0.0245234, 0.0357911, 0.060448, 0.117094, 0.250048, 0.553708", \
-            "0.0245873, 0.0358457, 0.0604403, 0.117185, 0.249741, 0.553719", \
-            "0.0265859, 0.037355, 0.0612926, 0.117378, 0.249772, 0.553715", \
-            "0.0329378, 0.0434742, 0.0662749, 0.120544, 0.250834, 0.553718", \
-            "0.0431703, 0.0548367, 0.0764678, 0.126969, 0.253812, 0.555014" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0633377, 0.0775319, 0.0788745, 0.0838587, 0.0884566, 0.0908429, 0.0942134, 0.0990058, 0.103801, 0.108615, 0.117152, 0.119623, 0.12602, 0.13025, 0.136468, 0.144896, 0.149699, 0.154238, 0.16029, 0.16809, 0.181376, 0.192098, 0.272424");
-            values ( \
-              "0.00105963, 0.0913134, 0.0968283, 0.114592, 0.126763, 0.131255, 0.135503, 0.137955, 0.134751, 0.122305, 0.0751237, 0.0640419, 0.0423957, 0.0321059, 0.0207054, 0.0122761, 0.00879447, 0.00653594, 0.00455873, 0.00254901, 0.000801898, 5.69424e-05, 0.000185384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823097");
-            index_3 ("0.0634017, 0.084996, 0.0918015, 0.0981021, 0.101708, 0.10634, 0.113276, 0.121293, 0.128081, 0.141077, 0.15181, 0.166892, 0.180262, 0.188199, 0.201389, 0.223857");
-            values ( \
-              "0.00239307, 0.16147, 0.188196, 0.204357, 0.210015, 0.213901, 0.213589, 0.201961, 0.176765, 0.111665, 0.0715675, 0.0371918, 0.0199998, 0.0136421, 0.00695943, 0.00180521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186107");
-            index_3 ("0.0632711, 0.0921425, 0.103627, 0.108913, 0.115961, 0.124316, 0.128786, 0.137727, 0.146086, 0.152845, 0.165395, 0.183184, 0.199637, 0.212333, 0.225317, 0.245336, 0.258088, 0.274348, 0.295906, 0.309866, 0.351831");
-            values ( \
-              "0.00298578, 0.229323, 0.270548, 0.280574, 0.288128, 0.291014, 0.289937, 0.283951, 0.27341, 0.260828, 0.225605, 0.163384, 0.115004, 0.0856279, 0.0621723, 0.0370854, 0.0260935, 0.0165544, 0.00855117, 0.00590173, 0.00264194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0420799");
-            index_3 ("0.0632899, 0.101044, 0.117305, 0.129209, 0.14523, 0.161733, 0.172947, 0.195188, 0.2083, 0.221027, 0.246481, 0.283727, 0.315762, 0.354091, 0.376753, 0.404328, 0.420822, 0.461995, 0.49643, 0.524434, 0.600478");
-            values ( \
-              "0.0200248, 0.294185, 0.335703, 0.347906, 0.350706, 0.344301, 0.337187, 0.318563, 0.304596, 0.288377, 0.247554, 0.17991, 0.129544, 0.0835251, 0.0631811, 0.0445739, 0.0358745, 0.020318, 0.012875, 0.00900696, 0.0041429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0951451");
-            index_3 ("0.074527, 0.0919567, 0.0997268, 0.108867, 0.1222, 0.132463, 0.148697, 0.162818, 0.176607, 0.198634, 0.221595, 0.266998, 0.318848, 0.369824, 0.395564, 0.435965, 0.515025, 0.541333, 0.59395, 0.628002, 0.65625, 0.691472, 0.737785, 0.752038, 0.780546, 0.832809, 0.879563, 0.942377, 1.00324, 1.07103, 1.14536, 1.22851, 1.39483");
-            values ( \
-              "0.130035, 0.263341, 0.303987, 0.338524, 0.368761, 0.381195, 0.389462, 0.390349, 0.388006, 0.381692, 0.373133, 0.35446, 0.32959, 0.299779, 0.281741, 0.249793, 0.183987, 0.163487, 0.126617, 0.106099, 0.0910932, 0.0747794, 0.0571301, 0.0524893, 0.0442035, 0.0318922, 0.0238736, 0.0162573, 0.0110959, 0.0072056, 0.00442445, 0.00255774, 0.000844142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.215129");
-            index_3 ("0.0876, 0.133086, 0.144618, 0.159993, 0.181626, 0.199368, 0.219644, 0.260195, 0.462124, 0.527137, 0.595511, 0.678668, 0.780841, 0.856839, 1.07296, 1.15329, 1.23645, 1.29225, 1.3611, 1.43386, 1.51701, 1.55466, 1.62994, 1.71064, 1.7938, 1.90641, 2.05155, 2.21786, 2.38417, 2.55049, 2.88312");
-            values ( \
-              "0.387088, 0.397216, 0.406288, 0.412138, 0.413432, 0.411884, 0.408952, 0.401978, 0.363676, 0.349732, 0.333594, 0.311891, 0.280442, 0.253524, 0.173598, 0.146596, 0.121498, 0.106423, 0.0898769, 0.0746177, 0.0599882, 0.0541816, 0.0441584, 0.0352956, 0.0280775, 0.0203821, 0.0133753, 0.00816618, 0.00494868, 0.00298283, 0.00106658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0831619, 0.0917223, 0.0969933, 0.102005, 0.106829, 0.112331, 0.117125, 0.119253, 0.121912, 0.126732, 0.135318, 0.144672, 0.154381, 0.166193, 0.180123, 0.190261");
-            values ( \
-              "0.0037882, 0.0728344, 0.0971392, 0.114951, 0.127445, 0.135721, 0.137902, 0.137249, 0.134753, 0.122158, 0.0748016, 0.0408847, 0.0208649, 0.00974496, 0.00399502, 0.00215526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823097");
-            index_3 ("0.0835631, 0.101389, 0.108849, 0.112404, 0.117515, 0.124546, 0.131475, 0.138401, 0.140762, 0.14328, 0.146271, 0.152251, 0.159393, 0.163864, 0.170075, 0.178671, 0.184349, 0.189616, 0.19312, 0.200127, 0.204992, 0.209904, 0.216452, 0.225921, 0.233417, 0.240635, 0.249144, 0.329927");
-            values ( \
-              "0.013662, 0.152646, 0.185374, 0.195893, 0.20663, 0.21442, 0.213596, 0.204877, 0.198596, 0.190027, 0.176813, 0.145325, 0.111111, 0.0928554, 0.0713743, 0.0496122, 0.0384407, 0.0302636, 0.0257561, 0.0184664, 0.0146202, 0.0114938, 0.00821743, 0.00487549, 0.00304091, 0.00182257, 0.00113374, 0.000264713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186107");
-            index_3 ("0.0860372, 0.0999445, 0.10687, 0.110282, 0.117105, 0.123497, 0.135399, 0.146976, 0.158698, 0.163469, 0.171022, 0.17595, 0.184836, 0.199132, 0.216238, 0.227499, 0.236863, 0.244172, 0.254233, 0.262884, 0.272366, 0.286009, 0.290898, 0.301623, 0.31102, 0.324811, 0.340936, 0.371949, 0.444614");
-            values ( \
-              "0.0111423, 0.170732, 0.212984, 0.229747, 0.256429, 0.273308, 0.289361, 0.29028, 0.281247, 0.274795, 0.260862, 0.248723, 0.220855, 0.170049, 0.119175, 0.0919555, 0.0734229, 0.0611639, 0.0472003, 0.0375983, 0.029133, 0.0199938, 0.017411, 0.0127223, 0.00949346, 0.006343, 0.00427364, 0.0023743, 0.000568988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0420799");
-            index_3 ("0.0857339, 0.111677, 0.124895, 0.138091, 0.147561, 0.162675, 0.18005, 0.191267, 0.213504, 0.22665, 0.239344, 0.264733, 0.302097, 0.331633, 0.354756, 0.374698, 0.414978, 0.445189, 0.471742, 0.505552, 0.532074, 0.612284");
-            values ( \
-              "0.0314542, 0.260582, 0.313438, 0.340274, 0.348263, 0.351159, 0.344484, 0.337141, 0.318671, 0.304563, 0.288385, 0.247667, 0.179823, 0.133028, 0.102752, 0.0812226, 0.0491904, 0.0331283, 0.0229225, 0.014502, 0.0103161, 0.00429233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0951451");
-            index_3 ("0.0966015, 0.110194, 0.119081, 0.127051, 0.140269, 0.150643, 0.167052, 0.181083, 0.194784, 0.216811, 0.239773, 0.290281, 0.337026, 0.388003, 0.413743, 0.44316, 0.533204, 0.559522, 0.612157, 0.646187, 0.674415, 0.709643, 0.75596, 0.770217, 0.798732, 0.837692, 0.884061, 0.932622, 0.961215, 1.01815, 1.08469, 1.16012, 1.24328, 1.40959");
-            values ( \
-              "0.2402, 0.264067, 0.309597, 0.338526, 0.368769, 0.381119, 0.389631, 0.390259, 0.388097, 0.381613, 0.373208, 0.35216, 0.329582, 0.299787, 0.281736, 0.258777, 0.18399, 0.163476, 0.126601, 0.106092, 0.0910971, 0.0747848, 0.0571288, 0.052491, 0.0441994, 0.0346964, 0.0260017, 0.0193319, 0.0162379, 0.0113547, 0.00744196, 0.00453461, 0.00262456, 0.000866744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.215129");
-            index_3 ("0.0966388, 0.124301, 0.132955, 0.145148, 0.15522, 0.172217, 0.187595, 0.202506, 0.229329, 0.262932, 0.44789, 0.502063, 0.585221, 0.671139, 0.735795, 0.798378, 1.09132, 1.1718, 1.25496, 1.32038, 1.37915, 1.45199, 1.53515, 1.64854, 1.72913, 1.81229, 1.92462, 1.9864, 2.06956, 2.15272, 2.23588, 2.40219, 2.65166, 2.90113");
-            values ( \
-              "0.221358, 0.338592, 0.365245, 0.38933, 0.400779, 0.410631, 0.413292, 0.413297, 0.410338, 0.404799, 0.370242, 0.359165, 0.340574, 0.318951, 0.300594, 0.28072, 0.17353, 0.146568, 0.121391, 0.103893, 0.089877, 0.0747041, 0.0599566, 0.0440624, 0.035335, 0.0280022, 0.0204499, 0.0170632, 0.01345, 0.0104706, 0.00823819, 0.00501896, 0.0023141, 0.00113578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.121558, 0.142399, 0.148354, 0.15332, 0.155298, 0.158109, 0.162882, 0.165267, 0.167691, 0.176177, 0.185109, 0.194409, 0.203551, 0.20856, 0.214801, 0.230068, 0.233271");
-            values ( \
-              "0.00652932, 0.113051, 0.128239, 0.135493, 0.136972, 0.137751, 0.13481, 0.130001, 0.121722, 0.0754044, 0.0423983, 0.0226829, 0.0126345, 0.00892893, 0.00591416, 0.00200838, 0.00162618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823097");
-            index_3 ("0.127737, 0.132455, 0.142072, 0.146426, 0.150755, 0.158278, 0.165328, 0.172269, 0.179204, 0.180282, 0.183977, 0.187073, 0.200041, 0.210786, 0.219958, 0.225996, 0.231376, 0.238549, 0.244755, 0.253488, 0.26364, 0.278998, 0.296294, 0.362782");
-            values ( \
-              "0.0342568, 0.0914427, 0.151561, 0.171861, 0.187723, 0.206328, 0.213897, 0.213559, 0.20458, 0.201965, 0.190345, 0.176767, 0.111793, 0.0716555, 0.0485625, 0.0370044, 0.028944, 0.0206805, 0.0153307, 0.0099522, 0.00578953, 0.00216097, 0.0010095, 0.000341583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186107");
-            index_3 ("0.127733, 0.1368, 0.142991, 0.151091, 0.162427, 0.168249, 0.176244, 0.186654, 0.199518, 0.211862, 0.225683, 0.249809, 0.268219, 0.285572, 0.303418, 0.313011, 0.331701, 0.352087, 0.366133, 0.407944");
-            values ( \
-              "0.00832572, 0.139498, 0.185243, 0.228601, 0.269783, 0.280892, 0.288812, 0.290749, 0.280991, 0.260778, 0.220749, 0.139611, 0.0921637, 0.0602643, 0.0379528, 0.0292533, 0.0173913, 0.00938903, 0.00630344, 0.0027504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0420799");
-            index_3 ("0.132359, 0.145559, 0.15236, 0.163041, 0.170583, 0.179958, 0.188256, 0.204712, 0.220465, 0.232, 0.254236, 0.267427, 0.280081, 0.305389, 0.342877, 0.371861, 0.395367, 0.415908, 0.430936, 0.455717, 0.485773, 0.512085, 0.546806, 0.574034, 0.602955, 0.669702, 0.76644");
-            values ( \
-              "0.103899, 0.218368, 0.259639, 0.30459, 0.32488, 0.340688, 0.347606, 0.350682, 0.34454, 0.337092, 0.318644, 0.304501, 0.288375, 0.247805, 0.179753, 0.133765, 0.102894, 0.0807599, 0.0672272, 0.0491901, 0.0331965, 0.0230512, 0.0144068, 0.0101585, 0.00709632, 0.00307047, 0.000830021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0951451");
-            index_3 ("0.141559, 0.165723, 0.180827, 0.191469, 0.207988, 0.221978, 0.235629, 0.257659, 0.280618, 0.33722, 0.377868, 0.42135, 0.45474, 0.596194, 0.625952, 0.681137, 0.731956, 0.772658, 0.810368, 0.852971, 0.888883, 0.938088, 1.00324, 1.06365, 1.13044, 1.20393, 1.28709, 1.45341");
-            values ( \
-              "0.318043, 0.331274, 0.367738, 0.38073, 0.389493, 0.390173, 0.388047, 0.381603, 0.373195, 0.349423, 0.329562, 0.304581, 0.281574, 0.166628, 0.144936, 0.109362, 0.0830819, 0.0657938, 0.052707, 0.040695, 0.0325934, 0.0239596, 0.0160682, 0.0110597, 0.00716487, 0.00448607, 0.00253216, 0.000809305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.215129");
-            index_3 ("0.15007, 0.15009, 0.303758, 0.488786, 0.542958, 0.654756, 0.712035, 0.776691, 0.839275, 1.13222, 1.2127, 1.29586, 1.36128, 1.42004, 1.49289, 1.57604, 1.61384, 1.68944, 1.77003, 1.85319, 1.9655, 2.11044, 2.27676, 2.44307, 2.69254, 2.94202");
-            values ( \
-              "1e-22, 0.421339, 0.404815, 0.370241, 0.359164, 0.333534, 0.31895, 0.300592, 0.280719, 0.173531, 0.146566, 0.121392, 0.103895, 0.0898794, 0.074704, 0.0599583, 0.0542392, 0.0440633, 0.0353342, 0.0280033, 0.0204498, 0.0134498, 0.00823709, 0.00501756, 0.00231551, 0.00113433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.203236, 0.212977, 0.21874, 0.227962, 0.234016, 0.239429, 0.24456, 0.248905, 0.253798, 0.257338, 0.265424, 0.270386, 0.274914, 0.282149, 0.283481, 0.286144, 0.295601, 0.297667, 0.2983, 0.302099, 0.311997, 0.324505, 0.334203, 0.40631");
-            values ( \
-              "0.00377484, 0.0417242, 0.066915, 0.100168, 0.116442, 0.125647, 0.129809, 0.128993, 0.119935, 0.105098, 0.0648621, 0.0472792, 0.0356529, 0.0217566, 0.0209801, 0.0170408, 0.00895955, 0.00839977, 0.00767817, 0.00605375, 0.00313622, 0.00117128, 0.000273573, 0.000174063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823097");
-            index_3 ("0.203253, 0.23268, 0.236321, 0.24609, 0.248915, 0.251882, 0.254855, 0.257994, 0.259122, 0.265893, 0.272968, 0.285644, 0.300599, 0.313678, 0.31835, 0.331554, 0.340198, 0.354963, 0.361783, 0.368986, 0.379384, 0.387219, 0.396052, 0.40611, 0.408358");
-            values ( \
-              "0.00302304, 0.15777, 0.17133, 0.196617, 0.200774, 0.202022, 0.205528, 0.20529, 0.20638, 0.200907, 0.180822, 0.11794, 0.0643952, 0.0359545, 0.0289737, 0.0152679, 0.00980384, 0.00409088, 0.00353663, 0.00129711, 0.00167993, 0.000475667, 0.00142621, 0.000284867, 0.000429256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186107");
-            index_3 ("0.203269, 0.244812, 0.257401, 0.268962, 0.274718, 0.286489, 0.299023, 0.308871, 0.333678, 0.351308, 0.376971, 0.39477, 0.416772, 0.448417, 0.480184, 0.482887");
-            values ( \
-              "0.0114395, 0.24266, 0.273126, 0.285008, 0.284449, 0.279223, 0.259551, 0.233701, 0.149793, 0.1016, 0.0542003, 0.0339786, 0.0185479, 0.00719173, 0.00342916, 0.00333965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0420799");
-            index_3 ("0.203277, 0.24672, 0.256661, 0.267549, 0.275228, 0.286457, 0.297141, 0.31625, 0.337571, 0.352177, 0.367389, 0.392848, 0.430118, 0.462113, 0.5005, 0.523212, 0.550686, 0.567121, 0.608136, 0.643896, 0.671377, 0.745336");
-            values ( \
-              "0.00281444, 0.278674, 0.310119, 0.333058, 0.341896, 0.347383, 0.346959, 0.338568, 0.321989, 0.307269, 0.288321, 0.247518, 0.179857, 0.129574, 0.0835076, 0.0631179, 0.0445953, 0.0359127, 0.0203875, 0.0127068, 0.00895107, 0.00421272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0951451");
-            index_3 ("0.21737, 0.24255, 0.248768, 0.258359, 0.266452, 0.27856, 0.289939, 0.306801, 0.323013, 0.345086, 0.368011, 0.415157, 0.465252, 0.515997, 0.543461, 0.582396, 0.657222, 0.716554, 0.769517, 0.797527, 0.847275, 0.898608, 0.920055, 0.961484, 1.00575, 1.06356, 1.09815, 1.16096, 1.22766, 1.30444, 1.3876, 1.55392");
-            values ( \
-              "0.124344, 0.273668, 0.302472, 0.33531, 0.356317, 0.375363, 0.384472, 0.388882, 0.387358, 0.381395, 0.373094, 0.353643, 0.329582, 0.299935, 0.280628, 0.24978, 0.187316, 0.142614, 0.108892, 0.0937023, 0.07091, 0.052491, 0.0461221, 0.0357109, 0.0270835, 0.0190447, 0.0153817, 0.0103701, 0.00675488, 0.00409279, 0.00234444, 0.000764374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.215129");
-            index_3 ("0.233458, 0.283584, 0.300019, 0.315873, 0.35597, 0.38884, 0.426342, 0.491747, 0.630681, 0.742449, 0.864453, 1.00374, 1.17969, 1.30024, 1.46864, 1.56851, 1.69361, 1.70135, 1.71683, 1.7478, 1.79601, 1.95855, 2.02346, 2.10662, 2.18977, 2.27293, 2.35609, 2.43925, 2.5224, 2.60556, 2.68872, 2.77187, 2.85503, 2.93819, 3.02135");
-            values ( \
-              "0.375584, 0.397198, 0.407692, 0.413024, 0.411172, 0.404543, 0.399099, 0.385629, 0.359869, 0.334233, 0.301267, 0.254193, 0.187252, 0.145921, 0.0984043, 0.0763877, 0.0547278, 0.0549061, 0.0513637, 0.0484755, 0.0412387, 0.0260515, 0.0228944, 0.0168601, 0.0144081, 0.0101115, 0.0090679, 0.00590679, 0.00576752, 0.00332493, 0.00375049, 0.00175312, 0.00252545, 0.000800817, 0.00178394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.357681, 0.3738, 0.395242, 0.405035, 0.409196, 0.415139, 0.420871, 0.426595, 0.439242, 0.446286, 0.455157, 0.468127, 0.476501, 0.488733, 0.501761");
-            values ( \
-              "3.24942e-05, 0.024788, 0.0791313, 0.0999733, 0.106958, 0.113627, 0.115444, 0.108894, 0.0566903, 0.0371544, 0.0209976, 0.00914478, 0.00552858, 0.00247721, 0.000955277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823097");
-            index_3 ("0.361113, 0.372816, 0.375146, 0.382087, 0.405277, 0.413348, 0.41765, 0.423145, 0.431053, 0.438887, 0.446716, 0.456884, 0.463836, 0.4712, 0.475982, 0.482497, 0.48881, 0.495589, 0.503613, 0.508871, 0.516897, 0.525808, 0.540005, 0.545958");
-            values ( \
-              "0.0130754, 0.0311621, 0.0367312, 0.0590121, 0.14216, 0.165585, 0.175238, 0.184341, 0.190672, 0.187945, 0.170328, 0.124332, 0.0960163, 0.0714896, 0.0591807, 0.0447743, 0.0339249, 0.0250055, 0.0171955, 0.0133879, 0.00901146, 0.00558741, 0.00227577, 0.00162766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186107");
-            index_3 ("0.383647, 0.404011, 0.409844, 0.414983, 0.417494, 0.420751, 0.424476, 0.426338, 0.429721, 0.431242, 0.434284, 0.437436, 0.440588, 0.44374, 0.446891, 0.449996, 0.4531, 0.456205, 0.459309, 0.462413, 0.465518, 0.468622, 0.472128, 0.472932, 0.474138, 0.476952, 0.479364, 0.484188, 0.4863, 0.498973, 0.507715, 0.512438, 0.516273, 0.52256, 0.527461, 0.532309, 0.535905, 0.539099, 0.54309, 0.545085, 0.547674, 0.550262, 0.553937, 0.55898, 0.564465, 0.569388, 0.574312, 0.582791, 0.587425, 0.594454");
-            values ( \
-              "0.157191, 0.168387, 0.194179, 0.214142, 0.2229, 0.233275, 0.243418, 0.248016, 0.255565, 0.258619, 0.264099, 0.265659, 0.266826, 0.267599, 0.267979, 0.267968, 0.267575, 0.266801, 0.265645, 0.263328, 0.260562, 0.257346, 0.253174, 0.251864, 0.249394, 0.242851, 0.236755, 0.223309, 0.216805, 0.174778, 0.146864, 0.132685, 0.122454, 0.106903, 0.0956489, 0.0850555, 0.0779967, 0.0722698, 0.0658322, 0.0629126, 0.0572334, 0.0508178, 0.0482239, 0.0430926, 0.0372154, 0.0328003, 0.028837, 0.0231421, 0.0204505, 0.0167504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0420799");
-            index_3 ("0.365786, 0.382856, 0.422116, 0.436054, 0.447318, 0.466125, 0.477967, 0.492682, 0.527228, 0.550585, 0.613642, 0.653913, 0.686977, 0.71724, 0.778665, 0.829802, 0.886242");
-            values ( \
-              "0.0317744, 0.07845, 0.267322, 0.307741, 0.325994, 0.338002, 0.33698, 0.330787, 0.302818, 0.272686, 0.164185, 0.106423, 0.0719867, 0.0492106, 0.0214742, 0.0108996, 0.00553401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0951451");
-            index_3 ("0.387167, 0.411272, 0.424111, 0.438271, 0.45, 0.468421, 0.482449, 0.495609, 0.518067, 0.574857, 0.638756, 0.716929, 0.888058, 0.971871, 1.02204, 1.06188, 1.12376, 1.15647, 1.21791, 1.29799, 1.43257, 1.48283");
-            values ( \
-              "0.192022, 0.236198, 0.294183, 0.338054, 0.359891, 0.377451, 0.381425, 0.381533, 0.376988, 0.357973, 0.329518, 0.28067, 0.144022, 0.0932876, 0.0704115, 0.0557643, 0.0383213, 0.0312315, 0.0213879, 0.0130363, 0.00549864, 0.00459341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.215129");
-            index_3 ("0.40195, 0.445215, 0.45529, 0.473912, 0.489392, 0.503824, 0.528333, 0.561047, 0.587194, 0.622392, 0.782631, 0.847607, 0.91601, 0.999167, 1.10135, 1.17735, 1.39347, 1.47381, 1.55696, 1.6226, 1.68161, 1.75436, 1.83752, 1.87516, 1.95044, 2.03114, 2.1143, 2.22687, 2.37199, 2.5383, 2.70462, 2.95409, 3.20356");
-            values ( \
-              "0.356628, 0.364713, 0.382055, 0.399555, 0.405717, 0.407239, 0.406546, 0.402141, 0.399711, 0.394073, 0.363654, 0.349717, 0.333586, 0.31187, 0.280427, 0.253518, 0.173588, 0.146606, 0.121488, 0.103931, 0.0898602, 0.0746431, 0.0599685, 0.0542075, 0.0441364, 0.035323, 0.0280544, 0.0204134, 0.0134067, 0.00819708, 0.00497961, 0.00234791, 0.00109891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.689446, 0.705108, 0.713417, 0.725716, 0.743328, 0.751698, 0.759268, 0.766389, 0.77343, 0.78046, 0.786567, 0.791987, 0.798174, 0.806148, 0.812864, 0.818429, 0.830392, 0.844874, 0.854606, 0.869941, 0.887074");
-            values ( \
-              "0.0107013, 0.0169995, 0.0278073, 0.0493991, 0.0736237, 0.0831316, 0.0900111, 0.0939534, 0.0924898, 0.0756001, 0.0543377, 0.0404517, 0.0286296, 0.0181325, 0.0121652, 0.00873005, 0.00440406, 0.00182115, 0.00081202, 0.000354811, 0.000301892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823097");
-            index_3 ("0.683378, 0.703727, 0.706251, 0.711298, 0.716827, 0.735334, 0.757391, 0.767784, 0.777184, 0.786222, 0.795234, 0.798085, 0.813655, 0.823962, 0.832345, 0.839191, 0.846735, 0.855937, 0.860334, 0.867213, 0.875758, 0.882667, 0.889777, 0.898108, 0.914675, 0.975687");
-            values ( \
-              "0.0055247, 0.0236202, 0.0268898, 0.0350805, 0.0460472, 0.0882874, 0.133819, 0.151654, 0.16276, 0.166169, 0.155936, 0.146922, 0.0893992, 0.0609488, 0.0433524, 0.0324713, 0.0234075, 0.0154387, 0.0126061, 0.00908383, 0.00586264, 0.00402279, 0.00258042, 0.00165627, 0.00105491, 0.000363613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186107");
-            index_3 ("0.664346, 0.705377, 0.72128, 0.764455, 0.780692, 0.794925, 0.808436, 0.821948, 0.826412, 0.87837, 0.895079, 0.917241, 0.941065, 0.969857");
-            values ( \
-              "0.00583644, 0.029329, 0.0644692, 0.187182, 0.225567, 0.245205, 0.250893, 0.242598, 0.235763, 0.0947398, 0.0638542, 0.0362126, 0.0189663, 0.00888761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0420799");
-            index_3 ("0.71923, 0.747305, 0.758521, 0.767824, 0.774026, 0.777128, 0.781347, 0.785566, 0.789785, 0.794004, 0.799414, 0.804823, 0.810232, 0.816343, 0.818448, 0.821255, 0.824063, 0.826292, 0.83298, 0.837404, 0.841829, 0.846253, 0.850678, 0.855102, 0.859526, 0.865722, 0.868752, 0.874813, 0.881158, 0.887787, 0.897585, 0.904068, 0.917035, 0.941554, 0.954078, 0.965902, 0.974732, 0.987031, 1.00278, 1.01345, 1.02431, 1.03517, 1.04603, 1.06345, 1.07552, 1.0843, 1.09308, 1.10615, 1.11923, 1.13638");
-            values ( \
-              "0.154109, 0.155499, 0.195072, 0.230668, 0.251785, 0.261558, 0.271573, 0.280727, 0.28902, 0.296451, 0.304554, 0.311352, 0.316845, 0.321482, 0.322694, 0.323893, 0.324656, 0.324674, 0.324187, 0.32342, 0.322298, 0.320822, 0.318991, 0.316806, 0.314265, 0.310075, 0.307613, 0.302073, 0.295253, 0.287315, 0.274482, 0.265268, 0.245112, 0.201338, 0.179522, 0.159388, 0.145516, 0.128019, 0.107813, 0.094738, 0.0830716, 0.0731883, 0.0642024, 0.0516218, 0.043747, 0.0390812, 0.0348254, 0.0293534, 0.0245783, 0.0193213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0951451");
-            index_3 ("0.704725, 0.739269, 0.766609, 0.784172, 0.796349, 0.818935, 0.84327, 0.85003, 0.873205, 0.890153, 0.937727, 0.988124, 1.03939, 1.06486, 1.20868, 1.27183, 1.30737, 1.34162, 1.38727, 1.42193, 1.46256, 1.49906, 1.54748, 1.61852, 1.67861, 1.74582, 1.81872, 1.90188, 2.0682");
-            values ( \
-              "0.061847, 0.136548, 0.240563, 0.299365, 0.329447, 0.362273, 0.374633, 0.375135, 0.372832, 0.368487, 0.351238, 0.327918, 0.298568, 0.280964, 0.165172, 0.121863, 0.101186, 0.0839014, 0.0646427, 0.0527166, 0.0412043, 0.0328214, 0.0243098, 0.015723, 0.010784, 0.00701368, 0.00435423, 0.00250555, 0.000821264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.215129");
-            index_3 ("0.704958, 0.762103, 0.783957, 0.801441, 0.824107, 0.84966, 0.856741, 0.866184, 0.885068, 0.916461, 0.948411, 1.04844, 1.1537, 1.26589, 1.301, 1.38788, 1.45096, 1.52715, 1.7433, 1.82367, 1.90683, 1.97241, 2.03135, 2.10412, 2.18728, 2.22496, 2.30032, 2.38099, 2.46415, 2.57664, 2.63854, 2.7217, 2.88802, 3.05433, 3.3038, 3.55327");
-            values ( \
-              "0.0437768, 0.227342, 0.307521, 0.353199, 0.386192, 0.401698, 0.403163, 0.404027, 0.40441, 0.401027, 0.396222, 0.378331, 0.357884, 0.333327, 0.324653, 0.300575, 0.280491, 0.253511, 0.173578, 0.14659, 0.121469, 0.10393, 0.0898746, 0.0746536, 0.0599748, 0.0542098, 0.0441267, 0.0353203, 0.0280504, 0.0204161, 0.0170908, 0.0134105, 0.00819938, 0.00498101, 0.00234817, 0.00109922" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00628021, 0.00629364, 0.00630865, 0.00632078, 0.00632818, 0.00633202", \
-            "0.00753622, 0.00754425, 0.00755603, 0.00756784, 0.00757634, 0.00758119", \
-            "0.00829455, 0.0082967, 0.00830151, 0.0083087, 0.00831548, 0.00832", \
-            "0.00877539, 0.0087751, 0.0087754, 0.00877727, 0.0087806, 0.00878376", \
-            "0.009077, 0.00907174, 0.00907059, 0.00906957, 0.00907415, 0.00907069", \
-            "0.00928179, 0.00928092, 0.00927945, 0.00927748, 0.00927557, 0.00927457" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00887915, 0.00889005, 0.00890893, 0.00892942, 0.00894421, 0.00895249", \
-            "0.00923105, 0.00922877, 0.00923091, 0.00923897, 0.00924734, 0.00925485", \
-            "0.00917455, 0.00916641, 0.00915567, 0.00914812, 0.00914692, 0.00914867", \
-            "0.00947983, 0.00947871, 0.0094719, 0.00946009, 0.00945028, 0.00944592", \
-            "0.00808872, 0.00862233, 0.00924293, 0.00979865, 0.0101612, 0.0103723", \
-            "0.00628629, 0.00630443, 0.0063717, 0.00697051, 0.00823673, 0.00937536" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0583098, 0.0633615, 0.0653549, 0.0680448, 0.0714575, 0.0793998, 0.0874207, 0.0911766, 0.0949271, 0.0969835, 0.108149, 0.112761, 0.119376, 0.125794, 0.133183, 0.205586");
-            values ( \
-              "-0.0220874, -0.0585353, -0.063246, -0.0969008, -0.116321, -0.152022, -0.173918, -0.175662, -0.16782, -0.155249, -0.0502288, -0.0267843, -0.0104965, -0.00436288, -0.00206021, -0.000984571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823097");
-            index_3 ("0.0580021, 0.0714369, 0.0769641, 0.0875081, 0.0933996, 0.0990055, 0.104537, 0.110264, 0.113064, 0.125195, 0.12995, 0.137436, 0.14596, 0.153407, 0.16298, 0.170475, 0.247074, 0.25813");
-            values ( \
-              "-0.0175775, -0.155938, -0.193071, -0.243733, -0.25946, -0.269106, -0.26721, -0.249315, -0.231139, -0.111667, -0.0773171, -0.0415982, -0.0203732, -0.0112178, -0.00650178, -0.00551755, -0.00118526, -0.00103805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186107");
-            index_3 ("0.0606521, 0.0663549, 0.0727529, 0.0797026, 0.0835563, 0.0919922, 0.100055, 0.106429, 0.111732, 0.121094, 0.130455, 0.136881, 0.140548, 0.147881, 0.160415, 0.168902, 0.175261, 0.179904, 0.189189, 0.200954, 0.210408, 0.226029, 0.237015, 0.256785, 0.296509, 0.335278, 0.354995");
-            values ( \
-              "-0.109483, -0.125618, -0.191442, -0.248285, -0.272885, -0.313503, -0.338644, -0.350857, -0.356897, -0.359161, -0.349164, -0.332537, -0.318325, -0.274186, -0.181737, -0.130424, -0.100364, -0.0824409, -0.0551962, -0.0341586, -0.0241375, -0.0149266, -0.0115799, -0.00798107, -0.00410405, -0.00191256, -0.0016064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0420799");
-            index_3 ("0.0635946, 0.0773854, 0.0862086, 0.0923358, 0.102903, 0.112151, 0.121681, 0.130306, 0.14542, 0.153586, 0.164475, 0.176288, 0.18486, 0.202003, 0.211052, 0.250267, 0.268569, 0.279992, 0.291664, 0.307619, 0.325176, 0.348587, 0.371213, 0.403198, 0.427851, 0.466696, 0.546153, 0.559513");
-            values ( \
-              "-0.185053, -0.25172, -0.31702, -0.349523, -0.387704, -0.407862, -0.419432, -0.424528, -0.425282, -0.422473, -0.416019, -0.405436, -0.394817, -0.362991, -0.335998, -0.183008, -0.129149, -0.103374, -0.0824525, -0.0606831, -0.0441292, -0.0296769, -0.020869, -0.0135577, -0.0101041, -0.0063312, -0.00245717, -0.00228509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0951451");
-            index_3 ("0.0687951, 0.088173, 0.104654, 0.114619, 0.127064, 0.140021, 0.152153, 0.18908, 0.217776, 0.226661, 0.265336, 0.3057, 0.324999, 0.349186, 0.393844, 0.439194, 0.476839, 0.486541, 0.50275, 0.521275, 0.558325, 0.581041, 0.610653, 0.645124, 0.695769, 0.720752, 0.756662, 0.804543, 0.873388, 0.942233, 1.01108, 1.14877, 1.28646");
-            values ( \
-              "-0.33146, -0.346195, -0.416511, -0.437768, -0.455491, -0.462107, -0.465889, -0.461736, -0.452744, -0.4507, -0.435588, -0.412834, -0.397684, -0.37047, -0.290357, -0.204968, -0.148718, -0.136814, -0.11886, -0.101242, -0.0734707, -0.0607212, -0.0476622, -0.0362889, -0.0247615, -0.0207743, -0.0162922, -0.0119288, -0.00774241, -0.00510019, -0.00335106, -0.00147857, -0.000698264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.215129");
-            index_3 ("0.0766789, 0.130654, 0.144929, 0.158955, 0.178693, 0.234828, 0.291093, 0.445439, 0.558082, 0.620287, 0.675309, 0.744154, 0.882562, 0.951407, 0.99595, 1.06459, 1.13344, 1.20615, 1.31587, 1.44084, 1.54534, 1.68303, 1.82072, 2.09611, 2.16489");
-            values ( \
-              "-0.457833, -0.473362, -0.482429, -0.485702, -0.487094, -0.482311, -0.475195, -0.451825, -0.426994, -0.406017, -0.377486, -0.323055, -0.202807, -0.156516, -0.131748, -0.101089, -0.0777279, -0.0589936, -0.0395605, -0.0257223, -0.0183071, -0.0119493, -0.00793591, -0.00356652, -0.00320602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0758261, 0.0854048, 0.0879961, 0.0917173, 0.0994673, 0.103617, 0.107491, 0.111248, 0.115001, 0.117026, 0.12515, 0.129906, 0.135417, 0.141549, 0.148515, 0.156562, 0.178303, 0.224471, 0.288996");
-            values ( \
-              "-0.00277448, -0.0657264, -0.0939072, -0.116772, -0.151652, -0.164297, -0.173691, -0.175732, -0.167696, -0.155438, -0.0738191, -0.0400967, -0.0186447, -0.00789841, -0.00322636, -0.00172219, -0.00246772, -0.000603421, -2.30792e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823097");
-            index_3 ("0.0758226, 0.0883899, 0.0917761, 0.0934487, 0.0967941, 0.107006, 0.113503, 0.117984, 0.119108, 0.121354, 0.124621, 0.130149, 0.131618, 0.133298, 0.144735, 0.150533, 0.154751, 0.158067, 0.162615, 0.168252, 0.178433, 0.186464, 0.271996");
-            values ( \
-              "-0.000213187, -0.117745, -0.159397, -0.165884, -0.1919, -0.241895, -0.260057, -0.267256, -0.2685, -0.269263, -0.267335, -0.249965, -0.242055, -0.229964, -0.116501, -0.0744527, -0.0526039, -0.0398334, -0.0271778, -0.0169486, -0.00793184, -0.00586934, -0.00100792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186107");
-            index_3 ("0.0803149, 0.097078, 0.107575, 0.112337, 0.121861, 0.130716, 0.13478, 0.1402, 0.143804, 0.150817, 0.160843, 0.19219, 0.199974, 0.209619, 0.221363, 0.230867, 0.246432, 0.276931, 0.316205");
-            values ( \
-              "-0.0796069, -0.227289, -0.29324, -0.313935, -0.342478, -0.355917, -0.358731, -0.359456, -0.357749, -0.348607, -0.318239, -0.115856, -0.0835346, -0.0550161, -0.0340804, -0.0240753, -0.0148973, -0.0079912, -0.00494056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0420799");
-            index_3 ("0.0830079, 0.0944652, 0.105265, 0.112539, 0.123319, 0.132328, 0.141845, 0.150481, 0.165642, 0.173657, 0.184344, 0.197497, 0.205029, 0.220094, 0.225161, 0.235294, 0.261183, 0.276723, 0.286489, 0.295535, 0.307597, 0.325808, 0.343503, 0.356858, 0.383567, 0.398224, 0.41604, 0.439794, 0.479853, 0.56009, 0.697446");
-            values ( \
-              "-0.17134, -0.222461, -0.311209, -0.349855, -0.389019, -0.407835, -0.419802, -0.424419, -0.425509, -0.422651, -0.416164, -0.404329, -0.394799, -0.367969, -0.355043, -0.32102, -0.216121, -0.162621, -0.134908, -0.113167, -0.0893552, -0.062918, -0.0456271, -0.0361517, -0.0234937, -0.018953, -0.0149122, -0.011114, -0.00686473, -0.00266686, -0.000698933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0951451");
-            index_3 ("0.0891681, 0.108459, 0.124894, 0.134886, 0.147348, 0.160288, 0.17241, 0.190771, 0.209336, 0.238005, 0.246917, 0.285592, 0.325956, 0.345243, 0.369441, 0.414099, 0.459455, 0.497096, 0.506796, 0.523001, 0.54152, 0.57856, 0.601291, 0.630922, 0.665385, 0.71602, 0.740992, 0.776882, 0.824734, 0.89358, 0.962425, 1.03127, 1.16896, 1.30665");
-            values ( \
-              "-0.33315, -0.347022, -0.416774, -0.438024, -0.455617, -0.462198, -0.465904, -0.464263, -0.46172, -0.452776, -0.450679, -0.435567, -0.412835, -0.397695, -0.370472, -0.290361, -0.204961, -0.148719, -0.136817, -0.118867, -0.101253, -0.073485, -0.0607251, -0.0476574, -0.0362882, -0.0247615, -0.0207755, -0.0162949, -0.0119331, -0.00774413, -0.00510175, -0.00335135, -0.00147842, -0.000697975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.215129");
-            index_3 ("0.097187, 0.150939, 0.165245, 0.179222, 0.199031, 0.255333, 0.310632, 0.465714, 0.509502, 0.578347, 0.640552, 0.695574, 0.76442, 0.902827, 0.971672, 1.01621, 1.08484, 1.15369, 1.2264, 1.33613, 1.39225, 1.49674, 1.63443, 1.77212, 1.97866, 2.15893");
-            values ( \
-              "-0.46173, -0.473587, -0.482429, -0.485814, -0.487028, -0.482208, -0.475225, -0.45176, -0.443311, -0.426932, -0.405956, -0.377546, -0.322998, -0.202862, -0.156464, -0.131803, -0.101146, -0.077682, -0.0590454, -0.0396104, -0.0326231, -0.0229847, -0.014862, -0.00983179, -0.00536338, -0.00345274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.123246, 0.128421, 0.130713, 0.13356, 0.140305, 0.144974, 0.149131, 0.153015, 0.156779, 0.160537, 0.162526, 0.17442, 0.17925, 0.18569, 0.192312, 0.199943, 0.223395, 0.250489");
-            values ( \
-              "-0.0215378, -0.0538834, -0.0612833, -0.0948149, -0.129988, -0.151206, -0.163729, -0.173408, -0.175423, -0.16754, -0.15557, -0.0459816, -0.0236495, -0.00954924, -0.00392853, -0.00191364, -0.00247012, -0.00136397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823097");
-            index_3 ("0.123311, 0.136893, 0.142616, 0.153188, 0.159087, 0.164698, 0.170233, 0.175962, 0.178747, 0.191045, 0.195424, 0.203044, 0.212021, 0.219895, 0.229209, 0.236504, 0.301294, 0.315457");
-            values ( \
-              "-0.0172299, -0.152969, -0.192193, -0.243297, -0.259239, -0.268882, -0.26711, -0.24922, -0.231216, -0.110434, -0.0787394, -0.0419277, -0.0197819, -0.0106016, -0.00643696, -0.00552494, -0.00158675, -0.00134244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186107");
-            index_3 ("0.127719, 0.134585, 0.144168, 0.149998, 0.157858, 0.167348, 0.169393, 0.176592, 0.179542, 0.183238, 0.186909, 0.19425, 0.200569, 0.206353, 0.216558, 0.225049, 0.232, 0.237697, 0.245292, 0.255055, 0.259711, 0.267013, 0.276653, 0.29206, 0.302807, 0.321832, 0.361142, 0.400049, 0.491288");
-            values ( \
-              "-0.135057, -0.150978, -0.239466, -0.277087, -0.314196, -0.342572, -0.346597, -0.356367, -0.358452, -0.35961, -0.359103, -0.352482, -0.338951, -0.318216, -0.253302, -0.190152, -0.145856, -0.115871, -0.084223, -0.0551884, -0.0454084, -0.0339067, -0.0238589, -0.0148652, -0.0115866, -0.00807163, -0.0041639, -0.00192557, -0.000464064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0420799");
-            index_3 ("0.131726, 0.144207, 0.151213, 0.15847, 0.169328, 0.17823, 0.187733, 0.196372, 0.211616, 0.219372, 0.23221, 0.237204, 0.247192, 0.258368, 0.271016, 0.29156, 0.312347, 0.329745, 0.335409, 0.351064, 0.368956, 0.379343, 0.393619, 0.410853, 0.436726, 0.450727, 0.472098, 0.500593, 0.540711, 0.619423, 0.635001");
-            values ( \
-              "-0.244324, -0.261635, -0.312577, -0.350747, -0.38982, -0.408149, -0.420009, -0.424541, -0.425564, -0.422777, -0.41486, -0.410479, -0.399879, -0.383291, -0.35499, -0.279314, -0.197196, -0.142105, -0.127372, -0.0939165, -0.066357, -0.0547244, -0.042399, -0.0316818, -0.0211005, -0.0173482, -0.013122, -0.00930086, -0.00580855, -0.00228432, -0.00209718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0951451");
-            index_3 ("0.134978, 0.155261, 0.169154, 0.1807, 0.192296, 0.204755, 0.218217, 0.236691, 0.255143, 0.311206, 0.3314, 0.370397, 0.390914, 0.412799, 0.429864, 0.499447, 0.550582, 0.602182, 0.635895, 0.670126, 0.705214, 0.758312, 0.828898, 0.885731, 0.954576, 1.09227, 1.09593");
-            values ( \
-              "-0.324356, -0.353883, -0.41252, -0.439306, -0.454218, -0.46201, -0.465481, -0.46461, -0.461366, -0.44351, -0.435298, -0.413768, -0.397798, -0.373796, -0.347641, -0.214355, -0.138877, -0.0888133, -0.0665528, -0.0501652, -0.0379075, -0.0253271, -0.015604, -0.0107921, -0.00705022, -0.00305943, -0.00302745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.215129");
-            index_3 ("0.141299, 0.18463, 0.211491, 0.245289, 0.305117, 0.386426, 0.469725, 0.555558, 0.645143, 0.686623, 0.741635, 0.81048, 0.897413, 0.94888, 1.01773, 1.08516, 1.13092, 1.19977, 1.25201, 1.31329, 1.38213, 1.50711, 1.6116, 1.74929, 1.95583, 2.09352, 2.2708");
-            values ( \
-              "-0.434709, -0.459333, -0.482825, -0.487234, -0.481948, -0.471416, -0.459047, -0.443516, -0.420922, -0.405763, -0.377743, -0.322792, -0.244224, -0.203039, -0.156306, -0.120646, -0.10127, -0.0775532, -0.0636743, -0.0507632, -0.0397257, -0.0258809, -0.0184603, -0.0120985, -0.0065013, -0.00436373, -0.0032506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.214017, 0.221042, 0.224347, 0.231246, 0.238013, 0.243319, 0.248978, 0.252127, 0.254026, 0.25614, 0.260121, 0.262463, 0.270423, 0.276038, 0.27928, 0.281335, 0.285164, 0.291655, 0.299141, 0.322458, 0.368886, 0.434255");
-            values ( \
-              "-0.00511028, -0.0322319, -0.039459, -0.0808334, -0.112765, -0.134264, -0.153161, -0.160238, -0.163566, -0.165771, -0.160524, -0.148316, -0.0733887, -0.0360257, -0.0231447, -0.0174173, -0.0102022, -0.00429444, -0.00209261, -0.00249099, -0.000566853, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823097");
-            index_3 ("0.213942, 0.225785, 0.228368, 0.236268, 0.245061, 0.248897, 0.255505, 0.262511, 0.26422, 0.267094, 0.269913, 0.275583, 0.27815, 0.280888, 0.290269, 0.296371, 0.301013, 0.303863, 0.307696, 0.312807, 0.322814, 0.33079, 0.339059, 0.398958, 0.420291, 0.496742");
-            values ( \
-              "-0.000965393, -0.0683082, -0.0785709, -0.141945, -0.193954, -0.212479, -0.238839, -0.257174, -0.258525, -0.262237, -0.260166, -0.246538, -0.231386, -0.20823, -0.115698, -0.0724176, -0.0494408, -0.0389753, -0.0283028, -0.018509, -0.0086843, -0.00627024, -0.00539558, -0.00162641, -0.000794923, -0.000362671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186107");
-            index_3 ("0.220153, 0.228308, 0.231287, 0.239926, 0.244521, 0.248859, 0.257056, 0.263852, 0.267624, 0.27517, 0.277395, 0.281846, 0.286862, 0.296301, 0.29942, 0.302639, 0.306437, 0.314033, 0.325932, 0.334528, 0.341618, 0.346845, 0.355814, 0.359799, 0.365507, 0.373117, 0.388338, 0.397595, 0.410857, 0.428539, 0.448717, 0.48958, 0.529708, 0.60469");
-            values ( \
-              "-0.0630573, -0.101374, -0.120034, -0.19671, -0.229349, -0.256745, -0.297354, -0.323139, -0.33379, -0.348443, -0.351118, -0.354664, -0.355496, -0.347174, -0.340389, -0.331548, -0.317289, -0.271966, -0.184389, -0.132026, -0.0986226, -0.0790059, -0.0537255, -0.0455143, -0.03621, -0.0272155, -0.0165514, -0.0130701, -0.00986944, -0.00717256, -0.00510405, -0.0023317, -0.000998766, -0.000496483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0420799");
-            index_3 ("0.225628, 0.237118, 0.245016, 0.248881, 0.254871, 0.263407, 0.270383, 0.278052, 0.287705, 0.296382, 0.311265, 0.314292, 0.320345, 0.332352, 0.350486, 0.359134, 0.368358, 0.376821, 0.388105, 0.407133, 0.417606, 0.431426, 0.436784, 0.444516, 0.454826, 0.472702, 0.479493, 0.488024, 0.499398, 0.522147, 0.541347, 0.549089, 0.564573, 0.59554, 0.635808, 0.677419, 0.715293, 0.754922, 0.854055");
-            values ( \
-              "-0.169956, -0.185957, -0.255305, -0.282361, -0.3183, -0.359646, -0.383533, -0.401811, -0.415747, -0.422101, -0.424207, -0.423537, -0.421442, -0.414398, -0.395717, -0.382106, -0.362506, -0.337335, -0.293623, -0.216344, -0.179154, -0.137792, -0.124224, -0.106864, -0.0874145, -0.0619913, -0.0547017, -0.0469113, -0.0384545, -0.0264057, -0.0198171, -0.0177978, -0.0144687, -0.00981815, -0.00613003, -0.00378948, -0.00240178, -0.00151536, -0.000601183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0951451");
-            index_3 ("0.231812, 0.251197, 0.265109, 0.27266, 0.280713, 0.293891, 0.306645, 0.318462, 0.336205, 0.35542, 0.383229, 0.411966, 0.431681, 0.470686, 0.49108, 0.512833, 0.520855, 0.531552, 0.597831, 0.631594, 0.649898, 0.682583, 0.719936, 0.74068, 0.759052, 0.783549, 0.832542, 0.883907, 0.913212, 0.971821, 1.04067, 1.17836, 1.31605, 1.45374");
-            values ( \
-              "-0.288249, -0.310334, -0.387091, -0.413642, -0.433305, -0.452706, -0.46141, -0.464532, -0.464484, -0.461127, -0.453466, -0.443411, -0.435215, -0.413853, -0.397901, -0.374136, -0.362763, -0.345184, -0.217684, -0.164031, -0.140104, -0.105543, -0.0763497, -0.0640829, -0.0550458, -0.0451272, -0.0307296, -0.0211957, -0.0173456, -0.0117859, -0.00766037, -0.00331817, -0.00146689, -0.000695001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.215129");
-            index_3 ("0.241693, 0.284546, 0.298012, 0.311907, 0.325373, 0.344465, 0.398837, 0.443599, 0.598759, 0.655684, 0.724529, 0.786747, 0.84176, 0.910605, 1.04899, 1.14522, 1.23099, 1.29984, 1.35206, 1.41331, 1.48215, 1.60709, 1.71153, 1.84922, 1.98691, 2.19345, 2.28194");
-            values ( \
-              "-0.449512, -0.454378, -0.472291, -0.481526, -0.485254, -0.486792, -0.482496, -0.476896, -0.454028, -0.443368, -0.426884, -0.405904, -0.37761, -0.322936, -0.202954, -0.140864, -0.101226, -0.0776538, -0.0637668, -0.0508506, -0.0396762, -0.0258264, -0.0184058, -0.0120405, -0.00802349, -0.00441787, -0.0038616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.39989, 0.40855, 0.416047, 0.427037, 0.445431, 0.455604, 0.460349, 0.465446, 0.479253, 0.487103, 0.49402, 0.501779, 0.525505, 0.53863");
-            values ( \
-              "-0.0112154, -0.0190754, -0.0327975, -0.0695426, -0.117958, -0.138247, -0.138766, -0.118214, -0.0281121, -0.009965, -0.00386459, -0.00197576, -0.00247092, -0.00192666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823097");
-            index_3 ("0.402904, 0.412663, 0.417345, 0.435515, 0.45053, 0.458091, 0.464918, 0.471387, 0.47786, 0.48435, 0.494524, 0.500263, 0.508456, 0.513848, 0.5195, 0.529909, 0.552021, 0.612572");
-            values ( \
-              "-0.0225629, -0.038231, -0.0515914, -0.12635, -0.183796, -0.2086, -0.225464, -0.232617, -0.224637, -0.188099, -0.102657, -0.0671187, -0.0347865, -0.0224888, -0.0144922, -0.00766352, -0.00453488, -0.00125879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186107");
-            index_3 ("0.410313, 0.422629, 0.456166, 0.466766, 0.471632, 0.478121, 0.48557, 0.489506, 0.497379, 0.499627, 0.504124, 0.510135, 0.520809, 0.535542, 0.545033, 0.550065, 0.560404, 0.566308, 0.5755, 0.587755, 0.599587, 0.620686, 0.640266, 0.681956, 0.707621");
-            values ( \
-              "-0.077051, -0.0859475, -0.251501, -0.291129, -0.304495, -0.318211, -0.328399, -0.331215, -0.331129, -0.328804, -0.322359, -0.305847, -0.246909, -0.148921, -0.102092, -0.0828351, -0.0544382, -0.0429452, -0.0303583, -0.0200359, -0.0143546, -0.0090018, -0.00627589, -0.00290333, -0.00183986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0420799");
-            index_3 ("0.415146, 0.430678, 0.456828, 0.467006, 0.478561, 0.486786, 0.496187, 0.505657, 0.518009, 0.536602, 0.550092, 0.56534, 0.575916, 0.5854, 0.613889, 0.638229, 0.662712, 0.684103, 0.695323, 0.712436, 0.75003, 0.810628, 0.891238, 0.931024");
-            values ( \
-              "-0.123405, -0.137024, -0.285181, -0.329697, -0.36549, -0.383272, -0.397109, -0.405391, -0.409766, -0.405613, -0.395116, -0.375935, -0.353506, -0.323105, -0.208793, -0.132377, -0.0822649, -0.0548265, -0.0453548, -0.0332073, -0.0183767, -0.00827706, -0.00301244, -0.00241302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0951451");
-            index_3 ("0.425176, 0.453772, 0.46888, 0.481218, 0.490857, 0.501873, 0.519574, 0.527572, 0.544184, 0.566047, 0.578241, 0.597734, 0.616778, 0.636502, 0.675531, 0.695655, 0.717121, 0.726682, 0.739429, 0.789918, 0.814929, 0.848656, 0.871338, 0.886835, 0.910259, 0.942113, 0.972142, 1.00795, 1.06131, 1.0902, 1.13493, 1.19457, 1.26341, 1.4011, 1.67648");
-            values ( \
-              "-0.210441, -0.283985, -0.357204, -0.39686, -0.418748, -0.435502, -0.45038, -0.453316, -0.455387, -0.454767, -0.453593, -0.449172, -0.44301, -0.435072, -0.413861, -0.398149, -0.374868, -0.361259, -0.339751, -0.240922, -0.196902, -0.147721, -0.121434, -0.106171, -0.0867223, -0.0660562, -0.0515254, -0.0386508, -0.025689, -0.0209295, -0.0154508, -0.010477, -0.00682871, -0.00295103, -0.000630858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.215129");
-            index_3 ("0.435289, 0.475048, 0.486696, 0.50428, 0.517535, 0.529289, 0.549595, 0.574413, 0.5878, 0.641487, 0.691296, 0.808936, 0.860444, 0.92929, 0.991494, 1.04651, 1.11536, 1.2537, 1.32255, 1.36702, 1.43551, 1.50435, 1.5769, 1.68652, 1.74247, 1.84667, 1.98436, 2.12205, 2.32859, 2.49475");
-            values ( \
-              "-0.345785, -0.390231, -0.423718, -0.454889, -0.467509, -0.473804, -0.478744, -0.482126, -0.482414, -0.477732, -0.471226, -0.453125, -0.443343, -0.426929, -0.405956, -0.377584, -0.32301, -0.202978, -0.156559, -0.131949, -0.101322, -0.0777972, -0.0591715, -0.0396907, -0.032686, -0.0230312, -0.0148725, -0.00982597, -0.00535953, -0.00359905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.782528, 0.798568, 0.809797, 0.815867, 0.828065, 0.849809, 0.85682, 0.863244, 0.869394, 0.875528, 0.885516, 0.891395, 0.895385, 0.898542, 0.904858, 0.912871, 0.922025, 0.933543, 0.942731, 0.987973, 1.0622");
-            values ( \
-              "-0.00391173, -0.0124378, -0.0229674, -0.0329445, -0.0559003, -0.0887071, -0.0982109, -0.105287, -0.108472, -0.0990749, -0.0489102, -0.025994, -0.016137, -0.0108164, -0.00462384, -0.00179406, -0.00157432, -0.002255, -0.00238013, -0.000803794, -9.63356e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823097");
-            index_3 ("0.781658, 0.803567, 0.808757, 0.813215, 0.822135, 0.828267, 0.865638, 0.874432, 0.882533, 0.890387, 0.898762, 0.909526, 0.915996, 0.92321, 0.927502, 0.932407, 0.942218, 0.950705, 0.957935, 1.00742, 1.0278, 1.09873");
-            values ( \
-              "-0.00109065, -0.0259666, -0.0329663, -0.0403691, -0.0607288, -0.0771014, -0.160206, -0.17669, -0.188484, -0.189962, -0.163291, -0.0928609, -0.0594678, -0.0344105, -0.0247972, -0.0170606, -0.00901478, -0.00653409, -0.0056715, -0.00219462, -0.00125206, -0.000272327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186107");
-            index_3 ("0.794854, 0.811355, 0.818459, 0.82389, 0.841997, 0.878252, 0.891428, 0.903379, 0.914788, 0.926472, 0.92926, 0.932446, 0.949423, 0.956413, 0.966065, 0.973783, 0.979568, 0.985147, 0.992585, 1.00746, 1.0168, 1.03064, 1.04909, 1.06927, 1.10951, 1.14967, 1.21594");
-            values ( \
-              "-0.0332445, -0.0479746, -0.0639933, -0.0773816, -0.132189, -0.237655, -0.270076, -0.290376, -0.298363, -0.284718, -0.276604, -0.263741, -0.163161, -0.126208, -0.0863647, -0.0630766, -0.0500148, -0.040305, -0.030612, -0.0185822, -0.0143535, -0.0103801, -0.00715791, -0.00494337, -0.0022054, -0.000959236, -0.000527548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0420799");
-            index_3 ("0.805468, 0.83054, 0.881366, 0.891564, 0.909512, 0.922647, 0.934077, 0.953599, 0.974681, 0.98637, 0.993875, 1.00889, 1.03569, 1.05754, 1.074, 1.09156, 1.11609, 1.1328, 1.15774, 1.17764, 1.21601, 1.27083, 1.31682");
-            values ( \
-              "-0.0754715, -0.106498, -0.281899, -0.313059, -0.355813, -0.375277, -0.385274, -0.391271, -0.379638, -0.363651, -0.347928, -0.299243, -0.19583, -0.130417, -0.0951684, -0.0680293, -0.0435883, -0.0326978, -0.0219172, -0.0164559, -0.00994225, -0.00527286, -0.00331019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0951451");
-            index_3 ("0.818217, 0.849425, 0.894149, 0.911071, 0.925924, 0.93764, 0.95796, 0.976595, 1.00535, 1.03421, 1.05412, 1.09352, 1.11292, 1.13362, 1.14864, 1.16736, 1.21574, 1.24905, 1.27236, 1.29163, 1.31733, 1.35558, 1.38839, 1.41241, 1.46044, 1.50607, 1.5311, 1.58117, 1.65001, 1.71886, 1.7877, 1.92539, 2.06308");
-            values ( \
-              "-0.158187, -0.180164, -0.346038, -0.388815, -0.415107, -0.428332, -0.442672, -0.446891, -0.446105, -0.438736, -0.431073, -0.411118, -0.396341, -0.375038, -0.353797, -0.320486, -0.226985, -0.173434, -0.14218, -0.120429, -0.0963925, -0.0693211, -0.0527781, -0.0434413, -0.0298053, -0.0213742, -0.0179528, -0.0128257, -0.00823534, -0.00538764, -0.00352355, -0.00154198, -0.000723804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.215129");
-            index_3 ("0.838946, 0.922908, 0.944146, 0.965933, 0.989172, 1.01897, 1.10974, 1.27964, 1.36921, 1.43806, 1.51609, 1.63907, 1.70792, 1.75972, 1.86443, 1.93328, 2.0221, 2.0843, 2.21445, 2.3397, 2.47739, 2.82161, 2.84816");
-            values ( \
-              "-0.268866, -0.425476, -0.453602, -0.468234, -0.475079, -0.476804, -0.468133, -0.44317, -0.420799, -0.39348, -0.339284, -0.229606, -0.178032, -0.146137, -0.0975967, -0.0749131, -0.0537532, -0.0428473, -0.02723, -0.0180782, -0.0118077, -0.00433331, -0.00417" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00602315, 0.00603808, 0.00605486, 0.00606824, 0.00607643, 0.00608066", \
-            "0.00699967, 0.00701093, 0.00702721, 0.00704343, 0.00705496, 0.00706148", \
-            "0.00758783, 0.00759301, 0.00760264, 0.00761572, 0.0076275, 0.00763518", \
-            "0.00795456, 0.0079564, 0.00796015, 0.00796727, 0.00797598, 0.00798288", \
-            "0.00816015, 0.00816114, 0.00816324, 0.00816654, 0.00817107, 0.00817615", \
-            "0.00828519, 0.00828591, 0.00828723, 0.00828936, 0.00829209, 0.00829525" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00843518, 0.0084727, 0.00852182, 0.00856701, 0.00859766, 0.00861434", \
-            "0.0093362, 0.0093642, 0.00940907, 0.00946106, 0.00950295, 0.0095285", \
-            "0.0100478, 0.0100649, 0.0100964, 0.0101424, 0.0101895, 0.0102184", \
-            "0.0108455, 0.0108439, 0.0108481, 0.0108561, 0.0108853, 0.0109136", \
-            "0.0106747, 0.010819, 0.0109613, 0.0110726, 0.011147, 0.0111931", \
-            "0.00739807, 0.007577, 0.00815391, 0.0089808, 0.010232, 0.01125" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0905915, 0.1049, 0.132123, 0.187796, 0.310438, 0.58715", \
-            "0.0942911, 0.108551, 0.13581, 0.191495, 0.314142, 0.590861", \
-            "0.102503, 0.116719, 0.143926, 0.199646, 0.322319, 0.599045", \
-            "0.117696, 0.132189, 0.159714, 0.215577, 0.338338, 0.615132", \
-            "0.134945, 0.150482, 0.178863, 0.235517, 0.358648, 0.635439", \
-            "0.143622, 0.161319, 0.192082, 0.249989, 0.373102, 0.650606" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0314338, 0.0460149, 0.079, 0.15655, 0.338125, 0.752687", \
-            "0.0314149, 0.0459988, 0.0789914, 0.156547, 0.338125, 0.752672", \
-            "0.0314291, 0.0460656, 0.0789979, 0.156554, 0.33813, 0.752673", \
-            "0.0331396, 0.0475185, 0.0797646, 0.156815, 0.338206, 0.752687", \
-            "0.0373739, 0.0513365, 0.0828412, 0.158735, 0.338771, 0.752733", \
-            "0.045909, 0.0598326, 0.0897014, 0.162451, 0.340302, 0.753616" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.081416, 0.0934718, 0.116101, 0.161543, 0.260747, 0.484095", \
-            "0.0874974, 0.0995487, 0.122195, 0.167682, 0.266904, 0.490256", \
-            "0.102216, 0.114231, 0.136904, 0.182481, 0.281769, 0.505163", \
-            "0.133983, 0.146195, 0.169106, 0.214865, 0.314247, 0.537727", \
-            "0.185103, 0.199118, 0.223857, 0.271023, 0.370892, 0.594372", \
-            "0.262983, 0.280505, 0.309168, 0.359078, 0.459982, 0.684238" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0243833, 0.0354551, 0.0598532, 0.116424, 0.248903, 0.551405", \
-            "0.0244002, 0.0354742, 0.0598501, 0.116499, 0.248904, 0.551405", \
-            "0.024472, 0.0355489, 0.0599504, 0.116507, 0.248905, 0.551404", \
-            "0.0260879, 0.0367191, 0.0606321, 0.116595, 0.24894, 0.551407", \
-            "0.0322645, 0.0425382, 0.0651504, 0.119509, 0.249569, 0.55145", \
-            "0.042546, 0.0537916, 0.0749348, 0.125254, 0.252159, 0.552541" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0692014, 0.0734846, 0.0789968, 0.0837504, 0.0923034, 0.0972582, 0.102057, 0.106848, 0.111661, 0.120192, 0.129671, 0.139521, 0.149287, 0.156978, 0.162187, 0.16983, 0.18043");
-            values ( \
-              "0.00708311, 0.0542027, 0.0844214, 0.104112, 0.128486, 0.13566, 0.137998, 0.134857, 0.122299, 0.0751644, 0.0407478, 0.0207385, 0.0111707, 0.00663451, 0.00493553, 0.00277088, 0.00129578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823097");
-            index_3 ("0.0704615, 0.076763, 0.0862483, 0.0949317, 0.102421, 0.109453, 0.116381, 0.123304, 0.12441, 0.131173, 0.144322, 0.154993, 0.163786, 0.169897, 0.175836, 0.183755, 0.191312, 0.203953, 0.220986, 0.240343, 0.257736");
-            values ( \
-              "0.000238998, 0.0939275, 0.152806, 0.188838, 0.206956, 0.214371, 0.213811, 0.204785, 0.202068, 0.176828, 0.111003, 0.0713721, 0.0491464, 0.0373252, 0.0284534, 0.019605, 0.0136136, 0.00714882, 0.00253713, 0.000978711, 0.000816865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186107");
-            index_3 ("0.0714391, 0.0849091, 0.0918558, 0.0952539, 0.10205, 0.108469, 0.120372, 0.131949, 0.143513, 0.14844, 0.155996, 0.160935, 0.16981, 0.184108, 0.194263, 0.20157, 0.212556, 0.221528, 0.22848, 0.23903, 0.248196, 0.25756, 0.271115, 0.275923, 0.286366, 0.296146, 0.310869, 0.3276, 0.360415, 0.4415");
-            values ( \
-              "0.0169506, 0.170661, 0.213052, 0.229723, 0.256345, 0.273281, 0.289373, 0.290254, 0.281457, 0.274791, 0.260853, 0.248687, 0.220843, 0.170045, 0.138698, 0.118228, 0.0917876, 0.0739887, 0.0622071, 0.0474141, 0.037258, 0.0289568, 0.0199199, 0.0173827, 0.0128057, 0.00944445, 0.0061576, 0.00412455, 0.00222809, 0.000434069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0420799");
-            index_3 ("0.0714151, 0.0866538, 0.0965203, 0.109654, 0.120641, 0.132384, 0.149014, 0.164219, 0.176117, 0.198344, 0.211649, 0.224197, 0.249292, 0.28705, 0.315319, 0.352225, 0.375461, 0.39984, 0.429691, 0.457289, 0.489718, 0.515321, 0.54579, 0.616154, 0.621016");
-            values ( \
-              "0.00103832, 0.198051, 0.260159, 0.31246, 0.336119, 0.348047, 0.350659, 0.344704, 0.337221, 0.31857, 0.30438, 0.288344, 0.248177, 0.179659, 0.134723, 0.088659, 0.0668911, 0.0491902, 0.0332852, 0.0226951, 0.0146357, 0.0105298, 0.00720709, 0.00297407, 0.00286797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0951451");
-            index_3 ("0.0839727, 0.105598, 0.112055, 0.124969, 0.135651, 0.153081, 0.17124, 0.179808, 0.196944, 0.224785, 0.271888, 0.322042, 0.37307, 0.398578, 0.439168, 0.518248, 0.577449, 0.626768, 0.669918, 0.702293, 0.755372, 0.778155, 0.819115, 0.866497, 0.903219, 0.950677, 1.01395, 1.08406, 1.16556, 1.24854, 1.41449");
-            values ( \
-              "0.281268, 0.315795, 0.338399, 0.368175, 0.381067, 0.389877, 0.389796, 0.388156, 0.383217, 0.373239, 0.353663, 0.329553, 0.299723, 0.281847, 0.24977, 0.183966, 0.139785, 0.108603, 0.0859809, 0.0716322, 0.052495, 0.0457526, 0.0355209, 0.0264189, 0.0211255, 0.0157904, 0.0106171, 0.00676249, 0.00396704, 0.00227304, 0.00074211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.215129");
-            index_3 ("0.0836156, 0.123949, 0.142569, 0.157219, 0.172359, 0.187255, 0.217047, 0.432618, 0.486815, 0.569793, 0.656095, 0.720562, 0.783155, 0.8599, 1.07646, 1.15767, 1.24065, 1.30519, 1.36286, 1.43609, 1.51906, 1.5577, 1.63496, 1.71496, 1.79794, 1.90892, 1.96976, 2.05274, 2.13572, 2.2187, 2.38465, 2.63359, 2.88252");
-            values ( \
-              "0.268487, 0.380082, 0.403457, 0.410673, 0.413299, 0.413267, 0.409948, 0.370217, 0.35918, 0.34057, 0.31885, 0.300595, 0.280674, 0.253509, 0.173424, 0.146198, 0.12116, 0.10391, 0.0901395, 0.0748206, 0.0601157, 0.0542278, 0.0438814, 0.0352173, 0.0279525, 0.0204626, 0.0171476, 0.0134974, 0.0105397, 0.00827007, 0.00503793, 0.00234833, 0.00113245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0849998, 0.0977686, 0.101432, 0.109989, 0.11494, 0.119736, 0.124524, 0.129335, 0.137863, 0.147347, 0.157203, 0.16672, 0.180213, 0.190436");
-            values ( \
-              "0.00559385, 0.0896661, 0.104246, 0.128594, 0.135775, 0.138051, 0.134919, 0.122321, 0.0751873, 0.040744, 0.0207077, 0.0113802, 0.00484329, 0.00291501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823097");
-            index_3 ("0.0825137, 0.111582, 0.120055, 0.127083, 0.134015, 0.142039, 0.148815, 0.161886, 0.172589, 0.187498, 0.201705, 0.221242, 0.238295, 0.243576");
-            values ( \
-              "0.00485654, 0.18616, 0.206834, 0.214188, 0.213576, 0.201998, 0.176789, 0.111336, 0.0714322, 0.0374025, 0.0193206, 0.00729239, 0.00260179, 0.00215323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186107");
-            index_3 ("0.0929459, 0.098802, 0.104887, 0.108784, 0.112906, 0.120535, 0.126104, 0.138037, 0.149589, 0.16115, 0.166115, 0.171241, 0.17842, 0.187447, 0.201731, 0.20826, 0.21931, 0.230218, 0.239074, 0.245922, 0.256614, 0.265931, 0.275259, 0.288787, 0.293571, 0.303926, 0.313613, 0.32794, 0.344676, 0.377193, 0.421732, 0.456239");
-            values ( \
-              "0.0855784, 0.143298, 0.186095, 0.209388, 0.229915, 0.259185, 0.273362, 0.289468, 0.290279, 0.281489, 0.274758, 0.265907, 0.249107, 0.220873, 0.170085, 0.149765, 0.117953, 0.0917404, 0.0741542, 0.062517, 0.0474778, 0.03716, 0.0289087, 0.0199003, 0.0173799, 0.0128395, 0.00949689, 0.0062503, 0.00416772, 0.00226278, 0.00095712, 0.000463992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0420799");
-            index_3 ("0.090509, 0.104373, 0.11422, 0.12738, 0.139255, 0.150056, 0.166708, 0.181844, 0.193788, 0.216014, 0.229332, 0.241867, 0.266936, 0.304723, 0.33291, 0.36992, 0.393172, 0.417506, 0.447336, 0.474869, 0.507497, 0.533253, 0.563496, 0.633272, 0.636892");
-            values ( \
-              "0.0199131, 0.19884, 0.260692, 0.313194, 0.337694, 0.34789, 0.350775, 0.344833, 0.337123, 0.318673, 0.304368, 0.288366, 0.248223, 0.179647, 0.134831, 0.0886294, 0.0668535, 0.04919, 0.0332963, 0.0227221, 0.014609, 0.0104923, 0.00720091, 0.00299543, 0.00291543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0951451");
-            index_3 ("0.101638, 0.121628, 0.142722, 0.153103, 0.170208, 0.183883, 0.197239, 0.219267, 0.24223, 0.292739, 0.339484, 0.390463, 0.416308, 0.564627, 0.622553, 0.657353, 0.698965, 0.768951, 0.821235, 0.855536, 0.918193, 1.00195, 1.06847, 1.1441, 1.22708, 1.39303");
-            values ( \
-              "0.29105, 0.310106, 0.368782, 0.381226, 0.389787, 0.390317, 0.388062, 0.381673, 0.37316, 0.352156, 0.329569, 0.299769, 0.281647, 0.161491, 0.121538, 0.101253, 0.0805485, 0.0536195, 0.0390186, 0.0315308, 0.0214356, 0.0127744, 0.00835278, 0.00512989, 0.00293965, 0.000958482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.215129");
-            index_3 ("0.101658, 0.141655, 0.15632, 0.167738, 0.180788, 0.205155, 0.233503, 0.266364, 0.450573, 0.50475, 0.587728, 0.674025, 0.73849, 0.801081, 1.09438, 1.17559, 1.25856, 1.32311, 1.38079, 1.45402, 1.53699, 1.65289, 1.73289, 1.81587, 1.92688, 1.98774, 2.07072, 2.23667, 2.40263, 2.65156, 2.9005");
-            values ( \
-              "0.270509, 0.379735, 0.400073, 0.407829, 0.412077, 0.41352, 0.410181, 0.40468, 0.370241, 0.35916, 0.340604, 0.318885, 0.300582, 0.280707, 0.173406, 0.146217, 0.121142, 0.103894, 0.090124, 0.0748292, 0.0601023, 0.0438699, 0.035225, 0.0279416, 0.0204671, 0.0171387, 0.0135019, 0.00827498, 0.00504292, 0.00234332, 0.00113704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.127073, 0.13018, 0.133325, 0.143706, 0.149102, 0.154055, 0.158853, 0.163643, 0.168456, 0.178287, 0.181125, 0.187905, 0.196679, 0.203305, 0.208635, 0.215021, 0.227905, 0.234876");
-            values ( \
-              "0.0388721, 0.0525903, 0.0724728, 0.115148, 0.128117, 0.136065, 0.137662, 0.135205, 0.122, 0.0690881, 0.057603, 0.0370666, 0.0205576, 0.0136114, 0.00921281, 0.00616598, 0.00256217, 0.0017386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823097");
-            index_3 ("0.127653, 0.133295, 0.142832, 0.147747, 0.151489, 0.159002, 0.166045, 0.172981, 0.179912, 0.180999, 0.184725, 0.187781, 0.200808, 0.211529, 0.220744, 0.226774, 0.237243, 0.244884, 0.253121, 0.268359, 0.283192, 0.301766, 0.309308");
-            values ( \
-              "0.0121885, 0.0928915, 0.152196, 0.174745, 0.188078, 0.206592, 0.214032, 0.213682, 0.204628, 0.202004, 0.190234, 0.176793, 0.111538, 0.0715918, 0.0483898, 0.0368855, 0.0227714, 0.0157641, 0.0105081, 0.00457395, 0.00167131, 0.000923262, 0.000859097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186107");
-            index_3 ("0.127629, 0.140158, 0.143821, 0.151875, 0.156238, 0.161009, 0.16507, 0.171321, 0.176953, 0.188533, 0.200268, 0.204894, 0.212595, 0.218925, 0.226422, 0.240839, 0.257378, 0.268965, 0.278852, 0.286315, 0.295981, 0.304144, 0.31374, 0.327467, 0.332435, 0.343443, 0.353179, 0.368043, 0.384352, 0.416607, 0.43542");
-            values ( \
-              "0.00605037, 0.160369, 0.185754, 0.22982, 0.247778, 0.263217, 0.273129, 0.283653, 0.288776, 0.290074, 0.281064, 0.274898, 0.260807, 0.244763, 0.220695, 0.169634, 0.120341, 0.0921802, 0.0726757, 0.0602901, 0.0469936, 0.0379195, 0.0292959, 0.0200588, 0.0174287, 0.0126207, 0.00932096, 0.00606669, 0.00411641, 0.00224575, 0.00182139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0420799");
-            index_3 ("0.132715, 0.143391, 0.153254, 0.164131, 0.170993, 0.180143, 0.189101, 0.206099, 0.220212, 0.232838, 0.255053, 0.268544, 0.305667, 0.356513, 0.395774, 0.432837, 0.464347, 0.48131, 0.522323, 0.554531, 0.584901, 0.6522");
-            values ( \
-              "0.0855022, 0.198536, 0.260509, 0.305805, 0.324248, 0.340095, 0.347766, 0.350693, 0.345179, 0.337132, 0.318669, 0.304169, 0.248781, 0.158546, 0.103377, 0.066345, 0.0444954, 0.0356357, 0.0202257, 0.0131653, 0.00895504, 0.00470756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0951451");
-            index_3 ("0.141052, 0.184378, 0.192272, 0.208059, 0.222416, 0.236427, 0.281413, 0.338726, 0.378668, 0.429646, 0.455497, 0.603922, 0.662068, 0.737792, 0.807961, 0.862715, 0.896297, 0.957612, 1.03821, 1.17846, 1.23722");
-            values ( \
-              "0.281902, 0.37206, 0.380857, 0.389277, 0.390243, 0.388022, 0.373172, 0.349103, 0.329566, 0.299764, 0.281642, 0.161409, 0.12133, 0.0807098, 0.0536777, 0.038467, 0.0312266, 0.0214092, 0.0130157, 0.00529746, 0.00428034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.215129");
-            index_3 ("0.149827, 0.21421, 0.229443, 0.244213, 0.27165, 0.304951, 0.489605, 0.543772, 0.62675, 0.713047, 0.777512, 0.840103, 1.13341, 1.21461, 1.29759, 1.36214, 1.41982, 1.49304, 1.57602, 1.61465, 1.69191, 1.77192, 1.85489, 1.9659, 2.10975, 2.27571, 2.44166, 2.6906, 2.93953");
-            values ( \
-              "0.408687, 0.410793, 0.413302, 0.413326, 0.410247, 0.404759, 0.370242, 0.359158, 0.340604, 0.318883, 0.300585, 0.280702, 0.173419, 0.146204, 0.121155, 0.103914, 0.0901459, 0.074806, 0.0601253, 0.054212, 0.0438942, 0.0351999, 0.0279666, 0.0204387, 0.0134728, 0.00824564, 0.00501319, 0.00237372, 0.0011059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.203533, 0.206322, 0.208708, 0.218812, 0.226086, 0.23201, 0.237332, 0.242394, 0.247417, 0.250841, 0.263159, 0.272489, 0.279745, 0.283781, 0.293205, 0.299597, 0.309704, 0.31252");
-            values ( \
-              "0.0196892, 0.0245586, 0.0321617, 0.0774241, 0.102828, 0.118767, 0.127426, 0.131456, 0.129705, 0.123584, 0.0648611, 0.0359224, 0.0218559, 0.0170575, 0.00898013, 0.00609985, 0.00310812, 0.00275682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823097");
-            index_3 ("0.207021, 0.211408, 0.224469, 0.234011, 0.242008, 0.248779, 0.252309, 0.256216, 0.258276, 0.26063, 0.26379, 0.270111, 0.283585, 0.294808, 0.302894, 0.308398, 0.314075, 0.322267, 0.329507, 0.338706, 0.348719, 0.36406, 0.381246, 0.454883");
-            values ( \
-              "0.0389653, 0.059139, 0.134417, 0.174687, 0.196064, 0.203692, 0.205246, 0.206065, 0.205422, 0.203743, 0.199494, 0.181762, 0.116003, 0.0735064, 0.0525045, 0.0411417, 0.0318474, 0.0217759, 0.0153888, 0.00976134, 0.00566612, 0.00210409, 0.00106398, 0.000289215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186107");
-            index_3 ("0.208056, 0.218148, 0.225369, 0.234279, 0.248869, 0.259788, 0.265266, 0.271527, 0.276999, 0.284128, 0.289375, 0.296533, 0.309128, 0.331284, 0.348338, 0.364246, 0.37517, 0.391505, 0.403365, 0.417385, 0.435279, 0.448063, 0.466394, 0.498203, 0.507962");
-            values ( \
-              "0.0181128, 0.114798, 0.163541, 0.212572, 0.264598, 0.281787, 0.285631, 0.286506, 0.284603, 0.278951, 0.272456, 0.259863, 0.225123, 0.149377, 0.102655, 0.0702469, 0.0532897, 0.0347164, 0.0251476, 0.0170098, 0.00990386, 0.00679674, 0.00431441, 0.00236006, 0.00212291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0420799");
-            index_3 ("0.208275, 0.224903, 0.235802, 0.244115, 0.251268, 0.262642, 0.267947, 0.27288, 0.282745, 0.294733, 0.314833, 0.340001, 0.364999, 0.370486, 0.38107, 0.433032, 0.45271, 0.47861, 0.506158, 0.544868, 0.571687, 0.608118, 0.655872, 0.718607, 0.797481, 0.853396");
-            values ( \
-              "0.0093171, 0.173009, 0.242101, 0.281158, 0.305293, 0.331014, 0.338964, 0.343064, 0.348244, 0.347192, 0.338434, 0.317763, 0.288504, 0.280143, 0.263715, 0.170572, 0.139416, 0.105031, 0.0753677, 0.0462431, 0.032427, 0.0194314, 0.0102648, 0.00454574, 0.00143964, 0.00110509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0951451");
-            index_3 ("0.221946, 0.243909, 0.257664, 0.269696, 0.276069, 0.288815, 0.304909, 0.320482, 0.342527, 0.365441, 0.425567, 0.485069, 0.517248, 0.573045, 0.658632, 0.713948, 0.767196, 0.794881, 0.844555, 0.896096, 0.959074, 1.00498, 1.06052, 1.15123, 1.29815, 1.36192");
-            values ( \
-              "0.252981, 0.296052, 0.34371, 0.368664, 0.376718, 0.385981, 0.389235, 0.387513, 0.381444, 0.373121, 0.347815, 0.317237, 0.297245, 0.25553, 0.184076, 0.142824, 0.108649, 0.0937071, 0.0709348, 0.0524357, 0.0356345, 0.0268446, 0.01906, 0.0108184, 0.00415164, 0.00330011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.215129");
-            index_3 ("0.222009, 0.2666, 0.280799, 0.294293, 0.311616, 0.328196, 0.354809, 0.423498, 0.62782, 0.739623, 0.861568, 0.924161, 1.00091, 1.17687, 1.29867, 1.38165, 1.46542, 1.57709, 1.6987, 1.85597, 2.00429, 2.19377, 2.35972, 2.52568, 2.69164, 3.02355");
-            values ( \
-              "0.241241, 0.376993, 0.397724, 0.407071, 0.412659, 0.412623, 0.410604, 0.398713, 0.359476, 0.333833, 0.300894, 0.280375, 0.253809, 0.187627, 0.145896, 0.121464, 0.0988715, 0.0745103, 0.0539168, 0.0349058, 0.0229765, 0.013182, 0.00795465, 0.00472232, 0.00274544, 0.000815981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.356151, 0.36403, 0.366864, 0.372878, 0.386021, 0.391167, 0.39832, 0.404548, 0.410316, 0.415928, 0.421531, 0.432547, 0.43713, 0.440862, 0.447036, 0.450242, 0.456294, 0.462226, 0.46646, 0.473126, 0.486344");
-            values ( \
-              "0.00820388, 0.013328, 0.0177802, 0.0315872, 0.0702594, 0.0835988, 0.0997856, 0.11055, 0.116414, 0.117564, 0.110237, 0.0627049, 0.0473807, 0.0379129, 0.0255947, 0.0208569, 0.0145942, 0.00962378, 0.00743175, 0.00491462, 0.0020389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823097");
-            index_3 ("0.361081, 0.376302, 0.388786, 0.400425, 0.409645, 0.417841, 0.425628, 0.433384, 0.441136, 0.451317, 0.458042, 0.465214, 0.470792, 0.479347, 0.484784, 0.492806, 0.500326, 0.509929, 0.519745, 0.534758, 0.555919, 0.626265");
-            values ( \
-              "0.00505558, 0.0565028, 0.106816, 0.147887, 0.174048, 0.18734, 0.193235, 0.189155, 0.171427, 0.124551, 0.0969234, 0.0726008, 0.0581487, 0.0401543, 0.0315765, 0.0219151, 0.0153672, 0.00961857, 0.00567899, 0.00219614, 0.000752305, 0.000306226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186107");
-            index_3 ("0.363295, 0.399943, 0.409985, 0.415885, 0.427685, 0.432262, 0.441645, 0.45086, 0.453959, 0.466734, 0.479682, 0.504436, 0.523812, 0.540565, 0.553029, 0.563063, 0.589464, 0.61117, 0.62704, 0.659687");
-            values ( \
-              "0.00356654, 0.179442, 0.219388, 0.237715, 0.262218, 0.267636, 0.272867, 0.27148, 0.269567, 0.253985, 0.221795, 0.140816, 0.0915947, 0.0610989, 0.0440896, 0.0338897, 0.0164965, 0.00857809, 0.00557672, 0.00325257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0420799");
-            index_3 ("0.363281, 0.411893, 0.417186, 0.427771, 0.442099, 0.461359, 0.464748, 0.471527, 0.485084, 0.491604, 0.500297, 0.510674, 0.531428, 0.535839, 0.544661, 0.55607, 0.585505, 0.59922, 0.610674, 0.623764, 0.649703, 0.660596, 0.666231, 0.677501, 0.700041, 0.709409, 0.71589, 0.72453, 0.741812, 0.76755, 0.778891, 0.789429, 0.80348, 0.831004, 0.86104, 0.894131, 0.93022, 0.97459, 1.03282");
-            values ( \
-              "0.00193031, 0.25263, 0.273132, 0.303496, 0.328342, 0.339138, 0.33946, 0.338232, 0.333236, 0.329404, 0.323234, 0.314751, 0.292486, 0.286678, 0.274082, 0.255693, 0.203068, 0.178996, 0.160045, 0.139758, 0.104827, 0.0924058, 0.0864596, 0.0754925, 0.0570383, 0.0506576, 0.0466267, 0.0417014, 0.0331836, 0.0232342, 0.0198415, 0.0172179, 0.0143119, 0.0100559, 0.00692786, 0.00458733, 0.00289209, 0.00160287, 0.000712611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0951451");
-            index_3 ("0.385192, 0.405183, 0.419831, 0.434988, 0.445417, 0.465394, 0.484414, 0.490909, 0.503898, 0.536253, 0.583498, 0.633715, 0.684499, 0.71175, 0.750875, 0.825789, 0.884044, 0.937759, 0.966409, 1.01636, 1.06562, 1.11978, 1.1646, 1.21394, 1.28165, 1.34691, 1.41822, 1.49903, 1.58201, 1.74796");
-            values ( \
-              "0.229621, 0.234177, 0.299843, 0.343847, 0.362019, 0.379676, 0.383318, 0.382896, 0.380678, 0.370923, 0.353115, 0.329508, 0.299867, 0.280739, 0.249762, 0.18723, 0.1433, 0.109037, 0.0935066, 0.070674, 0.0529607, 0.0380659, 0.0287498, 0.021257, 0.0140016, 0.00928028, 0.00584176, 0.00344078, 0.00196359, 0.000638336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.215129");
-            index_3 ("0.385395, 0.425333, 0.439812, 0.450503, 0.470579, 0.490099, 0.49886, 0.516383, 0.546639, 0.592892, 0.647337, 0.79903, 0.910755, 0.993733, 1.0961, 1.17211, 1.38859, 1.46965, 1.55263, 1.61739, 1.67531, 1.74844, 1.83142, 1.8699, 1.94686, 2.02696, 2.10994, 2.22119, 2.3652, 2.53115, 2.69711, 2.94604, 3.19498");
-            values ( \
-              "0.192608, 0.327796, 0.366326, 0.383987, 0.402099, 0.40814, 0.408839, 0.408445, 0.404937, 0.398333, 0.388645, 0.35917, 0.333562, 0.311907, 0.280419, 0.253506, 0.173459, 0.146261, 0.121233, 0.103926, 0.0901009, 0.0747759, 0.0601074, 0.0542116, 0.0439359, 0.0352204, 0.0279862, 0.0204383, 0.0134664, 0.00824241, 0.00501237, 0.00236824, 0.00110911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.679328, 0.692374, 0.695412, 0.697589, 0.700076, 0.703875, 0.705882, 0.709896, 0.715223, 0.723635, 0.727244, 0.734461, 0.740548, 0.744458, 0.751707, 0.758588, 0.765422, 0.772251, 0.773173, 0.775017, 0.778706, 0.783792, 0.787136, 0.789389, 0.793896, 0.796933, 0.797673, 0.802111, 0.806173, 0.811897, 0.814831, 0.8207, 0.829219, 0.836532, 0.846608, 0.851547, 0.85652, 0.862021, 0.868714, 0.869881");
-            values ( \
-              "0.00415361, 0.0111255, 0.0133849, 0.0154856, 0.0181235, 0.0230738, 0.0262199, 0.033568, 0.0443807, 0.058774, 0.0642243, 0.0746425, 0.0824818, 0.0869233, 0.0937354, 0.0971236, 0.0948468, 0.0768387, 0.072921, 0.0662966, 0.0539207, 0.0407527, 0.0338114, 0.029728, 0.0224213, 0.0195146, 0.0183405, 0.0140755, 0.0109904, 0.00780132, 0.00655346, 0.00467956, 0.00283475, 0.00177831, 0.000776847, 0.000469327, 0.000455401, 0.000316828, 0.000396985, 0.000381063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823097");
-            index_3 ("0.661867, 0.692758, 0.709019, 0.750173, 0.760249, 0.769444, 0.778345, 0.787227, 0.806582, 0.815948, 0.824292, 0.838884, 0.859378, 0.881985, 0.882575");
-            values ( \
-              "0.00395317, 0.0139778, 0.0427331, 0.138683, 0.155763, 0.165785, 0.167977, 0.156903, 0.0862136, 0.0609779, 0.0433976, 0.0231941, 0.00898714, 0.00255973, 0.002545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186107");
-            index_3 ("0.669875, 0.692774, 0.701786, 0.715683, 0.75735, 0.7731, 0.787129, 0.800566, 0.814001, 0.818103, 0.87335, 0.888282, 0.909345, 0.922965, 0.938212, 0.958683, 0.973054");
-            values ( \
-              "0.00858465, 0.0193205, 0.0359844, 0.0699873, 0.194992, 0.230343, 0.24736, 0.251541, 0.242454, 0.236241, 0.0889478, 0.0622101, 0.0363226, 0.0251825, 0.0165229, 0.00895568, 0.00602102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0420799");
-            index_3 ("0.692666, 0.718457, 0.730497, 0.757483, 0.770563, 0.786132, 0.810575, 0.834064, 0.858849, 0.870996, 0.883385, 0.908165, 0.946831, 0.959114, 0.973152, 0.998633, 1.00804, 1.02224, 1.04118, 1.05926, 1.07988, 1.10709, 1.1295, 1.14143, 1.16529, 1.19493, 1.23168, 1.27024, 1.30776, 1.3552, 1.43372");
-            values ( \
-              "0.0299571, 0.0875414, 0.127873, 0.224881, 0.26451, 0.297902, 0.322301, 0.322961, 0.309485, 0.298667, 0.28463, 0.247437, 0.178759, 0.158597, 0.137098, 0.103378, 0.092718, 0.0783253, 0.0620824, 0.0494398, 0.0378752, 0.0261841, 0.0191792, 0.0163521, 0.011975, 0.00827097, 0.00518737, 0.0032765, 0.00200797, 0.0010711, 0.0003235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0951451");
-            index_3 ("0.692722, 0.733956, 0.760003, 0.777035, 0.789244, 0.81366, 0.837146, 0.860392, 0.883179, 0.930751, 0.981107, 1.03232, 1.05768, 1.09854, 1.17774, 1.21587, 1.24815, 1.29643, 1.32495, 1.35753, 1.41556, 1.44161, 1.48142, 1.52776, 1.57855, 1.60867, 1.66892, 1.73655, 1.81445, 1.89742, 2.06338");
-            values ( \
-              "0.0197609, 0.14645, 0.248353, 0.302869, 0.331082, 0.363345, 0.373765, 0.373333, 0.368385, 0.351493, 0.32835, 0.298868, 0.281422, 0.249387, 0.183696, 0.154598, 0.13252, 0.103309, 0.0885301, 0.0737137, 0.0525007, 0.0448697, 0.0350527, 0.0262731, 0.0192794, 0.0160223, 0.0109745, 0.00711857, 0.00427306, 0.00245938, 0.000807145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.215129");
-            index_3 ("0.72773, 0.765154, 0.794521, 0.819503, 0.844158, 0.857464, 0.871658, 0.900044, 0.941518, 1.04143, 1.14652, 1.25555, 1.30076, 1.38058, 1.44378, 1.51986, 1.73635, 1.81742, 1.9004, 1.96514, 2.02303, 2.09617, 2.17915, 2.21764, 2.29462, 2.37472, 2.4577, 2.5689, 2.71289, 2.87884, 3.0448, 3.29373, 3.54267");
-            values ( \
-              "0.263323, 0.27374, 0.355195, 0.38835, 0.401094, 0.403353, 0.404019, 0.402296, 0.396436, 0.378784, 0.35839, 0.334065, 0.322821, 0.300563, 0.28043, 0.253506, 0.173458, 0.146255, 0.121228, 0.103926, 0.0901056, 0.0747827, 0.0601086, 0.0542155, 0.0439317, 0.0352235, 0.0279837, 0.0204433, 0.0134714, 0.00824644, 0.00501594, 0.00236525, 0.00111232" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00694204, 0.00696039, 0.00698042, 0.00699538, 0.00700448, 0.00700917", \
-            "0.00896058, 0.00897255, 0.00898898, 0.00900463, 0.00901527, 0.00902114", \
-            "0.0103195, 0.0103229, 0.010331, 0.010342, 0.0103514, 0.0103574", \
-            "0.0110952, 0.0110951, 0.0110964, 0.0111004, 0.0111061, 0.0111109", \
-            "0.0115612, 0.0115593, 0.0115587, 0.0115576, 0.0115584, 0.0115606", \
-            "0.0117854, 0.0117846, 0.0117826, 0.0117803, 0.0117781, 0.0117772" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0108291, 0.010843, 0.0108659, 0.0108903, 0.0109076, 0.0109173", \
-            "0.0114124, 0.0114062, 0.0114069, 0.0114156, 0.0114271, 0.0114352", \
-            "0.0109187, 0.010907, 0.0108907, 0.0108797, 0.0108776, 0.0108793", \
-            "0.0106692, 0.0106653, 0.0106518, 0.0106321, 0.0106172, 0.0106107", \
-            "0.00828818, 0.00880543, 0.00948287, 0.0101147, 0.010543, 0.0107805", \
-            "0.0065378, 0.0065518, 0.00662347, 0.00711896, 0.00839864, 0.00961413" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0659154, 0.0685293, 0.0738017, 0.0802787, 0.0889945, 0.0928548, 0.096601, 0.100342, 0.102236, 0.116185, 0.122053, 0.126834, 0.132516, 0.138078, 0.164787");
-            values ( \
-              "-0.0480238, -0.0544178, -0.100828, -0.13369, -0.165263, -0.173907, -0.176561, -0.168266, -0.157197, -0.0356908, -0.0158507, -0.00810053, -0.00400351, -0.00262225, -0.00210541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823097");
-            index_3 ("0.0660282, 0.0715745, 0.0751758, 0.0778932, 0.0835958, 0.0872431, 0.0936228, 0.0994442, 0.105003, 0.110494, 0.115982, 0.117364, 0.122103, 0.131028, 0.135311, 0.138365, 0.142622, 0.146958, 0.150262, 0.15687, 0.164489, 0.169494, 0.176264, 0.196277, 0.233417, 0.255415, 0.334265");
-            values ( \
-              "-0.00317213, -0.103877, -0.142374, -0.164302, -0.200278, -0.21928, -0.246142, -0.262306, -0.270727, -0.26949, -0.25192, -0.244494, -0.203311, -0.113393, -0.0815957, -0.063767, -0.0447395, -0.0311853, -0.0237464, -0.0141212, -0.00873235, -0.00701945, -0.00565177, -0.00370031, -0.00137602, -0.000723672, -0.000343075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186107");
-            index_3 ("0.0696152, 0.0752104, 0.0785998, 0.0842793, 0.0929552, 0.0988593, 0.108884, 0.118357, 0.127637, 0.137723, 0.143605, 0.146954, 0.152077, 0.168972, 0.17574, 0.182342, 0.187577, 0.195664, 0.203084, 0.208639, 0.219748, 0.231142, 0.241981, 0.263184, 0.306229, 0.34649, 0.365748");
-            values ( \
-              "-0.077022, -0.162711, -0.199431, -0.243899, -0.295138, -0.319639, -0.348177, -0.360213, -0.362226, -0.349694, -0.333805, -0.320599, -0.291728, -0.168837, -0.129224, -0.0984049, -0.0788396, -0.0557782, -0.0410638, -0.0329555, -0.0217698, -0.0150533, -0.0112074, -0.00700022, -0.00325486, -0.00149535, -0.00132216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0420799");
-            index_3 ("0.0726914, 0.0798841, 0.0884931, 0.0951407, 0.0999592, 0.109596, 0.119426, 0.128839, 0.13741, 0.152907, 0.159612, 0.168552, 0.182035, 0.19169, 0.210517, 0.218898, 0.249344, 0.264744, 0.275132, 0.287863, 0.304838, 0.317972, 0.336837, 0.36199, 0.379658, 0.403749, 0.43587, 0.478092, 0.562443, 0.611785");
-            values ( \
-              "-0.193965, -0.227885, -0.297556, -0.337202, -0.359727, -0.392406, -0.412489, -0.422953, -0.427345, -0.427426, -0.424969, -0.419931, -0.408447, -0.396686, -0.360381, -0.334222, -0.211621, -0.159268, -0.130388, -0.101561, -0.0725964, -0.0564002, -0.039759, -0.0255755, -0.0193433, -0.0137173, -0.00908301, -0.00561784, -0.00223886, -0.00178065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0951451");
-            index_3 ("0.0768303, 0.0919616, 0.101931, 0.113396, 0.122373, 0.134296, 0.147339, 0.159649, 0.178018, 0.19642, 0.226268, 0.249025, 0.272372, 0.311156, 0.332354, 0.355909, 0.400371, 0.444502, 0.482343, 0.492068, 0.508569, 0.527428, 0.565146, 0.58635, 0.614457, 0.649868, 0.702357, 0.730736, 0.774415, 0.832654, 0.901625, 1.03957, 1.17751, 1.38442");
-            values ( \
-              "-0.322228, -0.334563, -0.38733, -0.425617, -0.443879, -0.457994, -0.465313, -0.467508, -0.466695, -0.463042, -0.454655, -0.446662, -0.436985, -0.415647, -0.398875, -0.37225, -0.292168, -0.208104, -0.150393, -0.13816, -0.119444, -0.101113, -0.0723909, -0.0603033, -0.0475865, -0.0356353, -0.0237244, -0.0193934, -0.0144716, -0.0100437, -0.00672697, -0.00316329, -0.00157938, -0.000639664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.215129");
-            index_3 ("0.085427, 0.139298, 0.153355, 0.166639, 0.187185, 0.212114, 0.286109, 0.43814, 0.495626, 0.564597, 0.625383, 0.680963, 0.749934, 0.886043, 0.982732, 1.07144, 1.14041, 1.1916, 1.25241, 1.32138, 1.38107, 1.45004, 1.57354, 1.71148, 1.91839, 2.12531, 2.23007");
-            values ( \
-              "-0.4697, -0.477567, -0.485022, -0.487891, -0.488811, -0.487188, -0.478548, -0.456015, -0.445162, -0.428502, -0.407847, -0.379184, -0.324207, -0.204893, -0.141204, -0.0996462, -0.0759547, -0.0623615, -0.0495792, -0.0385278, -0.0311553, -0.0246899, -0.0166684, -0.0111305, -0.00633571, -0.00379315, -0.00333619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0856333, 0.0929278, 0.100365, 0.109092, 0.112955, 0.116703, 0.120446, 0.122313, 0.13043, 0.13585, 0.14037, 0.143753, 0.149937, 0.160802, 0.227864");
-            values ( \
-              "-0.0338793, -0.0938561, -0.133705, -0.165286, -0.173656, -0.1766, -0.1681, -0.157335, -0.0759525, -0.037914, -0.0203637, -0.0126482, -0.0053829, -0.00242189, -0.00120679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823097");
-            index_3 ("0.0852891, 0.09393, 0.0975763, 0.104163, 0.113622, 0.119458, 0.12502, 0.130513, 0.136003, 0.137383, 0.142116, 0.151086, 0.158353, 0.162626, 0.167019, 0.170373, 0.17708, 0.184639, 0.189628, 0.196259, 0.216049, 0.253223, 0.275047, 0.288519");
-            values ( \
-              "-0.00216967, -0.131175, -0.162, -0.203407, -0.246094, -0.261959, -0.270781, -0.269257, -0.252007, -0.244454, -0.203367, -0.11308, -0.0639382, -0.0448014, -0.0310821, -0.0235654, -0.0139182, -0.00866281, -0.00698545, -0.00565636, -0.00372555, -0.00138987, -0.000737595, -0.000668951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186107");
-            index_3 ("0.0881256, 0.0952388, 0.100528, 0.107499, 0.113218, 0.118903, 0.128923, 0.133828, 0.138386, 0.14767, 0.156954, 0.16363, 0.166994, 0.172117, 0.189013, 0.202388, 0.207626, 0.215712, 0.223141, 0.228703, 0.239826, 0.251237, 0.262107, 0.283375, 0.326415, 0.366677, 0.368998");
-            values ( \
-              "-0.025571, -0.163048, -0.21491, -0.264224, -0.29589, -0.319713, -0.347948, -0.355669, -0.360188, -0.361841, -0.35142, -0.333785, -0.320549, -0.29169, -0.168826, -0.0983817, -0.0788013, -0.05575, -0.0410251, -0.0329065, -0.0217343, -0.0150198, -0.0111873, -0.00698965, -0.00325853, -0.00149958, -0.00147855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0420799");
-            index_3 ("0.0942966, 0.103936, 0.115223, 0.120032, 0.129651, 0.139495, 0.148908, 0.15748, 0.173041, 0.179555, 0.193161, 0.206918, 0.221244, 0.231767, 0.252304, 0.271982, 0.288955, 0.297956, 0.313268, 0.331332, 0.347667, 0.359995, 0.384652, 0.401203, 0.422532, 0.450971, 0.493075, 0.577882, 0.628299");
-            values ( \
-              "-0.253519, -0.26336, -0.337619, -0.359553, -0.392602, -0.412321, -0.423128, -0.427209, -0.427547, -0.425092, -0.416695, -0.403037, -0.381297, -0.357068, -0.281085, -0.20242, -0.147285, -0.123664, -0.0914825, -0.0641282, -0.0471321, -0.0376265, -0.024562, -0.0189396, -0.013975, -0.00969141, -0.00593753, -0.00234603, -0.00185457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0951451");
-            index_3 ("0.0987542, 0.122042, 0.13333, 0.142481, 0.154396, 0.167444, 0.179758, 0.198127, 0.216529, 0.246122, 0.269642, 0.292481, 0.331273, 0.352344, 0.37601, 0.420469, 0.46466, 0.502467, 0.512184, 0.528657, 0.547484, 0.585136, 0.606469, 0.634753, 0.670126, 0.722587, 0.750905, 0.794442, 0.852492, 0.921463, 1.0594, 1.19735, 1.40426");
-            values ( \
-              "-0.348668, -0.387238, -0.42521, -0.443806, -0.458027, -0.465263, -0.467542, -0.466659, -0.463074, -0.454705, -0.446446, -0.437004, -0.415622, -0.398984, -0.37226, -0.292188, -0.208016, -0.15037, -0.138146, -0.119462, -0.101155, -0.0724631, -0.0602961, -0.0475111, -0.0355924, -0.0237052, -0.0193876, -0.0144821, -0.0100623, -0.00674023, -0.00317008, -0.0015828, -0.000640213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.215129");
-            index_3 ("0.102406, 0.138124, 0.159578, 0.173512, 0.186681, 0.207182, 0.232086, 0.30615, 0.458182, 0.515668, 0.584639, 0.645424, 0.701005, 0.769975, 0.906083, 1.00277, 1.0915, 1.16047, 1.21165, 1.27246, 1.34143, 1.40112, 1.47009, 1.59361, 1.73155, 1.93847, 2.14538, 2.2609");
-            values ( \
-              "-0.427485, -0.448867, -0.477784, -0.485034, -0.487909, -0.488786, -0.487217, -0.478572, -0.456038, -0.445138, -0.428526, -0.40787, -0.379163, -0.324226, -0.204879, -0.141188, -0.0996293, -0.0759602, -0.0623675, -0.0495864, -0.0385178, -0.0311627, -0.0246804, -0.0166588, -0.0111219, -0.00634397, -0.00378553, -0.00328178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.130032, 0.133875, 0.139105, 0.142967, 0.15051, 0.15466, 0.158534, 0.16229, 0.166041, 0.167862, 0.17608, 0.180653, 0.185668, 0.190964, 0.197262, 0.202433, 0.207698, 0.26816, 0.334369");
-            values ( \
-              "-0.0142877, -0.0540228, -0.0975069, -0.119018, -0.151283, -0.164642, -0.173337, -0.176231, -0.167937, -0.15748, -0.0754782, -0.0422461, -0.0212547, -0.0101807, -0.00441867, -0.00281469, -0.00237724, -0.000557989, -0.000151204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823097");
-            index_3 ("0.132921, 0.140484, 0.143321, 0.148937, 0.152719, 0.159127, 0.164978, 0.170551, 0.176052, 0.182134, 0.184475, 0.19244, 0.200413, 0.207831, 0.213346, 0.217773, 0.226628, 0.232497, 0.247929, 0.297454, 0.318578, 0.329262");
-            values ( \
-              "-0.0566989, -0.138701, -0.161865, -0.197852, -0.217563, -0.245132, -0.26144, -0.270247, -0.269036, -0.248898, -0.233413, -0.152358, -0.0846679, -0.0460536, -0.0291033, -0.0202482, -0.0104606, -0.00771046, -0.00480798, -0.00146943, -0.000815037, -0.000744331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186107");
-            index_3 ("0.13234, 0.140585, 0.145953, 0.152976, 0.159057, 0.164422, 0.174457, 0.17988, 0.183931, 0.192032, 0.195586, 0.200324, 0.202599, 0.207149, 0.212534, 0.22269, 0.238582, 0.249055, 0.256676, 0.262374, 0.269164, 0.278217, 0.29264, 0.303678, 0.325153, 0.346412, 0.407659");
-            values ( \
-              "-0.00284126, -0.159898, -0.212547, -0.262703, -0.29661, -0.318897, -0.347582, -0.35598, -0.359909, -0.361987, -0.360107, -0.354715, -0.350693, -0.339907, -0.320399, -0.255591, -0.144666, -0.094116, -0.0679621, -0.0533516, -0.0403276, -0.0282588, -0.0169484, -0.012347, -0.007513, -0.00505581, -0.00165472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0420799");
-            index_3 ("0.139459, 0.14968, 0.160932, 0.165652, 0.175091, 0.185133, 0.194552, 0.203115, 0.218689, 0.225167, 0.238797, 0.252579, 0.266882, 0.277404, 0.297942, 0.317623, 0.334597, 0.343599, 0.358914, 0.376987, 0.387176, 0.405712, 0.430428, 0.446974, 0.468311, 0.496761, 0.538806, 0.623552, 0.674996");
-            values ( \
-              "-0.231556, -0.263725, -0.337642, -0.359244, -0.391862, -0.412231, -0.423039, -0.427174, -0.427502, -0.425075, -0.416679, -0.403003, -0.381291, -0.357063, -0.281074, -0.202395, -0.147263, -0.123626, -0.091435, -0.0640872, -0.0527755, -0.0375518, -0.0245077, -0.0188948, -0.0139465, -0.00968943, -0.0059361, -0.00234601, -0.00184298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0951451");
-            index_3 ("0.145118, 0.167745, 0.179026, 0.188183, 0.200125, 0.213159, 0.22546, 0.243829, 0.262231, 0.2918, 0.315393, 0.338183, 0.376977, 0.398035, 0.421712, 0.466171, 0.510372, 0.548175, 0.557893, 0.574368, 0.593197, 0.630855, 0.652204, 0.680517, 0.715903, 0.768393, 0.79673, 0.840299, 0.898391, 0.967362, 1.1053, 1.24324, 1.45016");
-            values ( \
-              "-0.370159, -0.387231, -0.425246, -0.443786, -0.45808, -0.465246, -0.467562, -0.466638, -0.46309, -0.454693, -0.446411, -0.437015, -0.415607, -0.398994, -0.372259, -0.292188, -0.207995, -0.150353, -0.138127, -0.11944, -0.101131, -0.0724406, -0.0602695, -0.0474799, -0.0355681, -0.023688, -0.0193735, -0.0144717, -0.0100559, -0.00673827, -0.00317068, -0.00158332, -0.000640633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.215129");
-            index_3 ("0.151778, 0.232525, 0.253116, 0.277922, 0.351994, 0.504026, 0.561512, 0.630483, 0.691269, 0.74685, 0.81582, 0.951955, 1.04863, 1.13728, 1.20625, 1.24736, 1.31845, 1.38742, 1.51608, 1.63956, 1.7775, 1.91544, 2.19132, 2.29154");
-            values ( \
-              "-0.471987, -0.487908, -0.488817, -0.487192, -0.478545, -0.456015, -0.445157, -0.428509, -0.407866, -0.379145, -0.324273, -0.204784, -0.141108, -0.0995764, -0.0760422, -0.0647808, -0.0496243, -0.0384286, -0.0246026, -0.0165889, -0.0110548, -0.00757676, -0.00372099, -0.00328409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.22504, 0.226352, 0.228682, 0.231088, 0.233709, 0.238115, 0.238227, 0.244688, 0.248974, 0.256573, 0.258488, 0.262421, 0.266352, 0.270303, 0.277186, 0.28148, 0.283919, 0.286043, 0.290045, 0.295113, 0.300885, 0.306305, 0.309738, 0.316604, 0.325985, 0.33414, 0.342716, 0.350677, 0.357618, 0.363777, 0.371459, 0.378728, 0.385614");
-            values ( \
-              "-0.0266722, -0.0295983, -0.0329625, -0.0432509, -0.0575871, -0.0868275, -0.0865575, -0.116557, -0.133938, -0.159887, -0.163815, -0.169712, -0.162696, -0.137138, -0.069043, -0.0406637, -0.0286147, -0.021373, -0.0121999, -0.00664384, -0.00307411, -0.00287575, -0.00213633, -0.00275452, -0.00190465, -0.00226923, -0.00122266, -0.00148693, -0.000486044, -0.00119467, -7.966e-05, -0.00104288, -0.000208524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823097");
-            index_3 ("0.226841, 0.241179, 0.248304, 0.256453, 0.263617, 0.267664, 0.270712, 0.276335, 0.282118, 0.28437, 0.296803, 0.304667, 0.311344, 0.315876, 0.320872, 0.329498, 0.334637, 0.353498, 0.404523");
-            values ( \
-              "-0.0278914, -0.134639, -0.17958, -0.221252, -0.248229, -0.258174, -0.262966, -0.264354, -0.247695, -0.234808, -0.115176, -0.0624081, -0.03588, -0.0247083, -0.0166452, -0.00927043, -0.00731952, -0.00436882, -0.00166828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186107");
-            index_3 ("0.230073, 0.242166, 0.248218, 0.257084, 0.261028, 0.269791, 0.274525, 0.284172, 0.293551, 0.302932, 0.307771, 0.312969, 0.323162, 0.331637, 0.338858, 0.3438, 0.349739, 0.357658, 0.362998, 0.368391, 0.375581, 0.389962, 0.398821, 0.412947, 0.431781, 0.45301, 0.496201, 0.536643, 0.615261");
-            values ( \
-              "-0.0541972, -0.165226, -0.214467, -0.271515, -0.292299, -0.326939, -0.339785, -0.355537, -0.359001, -0.34971, -0.338276, -0.319665, -0.25515, -0.191956, -0.145714, -0.119407, -0.0932665, -0.0664963, -0.0530313, -0.0424523, -0.0318961, -0.018786, -0.0143063, -0.00990869, -0.00661954, -0.00453165, -0.00199943, -0.000979306, -0.000543181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0420799");
-            index_3 ("0.234285, 0.259689, 0.265536, 0.274699, 0.285397, 0.294993, 0.303535, 0.318426, 0.327117, 0.339291, 0.357336, 0.366089, 0.375424, 0.382968, 0.391304, 0.418354, 0.440301, 0.457312, 0.475458, 0.484397, 0.496636, 0.512955, 0.539566, 0.564355, 0.582215, 0.617936, 0.703975, 0.784107, 0.888849");
-            values ( \
-              "-0.124786, -0.316236, -0.347188, -0.382552, -0.407869, -0.420166, -0.425432, -0.426673, -0.423734, -0.416332, -0.397563, -0.383686, -0.363692, -0.341434, -0.310183, -0.201116, -0.132784, -0.0950732, -0.0664482, -0.0560156, -0.0445879, -0.0332371, -0.0212552, -0.0148013, -0.0116826, -0.00755835, -0.00295329, -0.00132644, -0.000628198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0951451");
-            index_3 ("0.243677, 0.267833, 0.277694, 0.288628, 0.300655, 0.313778, 0.326062, 0.344467, 0.362859, 0.391892, 0.417108, 0.438818, 0.47763, 0.498412, 0.522325, 0.566779, 0.611131, 0.648848, 0.658545, 0.674945, 0.693687, 0.731172, 0.752845, 0.781603, 0.816881, 0.869283, 0.897447, 0.940629, 0.998204, 1.06718, 1.20512, 1.34306, 1.54997");
-            values ( \
-              "-0.333358, -0.376059, -0.413781, -0.439908, -0.455769, -0.464137, -0.466844, -0.466418, -0.462916, -0.454889, -0.446043, -0.436947, -0.415653, -0.399226, -0.37229, -0.292241, -0.207781, -0.150302, -0.138103, -0.119499, -0.101256, -0.0726415, -0.0602659, -0.0473021, -0.035472, -0.0236477, -0.0193663, -0.0145046, -0.0101095, -0.00677347, -0.00318741, -0.00159124, -0.000642609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.215129");
-            index_3 ("0.252374, 0.333275, 0.353134, 0.377426, 0.452758, 0.6048, 0.662286, 0.731256, 0.792042, 0.847623, 0.916594, 1.05272, 1.1494, 1.2381, 1.30707, 1.34815, 1.4192, 1.48817, 1.61684, 1.74037, 1.87831, 2.01626, 2.29214, 2.38407");
-            values ( \
-              "-0.470979, -0.487493, -0.488597, -0.487291, -0.478588, -0.456056, -0.445115, -0.428548, -0.407899, -0.379122, -0.324283, -0.204792, -0.141106, -0.0995579, -0.0760263, -0.0647745, -0.0496283, -0.0384328, -0.0246041, -0.0165876, -0.0110544, -0.00757672, -0.00372099, -0.00332012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.413041, 0.419744, 0.423763, 0.426891, 0.43351, 0.438083, 0.455067, 0.460235, 0.465005, 0.469651, 0.474656, 0.481817, 0.484844, 0.488106, 0.492196, 0.495514, 0.50215, 0.507844, 0.516417, 0.536853, 0.558991, 0.564279, 0.571112, 0.59498, 0.598554");
-            values ( \
-              "-0.0152516, -0.0215071, -0.0297184, -0.0378269, -0.0610168, -0.0745909, -0.120478, -0.133064, -0.141308, -0.141545, -0.120345, -0.0633626, -0.0446035, -0.0296418, -0.0174453, -0.0112071, -0.00484113, -0.00293325, -0.00247229, -0.00215912, -0.000812994, -0.000997244, -0.000560951, -0.000674152, -0.000620567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823097");
-            index_3 ("0.411662, 0.429928, 0.440145, 0.463166, 0.471704, 0.47414, 0.479013, 0.480485, 0.48343, 0.486838, 0.493249, 0.50316, 0.508931, 0.516566, 0.520841, 0.526031, 0.534851, 0.543199, 0.554805, 0.626879");
-            values ( \
-              "-0.00135943, -0.0637535, -0.108932, -0.199467, -0.224593, -0.229686, -0.236029, -0.236443, -0.234991, -0.227455, -0.19129, -0.105585, -0.0688948, -0.0374549, -0.026636, -0.0178944, -0.00990557, -0.0068724, -0.00467928, -0.000763034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186107");
-            index_3 ("0.42328, 0.435656, 0.459492, 0.466254, 0.475439, 0.483568, 0.488795, 0.498919, 0.508928, 0.518933, 0.520124, 0.524885, 0.538583, 0.545841, 0.553223, 0.560417, 0.568858, 0.57333, 0.581381, 0.589696, 0.602795, 0.610719, 0.623897, 0.641467, 0.662658, 0.705229, 0.746513, 0.822691");
-            values ( \
-              "-0.0742965, -0.103293, -0.227506, -0.259366, -0.294135, -0.315838, -0.325779, -0.336385, -0.333553, -0.310774, -0.306622, -0.282627, -0.187699, -0.143081, -0.10627, -0.0788762, -0.0553866, -0.0461759, -0.0336412, -0.0247057, -0.0158901, -0.0126702, -0.00911702, -0.00626936, -0.00425524, -0.00183753, -0.000904945, -0.00056495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0420799");
-            index_3 ("0.429843, 0.444282, 0.467607, 0.476643, 0.489888, 0.507134, 0.51341, 0.520607, 0.527692, 0.541863, 0.550089, 0.564973, 0.574568, 0.585125, 0.604655, 0.629279, 0.64808, 0.660008, 0.671177, 0.686435, 0.702585, 0.71945, 0.745768, 0.770874, 0.789001, 0.825256, 0.910573, 0.990961, 1.09713");
-            values ( \
-              "-0.139456, -0.162421, -0.29693, -0.33614, -0.375964, -0.404584, -0.409511, -0.412689, -0.413894, -0.410757, -0.405899, -0.392245, -0.378409, -0.355578, -0.284995, -0.188662, -0.132045, -0.104603, -0.0839709, -0.0623667, -0.0460214, -0.0338949, -0.0217044, -0.0149581, -0.0117314, -0.0074783, -0.00290455, -0.00129842, -0.000603595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0951451");
-            index_3 ("0.437301, 0.457672, 0.470321, 0.479889, 0.493352, 0.508364, 0.52109, 0.532431, 0.55016, 0.571785, 0.589801, 0.607467, 0.645252, 0.669658, 0.686233, 0.719383, 0.750109, 0.808424, 0.843387, 0.862371, 0.901919, 0.943202, 0.982009, 1.01166, 1.07028, 1.10296, 1.15931, 1.22828, 1.36622, 1.50416, 1.71108");
-            values ( \
-              "-0.223763, -0.254831, -0.327147, -0.368658, -0.409655, -0.43721, -0.44967, -0.455624, -0.458605, -0.458407, -0.455781, -0.451191, -0.437277, -0.424925, -0.414526, -0.385131, -0.338812, -0.22486, -0.167681, -0.142105, -0.10027, -0.0695412, -0.0499824, -0.0391447, -0.0246311, -0.0194965, -0.0133881, -0.00874498, -0.0040474, -0.00197175, -0.000767181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.215129");
-            index_3 ("0.446529, 0.476649, 0.497518, 0.526489, 0.549745, 0.57499, 0.592197, 0.619716, 0.700759, 0.783733, 0.869215, 0.938185, 0.998973, 1.05455, 1.12352, 1.25963, 1.3563, 1.44488, 1.51385, 1.56501, 1.6258, 1.69477, 1.82331, 1.94654, 2.08448, 2.22242, 2.4983, 2.6278");
-            values ( \
-              "-0.361443, -0.366897, -0.432954, -0.471293, -0.480271, -0.484335, -0.484825, -0.482956, -0.473049, -0.4607, -0.445142, -0.428512, -0.407862, -0.379149, -0.324255, -0.204862, -0.141199, -0.0996885, -0.0760792, -0.0624756, -0.0496821, -0.0385128, -0.024662, -0.0166387, -0.0110866, -0.0076001, -0.00373995, -0.00317583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.802741, 0.808146, 0.814364, 0.819726, 0.824723, 0.828026, 0.834896, 0.841087, 0.856323, 0.864135, 0.871051, 0.877369, 0.883407, 0.889428, 0.889994, 0.901054, 0.906153, 0.909454, 0.913227, 0.920712, 0.927253, 0.93485, 0.951859, 0.960776, 0.977803, 0.991219, 1.01368");
-            values ( \
-              "-0.008098, -0.0106184, -0.014017, -0.0187689, -0.0244243, -0.0297494, -0.0432755, -0.0543875, -0.0781022, -0.0897016, -0.0997608, -0.107125, -0.110648, -0.1005, -0.0984303, -0.0414211, -0.0233432, -0.0157143, -0.00983131, -0.00393275, -0.00243483, -0.00225141, -0.00217282, -0.0019176, -0.0011286, -0.000765098, -0.000585867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823097");
-            index_3 ("0.807499, 0.824433, 0.829201, 0.843297, 0.880006, 0.888616, 0.896536, 0.90422, 0.912435, 0.923033, 0.929739, 0.934598, 0.939689, 0.943609, 0.951449, 0.958926, 0.963572, 0.985336, 1.02974");
-            values ( \
-              "-0.0152427, -0.0355713, -0.0444557, -0.0794371, -0.163384, -0.180695, -0.192808, -0.19397, -0.166244, -0.0947914, -0.0596382, -0.0415004, -0.0282678, -0.0211446, -0.0123095, -0.00832237, -0.00688287, -0.00402746, -0.00162936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186107");
-            index_3 ("0.818245, 0.834512, 0.857344, 0.890765, 0.896489, 0.90553, 0.912938, 0.917196, 0.925712, 0.928376, 0.933705, 0.939598, 0.950979, 0.961359, 0.969507, 0.973191, 0.979103, 0.986985, 0.991965, 0.996577, 1.00273, 1.01503, 1.02529, 1.03183, 1.0449, 1.06563, 1.08737, 1.12885, 1.17045, 1.24865");
-            values ( \
-              "-0.0567055, -0.0689815, -0.135604, -0.23824, -0.254442, -0.276541, -0.290519, -0.296436, -0.30317, -0.302947, -0.299882, -0.289191, -0.238845, -0.173159, -0.128704, -0.11151, -0.088138, -0.0639607, -0.052258, -0.0435406, -0.0343914, -0.0218541, -0.0157465, -0.0129905, -0.00931681, -0.00588801, -0.00398924, -0.00174934, -0.000915258, -0.000392291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0420799");
-            index_3 ("0.830735, 0.854823, 0.89557, 0.906278, 0.918685, 0.928035, 0.946736, 0.955409, 0.965321, 0.978253, 0.98656, 1.00317, 1.01501, 1.04441, 1.07098, 1.08299, 1.099, 1.11341, 1.13377, 1.16092, 1.17539, 1.19509, 1.23227, 1.26812, 1.34082, 1.35494");
-            values ( \
-              "-0.121054, -0.141326, -0.28843, -0.322263, -0.353255, -0.369997, -0.3906, -0.394394, -0.395399, -0.39078, -0.384075, -0.359522, -0.327273, -0.213068, -0.130316, -0.103287, -0.0755474, -0.0573639, -0.0393771, -0.0244417, -0.0194546, -0.0145857, -0.00902561, -0.00602394, -0.00265884, -0.0025035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0951451");
-            index_3 ("0.836217, 0.868655, 0.900799, 0.90984, 0.926691, 0.947843, 0.952437, 0.961626, 0.976578, 0.99094, 1.01966, 1.04783, 1.068, 1.10719, 1.1267, 1.14751, 1.16124, 1.17955, 1.23562, 1.26395, 1.29064, 1.31586, 1.34948, 1.38178, 1.39667, 1.42646, 1.48604, 1.52385, 1.54671, 1.59244, 1.66141, 1.73038, 1.86832, 1.87944");
-            values ( \
-              "-0.139999, -0.200937, -0.327509, -0.357083, -0.399127, -0.43047, -0.435027, -0.441602, -0.448315, -0.450522, -0.448645, -0.441079, -0.433404, -0.41302, -0.39813, -0.376438, -0.357033, -0.324652, -0.21713, -0.171611, -0.136159, -0.109086, -0.0810208, -0.0612181, -0.0539325, -0.0420533, -0.0260503, -0.0197943, -0.0168944, -0.0124942, -0.00816936, -0.00552047, -0.00261021, -0.00253429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.215129");
-            index_3 ("0.851612, 0.932327, 0.971193, 0.991907, 1.01748, 1.04244, 1.12394, 1.29288, 1.38224, 1.45121, 1.52857, 1.65062, 1.77095, 1.87586, 1.94483, 2.03824, 2.10454, 2.23603, 2.43721, 2.78206, 2.86039");
-            values ( \
-              "-0.23567, -0.423758, -0.468151, -0.476298, -0.47941, -0.478958, -0.47037, -0.444983, -0.422407, -0.394788, -0.340848, -0.231097, -0.14641, -0.0969371, -0.0739619, -0.0518089, -0.0405309, -0.0255843, -0.0135939, -0.00531744, -0.00481937" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00603769, 0.00604911, 0.00606244, 0.00607329, 0.00607996, 0.00608343", \
-            "0.00709081, 0.00709676, 0.00710587, 0.00711528, 0.0071221, 0.007126", \
-            "0.00772341, 0.00772486, 0.00772846, 0.00773404, 0.00773943, 0.00774306", \
-            "0.00809898, 0.00809873, 0.00809889, 0.00810042, 0.008103, 0.00810584", \
-            "0.00830247, 0.00830225, 0.00830182, 0.00830145, 0.00830178, 0.00830291", \
-            "0.00842095, 0.00842078, 0.00842046, 0.00841996, 0.00841944, 0.00841931" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00848961, 0.00849502, 0.00850571, 0.0085179, 0.00852681, 0.00853189", \
-            "0.00948823, 0.00948723, 0.0094895, 0.00949619, 0.00950343, 0.00950833", \
-            "0.0102515, 0.0102452, 0.010238, 0.0102338, 0.0102341, 0.0102354", \
-            "0.0109612, 0.0109522, 0.0109387, 0.0109234, 0.0109123, 0.0109071", \
-            "0.011004, 0.0110324, 0.0110573, 0.0110694, 0.0110719, 0.0110707", \
-            "0.0091738, 0.00943587, 0.010175, 0.0109064, 0.0113393, 0.0115631" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00788477, 0.00794519, 0.00797755, 0.0079399, 0.00783843, 0.00766807", \
-            "0.00780328, 0.00786762, 0.00790035, 0.00787205, 0.00777257, 0.00760059", \
-            "0.00765546, 0.00772011, 0.00777305, 0.0077681, 0.00768287, 0.00751274", \
-            "0.00751069, 0.00756885, 0.00763905, 0.00766004, 0.00759736, 0.00745516", \
-            "0.00755546, 0.00760229, 0.00766458, 0.00771495, 0.00767811, 0.00753516", \
-            "0.00821216, 0.00821774, 0.00830958, 0.00831853, 0.00829638, 0.00816439" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0124538, 0.0124105, 0.0122934, 0.0121537, 0.012104, 0.0122374", \
-            "0.0123848, 0.0123458, 0.0122497, 0.0121218, 0.0120725, 0.0122023", \
-            "0.0122611, 0.0122454, 0.0121904, 0.0121004, 0.0120578, 0.012187", \
-            "0.012208, 0.0122171, 0.0122239, 0.0121999, 0.0121844, 0.0123062", \
-            "0.0124428, 0.0124568, 0.0124944, 0.0124932, 0.0125199, 0.0126271", \
-            "0.0133418, 0.013341, 0.0133717, 0.0134097, 0.0134193, 0.0134924" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.00702613, 0.00709052, 0.00712491, 0.00709308, 0.00699675, 0.00682951", \
-            "0.00694788, 0.00701302, 0.00705141, 0.00702822, 0.00693289, 0.0067657", \
-            "0.0067812, 0.00684815, 0.00689998, 0.0068922, 0.00680826, 0.00664594", \
-            "0.00657201, 0.00663172, 0.00671742, 0.00675013, 0.00669052, 0.00654057", \
-            "0.00654536, 0.00660308, 0.00667847, 0.00673425, 0.00669709, 0.0065585", \
-            "0.00707225, 0.00716535, 0.00718524, 0.00723056, 0.00722676, 0.00707496" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823097, 0.0186107, 0.0420799, 0.0951451, 0.215129");
-          values ( \
-            "0.0129917, 0.0130772, 0.0131476, 0.0131887, 0.0132761, 0.0135125", \
-            "0.0129406, 0.0130296, 0.0131089, 0.0131591, 0.0132459, 0.013479", \
-            "0.0128581, 0.012952, 0.013057, 0.0131382, 0.0132401, 0.0134734", \
-            "0.0128626, 0.0129578, 0.013088, 0.0132188, 0.0133627, 0.0136041", \
-            "0.013126, 0.0132152, 0.01334, 0.0135175, 0.0137175, 0.0139636", \
-            "0.0140859, 0.0141325, 0.0142318, 0.0143898, 0.0146071, 0.0148145" \
-          );
-        }
-      }
-    }
-    pin (CON) {
-      direction : output;
-      function : "(A * B) + (!A * !B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0990011;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.119543, 0.128276, 0.144741, 0.175486, 0.233931, 0.346919", \
-            "0.123724, 0.132465, 0.148915, 0.179713, 0.238198, 0.351169", \
-            "0.133313, 0.14212, 0.158698, 0.189605, 0.248169, 0.361191", \
-            "0.151166, 0.160171, 0.17703, 0.208229, 0.267023, 0.38022", \
-            "0.173261, 0.182366, 0.199379, 0.230574, 0.289404, 0.402851", \
-            "0.189543, 0.199019, 0.216604, 0.248399, 0.307427, 0.420425" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.066856, 0.0770775, 0.0983286, 0.141568, 0.22812, 0.398734", \
-            "0.0668604, 0.0770803, 0.0983264, 0.141566, 0.228128, 0.398733", \
-            "0.0670332, 0.0772031, 0.0983751, 0.141582, 0.228115, 0.398733", \
-            "0.0685207, 0.0785728, 0.0994688, 0.142148, 0.228335, 0.39876", \
-            "0.0702811, 0.0797964, 0.100027, 0.142614, 0.2285, 0.398853", \
-            "0.0783476, 0.0868444, 0.105692, 0.145885, 0.229319, 0.398556" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.11327, 0.123048, 0.141694, 0.177314, 0.246067, 0.379523", \
-            "0.119282, 0.12916, 0.147883, 0.183551, 0.252337, 0.385762", \
-            "0.13372, 0.143683, 0.162463, 0.198332, 0.267248, 0.400689", \
-            "0.164001, 0.174193, 0.193388, 0.229579, 0.298678, 0.43229", \
-            "0.214576, 0.224893, 0.244255, 0.280611, 0.350148, 0.483885", \
-            "0.294372, 0.305126, 0.324998, 0.361866, 0.431673, 0.56565" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0633293, 0.0750865, 0.0986139, 0.145288, 0.237019, 0.415819", \
-            "0.063346, 0.0751095, 0.0986052, 0.145278, 0.237024, 0.415821", \
-            "0.0634499, 0.0751795, 0.0986468, 0.145294, 0.237026, 0.415827", \
-            "0.064173, 0.0757199, 0.0989978, 0.145489, 0.237095, 0.415882", \
-            "0.0627385, 0.0740914, 0.0974006, 0.143816, 0.235964, 0.416037", \
-            "0.0676905, 0.0778542, 0.0991376, 0.143316, 0.233487, 0.412322" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0635003, 0.0779307, 0.0874868, 0.0941247, 0.0994766, 0.107786, 0.11011, 0.114757, 0.120421, 0.136449, 0.142046, 0.147555, 0.152859, 0.174135, 0.178416, 0.182778, 0.207964, 0.219334, 0.235945, 0.247146, 0.260385, 0.284317, 0.35554");
-            values ( \
-              "0.000345115, 0.0345967, 0.0489559, 0.0557032, 0.0594773, 0.0629481, 0.0635014, 0.0637864, 0.0632081, 0.0589672, 0.0601004, 0.0623663, 0.0576695, 0.0289005, 0.0256609, 0.0253797, 0.0124162, 0.00810596, 0.00426151, 0.00275529, 0.00171501, 0.000603062, 9.41731e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00704757");
-            index_3 ("0.0668196, 0.0790537, 0.0818172, 0.0902862, 0.0968766, 0.10385, 0.114071, 0.115951, 0.11971, 0.127229, 0.128612, 0.134144, 0.139725, 0.150399, 0.152327, 0.156183, 0.163622, 0.180989, 0.201334, 0.207347, 0.233198, 0.240437, 0.251036, 0.262747, 0.280929, 0.301107, 0.334253, 0.37273");
-            values ( \
-              "0.0172464, 0.057392, 0.0652395, 0.0839638, 0.0941037, 0.101622, 0.107172, 0.10783, 0.108315, 0.107956, 0.107592, 0.105427, 0.102619, 0.0988423, 0.10066, 0.101228, 0.0973408, 0.0658588, 0.0355193, 0.033171, 0.0188567, 0.0155402, 0.0110877, 0.00766624, 0.00424842, 0.00233358, 0.000614561, 0.000294068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136439");
-            index_3 ("0.0678453, 0.0762935, 0.0847682, 0.0887496, 0.0950221, 0.104787, 0.111503, 0.124935, 0.129511, 0.135303, 0.141137, 0.156274, 0.163213, 0.172197, 0.183443, 0.188953, 0.213709, 0.232849, 0.242019, 0.244838, 0.250475, 0.258473, 0.277991, 0.296414, 0.311323, 0.324197, 0.345057, 0.369903, 0.41478, 0.466629");
-            values ( \
-              "0.0473346, 0.069013, 0.103757, 0.117215, 0.134377, 0.152892, 0.160478, 0.167584, 0.168021, 0.167533, 0.166083, 0.15846, 0.154, 0.151634, 0.146341, 0.136974, 0.0908934, 0.0617924, 0.0492736, 0.0464577, 0.0419488, 0.0370063, 0.0269752, 0.0188337, 0.0137292, 0.0101865, 0.00623108, 0.00342734, 0.000990333, 0.000278451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0264143");
-            index_3 ("0.0692376, 0.0801289, 0.0894237, 0.100925, 0.106343, 0.113567, 0.124536, 0.139891, 0.155052, 0.165495, 0.19774, 0.209866, 0.228238, 0.271717, 0.304401, 0.331106, 0.360296, 0.398524, 0.420143, 0.448837, 0.478572, 0.522346, 0.538684");
-            values ( \
-              "0.0990517, 0.109757, 0.155782, 0.195612, 0.208104, 0.220063, 0.230618, 0.234797, 0.231939, 0.227647, 0.207936, 0.202168, 0.186478, 0.118779, 0.077952, 0.0523317, 0.0363726, 0.022583, 0.0165745, 0.010774, 0.00681475, 0.00317939, 0.00275343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0511375");
-            index_3 ("0.0744026, 0.0937471, 0.10439, 0.115708, 0.129954, 0.147645, 0.160801, 0.178834, 0.206831, 0.28237, 0.311265, 0.384782, 0.435776, 0.48297, 0.512914, 0.548708, 0.603911, 0.639382, 0.689201, 0.792899, 0.812271");
-            values ( \
-              "0.190691, 0.206775, 0.246761, 0.273186, 0.290462, 0.296976, 0.296142, 0.291394, 0.279932, 0.239578, 0.217107, 0.139813, 0.0953592, 0.0636401, 0.0490723, 0.0369753, 0.0235575, 0.0172962, 0.0109251, 0.00392272, 0.00353178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0990011");
-            index_3 ("0.0745216, 0.093607, 0.100995, 0.110656, 0.124864, 0.138365, 0.155037, 0.172753, 0.190208, 0.216111, 0.243279, 0.295129, 0.37001, 0.423139, 0.470234, 0.588893, 0.622078, 0.664923, 0.716772, 0.749187, 0.769198, 0.808125, 0.858867, 0.901994, 0.95137, 1.00322, 1.03954, 1.06692, 1.15529, 1.25899, 1.36269, 1.46639, 1.62193");
-            values ( \
-              "0.228907, 0.229587, 0.264225, 0.297079, 0.325784, 0.339226, 0.345293, 0.34563, 0.342663, 0.336425, 0.32844, 0.311987, 0.284246, 0.262803, 0.238105, 0.162721, 0.143099, 0.120042, 0.0952992, 0.0818797, 0.0744338, 0.0612852, 0.0480199, 0.0391868, 0.0308402, 0.0239712, 0.0198304, 0.0172013, 0.0107041, 0.00601153, 0.00332953, 0.00182406, 0.000828552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0813857, 0.0966175, 0.105612, 0.112748, 0.117608, 0.125854, 0.13302, 0.138556, 0.154582, 0.16018, 0.16569, 0.170995, 0.192235, 0.196482, 0.200804, 0.226188, 0.236487, 0.250458, 0.269986, 0.287965, 0.31708, 0.350654");
-            values ( \
-              "0.000190435, 0.0355754, 0.0489114, 0.0561035, 0.0594482, 0.0629344, 0.06379, 0.0631973, 0.0589692, 0.0600905, 0.0623664, 0.057663, 0.0289423, 0.0256836, 0.0254052, 0.0123807, 0.00841554, 0.0049, 0.00228726, 0.00119631, 0.000309491, 0.000150884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00704757");
-            index_3 ("0.0817392, 0.0976847, 0.108427, 0.116325, 0.121998, 0.132109, 0.138083, 0.145845, 0.167719, 0.170477, 0.175992, 0.183267, 0.199138, 0.214765, 0.222114, 0.226742, 0.259414, 0.278046, 0.298296, 0.319977, 0.344278");
-            values ( \
-              "0.00322828, 0.0587949, 0.0838161, 0.0958205, 0.101507, 0.107215, 0.108396, 0.107768, 0.0989549, 0.100725, 0.101298, 0.0949052, 0.0656673, 0.0415724, 0.0338425, 0.0327708, 0.0150343, 0.00846413, 0.004497, 0.00213632, 0.00108038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136439");
-            index_3 ("0.0878247, 0.0956577, 0.102907, 0.111922, 0.12067, 0.12964, 0.142567, 0.148603, 0.159246, 0.164435, 0.188473, 0.19029, 0.193924, 0.200243, 0.20706, 0.230842, 0.244617, 0.25722, 0.261814, 0.269319, 0.288455, 0.30565, 0.330048, 0.349484, 0.362175, 0.386768, 0.425678, 0.477527");
-            values ( \
-              "0.0733861, 0.0745734, 0.103901, 0.131645, 0.149388, 0.160576, 0.167404, 0.167964, 0.166064, 0.163924, 0.150719, 0.151869, 0.150832, 0.14749, 0.1367, 0.0926516, 0.0708436, 0.0533377, 0.0473472, 0.0413681, 0.0307594, 0.0225352, 0.0134221, 0.00858501, 0.00647427, 0.00343978, 0.00133686, 0.000243187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0264143");
-            index_3 ("0.0858794, 0.0966171, 0.107644, 0.118885, 0.120927, 0.125009, 0.133175, 0.142721, 0.15824, 0.163129, 0.172907, 0.183678, 0.217784, 0.22805, 0.246583, 0.28163, 0.301126, 0.321217, 0.334701, 0.350461, 0.363712, 0.379383, 0.385922, 0.399001, 0.415603, 0.436728, 0.447856, 0.470113, 0.499987, 0.543938, 0.595787, 0.647636");
-            values ( \
-              "0.0766721, 0.100521, 0.156235, 0.195063, 0.200276, 0.209097, 0.222038, 0.230554, 0.234861, 0.234397, 0.232107, 0.227592, 0.206821, 0.202351, 0.18617, 0.130855, 0.103668, 0.079512, 0.0651819, 0.0515245, 0.0435165, 0.0360203, 0.0333666, 0.0283468, 0.0228828, 0.0170082, 0.0144979, 0.0103063, 0.00642793, 0.00304005, 0.00124977, 0.000488073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0511375");
-            index_3 ("0.0855606, 0.100711, 0.115553, 0.126687, 0.133918, 0.148379, 0.162848, 0.171741, 0.1836, 0.197023, 0.223871, 0.240352, 0.305005, 0.313975, 0.331915, 0.40349, 0.422178, 0.459554, 0.495435, 0.518195, 0.545064, 0.581962, 0.618096, 0.657083, 0.713991, 0.76584, 0.817689, 0.869539, 0.973237");
-            values ( \
-              "0.0979241, 0.145189, 0.222825, 0.258498, 0.273566, 0.29068, 0.296715, 0.296984, 0.295199, 0.291417, 0.2804, 0.272216, 0.236386, 0.230188, 0.214488, 0.139239, 0.12182, 0.0910848, 0.0669294, 0.0547806, 0.0439082, 0.0327377, 0.0243504, 0.017357, 0.0102413, 0.00613658, 0.0036598, 0.00213948, 0.000735812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0990011");
-            index_3 ("0.0965951, 0.12171, 0.128855, 0.143143, 0.156553, 0.173561, 0.191108, 0.208396, 0.234301, 0.261468, 0.317154, 0.411029, 0.441327, 0.48789, 0.607082, 0.640271, 0.683114, 0.734963, 0.78738, 0.82631, 0.877054, 0.920185, 0.96956, 1.02141, 1.08511, 1.17348, 1.27717, 1.38087, 1.48457, 1.64012");
-            values ( \
-              "0.273164, 0.274251, 0.297008, 0.325958, 0.339139, 0.345416, 0.345544, 0.342728, 0.336356, 0.328508, 0.310656, 0.275424, 0.262753, 0.238447, 0.162759, 0.143136, 0.120002, 0.0953371, 0.074398, 0.0613243, 0.0479826, 0.0391491, 0.030877, 0.023934, 0.0172373, 0.0107399, 0.00604685, 0.00336461, 0.00185899, 0.00079381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.12746, 0.137163, 0.145723, 0.152125, 0.157926, 0.166059, 0.168639, 0.173799, 0.178985, 0.195045, 0.20065, 0.206166, 0.211473, 0.232719, 0.236935, 0.241231, 0.268681, 0.283187, 0.296567, 0.32023, 0.349938");
-            values ( \
-              "0.0273224, 0.034659, 0.0476323, 0.0544672, 0.0588684, 0.0624754, 0.0631803, 0.0635566, 0.0630729, 0.0588583, 0.0600537, 0.06227, 0.0576443, 0.0288957, 0.0257431, 0.0253927, 0.0114623, 0.00675964, 0.00392273, 0.0015184, 0.000772181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00704757");
-            index_3 ("0.127806, 0.138054, 0.143627, 0.155071, 0.162396, 0.171453, 0.180492, 0.186332, 0.208282, 0.210983, 0.216386, 0.22378, 0.239657, 0.255292, 0.262584, 0.26727, 0.30007, 0.317991, 0.330155, 0.346373, 0.374982, 0.388631");
-            values ( \
-              "0.0453495, 0.0569381, 0.071581, 0.092714, 0.100724, 0.106402, 0.10822, 0.107574, 0.0988885, 0.100652, 0.101271, 0.0948808, 0.065654, 0.0415355, 0.0338988, 0.0327532, 0.0149633, 0.00860548, 0.00583742, 0.00352906, 0.00125873, 0.00104672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136439");
-            index_3 ("0.12774, 0.137608, 0.143199, 0.150041, 0.153631, 0.16081, 0.170232, 0.183118, 0.189391, 0.197755, 0.204183, 0.219616, 0.228766, 0.230948, 0.235312, 0.241258, 0.247699, 0.267388, 0.275854, 0.285529, 0.302669, 0.308734, 0.315665, 0.341264, 0.358507, 0.373394, 0.390629, 0.41361, 0.453788, 0.502266, 0.554115");
-            values ( \
-              "0.0687768, 0.0784458, 0.10113, 0.123491, 0.132994, 0.147714, 0.159981, 0.167079, 0.16774, 0.16656, 0.164241, 0.155398, 0.151598, 0.151798, 0.15098, 0.146853, 0.136756, 0.0997479, 0.0854326, 0.0704097, 0.0471248, 0.0422386, 0.0379378, 0.0249054, 0.0176261, 0.0126776, 0.00852125, 0.00491913, 0.00169247, 0.000480233, 0.000103817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0264143");
-            index_3 ("0.127506, 0.139076, 0.148112, 0.161459, 0.171603, 0.183339, 0.198363, 0.213905, 0.224318, 0.258483, 0.268693, 0.287112, 0.330567, 0.3614, 0.391596, 0.420371, 0.455824, 0.476677, 0.512095, 0.541952, 0.58581, 0.602166");
-            values ( \
-              "0.0947693, 0.109373, 0.154336, 0.199057, 0.218634, 0.230394, 0.234722, 0.231907, 0.227583, 0.206794, 0.20234, 0.186315, 0.118796, 0.0800102, 0.051167, 0.0358639, 0.023016, 0.0171788, 0.0100904, 0.00629197, 0.00297714, 0.00258286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0511375");
-            index_3 ("0.132355, 0.154596, 0.166568, 0.174703, 0.189279, 0.204238, 0.211512, 0.22121, 0.237856, 0.266237, 0.341416, 0.360451, 0.382601, 0.434959, 0.461868, 0.497746, 0.539112, 0.56848, 0.597477, 0.632445, 0.691073, 0.743848, 0.795697, 0.899396, 0.905016");
-            values ( \
-              "0.165742, 0.214712, 0.255394, 0.272884, 0.290502, 0.296624, 0.296919, 0.295821, 0.291387, 0.279703, 0.239444, 0.225715, 0.204552, 0.148371, 0.122621, 0.0932484, 0.0653781, 0.0503889, 0.0399219, 0.0301961, 0.0183384, 0.0114476, 0.00678831, 0.00233649, 0.00227244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0990011");
-            index_3 ("0.132345, 0.169742, 0.183076, 0.197445, 0.213989, 0.23175, 0.249254, 0.275156, 0.302325, 0.358011, 0.451875, 0.482184, 0.528441, 0.647938, 0.681129, 0.723972, 0.775821, 0.828234, 0.867165, 0.917911, 0.961046, 1.01042, 1.06227, 1.12597, 1.21434, 1.31803, 1.42173, 1.52543, 1.68098");
-            values ( \
-              "0.179125, 0.297455, 0.324765, 0.339281, 0.345395, 0.345579, 0.34275, 0.336347, 0.328522, 0.310679, 0.275449, 0.26273, 0.238644, 0.162777, 0.143151, 0.119983, 0.0953531, 0.0743911, 0.0613322, 0.0479752, 0.0391423, 0.0308828, 0.023928, 0.0172416, 0.0107439, 0.0060507, 0.00336825, 0.00186243, 0.000790656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.203226, 0.212828, 0.226807, 0.235671, 0.245815, 0.248896, 0.251371, 0.25387, 0.260868, 0.264704, 0.282985, 0.292377, 0.297692, 0.319625, 0.323879, 0.328357, 0.352227, 0.362001, 0.37872, 0.399579, 0.421398, 0.451943, 0.487828");
-            values ( \
-              "0.00926554, 0.018043, 0.0395142, 0.0504041, 0.0586392, 0.0603492, 0.059203, 0.0592152, 0.061021, 0.0610065, 0.0579333, 0.0615424, 0.0575998, 0.0279779, 0.0258127, 0.0251295, 0.0127467, 0.00889867, 0.00467689, 0.00208799, 0.000879587, 0.000256592, 7.85145e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00704757");
-            index_3 ("0.203176, 0.21451, 0.229293, 0.240433, 0.248837, 0.25077, 0.253536, 0.260155, 0.265568, 0.272315, 0.294071, 0.297269, 0.303665, 0.310109, 0.326037, 0.341664, 0.348902, 0.35369, 0.385261, 0.404673, 0.426509, 0.448455, 0.48148, 0.5202");
-            values ( \
-              "0.0127059, 0.0320762, 0.068605, 0.0893465, 0.0994308, 0.0982228, 0.0984086, 0.102624, 0.104661, 0.105295, 0.0982947, 0.100232, 0.100606, 0.0943248, 0.0655335, 0.0414718, 0.0340053, 0.0327858, 0.0154854, 0.00854279, 0.00427331, 0.00207414, 0.000656382, 0.000192589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136439");
-            index_3 ("0.203296, 0.217062, 0.227261, 0.234736, 0.238485, 0.245984, 0.24894, 0.251718, 0.255306, 0.262032, 0.269207, 0.275464, 0.283806, 0.29117, 0.314798, 0.317537, 0.323015, 0.331675, 0.350096, 0.362916, 0.370476, 0.388664, 0.39883, 0.421853, 0.440091, 0.454441, 0.465488, 0.480519, 0.497931, 0.531805, 0.575806, 0.627655");
-            values ( \
-              "0.0180746, 0.0533299, 0.0913197, 0.115931, 0.126371, 0.143292, 0.148541, 0.148256, 0.15035, 0.157599, 0.162346, 0.164279, 0.164348, 0.162395, 0.150628, 0.151753, 0.149956, 0.142029, 0.106543, 0.0845683, 0.0729176, 0.047739, 0.039924, 0.0277199, 0.0196606, 0.0142978, 0.0111409, 0.00784168, 0.00528999, 0.00213557, 0.00075266, 0.000106418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0264143");
-            index_3 ("0.203253, 0.220754, 0.233048, 0.241725, 0.248863, 0.251084, 0.253945, 0.261727, 0.26968, 0.273854, 0.282204, 0.290416, 0.30684, 0.31113, 0.354445, 0.35555, 0.362181, 0.368518, 0.380465, 0.41195, 0.428519, 0.447888, 0.467761, 0.478848, 0.491815, 0.507463, 0.542506, 0.563198, 0.580232, 0.609365, 0.648209, 0.697565, 0.801264");
-            values ( \
-              "0.0225642, 0.0861355, 0.145043, 0.178176, 0.198839, 0.199252, 0.201839, 0.214835, 0.224234, 0.22736, 0.231028, 0.231875, 0.228484, 0.226747, 0.201349, 0.201523, 0.197848, 0.191986, 0.176188, 0.126741, 0.103694, 0.0805889, 0.0599079, 0.0509881, 0.0431826, 0.0358478, 0.0230392, 0.0173203, 0.0134672, 0.00860353, 0.00456587, 0.001932, 0.000315848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0511375");
-            index_3 ("0.217515, 0.241942, 0.248905, 0.268526, 0.276225, 0.291019, 0.298492, 0.308455, 0.324878, 0.351429, 0.432842, 0.459357, 0.531156, 0.585783, 0.625189, 0.6739, 0.743671, 0.784768, 0.845169, 0.948867, 0.950383");
-            values ( \
-              "0.162334, 0.214856, 0.240872, 0.275522, 0.28486, 0.293758, 0.294894, 0.294455, 0.290808, 0.280407, 0.236431, 0.214939, 0.13946, 0.0923241, 0.0658284, 0.0435799, 0.0248497, 0.0174035, 0.00993205, 0.00354816, 0.00352045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0990011");
-            index_3 ("0.217382, 0.236421, 0.24878, 0.271044, 0.284228, 0.300588, 0.318701, 0.336287, 0.362249, 0.438473, 0.504663, 0.569306, 0.602788, 0.642453, 0.751044, 0.782255, 0.834104, 0.898446, 0.934515, 0.986364, 1.05391, 1.09582, 1.14767, 1.19479, 1.25219, 1.35589, 1.45959, 1.56329, 1.77068");
-            values ( \
-              "0.210375, 0.210549, 0.269636, 0.318328, 0.334674, 0.343213, 0.344682, 0.342355, 0.336236, 0.31287, 0.288529, 0.262703, 0.24574, 0.222304, 0.153296, 0.135153, 0.108648, 0.0806339, 0.0677386, 0.0523141, 0.0380049, 0.031238, 0.0240501, 0.018913, 0.0139778, 0.00792125, 0.00441288, 0.00243003, 0.000712132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.358613, 0.376094, 0.384558, 0.408606, 0.413758, 0.424064, 0.4301, 0.435938, 0.439986, 0.448303, 0.456583, 0.459438, 0.464955, 0.470402, 0.492209, 0.496511, 0.500949, 0.507056, 0.518236, 0.525642, 0.532982, 0.541291, 0.550113, 0.552859, 0.556073, 0.566434, 0.591799, 0.621436, 0.660872");
-            values ( \
-              "0.00203966, 0.0129602, 0.0206515, 0.0450633, 0.0493183, 0.0558807, 0.0583463, 0.059607, 0.0597613, 0.0589245, 0.0584598, 0.0603649, 0.06083, 0.0569952, 0.0284559, 0.0260312, 0.0255572, 0.0228037, 0.0164094, 0.0126741, 0.00966639, 0.00709044, 0.00497815, 0.00387023, 0.00326935, 0.00232403, 0.000924852, 0.000320832, 6.03297e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00704757");
-            index_3 ("0.358716, 0.379358, 0.385262, 0.40964, 0.419003, 0.431573, 0.438839, 0.445116, 0.451306, 0.467504, 0.4701, 0.475291, 0.482927, 0.498849, 0.507722, 0.514272, 0.521562, 0.52612, 0.550176, 0.551837, 0.559966, 0.567109, 0.577294, 0.586011, 0.597634, 0.620879, 0.654509, 0.69509");
-            values ( \
-              "0.00235565, 0.0248442, 0.0337252, 0.0749188, 0.0872964, 0.0982698, 0.101824, 0.103245, 0.103007, 0.0995034, 0.101096, 0.100922, 0.0939766, 0.0659678, 0.0522634, 0.042231, 0.0345683, 0.033338, 0.0197319, 0.0175932, 0.0139905, 0.0113814, 0.00835878, 0.00639465, 0.00444031, 0.00207496, 0.000656069, 0.0001724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136439");
-            index_3 ("0.358833, 0.381853, 0.408565, 0.421111, 0.427848, 0.441323, 0.449357, 0.459269, 0.494508, 0.500504, 0.534646, 0.562019, 0.574229, 0.597601, 0.612783, 0.635784, 0.655352, 0.677716, 0.705446");
-            values ( \
-              "0.00199334, 0.0407053, 0.107889, 0.134211, 0.144444, 0.157377, 0.160964, 0.16206, 0.152359, 0.147324, 0.0869581, 0.0462189, 0.0382642, 0.0263284, 0.0196339, 0.0119106, 0.00763921, 0.00439499, 0.00243426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0264143");
-            index_3 ("0.358677, 0.386144, 0.412939, 0.428156, 0.442126, 0.460733, 0.46867, 0.479253, 0.493995, 0.534253, 0.550151, 0.553231, 0.602422, 0.624842, 0.647722, 0.662098, 0.67655, 0.720358, 0.741562, 0.781339, 0.81925, 0.862694");
-            values ( \
-              "0.00378185, 0.0654984, 0.156993, 0.196204, 0.217431, 0.228739, 0.229422, 0.227908, 0.222221, 0.199774, 0.18078, 0.173699, 0.102294, 0.0761004, 0.0539241, 0.0445905, 0.0374991, 0.0216313, 0.0159504, 0.00880225, 0.00463448, 0.00237248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0511375");
-            index_3 ("0.358778, 0.451099, 0.466561, 0.48266, 0.498081, 0.530346, 0.550215, 0.559576, 0.601681, 0.628466, 0.704136, 0.757281, 0.800305, 0.852459, 0.925016, 1.00542, 1.10202");
-            values ( \
-              "0.0220246, 0.281077, 0.291172, 0.293392, 0.291028, 0.279248, 0.269116, 0.259746, 0.239143, 0.218997, 0.139767, 0.0936881, 0.0647735, 0.0418658, 0.0232023, 0.0112479, 0.00453521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0990011");
-            index_3 ("0.386755, 0.412272, 0.427787, 0.440398, 0.457165, 0.47588, 0.493125, 0.509604, 0.550104, 0.562544, 0.583749, 0.618503, 0.678, 0.742595, 0.791569, 0.924177, 1.00681, 1.07213, 1.10888, 1.16073, 1.22596, 1.26634, 1.31819, 1.3577, 1.42959, 1.53328, 1.63698, 1.74068, 1.94808");
-            values ( \
-              "0.198256, 0.208306, 0.269513, 0.303017, 0.328991, 0.341418, 0.344233, 0.343087, 0.33405, 0.32566, 0.321011, 0.310465, 0.288549, 0.262827, 0.237017, 0.153339, 0.108878, 0.0805597, 0.0673418, 0.0521084, 0.0382746, 0.0316307, 0.024431, 0.0200665, 0.013707, 0.00777346, 0.00434011, 0.00240061, 0.000720188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.684549, 0.70685, 0.715002, 0.757225, 0.772562, 0.779033, 0.785319, 0.791648, 0.797612, 0.806942, 0.809665, 0.815112, 0.821279, 0.843354, 0.851828, 0.857387, 0.874539, 0.883091, 0.892801, 0.903387, 0.917636, 0.930724, 0.954712, 0.983177");
-            values ( \
-              "0.00272608, 0.00903258, 0.0127247, 0.0391166, 0.0478511, 0.0508217, 0.0527382, 0.053469, 0.0536106, 0.0544062, 0.0569507, 0.0571956, 0.0545016, 0.0275957, 0.0255158, 0.023152, 0.0137253, 0.0101424, 0.0071136, 0.0047999, 0.00280685, 0.00185734, 0.000629704, 0.000323668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704757");
-            index_3 ("0.685971, 0.709495, 0.715572, 0.725807, 0.767841, 0.779386, 0.793524, 0.800351, 0.806924, 0.818523, 0.820303, 0.823864, 0.830294, 0.840327, 0.848611, 0.865957, 0.872925, 0.878222, 0.899558, 0.909021, 0.916742, 0.927867, 0.941128, 0.958809, 0.98359, 1.01623");
-            values ( \
-              "0.00504609, 0.0165493, 0.0212124, 0.0309499, 0.0753921, 0.0855013, 0.0937947, 0.0950362, 0.0950591, 0.094662, 0.0967495, 0.0966936, 0.0946172, 0.0800118, 0.0659578, 0.0403463, 0.0348531, 0.0328198, 0.0206573, 0.015919, 0.0127932, 0.00905374, 0.00600906, 0.00349772, 0.00149927, 0.000552889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136439");
-            index_3 ("0.689745, 0.714224, 0.731905, 0.773427, 0.790694, 0.799109, 0.808456, 0.821654, 0.83038, 0.84087, 0.849051, 0.857893, 0.877289, 0.890485, 0.912013, 0.926077, 0.946333, 0.973227, 0.987631, 1.00751, 1.03361, 1.06299");
-            values ( \
-              "0.0104386, 0.0297063, 0.0546144, 0.122283, 0.142187, 0.148334, 0.15224, 0.1529, 0.150733, 0.149596, 0.146479, 0.134711, 0.0998501, 0.0784296, 0.0483844, 0.0383647, 0.0277566, 0.0162575, 0.011826, 0.00746253, 0.00406386, 0.00208613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0264143");
-            index_3 ("0.717518, 0.745693, 0.761833, 0.770432, 0.774731, 0.779031, 0.781789, 0.787305, 0.790063, 0.795393, 0.800724, 0.806055, 0.811385, 0.814101, 0.816817, 0.820438, 0.824059, 0.829497, 0.834936, 0.839623, 0.84431, 0.848997, 0.856041, 0.866257, 0.875686, 0.879664, 0.883642, 0.88762, 0.893961, 0.897131, 0.902448, 0.904595, 0.930356, 0.945242, 0.950496, 0.961004, 0.976767, 0.989829, 0.993371, 0.996912, 1.004, 1.01108, 1.01799, 1.02491, 1.03656, 1.05122, 1.0561, 1.06566, 1.07522, 1.09585");
-            values ( \
-              "0.0980539, 0.101789, 0.141007, 0.160496, 0.169758, 0.178698, 0.183075, 0.19112, 0.194788, 0.201208, 0.206745, 0.211399, 0.21517, 0.21674, 0.218095, 0.218644, 0.218988, 0.219102, 0.218791, 0.218159, 0.217228, 0.215999, 0.213589, 0.208887, 0.204842, 0.202768, 0.199806, 0.196322, 0.189651, 0.185844, 0.178735, 0.175639, 0.135358, 0.113363, 0.106473, 0.0935498, 0.0756456, 0.0617383, 0.0583544, 0.0552706, 0.0503317, 0.0459359, 0.0423093, 0.0389212, 0.0337504, 0.028184, 0.026491, 0.0234496, 0.0206497, 0.015345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0511375");
-            index_3 ("0.703299, 0.729991, 0.772268, 0.793007, 0.815808, 0.829232, 0.848511, 0.874127, 0.895602, 0.956056, 0.977548, 1.05415, 1.10705, 1.14924, 1.17905, 1.20607, 1.23891, 1.30141, 1.34676, 1.3986, 1.48344");
-            values ( \
-              "0.0565998, 0.0814058, 0.201076, 0.247962, 0.275538, 0.282159, 0.284421, 0.279246, 0.270723, 0.238759, 0.22087, 0.140422, 0.0944419, 0.0658743, 0.0507443, 0.0408342, 0.0306519, 0.0182801, 0.0120694, 0.00732028, 0.00343485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0990011");
-            index_3 ("0.702815, 0.742336, 0.785923, 0.805221, 0.829245, 0.853585, 0.859714, 0.871972, 0.892314, 0.954855, 0.996911, 1.07996, 1.11739, 1.16159, 1.25218, 1.33412, 1.38597, 1.43895, 1.47775, 1.52835, 1.57117, 1.62062, 1.67247, 1.73635, 1.82485, 1.92855, 2.03224, 2.08692");
-            values ( \
-              "0.0523224, 0.1282, 0.2678, 0.30626, 0.330138, 0.337044, 0.337187, 0.336343, 0.33306, 0.316091, 0.301671, 0.269617, 0.251532, 0.225343, 0.166183, 0.120012, 0.0954782, 0.0742249, 0.0612728, 0.0479314, 0.0391622, 0.0309215, 0.0239254, 0.0172539, 0.01075, 0.00606106, 0.00338107, 0.00283122" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00627978, 0.00629194, 0.00630337, 0.00631442, 0.00632296, 0.00632844", \
-            "0.00753554, 0.00754246, 0.00755132, 0.00756133, 0.00757023, 0.00757665", \
-            "0.00829455, 0.00829611, 0.00829938, 0.00830442, 0.00831048, 0.00831576", \
-            "0.00877539, 0.00877514, 0.00877514, 0.00877596, 0.00877798, 0.00878077", \
-            "0.00907361, 0.009073, 0.00907215, 0.00907121, 0.00907058, 0.00907075", \
-            "0.00927907, 0.00927844, 0.00927742, 0.00927596, 0.00927424, 0.00927272" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00888637, 0.00888604, 0.00890681, 0.00891817, 0.00893358, 0.00894475", \
-            "0.00923774, 0.00922574, 0.00923764, 0.00923386, 0.00924129, 0.00924774", \
-            "0.00917455, 0.00916823, 0.0091598, 0.00915169, 0.00914731, 0.00914697", \
-            "0.00947983, 0.00947928, 0.00947528, 0.0094671, 0.00945728, 0.00944995", \
-            "0.00809749, 0.00850402, 0.00900121, 0.00949358, 0.00990089, 0.0101791", \
-            "0.00628889, 0.00630331, 0.00635197, 0.00649806, 0.00724322, 0.00831029" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0037123, 0.0630712, 0.0659526, 0.0716456, 0.0800154, 0.092937, 0.104436, 0.115034, 0.124782, 0.131586, 0.13857, 0.151303, 0.155606, 0.171943, 0.192892, 0.200747, 0.22368, 0.240895, 0.267982, 0.29197, 0.294136");
-            values ( \
-              "-0.000411926, -0.00453953, -0.0187317, -0.033109, -0.0448979, -0.055317, -0.0595082, -0.0643885, -0.0702417, -0.0721688, -0.0673198, -0.0513561, -0.0441688, -0.0255931, -0.00978827, -0.00765825, -0.00564892, -0.00349914, -0.00138145, -0.000637743, -0.000604357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00704757");
-            index_3 ("0.00423681, 0.00578454, 0.0114589, 0.0273006, 0.0327593, 0.0665585, 0.0866715, 0.0994608, 0.144565, 0.159407, 0.199395, 0.229578, 0.231594");
-            values ( \
-              "-1e-22, -0.0260547, -0.0228149, -0.0566208, -0.000640076, -1e-22, -0.0763407, -0.0916031, -0.115671, -0.102329, -0.0377274, -0.0118137, -0.0113222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136439");
-            index_3 ("0.00422623, 0.00577497, 0.0157639, 0.02729, 0.0342251, 0.0367883, 0.0669692, 0.0792074, 0.0974857, 0.114649, 0.137, 0.168859, 0.239147, 0.281926, 0.3044, 0.309808");
-            values ( \
-              "-1e-22, -0.0326484, -0.0414043, -0.0902859, -0.00358512, -1e-22, -2e-22, -0.0864174, -0.13241, -0.146597, -0.15236, -0.166677, -0.0548541, -0.0170197, -0.0100244, -0.00956909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0264143");
-            index_3 ("0.0092948, 0.0642537, 0.0673175, 0.0743899, 0.0824061, 0.0889829, 0.0941767, 0.104564, 0.112247, 0.119035, 0.131035, 0.165997, 0.177309, 0.188813, 0.209852, 0.219115, 0.234967, 0.285986, 0.309666, 0.334399, 0.355756, 0.382493, 0.405488, 0.428285, 0.4688, 0.534407, 0.571771, 0.58392");
-            values ( \
-              "-0.0338233, -0.00840273, -0.0491934, -0.107205, -0.145605, -0.166675, -0.17769, -0.191737, -0.196798, -0.199634, -0.202192, -0.204532, -0.207745, -0.213039, -0.212451, -0.204954, -0.185356, -0.103896, -0.0739188, -0.0505965, -0.0356711, -0.0212271, -0.0133007, -0.0101994, -0.00693669, -0.00336507, -0.00210127, -0.00190696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0511375");
-            index_3 ("0.0143205, 0.0660858, 0.0739457, 0.0837425, 0.0937634, 0.10171, 0.108078, 0.119326, 0.1318, 0.145776, 0.162633, 0.220219, 0.238958, 0.25746, 0.286121, 0.294365, 0.310852, 0.334023, 0.407113, 0.427151, 0.452753, 0.471059, 0.493007, 0.522218, 0.550536, 0.588294, 0.618075, 0.636587, 0.673609, 0.72968, 0.841822, 0.897893, 1.01004");
-            values ( \
-              "-0.0347429, -0.0364124, -0.120281, -0.18013, -0.213964, -0.228993, -0.236082, -0.243278, -0.246685, -0.248237, -0.248348, -0.245878, -0.247046, -0.250212, -0.24888, -0.245489, -0.23643, -0.215871, -0.134022, -0.113971, -0.0914381, -0.0775985, -0.0633146, -0.0478296, -0.0360171, -0.0236428, -0.0166353, -0.0139855, -0.0101246, -0.00676166, -0.00292276, -0.00183351, -0.000679459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0990011");
-            index_3 ("0.0184961, 0.123147, 0.134652, 0.149715, 0.167217, 0.188581, 0.216738, 0.326134, 0.37604, 0.391261, 0.421703, 0.45673, 0.512801, 0.556502, 0.660056, 0.716127, 0.747734, 0.782026, 0.816948, 0.862944, 0.901179, 0.947326, 1.0034, 1.04785, 1.11385, 1.16992, 1.22599, 1.33813, 1.45027, 1.67456");
-            values ( \
-              "-0.00299135, -0.276594, -0.279603, -0.281628, -0.282044, -0.281843, -0.280638, -0.27449, -0.274016, -0.274625, -0.273763, -0.267476, -0.243926, -0.215722, -0.144564, -0.111492, -0.0954684, -0.0802131, -0.0668781, -0.0521367, -0.0421943, -0.0324041, -0.0228343, -0.0175142, -0.0121722, -0.00925837, -0.00700228, -0.00410967, -0.00238164, -0.000746581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.00246576, 0.00408508, 0.0121291, 0.0201382, 0.0467471, 0.0534372, 0.055581, 0.0837032, 0.102459, 0.116446, 0.154392, 0.194106, 0.215629, 0.223231, 0.254583");
-            values ( \
-              "-1e-22, -0.00820309, -0.00508093, -0.00447919, -0.012366, -0.0103224, -1e-22, -2e-22, -0.0444659, -0.0556266, -0.0721298, -0.0258807, -0.00967304, -0.00767298, -0.00472701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00704757");
-            index_3 ("0.00964432, 0.083632, 0.0864822, 0.0893621, 0.0929033, 0.0966249, 0.101306, 0.104885, 0.109657, 0.116611, 0.118811, 0.126249, 0.136556, 0.142993, 0.152101, 0.154671, 0.159811, 0.165772, 0.177673, 0.197082, 0.202866, 0.211925, 0.221554, 0.2282, 0.236855, 0.241487, 0.246398, 0.252946, 0.262359, 0.272853, 0.28495, 0.303822, 0.322553, 0.345986, 0.375768, 0.416473, 0.472544");
-            values ( \
-              "-0.00163613, -0.00681052, -0.0282838, -0.0421168, -0.0542659, -0.064604, -0.0738387, -0.0798268, -0.0858906, -0.0924641, -0.0939727, -0.0977904, -0.101324, -0.104544, -0.110816, -0.113067, -0.115612, -0.113912, -0.0988178, -0.0636755, -0.054395, -0.0416605, -0.031053, -0.0250554, -0.0175076, -0.0139401, -0.0113896, -0.00961007, -0.00854079, -0.00773442, -0.00647287, -0.00429534, -0.00264237, -0.00130981, -0.000535496, -0.000137482, -5.01202e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136439");
-            index_3 ("0.0129863, 0.0837097, 0.0865184, 0.0893958, 0.0951371, 0.0977225, 0.102694, 0.106779, 0.11495, 0.124141, 0.127001, 0.136179, 0.149996, 0.157944, 0.165977, 0.173395, 0.184537, 0.188235, 0.195632, 0.20406, 0.232171, 0.240133, 0.251878, 0.257952, 0.266944, 0.284727, 0.290283, 0.297691, 0.309951, 0.32594, 0.344433, 0.384726, 0.409121, 0.437937, 0.473185, 0.521866");
-            values ( \
-              "-0.0128455, -0.00482247, -0.0347059, -0.0576875, -0.0864315, -0.0964543, -0.111482, -0.121215, -0.134392, -0.143283, -0.144989, -0.148681, -0.151774, -0.154132, -0.158966, -0.164348, -0.166869, -0.164239, -0.156891, -0.143512, -0.0898636, -0.0763058, -0.0590046, -0.0514058, -0.0416917, -0.0264437, -0.0221495, -0.0171692, -0.0118778, -0.00939277, -0.00797738, -0.00445099, -0.00283994, -0.00149233, -0.000701912, -0.000187719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0264143");
-            index_3 ("0.0237073, 0.0863747, 0.0936068, 0.0993586, 0.103509, 0.109867, 0.114376, 0.123393, 0.131806, 0.139229, 0.151236, 0.186189, 0.197579, 0.209005, 0.229534, 0.242286, 0.258536, 0.300853, 0.32141, 0.330604, 0.352244, 0.370295, 0.387034, 0.403488, 0.426718, 0.448548, 0.486785, 0.551825, 0.589006, 0.633077, 0.68899");
-            values ( \
-              "-0.00124284, -0.0365666, -0.101534, -0.132577, -0.149454, -0.168439, -0.177952, -0.190317, -0.196616, -0.199568, -0.202297, -0.204459, -0.207843, -0.212972, -0.212738, -0.201852, -0.180319, -0.11168, -0.0836655, -0.0730633, -0.0524934, -0.0391455, -0.0291463, -0.0208506, -0.0130442, -0.0101633, -0.00705892, -0.00346584, -0.0022066, -0.0010876, -0.000534917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0511375");
-            index_3 ("0.0287816, 0.0870074, 0.0931565, 0.10261, 0.107394, 0.116418, 0.125815, 0.133528, 0.143812, 0.155142, 0.166068, 0.18357, 0.229517, 0.240514, 0.259253, 0.277752, 0.307331, 0.329363, 0.354321, 0.430886, 0.459351, 0.47885, 0.499338, 0.518882, 0.544818, 0.566517, 0.593312, 0.632548, 0.656468, 0.697828, 0.748767, 0.803449, 0.915591, 1.02773");
-            values ( \
-              "-0.015942, -0.0473287, -0.112407, -0.174017, -0.194626, -0.219548, -0.233987, -0.240039, -0.244707, -0.247151, -0.248187, -0.248344, -0.246008, -0.245914, -0.247005, -0.250251, -0.248602, -0.23764, -0.215905, -0.130433, -0.102987, -0.0868193, -0.0720868, -0.0601152, -0.0467543, -0.037636, -0.0282991, -0.0176816, -0.0140168, -0.00985711, -0.00686962, -0.00457448, -0.00183767, -0.000653666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0990011");
-            index_3 ("0.0395177, 0.14334, 0.154838, 0.16993, 0.187408, 0.208818, 0.23682, 0.346323, 0.395619, 0.411448, 0.443105, 0.476915, 0.532986, 0.576688, 0.680242, 0.736313, 0.767921, 0.802213, 0.837135, 0.883132, 0.921366, 0.967514, 1.02358, 1.06803, 1.13403, 1.1901, 1.24617, 1.35832, 1.47046, 1.69474");
-            values ( \
-              "-0.00528394, -0.276568, -0.279664, -0.281586, -0.282093, -0.281795, -0.2806, -0.274525, -0.273969, -0.274661, -0.27362, -0.267495, -0.243902, -0.215699, -0.144544, -0.111511, -0.0954861, -0.0802297, -0.0668612, -0.0521531, -0.0422098, -0.0323888, -0.0228495, -0.0175285, -0.0121861, -0.00924476, -0.0070159, -0.00412304, -0.00239475, -0.000759202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.000684508, 0.0037232, 0.0277332, 0.0817795, 0.114382, 0.126747, 0.145758, 0.15889, 0.193741, 0.207008, 0.241301, 0.264962, 0.306056");
-            values ( \
-              "-1e-22, -0.00362083, -0.00104398, -0.00416864, -1e-22, -2e-22, -0.0438138, -0.0547759, -0.0716971, -0.0642958, -0.0228032, -0.00787444, -0.00395164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00704757");
-            index_3 ("0.000645529, 0.00368422, 0.0199963, 0.0276637, 0.0423308, 0.0569978, 0.0768818, 0.0850229, 0.104654, 0.113294, 0.115173, 0.128796, 0.134747, 0.148327, 0.163289, 0.172904, 0.18899, 0.206358, 0.21178, 0.223684, 0.242896, 0.257572, 0.275025, 0.292054, 0.297737, 0.307534, 0.362623");
-            values ( \
-              "-1e-22, -0.00550871, -0.00257862, -0.00209727, -0.0023085, -0.00397689, -0.00756738, -0.00721401, -0.00234619, -0.00199188, -1e-22, -2e-22, -0.039145, -0.0751956, -0.0926375, -0.0979841, -0.104446, -0.115644, -0.113859, -0.0988257, -0.0640265, -0.042137, -0.0243485, -0.0115471, -0.00985663, -0.00858747, -0.00330368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136439");
-            index_3 ("0.000371444, 0.00341014, 0.0197032, 0.0420412, 0.0771301, 0.11302, 0.114765, 0.127884, 0.140808, 0.158556, 0.170855, 0.199228, 0.219193, 0.229586, 0.249862, 0.300862, 0.344362, 0.373056, 0.414308");
-            values ( \
-              "-1e-22, -0.00741372, -0.00454202, -0.00425199, -0.0129354, -0.00416636, -1e-22, -2e-22, -0.0852229, -0.131294, -0.143657, -0.152454, -0.164459, -0.166795, -0.143637, -0.0548512, -0.0165949, -0.00919261, -0.00582746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0264143");
-            index_3 ("0.0562959, 0.131102, 0.133813, 0.13743, 0.145002, 0.150528, 0.160042, 0.16596, 0.173851, 0.184874, 0.196949, 0.208451, 0.225306, 0.231839, 0.243479, 0.254654, 0.276356, 0.286531, 0.300839, 0.343569, 0.356173, 0.37232, 0.384658, 0.397858, 0.415678, 0.421577, 0.433377, 0.449633, 0.473183, 0.494333, 0.531119, 0.596146, 0.633343, 0.676975, 0.732516");
-            values ( \
-              "-0.00365907, -0.0268143, -0.059282, -0.0898342, -0.132558, -0.154704, -0.178166, -0.18696, -0.194212, -0.199809, -0.202144, -0.203141, -0.203657, -0.204605, -0.207762, -0.213108, -0.212034, -0.203461, -0.185408, -0.116102, -0.0978944, -0.0775943, -0.064473, -0.0525137, -0.0394101, -0.0356486, -0.0288474, -0.0205916, -0.0129385, -0.010212, -0.00720335, -0.00357691, -0.00219633, -0.00116804, -0.000501506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0511375");
-            index_3 ("1.64766e-05, 0.00528092, 0.0269821, 0.0416754, 0.0839122, 0.112665, 0.115635, 0.126541, 0.150213, 0.159675, 0.174311, 0.185665, 0.211996, 0.286438, 0.305178, 0.32368, 0.352425, 0.376917, 0.400248, 0.47344, 0.519003, 0.559209, 0.616787, 0.654593, 0.684331, 0.739785, 0.851927, 0.908409");
-            values ( \
-              "-1e-22, -0.0108538, -0.00883762, -0.0102604, -0.0307159, -0.0139375, -1e-22, -2e-22, -0.182751, -0.213884, -0.236313, -0.243418, -0.248245, -0.245864, -0.247042, -0.25019, -0.248853, -0.236539, -0.215856, -0.133909, -0.0914131, -0.0633366, -0.0360016, -0.0236293, -0.0166241, -0.0101227, -0.00450486, -0.00353998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0990011");
-            index_3 ("0.0836846, 0.189137, 0.200594, 0.23313, 0.263907, 0.392082, 0.440794, 0.457207, 0.489704, 0.522675, 0.578746, 0.593314, 0.726005, 0.80797, 0.882898, 0.941641, 1.01328, 1.1028, 1.17979, 1.23586, 1.348, 1.46014, 1.4804");
-            values ( \
-              "-0.00132671, -0.27638, -0.279869, -0.28226, -0.281532, -0.274643, -0.273834, -0.274773, -0.273423, -0.267589, -0.243797, -0.235291, -0.144464, -0.0981683, -0.0667977, -0.0486067, -0.0323297, -0.0186616, -0.0122404, -0.00919169, -0.00538216, -0.00315341, -0.00298401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("5.17326e-05, 0.00105173, 0.00394048, 0.00695417, 0.0190358, 0.0430737, 0.0727989, 0.117697, 0.138382, 0.155026, 0.17029, 0.18376, 0.214921, 0.22061, 0.223849, 0.227394, 0.234548, 0.249007, 0.251871, 0.267863, 0.28116, 0.288552, 0.295583, 0.302282, 0.315105, 0.319478, 0.335936, 0.35669, 0.364571, 0.379474, 0.404745, 0.431711, 0.447985");
-            values ( \
-              "-1e-22, -0.00122347, -0.00157631, -0.00122074, -0.000570257, -0.000161183, -0.000168742, -0.000607692, -0.00121709, -0.00139842, -0.000493474, -1e-22, -2e-22, -0.000282039, -0.00572245, -0.0171594, -0.0326552, -0.0515338, -0.0514543, -0.0578753, -0.0647721, -0.0697376, -0.0718347, -0.0672155, -0.0513879, -0.0440822, -0.0255053, -0.00984683, -0.00766735, -0.0065005, -0.00351627, -0.00140238, -0.000876186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00704757");
-            index_3 ("0, 0.00371586, 0.02795, 0.0725829, 0.117311, 0.155075, 0.187616, 0.218914, 0.222998, 0.236333, 0.248782, 0.254948, 0.266144, 0.286751, 0.304282, 0.309668, 0.318803, 0.359069, 0.38425, 0.393309, 0.459033, 0.470522");
-            values ( \
-              "-0.000114653, -0.00246422, -0.000747114, -0.000341032, -0.00108885, -0.0025715, -1e-22, -2e-22, -0.00394952, -0.0571287, -0.0834663, -0.0856968, -0.093942, -0.103419, -0.11529, -0.113577, -0.102724, -0.0378417, -0.0146282, -0.0102546, -0.00317546, -0.00243529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136439");
-            index_3 ("0, 0.00376926, 0.0279821, 0.0726057, 0.117396, 0.154976, 0.188381, 0.219252, 0.223129, 0.232619, 0.248836, 0.269143, 0.278015, 0.297847, 0.310312, 0.31778, 0.328076, 0.332649, 0.340079, 0.348493, 0.378257, 0.399512, 0.414014, 0.443348, 0.4565, 0.473234, 0.549705, 0.610902, 0.657358");
-            values ( \
-              "-0.000108071, -0.00340903, -0.00147269, -0.000732448, -0.00189353, -0.00452695, -1e-22, -2e-22, -0.00467348, -0.0641751, -0.122459, -0.141388, -0.146333, -0.151845, -0.158055, -0.164102, -0.166496, -0.164165, -0.156422, -0.143605, -0.0871146, -0.0547701, -0.0390268, -0.0163357, -0.0114505, -0.00901191, -0.00292953, -0.000685404, -0.000366351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0264143");
-            index_3 ("0, 0.00370536, 0.0427738, 0.0725124, 0.117897, 0.153622, 0.170611, 0.186969, 0.199149, 0.220459, 0.223431, 0.235052, 0.248772, 0.265785, 0.273157, 0.284002, 0.296179, 0.331091, 0.342742, 0.353927, 0.374037, 0.380798, 0.389811, 0.400108, 0.446492, 0.473838, 0.498978, 0.520926, 0.548005, 0.570932, 0.593498, 0.633298, 0.698528, 0.735801, 0.780375, 0.836446");
-            values ( \
-              "-0.000208173, -0.00420616, -0.00189226, -0.00154741, -0.00321243, -0.00740615, -0.0050067, -0.000910505, -1e-22, -2e-22, -0.00680157, -0.0966234, -0.161683, -0.184724, -0.192257, -0.198451, -0.201625, -0.204281, -0.207606, -0.212778, -0.212691, -0.207867, -0.198858, -0.185318, -0.110715, -0.0750956, -0.0510654, -0.0356637, -0.0210604, -0.0132573, -0.0102045, -0.00699014, -0.0034185, -0.00212705, -0.00107567, -0.00049007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0511375");
-            index_3 ("0, 0.00369079, 0.0427582, 0.0725117, 0.118643, 0.151886, 0.169322, 0.185423, 0.204004, 0.221537, 0.235793, 0.248757, 0.273481, 0.285689, 0.298698, 0.311336, 0.327648, 0.385789, 0.422136, 0.428583, 0.435952, 0.447885, 0.46001, 0.478638, 0.499641, 0.573884, 0.602855, 0.631819, 0.677944, 0.704763, 0.745243, 0.781964, 0.796898, 0.834599, 0.877685, 0.93139, 1.04353, 1.21175");
-            values ( \
-              "-0.000254245, -0.00474026, -0.00300419, -0.00276021, -0.00513384, -0.0109183, -0.00888806, -0.00370339, -1e-22, -0.000222883, -0.116865, -0.194567, -0.233927, -0.242456, -0.246342, -0.24789, -0.248191, -0.245816, -0.249209, -0.25043, -0.250659, -0.249145, -0.245241, -0.234472, -0.215797, -0.132799, -0.104638, -0.0811026, -0.0526535, -0.040464, -0.0261795, -0.0169683, -0.014743, -0.0106104, -0.00768827, -0.00524979, -0.00217881, -0.00050176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0990011");
-            index_3 ("0.228457, 0.253757, 0.267192, 0.27584, 0.28845, 0.300149, 0.315034, 0.33153, 0.363506, 0.483318, 0.508633, 0.542386, 0.556852, 0.585783, 0.622318, 0.678389, 0.72212, 0.825653, 0.881724, 0.907631, 0.947661, 0.982577, 1.04131, 1.06679, 1.11294, 1.16901, 1.20247, 1.23547, 1.27948, 1.33555, 1.44769, 1.55983, 1.67197, 1.84019");
-            values ( \
-              "-0.219174, -0.228263, -0.2551, -0.266336, -0.27529, -0.279035, -0.281185, -0.282001, -0.281402, -0.274746, -0.274013, -0.274126, -0.274648, -0.273823, -0.267483, -0.243854, -0.215639, -0.144511, -0.111547, -0.0982099, -0.0802561, -0.0668191, -0.0486298, -0.0422481, -0.0323528, -0.022887, -0.0186826, -0.0154827, -0.0122224, -0.00920739, -0.0053975, -0.00316823, -0.00183126, -0.00079579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.41958, 0.433181, 0.458508, 0.470417, 0.48058, 0.485445, 0.489649, 0.496503, 0.502933, 0.516434, 0.52053, 0.533962, 0.557172, 0.568453, 0.570034, 0.579517, 0.603593, 0.631346, 0.655302, 0.65964");
-            values ( \
-              "-0.0213197, -0.0268997, -0.0504677, -0.05948, -0.0695999, -0.0730269, -0.0740533, -0.0724004, -0.0670116, -0.0504332, -0.0436398, -0.0282159, -0.00946767, -0.00728958, -0.00748388, -0.00681239, -0.00391595, -0.00157795, -0.000703853, -0.000634426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00704757");
-            index_3 ("0.419401, 0.432876, 0.447053, 0.457539, 0.463603, 0.489029, 0.497383, 0.499989, 0.505202, 0.510845, 0.520456, 0.52748, 0.546534, 0.554727, 0.560083, 0.56777, 0.584024, 0.590136, 0.593381, 0.599871, 0.619911, 0.647489, 0.664881, 0.687988, 0.698862");
-            values ( \
-              "-0.0341904, -0.041464, -0.0648934, -0.0800907, -0.0877526, -0.112065, -0.11813, -0.118284, -0.117723, -0.114026, -0.101469, -0.0902727, -0.0565328, -0.0441825, -0.0375352, -0.0301193, -0.0162997, -0.0124136, -0.0112072, -0.00968136, -0.00779552, -0.00462154, -0.00295366, -0.00151889, -0.00118264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136439");
-            index_3 ("0.419159, 0.433333, 0.452845, 0.46342, 0.472353, 0.489023, 0.498693, 0.512197, 0.519293, 0.531078, 0.539544, 0.550101, 0.569821, 0.58471, 0.597405, 0.605174, 0.618615, 0.633206, 0.640306, 0.652453, 0.668338, 0.739182, 0.765048, 0.795347, 0.890931");
-            values ( \
-              "-0.0493448, -0.0612841, -0.10868, -0.128587, -0.140644, -0.153878, -0.159112, -0.167518, -0.169676, -0.166778, -0.158576, -0.142379, -0.104364, -0.0783414, -0.0596601, -0.0501046, -0.0365662, -0.0246846, -0.0194919, -0.013039, -0.00980794, -0.00383859, -0.00232814, -0.00115735, -0.000101055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0264143");
-            index_3 ("0.422407, 0.437989, 0.451497, 0.462305, 0.471466, 0.487654, 0.504493, 0.550147, 0.55571, 0.565706, 0.57558, 0.583183, 0.592088, 0.602044, 0.64964, 0.67769, 0.696323, 0.71633, 0.740706, 0.756308, 0.780974, 0.804095, 0.850424, 0.918942, 0.949138");
-            values ( \
-              "-0.0939619, -0.0957798, -0.140116, -0.168633, -0.185331, -0.201902, -0.20833, -0.213867, -0.212453, -0.21305, -0.211109, -0.206419, -0.197615, -0.184796, -0.108971, -0.0730061, -0.0549514, -0.0398108, -0.0256016, -0.0183636, -0.0118055, -0.0093844, -0.00605887, -0.00270444, -0.00184508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0511375");
-            index_3 ("0.422458, 0.445478, 0.462321, 0.477669, 0.493947, 0.510556, 0.523412, 0.53711, 0.550123, 0.559749, 0.575104, 0.606701, 0.625264, 0.652977, 0.68077, 0.701987, 0.775734, 0.826487, 0.850788, 0.883189, 0.906123, 0.945327, 0.982867, 0.998594, 1.03999, 1.08731, 1.23309");
-            values ( \
-              "-0.10302, -0.145493, -0.203729, -0.234208, -0.249187, -0.254803, -0.256554, -0.256858, -0.256208, -0.248395, -0.245855, -0.246458, -0.249544, -0.248569, -0.234565, -0.21554, -0.133326, -0.0869064, -0.0696755, -0.0512249, -0.0408677, -0.0268844, -0.0172398, -0.0148261, -0.01036, -0.0072851, -0.00269299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0990011");
-            index_3 ("0.432854, 0.465627, 0.498785, 0.5139, 0.549846, 0.573891, 0.693604, 0.758788, 0.807732, 0.824376, 0.894801, 1.11209, 1.2224, 1.29722, 1.39746, 1.55806, 1.62092");
-            values ( \
-              "-0.220771, -0.239196, -0.284499, -0.289411, -0.292712, -0.280972, -0.274441, -0.274335, -0.2712, -0.267137, -0.234881, -0.0970522, -0.0545261, -0.0359196, -0.0194465, -0.00835359, -0.00681999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.812009, 0.824677, 0.836361, 0.844998, 0.849542, 0.858632, 0.867987, 0.881313, 0.888122, 0.892387, 0.897679, 0.902345, 0.909959, 0.912063, 0.916271, 0.929892, 0.934855, 0.940938, 0.95263, 0.966906, 0.972079, 0.979613, 0.991954, 1.01706, 1.03036, 1.04701, 1.07107, 1.10254, 1.19345");
-            values ( \
-              "-0.00730021, -0.0134124, -0.0203914, -0.0263691, -0.0289862, -0.0352206, -0.0425175, -0.055133, -0.0604886, -0.0634375, -0.0662822, -0.0676752, -0.0672667, -0.0664264, -0.0635183, -0.0498688, -0.0425191, -0.0357091, -0.0241895, -0.0133172, -0.0100412, -0.00803894, -0.0070413, -0.00396844, -0.00266501, -0.00146126, -0.000651138, -0.00016488, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704757");
-            index_3 ("0.818768, 0.839627, 0.854012, 0.874197, 0.883669, 0.889078, 0.898943, 0.906865, 0.913121, 0.921523, 0.924461, 0.930214, 0.936794, 0.946293, 0.951259, 0.962181, 0.971512, 0.982207, 0.997774, 1.00386, 1.007, 1.01328, 1.04581, 1.062, 1.08008, 1.10328, 1.1327, 1.172, 1.21594");
-            values ( \
-              "-0.0244833, -0.0363597, -0.0514156, -0.0745309, -0.0885149, -0.095379, -0.105157, -0.110219, -0.112368, -0.111483, -0.109799, -0.104087, -0.0951988, -0.0805742, -0.0710756, -0.0540888, -0.0414466, -0.0300849, -0.0165078, -0.0125232, -0.0113282, -0.00972699, -0.00646795, -0.00450737, -0.00285856, -0.00141652, -0.000596046, -0.000138456, -7.11803e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136439");
-            index_3 ("0.81858, 0.837194, 0.84496, 0.902475, 0.907705, 0.913104, 0.918164, 0.92575, 0.933003, 0.947355, 0.951263, 0.955729, 0.963999, 0.994563, 1.00629, 1.01872, 1.02549, 1.03903, 1.051, 1.05855, 1.07169, 1.08804, 1.14363, 1.1659, 1.19292, 1.21594, 1.23297, 1.27204, 1.35325");
-            values ( \
-              "-0.0366987, -0.0490566, -0.0617627, -0.151023, -0.156498, -0.160633, -0.163286, -0.166015, -0.167122, -0.16247, -0.158936, -0.153678, -0.141338, -0.0854516, -0.066834, -0.0507887, -0.0433951, -0.0313006, -0.0218381, -0.0168927, -0.0115512, -0.00933012, -0.00457892, -0.00304137, -0.00167246, -0.00104134, -0.000273995, -0.00041518, -0.000193737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0264143");
-            index_3 ("0.824401, 0.853201, 0.883901, 0.902061, 0.915178, 0.925877, 0.947275, 0.969759, 0.985909, 0.991899, 1.00311, 1.01584, 1.06216, 1.08979, 1.11561, 1.13723, 1.16423, 1.18701, 1.23696, 1.35647");
-            values ( \
-              "-0.0662319, -0.0989119, -0.159271, -0.186946, -0.201802, -0.211001, -0.218818, -0.218251, -0.213805, -0.210382, -0.200408, -0.184232, -0.11071, -0.0749545, -0.050485, -0.035457, -0.0209657, -0.0132678, -0.00723565, -0.00243225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0511375");
-            index_3 ("0.831339, 0.86578, 0.89144, 0.908457, 0.927148, 0.940096, 0.968014, 0.995328, 1.03957, 1.06567, 1.07628, 1.09489, 1.11599, 1.19055, 1.24025, 1.26701, 1.29557, 1.32309, 1.36378, 1.40096, 1.41617, 1.45446, 1.49823, 1.552, 1.64844");
-            values ( \
-              "-0.116757, -0.150593, -0.20655, -0.230176, -0.244319, -0.24953, -0.256402, -0.25898, -0.254987, -0.249537, -0.245251, -0.233978, -0.215063, -0.132233, -0.0867452, -0.0685445, -0.0525254, -0.0401892, -0.0259878, -0.0168405, -0.0146546, -0.0105554, -0.00763228, -0.0052027, -0.00257878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0990011");
-            index_3 ("0.838095, 0.884527, 0.901318, 0.918141, 0.934563, 0.953331, 0.978818, 0.994531, 1.0465, 1.17357, 1.21574, 1.25511, 1.28087, 1.30963, 1.45299, 1.5271, 1.5816, 1.63767, 1.71175, 1.76782, 1.81236, 1.86135, 1.97349, 2.08564, 2.19778, 2.22307");
-            values ( \
-              "-0.166204, -0.217503, -0.249448, -0.268108, -0.277282, -0.282531, -0.285265, -0.285521, -0.284104, -0.277016, -0.272054, -0.261511, -0.250638, -0.234538, -0.137908, -0.0970152, -0.0734908, -0.0544468, -0.0360282, -0.0256873, -0.0194691, -0.0147561, -0.00834376, -0.0049082, -0.00287793, -0.00268205" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00602363, 0.00603456, 0.00604864, 0.00606123, 0.00607065, 0.00607671", \
-            "0.00699936, 0.00700772, 0.00702067, 0.00703455, 0.00704668, 0.00705538", \
-            "0.00758783, 0.00759172, 0.00759839, 0.00760809, 0.00761883, 0.00762797", \
-            "0.00795456, 0.00795588, 0.00795835, 0.00796281, 0.00796936, 0.00797638", \
-            "0.00815498, 0.00815574, 0.00815701, 0.00815894, 0.00816166, 0.00816531", \
-            "0.00828324, 0.00828378, 0.0082847, 0.00828612, 0.00828803, 0.00829027" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00844096, 0.00846756, 0.00850416, 0.00854774, 0.00857581, 0.00859875", \
-            "0.00933549, 0.0093579, 0.00938877, 0.00943138, 0.00947248, 0.00950456", \
-            "0.0100414, 0.0100552, 0.0100833, 0.0101169, 0.0101545, 0.0101915", \
-            "0.0108355, 0.0108441, 0.0108455, 0.0108529, 0.0108625, 0.0108868", \
-            "0.0106646, 0.0107847, 0.0109099, 0.0110214, 0.011103, 0.0111604", \
-            "0.00740122, 0.00751935, 0.00788755, 0.00849305, 0.00922254, 0.0102966" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "~B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "!B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.07716, 0.09322, 0.123526, 0.181065, 0.291267, 0.503664", \
-            "0.0818113, 0.0975857, 0.128434, 0.186198, 0.296587, 0.509136", \
-            "0.0939639, 0.109949, 0.140357, 0.198191, 0.30877, 0.521375", \
-            "0.124912, 0.139648, 0.168897, 0.226478, 0.33664, 0.549407", \
-            "0.179071, 0.200157, 0.236234, 0.295067, 0.403465, 0.614684", \
-            "0.2629, 0.291545, 0.341983, 0.425192, 0.555073, 0.764787" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0983519, 0.118008, 0.157853, 0.237435, 0.392979, 0.694942", \
-            "0.0982183, 0.117951, 0.15783, 0.237444, 0.393002, 0.694942", \
-            "0.0975149, 0.117477, 0.157741, 0.237421, 0.392994, 0.694936", \
-            "0.108539, 0.124531, 0.159923, 0.237163, 0.39291, 0.694959", \
-            "0.148172, 0.164823, 0.192897, 0.256615, 0.397058, 0.694954", \
-            "0.212663, 0.23665, 0.276275, 0.342909, 0.459558, 0.717587" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0497585, 0.0598547, 0.0789251, 0.115201, 0.184703, 0.318576", \
-            "0.0542923, 0.0644864, 0.0837022, 0.120122, 0.189707, 0.323694", \
-            "0.0643607, 0.0748329, 0.094144, 0.130714, 0.200484, 0.334646", \
-            "0.0826241, 0.0957407, 0.117708, 0.154455, 0.22432, 0.358589", \
-            "0.0984594, 0.117412, 0.149317, 0.200273, 0.277197, 0.412265", \
-            "0.101272, 0.127776, 0.173089, 0.246803, 0.360429, 0.529071" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0536607, 0.0667418, 0.0922738, 0.141767, 0.237626, 0.423406", \
-            "0.0536713, 0.0667579, 0.0923194, 0.141764, 0.237699, 0.42343", \
-            "0.055708, 0.0678961, 0.092306, 0.141788, 0.237664, 0.423518", \
-            "0.0739672, 0.083882, 0.103718, 0.146505, 0.237711, 0.423506", \
-            "0.1085, 0.121594, 0.144948, 0.184774, 0.259153, 0.427797", \
-            "0.167026, 0.184297, 0.215501, 0.267444, 0.352799, 0.495272" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0284308, 0.0312605, 0.0389638, 0.044044, 0.0500507, 0.0704802, 0.088757, 0.0992434, 0.104231, 0.11907, 0.122271, 0.128673, 0.136567, 0.143495, 0.148527, 0.154158, 0.161716, 0.184554, 0.197404, 0.222699, 0.241962, 0.261636, 0.288943, 0.313664, 0.354451, 0.41226");
-            values ( \
-              "0.0191346, 0.0737003, 0.0629568, 0.0589389, 0.0561872, 0.050987, 0.0443607, 0.0413972, 0.041262, 0.0333388, 0.0308476, 0.0282622, 0.0235579, 0.0208304, 0.0222298, 0.0213277, 0.019758, 0.013064, 0.0101798, 0.00615046, 0.00412506, 0.00272566, 0.00151154, 0.000943392, 0.000320433, 0.00012057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00704757");
-            index_3 ("0.0297608, 0.0325804, 0.0390226, 0.0487916, 0.0560198, 0.087755, 0.104845, 0.123462, 0.174106, 0.195781, 0.232029, 0.247593, 0.265896, 0.295387, 0.339087, 0.409181");
-            values ( \
-              "0.0713718, 0.108946, 0.0979216, 0.0895395, 0.0866474, 0.0777053, 0.0707515, 0.0661579, 0.0325726, 0.0291694, 0.0176461, 0.0137963, 0.0100342, 0.00599296, 0.0027387, 0.000938676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136439");
-            index_3 ("0.0299558, 0.0343518, 0.0396223, 0.044086, 0.049044, 0.056873, 0.106884, 0.135078, 0.157246, 0.165771, 0.210941, 0.243577, 0.265003, 0.317595, 0.33735, 0.353169, 0.37241, 0.39956, 0.428244, 0.450277, 0.494344, 0.567216, 0.650086");
-            values ( \
-              "0.0792199, 0.144567, 0.135354, 0.130086, 0.126507, 0.123275, 0.110826, 0.101414, 0.0959513, 0.0916955, 0.0618757, 0.042922, 0.0375968, 0.0219615, 0.0171333, 0.0139819, 0.0109758, 0.00756115, 0.00513794, 0.00391175, 0.00203074, 0.000759904, 0.000140799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0264143");
-            index_3 ("0.0316758, 0.0371904, 0.0456999, 0.0520765, 0.0606687, 0.0723187, 0.123866, 0.157376, 0.230405, 0.29655, 0.359375, 0.379297, 0.437611, 0.485054, 0.529808, 0.575357, 0.615244, 0.642807, 0.697933, 0.780803, 0.825038");
-            values ( \
-              "0.171328, 0.173916, 0.162813, 0.158714, 0.156287, 0.153848, 0.14517, 0.138666, 0.121828, 0.0871947, 0.0565292, 0.0495354, 0.0344801, 0.0237549, 0.016193, 0.0108249, 0.00752127, 0.00588512, 0.00348953, 0.0015907, 0.00125431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0511375");
-            index_3 ("0.0340222, 0.0340422, 0.126835, 0.209979, 0.328929, 0.365377, 0.436516, 0.527339, 0.610299, 0.671228, 0.713285, 0.746556, 0.803274, 0.878023, 0.9425, 1.02573, 1.1086, 1.19147, 1.30868");
-            values ( \
-              "1e-22, 0.195786, 0.174365, 0.16507, 0.148926, 0.141179, 0.11763, 0.0855898, 0.0593341, 0.0463124, 0.0386246, 0.0329274, 0.0247891, 0.0166989, 0.011759, 0.0074059, 0.00460601, 0.00288007, 0.0016297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0990011");
-            index_3 ("0.0363086, 0.0363286, 0.20923, 0.338721, 0.411052, 0.515418, 0.598288, 0.682557, 0.936813, 1.05384, 1.12695, 1.22282, 1.30569, 1.38757, 1.48092, 1.54954, 1.63241, 1.76591, 1.84878, 2.01452, 2.18026, 2.42887, 2.67748");
-            values ( \
-              "1e-22, 0.210589, 0.188948, 0.18043, 0.175116, 0.166402, 0.158297, 0.143735, 0.0899296, 0.0672133, 0.0559987, 0.0438038, 0.0348975, 0.027547, 0.0208295, 0.0169052, 0.0130302, 0.008541, 0.00658044, 0.00386585, 0.00226598, 0.000990832, 0.000458635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.042736, 0.0544678, 0.0559136, 0.0687856, 0.0743921, 0.0934559, 0.107383, 0.11623, 0.122167, 0.155781, 0.162912, 0.167026, 0.180224, 0.203196, 0.213457, 0.234163, 0.26935, 0.297793, 0.349337, 0.459064");
-            values ( \
-              "0.0026999, 0.0676831, 0.0677183, 0.0572167, 0.0549003, 0.0497192, 0.0441624, 0.041751, 0.0415349, 0.0234087, 0.0206443, 0.0224062, 0.0199421, 0.0130721, 0.0106973, 0.00703229, 0.00333233, 0.00178631, 0.000493085, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00704757");
-            index_3 ("0.0473622, 0.0532698, 0.0545511, 0.0561016, 0.0651939, 0.0699158, 0.07525, 0.106112, 0.123202, 0.131957, 0.14182, 0.163255, 0.18338, 0.192026, 0.195625, 0.207753, 0.217239, 0.247684, 0.274831, 0.288406, 0.302587, 0.322868, 0.349465, 0.384927, 0.440567, 0.504049");
-            values ( \
-              "0.0579172, 0.0987983, 0.101893, 0.102712, 0.0933276, 0.0895872, 0.0869245, 0.0776603, 0.0707769, 0.0678317, 0.0665097, 0.0514323, 0.0394117, 0.0331148, 0.0320587, 0.030618, 0.0283272, 0.018451, 0.0117895, 0.00935533, 0.00740975, 0.00510519, 0.00316769, 0.00172558, 0.000511427, 0.00020504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136439");
-            index_3 ("0.0440447, 0.0583443, 0.0680107, 0.07446, 0.0809399, 0.119373, 0.15394, 0.17892, 0.219327, 0.256035, 0.265611, 0.287829, 0.330652, 0.359487, 0.38743, 0.417236, 0.436928, 0.475831, 0.5277, 0.608671, 0.691541");
-            values ( \
-              "0.0225173, 0.139882, 0.128699, 0.124508, 0.122042, 0.112589, 0.101385, 0.0953508, 0.0685206, 0.0459618, 0.0420449, 0.0364893, 0.0234688, 0.0164299, 0.011467, 0.007754, 0.00592675, 0.00349329, 0.00171209, 0.000465981, 0.000159518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0264143");
-            index_3 ("0.0442638, 0.0598306, 0.0695422, 0.0797684, 0.176457, 0.211828, 0.240596, 0.388758, 0.461421, 0.509073, 0.539158, 0.579271, 0.619234, 0.683993, 0.766864, 0.8105");
-            values ( \
-              "0.0218014, 0.172439, 0.161791, 0.156702, 0.138586, 0.130163, 0.124686, 0.0523142, 0.033248, 0.0227513, 0.0176264, 0.0124168, 0.00866591, 0.00478749, 0.00214835, 0.00169587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0511375");
-            index_3 ("0.0551716, 0.0551916, 0.147654, 0.207247, 0.263329, 0.372582, 0.409033, 0.540846, 0.620832, 0.657803, 0.698634, 0.743765, 0.814316, 0.866292, 0.923555, 0.986969, 1.08225, 1.16512, 1.24799, 1.41374, 1.57948");
-            values ( \
-              "1e-22, 0.203042, 0.174217, 0.167628, 0.160737, 0.144577, 0.133592, 0.0875782, 0.061731, 0.0529821, 0.0447816, 0.0365909, 0.0258678, 0.0197774, 0.0144776, 0.0102161, 0.00598641, 0.00376707, 0.00230442, 0.000872619, 0.000321459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0990011");
-            index_3 ("0.0566929, 0.0567129, 0.178194, 0.330649, 0.410572, 0.534753, 0.617624, 0.701877, 0.836493, 0.917018, 1.07317, 1.14628, 1.17824, 1.24215, 1.32502, 1.4069, 1.50025, 1.56887, 1.65174, 1.78523, 1.8681, 1.95097, 2.11671, 2.28245, 2.44819, 2.6968");
-            values ( \
-              "1e-22, 0.218634, 0.192063, 0.182275, 0.176622, 0.166387, 0.158315, 0.143767, 0.115525, 0.0979618, 0.0671784, 0.0560336, 0.0516576, 0.0438397, 0.0348633, 0.0275125, 0.0207946, 0.0169405, 0.0129953, 0.00850626, 0.00661587, 0.00498169, 0.00289677, 0.00167208, 0.000955593, 0.000494011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.074681, 0.082284, 0.0893314, 0.111052, 0.112332, 0.113716, 0.114351, 0.115622, 0.117459, 0.123827, 0.128894, 0.141601, 0.150234, 0.159307, 0.165682, 0.173552, 0.183706, 0.18949, 0.204737, 0.209961, 0.223895, 0.229699, 0.236502, 0.244875, 0.246091, 0.248521, 0.253381, 0.273118, 0.287328, 0.294891, 0.309275, 0.323604, 0.343928, 0.365579, 0.403836, 0.459135");
-            values ( \
-              "0.0105964, 0.0239189, 0.0322355, 0.0518228, 0.052355, 0.0569936, 0.0578384, 0.0585536, 0.05833, 0.055035, 0.0527812, 0.0479237, 0.0442459, 0.0418055, 0.0413435, 0.0371209, 0.0310499, 0.0283821, 0.0206331, 0.0223513, 0.0196724, 0.0177516, 0.0157507, 0.0135827, 0.0130663, 0.0127361, 0.0113923, 0.0076924, 0.00581715, 0.00501218, 0.00368842, 0.00273695, 0.00178124, 0.00111899, 0.000469683, 0.000122332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00704757");
-            index_3 ("0.0754112, 0.0850682, 0.0899757, 0.0991552, 0.111415, 0.112611, 0.113795, 0.115697, 0.117105, 0.118791, 0.126094, 0.131815, 0.149198, 0.161699, 0.166279, 0.17513, 0.184889, 0.194342, 0.206323, 0.210745, 0.219589, 0.226538, 0.23511, 0.238665, 0.243404, 0.251117, 0.260571, 0.26898, 0.277787, 0.290149, 0.301943, 0.317733, 0.331766, 0.345837, 0.36603, 0.392296, 0.427316, 0.482596, 0.547505");
-            values ( \
-              "0.0116972, 0.0408615, 0.0495737, 0.0640197, 0.0808629, 0.0821639, 0.0872595, 0.0913944, 0.0915906, 0.0911335, 0.0864787, 0.0836525, 0.0777802, 0.0727281, 0.0706978, 0.0679507, 0.066356, 0.060306, 0.0515433, 0.0489394, 0.0431515, 0.0393052, 0.0331392, 0.0320654, 0.031423, 0.0305618, 0.0282627, 0.0255794, 0.0225472, 0.0186387, 0.015472, 0.011832, 0.00931832, 0.00737594, 0.00510729, 0.00319276, 0.00173631, 0.000535161, 0.000191069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136439");
-            index_3 ("0.0762303, 0.0891017, 0.0957499, 0.104733, 0.112611, 0.113971, 0.115919, 0.117496, 0.12065, 0.129031, 0.13419, 0.141069, 0.173428, 0.187188, 0.196701, 0.215726, 0.221679, 0.233585, 0.250194, 0.264336, 0.287488, 0.295243, 0.304106, 0.325083, 0.341145, 0.364431, 0.379055, 0.398881, 0.414814, 0.43402, 0.461144, 0.473264, 0.489727, 0.511677, 0.555578, 0.63308, 0.715951");
-            values ( \
-              "0.0110429, 0.0653564, 0.0809545, 0.100105, 0.115355, 0.122268, 0.128021, 0.128894, 0.128021, 0.122407, 0.120106, 0.11791, 0.10945, 0.104942, 0.101369, 0.0970077, 0.0945839, 0.0879457, 0.0760258, 0.0670933, 0.0531956, 0.0480771, 0.0434329, 0.0380653, 0.033451, 0.0261253, 0.021969, 0.0171779, 0.0140217, 0.0109207, 0.00761449, 0.00648211, 0.00520137, 0.00386568, 0.00209703, 0.000649989, 0.00018119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0264143");
-            index_3 ("0.0772534, 0.0947542, 0.117386, 0.120098, 0.132698, 0.140852, 0.219323, 0.254725, 0.270823, 0.292347, 0.35845, 0.421342, 0.441509, 0.478431, 0.520438, 0.561849, 0.597451, 0.638139, 0.701379, 0.751563, 0.834433, 0.872297");
-            values ( \
-              "0.0120422, 0.096716, 0.162695, 0.162758, 0.155669, 0.153181, 0.138594, 0.130244, 0.127395, 0.121695, 0.0872863, 0.0565636, 0.0494122, 0.0398363, 0.0294934, 0.0209872, 0.0154548, 0.0107347, 0.006064, 0.00380829, 0.00170786, 0.00139559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0511375");
-            index_3 ("0.0776436, 0.101995, 0.118951, 0.131234, 0.144071, 0.250233, 0.306298, 0.388115, 0.42765, 0.498807, 0.589618, 0.673686, 0.733521, 0.776323, 0.809301, 0.865549, 0.939517, 1.00432, 1.08875, 1.17162, 1.25449, 1.36972");
-            values ( \
-              "0.0165325, 0.134773, 0.188516, 0.182672, 0.179495, 0.167609, 0.16069, 0.149367, 0.141271, 0.117655, 0.0855755, 0.0590595, 0.0463153, 0.0384866, 0.0328609, 0.0247995, 0.0167802, 0.0117779, 0.00736315, 0.00459727, 0.00285625, 0.00163225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0990011");
-            index_3 ("0.0967571, 0.0967771, 0.266042, 0.373738, 0.473493, 0.57786, 0.66073, 0.744947, 0.999238, 1.11626, 1.18934, 1.2853, 1.36817, 1.44999, 1.54324, 1.61189, 1.69476, 1.8283, 1.91117, 1.99404, 2.15978, 2.32552, 2.73987");
-            values ( \
-              "1e-22, 0.206321, 0.189245, 0.182244, 0.175079, 0.16644, 0.15828, 0.143762, 0.0898991, 0.0671825, 0.0560361, 0.0438291, 0.0348618, 0.0275173, 0.0208051, 0.0169398, 0.0130024, 0.00851086, 0.00661217, 0.00498597, 0.00290079, 0.00167589, 0.000490688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.130787, 0.147431, 0.172073, 0.187623, 0.199974, 0.218153, 0.234193, 0.248987, 0.250443, 0.252384, 0.256004, 0.259617, 0.264459, 0.270566, 0.27845, 0.297569, 0.304446, 0.308542, 0.314526, 0.321224, 0.327237, 0.344612, 0.348228, 0.35546, 0.376404, 0.378444, 0.382523, 0.406943, 0.41817, 0.422019, 0.429718, 0.445116, 0.475912, 0.521664, 0.579298");
-            values ( \
-              "0.00540924, 0.0103502, 0.0224537, 0.0286162, 0.0330418, 0.0391187, 0.0425537, 0.0432504, 0.044808, 0.0448685, 0.0440251, 0.0434083, 0.041642, 0.0384166, 0.0333787, 0.0232105, 0.0208889, 0.0225691, 0.0211072, 0.0201175, 0.0179661, 0.0131485, 0.0124549, 0.0106408, 0.00697747, 0.00689949, 0.00615768, 0.00367465, 0.00307589, 0.00266092, 0.00242546, 0.00159923, 0.000940064, 0.000209583, 0.000154856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00704757");
-            index_3 ("0.12425, 0.15058, 0.157175, 0.166288, 0.183735, 0.209183, 0.229481, 0.239073, 0.247002, 0.248665, 0.250749, 0.25298, 0.255369, 0.25947, 0.263878, 0.271802, 0.280932, 0.285143, 0.296592, 0.303757, 0.315463, 0.32903, 0.333112, 0.335212, 0.338012, 0.342371, 0.35109, 0.359242, 0.367759, 0.376529, 0.379111, 0.394602, 0.41364, 0.418594, 0.426733, 0.435767, 0.45372, 0.467217, 0.471579, 0.480303, 0.497752, 0.531763, 0.590601, 0.658846");
-            values ( \
-              "0.000866362, 0.018415, 0.0231621, 0.0302959, 0.0424542, 0.0572832, 0.0679846, 0.0718572, 0.074133, 0.0743916, 0.0766178, 0.0772878, 0.076359, 0.0742108, 0.0715611, 0.0686109, 0.0669568, 0.0648516, 0.0568622, 0.0516806, 0.0442544, 0.0360968, 0.0324934, 0.0323767, 0.0317216, 0.0314108, 0.0300061, 0.0279644, 0.0250341, 0.0221868, 0.0212604, 0.0166608, 0.0121843, 0.0111782, 0.00975954, 0.00834823, 0.00611283, 0.00481612, 0.00446864, 0.00382459, 0.00280688, 0.00149809, 0.000467829, 0.000109685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136439");
-            index_3 ("0.158002, 0.192238, 0.199464, 0.210304, 0.214175, 0.218046, 0.225787, 0.228756, 0.231725, 0.234694, 0.237662, 0.239132, 0.240602, 0.243542, 0.246332, 0.249229, 0.249422, 0.251026, 0.251971, 0.252728, 0.256179, 0.259178, 0.264754, 0.273288, 0.281195, 0.299591, 0.316693, 0.318813, 0.323054, 0.331537, 0.35289, 0.380991, 0.385838, 0.390686, 0.395533, 0.398634, 0.404837, 0.407939, 0.421511, 0.438292, 0.44559, 0.457889, 0.468431, 0.48213, 0.4942, 0.51022, 0.522066, 0.533912, 0.545759, 0.5697");
-            values ( \
-              "0.063122, 0.0661684, 0.0726858, 0.0816744, 0.0858458, 0.0904013, 0.100665, 0.102635, 0.104296, 0.105648, 0.106691, 0.107093, 0.10742, 0.107846, 0.108979, 0.110803, 0.111976, 0.115154, 0.116085, 0.11648, 0.115705, 0.114727, 0.11222, 0.108877, 0.105605, 0.100136, 0.0954952, 0.0946669, 0.0923469, 0.0870203, 0.0725033, 0.0553508, 0.0517302, 0.0484979, 0.0456538, 0.0445306, 0.0424851, 0.0415627, 0.038121, 0.0333899, 0.0311753, 0.027076, 0.0240054, 0.0204111, 0.0175881, 0.0142257, 0.012147, 0.0104702, 0.00898141, 0.00649676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0264143");
-            index_3 ("0.124566, 0.236736, 0.248981, 0.250246, 0.252776, 0.257369, 0.260932, 0.274517, 0.282177, 0.315673, 0.34349, 0.366236, 0.388691, 0.431684, 0.454845, 0.517645, 0.537947, 0.577582, 0.582967, 0.593737, 0.629836, 0.653506, 0.657829, 0.666477, 0.683772, 0.746409, 0.76076, 0.78946, 0.846862, 0.929733, 1.09547");
-            values ( \
-              "0.00247763, 0.13341, 0.145032, 0.14961, 0.1523, 0.152431, 0.150655, 0.146574, 0.145396, 0.1388, 0.131785, 0.127387, 0.121935, 0.0997912, 0.0870563, 0.0563806, 0.0495894, 0.0390024, 0.0380155, 0.0348484, 0.0263628, 0.0220534, 0.0208659, 0.0197703, 0.0166663, 0.0094655, 0.00868041, 0.00635027, 0.00401791, 0.00152868, 0.000153925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0511375");
-            index_3 ("0.124415, 0.253711, 0.259905, 0.27661, 0.39346, 0.496265, 0.523588, 0.569773, 0.677864, 0.768627, 0.871205, 0.961435, 1.03595, 1.10051, 1.18407, 1.26694, 1.43246");
-            values ( \
-              "0.00156928, 0.177854, 0.180173, 0.175535, 0.161871, 0.147488, 0.140919, 0.126268, 0.0882132, 0.0592452, 0.0387133, 0.0247561, 0.0166902, 0.0118005, 0.00742611, 0.00457546, 0.00175196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0990011");
-            index_3 ("0.168253, 0.216557, 0.253119, 0.260617, 0.267374, 0.280619, 0.445568, 0.518572, 0.569638, 0.717154, 0.784875, 0.867745, 0.910527, 1.06933, 1.14653, 1.21178, 1.28482, 1.38285, 1.46572, 1.53102, 1.57668, 1.63757, 1.70682, 1.78969, 1.84155, 1.92442, 2.00729, 2.17303, 2.33877, 2.50451, 2.83599");
-            values ( \
-              "0.115529, 0.142578, 0.1956, 0.199073, 0.196174, 0.194448, 0.183883, 0.178902, 0.175094, 0.16278, 0.15378, 0.138227, 0.129533, 0.095322, 0.0797109, 0.0673918, 0.0560408, 0.0435801, 0.0348169, 0.0287595, 0.0251461, 0.0210039, 0.0169255, 0.0131324, 0.0111009, 0.00858873, 0.00653826, 0.00382502, 0.00222683, 0.00129054, 0.000425292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.222303, 0.274631, 0.29456, 0.314449, 0.377504, 0.417354, 0.453619, 0.465276, 0.475165, 0.485673, 0.497174, 0.510527, 0.516362, 0.525761, 0.549592, 0.55396, 0.559097, 0.588852, 0.60949, 0.642731, 0.680365, 0.725786, 0.778891");
-            values ( \
-              "0.00102339, 0.00352222, 0.00554104, 0.00865327, 0.0215271, 0.0266638, 0.0284022, 0.0311652, 0.031226, 0.0303824, 0.027112, 0.0211265, 0.0226965, 0.0214222, 0.015049, 0.0151752, 0.014437, 0.00824633, 0.00543234, 0.00271693, 0.00121036, 0.000418333, 0.000119028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00704757");
-            index_3 ("0.227671, 0.275754, 0.294262, 0.303913, 0.32219, 0.352027, 0.391643, 0.422905, 0.450301, 0.469213, 0.475834, 0.488357, 0.499356, 0.510866, 0.541741, 0.550715, 0.553546, 0.556567, 0.562608, 0.568927, 0.60983, 0.627863, 0.638156, 0.657764, 0.680172, 0.693315, 0.713621, 0.740696, 0.781706");
-            values ( \
-              "0.00200053, 0.0060129, 0.00892108, 0.0108842, 0.0158206, 0.0265747, 0.0371971, 0.0440225, 0.0485349, 0.0501692, 0.0511861, 0.0546998, 0.0555617, 0.0531421, 0.03747, 0.031859, 0.0335372, 0.0340505, 0.0335922, 0.0322971, 0.0184302, 0.0137547, 0.0115453, 0.00829116, 0.00557239, 0.00442617, 0.00308685, 0.00190424, 0.000954078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136439");
-            index_3 ("0.232491, 0.275773, 0.298473, 0.31293, 0.328383, 0.371556, 0.414074, 0.450728, 0.482591, 0.51187, 0.5361, 0.546636, 0.550735, 0.555577, 0.561333, 0.579476, 0.61816, 0.650758, 0.689907, 0.709742, 0.726334, 0.751984, 0.779563, 0.796647, 0.828719, 0.871482, 0.895959");
-            values ( \
-              "0.00371984, 0.00936921, 0.014773, 0.0193417, 0.0256641, 0.0456699, 0.0610838, 0.0730222, 0.0811903, 0.0861817, 0.0914092, 0.08746, 0.0849615, 0.0844937, 0.0813942, 0.0692861, 0.045551, 0.036249, 0.0239327, 0.0188247, 0.015224, 0.0109157, 0.00759543, 0.00602334, 0.00390559, 0.00217606, 0.0016939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0264143");
-            index_3 ("0.274978, 0.311717, 0.33596, 0.379172, 0.449679, 0.494785, 0.533852, 0.54994, 0.558273, 0.569911, 0.599811, 0.622933, 0.671272, 0.706393, 0.734462, 0.757374, 0.773612, 0.796322, 0.823733, 0.843409, 0.876979, 0.921676, 0.96153, 0.975189, 1.00263, 1.03398, 1.0967, 1.17957, 1.26244, 1.34531");
-            values ( \
-              "0.0258718, 0.0259184, 0.0380485, 0.0625074, 0.0960182, 0.115046, 0.128217, 0.132195, 0.133228, 0.131257, 0.124599, 0.114039, 0.0886033, 0.0711345, 0.0577465, 0.0488822, 0.0454896, 0.038879, 0.0325877, 0.0274594, 0.0208635, 0.0141638, 0.0100181, 0.00877188, 0.00684072, 0.00519328, 0.0028244, 0.00131541, 0.000536063, 0.000290866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0511375");
-            index_3 ("0.274865, 0.331617, 0.379384, 0.457472, 0.527614, 0.556736, 0.563474, 0.57187, 0.619475, 0.729669, 0.76332, 0.926914, 0.992654, 1.04673, 1.08879, 1.12204, 1.1787, 1.25202, 1.31709, 1.3837, 1.43454, 1.51741, 1.60028, 1.76602, 1.93176");
-            values ( \
-              "0.0221566, 0.042755, 0.0730312, 0.118799, 0.155597, 0.168503, 0.168741, 0.16699, 0.16073, 0.144347, 0.13417, 0.0776334, 0.0576033, 0.0462657, 0.0386561, 0.0328881, 0.0247647, 0.0168059, 0.0118346, 0.00816702, 0.00614271, 0.00382653, 0.00238096, 0.000918139, 0.000355464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0990011");
-            index_3 ("0.274804, 0.358446, 0.465099, 0.536858, 0.557704, 0.570077, 0.579624, 0.685435, 0.768305, 0.819061, 0.889563, 0.972434, 1.05675, 1.19133, 1.27185, 1.42799, 1.50101, 1.53306, 1.59715, 1.68002, 1.76172, 1.85481, 1.9235, 2.00637, 2.14001, 2.22288, 2.30575, 2.47149, 2.63723, 2.80297, 3.05158");
-            values ( \
-              "0.0195915, 0.0656866, 0.136626, 0.180277, 0.190675, 0.190867, 0.189076, 0.182252, 0.17639, 0.17238, 0.166383, 0.158338, 0.143764, 0.115527, 0.0979623, 0.0671826, 0.0560485, 0.0516641, 0.043819, 0.0348497, 0.0275167, 0.0208153, 0.0169464, 0.0130071, 0.00851175, 0.00661232, 0.00498689, 0.00290187, 0.00167719, 0.000960785, 0.000488761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.531672, 0.608497, 0.633938, 0.670654, 0.735724, 0.756471, 0.798488, 0.835645, 0.854261, 0.88588, 0.903906, 0.923913, 0.930419, 0.937378, 0.94382, 0.952469, 0.960231, 1.00047, 1.02821, 1.055, 1.08489, 1.12072, 1.14244, 1.17139, 1.21617, 1.23834, 1.265, 1.27441");
-            values ( \
-              "0.00250023, 0.00270745, 0.00359867, 0.00575014, 0.0123435, 0.0141694, 0.0170556, 0.018179, 0.0181844, 0.0203613, 0.0199341, 0.0197854, 0.0186022, 0.0194064, 0.0210878, 0.0213909, 0.0208195, 0.0127523, 0.00842918, 0.0053783, 0.00313915, 0.0015865, 0.0010397, 0.000583906, 0.00022524, 0.000748691, 0.000588761, 0.000468161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704757");
-            index_3 ("0.531409, 0.608256, 0.653974, 0.70501, 0.737045, 0.772469, 0.819392, 0.863745, 0.886339, 0.915496, 0.935076, 0.95942, 0.970329, 0.979728, 0.991325, 0.999783, 1.08237, 1.11373, 1.15254, 1.17304, 1.21593, 1.22707, 1.24092, 1.27965, 1.29742");
-            values ( \
-              "0.00439702, 0.00472848, 0.00770255, 0.0144577, 0.01986, 0.0245973, 0.0295395, 0.0320299, 0.0325726, 0.0361831, 0.0362155, 0.0360661, 0.0352982, 0.0329258, 0.0320568, 0.0326512, 0.0133115, 0.00834721, 0.00455186, 0.00320159, 0.00159043, 0.00231449, 0.00228177, 0.00110239, 0.000819905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136439");
-            index_3 ("0.531461, 0.608453, 0.641546, 0.669703, 0.752484, 0.811715, 0.857047, 0.907355, 0.936541, 0.972009, 0.991059, 1.0194, 1.03127, 1.05163, 1.06312, 1.07703, 1.09805, 1.17406, 1.21612, 1.22763, 1.2783, 1.32087, 1.37764, 1.40481");
-            values ( \
-              "0.00712175, 0.00775112, 0.010704, 0.0142946, 0.0316631, 0.0422729, 0.0484042, 0.0533224, 0.0553842, 0.0610158, 0.0615325, 0.0613059, 0.059408, 0.0539722, 0.0486235, 0.0443315, 0.0406824, 0.0201126, 0.0121701, 0.0121068, 0.00661186, 0.00371567, 0.00166039, 0.00125813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0264143");
-            index_3 ("0.531284, 0.616415, 0.664612, 0.6946, 0.763255, 0.793843, 0.851267, 0.919809, 0.9792, 1.03317, 1.04835, 1.06378, 1.08326, 1.12223, 1.15631, 1.21605, 1.22424, 1.23626, 1.30764, 1.35731, 1.40891, 1.44909, 1.49149, 1.52407, 1.58923, 1.6721, 1.67335");
-            values ( \
-              "0.00986626, 0.012488, 0.0192568, 0.0253336, 0.0440506, 0.051455, 0.0634091, 0.0755401, 0.0844654, 0.0909741, 0.0946322, 0.0965778, 0.097541, 0.0933086, 0.0815191, 0.0574924, 0.0567706, 0.0539346, 0.0335749, 0.0224035, 0.0143276, 0.00999897, 0.00683304, 0.00504252, 0.00275135, 0.00120794, 0.00120057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0511375");
-            index_3 ("0.531094, 0.64736, 0.656278, 0.709784, 0.784093, 0.817307, 0.930424, 1.01329, 1.04953, 1.09438, 1.16322, 1.19508, 1.20552, 1.21596, 1.22831, 1.24135, 1.39026, 1.47335, 1.53405, 1.57837, 1.6107, 1.66438, 1.72833, 1.79981, 1.84833, 1.88016, 1.94381, 2.02668, 2.10955, 2.19242, 2.35817");
-            values ( \
-              "0.00965116, 0.0220296, 0.0236394, 0.0359005, 0.0583961, 0.0675591, 0.0948995, 0.113091, 0.120384, 0.128442, 0.138774, 0.142557, 0.142516, 0.141915, 0.140711, 0.137274, 0.0856426, 0.0593092, 0.0463349, 0.0383219, 0.0326729, 0.0249631, 0.0178209, 0.0121318, 0.00923248, 0.00776634, 0.00540082, 0.00338466, 0.00208214, 0.00131013, 0.000508098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0990011");
-            index_3 ("0.60794, 0.773459, 0.833261, 0.900132, 1.04987, 1.16603, 1.21561, 1.23644, 1.26542, 1.40866, 1.48351, 1.60918, 1.76799, 1.84521, 1.91044, 1.98347, 2.08152, 2.16439, 2.22967, 2.27533, 2.3362, 2.40545, 2.48833, 2.54019, 2.62306, 2.70594, 2.87168, 3.03742, 3.20316, 3.53464");
-            values ( \
-              "0.0308051, 0.0613672, 0.0797368, 0.0988407, 0.139104, 0.166927, 0.177162, 0.177597, 0.175472, 0.163481, 0.153856, 0.129567, 0.0953225, 0.0797102, 0.0673878, 0.0560442, 0.0435803, 0.0348132, 0.0287613, 0.0251476, 0.0210053, 0.0169269, 0.0131332, 0.0111011, 0.00858939, 0.006538, 0.00382437, 0.00222584, 0.00128924, 0.000423461" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00700513, 0.00703711, 0.00707155, 0.00710155, 0.00712308, 0.00713656", \
-            "0.00878793, 0.00882712, 0.0088756, 0.00892255, 0.00895972, 0.00898464", \
-            "0.00987756, 0.00989802, 0.00992934, 0.00996666, 0.0100015, 0.0100279", \
-            "0.0105301, 0.0105181, 0.0105092, 0.0105091, 0.0105176, 0.0105285", \
-            "0.0109389, 0.010909, 0.0108686, 0.0108266, 0.0107926, 0.0107736", \
-            "0.0111636, 0.0111312, 0.0110907, 0.0110431, 0.0109876, 0.0109349" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0100702, 0.0100925, 0.0101244, 0.0101574, 0.0101832, 0.0101999", \
-            "0.0102732, 0.0102158, 0.0101623, 0.0101201, 0.0100888, 0.0100687", \
-            "0.00972123, 0.00962066, 0.00950064, 0.00938506, 0.00929302, 0.00924029", \
-            "0.00951318, 0.00935921, 0.00917814, 0.00900586, 0.00886753, 0.00877214", \
-            "0.0101106, 0.00976904, 0.00936186, 0.00903696, 0.00879613, 0.00863269", \
-            "0.0102584, 0.0102894, 0.0102385, 0.00973609, 0.00907385, 0.00872635" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0255858, 0.0269918, 0.0302693, 0.0322835, 0.0353117, 0.043955, 0.0497889, 0.0609457, 0.0697498, 0.0928854, 0.100924, 0.113919, 0.129208, 0.137286, 0.1521, 0.190724, 0.209406, 0.23291");
-            values ( \
-              "-0.084553, -0.102219, -0.0982333, -0.0966655, -0.0952258, -0.0925229, -0.0897292, -0.0820816, -0.0729204, -0.040787, -0.0315899, -0.020428, -0.0100207, -0.00782571, -0.00688584, -0.0027449, -0.00146698, -0.000720234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00704757");
-            index_3 ("0.0256186, 0.0278239, 0.0303139, 0.0336068, 0.0365312, 0.050231, 0.0564786, 0.0616153, 0.0710104, 0.0768809, 0.0819881, 0.0983779, 0.109694, 0.116259, 0.123261, 0.135996, 0.143803, 0.159321, 0.167138, 0.171171, 0.179238, 0.190078, 0.20259, 0.228681, 0.247116, 0.272291, 0.287812");
-            values ( \
-              "-0.075619, -0.154926, -0.15097, -0.147529, -0.145816, -0.140478, -0.13685, -0.133355, -0.126064, -0.120015, -0.113777, -0.0866558, -0.0669083, -0.0568492, -0.0472886, -0.0333037, -0.0266139, -0.0143445, -0.0109794, -0.0102819, -0.00925267, -0.00849214, -0.00718819, -0.00426865, -0.00274443, -0.00134522, -0.00096301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136439");
-            index_3 ("0.0256653, 0.0289912, 0.0354065, 0.0385787, 0.0555673, 0.0673835, 0.0876137, 0.0962192, 0.105132, 0.121894, 0.143314, 0.161333, 0.174321, 0.186366, 0.195814, 0.216523, 0.229772, 0.245827, 0.318884, 0.346497, 0.379152, 0.424133");
-            values ( \
-              "-0.0847216, -0.211121, -0.202923, -0.201042, -0.194521, -0.188836, -0.176482, -0.169583, -0.160013, -0.133751, -0.0957587, -0.0686641, -0.0529056, -0.0413166, -0.0336818, -0.0190583, -0.0134021, -0.0106163, -0.00431859, -0.00258453, -0.00132661, -0.000482347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0264143");
-            index_3 ("0.0271942, 0.0272405, 0.0410728, 0.0832323, 0.105588, 0.124292, 0.140293, 0.149368, 0.167518, 0.212769, 0.246456, 0.273303, 0.292583, 0.317746, 0.332937, 0.359777, 0.393929, 0.517487");
-            values ( \
-              "-0.263405, -0.263572, -0.250443, -0.236986, -0.228374, -0.220064, -0.210221, -0.202572, -0.180831, -0.115562, -0.0759982, -0.0530198, -0.0404237, -0.0269702, -0.0203279, -0.0133804, -0.0100305, -0.00281637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0511375");
-            index_3 ("0.0275301, 0.0286468, 0.0385307, 0.0512119, 0.117508, 0.160625, 0.195475, 0.221399, 0.234105, 0.253421, 0.351197, 0.375268, 0.407188, 0.454291, 0.488857, 0.520567, 0.561099, 0.600302, 0.669535, 0.726394, 0.848834, 0.866208");
-            values ( \
-              "-0.299224, -0.299993, -0.289979, -0.284882, -0.271821, -0.262188, -0.252629, -0.242005, -0.234832, -0.22039, -0.124278, -0.104161, -0.0813551, -0.0555328, -0.04138, -0.0308586, -0.020369, -0.0146327, -0.00919817, -0.00639974, -0.00263195, -0.00243858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0990011");
-            index_3 ("0.0276607, 0.0286163, 0.043994, 0.0681677, 0.204653, 0.297739, 0.330295, 0.391717, 0.431005, 0.59706, 0.697532, 0.770088, 0.879882, 0.959754, 1.02118, 1.13338, 1.37907, 1.40685");
-            values ( \
-              "-0.321827, -0.323267, -0.312109, -0.306472, -0.292104, -0.279786, -0.274098, -0.257895, -0.240775, -0.140437, -0.0920398, -0.0663062, -0.0392673, -0.025855, -0.0188457, -0.0114534, -0.00406693, -0.00381846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0395413, 0.0462922, 0.0509217, 0.0523229, 0.0701694, 0.0797475, 0.0882369, 0.110739, 0.119504, 0.126806, 0.147955, 0.15593, 0.209184, 0.255094, 0.289581");
-            values ( \
-              "-0.0111952, -0.0742566, -0.0876891, -0.098148, -0.0885347, -0.0815377, -0.0729075, -0.0415555, -0.0315895, -0.0247567, -0.00995647, -0.00776401, -0.00271, -0.000570282, -0.000171421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00704757");
-            index_3 ("0.03992, 0.0478922, 0.05093, 0.0524701, 0.0531942, 0.0546423, 0.0587664, 0.0708387, 0.0791224, 0.0899569, 0.09791, 0.105825, 0.123338, 0.127816, 0.144453, 0.155093, 0.17624, 0.182867, 0.190562, 0.259983, 0.283627, 0.314512, 0.356629");
-            values ( \
-              "-0.0192675, -0.119932, -0.133488, -0.14814, -0.149485, -0.1489, -0.145325, -0.139102, -0.134007, -0.125483, -0.11709, -0.105458, -0.0758848, -0.0671352, -0.0439273, -0.0327306, -0.0155922, -0.0118471, -0.0100349, -0.00310336, -0.00172356, -0.000637581, -0.000225611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136439");
-            index_3 ("0.0394876, 0.0494328, 0.0526762, 0.0550822, 0.0570002, 0.0611719, 0.0759248, 0.0951825, 0.116246, 0.123916, 0.140679, 0.162101, 0.180351, 0.193193, 0.204883, 0.235411, 0.249364, 0.265255, 0.364685, 0.37444");
-            values ( \
-              "-0.00634297, -0.17371, -0.204962, -0.205719, -0.202517, -0.199423, -0.194018, -0.183997, -0.168356, -0.159998, -0.13375, -0.0957616, -0.0683493, -0.0528242, -0.0415515, -0.0189972, -0.0131781, -0.0105662, -0.0026159, -0.00234647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0264143");
-            index_3 ("0.0390857, 0.0519339, 0.0531415, 0.0541867, 0.0646215, 0.0985064, 0.113931, 0.145026, 0.154285, 0.16416, 0.176187, 0.193464, 0.224924, 0.239395, 0.253988, 0.272731, 0.288026, 0.308419, 0.340412, 0.360604, 0.391799, 0.424292, 0.477947, 0.558444, 0.671006");
-            values ( \
-              "-0.00162891, -0.241681, -0.253233, -0.255443, -0.248411, -0.238016, -0.232423, -0.219069, -0.213575, -0.206171, -0.19396, -0.170851, -0.124398, -0.105284, -0.0878691, -0.0688775, -0.0560753, -0.0421473, -0.0251371, -0.0173075, -0.011702, -0.00906641, -0.00546063, -0.00205694, -0.000390529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0511375");
-            index_3 ("0.0388048, 0.0551539, 0.0721729, 0.144949, 0.196747, 0.215316, 0.251423, 0.275874, 0.362303, 0.415273, 0.478924, 0.536509, 0.567334, 0.612807, 0.687593, 0.835153");
-            values ( \
-              "-0.023678, -0.29286, -0.283816, -0.270003, -0.25786, -0.252284, -0.235854, -0.21741, -0.131415, -0.0886201, -0.052964, -0.0317858, -0.0232434, -0.0152279, -0.00930477, -0.00379428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0990011");
-            index_3 ("0.0464242, 0.0577999, 0.0855705, 0.223672, 0.321768, 0.404449, 0.440603, 0.491352, 0.616205, 0.716812, 0.788512, 0.899397, 0.979849, 1.04127, 1.15078, 1.39647, 1.41516");
-            values ( \
-              "-0.311337, -0.315472, -0.306423, -0.292088, -0.279004, -0.260052, -0.245419, -0.216938, -0.14035, -0.091913, -0.0665237, -0.0392032, -0.0257349, -0.0187287, -0.0115112, -0.00407325, -0.00390489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0681024, 0.0742814, 0.0776175, 0.0821828, 0.0919291, 0.0962319, 0.105087, 0.112356, 0.112809, 0.113717, 0.115531, 0.12884, 0.136688, 0.143115, 0.151745, 0.159256, 0.167011, 0.172795, 0.183551, 0.188941, 0.197288, 0.203344, 0.211334, 0.237013, 0.249496, 0.267656, 0.2953, 0.329428");
-            values ( \
-              "-0.00733703, -0.0351062, -0.0424192, -0.0498853, -0.0635222, -0.0690527, -0.0789843, -0.0849052, -0.0870751, -0.0889274, -0.0876642, -0.0729705, -0.0614348, -0.0531175, -0.0410849, -0.032471, -0.0251402, -0.0206612, -0.013012, -0.00977952, -0.00768897, -0.0074145, -0.00683733, -0.00395343, -0.00274322, -0.0015393, -0.000587299, -0.000167468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00704757");
-            index_3 ("0.0691549, 0.076542, 0.0783125, 0.0821654, 0.0925183, 0.101899, 0.1126, 0.113613, 0.114762, 0.115808, 0.131134, 0.141727, 0.145587, 0.169867, 0.182085, 0.195594, 0.211934, 0.219962, 0.226951, 0.237307, 0.257936, 0.287194, 0.305728, 0.328618, 0.360577, 0.407332");
-            values ( \
-              "-0.00939303, -0.0593052, -0.0652006, -0.0753499, -0.097817, -0.116067, -0.133457, -0.137594, -0.139644, -0.139113, -0.12556, -0.113761, -0.107901, -0.066205, -0.048417, -0.0334186, -0.0198492, -0.0137899, -0.0109728, -0.00942429, -0.00767095, -0.00440826, -0.00281127, -0.00149965, -0.000599679, -0.000118058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136439");
-            index_3 ("0.0706659, 0.07944, 0.0907843, 0.114025, 0.116043, 0.120604, 0.148682, 0.159252, 0.165089, 0.181797, 0.207027, 0.227927, 0.237833, 0.249335, 0.278536, 0.287799, 0.302738, 0.377923, 0.435357");
-            values ( \
-              "-0.0193977, -0.0925799, -0.129774, -0.193464, -0.196793, -0.193076, -0.175596, -0.166539, -0.160016, -0.133898, -0.089545, -0.0601064, -0.049205, -0.0387049, -0.0177944, -0.0139572, -0.0108899, -0.00435282, -0.00142512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0264143");
-            index_3 ("0.068733, 0.0868002, 0.0928194, 0.112541, 0.114643, 0.117187, 0.119688, 0.124691, 0.145236, 0.172034, 0.184662, 0.191528, 0.200199, 0.209496, 0.22809, 0.234904, 0.272897, 0.284111, 0.306539, 0.318977, 0.333496, 0.352855, 0.377832, 0.392911, 0.419236, 0.453975, 0.503776, 0.538593, 0.578153, 0.623855, 0.682511");
-            values ( \
-              "-0.0120263, -0.146345, -0.168982, -0.234799, -0.243515, -0.247477, -0.245505, -0.243093, -0.236124, -0.225713, -0.219923, -0.216131, -0.210386, -0.202539, -0.180225, -0.171094, -0.115562, -0.101077, -0.0760421, -0.0645118, -0.0529726, -0.0403521, -0.0269901, -0.0203964, -0.0134954, -0.0100312, -0.00642052, -0.00445423, -0.0027406, -0.00151428, -0.000630227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0511375");
-            index_3 ("0.0687353, 0.088918, 0.113766, 0.116748, 0.125465, 0.131888, 0.186492, 0.24221, 0.256867, 0.28618, 0.311434, 0.336761, 0.389091, 0.412147, 0.436624, 0.467906, 0.493258, 0.527061, 0.558862, 0.601202, 0.64403, 0.682695, 0.74679, 0.802694, 0.863712, 0.925134, 1.1094");
-            values ( \
-              "-0.00549434, -0.177027, -0.278346, -0.285086, -0.281669, -0.280123, -0.269981, -0.256784, -0.252272, -0.239754, -0.222469, -0.198955, -0.145279, -0.123876, -0.103489, -0.0812146, -0.0662797, -0.0500651, -0.0379866, -0.0251567, -0.0165471, -0.0126201, -0.00829648, -0.00576206, -0.00372338, -0.00233985, -0.000495105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0990011");
-            index_3 ("0.0825316, 0.110582, 0.1166, 0.126895, 0.142657, 0.246242, 0.327076, 0.405165, 0.457995, 0.519417, 0.653555, 0.700641, 0.761875, 0.797668, 0.860667, 0.912412, 0.955349, 1.00916, 1.07058, 1.12885, 1.2123, 1.33514, 1.45799, 1.64225, 1.82652");
-            values ( \
-              "-0.221447, -0.285448, -0.309726, -0.30664, -0.304381, -0.294264, -0.284339, -0.271108, -0.255781, -0.225167, -0.142731, -0.117895, -0.0905096, -0.0771316, -0.0577062, -0.0451339, -0.0365071, -0.0274973, -0.019873, -0.0151987, -0.010573, -0.00632688, -0.00373937, -0.00161799, -0.000692944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.120758, 0.130798, 0.138188, 0.148225, 0.162063, 0.169916, 0.183816, 0.196093, 0.207432, 0.218369, 0.229274, 0.229778, 0.240733, 0.249279, 0.252518, 0.256486, 0.26054, 0.277237, 0.283322, 0.290667, 0.294936, 0.303473, 0.312388, 0.323995, 0.337062, 0.354447, 0.367618");
-            values ( \
-              "-0.0114706, -0.0155766, -0.0213935, -0.0299819, -0.0389224, -0.0435209, -0.050849, -0.0559018, -0.0594658, -0.0607203, -0.0541599, -0.0544973, -0.0418306, -0.0327091, -0.031656, -0.0284362, -0.0244333, -0.011717, -0.00924366, -0.00810546, -0.00783084, -0.00695825, -0.00595855, -0.00444924, -0.00305472, -0.00180292, -0.00125227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00704757");
-            index_3 ("0.124492, 0.134996, 0.157338, 0.172133, 0.186602, 0.193479, 0.207393, 0.220177, 0.232572, 0.244924, 0.245738, 0.248907, 0.250923, 0.25317, 0.264792, 0.270955, 0.281886, 0.290354, 0.302542, 0.310554, 0.31666, 0.318749, 0.331284, 0.34215, 0.379855, 0.398124, 0.42344, 0.455554, 0.503941");
-            values ( \
-              "-0.0190112, -0.029357, -0.0557196, -0.0693485, -0.0816615, -0.0868113, -0.0959275, -0.102116, -0.103249, -0.0902174, -0.0903156, -0.0854843, -0.0846406, -0.0819201, -0.0615327, -0.0524724, -0.038988, -0.0307842, -0.0206883, -0.0144125, -0.0117394, -0.0110725, -0.00936157, -0.00853087, -0.00426057, -0.00275535, -0.00133568, -0.000555983, -7.74514e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136439");
-            index_3 ("0.12452, 0.140262, 0.152761, 0.165899, 0.191362, 0.210242, 0.226853, 0.242183, 0.252549, 0.257114, 0.261675, 0.301315, 0.322477, 0.33261, 0.347926, 0.365083, 0.372699, 0.381404, 0.397504, 0.469897, 0.496761, 0.52876, 0.533626");
-            values ( \
-              "-0.0137727, -0.048711, -0.0693712, -0.0880745, -0.11989, -0.140488, -0.155281, -0.164724, -0.165917, -0.161288, -0.154082, -0.0858383, -0.0570521, -0.0464084, -0.0334871, -0.0211294, -0.0167369, -0.0134885, -0.0106484, -0.00436829, -0.00267035, -0.0013823, -0.00128754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0264143");
-            index_3 ("0.124425, 0.147363, 0.163197, 0.182776, 0.21251, 0.236517, 0.252483, 0.257054, 0.285417, 0.2986, 0.306957, 0.32671, 0.368723, 0.381664, 0.404299, 0.427288, 0.445951, 0.469012, 0.482586, 0.509733, 0.566595, 0.631964, 0.671296, 0.776378");
-            values ( \
-              "-0.00855198, -0.0744442, -0.105073, -0.137406, -0.182441, -0.213981, -0.230669, -0.230268, -0.215031, -0.205121, -0.196774, -0.170973, -0.110252, -0.0941915, -0.0703306, -0.0515671, -0.0396079, -0.0273272, -0.0212187, -0.013675, -0.00840031, -0.00436195, -0.00270832, -0.000623887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0511375");
-            index_3 ("0.124393, 0.156943, 0.162001, 0.191564, 0.204821, 0.229527, 0.252125, 0.256821, 0.260649, 0.312948, 0.347768, 0.362118, 0.373914, 0.386424, 0.405744, 0.428606, 0.480949, 0.503515, 0.527585, 0.559505, 0.570734, 0.586107, 0.606604, 0.641171, 0.65174, 0.67288, 0.702698, 0.748756, 0.789108, 0.816346, 0.870822, 0.928624, 0.990046, 1.05147, 1.17431");
-            values ( \
-              "-0.00704205, -0.106171, -0.117572, -0.174417, -0.198272, -0.240123, -0.274879, -0.276043, -0.274054, -0.262263, -0.2526, -0.247266, -0.241909, -0.234837, -0.220388, -0.198959, -0.145252, -0.124281, -0.104162, -0.0813579, -0.0744185, -0.0657392, -0.0555363, -0.0413814, -0.0376311, -0.0308599, -0.0227547, -0.0149211, -0.0114326, -0.00958016, -0.00673191, -0.00454142, -0.00287293, -0.00177208, -0.000633465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0990011");
-            index_3 ("0.124295, 0.17011, 0.200379, 0.24871, 0.254182, 0.261015, 0.267278, 0.279803, 0.346712, 0.419136, 0.480558, 0.516284, 0.550054, 0.611476, 0.745622, 0.792704, 0.853935, 0.889721, 0.952718, 1.00447, 1.04741, 1.10121, 1.16264, 1.2209, 1.30434, 1.42719, 1.55003, 1.7343, 1.91856");
-            values ( \
-              "-0.0101391, -0.145541, -0.207414, -0.296796, -0.30244, -0.3033, -0.301272, -0.300449, -0.293358, -0.284336, -0.274584, -0.266488, -0.255785, -0.22517, -0.142726, -0.117893, -0.0905086, -0.0771331, -0.0577079, -0.0451348, -0.0365069, -0.0274971, -0.0198732, -0.0151992, -0.0105738, -0.00632736, -0.00373967, -0.001618, -0.000693057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.223152, 0.238458, 0.260942, 0.27527, 0.287334, 0.315121, 0.33696, 0.356076, 0.373597, 0.390178, 0.404291, 0.406177, 0.409949, 0.416166, 0.446639, 0.452636, 0.456095, 0.461174, 0.505117, 0.515434, 0.526234, 0.540634, 0.550231, 0.557666, 0.566627, 0.575038, 0.609626, 0.645158");
-            values ( \
-              "-0.00417507, -0.00606135, -0.0105321, -0.0151775, -0.0196396, -0.0274788, -0.0324868, -0.0359984, -0.038734, -0.0404666, -0.0415454, -0.0407978, -0.0400642, -0.0372016, -0.0184214, -0.0139807, -0.0122933, -0.0106738, -0.00471399, -0.00353586, -0.00257217, -0.00160572, -0.0012233, -0.00182878, -0.00145538, -0.000890926, -0.000247282, -0.000103813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00704757");
-            index_3 ("0.22447, 0.260414, 0.273176, 0.295712, 0.312915, 0.326859, 0.351464, 0.37291, 0.392494, 0.41087, 0.428218, 0.436422, 0.445173, 0.468434, 0.476343, 0.491605, 0.499151, 0.510001, 0.526335, 0.550128, 0.554942, 0.559867, 0.567692, 0.583178, 0.59734, 0.625666, 0.666156");
-            values ( \
-              "-0.00472162, -0.0166885, -0.0221433, -0.0339752, -0.0416275, -0.0471725, -0.0560515, -0.0622522, -0.0673307, -0.0706523, -0.0713375, -0.0666175, -0.0591022, -0.0372343, -0.0308586, -0.0186687, -0.0144758, -0.0117227, -0.00980751, -0.00653398, -0.00730435, -0.00692189, -0.00537351, -0.00360887, -0.00240236, -0.00109479, -0.000277724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136439");
-            index_3 ("0.224456, 0.260214, 0.275098, 0.301436, 0.346787, 0.375959, 0.40129, 0.424339, 0.445947, 0.46737, 0.485861, 0.512302, 0.529314, 0.550059, 0.556177, 0.568354, 0.578761, 0.591451, 0.604941, 0.648623, 0.672639, 0.702095, 0.739376, 0.787672");
-            values ( \
-              "-0.00314339, -0.0243487, -0.0322154, -0.0507393, -0.0769691, -0.0916022, -0.102245, -0.110896, -0.116327, -0.113366, -0.0940797, -0.0628013, -0.045842, -0.0294606, -0.0274285, -0.0194107, -0.0152726, -0.0128508, -0.0109518, -0.00607925, -0.0040808, -0.00224582, -0.00106802, -0.000304383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0264143");
-            index_3 ("0.224489, 0.321405, 0.378598, 0.415056, 0.446625, 0.475323, 0.50245, 0.531587, 0.550072, 0.556066, 0.587618, 0.6036, 0.615198, 0.640678, 0.666995, 0.68016, 0.699905, 0.730378, 0.778898, 0.810091, 0.846894, 0.889425, 0.939315, 1.00074");
-            values ( \
-              "-3.16206e-05, -0.0790224, -0.119069, -0.142081, -0.159048, -0.172354, -0.178381, -0.16224, -0.139331, -0.133496, -0.092397, -0.0751278, -0.0644935, -0.0454334, -0.0303548, -0.02393, -0.0168897, -0.0118401, -0.00788587, -0.00576826, -0.00383996, -0.00221981, -0.00114111, -0.000438594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0511375");
-            index_3 ("0.223592, 0.365349, 0.403557, 0.425628, 0.473261, 0.514659, 0.551317, 0.555719, 0.574466, 0.588886, 0.604737, 0.625871, 0.681905, 0.701692, 0.724306, 0.76026, 0.794499, 0.816786, 0.846041, 0.870739, 0.902083, 0.946729, 0.993858, 1.02615, 1.08169, 1.14049, 1.20191, 1.26334, 1.38618");
-            values ( \
-              "-0.00117571, -0.12879, -0.159375, -0.176253, -0.210147, -0.235204, -0.252289, -0.251892, -0.243171, -0.234983, -0.223411, -0.204176, -0.146838, -0.128133, -0.108751, -0.082436, -0.0626141, -0.0520502, -0.0405108, -0.0322486, -0.0234845, -0.0154775, -0.0112808, -0.00915149, -0.0063753, -0.00423989, -0.00267076, -0.00163711, -0.000581314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0990011");
-            index_3 ("0.224362, 0.391892, 0.496901, 0.54987, 0.561658, 0.582124, 0.601566, 0.618385, 0.623392, 0.687197, 0.754272, 0.815694, 0.949844, 1.05815, 1.15634, 1.25208, 1.36715, 1.50801, 1.7537, 1.75954");
-            values ( \
-              "-0.000345205, -0.163813, -0.251918, -0.290982, -0.29498, -0.287849, -0.28884, -0.284016, -0.284788, -0.27421, -0.255856, -0.225158, -0.142723, -0.0905101, -0.0579153, -0.036368, -0.0197873, -0.0105345, -0.0036836, -0.00363518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.425545, 0.472709, 0.487602, 0.511643, 0.531313, 0.561687, 0.606361, 0.646379, 0.682054, 0.709831, 0.746738, 0.759332, 0.777521, 0.797232, 0.805202, 0.819257, 0.820173, 0.868777, 0.883009, 0.898072, 0.933138, 0.971865, 1.01718, 1.21603, 1.23131, 1.25247");
-            values ( \
-              "-0.00122097, -0.00265151, -0.00333715, -0.00467465, -0.00612087, -0.00951214, -0.0157166, -0.0200365, -0.0230579, -0.0249989, -0.0271876, -0.0269334, -0.0262186, -0.0209276, -0.0164357, -0.012534, -0.0126821, -0.00533994, -0.00362091, -0.00240023, -0.000858307, -0.000308422, -3.61456e-05, -1e-22, -0.000326482, -0.000147009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704757");
-            index_3 ("0.430318, 0.472967, 0.493196, 0.518288, 0.540728, 0.57291, 0.608547, 0.656181, 0.672614, 0.70595, 0.7361, 0.764234, 0.786154, 0.791018, 0.800745, 0.819811, 0.83944, 0.857364, 0.865673, 0.876753, 0.923626, 0.947183, 0.96253, 0.993224, 1.03744, 1.0902, 1.21622, 1.22257, 1.23097, 1.23892, 1.25483");
-            values ( \
-              "-0.00230579, -0.00450463, -0.00606246, -0.00847431, -0.0112537, -0.0172098, -0.0248722, -0.0333652, -0.0358687, -0.0403324, -0.0438065, -0.04625, -0.0486872, -0.0477247, -0.0478841, -0.0428371, -0.0329038, -0.021361, -0.0178087, -0.0152571, -0.00748017, -0.00448357, -0.00309855, -0.00143987, -0.000408281, -0.000113682, -1e-22, -0.000632349, -0.000644734, -0.000352266, -0.000267094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136439");
-            index_3 ("0.439007, 0.473247, 0.503007, 0.522259, 0.545129, 0.556718, 0.579896, 0.603033, 0.642671, 0.662337, 0.701671, 0.74643, 0.781561, 0.826786, 0.845329, 0.877806, 0.9422, 0.956947, 0.967132, 1.0017, 1.03123, 1.04824, 1.076, 1.11449, 1.16358, 1.21637, 1.23829, 1.26837");
-            values ( \
-              "-0.0042787, -0.00705887, -0.0106643, -0.0135436, -0.0176468, -0.0201791, -0.0262581, -0.0333752, -0.0441939, -0.0491811, -0.0581972, -0.0668797, -0.0728567, -0.0791179, -0.0801206, -0.0704218, -0.0263811, -0.0195606, -0.017211, -0.0117137, -0.00774202, -0.00586911, -0.00354822, -0.00166267, -0.000564708, -0.000188591, -0.000861647, -0.000482565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0264143");
-            index_3 ("0.472765, 0.528466, 0.557501, 0.581421, 0.635268, 0.707592, 0.755144, 0.81139, 0.854789, 0.894986, 0.933196, 0.95158, 0.970557, 1.02777, 1.0499, 1.08571, 1.10716, 1.1307, 1.16601, 1.21584, 1.23257, 1.27584, 1.31961, 1.37659, 1.43801");
-            values ( \
-              "-0.0138631, -0.0203016, -0.0272213, -0.0344864, -0.0539856, -0.0772926, -0.0908094, -0.10495, -0.114563, -0.121674, -0.123936, -0.11783, -0.10612, -0.0625359, -0.0483042, -0.0289209, -0.020832, -0.0158848, -0.0115021, -0.00699477, -0.00695736, -0.00396677, -0.00230383, -0.000908342, -0.000439844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0511375");
-            index_3 ("0.472584, 0.556302, 0.600895, 0.685403, 0.778193, 0.839615, 0.912296, 0.968311, 1.02043, 1.07218, 1.12322, 1.21571, 1.27059, 1.30261, 1.33619, 1.38096, 1.42541, 1.50238, 1.55946, 1.62089, 1.66748");
-            values ( \
-              "-0.0105737, -0.033422, -0.0483474, -0.0824845, -0.115757, -0.135943, -0.157484, -0.171862, -0.181142, -0.175333, -0.142046, -0.0767155, -0.0500144, -0.0377147, -0.0271445, -0.0176999, -0.0129518, -0.00774403, -0.00522676, -0.00330733, -0.0023459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0990011");
-            index_3 ("0.533675, 0.616599, 0.725777, 0.820824, 0.918574, 1.02421, 1.0618, 1.13681, 1.16399, 1.20786, 1.21554, 1.22537, 1.24004, 1.27553, 1.37839, 1.46014, 1.51528, 1.55903, 1.61737, 1.66196, 1.69914, 1.74873, 1.81015, 1.87738, 1.97254, 2.09539, 2.21823, 2.4025, 2.58676");
-            values ( \
-              "-0.0558386, -0.0607106, -0.107035, -0.144598, -0.181004, -0.216658, -0.228045, -0.247069, -0.251591, -0.252449, -0.251385, -0.248714, -0.241898, -0.221558, -0.15707, -0.113136, -0.0890425, -0.0731586, -0.055797, -0.0451073, -0.0375575, -0.0290151, -0.0208864, -0.0152632, -0.0101003, -0.00603792, -0.00355764, -0.00154466, -0.000650783" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00602057, 0.00603916, 0.00605988, 0.00607803, 0.00609118, 0.00609944", \
-            "0.00699697, 0.00700922, 0.0070252, 0.00704176, 0.00705543, 0.00706488", \
-            "0.00759173, 0.00759568, 0.00760259, 0.00761201, 0.0076217, 0.00762936", \
-            "0.00795858, 0.00795481, 0.00795267, 0.00795204, 0.00795356, 0.0079563", \
-            "0.00815508, 0.00815017, 0.0081434, 0.00813606, 0.00813014, 0.00812691", \
-            "0.00826173, 0.00825629, 0.00824807, 0.00823775, 0.0082261, 0.00821638" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00845423, 0.00846223, 0.00847227, 0.00848192, 0.00849097, 0.00849774", \
-            "0.00932395, 0.00930911, 0.00929606, 0.00928674, 0.00928027, 0.00927735", \
-            "0.00976735, 0.00973113, 0.0096899, 0.00965174, 0.00962643, 0.00960833", \
-            "0.0100202, 0.00995273, 0.00987814, 0.00980807, 0.00975132, 0.00971107", \
-            "0.0103909, 0.010271, 0.010119, 0.00997157, 0.00985673, 0.0097782", \
-            "0.0111603, 0.0108398, 0.0105653, 0.0102953, 0.0100648, 0.00990251" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.122472, 0.131225, 0.147683, 0.178341, 0.236704, 0.34951", \
-            "0.126262, 0.135034, 0.151535, 0.182223, 0.240631, 0.353496", \
-            "0.13397, 0.142767, 0.159309, 0.190169, 0.248662, 0.361602", \
-            "0.148387, 0.1574, 0.174233, 0.205428, 0.26418, 0.377216", \
-            "0.167717, 0.1769, 0.193982, 0.225251, 0.283851, 0.397225", \
-            "0.181035, 0.190772, 0.208493, 0.240763, 0.29988, 0.412742" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.066678, 0.0768818, 0.0981187, 0.141321, 0.22784, 0.398352", \
-            "0.0667222, 0.0769535, 0.0982149, 0.141411, 0.22795, 0.398578", \
-            "0.0669487, 0.0771224, 0.0983262, 0.141501, 0.228059, 0.398681", \
-            "0.0680951, 0.0782099, 0.0992378, 0.142016, 0.228264, 0.398725", \
-            "0.0695295, 0.0791146, 0.0993635, 0.141926, 0.227866, 0.398444", \
-            "0.0772566, 0.0861731, 0.105329, 0.145799, 0.229143, 0.397571" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.112419, 0.123368, 0.143899, 0.182819, 0.2576, 0.402472", \
-            "0.118593, 0.129586, 0.150172, 0.189107, 0.263938, 0.408815", \
-            "0.133266, 0.144327, 0.165048, 0.204183, 0.279123, 0.424037", \
-            "0.164889, 0.176037, 0.196955, 0.236433, 0.31165, 0.456677", \
-            "0.218292, 0.229458, 0.250413, 0.289852, 0.365398, 0.510699", \
-            "0.302453, 0.314091, 0.335581, 0.375367, 0.450763, 0.595801" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0577966, 0.0707556, 0.0968114, 0.148299, 0.249111, 0.445069", \
-            "0.0577939, 0.0707667, 0.0967719, 0.148282, 0.249093, 0.445053", \
-            "0.0578175, 0.070756, 0.0967546, 0.148248, 0.249061, 0.445019", \
-            "0.0583687, 0.071113, 0.0969675, 0.148308, 0.249065, 0.445003", \
-            "0.0608173, 0.0728975, 0.0982728, 0.148905, 0.249468, 0.445264", \
-            "0.0689863, 0.0798107, 0.102957, 0.151364, 0.249888, 0.445307" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0670435, 0.0807038, 0.0876784, 0.0964574, 0.102547, 0.110985, 0.117477, 0.123429, 0.139419, 0.144997, 0.150494, 0.155787, 0.177238, 0.181694, 0.186276, 0.211624, 0.229331, 0.247877, 0.261574, 0.28522, 0.288255");
-            values ( \
-              "0.00049094, 0.0343531, 0.0456296, 0.0554228, 0.0595101, 0.0633343, 0.0641286, 0.0632342, 0.059268, 0.0600819, 0.0626623, 0.0576755, 0.028857, 0.025406, 0.0253654, 0.0120896, 0.00614251, 0.00298947, 0.00179734, 0.000656988, 0.000630585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00704757");
-            index_3 ("0.0693821, 0.0782253, 0.0858333, 0.0934178, 0.0987781, 0.106945, 0.11728, 0.122474, 0.130715, 0.142724, 0.15232, 0.155287, 0.16122, 0.16805, 0.183885, 0.192943, 0.199462, 0.207223, 0.211425, 0.234653, 0.243034, 0.250775, 0.261589, 0.274715, 0.292218, 0.322682, 0.407338");
-            values ( \
-              "0.0111265, 0.0462646, 0.0678904, 0.084158, 0.0929459, 0.101795, 0.107661, 0.108714, 0.108171, 0.102837, 0.0992434, 0.10085, 0.101517, 0.0949284, 0.0659303, 0.0516881, 0.0416508, 0.0336484, 0.0327418, 0.019642, 0.015482, 0.0124261, 0.00872068, 0.00572109, 0.00336379, 0.00105669, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136439");
-            index_3 ("0.0671593, 0.0795299, 0.0827978, 0.0879181, 0.0981151, 0.105508, 0.114555, 0.129467, 0.13714, 0.144113, 0.157525, 0.168723, 0.175119, 0.183163, 0.191809, 0.203682, 0.221336, 0.243468, 0.247543, 0.251926, 0.267459, 0.281846, 0.295398, 0.319554, 0.328347, 0.34007, 0.363518, 0.408182, 0.459827");
-            values ( \
-              "0.00888494, 0.0706058, 0.0841806, 0.104829, 0.135176, 0.149435, 0.161174, 0.168373, 0.168294, 0.166209, 0.159794, 0.153129, 0.152315, 0.149327, 0.137766, 0.114329, 0.0830452, 0.0511309, 0.0464315, 0.042791, 0.0336277, 0.026421, 0.0203081, 0.0119765, 0.00977594, 0.00763021, 0.00412655, 0.00138907, 0.000194483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0264143");
-            index_3 ("0.0648019, 0.0873998, 0.100691, 0.1057, 0.115716, 0.127489, 0.143451, 0.147866, 0.156695, 0.168377, 0.198402, 0.21268, 0.222197, 0.237485, 0.255958, 0.279902, 0.313499, 0.325366, 0.346256, 0.37024, 0.402152, 0.430583, 0.468222, 0.510054, 0.561698, 0.664988");
-            values ( \
-              "0.0133539, 0.132736, 0.186376, 0.20043, 0.219239, 0.231252, 0.235145, 0.235055, 0.23266, 0.228172, 0.209703, 0.202737, 0.19581, 0.177036, 0.146427, 0.111168, 0.0711625, 0.0592719, 0.044371, 0.0332626, 0.0220925, 0.0147199, 0.00819846, 0.0042886, 0.00156086, 0.000158533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0511375");
-            index_3 ("0.0713992, 0.0854478, 0.100272, 0.110669, 0.118632, 0.134559, 0.150505, 0.166118, 0.181664, 0.213951, 0.28502, 0.298305, 0.326313, 0.387696, 0.442577, 0.481146, 0.503383, 0.531626, 0.561469, 0.598949, 0.640616, 0.703596, 0.806885, 0.829077");
-            values ( \
-              "0.117607, 0.144633, 0.22283, 0.256559, 0.273932, 0.292052, 0.297766, 0.296114, 0.292129, 0.27844, 0.239519, 0.23071, 0.204484, 0.13971, 0.0923164, 0.0663232, 0.0543071, 0.043281, 0.0341791, 0.0249424, 0.0173473, 0.00959201, 0.00334386, 0.00298398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0990011");
-            index_3 ("0.0714843, 0.113485, 0.128486, 0.14116, 0.159031, 0.192925, 0.245925, 0.326187, 0.425632, 0.498696, 0.638607, 0.690252, 0.754488, 0.842089, 0.95594, 1.00759, 1.10171, 1.205, 1.30829, 1.3702");
-            values ( \
-              "0.127863, 0.296905, 0.327542, 0.339438, 0.346271, 0.343406, 0.329087, 0.302294, 0.262947, 0.222625, 0.135037, 0.108845, 0.0806081, 0.052322, 0.0307717, 0.0234665, 0.0143472, 0.00810006, 0.00446621, 0.00369658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0846869, 0.0987653, 0.105098, 0.108303, 0.114714, 0.120248, 0.128752, 0.130857, 0.135065, 0.140455, 0.150616, 0.158302, 0.162762, 0.168002, 0.17352, 0.178972, 0.195355, 0.199838, 0.204491, 0.210905, 0.220654, 0.227602, 0.233124, 0.239975, 0.24971, 0.260858, 0.269585, 0.287039, 0.314312, 0.349801");
-            values ( \
-              "0.00068287, 0.0348731, 0.0450668, 0.0492192, 0.0557105, 0.059666, 0.0631282, 0.0636644, 0.0638933, 0.0634937, 0.0606034, 0.0589182, 0.0605903, 0.0621352, 0.0581811, 0.0501355, 0.0281665, 0.0255337, 0.0250035, 0.0219908, 0.0164551, 0.0129285, 0.0105591, 0.0081443, 0.00560058, 0.00364541, 0.00259967, 0.00130537, 0.000434897, 0.000113009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00704757");
-            index_3 ("0.0828574, 0.10621, 0.111207, 0.11922, 0.124712, 0.135493, 0.139423, 0.143976, 0.148501, 0.166661, 0.181818, 0.192418, 0.200238, 0.217289, 0.225042, 0.229302, 0.253751, 0.268908, 0.283958, 0.292557, 0.309756, 0.337714, 0.376445, 0.421912");
-            values ( \
-              "0.000733157, 0.0740971, 0.0842669, 0.0963706, 0.101774, 0.107692, 0.108489, 0.108662, 0.108024, 0.10015, 0.100603, 0.083089, 0.068069, 0.0414511, 0.0338286, 0.0325891, 0.0190316, 0.012264, 0.0076623, 0.00580037, 0.00332765, 0.00127024, 0.000340478, 6.81547e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136439");
-            index_3 ("0.0894377, 0.0972918, 0.105659, 0.114895, 0.117822, 0.121724, 0.129529, 0.137775, 0.147171, 0.161887, 0.187719, 0.192915, 0.200969, 0.20961, 0.222522, 0.237623, 0.260704, 0.264457, 0.270814, 0.290949, 0.308169, 0.319188, 0.334637, 0.35313, 0.377787, 0.417716, 0.46936");
-            values ( \
-              "0.0544663, 0.0706868, 0.104676, 0.132959, 0.139639, 0.147056, 0.158216, 0.164799, 0.167766, 0.166215, 0.152754, 0.152349, 0.149341, 0.137535, 0.11231, 0.0856248, 0.0521012, 0.0472921, 0.0421138, 0.0308251, 0.0225908, 0.0180464, 0.012862, 0.00839821, 0.00460539, 0.001618, 0.000386374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0264143");
-            index_3 ("0.0928653, 0.105208, 0.110338, 0.118546, 0.123553, 0.133568, 0.145349, 0.161127, 0.165738, 0.17496, 0.186265, 0.216371, 0.235827, 0.245059, 0.255441, 0.290404, 0.312247, 0.336443, 0.350533, 0.363729, 0.379252, 0.406351, 0.421125, 0.448857, 0.46086, 0.484865, 0.523711, 0.575355, 0.627, 0.678645");
-            values ( \
-              "0.132204, 0.132921, 0.156767, 0.186595, 0.200325, 0.219288, 0.230992, 0.235096, 0.234751, 0.232478, 0.227883, 0.20941, 0.199171, 0.190657, 0.176096, 0.121723, 0.0927081, 0.0658046, 0.0533685, 0.0448043, 0.0372001, 0.0266506, 0.0219448, 0.0147997, 0.0123493, 0.00852193, 0.00448129, 0.0018392, 0.000730318, 0.000320016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0511375");
-            index_3 ("0.090268, 0.10319, 0.117987, 0.12918, 0.136338, 0.150652, 0.168237, 0.183648, 0.199396, 0.230744, 0.312095, 0.344074, 0.423026, 0.478432, 0.501051, 0.531209, 0.56993, 0.624809, 0.659685, 0.706795, 0.75844, 0.810084, 0.859096");
-            values ( \
-              "0.13935, 0.145732, 0.222881, 0.259018, 0.273653, 0.290926, 0.297283, 0.296019, 0.291557, 0.278526, 0.233378, 0.204402, 0.123055, 0.0793303, 0.0649714, 0.0499443, 0.0367119, 0.0234402, 0.017288, 0.0111963, 0.0067692, 0.00402789, 0.00301744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0990011");
-            index_3 ("0.0904508, 0.123854, 0.146547, 0.159255, 0.176984, 0.194149, 0.236952, 0.315747, 0.443942, 0.513516, 0.60493, 0.675415, 0.763331, 0.815339, 0.866983, 0.920631, 0.996617, 1.04826, 1.15465, 1.30958, 1.38782");
-            values ( \
-              "0.142209, 0.271906, 0.327012, 0.339447, 0.345668, 0.345847, 0.336666, 0.312238, 0.262848, 0.224757, 0.165539, 0.125139, 0.084449, 0.0654773, 0.0510459, 0.0396395, 0.0275271, 0.0210459, 0.0121814, 0.00502726, 0.00395602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.128014, 0.13875, 0.146496, 0.151823, 0.158657, 0.166832, 0.169347, 0.174377, 0.179677, 0.195723, 0.201318, 0.206828, 0.212132, 0.233552, 0.237923, 0.242413, 0.248791, 0.266679, 0.284402, 0.292739, 0.305631, 0.320749, 0.348817, 0.351327");
-            values ( \
-              "0.0246377, 0.0364079, 0.0478994, 0.0536611, 0.059041, 0.0625914, 0.0632973, 0.0636428, 0.0631627, 0.0589235, 0.0601048, 0.0623305, 0.0576989, 0.0287044, 0.0256268, 0.0252678, 0.0223366, 0.0126387, 0.0064476, 0.00466216, 0.00280419, 0.001664, 0.000429199, 0.000414779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00704757");
-            index_3 ("0.1276, 0.13646, 0.146277, 0.155535, 0.163069, 0.17336, 0.17893, 0.188209, 0.207518, 0.211626, 0.217977, 0.224381, 0.24025, 0.258225, 0.265431, 0.301449, 0.327014, 0.356183, 0.38968, 0.428937");
-            values ( \
-              "0.0391855, 0.0504505, 0.0762667, 0.0925784, 0.100967, 0.106974, 0.10819, 0.107402, 0.099206, 0.10063, 0.10131, 0.0945213, 0.0658563, 0.0384075, 0.0329529, 0.0145916, 0.00660637, 0.00254696, 0.000807408, 0.000199248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136439");
-            index_3 ("0.127641, 0.139544, 0.143897, 0.150518, 0.154303, 0.161872, 0.170882, 0.183706, 0.190126, 0.200527, 0.209803, 0.227354, 0.231589, 0.239677, 0.248308, 0.261571, 0.27609, 0.297043, 0.302569, 0.306964, 0.312823, 0.32121, 0.346551, 0.357676, 0.372746, 0.393247, 0.419696, 0.458503, 0.510147");
-            values ( \
-              "0.0544534, 0.0841058, 0.101454, 0.123158, 0.133201, 0.148586, 0.160112, 0.167205, 0.167869, 0.166057, 0.161815, 0.151642, 0.152128, 0.148924, 0.137687, 0.111324, 0.0859512, 0.0552835, 0.0477691, 0.0441206, 0.0398945, 0.0352936, 0.0227072, 0.018187, 0.0130071, 0.00809646, 0.00427976, 0.00151498, 0.000399809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0264143");
-            index_3 ("0.127458, 0.137423, 0.148705, 0.16205, 0.171904, 0.183923, 0.199436, 0.204341, 0.214153, 0.224885, 0.255208, 0.273782, 0.282936, 0.294091, 0.329133, 0.35136, 0.375168, 0.388805, 0.401833, 0.417097, 0.446778, 0.466438, 0.48819, 0.517448, 0.554224, 0.604458, 0.707748");
-            values ( \
-              "0.0943886, 0.0965442, 0.154317, 0.199111, 0.218255, 0.230513, 0.234837, 0.234489, 0.232118, 0.227714, 0.209169, 0.199584, 0.191449, 0.176118, 0.121619, 0.0921716, 0.0657643, 0.0536798, 0.0451071, 0.0375818, 0.026065, 0.0200172, 0.0146509, 0.00938256, 0.00515372, 0.00216729, 0.000364974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0511375");
-            index_3 ("0.13265, 0.151838, 0.166745, 0.175241, 0.190661, 0.207184, 0.222834, 0.238383, 0.263987, 0.284142, 0.346152, 0.368027, 0.444303, 0.46202, 0.497455, 0.540403, 0.570898, 0.592583, 0.630624, 0.666695, 0.69905, 0.74398, 0.795625, 0.847269, 0.950559, 1.05385");
-            values ( \
-              "0.171937, 0.199178, 0.254436, 0.272973, 0.291248, 0.297115, 0.29588, 0.291578, 0.281103, 0.271011, 0.236554, 0.21941, 0.139804, 0.123092, 0.0936996, 0.0648205, 0.0496681, 0.0418787, 0.0308387, 0.0228984, 0.0172599, 0.0114169, 0.00688167, 0.00412322, 0.00144712, 0.000514515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0990011");
-            index_3 ("0.132021, 0.152908, 0.169906, 0.184633, 0.197637, 0.21476, 0.232252, 0.249477, 0.275376, 0.358319, 0.417752, 0.482424, 0.508478, 0.552014, 0.643444, 0.713976, 0.765621, 0.801879, 0.853861, 0.905506, 0.95932, 0.995337, 1.03531, 1.1052, 1.14171, 1.19336, 1.245, 1.34829, 1.45158, 1.65816");
-            values ( \
-              "0.193839, 0.228349, 0.296259, 0.326277, 0.339029, 0.345449, 0.345596, 0.342811, 0.336437, 0.310692, 0.28853, 0.262695, 0.249759, 0.224616, 0.165586, 0.125168, 0.100068, 0.0844961, 0.0655386, 0.0509754, 0.039546, 0.0332349, 0.0274443, 0.0192895, 0.0158654, 0.0121106, 0.00906339, 0.00508322, 0.00281861, 0.000843335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.209567, 0.22083, 0.227819, 0.230461, 0.233104, 0.236796, 0.240489, 0.244727, 0.246845, 0.248964, 0.249075, 0.249742, 0.2503, 0.25141, 0.254477, 0.257074, 0.259616, 0.263494, 0.267501, 0.270256, 0.273011, 0.274964, 0.276918, 0.278871, 0.280824, 0.282512, 0.2842, 0.285585, 0.286969, 0.288353, 0.289737, 0.291066, 0.292395, 0.295052, 0.30055, 0.308646, 0.310728, 0.31281, 0.314198, 0.316973, 0.325977, 0.331318, 0.332944, 0.341039, 0.345817, 0.351975, 0.356456, 0.362988, 0.36987, 0.376163");
-            values ( \
-              "0.0319397, 0.0349357, 0.0453122, 0.0487239, 0.051739, 0.0548899, 0.0576178, 0.0602269, 0.0613226, 0.0622791, 0.0611906, 0.0604022, 0.0600176, 0.0601778, 0.0610011, 0.0615495, 0.0614007, 0.0610061, 0.0603856, 0.0594949, 0.0582716, 0.0580327, 0.0580612, 0.0583569, 0.0589199, 0.0599939, 0.0613999, 0.0613378, 0.06112, 0.0607468, 0.060218, 0.059564, 0.0587667, 0.0567422, 0.04982, 0.0391969, 0.0357201, 0.0326248, 0.0307734, 0.0275794, 0.0246532, 0.0225527, 0.0217418, 0.0170815, 0.0145701, 0.0116561, 0.00976196, 0.00766776, 0.00592202, 0.00452133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00704757");
-            index_3 ("0.198869, 0.207131, 0.236042, 0.248702, 0.251066, 0.262208, 0.269435, 0.287844, 0.294349, 0.300725, 0.307162, 0.338699, 0.346246, 0.350717, 0.383228, 0.402128, 0.421723, 0.444116, 0.464403");
-            values ( \
-              "0.00890177, 0.0211126, 0.0880346, 0.103009, 0.100094, 0.105055, 0.105589, 0.0993527, 0.0996929, 0.10121, 0.0938543, 0.0413749, 0.0338895, 0.0327027, 0.0151358, 0.00847012, 0.00452025, 0.00217019, 0.00126671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136439");
-            index_3 ("0.207929, 0.218002, 0.230749, 0.236149, 0.246264, 0.24887, 0.250978, 0.253085, 0.261432, 0.268518, 0.274301, 0.282013, 0.286252, 0.31218, 0.314607, 0.31946, 0.328225, 0.356792, 0.385355, 0.397669, 0.419264, 0.448243, 0.46232, 0.481423, 0.502752, 0.539771, 0.591415");
-            values ( \
-              "0.0583366, 0.0677541, 0.113766, 0.129132, 0.150689, 0.154632, 0.152726, 0.153109, 0.160388, 0.163926, 0.165054, 0.164543, 0.163441, 0.150601, 0.151749, 0.150277, 0.142995, 0.0897462, 0.048213, 0.0388293, 0.0275381, 0.0154202, 0.0112585, 0.00720954, 0.00432911, 0.00164208, 0.00040629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0264143");
-            index_3 ("0.208159, 0.21913, 0.230889, 0.242704, 0.248863, 0.249995, 0.251828, 0.266972, 0.276129, 0.287659, 0.30627, 0.312448, 0.361855, 0.375067, 0.409115, 0.435762, 0.459653, 0.471337, 0.48413, 0.498664, 0.520464, 0.553999, 0.595793, 0.627301, 0.674982, 0.693627");
-            values ( \
-              "0.0811549, 0.0922921, 0.149088, 0.192018, 0.207727, 0.205937, 0.206126, 0.225304, 0.230688, 0.23241, 0.227848, 0.225016, 0.195859, 0.180497, 0.126677, 0.0913207, 0.0648863, 0.054516, 0.0457921, 0.038531, 0.0295738, 0.0189444, 0.0101903, 0.0061536, 0.00273109, 0.00231998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0511375");
-            index_3 ("0.208301, 0.224109, 0.235454, 0.248834, 0.251633, 0.266132, 0.275198, 0.290651, 0.306474, 0.321996, 0.346377, 0.370303, 0.429644, 0.451413, 0.527989, 0.58118, 0.624065, 0.654515, 0.67627, 0.714438, 0.750122, 0.782767, 0.827953, 0.879597, 0.931242, 0.989697");
-            values ( \
-              "0.0949837, 0.138105, 0.200089, 0.251753, 0.25253, 0.277371, 0.287315, 0.294762, 0.294746, 0.291001, 0.281494, 0.269577, 0.236643, 0.219666, 0.139768, 0.0936497, 0.0648225, 0.049702, 0.0418713, 0.0308148, 0.0229454, 0.0172513, 0.0113819, 0.00687019, 0.00410643, 0.00259536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0990011");
-            index_3 ("0.208634, 0.249054, 0.25118, 0.253232, 0.267278, 0.274755, 0.281524, 0.295062, 0.313934, 0.333602, 0.359543, 0.386689, 0.436085, 0.501934, 0.566575, 0.599187, 0.639709, 0.677844, 0.748315, 0.779552, 0.831196, 0.868621, 0.895744, 0.931908, 0.983553, 1.0162, 1.05113, 1.09467, 1.14631, 1.19208, 1.24727, 1.35055, 1.45384, 1.55713, 1.76371");
-            values ( \
-              "0.102131, 0.283136, 0.283753, 0.286634, 0.3175, 0.328289, 0.334935, 0.342444, 0.344853, 0.342447, 0.336285, 0.328536, 0.312785, 0.288545, 0.262712, 0.246236, 0.222326, 0.197227, 0.153292, 0.13514, 0.108729, 0.0916386, 0.0806251, 0.0676946, 0.0523357, 0.0448202, 0.0380126, 0.0309977, 0.0238828, 0.0189085, 0.0141411, 0.00803479, 0.00448724, 0.00247681, 0.000729009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.371002, 0.385412, 0.394244, 0.398204, 0.402163, 0.407313, 0.40903, 0.414325, 0.416972, 0.421064, 0.423232, 0.425384, 0.426813, 0.428243, 0.429672, 0.430278, 0.432095, 0.435728, 0.439979, 0.443019, 0.446977, 0.450935, 0.452527, 0.45412, 0.455489, 0.456859, 0.458228, 0.459597, 0.460947, 0.462297, 0.463648, 0.464998, 0.469152, 0.478604, 0.48263, 0.483973, 0.486657, 0.49106, 0.49442, 0.496819, 0.501065, 0.50311, 0.507708, 0.513364, 0.518396, 0.522707, 0.528456, 0.533436, 0.538416, 0.542499");
-            values ( \
-              "0.02412, 0.027335, 0.0374998, 0.0417197, 0.045634, 0.0497085, 0.050947, 0.0543043, 0.0558342, 0.0580041, 0.058841, 0.0595028, 0.0598492, 0.0601213, 0.0603189, 0.0603232, 0.0603083, 0.060147, 0.0598073, 0.0594645, 0.0593659, 0.0594987, 0.0597647, 0.0601174, 0.0602335, 0.0602594, 0.0601952, 0.060041, 0.0593879, 0.0585614, 0.0575615, 0.0563882, 0.0514608, 0.0394029, 0.0332039, 0.0313896, 0.0281393, 0.0264663, 0.0254943, 0.0246848, 0.0230027, 0.0219694, 0.0192726, 0.0161356, 0.0135322, 0.0114297, 0.00927198, 0.00769635, 0.00636037, 0.00542769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00704757");
-            index_3 ("0.363926, 0.37593, 0.381437, 0.397844, 0.404936, 0.414017, 0.426268, 0.429612, 0.43407, 0.439751, 0.445873, 0.452014, 0.462185, 0.464572, 0.469345, 0.477069, 0.48577, 0.495047, 0.506215, 0.51421, 0.519333, 0.522078, 0.525738, 0.542968, 0.550182, 0.551205, 0.553252, 0.565019, 0.574131, 0.583852, 0.596814, 0.622737, 0.658928, 0.703529");
-            values ( \
-              "0.0154126, 0.026887, 0.0361466, 0.0662741, 0.0777576, 0.0893614, 0.0995909, 0.101376, 0.103082, 0.104222, 0.103765, 0.102224, 0.0999188, 0.101453, 0.10143, 0.0949237, 0.0792626, 0.0634284, 0.0463204, 0.0354621, 0.0339292, 0.0321118, 0.0304882, 0.0204804, 0.0167476, 0.0152178, 0.0138937, 0.0100108, 0.00757587, 0.00560258, 0.00371533, 0.00156957, 0.000446206, 0.000105287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136439");
-            index_3 ("0.364849, 0.379298, 0.399786, 0.412374, 0.422747, 0.43763, 0.445289, 0.453863, 0.466612, 0.473987, 0.490928, 0.498858, 0.531043, 0.556791, 0.565804, 0.591734, 0.611565, 0.632723, 0.668137, 0.697597");
-            values ( \
-              "0.0215448, 0.0464781, 0.101982, 0.130438, 0.146857, 0.159752, 0.1626, 0.163162, 0.159996, 0.156745, 0.151849, 0.142345, 0.0838362, 0.0461071, 0.0394345, 0.0261759, 0.0177209, 0.0110951, 0.00474224, 0.00247555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0264143");
-            index_3 ("0.364278, 0.383796, 0.407681, 0.413464, 0.425031, 0.437146, 0.45597, 0.462791, 0.470831, 0.47895, 0.495187, 0.510607, 0.522892, 0.541493, 0.560691, 0.576602, 0.594747, 0.615484, 0.635108, 0.646292, 0.659214, 0.680161, 0.707578, 0.739615, 0.760371, 0.784092, 0.827127, 0.878772, 0.930416");
-            values ( \
-              "0.0224623, 0.0750453, 0.160517, 0.177165, 0.202908, 0.219515, 0.230215, 0.230733, 0.229816, 0.227605, 0.219969, 0.210948, 0.205155, 0.187726, 0.154517, 0.130267, 0.104954, 0.0801384, 0.0598534, 0.0508491, 0.0431184, 0.0335652, 0.0237364, 0.0151386, 0.0111276, 0.00763434, 0.00375575, 0.00149573, 0.000637908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0511375");
-            index_3 ("0.364118, 0.390176, 0.407917, 0.428223, 0.442484, 0.460051, 0.469443, 0.480177, 0.492671, 0.524828, 0.549998, 0.558807, 0.595861, 0.614892, 0.637065, 0.689438, 0.733472, 0.763782, 0.788054, 0.820417, 0.844883, 0.892583, 0.928177, 0.98668, 1.02928, 1.08093, 1.13257, 1.2875");
-            values ( \
-              "0.0250827, 0.116271, 0.193935, 0.255518, 0.278867, 0.292182, 0.294279, 0.29441, 0.292269, 0.280656, 0.267517, 0.258089, 0.23919, 0.225646, 0.20451, 0.148401, 0.108053, 0.0846428, 0.068529, 0.051591, 0.0423617, 0.0289657, 0.0213924, 0.0126116, 0.00850967, 0.00498449, 0.00306759, 0.000547499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0990011");
-            index_3 ("0.38579, 0.413532, 0.440245, 0.452014, 0.47261, 0.488753, 0.504195, 0.549913, 0.556866, 0.569565, 0.581109, 0.593361, 0.637334, 0.723806, 0.762905, 0.947228, 0.998873, 1.06735, 1.15789, 1.21622, 1.29082, 1.35992, 1.44648, 1.54977, 1.65306, 1.85964, 1.91128");
-            values ( \
-              "0.227913, 0.240587, 0.315309, 0.330876, 0.343669, 0.345862, 0.34468, 0.334256, 0.325384, 0.324659, 0.319763, 0.317451, 0.302328, 0.268787, 0.250221, 0.136425, 0.110082, 0.0799607, 0.0511706, 0.0387576, 0.026973, 0.0189359, 0.0118714, 0.00660603, 0.00357102, 0.000899845, 0.00118494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.697636, 0.716415, 0.738806, 0.744268, 0.753369, 0.760646, 0.764708, 0.768096, 0.770999, 0.771967, 0.774497, 0.775762, 0.777027, 0.783214, 0.789198, 0.791452, 0.793707, 0.795961, 0.798216, 0.799695, 0.801174, 0.802644, 0.804113, 0.805583, 0.807053, 0.808478, 0.809903, 0.811328, 0.812753, 0.818612, 0.823898, 0.827035, 0.829097, 0.831037, 0.83229, 0.833542, 0.834795, 0.838744, 0.843315, 0.846063, 0.84881, 0.85044, 0.858542, 0.863581, 0.869746, 0.874217, 0.878233, 0.882469, 0.887793, 0.893906");
-            values ( \
-              "0.0169041, 0.0180026, 0.0338102, 0.0373209, 0.0428684, 0.0470331, 0.0492926, 0.0509882, 0.0522355, 0.0525893, 0.0532905, 0.0535573, 0.0537681, 0.0539943, 0.0541478, 0.0543451, 0.0546187, 0.0549686, 0.0553949, 0.0564832, 0.0578207, 0.0577011, 0.0574543, 0.0570802, 0.0565789, 0.0559713, 0.055244, 0.054397, 0.0534304, 0.0473423, 0.0413504, 0.0375372, 0.0341367, 0.0314639, 0.0300093, 0.0287676, 0.0277388, 0.0267644, 0.0254172, 0.0244139, 0.0232653, 0.0224395, 0.0177088, 0.0150415, 0.012125, 0.0102654, 0.00880761, 0.00756376, 0.00623607, 0.00488994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704757");
-            index_3 ("0.666049, 0.690129, 0.704865, 0.715731, 0.756013, 0.771822, 0.785805, 0.797907, 0.801677, 0.806703, 0.812491, 0.819113, 0.825803, 0.840754, 0.857966, 0.865001, 0.87018, 0.891572, 0.900115, 0.910632, 0.922944, 0.942078, 0.965552");
-            values ( \
-              "0.00258463, 0.00721589, 0.0174195, 0.0275726, 0.0732583, 0.0870828, 0.0944983, 0.095677, 0.0949315, 0.0949344, 0.0964752, 0.0970182, 0.0901523, 0.06637, 0.0407019, 0.0350068, 0.0330297, 0.0208241, 0.0164608, 0.0119722, 0.00816274, 0.00443311, 0.0021603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136439");
-            index_3 ("0.689955, 0.708791, 0.722005, 0.758211, 0.765672, 0.780593, 0.790407, 0.800358, 0.814335, 0.820722, 0.829238, 0.832786, 0.839882, 0.848329, 0.871075, 0.880708, 0.90297, 0.912137, 0.933432, 0.952344, 0.961466, 0.97863, 1.00034, 1.02682, 1.05542");
-            values ( \
-              "0.0210593, 0.0311405, 0.051085, 0.1138, 0.124852, 0.141699, 0.148651, 0.152545, 0.152621, 0.150938, 0.14947, 0.149618, 0.147276, 0.137115, 0.0967851, 0.0811132, 0.0496451, 0.0420294, 0.0302735, 0.0212791, 0.0175958, 0.0120166, 0.00724071, 0.00383709, 0.00207135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0264143");
-            index_3 ("0.68983, 0.715535, 0.730635, 0.75441, 0.770462, 0.782, 0.805036, 0.826849, 0.848664, 0.871616, 0.878839, 0.892331, 0.92156, 0.949792, 0.97689, 0.984856, 0.993961, 1.00684, 1.02809, 1.05603, 1.08758, 1.10926, 1.13841, 1.18051, 1.19059");
-            values ( \
-              "0.0205285, 0.0534984, 0.0867418, 0.144724, 0.178498, 0.196557, 0.216376, 0.219767, 0.21337, 0.20335, 0.198258, 0.182407, 0.136629, 0.0975286, 0.066865, 0.059335, 0.0520202, 0.0440515, 0.0341647, 0.0239848, 0.0154005, 0.0111445, 0.00698846, 0.00347899, 0.00296889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0511375");
-            index_3 ("0.689718, 0.723724, 0.763189, 0.787982, 0.807954, 0.832638, 0.840756, 0.856965, 0.87353, 0.944289, 0.962748, 0.985233, 1.04619, 1.09955, 1.14012, 1.16877, 1.19171, 1.22556, 1.26847, 1.30987, 1.3739, 1.47719, 1.48829");
-            values ( \
-              "0.0231409, 0.0851922, 0.200795, 0.253551, 0.275236, 0.284055, 0.284109, 0.281608, 0.276668, 0.241987, 0.227727, 0.205492, 0.140708, 0.0942165, 0.0665868, 0.0517473, 0.0430819, 0.0319337, 0.022401, 0.0156235, 0.00855661, 0.00303799, 0.00286434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0990011");
-            index_3 ("0.689768, 0.736329, 0.765286, 0.783777, 0.797861, 0.823029, 0.846776, 0.85244, 0.863766, 0.884605, 0.94851, 0.987622, 1.0723, 1.1099, 1.15383, 1.22619, 1.27558, 1.35804, 1.43197, 1.46994, 1.52033, 1.56236, 1.612, 1.66365, 1.72828, 1.81701, 1.9203, 2.02359, 2.07591");
-            values ( \
-              "0.0185556, 0.134585, 0.233715, 0.282041, 0.306518, 0.329979, 0.33645, 0.336631, 0.336104, 0.333131, 0.316307, 0.303003, 0.270312, 0.252043, 0.225846, 0.177515, 0.147473, 0.104258, 0.0737486, 0.0611453, 0.0478557, 0.0392486, 0.0309762, 0.0239848, 0.017241, 0.0107285, 0.00606323, 0.00339068, 0.00286139" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00694194, 0.00695769, 0.00697399, 0.00698751, 0.00699807, 0.00700479", \
-            "0.00896043, 0.0089698, 0.00898248, 0.00899613, 0.00900772, 0.00901565", \
-            "0.0103195, 0.0103219, 0.0103275, 0.0103356, 0.0103445, 0.0103518", \
-            "0.0110952, 0.0110951, 0.0110957, 0.0110978, 0.0111017, 0.0111064", \
-            "0.011562, 0.0115611, 0.01156, 0.0115588, 0.0115584, 0.0115592", \
-            "0.0117843, 0.0117836, 0.0117824, 0.0117806, 0.0117785, 0.0117768" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0108265, 0.0108348, 0.0108555, 0.0108793, 0.0108952, 0.0109082", \
-            "0.0114122, 0.0114065, 0.0114055, 0.0114101, 0.0114184, 0.0114276", \
-            "0.0109187, 0.0109099, 0.0108968, 0.0108848, 0.0108785, 0.0108773", \
-            "0.0106692, 0.0106666, 0.0106581, 0.0106435, 0.0106278, 0.0106167", \
-            "0.00828813, 0.00868362, 0.00921844, 0.00977205, 0.0102359, 0.0105588", \
-            "0.00654114, 0.00654954, 0.00659473, 0.00668853, 0.00738158, 0.00847283" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0733115, 0.0840546, 0.091687, 0.0987328, 0.103217, 0.107225, 0.11556, 0.1197, 0.123927, 0.12815, 0.132821, 0.148589, 0.155317, 0.164159, 0.171699, 0.177637, 0.188319, 0.193611, 0.20193, 0.216308, 0.254216, 0.272506, 0.298835, 0.333139");
-            values ( \
-              "-0.00465389, -0.0563808, -0.068193, -0.0752221, -0.0776024, -0.0784214, -0.079101, -0.0784196, -0.0768072, -0.074085, -0.0700015, -0.0507585, -0.041642, -0.0318192, -0.0250114, -0.0204478, -0.0129729, -0.00981912, -0.00777326, -0.00686543, -0.00276166, -0.00154009, -0.000623555, -0.000173651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00704757");
-            index_3 ("0.0733203, 0.0859623, 0.093558, 0.100539, 0.103696, 0.109079, 0.114117, 0.124419, 0.129596, 0.134901, 0.142225, 0.1459, 0.151548, 0.17961, 0.192995, 0.200911, 0.224763, 0.231886, 0.242549, 0.252048, 0.289992, 0.30602, 0.331482, 0.363332, 0.408255");
-            values ( \
-              "-0.00958293, -0.0908187, -0.106737, -0.116457, -0.119314, -0.122331, -0.123335, -0.122502, -0.121584, -0.119485, -0.114271, -0.110494, -0.102674, -0.057851, -0.0407441, -0.0327851, -0.0137197, -0.0109159, -0.00937421, -0.00878463, -0.00457815, -0.00318941, -0.00155529, -0.000666463, -0.000106701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136439");
-            index_3 ("0.0741221, 0.0825588, 0.0887038, 0.09724, 0.105514, 0.112251, 0.123526, 0.1326, 0.148001, 0.155379, 0.167894, 0.175864, 0.189533, 0.218641, 0.234861, 0.243834, 0.255205, 0.277516, 0.284688, 0.29425, 0.309425, 0.383982, 0.410455, 0.442597, 0.486001, 0.545148");
-            values ( \
-              "-0.0120211, -0.104511, -0.132114, -0.153969, -0.165958, -0.170368, -0.173382, -0.172249, -0.169223, -0.166733, -0.159026, -0.14977, -0.128879, -0.080486, -0.0588507, -0.0491327, -0.0387828, -0.0221759, -0.0177067, -0.0138235, -0.010853, -0.00435051, -0.00270583, -0.00137561, -0.000551238, -0.00010159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0264143");
-            index_3 ("0.0779942, 0.0933682, 0.105603, 0.116269, 0.127899, 0.138888, 0.14969, 0.194337, 0.217658, 0.232362, 0.243577, 0.295398, 0.32588, 0.356117, 0.380171, 0.411596, 0.442861, 0.526641, 0.602696");
-            values ( \
-              "-0.130007, -0.179993, -0.205667, -0.214735, -0.21809, -0.218446, -0.217399, -0.208897, -0.197426, -0.182665, -0.168389, -0.0979416, -0.0663273, -0.0438515, -0.03037, -0.0171445, -0.011826, -0.0054868, -0.00219855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0511375");
-            index_3 ("0.0808358, 0.101588, 0.112191, 0.120755, 0.133122, 0.145342, 0.175511, 0.2224, 0.231194, 0.248783, 0.269267, 0.299291, 0.327278, 0.351916, 0.411503, 0.441432, 0.490523, 0.523357, 0.558381, 0.583403, 0.625807, 0.672671, 0.693186, 0.714703, 0.799109, 0.916129, 1.03442, 1.09357");
-            values ( \
-              "-0.215378, -0.228443, -0.242881, -0.248662, -0.251804, -0.253426, -0.252151, -0.24589, -0.244014, -0.242373, -0.239127, -0.231766, -0.215845, -0.19482, -0.137656, -0.111728, -0.076818, -0.0589577, -0.0443083, -0.035067, -0.0229493, -0.0152518, -0.0130531, -0.011236, -0.00640876, -0.00265895, -0.000914311, -0.00084319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0990011");
-            index_3 ("0.0718785, 0.0853686, 0.0913334, 0.101571, 0.116013, 0.127691, 0.138405, 0.165668, 0.213418, 0.278934, 0.360758, 0.387056, 0.413874, 0.46751, 0.517623, 0.561433, 0.689891, 0.731724, 0.808352, 0.857123, 0.909146, 0.948173, 0.976449, 1.01415, 1.06143, 1.12057, 1.1801, 1.27413, 1.39242, 1.51072, 1.62902, 1.86561");
-            values ( \
-              "-0.0518389, -0.18003, -0.214177, -0.247599, -0.266611, -0.271957, -0.274821, -0.276476, -0.274671, -0.269984, -0.262791, -0.261297, -0.258757, -0.25112, -0.234246, -0.211605, -0.138163, -0.11663, -0.0840466, -0.0675224, -0.0533868, -0.0440019, -0.0382798, -0.0318937, -0.0244592, -0.0184662, -0.0138248, -0.00919115, -0.00552303, -0.00323944, -0.00183325, -0.000491977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0937548, 0.104227, 0.109646, 0.111571, 0.113557, 0.118887, 0.1216, 0.125978, 0.130187, 0.135698, 0.13985, 0.144082, 0.148305, 0.152975, 0.160879, 0.168156, 0.176734, 0.184791, 0.192556, 0.19798, 0.208057, 0.213276, 0.221662, 0.236386, 0.262085, 0.274726, 0.293161, 0.319923, 0.35429");
-            values ( \
-              "-0.00541067, -0.0572051, -0.0644592, -0.0678907, -0.070283, -0.0752136, -0.0768563, -0.078208, -0.078786, -0.0790966, -0.0784356, -0.0768224, -0.0740857, -0.0700169, -0.0599774, -0.05145, -0.0401678, -0.0313201, -0.0244565, -0.0203008, -0.0132912, -0.0100194, -0.00782345, -0.00689248, -0.00393238, -0.00274856, -0.00149962, -0.00061748, -0.000152631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00704757");
-            index_3 ("0.0965637, 0.101491, 0.104129, 0.109792, 0.11262, 0.118277, 0.123857, 0.134296, 0.136586, 0.140583, 0.15262, 0.159981, 0.166064, 0.174951, 0.185308, 0.193257, 0.197571, 0.212249, 0.221248, 0.232865, 0.241594, 0.24875, 0.259085, 0.269706, 0.294087, 0.29711, 0.315249, 0.33483, 0.362499, 0.398792, 0.449071");
-            values ( \
-              "-0.0309376, -0.0721733, -0.0838329, -0.0991521, -0.105014, -0.113647, -0.119513, -0.12365, -0.123208, -0.12314, -0.120854, -0.116525, -0.110287, -0.0975944, -0.081581, -0.0675516, -0.0610787, -0.0417293, -0.0326006, -0.0230435, -0.0161749, -0.0119204, -0.00971708, -0.00894759, -0.00652818, -0.00600281, -0.00402268, -0.0026022, -0.00108414, -0.000472862, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136439");
-            index_3 ("0.0971583, 0.102975, 0.106555, 0.113859, 0.117579, 0.125018, 0.132577, 0.144172, 0.152268, 0.169154, 0.175689, 0.186511, 0.190845, 0.207797, 0.235613, 0.257629, 0.27145, 0.281031, 0.299309, 0.307946, 0.317817, 0.333506, 0.402608, 0.429863, 0.461358, 0.469788");
-            values ( \
-              "-0.0628059, -0.106273, -0.12436, -0.146736, -0.154222, -0.165262, -0.17049, -0.173433, -0.172345, -0.168987, -0.16691, -0.160353, -0.156266, -0.132088, -0.085468, -0.0560335, -0.0422426, -0.0344711, -0.0212651, -0.0163234, -0.0129625, -0.0105115, -0.00448466, -0.00275296, -0.00142256, -0.00125658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0264143");
-            index_3 ("0.098861, 0.109366, 0.113717, 0.120591, 0.125924, 0.136337, 0.148208, 0.159196, 0.169993, 0.214635, 0.237958, 0.251868, 0.263865, 0.30557, 0.3359, 0.362243, 0.376137, 0.411943, 0.432037, 0.463314, 0.514893, 0.58369, 0.626226, 0.63442");
-            values ( \
-              "-0.144313, -0.165265, -0.18074, -0.19773, -0.205917, -0.214702, -0.218146, -0.218499, -0.217391, -0.208877, -0.197361, -0.183503, -0.168506, -0.110577, -0.0759976, -0.0534895, -0.0441495, -0.024787, -0.0172316, -0.0116803, -0.00764688, -0.00368071, -0.00217886, -0.0019971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0511375");
-            index_3 ("0.0987418, 0.121837, 0.132278, 0.140985, 0.1655, 0.195732, 0.289484, 0.319176, 0.328831, 0.372127, 0.46147, 0.500538, 0.543944, 0.579409, 0.64376, 0.690064, 0.768512, 0.824349, 0.942348, 0.944214");
-            values ( \
-              "-0.170794, -0.228773, -0.243074, -0.248593, -0.253273, -0.251965, -0.239368, -0.231701, -0.227299, -0.195069, -0.112113, -0.0834365, -0.0590092, -0.0437584, -0.0237114, -0.0153541, -0.00914424, -0.00641417, -0.00275076, -0.00272805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0990011");
-            index_3 ("0.102536, 0.136022, 0.151505, 0.166636, 0.184745, 0.266299, 0.434342, 0.487975, 0.504678, 0.538085, 0.581894, 0.710348, 0.811329, 0.87758, 0.929604, 1.03461, 1.08188, 1.14103, 1.29459, 1.53007");
-            values ( \
-              "-0.258334, -0.266357, -0.273103, -0.27558, -0.276197, -0.272272, -0.259003, -0.250908, -0.246529, -0.234036, -0.211834, -0.137959, -0.090916, -0.067708, -0.0532009, -0.0317137, -0.0246389, -0.0182869, -0.00936401, -0.00343913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.139622, 0.148051, 0.152702, 0.159795, 0.164369, 0.170477, 0.172885, 0.181222, 0.185364, 0.189592, 0.198489, 0.214389, 0.222249, 0.229717, 0.242886, 0.24499, 0.254913, 0.260434, 0.268184, 0.273989, 0.282077, 0.30166, 0.307607, 0.33739, 0.363625, 0.39764");
-            values ( \
-              "-0.00548273, -0.0519672, -0.0613261, -0.0709165, -0.0751656, -0.0779863, -0.078525, -0.0791879, -0.078263, -0.0769212, -0.0701303, -0.0504605, -0.0400757, -0.0317973, -0.0206201, -0.019494, -0.0124214, -0.00926066, -0.00785626, -0.00735998, -0.00693779, -0.00472364, -0.00385715, -0.00148804, -0.000738363, -8.59702e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00704757");
-            index_3 ("0.139327, 0.151726, 0.159635, 0.163995, 0.169461, 0.178206, 0.179896, 0.193249, 0.199334, 0.207333, 0.211659, 0.220311, 0.248924, 0.259531, 0.269566, 0.282277, 0.290457, 0.295834, 0.308346, 0.376476, 0.399488, 0.417701");
-            values ( \
-              "-0.011229, -0.0912024, -0.107275, -0.113785, -0.119339, -0.123357, -0.123405, -0.122117, -0.120204, -0.114839, -0.110496, -0.0977495, -0.0529653, -0.0399848, -0.0302652, -0.0199722, -0.0138393, -0.0115363, -0.00943094, -0.00279313, -0.00149219, -0.000977971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136439");
-            index_3 ("0.13892, 0.151471, 0.154775, 0.163265, 0.170577, 0.178256, 0.189726, 0.19819, 0.210639, 0.221359, 0.232139, 0.236511, 0.24427, 0.25346, 0.281256, 0.289296, 0.30322, 0.317195, 0.32689, 0.344996, 0.353491, 0.363199, 0.378948, 0.450182, 0.477431, 0.509526, 0.553171");
-            values ( \
-              "-0.00796415, -0.120956, -0.133191, -0.154538, -0.165012, -0.170705, -0.173283, -0.172206, -0.169896, -0.16696, -0.160419, -0.156294, -0.146189, -0.132098, -0.0855014, -0.07376, -0.0561153, -0.0421706, -0.0343197, -0.0212467, -0.0163784, -0.0130333, -0.0105293, -0.00434006, -0.00265255, -0.00134931, -0.000537278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0264143");
-            index_3 ("0.143945, 0.156387, 0.166467, 0.171918, 0.182761, 0.194181, 0.205159, 0.215964, 0.260594, 0.283907, 0.309814, 0.362363, 0.383998, 0.420494, 0.459058, 0.478799, 0.50993, 0.559359, 0.65355");
-            values ( \
-              "-0.12007, -0.170433, -0.198035, -0.206211, -0.215132, -0.218159, -0.218579, -0.217405, -0.20894, -0.197408, -0.168532, -0.0971925, -0.0738978, -0.0451132, -0.0242571, -0.0169858, -0.0116311, -0.00776483, -0.00304643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0511375");
-            index_3 ("0.147934, 0.186956, 0.211496, 0.241686, 0.335427, 0.365729, 0.374769, 0.41805, 0.507988, 0.546892, 0.588577, 0.622558, 0.665908, 0.696309, 0.741704, 0.803356, 0.968601, 0.991205");
-            values ( \
-              "-0.222709, -0.24877, -0.253307, -0.25197, -0.239434, -0.231506, -0.227346, -0.195086, -0.11162, -0.0831769, -0.0596182, -0.0448365, -0.0301338, -0.0221549, -0.0147679, -0.00984989, -0.00317431, -0.00286275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0990011");
-            index_3 ("0.149028, 0.182609, 0.204796, 0.232385, 0.312346, 0.48041, 0.534038, 0.550737, 0.584134, 0.627945, 0.756394, 0.857374, 0.923617, 0.975636, 1.08066, 1.18708, 1.28148, 1.39978, 1.5907");
-            values ( \
-              "-0.264091, -0.266905, -0.274666, -0.276197, -0.272352, -0.259109, -0.250866, -0.246625, -0.233993, -0.211918, -0.1379, -0.0908534, -0.0677685, -0.0531451, -0.0316548, -0.0182293, -0.0119752, -0.00725876, -0.00347103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.237621, 0.243138, 0.248872, 0.251106, 0.25645, 0.263642, 0.268312, 0.272392, 0.276692, 0.28084, 0.286843, 0.293576, 0.298246, 0.312685, 0.321783, 0.330492, 0.338481, 0.352025, 0.357158, 0.362861, 0.370044, 0.3736, 0.389212, 0.407409, 0.426165, 0.4476, 0.479439, 0.517161");
-            values ( \
-              "-0.011223, -0.0363373, -0.0518032, -0.0542803, -0.0634611, -0.0721793, -0.0755352, -0.0769325, -0.0777002, -0.0781382, -0.0772916, -0.0737453, -0.0696892, -0.0523116, -0.0404498, -0.0310117, -0.0239184, -0.0142636, -0.0107722, -0.0086353, -0.00765009, -0.00752172, -0.00613084, -0.00394214, -0.00226778, -0.00112537, -0.000355408, -8.69202e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00704757");
-            index_3 ("0.23799, 0.244833, 0.248949, 0.250194, 0.252192, 0.254065, 0.25693, 0.257317, 0.258091, 0.259639, 0.26228, 0.267518, 0.269036, 0.272072, 0.278144, 0.279625, 0.282587, 0.287825, 0.290018, 0.294405, 0.300535, 0.307871, 0.311564, 0.324071, 0.340149, 0.34189, 0.34537, 0.350382, 0.35886, 0.365205, 0.366627, 0.36947, 0.375156, 0.382137, 0.390289, 0.395758, 0.397586, 0.401243, 0.408557, 0.418136, 0.428379, 0.442854, 0.457848, 0.476114, 0.498969, 0.52881");
-            values ( \
-              "-0.0141726, -0.0600583, -0.0775544, -0.0779168, -0.0862903, -0.0892637, -0.0980823, -0.0970931, -0.100486, -0.101997, -0.108362, -0.114083, -0.117196, -0.118246, -0.122596, -0.121387, -0.122929, -0.121279, -0.122486, -0.120538, -0.11976, -0.113324, -0.11094, -0.0921346, -0.0650026, -0.0637203, -0.0571583, -0.0515912, -0.0399854, -0.0348302, -0.0322189, -0.030952, -0.0250685, -0.0206803, -0.0132912, -0.0121686, -0.0103976, -0.0109291, -0.0088329, -0.00927084, -0.00712637, -0.00665532, -0.00383072, -0.0033867, -0.000943049, -0.00117119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136439");
-            index_3 ("0.237725, 0.247048, 0.248874, 0.256389, 0.262758, 0.268434, 0.278103, 0.290001, 0.297454, 0.306765, 0.313944, 0.321388, 0.332049, 0.336558, 0.344322, 0.353518, 0.381451, 0.389583, 0.403612, 0.416894, 0.426088, 0.444947, 0.454075, 0.464254, 0.479811, 0.547393, 0.574423, 0.605773, 0.647701, 0.706519");
-            values ( \
-              "-0.0123931, -0.0930402, -0.103996, -0.130999, -0.148248, -0.158818, -0.168946, -0.172385, -0.171816, -0.170267, -0.168914, -0.166709, -0.160356, -0.156165, -0.146093, -0.132043, -0.0852902, -0.0734456, -0.0557473, -0.0424939, -0.0349813, -0.0213215, -0.0161424, -0.0127861, -0.0104674, -0.00455889, -0.00281455, -0.00146517, -0.000607954, -0.000116438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0264143");
-            index_3 ("0.243577, 0.2522, 0.26473, 0.271975, 0.284107, 0.305426, 0.316246, 0.333694, 0.338309, 0.347539, 0.381192, 0.410128, 0.459396, 0.492141, 0.53063, 0.566514, 0.618939, 0.629447, 0.650461, 0.801376, 0.860523");
-            values ( \
-              "-0.141764, -0.143307, -0.18988, -0.202225, -0.21524, -0.219127, -0.216355, -0.214979, -0.212292, -0.212427, -0.200407, -0.169368, -0.100289, -0.0658519, -0.038403, -0.0204663, -0.0102964, -0.0108336, -0.00769759, -0.000734993, -0.0013439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0511375");
-            index_3 ("0.243468, 0.254608, 0.263733, 0.277131, 0.287514, 0.299406, 0.324153, 0.360722, 0.436064, 0.466545, 0.475404, 0.518682, 0.60885, 0.647691, 0.688714, 0.722125, 0.767761, 0.797827, 0.84296, 0.902793, 1.06619, 1.09424");
-            values ( \
-              "-0.155424, -0.175356, -0.212991, -0.239753, -0.24761, -0.251526, -0.253032, -0.249676, -0.23952, -0.231385, -0.227406, -0.195128, -0.111451, -0.0830526, -0.0598676, -0.0452408, -0.0297696, -0.0219666, -0.0147071, -0.00992738, -0.00324995, -0.00285448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0990011");
-            index_3 ("0.250413, 0.29512, 0.316084, 0.332792, 0.400928, 0.581207, 0.635055, 0.651533, 0.684488, 0.728734, 0.857184, 0.958164, 1.0244, 1.07641, 1.18146, 1.22873, 1.28787, 1.44141, 1.67597");
-            values ( \
-              "-0.268882, -0.271769, -0.275583, -0.27614, -0.273131, -0.259046, -0.250905, -0.246575, -0.23426, -0.211869, -0.137948, -0.090898, -0.0677305, -0.0531877, -0.0316908, -0.0246548, -0.0182664, -0.00938253, -0.00348048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.432115, 0.439712, 0.446148, 0.453907, 0.465701, 0.471213, 0.475455, 0.484522, 0.492383, 0.498847, 0.502552, 0.518695, 0.525358, 0.539434, 0.55526, 0.562923, 0.568624, 0.575514, 0.59272, 0.615627, 0.635638, 0.66115, 0.671329");
-            values ( \
-              "-0.00923561, -0.0253047, -0.0363865, -0.04785, -0.0629474, -0.0680368, -0.0706615, -0.0738388, -0.0741422, -0.0712121, -0.0683826, -0.0502696, -0.0415246, -0.0271918, -0.0147567, -0.00988984, -0.00829056, -0.00748067, -0.00623733, -0.0035969, -0.00196441, -0.000836311, -0.000654783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00704757");
-            index_3 ("0.432, 0.441799, 0.449076, 0.465695, 0.471404, 0.48288, 0.486578, 0.49371, 0.499086, 0.504449, 0.512304, 0.515664, 0.521395, 0.538526, 0.551601, 0.56155, 0.574971, 0.591238, 0.598676, 0.602273, 0.609467, 0.631181, 0.665586, 0.688103, 0.716321, 0.753481");
-            values ( \
-              "-0.00916184, -0.0426627, -0.0619437, -0.0964623, -0.105284, -0.11593, -0.117168, -0.118043, -0.118028, -0.11686, -0.112306, -0.109192, -0.101771, -0.0760182, -0.0544637, -0.0419779, -0.0291822, -0.0162597, -0.0119877, -0.010902, -0.00974985, -0.0079038, -0.00407484, -0.00232086, -0.00103754, -0.00034486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136439");
-            index_3 ("0.440544, 0.451156, 0.455812, 0.46484, 0.468894, 0.472948, 0.475119, 0.479462, 0.481634, 0.485183, 0.488732, 0.492281, 0.49583, 0.498131, 0.50616, 0.511124, 0.518419, 0.522128, 0.525836, 0.529151, 0.532466, 0.535781, 0.539095, 0.541086, 0.543076, 0.545066, 0.546421, 0.547775, 0.550484, 0.551709, 0.552934, 0.554159, 0.55609, 0.558208, 0.585881, 0.593838, 0.597404, 0.604535, 0.608101, 0.614897, 0.618635, 0.622372, 0.632338, 0.654774, 0.658297, 0.662993, 0.66769, 0.679597, 0.683566, 0.713635");
-            values ( \
-              "-0.0886695, -0.0897746, -0.104824, -0.131341, -0.141283, -0.149485, -0.152446, -0.157653, -0.159899, -0.163056, -0.165577, -0.167461, -0.168709, -0.168962, -0.168724, -0.168242, -0.16714, -0.16611, -0.164782, -0.163342, -0.161663, -0.159745, -0.157588, -0.155867, -0.153719, -0.151143, -0.148389, -0.145054, -0.136638, -0.136291, -0.135739, -0.134984, -0.133377, -0.131032, -0.0851596, -0.0737076, -0.0689801, -0.060181, -0.0561094, -0.0489163, -0.045155, -0.0417197, -0.0339408, -0.0178989, -0.0159187, -0.0142124, -0.012837, -0.0111132, -0.0106373, -0.00798282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0264143");
-            index_3 ("0.441253, 0.454765, 0.468692, 0.474655, 0.486581, 0.498068, 0.509421, 0.520146, 0.550106, 0.553503, 0.572087, 0.588332, 0.614318, 0.668801, 0.692135, 0.716766, 0.736889, 0.767829, 0.787445, 0.81646, 0.859006, 0.967941, 0.976724");
-            values ( \
-              "-0.106454, -0.126439, -0.173776, -0.187863, -0.205754, -0.213434, -0.216273, -0.216337, -0.211898, -0.20979, -0.205274, -0.196503, -0.168136, -0.0949377, -0.0704949, -0.0506207, -0.0380495, -0.0224177, -0.0160014, -0.0115243, -0.00812552, -0.00242852, -0.00227325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0511375");
-            index_3 ("0.441456, 0.480023, 0.491478, 0.506543, 0.52847, 0.550218, 0.556827, 0.640519, 0.679987, 0.723504, 0.807127, 0.860625, 0.908304, 0.997272, 1.04165, 1.17049, 1.25518");
-            values ( \
-              "-0.113035, -0.226328, -0.241013, -0.249533, -0.252941, -0.252307, -0.249688, -0.23904, -0.226982, -0.194947, -0.116674, -0.0778902, -0.052956, -0.0231538, -0.0154035, -0.00661057, -0.00389701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0990011");
-            index_3 ("0.446666, 0.493084, 0.505048, 0.520341, 0.549905, 0.557209, 0.62545, 0.785676, 0.838258, 0.856053, 0.891642, 0.933323, 1.06179, 1.16276, 1.22915, 1.28129, 1.32955, 1.38588, 1.43322, 1.49237, 1.55213, 1.64633, 1.76463, 1.88292, 1.96045");
-            values ( \
-              "-0.185481, -0.262991, -0.270387, -0.274926, -0.277014, -0.274735, -0.271535, -0.258811, -0.25099, -0.246384, -0.232942, -0.211748, -0.137929, -0.0909132, -0.0676758, -0.0531439, -0.0421907, -0.0317447, -0.0246583, -0.0182972, -0.0139952, -0.00935606, -0.00569078, -0.00340864, -0.0028086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.824293, 0.871152, 0.876921, 0.888462, 0.891006, 0.900247, 0.905549, 0.91045, 0.917572, 0.920578, 0.92571, 0.937609, 0.944704, 0.953473, 0.959342, 0.979195, 0.984955, 0.992265, 1.00672, 1.03026, 1.05167, 1.07312, 1.10456");
-            values ( \
-              "-0.000995884, -0.0436347, -0.0484492, -0.0567658, -0.0581596, -0.062497, -0.0645418, -0.065575, -0.0645802, -0.0631902, -0.0590748, -0.048182, -0.0395617, -0.0310975, -0.0261667, -0.0121521, -0.00942286, -0.00815737, -0.00701459, -0.00418465, -0.00221514, -0.00115385, -0.000337494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704757");
-            index_3 ("0.83334, 0.850757, 0.861914, 0.875602, 0.884007, 0.897799, 0.902522, 0.910318, 0.916366, 0.922133, 0.931614, 0.934049, 0.947209, 0.957009, 0.962843, 0.975458, 0.984973, 0.990738, 1.01251, 1.02128, 1.03476, 1.04534, 1.07994, 1.09733, 1.12187, 1.15204");
-            values ( \
-              "-0.016549, -0.0388, -0.055224, -0.0731999, -0.083473, -0.0985, -0.101813, -0.10575, -0.107763, -0.108482, -0.105495, -0.10377, -0.0879533, -0.0748407, -0.065107, -0.0486805, -0.0379795, -0.0325586, -0.0151222, -0.0112901, -0.00942089, -0.00867371, -0.00460923, -0.00312625, -0.00153542, -0.000718439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136439");
-            index_3 ("0.840225, 0.855504, 0.869635, 0.885066, 0.895589, 0.912564, 0.928207, 0.941702, 0.947397, 0.95324, 0.959333, 0.976752, 1.00227, 1.00957, 1.02417, 1.03334, 1.04413, 1.0663, 1.07379, 1.08377, 1.09883, 1.16979, 1.23188, 1.30456");
-            values ( \
-              "-0.0537449, -0.0625601, -0.0903161, -0.118612, -0.13527, -0.154152, -0.159796, -0.159644, -0.158424, -0.155847, -0.151574, -0.130009, -0.0889864, -0.0782826, -0.0592992, -0.0494178, -0.0395804, -0.0229608, -0.0181896, -0.0141022, -0.0110425, -0.00464569, -0.000970178, -0.000231518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0264143");
-            index_3 ("0.839923, 0.854454, 0.887511, 0.904166, 0.91429, 0.933373, 0.946136, 0.960362, 0.977685, 0.983263, 0.99442, 1.00694, 1.02617, 1.03304, 1.07121, 1.10378, 1.11731, 1.13287, 1.15363, 1.18634, 1.20482, 1.22695, 1.23826, 1.2738, 1.33664, 1.3748, 1.40961");
-            values ( \
-              "-0.0556837, -0.0752734, -0.153926, -0.184937, -0.197082, -0.208426, -0.20962, -0.208817, -0.206644, -0.205514, -0.20245, -0.195313, -0.176244, -0.168021, -0.115531, -0.0777382, -0.065148, -0.0528205, -0.0394279, -0.0226653, -0.0164812, -0.0122085, -0.0108127, -0.00811955, -0.00438474, -0.00282177, -0.0018235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0511375");
-            index_3 ("0.846784, 0.907114, 0.923353, 0.946195, 0.959979, 0.983353, 1.0589, 1.08296, 1.09834, 1.14179, 1.22776, 1.27885, 1.33607, 1.40338, 1.44645, 1.56297, 1.65457");
-            values ( \
-              "-0.0894263, -0.217292, -0.236903, -0.246598, -0.248279, -0.248052, -0.238772, -0.233255, -0.22684, -0.195168, -0.11474, -0.0781615, -0.0490859, -0.0263661, -0.0172645, -0.0078517, -0.00490312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0990011");
-            index_3 ("0.853079, 0.91876, 0.941821, 0.963396, 1.00352, 1.06894, 1.2036, 1.27415, 1.3029, 1.35153, 1.48023, 1.58129, 1.64738, 1.69925, 1.80494, 1.85213, 1.91127, 2.06425, 2.30084, 2.32334");
-            values ( \
-              "-0.136638, -0.252994, -0.267846, -0.272572, -0.274024, -0.270368, -0.259137, -0.245754, -0.235541, -0.211392, -0.137845, -0.090878, -0.0677186, -0.0532783, -0.0316572, -0.0245923, -0.0182749, -0.00937135, -0.00340928, -0.00323473" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00603804, 0.00604622, 0.00605746, 0.00606758, 0.00607525, 0.00608019", \
-            "0.00709081, 0.00709536, 0.00710216, 0.00711011, 0.00711719, 0.00712235", \
-            "0.00772341, 0.00772446, 0.00772678, 0.00773069, 0.00773544, 0.00773965", \
-            "0.00809898, 0.00809874, 0.00809877, 0.00809926, 0.00810101, 0.00810315", \
-            "0.00829749, 0.00829724, 0.00829689, 0.00829649, 0.00829629, 0.00829666", \
-            "0.00841986, 0.00841972, 0.0084195, 0.00841916, 0.00841872, 0.00841832" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00848813, 0.00849413, 0.00850093, 0.00851113, 0.0085204, 0.00852714", \
-            "0.00948753, 0.00948661, 0.00948778, 0.0094919, 0.00949808, 0.00950372", \
-            "0.0102515, 0.0102466, 0.0102406, 0.0102356, 0.0102336, 0.0102342", \
-            "0.0109612, 0.0109543, 0.0109442, 0.0109316, 0.0109202, 0.0109119", \
-            "0.0110104, 0.0110357, 0.0110579, 0.0110713, 0.0110784, 0.0110799", \
-            "0.00917644, 0.00934969, 0.00985938, 0.0105284, 0.0110366, 0.011355" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "~A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "!A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0956893, 0.111717, 0.142022, 0.199531, 0.309726, 0.522141", \
-            "0.101355, 0.117467, 0.147922, 0.205657, 0.316036, 0.528589", \
-            "0.114248, 0.130339, 0.160862, 0.218791, 0.329419, 0.542219", \
-            "0.14291, 0.158776, 0.189438, 0.247319, 0.358238, 0.571362", \
-            "0.195442, 0.215583, 0.250831, 0.311026, 0.422408, 0.635889", \
-            "0.283059, 0.310341, 0.357421, 0.435602, 0.563062, 0.779648" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.098447, 0.118063, 0.157893, 0.237435, 0.392959, 0.694942", \
-            "0.0984441, 0.118012, 0.157861, 0.237437, 0.392959, 0.694942", \
-            "0.0984137, 0.118017, 0.157868, 0.237392, 0.39297, 0.694942", \
-            "0.103623, 0.121325, 0.159026, 0.237462, 0.392965, 0.694952", \
-            "0.131376, 0.147368, 0.178587, 0.248329, 0.395388, 0.694932", \
-            "0.185672, 0.205683, 0.240754, 0.306115, 0.43342, 0.708426" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0578207, 0.0672627, 0.0850643, 0.118762, 0.182999, 0.306391", \
-            "0.0623249, 0.0718214, 0.0896887, 0.123431, 0.187724, 0.311169", \
-            "0.0727596, 0.0822661, 0.100076, 0.134026, 0.198396, 0.321896", \
-            "0.0939175, 0.105035, 0.124037, 0.1579, 0.222256, 0.345787", \
-            "0.115937, 0.131918, 0.159461, 0.204739, 0.275302, 0.399179", \
-            "0.126727, 0.149295, 0.188605, 0.25399, 0.3572, 0.513446" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.059365, 0.0710302, 0.0938879, 0.138345, 0.225023, 0.393073", \
-            "0.0593229, 0.0710168, 0.0938567, 0.13837, 0.225081, 0.392957", \
-            "0.0601403, 0.0711918, 0.0936554, 0.13832, 0.225066, 0.392986", \
-            "0.0754908, 0.0843985, 0.102952, 0.142494, 0.22503, 0.392898", \
-            "0.10932, 0.121348, 0.14238, 0.179288, 0.2468, 0.398207", \
-            "0.165706, 0.182108, 0.21106, 0.259454, 0.337952, 0.467894" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0437862, 0.0496871, 0.0515029, 0.0607754, 0.0874509, 0.0933451, 0.114546, 0.122508, 0.138212, 0.161765, 0.167065, 0.172822, 0.178547, 0.203317, 0.229457, 0.246701, 0.273686, 0.281089, 0.295893, 0.367618, 0.422286");
-            values ( \
-              "0.0134021, 0.0605714, 0.0603918, 0.0574675, 0.0513368, 0.0496008, 0.0418594, 0.0415358, 0.0325996, 0.0204665, 0.022447, 0.0210847, 0.0203468, 0.0130906, 0.00776802, 0.00543827, 0.00304671, 0.00282626, 0.00186782, 0.000283081, 0.000214223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00704757");
-            index_3 ("0.0440063, 0.0538333, 0.0607978, 0.0677682, 0.0752251, 0.0898082, 0.10525, 0.123263, 0.135394, 0.141888, 0.15141, 0.163337, 0.186963, 0.192573, 0.19824, 0.203917, 0.213411, 0.221666, 0.250288, 0.276479, 0.284463, 0.314786, 0.331352, 0.356337, 0.387181, 0.443163, 0.508479");
-            values ( \
-              "0.0368885, 0.0923584, 0.0897757, 0.088222, 0.0858539, 0.0824831, 0.077957, 0.07071, 0.0671689, 0.0661547, 0.0602066, 0.0514939, 0.0372006, 0.0325403, 0.0315998, 0.0312016, 0.0293571, 0.027082, 0.0176865, 0.0114554, 0.0100049, 0.00588395, 0.00438651, 0.0027932, 0.00168718, 0.000478802, 0.000203096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136439");
-            index_3 ("0.046444, 0.0518999, 0.0541377, 0.0648095, 0.108481, 0.131943, 0.153654, 0.177373, 0.186141, 0.228211, 0.251552, 0.263388, 0.273202, 0.285129, 0.33215, 0.361154, 0.37871, 0.412287, 0.439447, 0.458925, 0.497881, 0.574144, 0.656652");
-            values ( \
-              "0.0532989, 0.127082, 0.12732, 0.125305, 0.115236, 0.108917, 0.101398, 0.0954574, 0.0905061, 0.0627079, 0.0485638, 0.0424331, 0.0403993, 0.0371018, 0.0230176, 0.0160781, 0.012805, 0.00821902, 0.00569197, 0.00442188, 0.00254283, 0.000840645, 0.000204402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0264143");
-            index_3 ("0.0478447, 0.0547202, 0.0611979, 0.154017, 0.175618, 0.210996, 0.243156, 0.380573, 0.400668, 0.462144, 0.518259, 0.539392, 0.581659, 0.618731, 0.679406, 0.753883, 0.83288");
-            values ( \
-              "0.118638, 0.158344, 0.158519, 0.142962, 0.138601, 0.1301, 0.123689, 0.0552347, 0.0487, 0.032998, 0.0209718, 0.0174962, 0.0120287, 0.00863077, 0.00496017, 0.00242588, 0.00115703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0511375");
-            index_3 ("0.0506013, 0.0506213, 0.125857, 0.152454, 0.243506, 0.363153, 0.383855, 0.415943, 0.522864, 0.592064, 0.629523, 0.689691, 0.731651, 0.764966, 0.821749, 0.896377, 0.96091, 0.988725, 1.04435, 1.12686, 1.20937, 1.29188, 1.5394");
-            values ( \
-              "1e-22, 0.189605, 0.176276, 0.1738, 0.163197, 0.146247, 0.140826, 0.131043, 0.0933545, 0.0704326, 0.0591179, 0.0462861, 0.038659, 0.0329162, 0.0247725, 0.0166961, 0.0117749, 0.0100549, 0.00740952, 0.00460189, 0.00289925, 0.0017756, 0.000442072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0990011");
-            index_3 ("0.0525959, 0.0526159, 0.186294, 0.329869, 0.411815, 0.465195, 0.616481, 0.701825, 0.916246, 1.07237, 1.17746, 1.32397, 1.40612, 1.49968, 1.65074, 1.78412, 1.94913, 2.11415, 2.27916, 2.60919, 2.6917");
-            values ( \
-              "1e-22, 0.207346, 0.191359, 0.18243, 0.176624, 0.172386, 0.158528, 0.143782, 0.097812, 0.0670399, 0.0515172, 0.0347503, 0.0273743, 0.0206441, 0.0128674, 0.00837912, 0.00486309, 0.00277843, 0.00155109, 0.000410645, 0.000634775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0645818, 0.0677245, 0.0710415, 0.108682, 0.126854, 0.138602, 0.142336, 0.157151, 0.16039, 0.166868, 0.174731, 0.18173, 0.186645, 0.199822, 0.222675, 0.226869, 0.235256, 0.254238, 0.256461, 0.260907, 0.280275, 0.29979, 0.32669, 0.393252, 0.451039");
-            values ( \
-              "0.0245414, 0.0589722, 0.0596999, 0.0509888, 0.0442845, 0.0412452, 0.0410873, 0.0333341, 0.0308846, 0.0281931, 0.023552, 0.0208112, 0.0222538, 0.0197686, 0.0130388, 0.0124112, 0.0102035, 0.00694643, 0.00694358, 0.00609493, 0.00406102, 0.00267571, 0.00147616, 0.000266065, 0.00016722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00704757");
-            index_3 ("0.0640173, 0.0692974, 0.073494, 0.080503, 0.0875121, 0.0949306, 0.109512, 0.110999, 0.116948, 0.127806, 0.142965, 0.149877, 0.159316, 0.161543, 0.165997, 0.182971, 0.194519, 0.208254, 0.212346, 0.214466, 0.217292, 0.221985, 0.230932, 0.239137, 0.258442, 0.272136, 0.29696, 0.303034, 0.324775, 0.351647, 0.367181, 0.398249, 0.45416, 0.518154");
-            values ( \
-              "0.00630127, 0.0899798, 0.0915064, 0.0895728, 0.0882812, 0.0858114, 0.082567, 0.0819483, 0.0804238, 0.0769269, 0.0705651, 0.0683971, 0.0668745, 0.0660093, 0.0637279, 0.0517865, 0.0442614, 0.0362289, 0.0324121, 0.0323424, 0.0316053, 0.0313255, 0.029818, 0.0277877, 0.0212088, 0.0171036, 0.0113245, 0.0102214, 0.00701674, 0.00436039, 0.00338697, 0.00185383, 0.000675913, 0.000128679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136439");
-            index_3 ("0.0672587, 0.0716174, 0.0774846, 0.0872801, 0.138254, 0.150153, 0.173459, 0.198442, 0.232787, 0.275648, 0.28524, 0.307507, 0.348771, 0.380833, 0.412053, 0.438827, 0.472892, 0.518312, 0.591054, 0.673561");
-            values ( \
-              "0.100833, 0.12487, 0.126451, 0.124576, 0.112743, 0.109389, 0.101369, 0.0953275, 0.0723678, 0.0458535, 0.0420703, 0.0365009, 0.0239225, 0.0160648, 0.0107784, 0.00749781, 0.00473596, 0.00256515, 0.000826638, 0.000272857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0264143");
-            index_3 ("0.0668436, 0.074767, 0.0818884, 0.0989704, 0.177524, 0.231129, 0.246335, 0.268754, 0.334878, 0.397742, 0.417738, 0.45466, 0.498139, 0.538311, 0.569464, 0.615136, 0.678824, 0.730713, 0.813221, 0.978236");
-            values ( \
-              "0.0834716, 0.156842, 0.158023, 0.155813, 0.142385, 0.130168, 0.127609, 0.121671, 0.0873364, 0.0566312, 0.0493991, 0.0398187, 0.0291293, 0.0209403, 0.016084, 0.0106084, 0.00594104, 0.00374975, 0.00161397, 0.000282598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0511375");
-            index_3 ("0.0711539, 0.0711739, 0.146096, 0.172693, 0.263746, 0.383395, 0.404095, 0.436183, 0.543075, 0.612357, 0.649796, 0.70993, 0.751912, 0.785218, 0.841987, 0.916588, 0.981131, 1.00896, 1.06461, 1.14712, 1.22963, 1.31214, 1.55966");
-            values ( \
-              "1e-22, 0.191045, 0.176365, 0.173708, 0.1632, 0.146249, 0.140824, 0.131046, 0.0933677, 0.0704139, 0.059111, 0.0462877, 0.0386537, 0.0329157, 0.0247742, 0.0167002, 0.0117747, 0.0100566, 0.00740726, 0.00460276, 0.00289751, 0.00177678, 0.000440641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0990011");
-            index_3 ("0.071283, 0.071303, 0.206711, 0.25412, 0.336628, 0.450026, 0.55439, 0.636898, 0.722243, 0.790708, 0.949699, 1.02792, 1.09279, 1.16587, 1.26188, 1.34439, 1.41095, 1.45772, 1.52007, 1.58864, 1.67115, 1.72202, 1.88704, 2.05205, 2.21707, 2.38208, 2.71211");
-            values ( \
-              "1e-22, 0.201952, 0.191657, 0.188404, 0.183306, 0.175211, 0.166502, 0.158248, 0.143505, 0.129446, 0.0953002, 0.0794822, 0.067303, 0.0559184, 0.0437074, 0.0350094, 0.0287686, 0.0250643, 0.0209004, 0.0168159, 0.0131221, 0.0110617, 0.0065143, 0.00380308, 0.00220156, 0.00126032, 0.000385846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.101531, 0.111336, 0.112639, 0.113397, 0.114322, 0.115286, 0.116841, 0.119459, 0.11981, 0.120514, 0.12192, 0.124187, 0.128056, 0.131826, 0.137763, 0.143918, 0.156143, 0.156668, 0.177771, 0.185791, 0.186605, 0.189757, 0.20167, 0.224838, 0.230342, 0.236073, 0.241777, 0.247509, 0.266585, 0.292816, 0.298684, 0.300273, 0.303451, 0.309807, 0.336414, 0.342479, 0.344308, 0.347966, 0.355281, 0.390636, 0.488583");
-            values ( \
-              "0.00330243, 0.0442536, 0.0450487, 0.0514792, 0.0482038, 0.052377, 0.0492922, 0.0545376, 0.0511938, 0.0547667, 0.0523779, 0.056104, 0.0537705, 0.05653, 0.0528294, 0.0544308, 0.0484139, 0.049653, 0.041951, 0.0415526, 0.0407401, 0.0395008, 0.0325007, 0.0204963, 0.0224381, 0.0211146, 0.0203478, 0.0184734, 0.0130926, 0.00775637, 0.00712812, 0.00664323, 0.00648113, 0.00546113, 0.00308716, 0.00293265, 0.00262221, 0.00260244, 0.00206872, 0.000922226, 1.28717e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00704757");
-            index_3 ("0.101454, 0.11397, 0.121021, 0.123846, 0.129494, 0.138593, 0.156246, 0.169523, 0.186617, 0.195461, 0.205235, 0.255463, 0.259008, 0.271433, 0.2809, 0.310555, 0.338106, 0.366188, 0.386393, 0.412702, 0.44778, 0.503276, 0.56776");
-            values ( \
-              "0.00118333, 0.0748619, 0.0824828, 0.0844955, 0.0865307, 0.0858986, 0.081693, 0.0776868, 0.0707107, 0.0678688, 0.0663973, 0.0331097, 0.0320468, 0.0305511, 0.0282784, 0.0186316, 0.0118367, 0.00736746, 0.00511444, 0.0031975, 0.00172471, 0.000538885, 0.000183833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136439");
-            index_3 ("0.110275, 0.130948, 0.138489, 0.147706, 0.15116, 0.158069, 0.172058, 0.193081, 0.193926, 0.217224, 0.240151, 0.24617, 0.291708, 0.323467, 0.355746, 0.39192, 0.424622, 0.454077, 0.481978, 0.5103, 0.532202, 0.576006, 0.632359");
-            values ( \
-              "0.113811, 0.12393, 0.120407, 0.122674, 0.118056, 0.120274, 0.113258, 0.111502, 0.109416, 0.101424, 0.0957305, 0.0927028, 0.0628773, 0.0438984, 0.0351809, 0.0241484, 0.0160307, 0.0110512, 0.00753532, 0.00515266, 0.00391704, 0.00205314, 0.00104328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0264143");
-            index_3 ("0.107789, 0.117623, 0.127526, 0.133254, 0.140891, 0.155301, 0.206172, 0.241896, 0.272647, 0.289512, 0.308787, 0.335555, 0.393221, 0.448037, 0.466856, 0.524794, 0.546111, 0.582283, 0.604534, 0.648381, 0.682976, 0.739797, 0.815559, 0.898066, 1.06308");
-            values ( \
-              "0.0581258, 0.135602, 0.151119, 0.154448, 0.155429, 0.153693, 0.145094, 0.138133, 0.130741, 0.127843, 0.123114, 0.110148, 0.0798792, 0.0538613, 0.0482184, 0.0333127, 0.0282638, 0.0209728, 0.0173363, 0.0117304, 0.00859638, 0.0051127, 0.00249467, 0.00110556, 0.000213351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0511375");
-            index_3 ("0.114675, 0.114695, 0.188193, 0.27089, 0.326956, 0.437269, 0.470579, 0.631875, 0.696585, 0.754187, 0.797823, 0.830473, 0.884522, 0.951541, 1.02109, 1.09814, 1.15859, 1.2411, 1.3236, 1.57113");
-            values ( \
-              "1e-22, 0.187644, 0.176676, 0.167642, 0.160719, 0.144329, 0.134246, 0.0784628, 0.0584594, 0.0462704, 0.03838, 0.0327383, 0.0249851, 0.0175533, 0.0120447, 0.00784516, 0.00559368, 0.00347957, 0.00217941, 0.000509362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0990011");
-            index_3 ("0.117565, 0.117585, 0.246263, 0.394567, 0.476522, 0.598672, 0.681179, 0.766524, 0.90045, 0.980943, 1.13707, 1.21015, 1.24216, 1.30616, 1.38867, 1.47081, 1.56435, 1.63291, 1.71542, 1.8488, 1.9313, 2.01381, 2.17883, 2.34384, 2.50886, 2.75638");
-            values ( \
-              "1e-22, 0.208289, 0.191816, 0.182267, 0.176462, 0.166379, 0.158371, 0.143627, 0.115514, 0.0979643, 0.0671871, 0.0560352, 0.0516635, 0.0438225, 0.0348953, 0.0275195, 0.0207901, 0.016927, 0.0130124, 0.00852356, 0.00662357, 0.00500717, 0.00292223, 0.00169471, 0.00097494, 0.000491522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.173334, 0.195811, 0.220762, 0.223472, 0.228894, 0.249079, 0.25319, 0.259191, 0.264861, 0.267549, 0.272925, 0.279735, 0.282956, 0.300976, 0.30846, 0.315905, 0.322901, 0.333048, 0.340055, 0.346008, 0.35286, 0.361508, 0.363241, 0.366706, 0.373638, 0.382486, 0.394244, 0.396871, 0.402125, 0.412633, 0.431982, 0.438745, 0.44105, 0.445661, 0.454881, 0.473323, 0.507456, 0.540724");
-            values ( \
-              "0.000184923, 0.0289866, 0.0411944, 0.041045, 0.0432008, 0.045291, 0.0478363, 0.044775, 0.0461139, 0.0431582, 0.043635, 0.0410974, 0.0419614, 0.0304891, 0.0281454, 0.0226243, 0.0215225, 0.0218812, 0.0192643, 0.0187213, 0.0152815, 0.0144559, 0.0123193, 0.013309, 0.00991342, 0.0100294, 0.00627147, 0.00773391, 0.00522899, 0.00583994, 0.00239216, 0.00374735, 0.00185141, 0.00332997, 0.00117856, 0.00222103, 1e-22, 0.000656107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00704757");
-            index_3 ("0.18279, 0.199603, 0.228578, 0.231764, 0.238137, 0.248785, 0.249464, 0.250822, 0.25354, 0.257349, 0.26171, 0.266, 0.274228, 0.283119, 0.3017, 0.307295, 0.31437, 0.323118, 0.34846, 0.352495, 0.353192, 0.354587, 0.357376, 0.361845, 0.370783, 0.378934, 0.398515, 0.412954, 0.431421, 0.442098, 0.459961, 0.482009, 0.496045, 0.523314, 0.559674, 0.618049, 0.690268");
-            values ( \
-              "0.0272096, 0.0481065, 0.0682926, 0.0679335, 0.0711377, 0.0729407, 0.0749143, 0.0758488, 0.0760771, 0.0769907, 0.077334, 0.0769227, 0.0744427, 0.0708536, 0.0657826, 0.0627839, 0.058063, 0.051619, 0.0360036, 0.032553, 0.0324022, 0.0324061, 0.0316831, 0.0314229, 0.0299101, 0.0278975, 0.0212268, 0.0169155, 0.0125444, 0.0104122, 0.00765768, 0.00524541, 0.00405244, 0.0024844, 0.00130388, 0.000377512, 0.000109479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136439");
-            index_3 ("0.18288, 0.195145, 0.206323, 0.221428, 0.242593, 0.246674, 0.24891, 0.251316, 0.254218, 0.262516, 0.26875, 0.278545, 0.290643, 0.313931, 0.338895, 0.378491, 0.403852, 0.416787, 0.4264, 0.43664, 0.449093, 0.488017, 0.506606, 0.518655, 0.536487, 0.554743, 0.580182, 0.590646, 0.611572, 0.653425, 0.725591, 0.808098");
-            values ( \
-              "0.0214534, 0.0587209, 0.0734435, 0.0891336, 0.103108, 0.104193, 0.105259, 0.109009, 0.110787, 0.113773, 0.114192, 0.112847, 0.109472, 0.10142, 0.0952933, 0.0690277, 0.0537654, 0.04554, 0.041852, 0.0396026, 0.0361261, 0.0242004, 0.0193964, 0.0165919, 0.0132793, 0.0104023, 0.00747535, 0.00644256, 0.00491905, 0.0027058, 0.000970131, 0.000231403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0264143");
-            index_3 ("0.182811, 0.201534, 0.214758, 0.220185, 0.227421, 0.236797, 0.248858, 0.252746, 0.258981, 0.264948, 0.27069, 0.279763, 0.291163, 0.326364, 0.353438, 0.371782, 0.403785, 0.420821, 0.452442, 0.470254, 0.508363, 0.549236, 0.56852, 0.588709, 0.598482, 0.618029, 0.664493, 0.678966, 0.707913, 0.752719, 0.773051, 0.794891, 0.824012, 0.878824, 0.961331, 1.04384, 1.12635");
-            values ( \
-              "0.0180516, 0.0836084, 0.103504, 0.11081, 0.1188, 0.12716, 0.135246, 0.141236, 0.145849, 0.148283, 0.149632, 0.148851, 0.14725, 0.140765, 0.134709, 0.130111, 0.123779, 0.116125, 0.0996634, 0.0899594, 0.0709752, 0.0519961, 0.0471098, 0.0414454, 0.0392935, 0.0340221, 0.0235984, 0.0210645, 0.0162845, 0.0110198, 0.00908557, 0.00748634, 0.00575862, 0.00344182, 0.00155717, 0.000692291, 0.000314212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0511375");
-            index_3 ("0.193638, 0.210578, 0.223682, 0.232075, 0.24867, 0.253783, 0.264519, 0.268054, 0.275124, 0.288609, 0.367637, 0.410123, 0.533547, 0.568273, 0.731337, 0.763443, 0.797301, 0.850975, 0.892269, 0.92581, 0.98294, 1.05699, 1.12176, 1.14986, 1.20607, 1.28857, 1.37108, 1.45359, 1.6186, 1.70111");
-            values ( \
-              "0.105347, 0.112246, 0.132773, 0.142926, 0.158101, 0.166182, 0.174436, 0.175874, 0.176964, 0.176351, 0.167629, 0.162484, 0.144435, 0.133946, 0.0775666, 0.0671862, 0.0575035, 0.0462622, 0.0387922, 0.0329578, 0.0247595, 0.016735, 0.0118115, 0.0100455, 0.00740025, 0.00457606, 0.00290246, 0.00175874, 0.000664483, 0.000451334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0990011");
-            index_3 ("0.203339, 0.243862, 0.256285, 0.264544, 0.273071, 0.284636, 0.395712, 0.540508, 0.591603, 0.696021, 0.739842, 0.806839, 0.889346, 1.0913, 1.16851, 1.23375, 1.30678, 1.40482, 1.48733, 1.55292, 1.59874, 1.65984, 1.729, 1.8115, 1.86354, 1.94604, 2.02855, 2.19357, 2.35858, 2.5236, 2.85363");
-            values ( \
-              "0.160841, 0.168211, 0.185106, 0.191925, 0.194918, 0.195373, 0.188539, 0.178902, 0.175097, 0.166585, 0.162704, 0.153774, 0.138303, 0.0953189, 0.0797045, 0.0673921, 0.0560381, 0.0435758, 0.0348541, 0.0287614, 0.0251355, 0.0209871, 0.0169111, 0.0131416, 0.011097, 0.00860116, 0.00655009, 0.0038399, 0.00223967, 0.00129992, 0.000428583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.320007, 0.352886, 0.380827, 0.431267, 0.451125, 0.470527, 0.484828, 0.499322, 0.514473, 0.526124, 0.531333, 0.540262, 0.550126, 0.554818, 0.577381, 0.595265, 0.611398, 0.630565, 0.647684, 0.665561, 0.679265, 0.706673, 0.755959, 0.81397");
-            values ( \
-              "8.98815e-05, 0.0123343, 0.0200494, 0.0318364, 0.0340224, 0.0336693, 0.0346748, 0.030582, 0.0254572, 0.0209297, 0.0222506, 0.0215891, 0.0193373, 0.019034, 0.0131796, 0.00935631, 0.00677171, 0.00456875, 0.00318093, 0.00218576, 0.00163842, 0.000895435, 0.000282414, 6.31448e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00704757");
-            index_3 ("0.319751, 0.368226, 0.389524, 0.420991, 0.446164, 0.468677, 0.490603, 0.50772, 0.521591, 0.535113, 0.53657, 0.550055, 0.555026, 0.5609, 0.56678, 0.568442, 0.578413, 0.586714, 0.625726, 0.644264, 0.656874, 0.678124, 0.705809, 0.722198, 0.754977, 0.809877, 0.874643");
-            values ( \
-              "0.000470721, 0.0254203, 0.0342086, 0.0463768, 0.0544071, 0.0582352, 0.0577333, 0.0589819, 0.0541258, 0.0472632, 0.0469869, 0.0405315, 0.0395747, 0.0354817, 0.0341004, 0.0341066, 0.0326081, 0.0302767, 0.017316, 0.0128351, 0.0103118, 0.00714714, 0.00438581, 0.00332258, 0.00178523, 0.000642903, 0.000139584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136439");
-            index_3 ("0.335136, 0.357681, 0.4277, 0.441472, 0.469017, 0.487762, 0.499127, 0.525976, 0.539425, 0.550186, 0.552855, 0.565155, 0.632924, 0.711282, 0.745588, 0.76498, 0.792245, 0.821371, 0.8438, 0.888658, 0.967269, 1.04978");
-            values ( \
-              "0.0143131, 0.0292933, 0.0687639, 0.0753565, 0.0862124, 0.0901806, 0.0915904, 0.0913211, 0.0917843, 0.0909037, 0.0913979, 0.0863671, 0.044758, 0.0217415, 0.0141126, 0.010979, 0.00762878, 0.00517347, 0.00382785, 0.00203979, 0.000625025, 0.000169583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0264143");
-            index_3 ("0.365946, 0.414815, 0.427767, 0.434243, 0.447194, 0.45367, 0.464325, 0.474979, 0.494802, 0.504714, 0.514625, 0.532801, 0.550977, 0.551566, 0.55235, 0.554618, 0.556716, 0.560194, 0.563532, 0.56571, 0.570067, 0.576341, 0.586996, 0.599842, 0.604125, 0.609234, 0.614342, 0.62456, 0.6382, 0.65184, 0.675365, 0.691048, 0.698889, 0.723519, 0.74651, 0.75668, 0.766851, 0.799438, 0.837332, 0.852812, 0.865882, 0.878953, 0.894032, 0.909112, 0.916652, 0.924192, 0.935095, 0.945999, 0.967806, 0.995442");
-            values ( \
-              "0.0741228, 0.0773199, 0.087022, 0.0915962, 0.100191, 0.104211, 0.108987, 0.113546, 0.121446, 0.125112, 0.128589, 0.130181, 0.131646, 0.132289, 0.132654, 0.132956, 0.133385, 0.133531, 0.133563, 0.133526, 0.133315, 0.132454, 0.130548, 0.127909, 0.126956, 0.125523, 0.123939, 0.120316, 0.1143, 0.107672, 0.0951758, 0.0870746, 0.0830929, 0.0710981, 0.0591211, 0.055019, 0.051497, 0.0428284, 0.0329809, 0.0293214, 0.0264355, 0.0236743, 0.0206433, 0.0181819, 0.0170436, 0.0159669, 0.0145746, 0.0132738, 0.0109471, 0.00849861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0511375");
-            index_3 ("0.354785, 0.384194, 0.442294, 0.46124, 0.499134, 0.526057, 0.549919, 0.560408, 0.571523, 0.582517, 0.604507, 0.638512, 0.748441, 0.782878, 0.946084, 0.97793, 1.0119, 1.06577, 1.10745, 1.14084, 1.19773, 1.27143, 1.33634, 1.40239, 1.45274, 1.53525, 1.61776, 1.70027, 1.78277, 1.94779");
-            values ( \
-              "0.0618152, 0.0634532, 0.11209, 0.125714, 0.146049, 0.155524, 0.161708, 0.165782, 0.167585, 0.167567, 0.165162, 0.160736, 0.1444, 0.133998, 0.0775933, 0.0672761, 0.0575577, 0.0462708, 0.0387172, 0.0329295, 0.0247686, 0.0167763, 0.0118172, 0.00817967, 0.00617494, 0.00384998, 0.00240581, 0.001487, 0.000933593, 0.00036476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0990011");
-            index_3 ("0.371334, 0.439605, 0.454754, 0.485054, 0.513579, 0.563384, 0.580663, 0.595757, 0.781369, 0.910982, 0.99349, 1.07879, 1.21274, 1.29323, 1.44936, 1.52244, 1.55444, 1.61845, 1.70096, 1.78309, 1.87661, 1.94517, 2.02768, 2.16105, 2.24356, 2.32606, 2.49108, 2.65609, 2.82111, 3.06863");
-            values ( \
-              "0.0855508, 0.119707, 0.132353, 0.153451, 0.167997, 0.187171, 0.190173, 0.189732, 0.17704, 0.166432, 0.158337, 0.14363, 0.115515, 0.0979648, 0.0671863, 0.0560391, 0.051665, 0.0438245, 0.0348957, 0.0275212, 0.0207923, 0.016928, 0.0130141, 0.008525, 0.00662399, 0.0050081, 0.00292281, 0.001695, 0.000974997, 0.000491903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.637443, 0.696808, 0.739951, 0.796473, 0.831846, 0.862356, 0.86271, 0.866022, 0.877377, 0.891064, 0.902742, 0.917883, 0.935688, 0.949925, 0.958303, 0.966189, 0.973148, 1.03809, 1.06805, 1.08975, 1.11303, 1.12844, 1.15424, 1.18636, 1.21592, 1.23527, 1.27054");
-            values ( \
-              "0.00253978, 0.00527377, 0.00983438, 0.0167999, 0.0202659, 0.0225514, 0.0224395, 0.0226293, 0.0227727, 0.0235986, 0.0251947, 0.0238354, 0.0215902, 0.0184916, 0.0196089, 0.0199862, 0.0192134, 0.00903711, 0.00565801, 0.00395965, 0.0025776, 0.00194413, 0.00119242, 0.000648274, 0.000342428, 0.000610191, 0.000404728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704757");
-            index_3 ("0.647118, 0.681975, 0.702219, 0.721731, 0.782605, 0.852951, 0.886666, 0.908723, 0.93269, 0.947356, 0.961918, 1.00651, 1.01583, 1.09773, 1.12048, 1.1477, 1.18197, 1.21568, 1.22775, 1.28259, 1.2988");
-            values ( \
-              "0.00713015, 0.00743894, 0.00939433, 0.0121428, 0.0235963, 0.0353737, 0.0394997, 0.0408212, 0.0444004, 0.042791, 0.0400605, 0.0294566, 0.0309241, 0.0126627, 0.00913326, 0.00602223, 0.00344825, 0.00194764, 0.0022797, 0.000951842, 0.000811537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136439");
-            index_3 ("0.658139, 0.712984, 0.737606, 0.805614, 0.843303, 0.889411, 0.929007, 0.956442, 0.98319, 0.999994, 1.01714, 1.03717, 1.06532, 1.08128, 1.08401, 1.09919, 1.11156, 1.16849, 1.19288, 1.21586, 1.22781, 1.24966, 1.2799, 1.29464, 1.32411, 1.38306, 1.46228, 1.54479");
-            values ( \
-              "0.0123083, 0.0158639, 0.0211289, 0.0389121, 0.0480208, 0.0585581, 0.0656123, 0.0683843, 0.0723396, 0.0705492, 0.066426, 0.0604946, 0.0511348, 0.0441668, 0.0439598, 0.0413187, 0.0388574, 0.0234051, 0.0177217, 0.013593, 0.0126926, 0.00987394, 0.00660854, 0.00545385, 0.00362734, 0.00158017, 0.000453526, 0.000146142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0264143");
-            index_3 ("0.671937, 0.736483, 0.762698, 0.84402, 0.890926, 0.947876, 0.997336, 1.04355, 1.08178, 1.10232, 1.13721, 1.18733, 1.21585, 1.30958, 1.35882, 1.38641, 1.4232, 1.45571, 1.49945, 1.55777, 1.64028, 1.72279, 1.80529");
-            values ( \
-              "0.021278, 0.0271575, 0.0350008, 0.0613046, 0.0755344, 0.0915232, 0.100888, 0.104753, 0.106516, 0.101319, 0.0905921, 0.0717492, 0.0597078, 0.0337343, 0.0226561, 0.0179182, 0.0129628, 0.00967811, 0.00652084, 0.00376821, 0.00171215, 0.000751953, 0.000354013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0511375");
-            index_3 ("0.683091, 0.784915, 0.931522, 0.96368, 1.02799, 1.05998, 1.10613, 1.17109, 1.20003, 1.2157, 1.22553, 1.23549, 1.24933, 1.27569, 1.39742, 1.47108, 1.4987, 1.53225, 1.59087, 1.62235, 1.68833, 1.72067, 1.78534, 1.83843, 1.91944, 2.00195, 2.08446, 2.16696, 2.24947, 2.41449");
-            values ( \
-              "0.025375, 0.0491055, 0.102701, 0.113199, 0.129767, 0.135372, 0.140598, 0.143224, 0.143373, 0.142061, 0.14178, 0.140193, 0.136788, 0.128357, 0.0856234, 0.0619227, 0.05524, 0.048204, 0.0372663, 0.0320662, 0.022949, 0.0193685, 0.0136238, 0.0101755, 0.00647318, 0.0040591, 0.00251416, 0.00157815, 0.000965645, 0.000366416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0990011");
-            index_3 ("0.709346, 0.835833, 0.945103, 1.02738, 1.07377, 1.15628, 1.2362, 1.25955, 1.40466, 1.43912, 1.49836, 1.58087, 1.80853, 1.92524, 1.99838, 2.09613, 2.17864, 2.29031, 2.42065, 2.50316, 2.63753, 2.72003, 2.88505, 3.05006, 3.19621");
-            values ( \
-              "0.0420836, 0.0739087, 0.117983, 0.145184, 0.156401, 0.169749, 0.177234, 0.177045, 0.165153, 0.161914, 0.153669, 0.138387, 0.0900169, 0.0673457, 0.0560732, 0.043646, 0.0348254, 0.0251756, 0.0169471, 0.0130925, 0.00855999, 0.00659204, 0.0038806, 0.0022792, 0.00174354" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00700419, 0.00701838, 0.00703457, 0.00704928, 0.00705998, 0.00706684", \
-            "0.00902712, 0.00904111, 0.00905945, 0.00907853, 0.00909427, 0.00910508", \
-            "0.0103073, 0.0103147, 0.0103269, 0.010343, 0.0103588, 0.0103711", \
-            "0.0110689, 0.0110601, 0.011051, 0.0110471, 0.0110483, 0.0110521", \
-            "0.011524, 0.011497, 0.0114607, 0.0114216, 0.0113894, 0.0113691", \
-            "0.0118104, 0.0117786, 0.0117335, 0.0116756, 0.0116111, 0.0115529" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0108763, 0.0108773, 0.0108837, 0.0108942, 0.0109046, 0.0109125", \
-            "0.0114423, 0.01141, 0.0113769, 0.0113511, 0.0113355, 0.0113273", \
-            "0.0108587, 0.0107797, 0.0106832, 0.0105839, 0.0105017, 0.0104462", \
-            "0.0106316, 0.010487, 0.0103035, 0.0101097, 0.00994333, 0.00982276", \
-            "0.0114752, 0.0110973, 0.0106783, 0.0102889, 0.00995334, 0.00970599", \
-            "0.0118303, 0.0118541, 0.0117923, 0.0112788, 0.0105017, 0.00999701" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0210563, 0.0254037, 0.0291664, 0.0340922, 0.0506153, 0.0601581, 0.0694221, 0.0784149, 0.11419, 0.135539, 0.14203, 0.193867, 0.211911, 0.220535");
-            values ( \
-              "-0.0110287, -0.0801632, -0.072389, -0.069182, -0.0684022, -0.0690334, -0.0723727, -0.0736908, -0.0274847, -0.0104694, -0.00807335, -0.00266803, -0.00142099, -0.00113267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00704757");
-            index_3 ("0.0230914, 0.0235594, 0.0252097, 0.0293721, 0.031489, 0.0337233, 0.0406175, 0.0676015, 0.078738, 0.0896157, 0.103065, 0.116005, 0.134756, 0.146271, 0.161776, 0.166573, 0.173878, 0.236415, 0.257284, 0.283481, 0.368341");
-            values ( \
-              "-0.090353, -0.11147, -0.126861, -0.118282, -0.116121, -0.114165, -0.112487, -0.111672, -0.116611, -0.117011, -0.0973063, -0.0720987, -0.0423713, -0.0297197, -0.0161711, -0.0128172, -0.00998435, -0.00332973, -0.00199414, -0.000769777, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136439");
-            index_3 ("0.0236363, 0.0245744, 0.0254104, 0.0264797, 0.030649, 0.035189, 0.041925, 0.0629251, 0.067094, 0.0819462, 0.0966267, 0.111157, 0.129168, 0.146313, 0.157179, 0.170979, 0.177429, 0.184952, 0.205244, 0.217148, 0.229619, 0.245901, 0.33882, 0.408145, 0.462319");
-            values ( \
-              "-0.150105, -0.174062, -0.179048, -0.179606, -0.173942, -0.170468, -0.168637, -0.166767, -0.16585, -0.165153, -0.16988, -0.16849, -0.141243, -0.105939, -0.0859442, -0.0634923, -0.0549322, -0.046175, -0.0279043, -0.0189325, -0.012776, -0.00938057, -0.00230936, -0.000386379, -0.000256353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0264143");
-            index_3 ("0.0239678, 0.0282087, 0.0447829, 0.108471, 0.130234, 0.146833, 0.161636, 0.175083, 0.214983, 0.249415, 0.290107, 0.322392, 0.345749, 0.407548, 0.482379");
-            values ( \
-              "-0.198736, -0.231919, -0.227611, -0.219182, -0.222901, -0.220364, -0.20716, -0.188084, -0.120652, -0.0739979, -0.038973, -0.0211036, -0.0131141, -0.00698496, -0.00347079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0511375");
-            index_3 ("0.0243922, 0.0279808, 0.0338983, 0.0407691, 0.142305, 0.204062, 0.213859, 0.229854, 0.260817, 0.343451, 0.388891, 0.425904, 0.455045, 0.482015, 0.517974, 0.549177, 0.568628, 0.633645, 0.781562");
-            values ( \
-              "-0.238668, -0.272987, -0.278698, -0.279321, -0.266347, -0.263803, -0.261547, -0.254596, -0.2294, -0.131925, -0.0891774, -0.0633267, -0.0477553, -0.0364249, -0.0244842, -0.0168238, -0.0139672, -0.00825947, -0.00308847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0990011");
-            index_3 ("0.0247917, 0.0301797, 0.0405134, 0.0523274, 0.257656, 0.318158, 0.339074, 0.38025, 0.433317, 0.578305, 0.659678, 0.73115, 0.778113, 0.864215, 0.953305, 1.08256, 1.27008");
-            values ( \
-              "-0.263913, -0.306498, -0.31545, -0.315979, -0.296371, -0.292811, -0.290312, -0.279687, -0.252613, -0.146508, -0.0988054, -0.0680541, -0.0527313, -0.0322754, -0.0186033, -0.00928734, -0.00432048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0346337, 0.0407498, 0.0449588, 0.0509221, 0.0514236, 0.0521676, 0.055392, 0.0573534, 0.0594636, 0.0630656, 0.0673797, 0.0689631, 0.0721298, 0.0785138, 0.0877776, 0.0967738, 0.105746, 0.106492, 0.107983, 0.124021, 0.131772, 0.139562, 0.154294, 0.160868, 0.165649, 0.173101, 0.181134, 0.207488, 0.218899, 0.240819, 0.269606, 0.270381");
-            values ( \
-              "-0.00222258, -0.0407346, -0.0526373, -0.0669674, -0.0749466, -0.0777005, -0.0724594, -0.070827, -0.0696825, -0.0690484, -0.0685513, -0.0686453, -0.0684549, -0.0690286, -0.0723763, -0.0736288, -0.0620705, -0.0618884, -0.0599733, -0.0370614, -0.0282362, -0.0212748, -0.0102901, -0.007943, -0.00737479, -0.00690725, -0.0061114, -0.00310884, -0.00211827, -0.000984328, -0.000322512, -0.000319635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00704757");
-            index_3 ("0.03464, 0.044556, 0.0509261, 0.0523745, 0.0589796, 0.063495, 0.0702781, 0.0838057, 0.0908931, 0.0972886, 0.108147, 0.121628, 0.134559, 0.152635, 0.165696, 0.181904, 0.191727, 0.255311, 0.275491, 0.301061, 0.384881");
-            values ( \
-              "-0.00888318, -0.0825003, -0.108009, -0.124288, -0.114752, -0.11299, -0.112071, -0.111546, -0.113295, -0.116984, -0.116926, -0.0971243, -0.07215, -0.0433048, -0.0289575, -0.0148602, -0.0100034, -0.0032782, -0.0020294, -0.000786489, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136439");
-            index_3 ("0.038067, 0.0436902, 0.0508767, 0.0529664, 0.0597851, 0.0636429, 0.0708456, 0.0855795, 0.10043, 0.106527, 0.115143, 0.119787, 0.129556, 0.145268, 0.173001, 0.192897, 0.202163, 0.214518, 0.234884, 0.247253, 0.263393, 0.333873, 0.360039, 0.430294, 0.485982");
-            values ( \
-              "-0.0610331, -0.11399, -0.158139, -0.180715, -0.171491, -0.169374, -0.167779, -0.165927, -0.165025, -0.166543, -0.170305, -0.171529, -0.167765, -0.145258, -0.0903804, -0.0589462, -0.0476886, -0.0355596, -0.0195357, -0.0129488, -0.00958646, -0.00386766, -0.00228381, -0.000456092, -0.000129672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0264143");
-            index_3 ("0.0410875, 0.0504089, 0.0532814, 0.0619636, 0.0723767, 0.115623, 0.127385, 0.148923, 0.170462, 0.192322, 0.24566, 0.262496, 0.294124, 0.339919, 0.362788, 0.429183, 0.498889");
-            values ( \
-              "-0.166584, -0.204396, -0.237784, -0.229783, -0.226494, -0.220294, -0.219602, -0.222111, -0.217857, -0.190606, -0.102481, -0.0803988, -0.0494477, -0.0216322, -0.0134865, -0.00679696, -0.00359067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0511375");
-            index_3 ("0.0400206, 0.0509323, 0.0526382, 0.0534873, 0.0551853, 0.0632296, 0.0720051, 0.085073, 0.178432, 0.190905, 0.21327, 0.230665, 0.24854, 0.283386, 0.353526, 0.375134, 0.402878, 0.425076, 0.454673, 0.481956, 0.517905, 0.556206, 0.577199, 0.604299, 0.640432, 0.69557, 0.752652, 0.811671, 0.87069, 0.988728");
-            values ( \
-              "-0.132725, -0.248672, -0.280883, -0.284383, -0.285032, -0.280245, -0.277989, -0.2759, -0.264541, -0.264278, -0.264782, -0.262341, -0.254607, -0.225254, -0.141422, -0.118539, -0.0931237, -0.0761104, -0.0575111, -0.0440693, -0.0303597, -0.0193163, -0.0154148, -0.0120055, -0.00897681, -0.0060838, -0.00400853, -0.00252595, -0.00152644, -0.000528041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0990011");
-            index_3 ("0.0405557, 0.0522501, 0.0552808, 0.0721387, 0.100536, 0.276297, 0.346009, 0.35823, 0.37816, 0.398874, 0.430408, 0.466655, 0.547714, 0.601093, 0.658031, 0.678874, 0.710593, 0.746844, 0.795629, 0.839472, 0.884073, 0.943092, 0.972327, 1.00132, 1.03999, 1.099, 1.21704, 1.33508, 1.45312, 1.63017");
-            values ( \
-              "-0.150385, -0.302072, -0.318862, -0.315626, -0.312002, -0.29655, -0.291799, -0.290145, -0.28591, -0.279538, -0.265466, -0.242575, -0.181319, -0.143726, -0.109399, -0.0985229, -0.083737, -0.0691179, -0.0530181, -0.0415201, -0.0320278, -0.02218, -0.0185588, -0.0156986, -0.0127008, -0.00938474, -0.00535519, -0.00306632, -0.00172556, -0.000713779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0664822, 0.0777037, 0.0865767, 0.0942761, 0.0998306, 0.112604, 0.114143, 0.116579, 0.118187, 0.11983, 0.123046, 0.131153, 0.134575, 0.137942, 0.144677, 0.152792, 0.160013, 0.166686, 0.17396, 0.179235, 0.194899, 0.201266, 0.205896, 0.206842, 0.208732, 0.212512, 0.219354, 0.227536, 0.244329, 0.253114, 0.270685, 0.295041, 0.32789, 0.366993");
-            values ( \
-              "-0.0269053, -0.0315358, -0.0424047, -0.0507305, -0.0562367, -0.0680515, -0.0719138, -0.0717133, -0.0703749, -0.0701128, -0.0703912, -0.0738253, -0.0741268, -0.0728479, -0.0658772, -0.0549234, -0.0439, -0.0351567, -0.0273098, -0.0224211, -0.0105606, -0.00817491, -0.00738449, -0.00748932, -0.00723782, -0.00704855, -0.00640244, -0.00556986, -0.00353699, -0.00270188, -0.00146068, -0.000614377, -0.000167793, -6.53152e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00704757");
-            index_3 ("0.0664901, 0.0768865, 0.0802339, 0.0862342, 0.0899236, 0.0973024, 0.108564, 0.112599, 0.114385, 0.116262, 0.118585, 0.122933, 0.126952, 0.128488, 0.130535, 0.134627, 0.138569, 0.146453, 0.149352, 0.15477, 0.161016, 0.170595, 0.174978, 0.177202, 0.180167, 0.184834, 0.193047, 0.199682, 0.207064, 0.216786, 0.223223, 0.226427, 0.232837, 0.242771, 0.253011, 0.264651, 0.270464, 0.282088, 0.297534, 0.317876, 0.343357, 0.377541, 0.427629");
-            values ( \
-              "-0.0382399, -0.0498415, -0.0559035, -0.0679044, -0.074746, -0.0872934, -0.10471, -0.110363, -0.115916, -0.116357, -0.113946, -0.112438, -0.112315, -0.112542, -0.113052, -0.114759, -0.117088, -0.118326, -0.116197, -0.110173, -0.0995284, -0.0826266, -0.0731744, -0.0696728, -0.0641828, -0.0566023, -0.0443937, -0.0363268, -0.0288358, -0.0205623, -0.0148053, -0.0129865, -0.0100466, -0.00878654, -0.00780613, -0.00698386, -0.00606546, -0.00495774, -0.00319675, -0.00196224, -0.000763836, -0.000390452, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136439");
-            index_3 ("0.0705425, 0.0831176, 0.0864476, 0.0908876, 0.0954205, 0.104486, 0.111423, 0.112538, 0.112961, 0.113471, 0.114058, 0.115232, 0.117008, 0.11964, 0.123184, 0.126834, 0.133177, 0.141661, 0.156325, 0.170856, 0.185375, 0.186539, 0.188867, 0.193523, 0.206012, 0.216878, 0.230677, 0.235874, 0.237127, 0.239634, 0.244648, 0.253317, 0.26494, 0.268909, 0.276847, 0.289098, 0.305221, 0.326472, 0.351997, 0.373273, 0.398959, 0.428652, 0.467387, 0.520877");
-            values ( \
-              "-0.0881279, -0.090938, -0.100595, -0.113015, -0.12456, -0.146107, -0.161275, -0.163593, -0.168896, -0.171848, -0.173135, -0.173081, -0.171149, -0.169162, -0.167473, -0.166613, -0.165515, -0.165396, -0.169663, -0.1687, -0.146289, -0.145197, -0.14105, -0.131635, -0.106121, -0.0857657, -0.0636708, -0.0567274, -0.0550908, -0.0520698, -0.0463251, -0.0377426, -0.0280489, -0.0249036, -0.0190688, -0.0127258, -0.00955709, -0.00794692, -0.00572093, -0.00398561, -0.00241191, -0.00124839, -0.000517357, -0.000136929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0264143");
-            index_3 ("0.0703415, 0.08514, 0.0990684, 0.115616, 0.119349, 0.122619, 0.147232, 0.168787, 0.177619, 0.190348, 0.211824, 0.227073, 0.235247, 0.274494, 0.305415, 0.332433, 0.355412, 0.382806, 0.40612, 0.428038, 0.466952, 0.532612, 0.570023, 0.575559");
-            values ( \
-              "-0.0934575, -0.128363, -0.177939, -0.228606, -0.229785, -0.226375, -0.221747, -0.219591, -0.22016, -0.222852, -0.217575, -0.200387, -0.188291, -0.121596, -0.0787126, -0.0519856, -0.0356838, -0.0209387, -0.0130795, -0.0101689, -0.00704634, -0.00346702, -0.00212149, -0.00203108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0511375");
-            index_3 ("0.0747309, 0.0946712, 0.117024, 0.12772, 0.185332, 0.219478, 0.254714, 0.27211, 0.289984, 0.324624, 0.393997, 0.450592, 0.515238, 0.578401, 0.624248, 0.693376, 0.799059");
-            values ( \
-              "-0.15978, -0.197428, -0.281529, -0.27627, -0.26859, -0.264582, -0.264788, -0.262347, -0.254609, -0.22548, -0.142505, -0.0880159, -0.047789, -0.024412, -0.0146034, -0.00827768, -0.00456952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0990011");
-            index_3 ("0.0795693, 0.106294, 0.113811, 0.118684, 0.121079, 0.125194, 0.130395, 0.138263, 0.142186, 0.15003, 0.165719, 0.188681, 0.199745, 0.221873, 0.258327, 0.317052, 0.317921, 0.391676, 0.415586, 0.440476, 0.490254, 0.633991, 0.724712, 0.765454, 0.819777, 0.864024, 0.9327, 1.01639, 1.1296, 1.24763, 1.36567, 1.38317");
-            values ( \
-              "-0.230053, -0.273756, -0.315522, -0.318171, -0.313498, -0.316351, -0.311512, -0.314448, -0.310088, -0.31326, -0.307957, -0.310031, -0.305185, -0.307245, -0.299978, -0.298397, -0.29639, -0.291398, -0.287055, -0.279523, -0.254868, -0.149624, -0.096442, -0.0781, -0.0583652, -0.045662, -0.0306901, -0.0182848, -0.00987957, -0.00561213, -0.00320633, -0.00306255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.120101, 0.135925, 0.140931, 0.155701, 0.169206, 0.201804, 0.206596, 0.21618, 0.222985, 0.228746, 0.239192, 0.248984, 0.251651, 0.261881, 0.268591, 0.286934, 0.294249, 0.314308, 0.331588, 0.345131, 0.361437, 0.384927, 0.391715");
-            values ( \
-              "-0.0145733, -0.0160393, -0.0182091, -0.0263841, -0.0331471, -0.0482898, -0.0509284, -0.0579055, -0.0639688, -0.0657329, -0.0598167, -0.0463421, -0.0449224, -0.0315858, -0.0247468, -0.010461, -0.00798521, -0.00614433, -0.00401734, -0.00264454, -0.00148926, -0.00064517, -0.000546769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00704757");
-            index_3 ("0.120038, 0.131246, 0.138466, 0.144791, 0.160675, 0.175291, 0.210932, 0.216336, 0.227144, 0.229529, 0.234297, 0.241231, 0.248942, 0.253136, 0.258288, 0.262278, 0.267709, 0.286197, 0.290495, 0.291613, 0.293849, 0.298322, 0.304897, 0.313539, 0.317085, 0.318277, 0.320662, 0.325431, 0.334786, 0.345576, 0.357582, 0.362384, 0.371988, 0.387353, 0.408318, 0.433717, 0.466853");
-            values ( \
-              "-0.0216264, -0.0237219, -0.0281969, -0.0328975, -0.0471277, -0.0587587, -0.0848936, -0.0893235, -0.100511, -0.10394, -0.108868, -0.110967, -0.104833, -0.103816, -0.089417, -0.0840044, -0.0714987, -0.0420517, -0.0384853, -0.0355721, -0.034832, -0.0286617, -0.0245168, -0.0153051, -0.0144961, -0.0120443, -0.0125703, -0.00932584, -0.00953663, -0.0070964, -0.00756046, -0.00537354, -0.00586428, -0.00267259, -0.00273371, -9.60491e-05, -0.00108487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136439");
-            index_3 ("0.125391, 0.142312, 0.149868, 0.166821, 0.181328, 0.194827, 0.240886, 0.244771, 0.248777, 0.250599, 0.251776, 0.257408, 0.2627, 0.269733, 0.27827, 0.306721, 0.315203, 0.329037, 0.343871, 0.359817, 0.373092, 0.386136, 0.402865, 0.456814, 0.479417, 0.506114, 0.540717, 0.587258");
-            values ( \
-              "-0.0453971, -0.046719, -0.0554132, -0.0775514, -0.0943488, -0.109177, -0.156784, -0.163253, -0.168342, -0.173423, -0.173689, -0.171661, -0.167916, -0.159587, -0.14522, -0.0892644, -0.0746977, -0.054953, -0.0388395, -0.025539, -0.0163103, -0.0113025, -0.00905946, -0.00465459, -0.00301593, -0.00174334, -0.000773599, -0.000274478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0264143");
-            index_3 ("0.127591, 0.150514, 0.179923, 0.197007, 0.213875, 0.238989, 0.24891, 0.253325, 0.260329, 0.262008, 0.264246, 0.268723, 0.275596, 0.282427, 0.2931, 0.303882, 0.325447, 0.331177, 0.364632, 0.378643, 0.396944, 0.404079, 0.414443, 0.426675, 0.442749, 0.457036, 0.473976, 0.49645, 0.512912, 0.519923, 0.533946, 0.561991, 0.627564, 0.664865, 0.7102, 0.767597");
-            values ( \
-              "-0.0561706, -0.0753804, -0.124535, -0.149652, -0.173396, -0.205787, -0.217436, -0.22149, -0.221173, -0.220419, -0.220301, -0.220557, -0.221694, -0.223155, -0.222656, -0.216637, -0.190987, -0.182011, -0.124701, -0.103306, -0.0793451, -0.0713066, -0.0608557, -0.050233, -0.0386832, -0.0301225, -0.0213794, -0.0134758, -0.0109686, -0.0101796, -0.00888597, -0.00684516, -0.00333152, -0.00205294, -0.00103981, -0.000448855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0511375");
-            index_3 ("0.137784, 0.175145, 0.202603, 0.24324, 0.252623, 0.258204, 0.262603, 0.311866, 0.349239, 0.358002, 0.369686, 0.381955, 0.406494, 0.420435, 0.484344, 0.505813, 0.535369, 0.561189, 0.581336, 0.61618, 0.658259, 0.697065, 0.720372, 0.76045, 0.813888, 0.92755, 1.10461");
-            values ( \
-              "-0.10453, -0.141967, -0.192755, -0.259383, -0.272679, -0.272916, -0.270901, -0.264807, -0.264407, -0.263538, -0.260325, -0.254582, -0.235833, -0.221177, -0.144206, -0.121169, -0.0937901, -0.0741468, -0.0613469, -0.0436957, -0.0280717, -0.0176476, -0.0140286, -0.00994855, -0.0067441, -0.00290682, -0.000615399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0990011");
-            index_3 ("0.144573, 0.186581, 0.215115, 0.248783, 0.253159, 0.260898, 0.267875, 0.279638, 0.289825, 0.4097, 0.478383, 0.494712, 0.527304, 0.5322, 0.561572, 0.600033, 0.681091, 0.73447, 0.791408, 0.812249, 0.843966, 0.880214, 0.929001, 0.972847, 1.01745, 1.07647, 1.1057, 1.13469, 1.17335, 1.23237, 1.29139, 1.3504, 1.46844, 1.58648, 1.76354");
-            values ( \
-              "-0.150942, -0.185185, -0.243017, -0.304465, -0.310512, -0.311191, -0.308991, -0.308766, -0.307243, -0.296578, -0.291932, -0.289655, -0.281318, -0.279597, -0.26665, -0.242579, -0.181318, -0.143733, -0.109395, -0.0985292, -0.0837325, -0.0691268, -0.0530127, -0.0415139, -0.0320347, -0.0221738, -0.0185657, -0.0157056, -0.0126949, -0.0093915, -0.0070795, -0.0053618, -0.00307286, -0.001732, -0.000707567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.222074, 0.244599, 0.262818, 0.275329, 0.307239, 0.373333, 0.406315, 0.407545, 0.416056, 0.420553, 0.427621, 0.467092, 0.474426, 0.480467, 0.525854, 0.55029, 0.559309, 0.57955, 0.588773");
-            values ( \
-              "-0.00503165, -0.00661207, -0.00927983, -0.0115632, -0.0191723, -0.0332075, -0.0459929, -0.045796, -0.0467106, -0.0460994, -0.0430864, -0.0130517, -0.0100014, -0.0090388, -0.00318751, -0.00139648, -0.00187997, -0.00078299, -0.00064251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00704757");
-            index_3 ("0.221988, 0.249182, 0.273936, 0.283067, 0.315449, 0.387345, 0.424133, 0.440271, 0.453212, 0.467608, 0.487152, 0.498796, 0.506592, 0.514199, 0.52167, 0.550202, 0.555617, 0.567363, 0.593746, 0.620079, 0.655741, 0.702593");
-            values ( \
-              "-0.00663131, -0.0121635, -0.0187577, -0.0218493, -0.0343835, -0.0587142, -0.0790528, -0.0784903, -0.0685126, -0.0510259, -0.0306545, -0.0204362, -0.0147691, -0.0117543, -0.0105387, -0.00694759, -0.00758977, -0.00556629, -0.00264531, -0.00122494, -0.000365861, -0.000118619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136439");
-            index_3 ("0.23617, 0.26593, 0.275319, 0.340189, 0.409283, 0.451273, 0.461953, 0.474219, 0.492254, 0.534307, 0.550281, 0.555569, 0.574108, 0.586374, 0.605158, 0.653577, 0.678142, 0.683973");
-            values ( \
-              "-0.0225634, -0.0251816, -0.0292122, -0.06421, -0.0977689, -0.126217, -0.127961, -0.124734, -0.103173, -0.0467772, -0.0321998, -0.0302825, -0.0168985, -0.0126161, -0.00988347, -0.00494418, -0.00308335, -0.00279732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0264143");
-            index_3 ("0.242564, 0.27508, 0.356092, 0.451524, 0.479771, 0.505249, 0.530779, 0.581677, 0.615297, 0.645707, 0.684266, 0.709406, 0.780811, 0.855581");
-            values ( \
-              "-0.0360554, -0.0403635, -0.0975777, -0.157582, -0.179146, -0.193691, -0.17953, -0.105491, -0.064372, -0.0396164, -0.0187165, -0.011987, -0.00599479, -0.00292635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0511375");
-            index_3 ("0.262282, 0.306286, 0.350383, 0.386779, 0.402066, 0.42609, 0.450064, 0.474038, 0.484329, 0.49462, 0.515202, 0.533095, 0.537568, 0.542041, 0.546514, 0.550987, 0.551866, 0.553038, 0.560538, 0.567286, 0.573767, 0.580159, 0.586552, 0.592565, 0.598579, 0.604592, 0.610605, 0.625019, 0.653845, 0.688288, 0.703734, 0.716554, 0.731897, 0.739568, 0.750846, 0.759014, 0.767182, 0.782194, 0.800245, 0.813292, 0.824505, 0.835717, 0.842354, 0.848991, 0.862265, 0.872016, 0.881768, 0.89152, 0.901272, 0.923236");
-            values ( \
-              "-0.0749012, -0.0749561, -0.114165, -0.144345, -0.156651, -0.175398, -0.19283, -0.209076, -0.21715, -0.225523, -0.243166, -0.259923, -0.261831, -0.262827, -0.262911, -0.262082, -0.263801, -0.264715, -0.263764, -0.262185, -0.260034, -0.256963, -0.25336, -0.249486, -0.245142, -0.240328, -0.235043, -0.220425, -0.186113, -0.144031, -0.127596, -0.114864, -0.100711, -0.0940764, -0.084941, -0.0785363, -0.0725574, -0.0632817, -0.0534509, -0.0469443, -0.0417183, -0.0369273, -0.0344037, -0.0320093, -0.0276083, -0.0247045, -0.0220797, -0.0197339, -0.0176672, -0.0141715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0990011");
-            index_3 ("0.275223, 0.33549, 0.389852, 0.449566, 0.490546, 0.553896, 0.569292, 0.613832, 0.674416, 0.733435, 0.742718, 0.778244, 0.804331, 0.915006, 0.950025, 1.00571, 1.05618, 1.09065, 1.14787, 1.21871, 1.27773, 1.30884, 1.38235, 1.44137, 1.5594, 1.67744, 1.73184");
-            values ( \
-              "-0.105349, -0.11473, -0.167366, -0.220754, -0.255067, -0.303153, -0.300929, -0.29678, -0.292866, -0.281226, -0.277492, -0.259815, -0.242608, -0.159867, -0.136385, -0.104009, -0.0802969, -0.0668485, -0.0488817, -0.0326024, -0.022597, -0.0186722, -0.0123833, -0.00916865, -0.00523458, -0.00299349, -0.00257076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.428417, 0.487793, 0.510154, 0.534383, 0.5515, 0.569447, 0.635121, 0.674659, 0.707471, 0.734702, 0.748347, 0.757628, 0.768709, 0.778883, 0.799232, 0.800786, 0.803896, 0.818097, 0.825745, 0.831856, 0.83869, 0.855062, 0.873203, 0.886758, 0.897065, 0.913238, 0.934802, 0.968124, 1.05823, 1.11725, 1.17627, 1.21589, 1.23623, 1.2638");
-            values ( \
-              "-0.00129004, -0.00312442, -0.00414385, -0.00543556, -0.00656276, -0.00804615, -0.0147357, -0.0184042, -0.0216151, -0.0264448, -0.0293871, -0.0297963, -0.0314234, -0.0308207, -0.0290403, -0.0278459, -0.0271862, -0.0208312, -0.0158256, -0.0133967, -0.012067, -0.00956396, -0.00646265, -0.00446813, -0.00328615, -0.00199196, -0.0010585, -0.000299067, -1e-22, -6.35001e-05, -1e-22, -5.50396e-05, -0.000277823, -6.909e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00704757");
-            index_3 ("0.42825, 0.49427, 0.533592, 0.559629, 0.579626, 0.643529, 0.719707, 0.74223, 0.771634, 0.795239, 0.806575, 0.828674, 0.876976, 0.885788, 0.932631, 0.948136, 0.977058, 1.0125, 1.05623, 1.21589, 1.23694, 1.26624");
-            values ( \
-              "-0.00183296, -0.00585361, -0.009212, -0.0121051, -0.0149873, -0.0256895, -0.037138, -0.041855, -0.0503479, -0.0539709, -0.0540739, -0.0501119, -0.0180091, -0.015208, -0.00711161, -0.00491493, -0.0023, -0.000848173, -0.000212417, -4.60407e-05, -0.00047299, -0.000167054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136439");
-            index_3 ("0.468064, 0.521958, 0.539125, 0.56476, 0.591827, 0.630667, 0.673154, 0.762001, 0.796363, 0.809839, 0.826997, 0.844522, 0.855087, 0.876216, 0.884254, 0.92416, 0.952423, 0.964881, 0.982969, 1.03508, 1.05797, 1.088, 1.12561, 1.17321, 1.21605, 1.23673, 1.28989");
-            values ( \
-              "-0.0124873, -0.0129318, -0.0154385, -0.019846, -0.025735, -0.0356153, -0.0456564, -0.0651257, -0.0760866, -0.0813118, -0.0852352, -0.0880867, -0.0881695, -0.0830727, -0.0778219, -0.0449505, -0.023669, -0.0180951, -0.0140099, -0.00675169, -0.00444378, -0.0023889, -0.00104247, -0.000341533, -0.000154737, -0.000828049, -0.00019574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0264143");
-            index_3 ("0.468117, 0.523452, 0.580056, 0.689777, 0.819782, 0.873869, 0.88619, 0.899819, 0.927077, 0.935457, 0.952219, 0.972976, 1.0382, 1.073, 1.09745, 1.1254, 1.17517, 1.21618, 1.22783, 1.25651, 1.2795");
-            values ( \
-              "-0.015445, -0.0189414, -0.0321311, -0.0674068, -0.104473, -0.124886, -0.128687, -0.131787, -0.135197, -0.134309, -0.129198, -0.11405, -0.0558538, -0.0331563, -0.0212825, -0.0140584, -0.0085583, -0.00537632, -0.00578193, -0.00386566, -0.00299424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0511375");
-            index_3 ("0.49726, 0.566859, 0.608329, 0.683434, 0.742453, 0.836252, 0.910982, 0.983599, 0.999424, 1.01434, 1.03826, 1.06164, 1.10839, 1.18523, 1.216, 1.26078, 1.27866, 1.3025, 1.3423, 1.36314, 1.38972, 1.42515, 1.48088, 1.53719, 1.59621, 1.60836");
-            values ( \
-              "-0.0333675, -0.0369998, -0.0509948, -0.0808742, -0.102789, -0.135168, -0.159681, -0.186781, -0.191093, -0.193893, -0.195518, -0.19114, -0.158195, -0.0911212, -0.0697619, -0.0466483, -0.0388911, -0.030044, -0.0188562, -0.0154595, -0.0122295, -0.00921813, -0.00613169, -0.00398159, -0.00247905, -0.002272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0990011");
-            index_3 ("0.536125, 0.645028, 0.704508, 0.81056, 0.895985, 0.967835, 1.10792, 1.12133, 1.14816, 1.18835, 1.21569, 1.23237, 1.25452, 1.34149, 1.36965, 1.42597, 1.46305, 1.49496, 1.53321, 1.58401, 1.60015, 1.63242, 1.69144, 1.74468, 1.77258, 1.82837, 1.88739, 1.94641, 2.00543, 2.06445, 2.12347, 2.2415, 2.41856");
-            values ( \
-              "-0.0598954, -0.0749356, -0.101559, -0.145737, -0.179317, -0.205888, -0.254, -0.258992, -0.266156, -0.270608, -0.266439, -0.25898, -0.244532, -0.178674, -0.158392, -0.121914, -0.101515, -0.0862374, -0.0704713, -0.0534791, -0.0488937, -0.0408137, -0.0287911, -0.0205582, -0.0174725, -0.0127409, -0.00946783, -0.00711615, -0.00538925, -0.00409348, -0.00307876, -0.00172861, -0.00072118" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00655681, 0.00657515, 0.0065962, 0.00661541, 0.00662965, 0.00663876", \
-            "0.00771662, 0.00772713, 0.00774169, 0.00775748, 0.00777096, 0.00778052", \
-            "0.00841943, 0.00842341, 0.00843016, 0.00843951, 0.00844943, 0.00845753", \
-            "0.0088402, 0.00884121, 0.00884311, 0.00884653, 0.00885157, 0.00885697", \
-            "0.0090606, 0.00906107, 0.00906176, 0.00906276, 0.00906439, 0.00906686", \
-            "0.00917417, 0.00917405, 0.00917505, 0.00917634, 0.00917772, 0.0091791" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00911387, 0.00912434, 0.00913678, 0.00914796, 0.00915649, 0.0091641", \
-            "0.0101318, 0.0101402, 0.0101488, 0.0101558, 0.0101601, 0.010162", \
-            "0.0106568, 0.0106733, 0.0106896, 0.0107022, 0.0107099, 0.0107137", \
-            "0.0111269, 0.011081, 0.0110306, 0.010987, 0.0109542, 0.0109335", \
-            "0.0118487, 0.0116789, 0.011485, 0.0113095, 0.0111778, 0.0110918", \
-            "0.0129552, 0.0126782, 0.0122774, 0.0118631, 0.0115249, 0.011297" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00787556, 0.00791753, 0.00795855, 0.00795699, 0.00791335, 0.00782798", \
-            "0.00778894, 0.00783886, 0.00787927, 0.00788752, 0.0078419, 0.0077621", \
-            "0.00764546, 0.00769392, 0.0077477, 0.00777043, 0.00774492, 0.0076712", \
-            "0.00749471, 0.00753953, 0.00758825, 0.00763969, 0.00763026, 0.00759069", \
-            "0.00757662, 0.00760492, 0.00765182, 0.00768779, 0.00772447, 0.00768535", \
-            "0.00815955, 0.00816857, 0.00816539, 0.00821609, 0.00824033, 0.00825757" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0124482, 0.0124108, 0.0123401, 0.0122255, 0.0121269, 0.0121047", \
-            "0.012374, 0.0123515, 0.012288, 0.0121882, 0.0120941, 0.0120723", \
-            "0.012264, 0.0122558, 0.0122166, 0.0121495, 0.0120758, 0.0120616", \
-            "0.0122191, 0.0122156, 0.0122173, 0.0122112, 0.0121935, 0.0121891", \
-            "0.0123873, 0.0123984, 0.012414, 0.0124318, 0.0124377, 0.0124605", \
-            "0.013306, 0.0133126, 0.0133242, 0.0133688, 0.0133607, 0.013392" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0110089, 0.0110787, 0.0111591, 0.0112418, 0.0113281, 0.0114389", \
-            "0.0109168, 0.0109679, 0.0110989, 0.0112206, 0.0113213, 0.0114381", \
-            "0.0108048, 0.0108821, 0.0109932, 0.0111142, 0.0112398, 0.0113949", \
-            "0.0108581, 0.0108834, 0.0109108, 0.0110499, 0.0112001, 0.0113666", \
-            "0.0112455, 0.0112304, 0.0112014, 0.0112106, 0.0112278, 0.011367", \
-            "0.0125725, 0.012408, 0.0122466, 0.012046, 0.0118192, 0.0116962" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00158284, 0.00165452, 0.00173298, 0.00183116, 0.00195296, 0.00210864", \
-            "0.00153142, 0.00160875, 0.00171241, 0.00182655, 0.00194712, 0.0021376", \
-            "0.00139531, 0.00150592, 0.00162019, 0.00175721, 0.00192847, 0.00214727", \
-            "0.00129802, 0.00138011, 0.00148872, 0.00163984, 0.00184664, 0.00208531", \
-            "0.00142189, 0.00145876, 0.00153348, 0.00159762, 0.00166951, 0.00201629", \
-            "0.00224801, 0.00214667, 0.00199923, 0.00198859, 0.00200527, 0.00205502" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.007027, 0.00707611, 0.00712343, 0.0071172, 0.00707024, 0.00699265", \
-            "0.00694031, 0.0069973, 0.00705052, 0.00704214, 0.00700946, 0.00692418", \
-            "0.00677282, 0.00682464, 0.00687547, 0.00689644, 0.00686714, 0.00679736", \
-            "0.00656032, 0.00661452, 0.00667198, 0.00673037, 0.00672194, 0.00667467", \
-            "0.00653714, 0.00657554, 0.00663947, 0.00670212, 0.00672485, 0.00668291", \
-            "0.00714328, 0.00714438, 0.00714731, 0.00718041, 0.00719421, 0.00718553" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0129891, 0.0130628, 0.0131257, 0.0131637, 0.013202, 0.0132859", \
-            "0.0129382, 0.0130076, 0.0130813, 0.013131, 0.0131724, 0.0132557", \
-            "0.0128546, 0.0129301, 0.0130182, 0.0130932, 0.0131567, 0.0132501", \
-            "0.0128621, 0.0129344, 0.0130398, 0.0131431, 0.0132532, 0.0133707", \
-            "0.0130832, 0.0131377, 0.0132284, 0.013365, 0.0135211, 0.0136828", \
-            "0.0140741, 0.0140949, 0.0141624, 0.0142964, 0.0144096, 0.014614" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.0124125, 0.0124765, 0.0125679, 0.0126367, 0.0127216, 0.012834", \
-            "0.0122968, 0.0123774, 0.0124751, 0.0125751, 0.012677, 0.0128035", \
-            "0.0121731, 0.0122473, 0.0123563, 0.0124991, 0.0126149, 0.012766", \
-            "0.0120218, 0.0120683, 0.0121985, 0.0123433, 0.0125191, 0.0126877", \
-            "0.0120323, 0.0120642, 0.0120927, 0.0121877, 0.0123736, 0.0126157", \
-            "0.0125888, 0.0125216, 0.0124902, 0.0124633, 0.0124501, 0.0125739" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00704757, 0.0136439, 0.0264143, 0.0511375, 0.0990011");
-          values ( \
-            "0.00280542, 0.00285472, 0.00291172, 0.0029799, 0.00307576, 0.00321423", \
-            "0.00272844, 0.00277765, 0.00284083, 0.00292977, 0.00302541, 0.003177", \
-            "0.00260854, 0.00266991, 0.00275865, 0.00283334, 0.00296507, 0.00313835", \
-            "0.00249694, 0.00256186, 0.00263786, 0.00273559, 0.00289134, 0.00307121", \
-            "0.00260408, 0.00263952, 0.00267277, 0.00269652, 0.00279493, 0.00301398", \
-            "0.00331317, 0.0032305, 0.00318436, 0.00315779, 0.00313606, 0.00307353" \
-          );
-        }
-      }
-    }
-    pin (S) {
-      direction : output;
-      function : "(A * !B) + (!A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.220017;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "~B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "!B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0971079, 0.131325, 0.20677, 0.375347, 0.757253, 1.62296", \
-            "0.101664, 0.136031, 0.211583, 0.380389, 0.76213, 1.62829", \
-            "0.112001, 0.146472, 0.222091, 0.39106, 0.773186, 1.63922", \
-            "0.132482, 0.168734, 0.24587, 0.415217, 0.797274, 1.66327", \
-            "0.155786, 0.202015, 0.292186, 0.468491, 0.850313, 1.7159", \
-            "0.169832, 0.228831, 0.344568, 0.561433, 0.969833, 1.83676" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.031732, 0.0502718, 0.0914691, 0.185078, 0.397696, 0.87937", \
-            "0.0317659, 0.0502234, 0.0914826, 0.185144, 0.397674, 0.879338", \
-            "0.0317231, 0.0501171, 0.0914902, 0.18514, 0.397788, 0.879446", \
-            "0.0333668, 0.0509348, 0.0914698, 0.185006, 0.397419, 0.879378", \
-            "0.0381612, 0.0556087, 0.0944847, 0.185538, 0.397457, 0.879371", \
-            "0.0475459, 0.0657826, 0.105122, 0.193776, 0.398671, 0.87912" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.112693, 0.152072, 0.23863, 0.432233, 0.868114, 1.85944", \
-            "0.117366, 0.156838, 0.243622, 0.437184, 0.873477, 1.86513", \
-            "0.129496, 0.16885, 0.255661, 0.449481, 0.885905, 1.87768", \
-            "0.158939, 0.197769, 0.284035, 0.477525, 0.913992, 1.90566", \
-            "0.214064, 0.260769, 0.351782, 0.544616, 0.980473, 1.97008", \
-            "0.298928, 0.35864, 0.475352, 0.693196, 1.13045, 2.11665" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.029927, 0.0471264, 0.0853328, 0.171252, 0.365831, 0.805551", \
-            "0.0299164, 0.0471626, 0.0852002, 0.171422, 0.366499, 0.805615", \
-            "0.029918, 0.0471281, 0.085322, 0.171371, 0.366466, 0.805606", \
-            "0.030421, 0.0473015, 0.0853363, 0.171282, 0.366344, 0.805625", \
-            "0.0357458, 0.0517452, 0.0864298, 0.171223, 0.365602, 0.805584", \
-            "0.0454025, 0.0634378, 0.0994679, 0.175645, 0.365773, 0.804617" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0741505, 0.0784913, 0.0864781, 0.0932335, 0.0988471, 0.103953, 0.108835, 0.113686, 0.118535, 0.127324, 0.135706, 0.144639, 0.152016, 0.157689, 0.165151, 0.173869");
-            values ( \
-              "0.0288268, 0.0449814, 0.0852687, 0.10905, 0.124498, 0.132173, 0.136328, 0.134061, 0.124094, 0.0748392, 0.0426765, 0.0230247, 0.0136924, 0.00914185, 0.00548922, 0.00366264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.0843813, 0.0939766, 0.118276, 0.127144, 0.135195, 0.142903, 0.150589, 0.158274, 0.181925, 0.196246, 0.206944, 0.218135, 0.232554, 0.236678");
-            values ( \
-              "0.0270606, 0.0559944, 0.156804, 0.179043, 0.190726, 0.195539, 0.191967, 0.175649, 0.074458, 0.0395315, 0.023901, 0.0138696, 0.00690118, 0.00592082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.110544, 0.124614, 0.154967, 0.1744, 0.190382, 0.204898, 0.218931, 0.23324, 0.242933, 0.274116, 0.293444, 0.314772, 0.331319, 0.352193, 0.376638, 0.390189");
-            values ( \
-              "0.0233123, 0.049268, 0.149559, 0.198026, 0.225831, 0.23898, 0.243924, 0.237492, 0.2239, 0.136317, 0.0889266, 0.0521455, 0.0334765, 0.0186549, 0.00926041, 0.00670552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.161294, 0.206569, 0.243042, 0.258356, 0.28331, 0.297307, 0.325301, 0.337442, 0.358614, 0.368583, 0.386915, 0.415148, 0.425287, 0.435101, 0.445844, 0.467329, 0.507669, 0.539282, 0.564764, 0.583043, 0.597648, 0.615591, 0.640788, 0.666987, 0.687057, 0.727198, 0.783878");
-            values ( \
-              "0.000142413, 0.0677542, 0.140054, 0.167616, 0.206299, 0.224205, 0.251369, 0.25955, 0.269015, 0.271483, 0.272624, 0.26525, 0.258595, 0.249721, 0.237046, 0.205257, 0.142045, 0.099878, 0.0729432, 0.0574531, 0.0472198, 0.0368553, 0.0257553, 0.0176706, 0.0131695, 0.00717494, 0.00329922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.297881, 0.381087, 0.435692, 0.493371, 0.529844, 0.576649, 0.645254, 0.707974, 0.768919, 0.829762, 0.848316, 0.867468, 0.895831, 0.952557, 1.05358, 1.09084, 1.12885, 1.17954, 1.20538, 1.24818, 1.30524, 1.32673, 1.37505, 1.43027, 1.54072, 1.65905, 1.77738");
-            values ( \
-              "0.0151976, 0.0686653, 0.123776, 0.177771, 0.207265, 0.238367, 0.270181, 0.285116, 0.288303, 0.279496, 0.273585, 0.265525, 0.249871, 0.209409, 0.133642, 0.109607, 0.0882869, 0.0649526, 0.0552329, 0.0419303, 0.0286383, 0.0247719, 0.0178308, 0.0121319, 0.00540167, 0.0022187, 0.000930168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.652587, 0.777421, 0.899127, 1.02661, 1.14384, 1.21034, 1.32867, 1.36144, 1.42698, 1.50008, 1.61841, 1.66776, 1.71848, 1.77067, 1.87505, 1.99213, 2.23365, 2.39138, 2.46257, 2.58369, 2.63854, 2.74826, 2.88272, 2.96523, 3.08355, 3.20188, 3.32021, 3.55687, 3.91185");
-            values ( \
-              "0.0576701, 0.0692962, 0.126978, 0.182979, 0.22563, 0.245384, 0.271992, 0.277535, 0.286161, 0.292504, 0.295543, 0.2942, 0.291161, 0.285752, 0.266795, 0.23234, 0.147779, 0.100895, 0.0834214, 0.0594604, 0.0508606, 0.0365699, 0.0242447, 0.0188763, 0.0128901, 0.0089434, 0.00601689, 0.00277205, 0.000955706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0926951, 0.0967666, 0.100393, 0.104786, 0.111525, 0.117152, 0.122267, 0.127154, 0.132009, 0.136863, 0.145672, 0.148618, 0.154036, 0.159141, 0.16297, 0.166517, 0.17036, 0.176033, 0.183489, 0.189504, 0.201534, 0.219158, 0.225894");
-            values ( \
-              "0.0192917, 0.045176, 0.0643219, 0.0846524, 0.109246, 0.123805, 0.13245, 0.135786, 0.134359, 0.123647, 0.0747349, 0.0612686, 0.0426682, 0.0300832, 0.0230233, 0.0179558, 0.0136817, 0.00913476, 0.00548816, 0.00368068, 0.00170699, 0.000653636, 0.000580612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.103895, 0.109145, 0.136779, 0.145627, 0.153669, 0.16137, 0.169073, 0.176743, 0.200438, 0.208099, 0.221376, 0.234099, 0.24906, 0.261526");
-            values ( \
-              "0.0292616, 0.0423748, 0.157232, 0.179352, 0.190924, 0.195697, 0.192034, 0.175673, 0.0742892, 0.0534506, 0.028963, 0.0156506, 0.00759415, 0.0054144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.132385, 0.135393, 0.14541, 0.17327, 0.192595, 0.208557, 0.223079, 0.237114, 0.251121, 0.256599, 0.261114, 0.273789, 0.292301, 0.311631, 0.320772, 0.33296, 0.349502, 0.368632, 0.380872, 0.39486, 0.422837, 0.435053");
-            values ( \
-              "0.0264856, 0.0304248, 0.057052, 0.149668, 0.198459, 0.225318, 0.239386, 0.243464, 0.237901, 0.231443, 0.223897, 0.19144, 0.136317, 0.0889222, 0.071263, 0.0521439, 0.0334777, 0.0195883, 0.0138581, 0.00924885, 0.00398605, 0.00310807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.181553, 0.221162, 0.277623, 0.316681, 0.340712, 0.348708, 0.364007, 0.378, 0.406367, 0.434732, 0.447236, 0.45994, 0.528458, 0.556857, 0.583616, 0.602296, 0.635266, 0.660362, 0.686194, 0.705942, 0.745437, 0.788581");
-            values ( \
-              "0.0146257, 0.0585894, 0.167398, 0.224218, 0.247805, 0.25433, 0.263099, 0.269099, 0.272491, 0.265342, 0.25658, 0.24379, 0.140143, 0.102089, 0.0734854, 0.0576045, 0.0367265, 0.0257152, 0.0177382, 0.013272, 0.00731753, 0.00428795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.300344, 0.398243, 0.511797, 0.569969, 0.595328, 0.646044, 0.663793, 0.696537, 0.726547, 0.787471, 0.848392, 0.866383, 0.884955, 0.914474, 0.97351, 1.0595, 1.11019, 1.16175, 1.20453, 1.24242, 1.29295, 1.33454, 1.3798, 1.44014, 1.55847, 1.6768, 1.79513");
-            values ( \
-              "0.00447198, 0.0668068, 0.177374, 0.222057, 0.238264, 0.263034, 0.269855, 0.279018, 0.284854, 0.287877, 0.279463, 0.273652, 0.265933, 0.2498, 0.207591, 0.142561, 0.109195, 0.081158, 0.0624939, 0.0491655, 0.0352516, 0.0266962, 0.019635, 0.012876, 0.00539179, 0.00219447, 0.000936298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.657742, 0.796796, 0.918265, 1.04577, 1.11667, 1.22956, 1.34789, 1.44611, 1.51926, 1.63759, 1.68692, 1.73765, 1.78984, 1.89423, 1.93546, 2.0113, 2.25281, 2.34553, 2.41054, 2.48174, 2.57542, 2.65771, 2.76742, 2.86063, 2.98439, 3.10272, 3.22105, 3.33937, 3.4577, 3.69436, 3.93101");
-            values ( \
-              "0.0445512, 0.0694814, 0.126951, 0.182903, 0.209961, 0.245352, 0.272094, 0.286246, 0.292448, 0.295625, 0.29428, 0.291099, 0.285828, 0.266726, 0.255867, 0.232271, 0.14785, 0.118811, 0.100825, 0.0834926, 0.0643416, 0.0507898, 0.0366407, 0.0275734, 0.0188056, 0.0129608, 0.00887277, 0.00608748, 0.00414069, 0.0019201, 0.000885299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.13073, 0.146001, 0.152737, 0.158352, 0.163456, 0.168337, 0.173187, 0.178036, 0.18683, 0.195209, 0.204145, 0.211527, 0.224671, 0.239935");
-            values ( \
-              "0.000722951, 0.0851146, 0.109512, 0.124219, 0.132546, 0.136048, 0.13435, 0.123824, 0.0748197, 0.0426667, 0.0230172, 0.0136844, 0.00548369, 0.00229152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.148232, 0.153408, 0.160642, 0.167686, 0.17835, 0.187156, 0.19517, 0.202856, 0.210543, 0.218204, 0.23117, 0.241864, 0.249649, 0.255983, 0.262602, 0.267306, 0.275354, 0.284389, 0.291549, 0.305868, 0.320547");
-            values ( \
-              "0.0350588, 0.0540688, 0.0902184, 0.120978, 0.158507, 0.179742, 0.191669, 0.195757, 0.192522, 0.175572, 0.115033, 0.0744095, 0.0532376, 0.0398595, 0.0292786, 0.0233871, 0.0157927, 0.0102082, 0.00721676, 0.00357983, 0.00204525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.168666, 0.226805, 0.233965, 0.248283, 0.253167, 0.264434, 0.275339, 0.278461, 0.284705, 0.29246, 0.306564, 0.340107, 0.353145, 0.372991, 0.38607, 0.394762, 0.411883, 0.421891, 0.441907, 0.477171, 0.518557");
-            values ( \
-              "0.00361006, 0.182229, 0.198241, 0.223109, 0.229217, 0.239083, 0.243141, 0.24327, 0.242117, 0.237473, 0.215579, 0.118585, 0.0884993, 0.0538409, 0.0381297, 0.0300729, 0.0185999, 0.0139697, 0.00778852, 0.00257019, 0.000720098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.221545, 0.266659, 0.303182, 0.31878, 0.343836, 0.357784, 0.38568, 0.398175, 0.419125, 0.427704, 0.444862, 0.452576, 0.462861, 0.475911, 0.502012, 0.515213, 0.563326, 0.601221, 0.621279, 0.642369, 0.660457, 0.684574, 0.711026, 0.725163, 0.753438, 0.809987, 0.848793");
-            values ( \
-              "0.00149125, 0.0666075, 0.139165, 0.167245, 0.206166, 0.223986, 0.251167, 0.259595, 0.268956, 0.271122, 0.272682, 0.272103, 0.270025, 0.264846, 0.242836, 0.224869, 0.149041, 0.0981842, 0.0767306, 0.0583826, 0.0457779, 0.0326521, 0.0223902, 0.0182889, 0.0120171, 0.00498507, 0.00312555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.347904, 0.441149, 0.512534, 0.553935, 0.586708, 0.637348, 0.705984, 0.768723, 0.82968, 0.890535, 0.908969, 0.927997, 0.956605, 1.01382, 1.10332, 1.15229, 1.20315, 1.24632, 1.28507, 1.33674, 1.37716, 1.42135, 1.48026, 1.5981, 1.71642, 1.83475");
-            values ( \
-              "0.00704899, 0.0677846, 0.139506, 0.17748, 0.204095, 0.238259, 0.270016, 0.285103, 0.288202, 0.27952, 0.27361, 0.265616, 0.249862, 0.209022, 0.141357, 0.109206, 0.0815003, 0.0626034, 0.0489824, 0.0348547, 0.0265923, 0.0197003, 0.0130615, 0.00548541, 0.00224112, 0.000947757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.720444, 0.838715, 1.07795, 1.14231, 1.27035, 1.35391, 1.42139, 1.53972, 1.6015, 1.68387, 1.76066, 1.83076, 1.94909, 2.03152, 2.40744, 2.56028, 2.62643, 2.73653, 2.85485, 2.94043, 3.06872, 3.18705, 3.30538, 3.5119");
-            values ( \
-              "0.0639996, 0.069991, 0.179463, 0.204387, 0.24529, 0.265252, 0.277388, 0.291134, 0.294555, 0.295426, 0.292426, 0.285744, 0.263381, 0.239199, 0.112754, 0.0754038, 0.0625147, 0.0455482, 0.031777, 0.0244561, 0.0163986, 0.011359, 0.00769622, 0.00409197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.21476, 0.2221, 0.23256, 0.24022, 0.24636, 0.248961, 0.254508, 0.260709, 0.264556, 0.267252, 0.275779, 0.282724, 0.291245, 0.298688, 0.304141, 0.307932, 0.312435, 0.318438, 0.330445, 0.345482");
-            values ( \
-              "0.00666564, 0.0290636, 0.0719031, 0.0986302, 0.114951, 0.120183, 0.127844, 0.130338, 0.126828, 0.119281, 0.0747712, 0.0470325, 0.0264848, 0.0157784, 0.0108869, 0.00821503, 0.00602683, 0.00418638, 0.00180396, 0.00118049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.226919, 0.240658, 0.25491, 0.26029, 0.266921, 0.270464, 0.277217, 0.283433, 0.285424, 0.289405, 0.293226, 0.300973, 0.308743, 0.321806, 0.325495, 0.332574, 0.340018, 0.346057, 0.351124, 0.353927, 0.359155, 0.366513, 0.369776, 0.376302, 0.389354, 0.410383, 0.435571, 0.467514");
-            values ( \
-              "0.00400138, 0.0393621, 0.101498, 0.123409, 0.147035, 0.157812, 0.174497, 0.185283, 0.187726, 0.191376, 0.193038, 0.190599, 0.174485, 0.114409, 0.0990056, 0.0739249, 0.0537453, 0.0408525, 0.0323246, 0.0283255, 0.0220604, 0.0154278, 0.0131831, 0.00960004, 0.00504942, 0.00177958, 0.000595417, 0.000181277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.27326, 0.28903, 0.3065, 0.315782, 0.325809, 0.341765, 0.356284, 0.370317, 0.384323, 0.389869, 0.394352, 0.399247, 0.406992, 0.425503, 0.436389, 0.444833, 0.453976, 0.466166, 0.475803, 0.4827, 0.496493, 0.501835, 0.5141, 0.528118, 0.556154, 0.595926, 0.644949");
-            values ( \
-              "0.0663704, 0.0923786, 0.149697, 0.17536, 0.198411, 0.225523, 0.239285, 0.243624, 0.237781, 0.231355, 0.223833, 0.212967, 0.191437, 0.136315, 0.107813, 0.0889195, 0.0712582, 0.0521374, 0.0403952, 0.0334814, 0.0227638, 0.0195887, 0.0138481, 0.00923436, 0.00397206, 0.00111641, 0.000253772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.33004, 0.360371, 0.399953, 0.411298, 0.433989, 0.450097, 0.482117, 0.511377, 0.539738, 0.568262, 0.581487, 0.595138, 0.60559, 0.658282, 0.6901, 0.719041, 0.740048, 0.762346, 0.791275, 0.801072, 0.824516, 0.851309, 0.904895, 0.940625");
-            values ( \
-              "0.0306735, 0.0706422, 0.148589, 0.168514, 0.204096, 0.224666, 0.254901, 0.269424, 0.273165, 0.26527, 0.256001, 0.241658, 0.227544, 0.14518, 0.102128, 0.0714962, 0.054267, 0.0400501, 0.026548, 0.0230838, 0.0164491, 0.0110713, 0.00480589, 0.00318387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.461892, 0.534846, 0.589088, 0.646784, 0.704494, 0.730018, 0.781066, 0.798382, 0.831076, 0.861079, 0.921967, 0.982854, 1.00121, 1.02016, 1.04893, 1.10649, 1.19535, 1.24463, 1.29562, 1.33872, 1.37731, 1.42877, 1.46941, 1.51379, 1.57297, 1.6913, 1.80963, 1.92796");
-            values ( \
-              "0.0262001, 0.0694515, 0.124119, 0.178237, 0.222517, 0.238712, 0.263575, 0.27013, 0.279289, 0.285026, 0.288037, 0.27952, 0.27359, 0.265646, 0.249836, 0.208746, 0.141571, 0.109199, 0.0814342, 0.0625851, 0.0490177, 0.0349241, 0.0266134, 0.0196904, 0.0130248, 0.00545197, 0.00222237, 0.000944915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.798269, 0.930827, 1.05242, 1.17984, 1.28979, 1.36356, 1.48189, 1.51465, 1.58019, 1.6533, 1.77163, 1.82097, 1.8717, 1.92389, 2.02827, 2.14535, 2.38686, 2.47958, 2.54459, 2.61579, 2.70947, 2.79176, 2.90147, 2.99469, 3.11844, 3.23677, 3.3551, 3.47343, 3.71008, 4.06507");
-            values ( \
-              "0.0502151, 0.0693674, 0.126987, 0.182934, 0.223311, 0.245333, 0.272035, 0.277485, 0.286204, 0.292455, 0.295589, 0.294246, 0.291113, 0.285798, 0.266748, 0.232292, 0.147827, 0.118833, 0.100847, 0.0834695, 0.0643642, 0.0508124, 0.036618, 0.027596, 0.0188282, 0.0129381, 0.00889535, 0.0060649, 0.00282003, 0.000907776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.375003, 0.385925, 0.388139, 0.392149, 0.401152, 0.407816, 0.417177, 0.421022, 0.424937, 0.430887, 0.436606, 0.442315, 0.443442, 0.44662, 0.452825, 0.457653, 0.461004, 0.465899, 0.469911, 0.473551, 0.477611, 0.483594, 0.486739, 0.4916, 0.498082, 0.511045, 0.52937, 0.560155, 0.584979");
-            values ( \
-              "0.00660927, 0.0174128, 0.0210111, 0.0297694, 0.0549786, 0.0714513, 0.0926014, 0.100102, 0.106634, 0.113638, 0.115992, 0.110651, 0.107149, 0.0943299, 0.0650996, 0.0482042, 0.0391096, 0.0285016, 0.021851, 0.0171451, 0.0130345, 0.00867436, 0.00705364, 0.00514891, 0.00342064, 0.00157848, 0.00063938, 7.60731e-05, 0.000125984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.395365, 0.411279, 0.41725, 0.425089, 0.440879, 0.4489, 0.459487, 0.468698, 0.477264, 0.485636, 0.493995, 0.508416, 0.516172, 0.520527, 0.52586, 0.532971, 0.539349, 0.543973, 0.550335, 0.556758, 0.568686, 0.578362, 0.594611, 0.599009");
-            values ( \
-              "0.00590625, 0.0235425, 0.0356437, 0.0573912, 0.10585, 0.12802, 0.153634, 0.169351, 0.177849, 0.178335, 0.166359, 0.106928, 0.0789952, 0.0663734, 0.0529718, 0.0386242, 0.0288996, 0.0232857, 0.0172274, 0.012694, 0.00719207, 0.00460862, 0.00220476, 0.00195282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.434524, 0.453906, 0.464695, 0.47551, 0.508049, 0.520859, 0.537929, 0.55051, 0.558434, 0.567645, 0.571109, 0.576517, 0.581942, 0.592791, 0.643197, 0.665345, 0.686309, 0.697801, 0.71099, 0.728575, 0.736183");
-            values ( \
-              "0.00858802, 0.0211683, 0.0388898, 0.0635283, 0.153025, 0.182789, 0.213285, 0.227669, 0.233405, 0.236612, 0.236629, 0.235902, 0.232916, 0.219941, 0.0880897, 0.0508804, 0.0289677, 0.0208629, 0.0143529, 0.00874671, 0.00745227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.490491, 0.538308, 0.559699, 0.614422, 0.653856, 0.680937, 0.696346, 0.715449, 0.743873, 0.772288, 0.792827, 0.818675, 0.875793, 0.894483, 0.916138, 0.938151, 0.958354, 0.985292, 1.00178, 1.04489, 1.09416, 1.1251");
-            values ( \
-              "0.00597119, 0.026454, 0.0586655, 0.164522, 0.222494, 0.249355, 0.25982, 0.268342, 0.272272, 0.264918, 0.248803, 0.214072, 0.126089, 0.102024, 0.0783365, 0.0589244, 0.0448972, 0.030733, 0.0243304, 0.0129665, 0.00609261, 0.00427563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.670523, 0.739618, 0.81093, 0.850408, 0.882946, 0.933478, 1.00198, 1.06465, 1.12556, 1.18637, 1.20526, 1.22477, 1.25243, 1.30776, 1.37009, 1.44757, 1.48095, 1.52545, 1.55458, 1.58808, 1.62299, 1.69092, 1.72912, 1.80551, 1.92383, 2.04216, 2.16049");
-            values ( \
-              "0.0300547, 0.0709392, 0.14258, 0.178598, 0.204935, 0.238877, 0.270409, 0.285354, 0.288373, 0.279625, 0.27353, 0.26524, 0.249909, 0.210492, 0.162591, 0.10951, 0.0906257, 0.0694122, 0.0579163, 0.0467745, 0.0372057, 0.0235629, 0.0181592, 0.0105813, 0.00441502, 0.00179557, 0.000768823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("1.0141, 1.13387, 1.32008, 1.3831, 1.49984, 1.56684, 1.68516, 1.71793, 1.78347, 1.85658, 1.97491, 2.02425, 2.07497, 2.12716, 2.23155, 2.34863, 2.59014, 2.68286, 2.74787, 2.81907, 2.91275, 2.99504, 3.10475, 3.19796, 3.32172, 3.44005, 3.55838, 3.6767, 3.91336, 4.26835");
-            values ( \
-              "0.063075, 0.0692411, 0.156358, 0.182935, 0.22551, 0.245345, 0.27203, 0.277496, 0.286199, 0.292466, 0.295581, 0.294239, 0.291123, 0.28579, 0.266756, 0.2323, 0.147821, 0.118839, 0.100852, 0.0834649, 0.0643686, 0.0508162, 0.0366142, 0.0275996, 0.0188314, 0.012935, 0.0088984, 0.00606189, 0.00281719, 0.000910383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.683572, 0.712929, 0.716951, 0.722645, 0.727414, 0.72905, 0.732321, 0.744222, 0.752452, 0.76217, 0.77054, 0.778118, 0.785242, 0.792258, 0.799261, 0.804481, 0.809888, 0.81282, 0.817672, 0.822496, 0.827205, 0.833484, 0.838584, 0.841454, 0.847192, 0.858669, 0.868045");
-            values ( \
-              "0.00176368, 0.00728601, 0.0100219, 0.0147556, 0.0199698, 0.0221523, 0.0271111, 0.0486165, 0.0610827, 0.0736786, 0.08307, 0.0898935, 0.093971, 0.0931717, 0.0777608, 0.0581237, 0.0427536, 0.0362317, 0.0272046, 0.0203163, 0.0151844, 0.0101548, 0.00740839, 0.006176, 0.00439535, 0.00223808, 0.00156489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.731363, 0.754896, 0.760184, 0.770236, 0.796284, 0.820445, 0.826346, 0.836657, 0.846445, 0.856215, 0.866, 0.875533, 0.88499, 0.898866, 0.90948, 0.920834, 0.935359, 0.950853, 0.975333, 1.00527");
-            values ( \
-              "0.00547494, 0.0198316, 0.0254611, 0.0403629, 0.0910751, 0.130537, 0.138642, 0.149838, 0.154994, 0.149536, 0.121079, 0.0875785, 0.0614941, 0.034594, 0.0215908, 0.0128218, 0.00660154, 0.00325401, 0.00110556, 0.000396697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.78814, 0.821027, 0.829156, 0.836319, 0.851229, 0.871642, 0.898482, 0.918894, 0.936487, 0.952679, 0.968434, 0.984159, 0.991387, 1.01273, 1.02608, 1.03875, 1.05592, 1.06384, 1.07316, 1.08633, 1.10023, 1.11092, 1.13231, 1.15617");
-            values ( \
-              "0.00591892, 0.0231927, 0.0310943, 0.0396949, 0.0634423, 0.102168, 0.149571, 0.181707, 0.202816, 0.214197, 0.215852, 0.201348, 0.185623, 0.129911, 0.0987682, 0.074185, 0.0485228, 0.0395251, 0.0308225, 0.0214717, 0.0146188, 0.0108243, 0.0058438, 0.0033175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("0.912126, 0.958547, 0.987934, 1.05904, 1.10138, 1.12199, 1.13943, 1.1691, 1.1986, 1.21557, 1.22905, 1.2864, 1.32591, 1.3675, 1.40166, 1.43731, 1.45877, 1.50168, 1.57056, 1.65226");
-            values ( \
-              "0.0242521, 0.0419651, 0.078937, 0.182574, 0.23009, 0.245884, 0.255277, 0.262627, 0.258238, 0.247729, 0.234847, 0.149647, 0.0973895, 0.057764, 0.0362953, 0.0218682, 0.0160421, 0.00837197, 0.00274762, 0.00067144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("1.07906, 1.18294, 1.25466, 1.30051, 1.33804, 1.38483, 1.45384, 1.51676, 1.57785, 1.63937, 1.65602, 1.67377, 1.7049, 1.76715, 1.84439, 1.90064, 1.92254, 1.96635, 2.00653, 2.05633, 2.11589, 2.13614, 2.18287, 2.23628, 2.34309, 2.46142, 2.57974");
-            values ( \
-              "0.00621161, 0.0609497, 0.131676, 0.174347, 0.20508, 0.236503, 0.269071, 0.284352, 0.287846, 0.279042, 0.273812, 0.266592, 0.249737, 0.205105, 0.146536, 0.109204, 0.0965692, 0.0745056, 0.0581141, 0.0422103, 0.0283467, 0.0247172, 0.0179924, 0.0123996, 0.00569192, 0.00234335, 0.000971718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("1.42515, 1.58963, 1.76503, 1.83724, 1.95507, 2.02068, 2.13901, 2.237, 2.31026, 2.42858, 2.47782, 2.52856, 2.58078, 2.68522, 2.80223, 3.04373, 3.20146, 3.27265, 3.36633, 3.44862, 3.55834, 3.65155, 3.7753, 3.89363, 4.01196, 4.13028, 4.36694, 4.72193");
-            values ( \
-              "0.0258592, 0.0704789, 0.152749, 0.18338, 0.226205, 0.245592, 0.272195, 0.286286, 0.292575, 0.295635, 0.294286, 0.291185, 0.285818, 0.266776, 0.232324, 0.147814, 0.100863, 0.0834567, 0.0643788, 0.0508255, 0.0366048, 0.0276087, 0.0188405, 0.0129262, 0.00890731, 0.00605311, 0.00280847, 0.000919034" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00700513, 0.00704546, 0.00708698, 0.00711804, 0.00713622, 0.00714541", \
-            "0.00878793, 0.00883828, 0.00889919, 0.00895074, 0.008984, 0.00900172", \
-            "0.00987756, 0.00990465, 0.00994715, 0.00999249, 0.0100272, 0.0100473", \
-            "0.0105301, 0.0105154, 0.0105079, 0.0105147, 0.0105281, 0.0105393", \
-            "0.0109382, 0.010896, 0.0108472, 0.0107999, 0.0107782, 0.0107653", \
-            "0.0111706, 0.0111271, 0.0110695, 0.0110044, 0.010938, 0.0108936" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0100702, 0.0100996, 0.0101408, 0.0101771, 0.0101994, 0.0102109", \
-            "0.0102745, 0.0102035, 0.0101404, 0.0100969, 0.0100692, 0.010055", \
-            "0.00973566, 0.00961296, 0.00947524, 0.00933861, 0.00924375, 0.00919809", \
-            "0.00951524, 0.00930848, 0.00906542, 0.00887373, 0.00875449, 0.00868746", \
-            "0.0101121, 0.00966656, 0.00919061, 0.00884839, 0.00860767, 0.00849559", \
-            "0.0102469, 0.0102809, 0.0101213, 0.00920676, 0.0087302, 0.00849687" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0863216, 0.0912442, 0.093094, 0.0959171, 0.101002, 0.106092, 0.108309, 0.114234, 0.124332, 0.128945, 0.133472, 0.138713, 0.145486, 0.149963, 0.153829, 0.156116, 0.159578, 0.164194, 0.171666, 0.174381");
-            values ( \
-              "-0.0249739, -0.0340386, -0.0398767, -0.0509497, -0.0779063, -0.094079, -0.102743, -0.119131, -0.140081, -0.144623, -0.145311, -0.126243, -0.0714247, -0.0418709, -0.0250148, -0.0181878, -0.0111264, -0.00564238, -0.00183743, -0.00147582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.099843, 0.118993, 0.12689, 0.139299, 0.147761, 0.15601, 0.163654, 0.170892, 0.178038, 0.185178, 0.20308, 0.210017, 0.218484, 0.225071, 0.233337");
-            values ( \
-              "-0.00151084, -0.0764866, -0.1119, -0.149654, -0.171438, -0.188999, -0.201539, -0.208854, -0.208204, -0.187519, -0.0658779, -0.0374207, -0.0179049, -0.00979721, -0.00505218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.13836, 0.144398, 0.153173, 0.156057, 0.161824, 0.183783, 0.204809, 0.205608, 0.211996, 0.219297, 0.226897, 0.236748, 0.250517, 0.263658, 0.276599, 0.289529, 0.291645, 0.294466, 0.306737, 0.31123, 0.316035, 0.32232, 0.327691, 0.333107, 0.340824, 0.344143, 0.350782, 0.36406, 0.374748");
-            values ( \
-              "-0.030025, -0.037712, -0.0532406, -0.0607705, -0.0738183, -0.13702, -0.182849, -0.185089, -0.197581, -0.210769, -0.223277, -0.237522, -0.253154, -0.262166, -0.260872, -0.235049, -0.226998, -0.213953, -0.149025, -0.126936, -0.105637, -0.0817814, -0.0650434, -0.0511753, -0.0359008, -0.030761, -0.0224516, -0.0116169, -0.00765746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.219742, 0.25188, 0.309415, 0.352337, 0.386494, 0.416401, 0.443929, 0.470261, 0.496199, 0.522115, 0.575923, 0.599598, 0.62625, 0.646125, 0.672625, 0.698375");
-            values ( \
-              "-0.0320493, -0.0635593, -0.151503, -0.206525, -0.243878, -0.269202, -0.287455, -0.296952, -0.295735, -0.265508, -0.117861, -0.0727679, -0.0404218, -0.0256217, -0.0135134, -0.00805785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.349462, 0.461891, 0.591323, 0.652253, 0.684003, 0.747502, 0.776355, 0.814825, 0.857541, 0.879928, 0.924702, 0.958659, 0.991138, 1.04647, 1.1218, 1.16228, 1.1979, 1.21375, 1.24544, 1.27317, 1.30963, 1.35824, 1.4485, 1.55544");
-            values ( \
-              "-0.00268233, -0.0594181, -0.157046, -0.198536, -0.218228, -0.253573, -0.267545, -0.283916, -0.299049, -0.305367, -0.314597, -0.317189, -0.313969, -0.282103, -0.175082, -0.124448, -0.0893442, -0.0763798, -0.0557186, -0.041608, -0.0282712, -0.0167354, -0.00550744, -0.00154995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.801968, 0.950601, 1.31657, 1.44467, 1.59938, 1.74041, 1.87004, 1.98919, 2.0586, 2.1151, 2.22808, 2.41789, 2.51634, 2.63801, 2.72606, 2.82492, 2.90437, 3.02352, 3.14267, 3.38097");
-            values ( \
-              "-0.0445111, -0.0621158, -0.188468, -0.226773, -0.266497, -0.295455, -0.314975, -0.325394, -0.326693, -0.323579, -0.294337, -0.170389, -0.115738, -0.0682893, -0.0455196, -0.028514, -0.0192137, -0.0106402, -0.00566904, -0.00160341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.105089, 0.109782, 0.114416, 0.122022, 0.132713, 0.142791, 0.147402, 0.151926, 0.157168, 0.163932, 0.168414, 0.172287, 0.178021, 0.182625, 0.190084, 0.19899, 0.210286, 0.252708");
-            values ( \
-              "-0.0259463, -0.0334503, -0.051398, -0.08684, -0.119066, -0.139992, -0.144846, -0.145238, -0.126251, -0.07148, -0.0418805, -0.0249917, -0.0111372, -0.00565956, -0.00184532, -0.000652882, -0.000327534, -0.000107343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.121777, 0.130871, 0.136011, 0.145893, 0.15741, 0.166754, 0.175022, 0.182629, 0.189898, 0.197044, 0.204184, 0.222086, 0.229022, 0.237489, 0.244077, 0.244494");
-            values ( \
-              "-0.0310253, -0.0504201, -0.0679719, -0.110462, -0.147051, -0.171462, -0.188988, -0.201529, -0.208827, -0.20823, -0.187496, -0.0658775, -0.0374223, -0.0179049, -0.00979739, -0.00955762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.16129, 0.174964, 0.179829, 0.184484, 0.189909, 0.195334, 0.200789, 0.205942, 0.212611, 0.217876, 0.223669, 0.227531, 0.235254, 0.242114, 0.24661, 0.249608, 0.255528, 0.264408, 0.269306, 0.272212, 0.275119, 0.278994, 0.282426, 0.284668, 0.28665, 0.290355, 0.291585, 0.294047, 0.295278, 0.297739, 0.300201, 0.302662, 0.305124, 0.307011, 0.308898, 0.312443, 0.315989, 0.324765, 0.330217, 0.334398, 0.337743, 0.340777, 0.345119, 0.346311, 0.348695, 0.351079, 0.355189, 0.357244, 0.359299, 0.362382");
-            values ( \
-              "-0.0382288, -0.0607447, -0.0726038, -0.0851385, -0.101815, -0.11787, -0.132248, -0.145096, -0.160667, -0.172038, -0.183795, -0.191349, -0.205774, -0.217775, -0.225095, -0.229734, -0.237725, -0.248108, -0.253443, -0.255903, -0.257974, -0.260403, -0.262327, -0.262611, -0.262671, -0.262299, -0.261656, -0.259817, -0.258621, -0.255677, -0.251996, -0.247579, -0.242424, -0.236919, -0.230642, -0.214721, -0.19785, -0.152227, -0.124731, -0.106458, -0.0933236, -0.0824715, -0.0680492, -0.0642877, -0.0580848, -0.0523495, -0.0436409, -0.0397468, -0.0361597, -0.0313231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.238967, 0.269487, 0.346431, 0.40475, 0.43471, 0.462262, 0.488614, 0.514565, 0.540494, 0.594313, 0.617985, 0.644642, 0.664521, 0.691027, 0.734453, 0.78558");
-            values ( \
-              "-0.0387347, -0.0619419, -0.176226, -0.243276, -0.269117, -0.287039, -0.296956, -0.295427, -0.265592, -0.117831, -0.0727685, -0.0404227, -0.0256218, -0.0135068, -0.00432085, -0.00106801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.36107, 0.47215, 0.494352, 0.523955, 0.584483, 0.662284, 0.703289, 0.776612, 0.840677, 0.899506, 0.955772, 1.01119, 1.06656, 1.08856, 1.14816, 1.18244, 1.21, 1.2335, 1.25724, 1.29096, 1.30516, 1.33355, 1.39035, 1.46223");
-            values ( \
-              "-0.00611228, -0.0527499, -0.067832, -0.0897992, -0.137575, -0.192231, -0.217799, -0.258244, -0.286139, -0.305428, -0.31581, -0.314241, -0.281897, -0.253418, -0.166418, -0.124061, -0.0961411, -0.076644, -0.0604487, -0.042674, -0.0367696, -0.0271838, -0.0144318, -0.00690506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.829981, 0.971533, 1.25552, 1.37467, 1.45977, 1.55296, 1.62042, 1.76148, 1.80531, 1.89111, 2.01026, 2.04266, 2.07969, 2.13616, 2.24911, 2.29884, 2.43896, 2.5373, 2.59214, 2.65918, 2.74716, 2.80624, 2.8459, 2.92524, 3.04438, 3.16353, 3.28268, 3.40183");
-            values ( \
-              "-0.0499061, -0.0620958, -0.161783, -0.199978, -0.225106, -0.250088, -0.266494, -0.295455, -0.302844, -0.314971, -0.325406, -0.326564, -0.326697, -0.323581, -0.29436, -0.265535, -0.170389, -0.115785, -0.0917922, -0.0682569, -0.0455131, -0.0343224, -0.0285259, -0.0192325, -0.0106511, -0.00567456, -0.00318386, -0.00160481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.147852, 0.151826, 0.152952, 0.155202, 0.157602, 0.161398, 0.162667, 0.165207, 0.169961, 0.175899, 0.181146, 0.185978, 0.190589, 0.195113, 0.199633, 0.200355, 0.201317, 0.20712, 0.208778, 0.211601, 0.212891, 0.215472, 0.217755, 0.218906, 0.22121, 0.225816, 0.231284");
-            values ( \
-              "-0.0252623, -0.0328655, -0.0340641, -0.0416193, -0.0512635, -0.0718924, -0.0763445, -0.0868706, -0.101836, -0.119085, -0.130647, -0.139999, -0.144837, -0.145231, -0.130145, -0.126257, -0.119741, -0.0714699, -0.0592251, -0.0418789, -0.0354355, -0.0249993, -0.0181871, -0.0154716, -0.0111365, -0.00565506, -0.00286319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.163582, 0.188437, 0.199927, 0.209258, 0.217518, 0.22512, 0.232385, 0.239529, 0.246665, 0.264565, 0.271502, 0.279965, 0.286549, 0.298135, 0.298563");
-            values ( \
-              "-0.00860464, -0.110819, -0.147285, -0.171661, -0.189124, -0.201657, -0.208914, -0.208311, -0.18754, -0.0658887, -0.0374219, -0.0179098, -0.00980221, -0.00314687, -0.00307698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.199535, 0.222306, 0.245259, 0.268101, 0.283273, 0.298229, 0.311974, 0.325118, 0.338057, 0.350984, 0.372682, 0.383772, 0.394559, 0.405594, 0.412232, 0.425509, 0.4469, 0.47214, 0.503666");
-            values ( \
-              "-0.0137735, -0.0717507, -0.137495, -0.187364, -0.215094, -0.237712, -0.253172, -0.262296, -0.260863, -0.235145, -0.126951, -0.0817883, -0.051177, -0.0307624, -0.0224539, -0.0116181, -0.0036927, -0.000967689, -0.000241344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.285686, 0.313196, 0.371277, 0.414298, 0.44851, 0.478442, 0.505988, 0.532333, 0.558281, 0.584206, 0.638022, 0.661693, 0.688349, 0.708228, 0.734733, 0.760169");
-            values ( \
-              "-0.0495996, -0.0621392, -0.151082, -0.206031, -0.243746, -0.268885, -0.287412, -0.296721, -0.295746, -0.265347, -0.117847, -0.072763, -0.0404168, -0.0256166, -0.0135131, -0.00812091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.400155, 0.508311, 0.541533, 0.695456, 0.746275, 0.819582, 0.883615, 0.942439, 0.998701, 1.05411, 1.10948, 1.19109, 1.22536, 1.27642, 1.30017, 1.33388, 1.37647, 1.43326, 1.52678, 1.63692");
-            values ( \
-              "-0.0061588, -0.0485036, -0.0709665, -0.185833, -0.217864, -0.258302, -0.286164, -0.305457, -0.315824, -0.314261, -0.281898, -0.166421, -0.124065, -0.0766433, -0.0604493, -0.0426736, -0.0271836, -0.0144326, -0.00463796, -0.00112046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.860756, 1.01347, 1.38467, 1.50145, 1.66233, 1.80339, 1.93301, 2.05216, 2.12158, 2.17807, 2.29104, 2.48086, 2.57928, 2.701, 2.78903, 2.88787, 2.96728, 3.08643, 3.20558, 3.44388");
-            values ( \
-              "-0.0416468, -0.0621265, -0.190149, -0.224991, -0.266449, -0.295414, -0.314933, -0.325445, -0.326696, -0.323582, -0.294344, -0.17039, -0.11575, -0.0682816, -0.0455174, -0.0285166, -0.0192191, -0.0106425, -0.00567096, -0.00160427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.24064, 0.247622, 0.251428, 0.259225, 0.266965, 0.273084, 0.283355, 0.288021, 0.292588, 0.29785, 0.306356, 0.310436, 0.31514, 0.321088, 0.326349, 0.334563, 0.35119");
-            values ( \
-              "-0.0124676, -0.0251167, -0.0340955, -0.070946, -0.0984326, -0.115998, -0.137703, -0.143665, -0.143681, -0.125596, -0.0588142, -0.0354051, -0.0186265, -0.00801526, -0.00370982, -0.00114705, -0.000895969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.260735, 0.269149, 0.269743, 0.273309, 0.27726, 0.285365, 0.297015, 0.297661, 0.299729, 0.302485, 0.306434, 0.314737, 0.32237, 0.329658, 0.336818, 0.343971, 0.345115, 0.34664, 0.355831, 0.358455, 0.361887, 0.364853, 0.367821, 0.372081, 0.373985, 0.376607, 0.380103, 0.387096, 0.395392");
-            values ( \
-              "-0.0261046, -0.0440666, -0.0467053, -0.0580346, -0.0737137, -0.109856, -0.144922, -0.147753, -0.153505, -0.160773, -0.170557, -0.188322, -0.200958, -0.2084, -0.207871, -0.187277, -0.181537, -0.171858, -0.102561, -0.0852706, -0.0658264, -0.0520432, -0.0407469, -0.0282766, -0.0239743, -0.0190501, -0.0139479, -0.00732413, -0.00387822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.298057, 0.310811, 0.314712, 0.322514, 0.337314, 0.351953, 0.363229, 0.380179, 0.395099, 0.40884, 0.421981, 0.434918, 0.447843, 0.452784, 0.469539, 0.480629, 0.491416, 0.50245, 0.509087, 0.522362, 0.529973");
-            values ( \
-              "-0.0310838, -0.0530921, -0.0612354, -0.0805436, -0.124322, -0.159969, -0.183648, -0.215559, -0.237504, -0.253509, -0.262084, -0.261152, -0.234926, -0.213961, -0.126953, -0.0817878, -0.0511831, -0.0307671, -0.0224538, -0.0116217, -0.00879899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.366013, 0.510469, 0.544602, 0.574531, 0.602064, 0.6284, 0.65434, 0.680259, 0.734069, 0.757744, 0.784397, 0.804272, 0.830773, 0.860144");
-            values ( \
-              "-0.00638906, -0.206566, -0.243695, -0.269234, -0.287333, -0.296993, -0.295638, -0.265561, -0.117855, -0.0727683, -0.0404224, -0.0256222, -0.0135119, -0.00729185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.501274, 0.618841, 0.790219, 0.914854, 0.97886, 1.03767, 1.09392, 1.14932, 1.20468, 1.32055, 1.37161, 1.42907, 1.47166, 1.52845, 1.62199, 1.73212");
-            values ( \
-              "-0.000575581, -0.0587829, -0.185636, -0.258412, -0.286286, -0.305514, -0.315906, -0.314285, -0.281947, -0.124072, -0.0766441, -0.0426746, -0.0271849, -0.014433, -0.00463709, -0.00111961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.978627, 1.10947, 1.48178, 1.59778, 1.75847, 1.87762, 2.02915, 2.1483, 2.21772, 2.2742, 2.38716, 2.577, 2.67537, 2.79718, 2.88518, 2.98397, 3.06334, 3.18249, 3.30164, 3.53994");
-            values ( \
-              "-0.0590856, -0.0620539, -0.190536, -0.224962, -0.26637, -0.291545, -0.314863, -0.325512, -0.326692, -0.323584, -0.294349, -0.170393, -0.115773, -0.0682701, -0.0455126, -0.0285198, -0.0192286, -0.0106454, -0.00567491, -0.00160647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.433247, 0.449527, 0.469287, 0.483331, 0.489149, 0.494528, 0.499713, 0.504887, 0.505937, 0.514402, 0.519159, 0.522187, 0.527632, 0.532019, 0.539812, 0.548995, 0.565494");
-            values ( \
-              "-0.00652535, -0.0269584, -0.0782428, -0.107781, -0.117905, -0.125362, -0.128022, -0.118209, -0.113151, -0.0569941, -0.0327286, -0.0221895, -0.0107185, -0.00583557, -0.00191882, -0.000698869, -0.000548502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.463212, 0.475639, 0.478172, 0.480275, 0.481327, 0.482748, 0.48559, 0.489516, 0.4936, 0.499151, 0.503435, 0.50772, 0.513127, 0.518533, 0.520848, 0.523163, 0.527793, 0.531971, 0.534059, 0.536148, 0.538104, 0.54006, 0.542017, 0.543973, 0.545593, 0.547214, 0.548835, 0.550455, 0.551589, 0.552156, 0.553289, 0.554989, 0.556123, 0.557256, 0.55839, 0.559486, 0.561678, 0.563871, 0.566801, 0.570994, 0.572312, 0.573631, 0.574581, 0.578279, 0.579693, 0.580825, 0.583088, 0.585351, 0.587707, 0.589704");
-            values ( \
-              "-0.0346986, -0.0358236, -0.0410413, -0.0457032, -0.048146, -0.05189, -0.0604238, -0.0731735, -0.0860778, -0.102142, -0.113874, -0.125185, -0.138855, -0.151852, -0.157122, -0.162237, -0.172004, -0.179859, -0.183499, -0.186947, -0.189589, -0.191907, -0.193899, -0.195566, -0.196103, -0.196337, -0.196265, -0.19589, -0.195447, -0.19477, -0.193147, -0.190038, -0.187515, -0.184633, -0.181391, -0.177267, -0.165191, -0.151095, -0.131264, -0.101489, -0.0931475, -0.0852209, -0.079937, -0.0603307, -0.0537979, -0.049098, -0.0411808, -0.0342641, -0.0282309, -0.0234733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.504215, 0.538816, 0.558242, 0.590148, 0.61281, 0.626702, 0.639966, 0.652994, 0.666009, 0.698881, 0.709646, 0.72072, 0.740733, 0.752928");
-            values ( \
-              "-0.00926231, -0.0737686, -0.127592, -0.197897, -0.234641, -0.250541, -0.260357, -0.259263, -0.23418, -0.0815794, -0.0511432, -0.0307145, -0.0115572, -0.00706738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.590185, 0.63037, 0.675587, 0.728116, 0.762226, 0.792143, 0.819666, 0.845997, 0.871932, 0.897846, 0.951652, 0.975328, 1.00198, 1.02185, 1.04835, 1.0825");
-            values ( \
-              "-0.0171084, -0.066976, -0.137448, -0.206693, -0.24387, -0.269285, -0.287457, -0.297008, -0.295728, -0.265549, -0.117865, -0.0727694, -0.0404233, -0.0256234, -0.0135145, -0.0062781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.739802, 0.841513, 0.967887, 1.06023, 1.13321, 1.19713, 1.25583, 1.312, 1.36735, 1.42265, 1.53848, 1.58956, 1.64699, 1.68957, 1.74633, 1.7955");
-            values ( \
-              "-0.00550522, -0.0630844, -0.158901, -0.218869, -0.259403, -0.286673, -0.306205, -0.316072, -0.314759, -0.281931, -0.124125, -0.0766438, -0.0426747, -0.0271864, -0.0144433, -0.00928298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("1.15387, 1.32479, 1.69713, 1.81283, 1.9735, 2.09265, 2.24416, 2.36331, 2.43264, 2.4892, 2.60232, 2.792, 2.89073, 3.0118, 3.10006, 3.19927, 3.27906, 3.3982, 3.51735, 3.75565");
-            values ( \
-              "-0.030576, -0.0621462, -0.190652, -0.22501, -0.266408, -0.291553, -0.314889, -0.325512, -0.326704, -0.323592, -0.29428, -0.170393, -0.115599, -0.0683864, -0.0455394, -0.028479, -0.019158, -0.0106076, -0.00565259, -0.0015992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.838025, 0.851414, 0.853726, 0.856038, 0.857601, 0.859163, 0.860726, 0.863349, 0.865972, 0.868502, 0.871032, 0.873563, 0.875575, 0.877586, 0.88161, 0.884058, 0.888954, 0.893478, 0.895554, 0.899707, 0.903412, 0.908823, 0.913944, 0.915576, 0.917207, 0.918839, 0.92047, 0.922099, 0.923728, 0.925356, 0.926985, 0.927117, 0.92835, 0.929055, 0.92976, 0.930861, 0.931962, 0.933249, 0.936104, 0.938064, 0.939632, 0.940417, 0.943155, 0.94413, 0.945105, 0.94603, 0.946955, 0.947879, 0.948804, 0.95052");
-            values ( \
-              "-0.0147584, -0.0165683, -0.0184888, -0.020561, -0.0222312, -0.0242446, -0.0264107, -0.0303894, -0.0347984, -0.0395675, -0.0439684, -0.0481904, -0.0513393, -0.0544285, -0.060428, -0.0639429, -0.0707447, -0.0767601, -0.0794339, -0.0846175, -0.0889839, -0.0952269, -0.100987, -0.101025, -0.100928, -0.100694, -0.100325, -0.0998214, -0.0991822, -0.0984075, -0.0974975, -0.096874, -0.0933386, -0.090945, -0.0883467, -0.0837584, -0.0789059, -0.0728783, -0.0584516, -0.0492201, -0.0424641, -0.0392956, -0.0290826, -0.0261687, -0.0234799, -0.02118, -0.0190545, -0.0171034, -0.0153268, -0.0124456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.859389, 0.887328, 0.898612, 0.930934, 0.956202, 0.966538, 0.976055, 0.985166, 0.994256, 0.998443, 1.01545, 1.02069, 1.0255, 1.03095, 1.03521, 1.04373, 1.05662");
-            values ( \
-              "-0.00189458, -0.0257202, -0.0392235, -0.098033, -0.137127, -0.150839, -0.16125, -0.166352, -0.156474, -0.140683, -0.054933, -0.0374735, -0.0257563, -0.0166646, -0.0117346, -0.00564978, -0.00191773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.921177, 0.956373, 0.975422, 1.01743, 1.0493, 1.0673, 1.08336, 1.09828, 1.11272, 1.12713, 1.12858, 1.15552, 1.1664, 1.18035, 1.19541, 1.20849, 1.21594, 1.22836");
-            values ( \
-              "-0.00628141, -0.0321465, -0.0551311, -0.126534, -0.175771, -0.200757, -0.220005, -0.232671, -0.23658, -0.217425, -0.213359, -0.0987161, -0.0641213, -0.0349566, -0.0175431, -0.00932346, -0.00654147, -0.00422387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("1.05699, 1.09016, 1.11961, 1.20572, 1.23916, 1.27232, 1.30054, 1.32737, 1.35368, 1.37997, 1.43742, 1.45521, 1.48307, 1.51803, 1.54895, 1.59663, 1.65417");
-            values ( \
-              "-0.0450212, -0.0476718, -0.0808012, -0.194083, -0.231278, -0.261704, -0.281078, -0.292404, -0.291914, -0.263383, -0.109765, -0.0765032, -0.0415494, -0.0185615, -0.00867111, -0.0023892, -0.00049712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("1.26937, 1.33645, 1.49907, 1.6147, 1.67859, 1.73732, 1.7935, 1.84886, 1.90418, 2.02002, 2.0711, 2.12854, 2.17111, 2.22788, 2.32138, 2.43147");
-            values ( \
-              "-0.0598215, -0.0719466, -0.192368, -0.259043, -0.286729, -0.305904, -0.316168, -0.314518, -0.282065, -0.12411, -0.0766476, -0.0426785, -0.0271899, -0.0144386, -0.00464045, -0.00112148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("1.61578, 1.80495, 2.16968, 2.29265, 2.40382, 2.52942, 2.59413, 2.72396, 2.78801, 2.84742, 2.96657, 3.00942, 3.05132, 3.09754, 3.27123, 3.38146, 3.4785, 3.54996, 3.65042, 3.71734, 3.83649, 3.95564, 4.07479, 4.31309");
-            values ( \
-              "-0.021509, -0.0622402, -0.188284, -0.225125, -0.254663, -0.283069, -0.295428, -0.314979, -0.321631, -0.325603, -0.323937, -0.317751, -0.306512, -0.285621, -0.170491, -0.110253, -0.0724294, -0.0522006, -0.0325694, -0.0235349, -0.0129671, -0.00703785, -0.00383792, -0.00113097" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00602057, 0.00604415, 0.00606921, 0.0060881, 0.00609924, 0.0061049", \
-            "0.00699697, 0.00701283, 0.00703341, 0.00705208, 0.00706464, 0.00707146", \
-            "0.00759173, 0.00759706, 0.00760695, 0.00761916, 0.00762915, 0.00763535", \
-            "0.00795858, 0.00795423, 0.0079521, 0.00795298, 0.0079562, 0.00795924", \
-            "0.00815508, 0.00814923, 0.00814262, 0.00813452, 0.00812952, 0.00812773", \
-            "0.00826254, 0.00825571, 0.00824447, 0.00823024, 0.00821749, 0.00820952" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00845423, 0.00846446, 0.00847692, 0.00848846, 0.00849758, 0.00850218", \
-            "0.00932395, 0.00930589, 0.00929101, 0.00928186, 0.00927738, 0.00927663", \
-            "0.00976643, 0.00972047, 0.00967167, 0.00963339, 0.0096088, 0.0095966", \
-            "0.0100148, 0.0099391, 0.00985411, 0.00977415, 0.00971851, 0.00968684", \
-            "0.0103958, 0.010235, 0.0100419, 0.00988468, 0.00978683, 0.00972562", \
-            "0.0111628, 0.0107744, 0.0104268, 0.0101205, 0.00990113, 0.00978179" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.160808, 0.193856, 0.266981, 0.431847, 0.80619, 1.65802", \
-            "0.16686, 0.199963, 0.273166, 0.437882, 0.812629, 1.66454", \
-            "0.181173, 0.214423, 0.287864, 0.452785, 0.827612, 1.67932", \
-            "0.211714, 0.245181, 0.318988, 0.484354, 0.859212, 1.71093", \
-            "0.262298, 0.295971, 0.37027, 0.536145, 0.910883, 1.76279", \
-            "0.342561, 0.376951, 0.451316, 0.617025, 0.992762, 1.84464" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0315362, 0.0491226, 0.0890455, 0.179972, 0.386897, 0.856639", \
-            "0.0315366, 0.0491245, 0.0890422, 0.17992, 0.387061, 0.856585", \
-            "0.0315367, 0.0491215, 0.0890538, 0.179846, 0.387001, 0.856551", \
-            "0.03158, 0.0491478, 0.0890761, 0.179984, 0.38705, 0.856694", \
-            "0.0317259, 0.0492345, 0.0891099, 0.17997, 0.386858, 0.856605", \
-            "0.0321734, 0.0495716, 0.0892024, 0.180231, 0.387072, 0.856635" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.152182, 0.178793, 0.236845, 0.366414, 0.659862, 1.32696", \
-            "0.156332, 0.182961, 0.241036, 0.370704, 0.664123, 1.33131", \
-            "0.165959, 0.192657, 0.250899, 0.380728, 0.6743, 1.34133", \
-            "0.183973, 0.210936, 0.269766, 0.399688, 0.693222, 1.36026", \
-            "0.206022, 0.233319, 0.292105, 0.422286, 0.716835, 1.38385", \
-            "0.222449, 0.250093, 0.309348, 0.439894, 0.734052, 1.40013" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.024507, 0.0383073, 0.0695277, 0.140797, 0.303129, 0.671782", \
-            "0.0245065, 0.0383069, 0.0694815, 0.140808, 0.30312, 0.671735", \
-            "0.0245267, 0.0383173, 0.0694861, 0.140877, 0.303481, 0.671732", \
-            "0.024664, 0.0383906, 0.0695518, 0.140863, 0.303092, 0.671783", \
-            "0.0247774, 0.0384212, 0.0695227, 0.140882, 0.303032, 0.671687", \
-            "0.0256757, 0.0392087, 0.0698403, 0.140756, 0.302627, 0.671796" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.136088, 0.140134, 0.148353, 0.156907, 0.162562, 0.167656, 0.172497, 0.177294, 0.182088, 0.190843, 0.199019, 0.207724, 0.214952, 0.22707, 0.235409");
-            values ( \
-              "0.0216217, 0.0334816, 0.0740883, 0.107813, 0.124077, 0.133237, 0.137487, 0.135992, 0.125353, 0.0754221, 0.0435208, 0.0237625, 0.0142138, 0.00601318, 0.00393201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.149551, 0.152305, 0.15648, 0.170824, 0.18138, 0.191774, 0.197876, 0.203762, 0.205405, 0.208692, 0.212871, 0.220388, 0.23313, 0.243629, 0.251442, 0.257798, 0.267641, 0.279712, 0.293611, 0.309496, 0.317946");
-            values ( \
-              "0.0277924, 0.0350677, 0.05179, 0.120343, 0.160159, 0.186653, 0.19568, 0.199885, 0.200199, 0.19975, 0.196455, 0.178892, 0.117016, 0.0759818, 0.0540989, 0.0403085, 0.0252228, 0.0138777, 0.00695149, 0.00307731, 0.0022921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.175287, 0.187546, 0.213507, 0.235576, 0.251042, 0.265101, 0.278707, 0.292524, 0.301865, 0.351743, 0.372073, 0.390551, 0.41728, 0.44027");
-            values ( \
-              "0.0246443, 0.0512398, 0.142366, 0.204383, 0.233041, 0.246965, 0.251101, 0.243879, 0.229353, 0.090379, 0.0540137, 0.032669, 0.0152233, 0.00846264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.243595, 0.269115, 0.293229, 0.319237, 0.336288, 0.356821, 0.38774, 0.415989, 0.44345, 0.470865, 0.480546, 0.490211, 0.500852, 0.561825, 0.591096, 0.604029, 0.618126, 0.636203, 0.650665, 0.668405, 0.693318, 0.704326, 0.719175, 0.738974, 0.778572, 0.850819, 0.936139");
-            values ( \
-              "0.0498809, 0.070507, 0.121347, 0.173338, 0.203031, 0.232781, 0.264067, 0.278771, 0.281539, 0.272421, 0.265146, 0.255404, 0.24172, 0.143755, 0.10332, 0.0882526, 0.0737795, 0.058101, 0.0477395, 0.0372677, 0.0260516, 0.0222195, 0.017893, 0.0133496, 0.007287, 0.00216969, 0.000480263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.373778, 0.441308, 0.516302, 0.551622, 0.604301, 0.632233, 0.688097, 0.718741, 0.758907, 0.817931, 0.877755, 0.902263, 0.928404, 0.968929, 1.05615, 1.10517, 1.13468, 1.16586, 1.20744, 1.24066, 1.27899, 1.3301, 1.36336, 1.39706, 1.44199, 1.53186, 1.64867, 1.76547, 1.88228");
-            values ( \
-              "0.0348506, 0.0684927, 0.148235, 0.182955, 0.227776, 0.246929, 0.27595, 0.286296, 0.294466, 0.296797, 0.286133, 0.276706, 0.262865, 0.234828, 0.165683, 0.129536, 0.110353, 0.0923015, 0.0718809, 0.0583835, 0.0456094, 0.0323998, 0.0259122, 0.0205888, 0.0150439, 0.00789858, 0.0032765, 0.00137883, 0.00054987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.713877, 0.837177, 1.08093, 1.1846, 1.25862, 1.37542, 1.46256, 1.53848, 1.65529, 1.69689, 1.74832, 1.80148, 1.9078, 2.0171, 2.35016, 2.48462, 2.57804, 2.66073, 2.77098, 2.86278, 2.96268, 3.07948, 3.19629, 3.4299, 3.48536");
-            values ( \
-              "0.0585718, 0.0699746, 0.188456, 0.230146, 0.254138, 0.282433, 0.295622, 0.302099, 0.3044, 0.302792, 0.298872, 0.292655, 0.27063, 0.236163, 0.119519, 0.0841016, 0.0646854, 0.0509148, 0.0366329, 0.0276122, 0.0202605, 0.014057, 0.00963343, 0.0045249, 0.00410294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.156095, 0.160246, 0.168381, 0.176903, 0.182558, 0.187651, 0.192493, 0.19729, 0.202084, 0.210875, 0.219011, 0.227702, 0.234971, 0.247039, 0.255836");
-            values ( \
-              "0.0216456, 0.0338288, 0.0741898, 0.107849, 0.12404, 0.133268, 0.137454, 0.136019, 0.125325, 0.0752397, 0.0435322, 0.0237901, 0.0141916, 0.00602366, 0.00381695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.169562, 0.172736, 0.176685, 0.190891, 0.201449, 0.211737, 0.217946, 0.223934, 0.225474, 0.228555, 0.232942, 0.240457, 0.253196, 0.263679, 0.271565, 0.277983, 0.287409, 0.295208, 0.299754, 0.306653, 0.31585, 0.334245, 0.341251");
-            values ( \
-              "0.0277372, 0.0363283, 0.0524422, 0.120318, 0.160141, 0.186457, 0.195688, 0.199909, 0.20021, 0.199817, 0.196476, 0.178882, 0.117041, 0.0760499, 0.0539688, 0.0400906, 0.0255961, 0.0173962, 0.0139052, 0.00987862, 0.00623191, 0.00239908, 0.00190283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.195422, 0.211243, 0.232539, 0.25572, 0.271182, 0.285241, 0.298847, 0.312663, 0.322003, 0.371883, 0.392212, 0.410692, 0.437418, 0.46038");
-            values ( \
-              "0.0247303, 0.062208, 0.138776, 0.204358, 0.233114, 0.246918, 0.251158, 0.24387, 0.229352, 0.0903828, 0.0540109, 0.0326706, 0.0152209, 0.00846782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.255456, 0.289124, 0.324301, 0.33938, 0.363583, 0.376961, 0.403718, 0.416054, 0.432503, 0.43607, 0.443204, 0.457471, 0.463453, 0.475417, 0.49113, 0.519025, 0.532748, 0.575772, 0.600602, 0.622167, 0.636786, 0.655768, 0.67177, 0.689148, 0.713781, 0.724439, 0.745754, 0.788384, 0.856472, 0.937065");
-            values ( \
-              "0.0213229, 0.0699367, 0.143895, 0.173299, 0.214139, 0.232731, 0.260705, 0.269439, 0.277343, 0.278486, 0.280251, 0.281546, 0.281196, 0.27886, 0.271881, 0.244718, 0.223693, 0.153161, 0.116874, 0.0903903, 0.0751725, 0.0584804, 0.0470483, 0.0369449, 0.0258915, 0.0222319, 0.016212, 0.00847727, 0.00272542, 0.000704012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.396095, 0.461307, 0.554399, 0.57176, 0.606482, 0.652373, 0.718591, 0.779208, 0.838265, 0.898057, 0.9229, 0.949398, 0.987802, 1.07738, 1.12766, 1.15501, 1.18388, 1.21328, 1.25158, 1.28174, 1.31965, 1.37277, 1.39629, 1.42806, 1.47043, 1.55515, 1.67196, 1.78877, 1.90557");
-            values ( \
-              "0.038607, 0.0683607, 0.166369, 0.182814, 0.213705, 0.246832, 0.280027, 0.29464, 0.297037, 0.286224, 0.276625, 0.2625, 0.235934, 0.164966, 0.128012, 0.110313, 0.0935262, 0.0785586, 0.0618751, 0.0510499, 0.0398791, 0.0278207, 0.0237199, 0.0190818, 0.0142455, 0.00772476, 0.00325025, 0.00131715, 0.000579667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.752181, 0.916111, 0.977988, 1.11695, 1.20458, 1.27956, 1.39636, 1.48345, 1.55939, 1.6762, 1.71778, 1.76921, 1.82238, 1.92871, 2.03796, 2.28013, 2.37105, 2.43447, 2.5055, 2.59893, 2.68162, 2.79188, 2.88368, 2.98356, 3.10037, 3.21718, 3.33398, 3.45079, 3.6844, 3.91801");
-            values ( \
-              "0.0569578, 0.0984153, 0.130094, 0.195194, 0.229835, 0.254213, 0.282452, 0.295626, 0.302142, 0.304393, 0.302784, 0.298905, 0.292642, 0.270653, 0.236197, 0.148609, 0.11954, 0.101669, 0.0840815, 0.0647074, 0.0509357, 0.0366101, 0.0276333, 0.0202821, 0.0140358, 0.00965492, 0.00664818, 0.0045463, 0.00212795, 0.000991312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.201293, 0.204567, 0.206301, 0.213528, 0.222026, 0.227681, 0.232774, 0.237616, 0.242413, 0.247207, 0.255961, 0.258856, 0.264139, 0.268983, 0.272844, 0.280072, 0.285501, 0.292191, 0.297518, 0.308171, 0.31156");
-            values ( \
-              "0.0217366, 0.0308558, 0.0379293, 0.0744933, 0.107755, 0.124146, 0.133168, 0.137543, 0.135925, 0.125406, 0.0754231, 0.0620294, 0.0435214, 0.0311807, 0.023763, 0.014212, 0.00960483, 0.00601431, 0.00416526, 0.00202694, 0.00175952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.215222, 0.221903, 0.236177, 0.246737, 0.25456, 0.257074, 0.263236, 0.269178, 0.270765, 0.273939, 0.278232, 0.285368, 0.29987, 0.309625, 0.320348, 0.325793, 0.331262, 0.335903, 0.342578, 0.350419, 0.360874, 0.37685, 0.387232");
-            values ( \
-              "0.0279628, 0.0519342, 0.120252, 0.160086, 0.181486, 0.186542, 0.195681, 0.199873, 0.200202, 0.199769, 0.196465, 0.18018, 0.110798, 0.0739904, 0.0460152, 0.0356663, 0.0274462, 0.0219236, 0.0157194, 0.0106487, 0.00631495, 0.00276845, 0.00191927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.242732, 0.25991, 0.282192, 0.291021, 0.301009, 0.316473, 0.330533, 0.34414, 0.357956, 0.367283, 0.372339, 0.403598, 0.414475, 0.429823, 0.448114, 0.456901, 0.474869, 0.485775, 0.507587, 0.54221, 0.583318");
-            values ( \
-              "0.0244014, 0.0736801, 0.152416, 0.179624, 0.204197, 0.23321, 0.246783, 0.25126, 0.243856, 0.229376, 0.217255, 0.12331, 0.0963319, 0.0660669, 0.0406379, 0.0318514, 0.0190845, 0.0139061, 0.00720858, 0.00237114, 0.000619762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.317433, 0.353016, 0.384993, 0.409041, 0.42257, 0.449627, 0.461063, 0.481673, 0.492397, 0.509056, 0.536386, 0.549469, 0.562974, 0.573606, 0.625237, 0.656718, 0.690084, 0.70633, 0.724404, 0.748502, 0.779833, 0.800879, 0.84297, 0.913937, 0.998201");
-            values ( \
-              "0.0692816, 0.108186, 0.173453, 0.213852, 0.232853, 0.260881, 0.269015, 0.278423, 0.280929, 0.28116, 0.272379, 0.261998, 0.246519, 0.23115, 0.147122, 0.103317, 0.0679171, 0.0546985, 0.0426814, 0.0303074, 0.0192584, 0.0141112, 0.00739977, 0.00226341, 0.000514884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.42016, 0.507739, 0.561505, 0.617709, 0.652892, 0.698245, 0.764437, 0.825041, 0.884089, 0.943045, 0.968815, 0.995417, 1.03321, 1.12347, 1.1741, 1.20084, 1.22904, 1.25868, 1.29723, 1.32786, 1.36565, 1.41869, 1.4421, 1.47367, 1.51577, 1.59996, 1.71676, 1.83357, 1.95037");
-            values ( \
-              "0.0104839, 0.0691912, 0.126391, 0.183149, 0.214236, 0.24704, 0.280035, 0.294764, 0.296998, 0.286486, 0.276598, 0.262384, 0.236243, 0.164756, 0.127581, 0.110304, 0.0938812, 0.0787532, 0.0619368, 0.0509498, 0.0398272, 0.0278004, 0.0237194, 0.0191079, 0.0142921, 0.00778282, 0.00327455, 0.00132742, 0.000583805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.739207, 0.904031, 1.14714, 1.25815, 1.32475, 1.44156, 1.5286, 1.60456, 1.72137, 1.76294, 1.81437, 1.86754, 1.97388, 2.08311, 2.41622, 2.55066, 2.64409, 2.72679, 2.83705, 2.92884, 3.02872, 3.14553, 3.26234, 3.49595, 3.54996");
-            values ( \
-              "0.0256646, 0.0704786, 0.188628, 0.232889, 0.254236, 0.282495, 0.295655, 0.302141, 0.304415, 0.302803, 0.298898, 0.292658, 0.270641, 0.236193, 0.119529, 0.0840922, 0.0646977, 0.0509254, 0.0366191, 0.0276231, 0.0202723, 0.0140458, 0.00964508, 0.00453643, 0.00412545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.299744, 0.304891, 0.31218, 0.320726, 0.326396, 0.331499, 0.336347, 0.341149, 0.345949, 0.35472, 0.362885, 0.371587, 0.378834, 0.390938, 0.39936");
-            values ( \
-              "0.0210941, 0.0373977, 0.0739156, 0.107463, 0.123837, 0.132996, 0.137335, 0.135837, 0.125282, 0.0753234, 0.0435106, 0.0237658, 0.0141988, 0.00601537, 0.00391114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.3136, 0.316793, 0.320765, 0.335057, 0.345632, 0.355967, 0.362142, 0.368097, 0.369675, 0.37283, 0.377144, 0.384662, 0.397405, 0.407904, 0.415728, 0.422095, 0.431874, 0.439967, 0.443989, 0.450094, 0.458233, 0.474513, 0.486444");
-            values ( \
-              "0.02742, 0.0361894, 0.0516498, 0.120009, 0.159898, 0.186412, 0.195592, 0.199791, 0.200136, 0.199705, 0.196421, 0.178836, 0.117012, 0.0759848, 0.0540733, 0.0402725, 0.0252779, 0.0169209, 0.0138814, 0.0102603, 0.00683232, 0.00296097, 0.00189704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.339867, 0.356775, 0.381449, 0.390206, 0.400288, 0.415761, 0.429826, 0.443436, 0.457253, 0.466557, 0.471638, 0.502822, 0.513759, 0.529267, 0.547347, 0.556159, 0.568694, 0.581519, 0.591317, 0.610912, 0.640565");
-            values ( \
-              "0.0143447, 0.0656816, 0.152317, 0.179044, 0.20418, 0.232974, 0.246839, 0.251089, 0.243843, 0.229413, 0.217236, 0.123513, 0.0963715, 0.0658287, 0.040713, 0.0318938, 0.0223197, 0.0154499, 0.011612, 0.00645584, 0.00281533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.408109, 0.43453, 0.458659, 0.484677, 0.501789, 0.522269, 0.553192, 0.581444, 0.608907, 0.636324, 0.645987, 0.655661, 0.666312, 0.727256, 0.756556, 0.769516, 0.783603, 0.80167, 0.816114, 0.833858, 0.858775, 0.869787, 0.884644, 0.904454, 0.944073, 1.01627, 1.10154");
-            values ( \
-              "0.0458087, 0.0704685, 0.121315, 0.173311, 0.203052, 0.232763, 0.264011, 0.278773, 0.281497, 0.272436, 0.265156, 0.255406, 0.241717, 0.1438, 0.103318, 0.0882258, 0.0737621, 0.0580974, 0.0477488, 0.0372702, 0.0260546, 0.0222208, 0.0178922, 0.0133446, 0.00728363, 0.00216935, 0.000482276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.541948, 0.606829, 0.660997, 0.717314, 0.745441, 0.797968, 0.864191, 0.924804, 0.983859, 1.04365, 1.06852, 1.09504, 1.1333, 1.22304, 1.2734, 1.3006, 1.32931, 1.35877, 1.39713, 1.42741, 1.46528, 1.51838, 1.54188, 1.57361, 1.61591, 1.70051, 1.81731, 1.93412, 2.05092");
-            values ( \
-              "0.0390776, 0.0684404, 0.125977, 0.182854, 0.208123, 0.246924, 0.279974, 0.294718, 0.296975, 0.286237, 0.27662, 0.262469, 0.236005, 0.164914, 0.127911, 0.110312, 0.0936118, 0.0786036, 0.0618909, 0.0510272, 0.0398658, 0.0278169, 0.0237206, 0.0190888, 0.0142559, 0.00773927, 0.00325535, 0.00132028, 0.000579972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.859994, 1.00398, 1.12326, 1.24766, 1.34938, 1.42516, 1.54196, 1.57104, 1.62919, 1.70506, 1.82187, 1.8635, 1.91492, 1.96808, 2.07439, 2.18373, 2.42581, 2.53791, 2.65123, 2.74465, 2.82733, 2.93757, 3.02938, 3.12929, 3.2461, 3.3629, 3.47971, 3.59651, 3.83012, 4.06374");
-            values ( \
-              "0.0397169, 0.0703473, 0.129844, 0.188544, 0.229364, 0.254157, 0.282314, 0.287415, 0.295527, 0.302148, 0.304313, 0.302711, 0.298926, 0.292581, 0.270692, 0.23621, 0.148587, 0.113346, 0.0840544, 0.0647298, 0.0509577, 0.0365925, 0.027654, 0.0202993, 0.0140177, 0.00967184, 0.00663104, 0.00456271, 0.00214375, 0.00100648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.506004, 0.512651, 0.516019, 0.519514, 0.521993, 0.523406, 0.524819, 0.526233, 0.527646, 0.528921, 0.530196, 0.531471, 0.532746, 0.533959, 0.535172, 0.536385, 0.537598, 0.5388, 0.540002, 0.541204, 0.542406, 0.543607, 0.544809, 0.54601, 0.547434, 0.548103, 0.548771, 0.549579, 0.550387, 0.551087, 0.551786, 0.552485, 0.553184, 0.554799, 0.556414, 0.556952, 0.55889, 0.560828, 0.562666, 0.565262, 0.566584, 0.569065, 0.571381, 0.57415, 0.576064, 0.576702, 0.578616, 0.582444, 0.58455, 0.588257");
-            values ( \
-              "0.0690123, 0.0712032, 0.0863514, 0.100398, 0.109421, 0.113872, 0.117971, 0.121716, 0.125108, 0.127865, 0.130335, 0.132517, 0.134412, 0.135097, 0.135548, 0.135765, 0.135749, 0.135502, 0.135025, 0.134319, 0.133384, 0.131712, 0.129609, 0.127074, 0.123426, 0.120463, 0.116844, 0.105151, 0.0966511, 0.0973778, 0.0968246, 0.0949917, 0.0918789, 0.0819924, 0.0732981, 0.0706304, 0.0623087, 0.0548231, 0.0485785, 0.0402552, 0.0368586, 0.0311093, 0.0264733, 0.0218188, 0.018834, 0.0179804, 0.0156342, 0.0119886, 0.0103602, 0.00788514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.515484, 0.529375, 0.536478, 0.547015, 0.555483, 0.558205, 0.56351, 0.568667, 0.571045, 0.574784, 0.57856, 0.586112, 0.598899, 0.602432, 0.609435, 0.617143, 0.623408, 0.629603, 0.633981, 0.642005, 0.650885, 0.657944, 0.672062, 0.69439, 0.721556");
-            values ( \
-              "0.0192568, 0.0883516, 0.121013, 0.160388, 0.182963, 0.187741, 0.195052, 0.198843, 0.199355, 0.198739, 0.195605, 0.178294, 0.116668, 0.101431, 0.0757257, 0.0542076, 0.0405986, 0.0303256, 0.0245461, 0.0164954, 0.0106475, 0.00749533, 0.00365882, 0.00115441, 0.000329651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.538355, 0.592223, 0.602235, 0.617715, 0.631788, 0.645404, 0.658994, 0.668496, 0.673616, 0.704687, 0.715714, 0.731468, 0.749227, 0.758079, 0.770643, 0.783577, 0.793469, 0.813254, 0.837675");
-            values ( \
-              "0.00075061, 0.179148, 0.204283, 0.232681, 0.246866, 0.250824, 0.244055, 0.22944, 0.217186, 0.123814, 0.0964251, 0.0654616, 0.0408271, 0.0319554, 0.0223402, 0.0154155, 0.0115582, 0.00638346, 0.00342225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.603039, 0.637041, 0.680564, 0.700059, 0.724657, 0.755577, 0.783824, 0.811286, 0.839014, 0.848378, 0.858045, 0.868689, 0.889978, 0.929654, 0.952943, 0.960782, 0.971874, 0.985969, 1.00404, 1.0185, 1.03624, 1.06115, 1.07216, 1.08702, 1.10682, 1.14642, 1.21862, 1.30384");
-            values ( \
-              "0.01952, 0.0708444, 0.161289, 0.196577, 0.232664, 0.264239, 0.278612, 0.281687, 0.272149, 0.265136, 0.255413, 0.241707, 0.208274, 0.143761, 0.110847, 0.101059, 0.0882422, 0.0737772, 0.0580972, 0.0477396, 0.0372706, 0.02605, 0.0222178, 0.0178909, 0.0133497, 0.00728421, 0.00217289, 0.000480551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.769453, 0.828965, 0.91937, 0.999861, 1.05681, 1.08414, 1.12059, 1.16206, 1.18536, 1.23198, 1.27132, 1.30924, 1.50985, 1.59677, 1.66959, 1.74368, 1.87637, 1.9424");
-            values ( \
-              "0.0786736, 0.0895408, 0.183466, 0.247195, 0.276432, 0.285695, 0.293732, 0.29716, 0.296598, 0.289652, 0.275849, 0.254278, 0.105785, 0.0627447, 0.0391482, 0.0237163, 0.00926301, 0.00622659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("1.01673, 1.20704, 1.32608, 1.45011, 1.52939, 1.62778, 1.74458, 1.77357, 1.83155, 1.90757, 2.02438, 2.06598, 2.1174, 2.17057, 2.2769, 2.38617, 2.62832, 2.74039, 2.8537, 2.94713, 3.02982, 3.14008, 3.23187, 3.33177, 3.44857, 3.56538, 3.68218, 3.79899, 4.0326, 4.26621");
-            values ( \
-              "0.0126775, 0.0706115, 0.130014, 0.188608, 0.221188, 0.254248, 0.28244, 0.287443, 0.295592, 0.302151, 0.304368, 0.302759, 0.298914, 0.292619, 0.270667, 0.236206, 0.148597, 0.11336, 0.0840697, 0.0647179, 0.0509456, 0.0366012, 0.0276427, 0.0202903, 0.0140275, 0.00966287, 0.00664028, 0.00455396, 0.0021353, 0.000998343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.912624, 0.925004, 0.93184, 0.934512, 0.940335, 0.945564, 0.950512, 0.9554, 0.960284, 0.96928, 0.972138, 0.975918, 0.981931, 0.986047, 0.989871, 0.994968, 1.0017, 1.0063, 1.01549, 1.03018, 1.04798, 1.07079");
-            values ( \
-              "0.00901729, 0.0684007, 0.0955588, 0.10437, 0.120712, 0.130114, 0.134743, 0.133687, 0.123707, 0.0739331, 0.0610631, 0.0474499, 0.0315724, 0.0236713, 0.0180971, 0.0125685, 0.00780941, 0.00566623, 0.00300678, 0.00115022, 0.000456187, 0.000167271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.928706, 0.947426, 0.960684, 0.969471, 0.97741, 0.984994, 0.99255, 1.0001, 1.01289, 1.02342, 1.03763, 1.04753, 1.05964, 1.07357, 1.08755");
-            values ( \
-              "0.0236042, 0.107734, 0.157654, 0.181279, 0.193665, 0.198959, 0.195237, 0.178279, 0.116662, 0.0757533, 0.0401804, 0.0250968, 0.013792, 0.00690862, 0.00352792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.961826, 0.972649, 0.997107, 1.01597, 1.03147, 1.04556, 1.05919, 1.0728, 1.07755, 1.08226, 1.08743, 1.11839, 1.12953, 1.14561, 1.15514, 1.16299, 1.17191, 1.18453, 1.19768, 1.21582, 1.24897, 1.27224, 1.29952");
-            values ( \
-              "0.0576173, 0.0674646, 0.152575, 0.204048, 0.232365, 0.246599, 0.250535, 0.243842, 0.237751, 0.229338, 0.217021, 0.124098, 0.0964022, 0.0649212, 0.050548, 0.0409014, 0.0319571, 0.0223085, 0.0153018, 0.00901402, 0.00355887, 0.00174341, 0.000756525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("1.02482, 1.05056, 1.10046, 1.13806, 1.16901, 1.19729, 1.2156, 1.23608, 1.24774, 1.26165, 1.28214, 1.3715, 1.40663, 1.43971, 1.46292, 1.49637, 1.51879, 1.56364, 1.58709");
-            values ( \
-              "0.0479817, 0.0713619, 0.17338, 0.232546, 0.263811, 0.278434, 0.2814, 0.278545, 0.274053, 0.264652, 0.241535, 0.104666, 0.0673475, 0.0430558, 0.0309698, 0.0191044, 0.0136955, 0.00687286, 0.00529609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("1.15242, 1.22497, 1.27838, 1.33447, 1.37195, 1.41499, 1.4812, 1.54182, 1.60089, 1.66068, 1.68553, 1.71203, 1.75042, 1.84002, 1.8903, 1.91765, 1.9465, 1.97591, 2.01421, 2.04439, 2.08229, 2.13541, 2.15893, 2.1907, 2.23306, 2.31778, 2.43458, 2.55139, 2.66819");
-            values ( \
-              "0.0259754, 0.0702065, 0.126799, 0.18325, 0.216104, 0.246982, 0.279943, 0.294694, 0.29693, 0.286214, 0.276609, 0.262478, 0.235927, 0.164955, 0.128005, 0.110313, 0.0935322, 0.078559, 0.0618769, 0.0510491, 0.0398766, 0.0278214, 0.0237208, 0.0190831, 0.0142453, 0.00772666, 0.00324979, 0.00131824, 0.000578863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("1.49762, 1.62172, 1.80319, 1.86487, 1.97832, 2.04251, 2.15932, 2.18836, 2.24645, 2.32237, 2.43918, 2.48079, 2.53222, 2.58538, 2.6917, 2.80101, 3.04312, 3.15521, 3.26852, 3.36195, 3.44463, 3.55488, 3.64669, 3.74658, 3.86339, 3.9802, 4.097, 4.21381, 4.44742, 4.68103");
-            values ( \
-              "0.0571486, 0.0705668, 0.160497, 0.188637, 0.233634, 0.254229, 0.282389, 0.287437, 0.295568, 0.302149, 0.304339, 0.302733, 0.298917, 0.292598, 0.270677, 0.236207, 0.148588, 0.113347, 0.0840561, 0.0647299, 0.0509587, 0.0365898, 0.0276556, 0.0203029, 0.0140145, 0.00967562, 0.00662734, 0.00456682, 0.00214822, 0.00101133" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00628021, 0.00629372, 0.00630881, 0.00632094, 0.0063283, 0.00633209", \
-            "0.00753622, 0.00754431, 0.00755617, 0.00756801, 0.00757648, 0.00758129", \
-            "0.00829455, 0.00829671, 0.00830158, 0.00830883, 0.00831561, 0.00832009", \
-            "0.00877539, 0.0087751, 0.00877542, 0.00877732, 0.00878068, 0.00878383", \
-            "0.00907607, 0.00907189, 0.00907073, 0.00907323, 0.00907324, 0.00907437", \
-            "0.00927892, 0.00927808, 0.00927658, 0.0092745, 0.00927253, 0.00927152" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00887915, 0.00889013, 0.00890916, 0.00892972, 0.00894446, 0.00895265", \
-            "0.00923105, 0.00922877, 0.00923097, 0.00923913, 0.00924752, 0.00925498", \
-            "0.00917455, 0.00916635, 0.00915555, 0.00914805, 0.00914694, 0.00914872", \
-            "0.00947983, 0.00947869, 0.00947179, 0.00945989, 0.00945013, 0.00944586", \
-            "0.00809855, 0.00863342, 0.00925488, 0.00979842, 0.0101674, 0.0103724", \
-            "0.00629063, 0.00630894, 0.00637785, 0.00699628, 0.00828073, 0.00940613" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.131862, 0.14701, 0.155477, 0.159739, 0.163653, 0.167397, 0.17111, 0.175133, 0.185114, 0.188669, 0.193524, 0.197978, 0.202608");
-            values ( \
-              "-0.0101283, -0.106824, -0.146174, -0.161241, -0.172862, -0.178086, -0.175259, -0.150911, -0.0481813, -0.0287097, -0.013736, -0.007039, -0.00379059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.144151, 0.149134, 0.158971, 0.165415, 0.177689, 0.184276, 0.190389, 0.196281, 0.202165, 0.208057, 0.221331, 0.22726, 0.234193, 0.2427, 0.250194, 0.251759");
-            values ( \
-              "-0.0478342, -0.0636358, -0.128508, -0.162619, -0.215717, -0.23612, -0.25018, -0.255979, -0.249683, -0.218225, -0.0912033, -0.0546731, -0.0289309, -0.0131729, -0.00644965, -0.00590118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.163046, 0.175254, 0.192174, 0.212424, 0.225747, 0.237626, 0.248729, 0.259493, 0.270244, 0.277722, 0.309534, 0.317873, 0.329794, 0.346855");
-            values ( \
-              "-0.0444685, -0.0824866, -0.162286, -0.235188, -0.272651, -0.29644, -0.311908, -0.317232, -0.308479, -0.285905, -0.0941279, -0.0641211, -0.0360705, -0.0166604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.199137, 0.259293, 0.292621, 0.304706, 0.328878, 0.336792, 0.355463, 0.377959, 0.387254, 0.399762, 0.421756, 0.429613, 0.437071, 0.445546, 0.475137, 0.486934, 0.506785, 0.526698, 0.542051, 0.558159, 0.570527, 0.595261, 0.628759");
-            values ( \
-              "-0.0101698, -0.169633, -0.243187, -0.265473, -0.303386, -0.313529, -0.333298, -0.349008, -0.352543, -0.354338, -0.343889, -0.333386, -0.317746, -0.291971, -0.181536, -0.144043, -0.0945138, -0.0603311, -0.0420895, -0.0287518, -0.0213528, -0.0115656, -0.00553524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.270248, 0.348623, 0.442243, 0.475561, 0.513412, 0.564931, 0.622527, 0.67092, 0.692674, 0.717878, 0.765277, 0.783043, 0.798731, 0.816614, 0.87677, 0.9185, 0.955555, 1.00524, 1.03811, 1.09113, 1.16182, 1.2553, 1.34878");
-            values ( \
-              "-0.00176639, -0.0872637, -0.207631, -0.24427, -0.28002, -0.320362, -0.352152, -0.368327, -0.371927, -0.373499, -0.362412, -0.350476, -0.33372, -0.306562, -0.196791, -0.134484, -0.0930522, -0.0551632, -0.0386325, -0.0214237, -0.00929092, -0.00285578, -0.000905156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.51329, 0.620145, 0.831271, 0.924751, 0.989141, 1.06165, 1.11649, 1.23067, 1.27209, 1.33778, 1.43126, 1.46362, 1.50463, 1.54665, 1.6307, 1.79499, 1.88694, 1.96985, 2.00692, 2.08107, 2.13382, 2.19202, 2.26962, 2.3631, 2.55006, 2.73702");
-            values ( \
-              "-0.0630961, -0.0852557, -0.212144, -0.25903, -0.287351, -0.314791, -0.332629, -0.36118, -0.368608, -0.377516, -0.382607, -0.381876, -0.378592, -0.37117, -0.335856, -0.20084, -0.137927, -0.0952605, -0.0800254, -0.0563195, -0.0433632, -0.032533, -0.0222017, -0.0135718, -0.00508635, -0.00185175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.150441, 0.158573, 0.161462, 0.173549, 0.181725, 0.185469, 0.189182, 0.193205, 0.19867, 0.203186, 0.206741, 0.210853, 0.216051, 0.22199, 0.240648, 0.274439");
-            values ( \
-              "-0.0162104, -0.0643054, -0.0870661, -0.146201, -0.172887, -0.178063, -0.175285, -0.150939, -0.0880022, -0.048156, -0.0287341, -0.0152454, -0.00706524, -0.0028448, -0.000523098, -0.000117414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.162213, 0.169615, 0.177214, 0.183105, 0.195748, 0.202335, 0.208448, 0.21434, 0.220223, 0.226116, 0.235389, 0.242451, 0.249517, 0.254221, 0.263557, 0.274154, 0.279666");
-            values ( \
-              "-0.0398263, -0.0780442, -0.130043, -0.161024, -0.215921, -0.235944, -0.250349, -0.255828, -0.249828, -0.218088, -0.124914, -0.0702628, -0.0373592, -0.0241912, -0.0100711, -0.0035767, -0.00263129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.176283, 0.213883, 0.230185, 0.242264, 0.255354, 0.266466, 0.270698, 0.277203, 0.287901, 0.295051, 0.299684, 0.319477, 0.330887, 0.336655, 0.344345, 0.350171, 0.359291, 0.371451, 0.393041, 0.418724");
-            values ( \
-              "-0.00220363, -0.177756, -0.235445, -0.26939, -0.2966, -0.311534, -0.314985, -0.317226, -0.308349, -0.287497, -0.263235, -0.132238, -0.0798669, -0.0610257, -0.0420834, -0.0316882, -0.0202219, -0.0109358, -0.00346412, -0.000972205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.225651, 0.248117, 0.278466, 0.290073, 0.310766, 0.322974, 0.347391, 0.364087, 0.373717, 0.396216, 0.402694, 0.415648, 0.422771, 0.431243, 0.439926, 0.457292, 0.491696, 0.510602, 0.528007, 0.535835, 0.551492, 0.566384, 0.574467, 0.590632, 0.622961, 0.668412, 0.724486");
-            values ( \
-              "-0.0456968, -0.0910925, -0.172059, -0.199038, -0.243122, -0.265562, -0.303849, -0.323772, -0.333358, -0.349051, -0.351698, -0.354391, -0.353723, -0.350432, -0.343802, -0.312518, -0.18748, -0.128836, -0.0884588, -0.0743035, -0.0516835, -0.0364151, -0.0301082, -0.0203215, -0.0089814, -0.00258059, -0.000580183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.302376, 0.366956, 0.460574, 0.492817, 0.531746, 0.582846, 0.602447, 0.640855, 0.689244, 0.712562, 0.736202, 0.782998, 0.801708, 0.817236, 0.834939, 0.895101, 0.936802, 0.973878, 0.990452, 1.0236, 1.05643, 1.10941, 1.18005, 1.27353, 1.36701");
-            values ( \
-              "-0.0206796, -0.0873809, -0.20776, -0.243043, -0.280153, -0.31996, -0.332313, -0.352034, -0.368209, -0.372228, -0.373378, -0.362732, -0.350183, -0.33348, -0.306562, -0.196782, -0.134509, -0.0930496, -0.0784619, -0.0551414, -0.0386287, -0.0214306, -0.00930389, -0.00285698, -0.00090834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.556878, 0.682096, 0.816648, 0.91725, 1.00804, 1.08224, 1.13538, 1.22886, 1.29092, 1.35665, 1.45013, 1.48247, 1.52348, 1.56551, 1.64957, 1.81385, 1.9058, 1.98871, 2.09991, 2.21088, 2.28849, 2.38197, 2.47545, 2.56894, 2.7559");
-            values ( \
-              "-0.0820543, -0.11196, -0.193791, -0.24661, -0.287355, -0.315511, -0.332611, -0.356882, -0.368717, -0.37746, -0.382712, -0.38199, -0.378511, -0.371282, -0.335675, -0.201031, -0.137744, -0.0950838, -0.0561474, -0.0327063, -0.0220258, -0.0137433, -0.00838439, -0.00525808, -0.00202317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.191502, 0.19537, 0.203232, 0.214111, 0.218385, 0.222302, 0.226048, 0.229763, 0.233788, 0.239703, 0.243831, 0.247259, 0.252217, 0.256867, 0.263067, 0.269025");
-            values ( \
-              "-0.0327958, -0.0410672, -0.0931809, -0.145636, -0.161398, -0.17246, -0.178216, -0.174951, -0.150763, -0.0833975, -0.0478022, -0.028984, -0.0136481, -0.00678754, -0.00268978, -0.00201388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.200998, 0.217648, 0.228759, 0.236198, 0.242787, 0.248901, 0.254795, 0.26068, 0.266574, 0.282912, 0.289961, 0.294682, 0.304054, 0.30784");
-            values ( \
-              "-0.0154436, -0.129944, -0.184536, -0.215863, -0.235852, -0.250309, -0.255759, -0.249804, -0.218044, -0.0702258, -0.0374223, -0.0241976, -0.0100463, -0.0077128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.223871, 0.232556, 0.254741, 0.271038, 0.284329, 0.296191, 0.307307, 0.318068, 0.328994, 0.336187, 0.340545, 0.360542, 0.370926, 0.376777, 0.383464, 0.394681, 0.402313, 0.417576, 0.424035");
-            values ( \
-              "-0.0500825, -0.0777177, -0.17893, -0.23622, -0.272767, -0.29694, -0.311353, -0.317707, -0.30776, -0.28637, -0.263269, -0.131089, -0.0828702, -0.0631519, -0.0458169, -0.0264958, -0.0181445, -0.00828036, -0.00661779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.270554, 0.288867, 0.315007, 0.345218, 0.363731, 0.39051, 0.414538, 0.437016, 0.45887, 0.4807, 0.490531, 0.500519, 0.504661, 0.529514, 0.547771, 0.567166, 0.577924, 0.588094, 0.608016, 0.619435, 0.642272, 0.684032, 0.732847");
-            values ( \
-              "-0.0766695, -0.0910272, -0.161328, -0.230348, -0.265706, -0.306901, -0.333428, -0.349161, -0.354559, -0.344158, -0.330258, -0.305595, -0.291986, -0.198035, -0.138937, -0.0917929, -0.0721774, -0.0571722, -0.0357905, -0.0272487, -0.0155464, -0.0051247, -0.00135781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.352154, 0.40866, 0.453011, 0.501795, 0.57286, 0.630487, 0.681939, 0.723736, 0.743541, 0.769949, 0.79201, 0.824488, 0.872015, 0.937269, 0.976938, 1.0153, 1.03253, 1.06698, 1.09804, 1.14847, 1.2157, 1.30918, 1.40266");
-            values ( \
-              "-0.0350615, -0.0883843, -0.148353, -0.208254, -0.280437, -0.324383, -0.352101, -0.36672, -0.370928, -0.373449, -0.372213, -0.362407, -0.314287, -0.195768, -0.136114, -0.092965, -0.0780338, -0.05388, -0.0384562, -0.0219311, -0.0100823, -0.00299034, -0.00105652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.60985, 0.781179, 0.955102, 1.04858, 1.12289, 1.17581, 1.28998, 1.33135, 1.39707, 1.49055, 1.52289, 1.56391, 1.60593, 1.68999, 1.85425, 1.94626, 2.02913, 2.06617, 2.14026, 2.19306, 2.25132, 2.32899, 2.42247, 2.60943, 2.79639");
-            values ( \
-              "-0.0820354, -0.14919, -0.245315, -0.287442, -0.315547, -0.332654, -0.361183, -0.368673, -0.377504, -0.382668, -0.381944, -0.378558, -0.371234, -0.335809, -0.200906, -0.137834, -0.0951966, -0.0801008, -0.0562785, -0.0434334, -0.0325955, -0.0221248, -0.0136309, -0.00514978, -0.00191703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.275556, 0.284451, 0.287478, 0.295153, 0.304358, 0.308296, 0.31206, 0.315789, 0.319826, 0.325307, 0.329847, 0.333393, 0.338294, 0.342824, 0.348865, 0.367585, 0.401291");
-            values ( \
-              "-0.00550766, -0.0598164, -0.0825947, -0.122643, -0.160309, -0.171681, -0.177378, -0.174449, -0.150406, -0.0878025, -0.0479642, -0.0286352, -0.013628, -0.0069198, -0.00279428, -0.000551849, -0.000146899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.286578, 0.303463, 0.31511, 0.322574, 0.32918, 0.335306, 0.341209, 0.347103, 0.353002, 0.366047, 0.372335, 0.381131, 0.390754, 0.401463, 0.405526");
-            values ( \
-              "-0.00989343, -0.125787, -0.184094, -0.215038, -0.235568, -0.249687, -0.255591, -0.249362, -0.217999, -0.0929106, -0.0540518, -0.0241299, -0.00975949, -0.00347807, -0.00279311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.305542, 0.321132, 0.336585, 0.351613, 0.357915, 0.371238, 0.383134, 0.39424, 0.405007, 0.415761, 0.423168, 0.427487, 0.447517, 0.457892, 0.463608, 0.47014, 0.481714, 0.489579, 0.505308, 0.515102");
-            values ( \
-              "-0.00248625, -0.0842974, -0.157437, -0.214764, -0.235126, -0.272375, -0.296435, -0.31171, -0.317256, -0.308324, -0.286174, -0.263238, -0.130897, -0.0827698, -0.0634866, -0.0464133, -0.0263827, -0.0178476, -0.00794113, -0.00552354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.34898, 0.407198, 0.438657, 0.450964, 0.477774, 0.501772, 0.524248, 0.546101, 0.567929, 0.577787, 0.591891, 0.616423, 0.635332, 0.654567, 0.674731, 0.695221, 0.707226, 0.731234, 0.772946, 0.821967");
-            values ( \
-              "-0.0219032, -0.173806, -0.242867, -0.265769, -0.306969, -0.333476, -0.349166, -0.354593, -0.344148, -0.330216, -0.291989, -0.199198, -0.137997, -0.0914352, -0.057949, -0.0357984, -0.0268705, -0.0148661, -0.00490779, -0.00129305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.416351, 0.495206, 0.588715, 0.63431, 0.659835, 0.710884, 0.730665, 0.768947, 0.817332, 0.840879, 0.864288, 0.91108, 0.929855, 0.945353, 0.963022, 1.02318, 1.06488, 1.10196, 1.11854, 1.15169, 1.18452, 1.20217, 1.23749, 1.30812, 1.4016, 1.49508");
-            values ( \
-              "-0.000776815, -0.0877011, -0.207982, -0.256522, -0.280244, -0.319916, -0.332388, -0.352032, -0.368203, -0.372299, -0.373368, -0.362769, -0.350138, -0.333441, -0.306568, -0.196783, -0.134513, -0.0930495, -0.0784612, -0.0551381, -0.0386281, -0.0318329, -0.0214318, -0.00930595, -0.0028572, -0.000908821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.659691, 0.766503, 0.977618, 1.0711, 1.13549, 1.20799, 1.26283, 1.35631, 1.41843, 1.48413, 1.57761, 1.60997, 1.65098, 1.693, 1.77705, 1.94134, 2.03328, 2.1162, 2.15327, 2.22741, 2.28016, 2.33836, 2.41596, 2.50944, 2.60292, 2.6964, 2.88337");
-            values ( \
-              "-0.0630331, -0.0853789, -0.212037, -0.259145, -0.28724, -0.314904, -0.332517, -0.356876, -0.36872, -0.377403, -0.382719, -0.381987, -0.37848, -0.371281, -0.335742, -0.200959, -0.137813, -0.0951458, -0.0801398, -0.0562065, -0.0434761, -0.0326458, -0.0220896, -0.0136832, -0.00844656, -0.00519735, -0.00196239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.449264, 0.453731, 0.455067, 0.456491, 0.461891, 0.46574, 0.472956, 0.477281, 0.481238, 0.485018, 0.488761, 0.492813, 0.49952, 0.503488, 0.506514, 0.50928, 0.510795, 0.513238, 0.516495, 0.52301, 0.524263");
-            values ( \
-              "-0.0290092, -0.0408192, -0.0462957, -0.054075, -0.0908796, -0.111277, -0.143841, -0.159525, -0.170907, -0.1767, -0.173822, -0.149783, -0.0750588, -0.0436762, -0.0280027, -0.0182879, -0.0145413, -0.010059, -0.00626067, -0.0023837, -0.00218361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.461363, 0.466793, 0.470777, 0.476901, 0.483392, 0.495741, 0.502354, 0.508483, 0.514388, 0.520285, 0.526186, 0.535483, 0.539724, 0.545391, 0.550257, 0.55771, 0.563237, 0.571137, 0.576733");
-            values ( \
-              "-0.044068, -0.0608425, -0.0846734, -0.12701, -0.161495, -0.214881, -0.235242, -0.249661, -0.255414, -0.249398, -0.217671, -0.124273, -0.0890575, -0.0544216, -0.0349495, -0.018561, -0.0110722, -0.00535178, -0.00373691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.483517, 0.494462, 0.49979, 0.504019, 0.505602, 0.508766, 0.512648, 0.517246, 0.521843, 0.525912, 0.531223, 0.534548, 0.537872, 0.541196, 0.547471, 0.550421, 0.553393, 0.556365, 0.559264, 0.562164, 0.564809, 0.567455, 0.569415, 0.571375, 0.573335, 0.575295, 0.577486, 0.579546, 0.582208, 0.583538, 0.586254, 0.58897, 0.591686, 0.594401, 0.597117, 0.599833, 0.600778, 0.602669, 0.614013, 0.620255, 0.624501, 0.627435, 0.630369, 0.632906, 0.635442, 0.63934, 0.642506, 0.646238, 0.648104, 0.651056");
-            values ( \
-              "-0.039486, -0.0840642, -0.108874, -0.130856, -0.138358, -0.152793, -0.169401, -0.18793, -0.205368, -0.219778, -0.237022, -0.24687, -0.25619, -0.264983, -0.28014, -0.286617, -0.292348, -0.297592, -0.302237, -0.306419, -0.309646, -0.31237, -0.314035, -0.315408, -0.316491, -0.317282, -0.316883, -0.316151, -0.314695, -0.313751, -0.309351, -0.303641, -0.296621, -0.288291, -0.278652, -0.267702, -0.262944, -0.250523, -0.171598, -0.132743, -0.111205, -0.0980043, -0.0856415, -0.0757083, -0.0668703, -0.0559406, -0.0482464, -0.0403681, -0.036876, -0.0319274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.532185, 0.552923, 0.576206, 0.591009, 0.620614, 0.641738, 0.650882, 0.669171, 0.686305, 0.697378, 0.719231, 0.741082, 0.750586, 0.760242, 0.765044, 0.790881, 0.806863, 0.826346, 0.846031, 0.861438, 0.877751, 0.890298, 0.915392, 0.9601, 1.01318");
-            values ( \
-              "-0.0873449, -0.099854, -0.163099, -0.198241, -0.258955, -0.293652, -0.306402, -0.327545, -0.342123, -0.348758, -0.354281, -0.343935, -0.330705, -0.307487, -0.291894, -0.194499, -0.142659, -0.0943039, -0.0605007, -0.0421635, -0.0286622, -0.0211894, -0.0113713, -0.00339902, -0.000785407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.617096, 0.671316, 0.715098, 0.771597, 0.814293, 0.866574, 0.891909, 0.943531, 0.991851, 1.03891, 1.08628, 1.10883, 1.13212, 1.20203, 1.22774, 1.27027, 1.31289, 1.34605, 1.3806, 1.40707, 1.46002, 1.5535, 1.64698");
-            values ( \
-              "-0.0382973, -0.0904016, -0.14942, -0.218093, -0.262437, -0.307023, -0.324411, -0.352504, -0.368404, -0.373834, -0.36258, -0.346209, -0.315835, -0.189636, -0.150313, -0.0991967, -0.0637385, -0.0445377, -0.0305333, -0.0227387, -0.0123686, -0.00382803, -0.0011907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("0.857171, 0.985157, 1.11838, 1.2196, 1.30994, 1.38409, 1.43726, 1.55142, 1.65851, 1.75199, 1.78432, 1.82534, 1.86737, 1.95143, 2.11568, 2.20772, 2.29056, 2.40166, 2.51276, 2.59046, 2.68394, 2.8709, 3.05786");
-            values ( \
-              "-0.0788335, -0.11271, -0.193702, -0.246827, -0.287463, -0.315478, -0.332702, -0.361219, -0.377533, -0.382664, -0.381942, -0.378577, -0.371231, -0.335812, -0.200914, -0.137814, -0.0951909, -0.0562826, -0.0325996, -0.0221141, -0.013634, -0.00515464, -0.00192258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.796196, 0.801102, 0.804007, 0.813461, 0.821804, 0.830425, 0.834313, 0.838156, 0.842295, 0.848373, 0.85271, 0.858233, 0.861786, 0.864448, 0.869771, 0.877438, 0.886303");
-            values ( \
-              "-0.0248642, -0.035075, -0.0480453, -0.101512, -0.13741, -0.165365, -0.171626, -0.169876, -0.147007, -0.0812425, -0.0456266, -0.0202188, -0.0119365, -0.00797346, -0.00369197, -0.00136341, -0.00118918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.807259, 0.81419, 0.817073, 0.820917, 0.825966, 0.831779, 0.837532, 0.845248, 0.852027, 0.85828, 0.864286, 0.870283, 0.876257, 0.88559, 0.889888, 0.89377, 0.898416, 0.902636, 0.905744, 0.907799, 0.911908, 0.920128, 0.923236");
-            values ( \
-              "-0.0319998, -0.0540968, -0.0661349, -0.088413, -0.120768, -0.150777, -0.177379, -0.208655, -0.230368, -0.244833, -0.251801, -0.246084, -0.215702, -0.123829, -0.0883323, -0.0635386, -0.0420058, -0.0284707, -0.0213436, -0.0176756, -0.012035, -0.00558298, -0.0046429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.829684, 0.841438, 0.871424, 0.894292, 0.906238, 0.917388, 0.928188, 0.938975, 0.945532, 0.977513, 0.987767, 0.99988, 1.01098, 1.02366, 1.02942");
-            values ( \
-              "-0.039273, -0.0719802, -0.201821, -0.270883, -0.295018, -0.310727, -0.316326, -0.30775, -0.289178, -0.0974247, -0.0607338, -0.0337581, -0.0195491, -0.0102853, -0.00841474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("0.866824, 0.93061, 0.962449, 0.974678, 1.00146, 1.02544, 1.0479, 1.06973, 1.09154, 1.10147, 1.11156, 1.1155, 1.13912, 1.15993, 1.17468, 1.18163, 1.19321, 1.20866, 1.21595, 1.23255, 1.24779, 1.26484, 1.29894, 1.35021");
-            values ( \
-              "-0.00343214, -0.17287, -0.243268, -0.266075, -0.307232, -0.333759, -0.349434, -0.354879, -0.344372, -0.330239, -0.305015, -0.291985, -0.202351, -0.135043, -0.0984904, -0.0845156, -0.0650629, -0.0453605, -0.0382141, -0.0260245, -0.0180481, -0.0119211, -0.00500125, -0.00118934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("0.952527, 1.02137, 1.07903, 1.11399, 1.15692, 1.18485, 1.21572, 1.24206, 1.26169, 1.2935, 1.33606, 1.34192, 1.35363, 1.37138, 1.38891, 1.42397, 1.43611, 1.46001, 1.48748, 1.55237, 1.57607, 1.60767, 1.62618, 1.64945, 1.68048, 1.70968, 1.72441, 1.75387, 1.81278, 1.90626, 1.99974");
-            values ( \
-              "-0.0119885, -0.0893321, -0.166734, -0.209004, -0.254951, -0.281071, -0.306244, -0.324421, -0.336239, -0.352199, -0.366916, -0.368359, -0.370682, -0.372982, -0.373437, -0.367558, -0.36236, -0.344817, -0.306746, -0.189118, -0.152686, -0.11248, -0.0933909, -0.0734467, -0.0527214, -0.0384053, -0.0326971, -0.0235433, -0.0119085, -0.00367293, -0.00115473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("1.21519, 1.32694, 1.46857, 1.56582, 1.65889, 1.7333, 1.87978, 1.94189, 2.0076, 2.10108, 2.13344, 2.17445, 2.21647, 2.30052, 2.46481, 2.55675, 2.63967, 2.7509, 2.86183, 2.93942, 3.0329, 3.21987, 3.40683");
-            values ( \
-              "-0.0980798, -0.108078, -0.194325, -0.245436, -0.287199, -0.315544, -0.356881, -0.368723, -0.377389, -0.382726, -0.381996, -0.378464, -0.371291, -0.335729, -0.20097, -0.137804, -0.0951336, -0.0561916, -0.0326579, -0.0220793, -0.0136957, -0.00520918, -0.00197394" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00602315, 0.00603817, 0.00605504, 0.00606842, 0.00607656, 0.00608074", \
-            "0.00699967, 0.00701101, 0.0070274, 0.00704367, 0.00705515, 0.00706161", \
-            "0.00758783, 0.00759305, 0.00760278, 0.00761594, 0.00762771, 0.00763533", \
-            "0.00795456, 0.00795641, 0.00796021, 0.00796741, 0.00797616, 0.00798303", \
-            "0.00816053, 0.00816165, 0.00816357, 0.0081666, 0.00817118, 0.00817627", \
-            "0.00828923, 0.00828979, 0.00829108, 0.0082933, 0.0082962, 0.00829959" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00843528, 0.00847295, 0.00852237, 0.00856764, 0.00859815, 0.00861466", \
-            "0.0093362, 0.0093644, 0.00940964, 0.00946188, 0.00950369, 0.009529", \
-            "0.0100478, 0.010065, 0.0100969, 0.0101433, 0.0101904, 0.0102191", \
-            "0.0108455, 0.0108439, 0.0108482, 0.0108565, 0.010886, 0.0109143", \
-            "0.0106646, 0.010813, 0.0109615, 0.0110744, 0.0111485, 0.011194", \
-            "0.00739075, 0.00757238, 0.00815532, 0.00898905, 0.0102507, 0.0112651" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "~A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "!A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.105048, 0.137503, 0.208764, 0.36808, 0.727387, 1.54322", \
-            "0.109553, 0.142133, 0.213479, 0.372851, 0.732096, 1.54807", \
-            "0.119973, 0.152616, 0.224057, 0.383508, 0.742913, 1.55889", \
-            "0.142536, 0.176135, 0.247981, 0.407388, 0.767331, 1.58289", \
-            "0.17103, 0.212658, 0.295493, 0.46073, 0.821018, 1.63596", \
-            "0.192327, 0.246215, 0.352243, 0.554201, 0.938861, 1.75512" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0313517, 0.0490579, 0.0888665, 0.179237, 0.384987, 0.851572", \
-            "0.0313378, 0.04904, 0.0888714, 0.179314, 0.385008, 0.851559", \
-            "0.0313055, 0.0490331, 0.0887906, 0.17934, 0.384958, 0.851553", \
-            "0.0320565, 0.0493647, 0.0888142, 0.179163, 0.384974, 0.851552", \
-            "0.0361904, 0.053304, 0.0913226, 0.179719, 0.384772, 0.851527", \
-            "0.0441881, 0.0621844, 0.101007, 0.187318, 0.385643, 0.851383" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.131255, 0.170507, 0.25718, 0.450604, 0.886988, 1.87799", \
-            "0.136883, 0.176333, 0.263178, 0.456085, 0.893321, 1.8843", \
-            "0.149767, 0.189209, 0.276096, 0.470088, 0.906854, 1.89832", \
-            "0.178125, 0.217763, 0.304783, 0.498689, 0.936314, 1.92781", \
-            "0.231129, 0.27641, 0.367155, 0.563594, 1.00109, 1.99319", \
-            "0.319507, 0.375764, 0.486336, 0.701139, 1.14606, 2.13812" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0298952, 0.0472137, 0.0853355, 0.17126, 0.366133, 0.805846", \
-            "0.0299512, 0.0471485, 0.0852856, 0.171665, 0.366202, 0.805969", \
-            "0.0299405, 0.0471701, 0.0854162, 0.171322, 0.365859, 0.805808", \
-            "0.0301607, 0.0471984, 0.0853516, 0.17149, 0.3661, 0.805578", \
-            "0.0336535, 0.0501314, 0.0866106, 0.171201, 0.365709, 0.804731", \
-            "0.0405305, 0.057899, 0.0944117, 0.175141, 0.365785, 0.805927" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0806186, 0.0926199, 0.101058, 0.10666, 0.111715, 0.116525, 0.121296, 0.126065, 0.13475, 0.14297, 0.15168, 0.158831, 0.170994, 0.187094, 0.189833");
-            values ( \
-              "0.0128093, 0.0749193, 0.109007, 0.125057, 0.134249, 0.138233, 0.136687, 0.125755, 0.0758617, 0.043586, 0.0237648, 0.0142794, 0.00601177, 0.00200374, 0.00192302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.102739, 0.116306, 0.119649, 0.12132, 0.124225, 0.12713, 0.129066, 0.131003, 0.133388, 0.135185, 0.137194, 0.138754, 0.140207, 0.14166, 0.143113, 0.144566, 0.14602, 0.147473, 0.147894, 0.150001, 0.15253, 0.153555, 0.154581, 0.155607, 0.156633, 0.15851, 0.160387, 0.162264, 0.164559, 0.165397, 0.167073, 0.176874, 0.18037, 0.182118, 0.183866, 0.185614, 0.187362, 0.192013, 0.194406, 0.1968, 0.199991, 0.202668, 0.207244, 0.209241, 0.211238, 0.213235, 0.215232, 0.217228, 0.219225, 0.223162");
-            values ( \
-              "0.122121, 0.12803, 0.141628, 0.14801, 0.157654, 0.166472, 0.171894, 0.176952, 0.182684, 0.186504, 0.19026, 0.19283, 0.194717, 0.196351, 0.197734, 0.198863, 0.199741, 0.200365, 0.200374, 0.20017, 0.19938, 0.198494, 0.197408, 0.196121, 0.194634, 0.191267, 0.187313, 0.182771, 0.176419, 0.1732, 0.165301, 0.116335, 0.10139, 0.0944886, 0.0879677, 0.0818274, 0.0760678, 0.0618811, 0.0556942, 0.0500592, 0.0432938, 0.0382804, 0.030385, 0.0276391, 0.0251036, 0.0228464, 0.0207544, 0.0188274, 0.0170656, 0.0140302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.116197, 0.133381, 0.158855, 0.177594, 0.190997, 0.19695, 0.204887, 0.211249, 0.220548, 0.234058, 0.243004, 0.290228, 0.310952, 0.327617, 0.339346, 0.356649, 0.368305, 0.391616, 0.392919");
-            values ( \
-              "0.022091, 0.0616069, 0.153462, 0.205361, 0.230504, 0.238376, 0.246064, 0.249666, 0.250989, 0.244472, 0.230857, 0.0977194, 0.0584108, 0.0373202, 0.0268383, 0.0163585, 0.0116395, 0.00573717, 0.00559625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.182362, 0.206906, 0.256353, 0.282037, 0.293532, 0.316523, 0.324129, 0.338763, 0.352228, 0.379567, 0.407244, 0.417359, 0.42665, 0.436882, 0.452337, 0.488521, 0.509353, 0.52711, 0.547692, 0.570045, 0.593897, 0.609902, 0.640439, 0.657226, 0.690798, 0.757943, 0.836924");
-            values ( \
-              "0.0536322, 0.071619, 0.175697, 0.219119, 0.234876, 0.259484, 0.265464, 0.274505, 0.279644, 0.282271, 0.272649, 0.264836, 0.255232, 0.241949, 0.218036, 0.158276, 0.126763, 0.103291, 0.0800969, 0.0597689, 0.0431614, 0.0344642, 0.0221888, 0.0173489, 0.0104683, 0.00347545, 0.000894311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.258809, 0.33326, 0.368552, 0.475889, 0.527032, 0.555213, 0.611576, 0.638642, 0.680813, 0.716223, 0.73929, 0.785423, 0.825608, 0.862829, 0.984908, 1.05587, 1.1108, 1.15103, 1.2219, 1.27434, 1.34238, 1.43312, 1.54759, 1.56546");
-            values ( \
-              "0.00690688, 0.039562, 0.0712497, 0.186614, 0.230723, 0.25015, 0.278984, 0.287955, 0.296419, 0.29869, 0.297801, 0.290709, 0.27626, 0.254626, 0.159864, 0.110263, 0.0801559, 0.0623351, 0.0395257, 0.0276092, 0.0172701, 0.00912011, 0.00377608, 0.0035289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.588234, 0.738022, 0.85307, 0.973977, 1.0855, 1.14836, 1.26284, 1.29238, 1.35147, 1.42522, 1.53969, 1.58578, 1.63542, 1.68683, 1.78966, 1.90356, 2.14323, 2.25581, 2.36932, 2.49003, 2.54496, 2.65481, 2.74698, 2.78783, 2.86954, 2.98401, 3.21297, 3.44192, 3.78536");
-            values ( \
-              "0.0324639, 0.0733445, 0.134028, 0.193057, 0.237739, 0.258198, 0.285297, 0.290575, 0.298275, 0.304505, 0.305885, 0.303862, 0.300106, 0.293469, 0.272267, 0.236108, 0.148755, 0.113282, 0.0839117, 0.0596865, 0.0511139, 0.036542, 0.0277918, 0.0242614, 0.0190378, 0.0130312, 0.00619126, 0.00288745, 0.00111575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0995053, 0.119662, 0.125255, 0.13031, 0.135118, 0.139888, 0.144656, 0.153331, 0.161563, 0.170277, 0.177416, 0.189594, 0.200608");
-            values ( \
-              "0.021969, 0.109086, 0.125251, 0.134229, 0.138338, 0.136647, 0.125812, 0.0759094, 0.0435831, 0.0237569, 0.0142852, 0.00600862, 0.00327081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.11159, 0.117867, 0.133272, 0.143775, 0.152409, 0.160235, 0.167729, 0.175225, 0.182703, 0.195415, 0.205813, 0.214026, 0.228272, 0.239919, 0.252611, 0.267117, 0.268756");
-            values ( \
-              "0.0259615, 0.0459226, 0.121271, 0.161222, 0.183765, 0.196625, 0.200673, 0.197254, 0.178994, 0.117245, 0.0764288, 0.0534275, 0.0273396, 0.0154116, 0.00819123, 0.00390014, 0.00378109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.133323, 0.145867, 0.177745, 0.196472, 0.21187, 0.225891, 0.239468, 0.253019, 0.262859, 0.293539, 0.312451, 0.332785, 0.35123, 0.377991, 0.406357");
-            values ( \
-              "0.0210822, 0.0423061, 0.153635, 0.20546, 0.233737, 0.247648, 0.251449, 0.244441, 0.228973, 0.138248, 0.0904052, 0.0540099, 0.0326961, 0.0152165, 0.00688259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.191502, 0.225631, 0.274931, 0.291847, 0.312091, 0.342775, 0.370877, 0.398232, 0.425887, 0.436143, 0.445362, 0.455515, 0.470968, 0.507148, 0.527983, 0.54573, 0.566301, 0.58866, 0.612525, 0.628526, 0.659054, 0.675833, 0.70939, 0.776504, 0.854676");
-            values ( \
-              "0.0183625, 0.0716593, 0.175626, 0.205643, 0.234796, 0.265852, 0.279895, 0.282523, 0.272726, 0.264795, 0.25523, 0.242001, 0.218083, 0.158295, 0.126755, 0.103293, 0.0801054, 0.0597795, 0.0431526, 0.0344654, 0.022193, 0.0173477, 0.0104756, 0.0034753, 0.000915266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.340206, 0.401806, 0.462674, 0.494204, 0.545327, 0.573565, 0.630041, 0.638965, 0.656812, 0.692508, 0.712568, 0.735201, 0.757662, 0.802583, 0.81719, 0.846403, 0.88121, 0.95733, 1.00341, 1.05097, 1.08193, 1.12797, 1.16907, 1.20386, 1.24066, 1.29293, 1.3154, 1.36034, 1.45021, 1.56469, 1.67917, 1.79365");
-            values ( \
-              "0.0650813, 0.087071, 0.154677, 0.186587, 0.230492, 0.250184, 0.278871, 0.282257, 0.287757, 0.295487, 0.297741, 0.298566, 0.297885, 0.290889, 0.286791, 0.27499, 0.254696, 0.196356, 0.159667, 0.125337, 0.105646, 0.0806428, 0.062558, 0.0501047, 0.0393226, 0.0276648, 0.0237148, 0.0174192, 0.00910946, 0.0039118, 0.00162054, 0.000724211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.579046, 0.757091, 0.871857, 0.992688, 1.07168, 1.16708, 1.28156, 1.37006, 1.44389, 1.55837, 1.60444, 1.65408, 1.7055, 1.80833, 1.92222, 2.1619, 2.25314, 2.38798, 2.48124, 2.56363, 2.67348, 2.76565, 2.8882, 3.00268, 3.11716, 3.34611, 3.57507, 3.80402");
-            values ( \
-              "0.0152459, 0.0737764, 0.133987, 0.192912, 0.225959, 0.258057, 0.285492, 0.298447, 0.304343, 0.306061, 0.304035, 0.299941, 0.293641, 0.272099, 0.235941, 0.14892, 0.119638, 0.0840756, 0.0646833, 0.0509512, 0.0367046, 0.0276293, 0.0188759, 0.013193, 0.00909739, 0.00433456, 0.00204668, 0.000954826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.14101, 0.144826, 0.15263, 0.161015, 0.166598, 0.171642, 0.176446, 0.181213, 0.185977, 0.19464, 0.202882, 0.211598, 0.218724, 0.224158, 0.230914, 0.240682");
-            values ( \
-              "0.0238111, 0.0362606, 0.0761093, 0.109336, 0.125536, 0.134326, 0.138517, 0.136668, 0.12593, 0.0759785, 0.0435887, 0.0237534, 0.0142942, 0.00964874, 0.00600715, 0.00358192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.15188, 0.158875, 0.184852, 0.193482, 0.201309, 0.208802, 0.216297, 0.223774, 0.246874, 0.255118, 0.269218, 0.283157, 0.298765, 0.305133");
-            values ( \
-              "0.026642, 0.0463302, 0.160906, 0.184158, 0.196316, 0.201028, 0.196954, 0.179314, 0.0764534, 0.0533777, 0.0275052, 0.0138428, 0.00631847, 0.0050088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.176428, 0.194389, 0.218724, 0.237474, 0.246436, 0.252786, 0.265484, 0.274885, 0.28037, 0.291339, 0.299118, 0.308505, 0.331971, 0.350405, 0.367422, 0.37878, 0.392581, 0.40531, 0.418873, 0.429318, 0.450208, 0.477243");
-            values ( \
-              "0.00840646, 0.0669461, 0.154422, 0.206107, 0.223939, 0.233599, 0.246948, 0.250876, 0.251289, 0.24658, 0.237469, 0.217656, 0.14557, 0.0969231, 0.0637441, 0.047236, 0.0323273, 0.0224856, 0.0152344, 0.0112432, 0.00598461, 0.00293389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.240782, 0.266844, 0.316352, 0.329187, 0.353573, 0.384272, 0.412379, 0.439738, 0.467395, 0.477614, 0.486851, 0.497024, 0.512478, 0.548659, 0.569494, 0.587241, 0.607813, 0.630172, 0.654036, 0.670037, 0.700567, 0.717346, 0.750904, 0.81802, 0.895643");
-            values ( \
-              "0.046939, 0.0710116, 0.175312, 0.198591, 0.234682, 0.265783, 0.279852, 0.282492, 0.272704, 0.264818, 0.255239, 0.241995, 0.218075, 0.158291, 0.126756, 0.103293, 0.0801059, 0.0597772, 0.0431537, 0.0344645, 0.022192, 0.0173484, 0.0104745, 0.00347598, 0.000925788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.320897, 0.394301, 0.428991, 0.536222, 0.587286, 0.615529, 0.672014, 0.698696, 0.734273, 0.754841, 0.777298, 0.799585, 0.844159, 0.859108, 0.889007, 0.923128, 1.04517, 1.12394, 1.17064, 1.21118, 1.24541, 1.28234, 1.35731, 1.4025, 1.49287, 1.60735, 1.6266");
-            values ( \
-              "0.00726268, 0.0400955, 0.071335, 0.186776, 0.230617, 0.250283, 0.278959, 0.287806, 0.295498, 0.297799, 0.298604, 0.297905, 0.290996, 0.2868, 0.274673, 0.25469, 0.159793, 0.105589, 0.0802775, 0.0624816, 0.0502221, 0.0393871, 0.0237184, 0.0173867, 0.00906068, 0.00388713, 0.00350491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.719672, 0.864259, 1.0338, 1.11177, 1.20819, 1.32267, 1.41115, 1.48499, 1.59947, 1.64554, 1.69519, 1.7466, 1.84944, 1.96333, 2.29424, 2.42908, 2.52234, 2.60473, 2.71458, 2.80675, 2.9293, 3.04378, 3.15826, 3.38721, 3.42348");
-            values ( \
-              "0.0843711, 0.108087, 0.192923, 0.22557, 0.258068, 0.285494, 0.298445, 0.30435, 0.306058, 0.30403, 0.29995, 0.293633, 0.272108, 0.235951, 0.119651, 0.0840614, 0.0646976, 0.050966, 0.0366897, 0.0276443, 0.0188912, 0.0131777, 0.00911276, 0.00435005, 0.00408239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.225777, 0.23442, 0.243697, 0.248913, 0.256848, 0.262069, 0.264215, 0.266983, 0.271833, 0.276682, 0.285654, 0.29223, 0.298126, 0.302261, 0.306101, 0.31122, 0.315547, 0.322216, 0.331108, 0.345706, 0.357019");
-            values ( \
-              "0.00108534, 0.0335884, 0.0756553, 0.0960591, 0.120119, 0.130611, 0.133425, 0.135508, 0.134901, 0.12449, 0.0742033, 0.0477247, 0.0319477, 0.0238875, 0.0182183, 0.0126102, 0.0092593, 0.00579649, 0.00312623, 0.00118407, 0.000897975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.235423, 0.250102, 0.276435, 0.285204, 0.293102, 0.300643, 0.308159, 0.315673, 0.338851, 0.346909, 0.358436, 0.36894, 0.383868, 0.392544");
-            values ( \
-              "0.01225, 0.0396819, 0.157805, 0.181778, 0.19507, 0.199789, 0.196525, 0.178702, 0.0762072, 0.0536721, 0.0313308, 0.0187476, 0.00894832, 0.00702598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.274556, 0.28675, 0.298164, 0.310896, 0.319654, 0.329544, 0.344919, 0.358927, 0.372495, 0.386039, 0.391707, 0.397464, 0.400656, 0.427433, 0.439457, 0.445527, 0.452062, 0.459146, 0.468371, 0.475844, 0.484824, 0.497487, 0.510795, 0.521016, 0.541459, 0.578351, 0.622105");
-            values ( \
-              "0.0428644, 0.0680834, 0.110447, 0.154611, 0.180931, 0.205996, 0.233922, 0.247924, 0.251473, 0.24461, 0.236913, 0.225519, 0.217368, 0.135775, 0.103909, 0.0902565, 0.0769662, 0.0643518, 0.05046, 0.0412507, 0.0321883, 0.0224258, 0.015307, 0.0113733, 0.00614092, 0.00185641, 0.000428355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.342332, 0.368952, 0.408724, 0.434301, 0.445845, 0.468934, 0.476412, 0.491031, 0.504493, 0.531821, 0.55915, 0.569713, 0.578951, 0.589125, 0.60458, 0.64076, 0.661593, 0.679347, 0.699926, 0.72228, 0.746136, 0.762139, 0.792674, 0.809458, 0.843026, 0.91, 0.987274");
-            values ( \
-              "0.080436, 0.0924831, 0.176372, 0.219247, 0.235346, 0.259666, 0.26582, 0.274515, 0.279932, 0.282205, 0.273028, 0.264788, 0.255194, 0.241981, 0.218047, 0.158279, 0.126769, 0.103299, 0.0801048, 0.0597673, 0.043162, 0.0344623, 0.0221878, 0.017351, 0.0104681, 0.00348907, 0.000931636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.472401, 0.521765, 0.573579, 0.628416, 0.664287, 0.707599, 0.773059, 0.833206, 0.891924, 0.950559, 0.979235, 1.00884, 1.02881, 1.14461, 1.21244, 1.26655, 1.30464, 1.33468, 1.3726, 1.42573, 1.4811, 1.52352, 1.60838, 1.72285, 1.83733, 1.95181");
-            values ( \
-              "0.0689034, 0.0724726, 0.130133, 0.187032, 0.219063, 0.250373, 0.282606, 0.296676, 0.298321, 0.287383, 0.275904, 0.259158, 0.245378, 0.154213, 0.107731, 0.0784219, 0.0619176, 0.0511217, 0.0398671, 0.0278634, 0.019098, 0.0142165, 0.00773069, 0.00328892, 0.00138821, 0.000592951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.816963, 0.958703, 1.12606, 1.20482, 1.30045, 1.41493, 1.44443, 1.50342, 1.57725, 1.69173, 1.7378, 1.78745, 1.83886, 1.9417, 1.9812, 2.05559, 2.29526, 2.40784, 2.52135, 2.6146, 2.69699, 2.80684, 2.89901, 3.02156, 3.13604, 3.25052, 3.365, 3.47947, 3.70843, 3.93739");
-            values ( \
-              "0.0904328, 0.109211, 0.192978, 0.225825, 0.258117, 0.285446, 0.290473, 0.298399, 0.304397, 0.306012, 0.303987, 0.299993, 0.293591, 0.272149, 0.260688, 0.235991, 0.148871, 0.113397, 0.0840261, 0.0647328, 0.0510003, 0.0366555, 0.0276783, 0.0189247, 0.0131443, 0.00914604, 0.00630423, 0.0043831, 0.0020951, 0.00100313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.390645, 0.400845, 0.403478, 0.405035, 0.407111, 0.411363, 0.416552, 0.41829, 0.421765, 0.427498, 0.434567, 0.440669, 0.446266, 0.451682, 0.457088, 0.457419, 0.458742, 0.460507, 0.46333, 0.466, 0.469052, 0.473142, 0.474754, 0.477067, 0.480005, 0.483856, 0.487294, 0.491181, 0.496853, 0.499717, 0.501147, 0.504006, 0.509725, 0.521162, 0.526929");
-            values ( \
-              "0.00585966, 0.0132649, 0.0173405, 0.0202874, 0.0248242, 0.036154, 0.0530848, 0.057966, 0.0682992, 0.0838219, 0.101662, 0.113313, 0.120462, 0.122077, 0.115594, 0.114075, 0.10927, 0.101238, 0.0861944, 0.0731116, 0.0600491, 0.0462637, 0.041739, 0.0358935, 0.0295396, 0.0227676, 0.0180179, 0.0137858, 0.00927886, 0.00764063, 0.00694493, 0.00572689, 0.00392682, 0.00187608, 0.00148143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.410605, 0.425598, 0.428033, 0.435889, 0.45664, 0.460917, 0.469472, 0.473844, 0.479674, 0.487868, 0.495896, 0.503915, 0.518183, 0.522667, 0.52844, 0.532954, 0.538972, 0.547075, 0.554584, 0.558708, 0.567388, 0.572449, 0.58257, 0.60033");
-            values ( \
-              "0.00830056, 0.0248968, 0.0302206, 0.052164, 0.121995, 0.13521, 0.158277, 0.167826, 0.177803, 0.185767, 0.185545, 0.171536, 0.108954, 0.0914143, 0.0722681, 0.0596942, 0.0456951, 0.0315006, 0.0221798, 0.0181089, 0.011949, 0.00935756, 0.00571767, 0.00250259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.449182, 0.475227, 0.482009, 0.513369, 0.525706, 0.542031, 0.550113, 0.563789, 0.570542, 0.577454, 0.584412, 0.598354, 0.631578, 0.644355, 0.663885, 0.67678, 0.685311, 0.702044, 0.711759, 0.731189, 0.765558, 0.805779");
-            values ( \
-              "0.00453457, 0.0474024, 0.0650882, 0.159826, 0.191326, 0.222822, 0.233196, 0.243959, 0.245337, 0.244785, 0.240366, 0.218317, 0.119864, 0.0897294, 0.0547585, 0.0388197, 0.0306629, 0.0190484, 0.0143642, 0.00806473, 0.00266968, 0.000735846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.508006, 0.550383, 0.61202, 0.628563, 0.64963, 0.680485, 0.708674, 0.736087, 0.763454, 0.782967, 0.793427, 0.854911, 0.885419, 0.910353, 0.928617, 0.961076, 0.985923, 1.01155, 1.03114, 1.07034, 1.11467");
-            values ( \
-              "0.00482381, 0.0445115, 0.172527, 0.202073, 0.233184, 0.264668, 0.279329, 0.281961, 0.272739, 0.255412, 0.241871, 0.142969, 0.10116, 0.0740877, 0.0581977, 0.0371988, 0.0260226, 0.0179328, 0.0134228, 0.0073731, 0.00419548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.679959, 0.727611, 0.778526, 0.833056, 0.860271, 0.912075, 0.977453, 1.03755, 1.09624, 1.15484, 1.18385, 1.21379, 1.23106, 1.35931, 1.41272, 1.4757, 1.51764, 1.5696, 1.6272, 1.64695, 1.6912, 1.74176, 1.84289, 1.95737, 2.07184");
-            values ( \
-              "0.0717502, 0.0746791, 0.131348, 0.187789, 0.212487, 0.250854, 0.282882, 0.296873, 0.298427, 0.287466, 0.275799, 0.258766, 0.246877, 0.146438, 0.110194, 0.0760923, 0.0585762, 0.0418277, 0.0283745, 0.0248094, 0.0183287, 0.0128711, 0.00615338, 0.00261105, 0.00110208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("0.998389, 1.14117, 1.25753, 1.33016, 1.44287, 1.5046, 1.61908, 1.6486, 1.70765, 1.78143, 1.8959, 1.94197, 1.99161, 2.04303, 2.14587, 2.25975, 2.49943, 2.612, 2.72551, 2.81876, 2.90115, 3.01101, 3.10318, 3.22573, 3.34021, 3.45468, 3.56916, 3.68364, 3.9126, 4.14155");
-            values ( \
-              "0.0692244, 0.0975767, 0.158553, 0.193002, 0.238288, 0.258152, 0.285427, 0.290509, 0.298392, 0.304427, 0.305995, 0.303972, 0.300016, 0.293576, 0.272168, 0.23601, 0.148855, 0.113382, 0.0840115, 0.0647473, 0.0510145, 0.0366413, 0.0276924, 0.0189386, 0.0131303, 0.00915992, 0.00629035, 0.00439692, 0.00210885, 0.00101682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.722231, 0.743293, 0.747047, 0.754933, 0.77328, 0.781734, 0.793293, 0.800286, 0.806871, 0.813392, 0.819903, 0.825646, 0.832837, 0.840886, 0.851203, 0.857092, 0.864192, 0.869807, 0.881036, 0.896004");
-            values ( \
-              "0.00151658, 0.0109343, 0.0141021, 0.0243813, 0.060209, 0.0738647, 0.0900141, 0.0973334, 0.101619, 0.0996675, 0.0815448, 0.0582325, 0.0382463, 0.0233009, 0.0120147, 0.00818972, 0.00523165, 0.00369326, 0.00192118, 0.000958812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.759328, 0.77683, 0.780259, 0.791599, 0.812553, 0.833739, 0.844451, 0.854147, 0.863366, 0.872586, 0.877743, 0.889271, 0.899819, 0.91079, 0.920756, 0.933536, 0.947406, 0.963257, 0.977713");
-            values ( \
-              "0.0140804, 0.0199567, 0.0239543, 0.0427229, 0.0890891, 0.130287, 0.147899, 0.159125, 0.163858, 0.156293, 0.140951, 0.0971803, 0.0650645, 0.0410718, 0.026333, 0.0144912, 0.00751722, 0.00349591, 0.00203422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.815097, 0.842291, 0.849903, 0.860031, 0.882947, 0.908538, 0.927987, 0.944759, 0.960246, 0.975379, 0.990486, 0.998957, 1.01941, 1.03245, 1.03936, 1.05016, 1.06046, 1.06923, 1.0781, 1.09076, 1.0962, 1.1071, 1.12889, 1.164, 1.20554");
-            values ( \
-              "0.0179622, 0.0317829, 0.0421241, 0.0595827, 0.10716, 0.156952, 0.191032, 0.212437, 0.223678, 0.223949, 0.207477, 0.186705, 0.130425, 0.0987482, 0.0845226, 0.0651161, 0.050058, 0.0397168, 0.0312228, 0.0219135, 0.0188104, 0.0137788, 0.00723872, 0.00238874, 0.000645364" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("0.906129, 0.961791, 1.07029, 1.10392, 1.13387, 1.16241, 1.19096, 1.21612, 1.31543, 1.35624, 1.39808, 1.42428, 1.46623, 1.5048");
-            values ( \
-              "0.00931793, 0.0458587, 0.20999, 0.246742, 0.265818, 0.272297, 0.265964, 0.245187, 0.0989022, 0.0589536, 0.0328881, 0.0225469, 0.0120901, 0.00728309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("1.07855, 1.17055, 1.28207, 1.30885, 1.33993, 1.36198, 1.3982, 1.42757, 1.4881, 1.54686, 1.60651, 1.63283, 1.6609, 1.6899, 1.78651, 1.84007, 1.87155, 1.91889, 1.95873, 1.99183, 2.02903, 2.08161, 2.13495, 2.1756, 2.2569, 2.37138, 2.48586, 2.60033");
-            values ( \
-              "0.0102081, 0.0656432, 0.1838, 0.208663, 0.233345, 0.248745, 0.268766, 0.281271, 0.295773, 0.297827, 0.286778, 0.276358, 0.260895, 0.240835, 0.164205, 0.125341, 0.105304, 0.0797391, 0.0623344, 0.05047, 0.0395099, 0.0277395, 0.0192772, 0.0145191, 0.00812624, 0.00344859, 0.0014708, 0.000609129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("1.40441, 1.54806, 1.66204, 1.78256, 1.86146, 1.95675, 2.07123, 2.1595, 2.23344, 2.34792, 2.39392, 2.44358, 2.49501, 2.59788, 2.71172, 2.9514, 3.04263, 3.17747, 3.27073, 3.35312, 3.46297, 3.55514, 3.67769, 3.79216, 3.90664, 4.1356, 4.36455, 4.59351");
-            values ( \
-              "0.0356873, 0.0746348, 0.134531, 0.19326, 0.226202, 0.258258, 0.285613, 0.298509, 0.304434, 0.306092, 0.304061, 0.299998, 0.293649, 0.272123, 0.235971, 0.148898, 0.119663, 0.084052, 0.0647085, 0.0509763, 0.0366794, 0.0276544, 0.0189013, 0.0131678, 0.00912278, 0.00435998, 0.00207215, 0.000980349" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00700419, 0.00702226, 0.00704205, 0.00705744, 0.00706667, 0.00707139", \
-            "0.00902712, 0.00904524, 0.00906887, 0.00909042, 0.00910479, 0.00911261", \
-            "0.0103073, 0.0103172, 0.0103344, 0.0103547, 0.0103708, 0.0103804", \
-            "0.0110689, 0.0110579, 0.0110484, 0.0110476, 0.011052, 0.0110565", \
-            "0.0115255, 0.0114906, 0.011443, 0.0113983, 0.0113702, 0.0113576", \
-            "0.0118106, 0.0117688, 0.011707, 0.0116288, 0.0115546, 0.0115058" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0108763, 0.0108784, 0.0108885, 0.010902, 0.0109123, 0.0109182", \
-            "0.0114423, 0.0114017, 0.0113632, 0.0113389, 0.0113275, 0.0113227", \
-            "0.0108634, 0.0107645, 0.0106396, 0.0105239, 0.0104497, 0.0104101", \
-            "0.0106368, 0.0104462, 0.0102054, 0.00998473, 0.00982416, 0.00973647", \
-            "0.0114741, 0.0109911, 0.0104839, 0.01004, 0.00969688, 0.00950048", \
-            "0.0118304, 0.0118509, 0.0116695, 0.0106723, 0.0100051, 0.0096146" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.104871, 0.109929, 0.111252, 0.113278, 0.119621, 0.126901, 0.132267, 0.138071, 0.141977, 0.144782, 0.14751, 0.152031, 0.156552, 0.167874, 0.172399, 0.174677, 0.178125, 0.182721, 0.187061");
-            values ( \
-              "-0.0255417, -0.0345455, -0.0386435, -0.045727, -0.0771397, -0.10223, -0.117355, -0.130942, -0.138244, -0.142309, -0.145025, -0.145017, -0.130299, -0.0454366, -0.0249722, -0.0181809, -0.011145, -0.00566681, -0.00344704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.121818, 0.127866, 0.130236, 0.134978, 0.137456, 0.142412, 0.145405, 0.151392, 0.156965, 0.166337, 0.174605, 0.18222, 0.189494, 0.196645, 0.203789, 0.204937, 0.206468, 0.213213, 0.215637, 0.218262, 0.221695, 0.224667, 0.228628, 0.232158, 0.233806, 0.237102, 0.243695, 0.252934");
-            values ( \
-              "-0.0303965, -0.0436903, -0.0492385, -0.0652997, -0.076055, -0.0985847, -0.10962, -0.13025, -0.146526, -0.171364, -0.188642, -0.201474, -0.208582, -0.208216, -0.187336, -0.181675, -0.171903, -0.120295, -0.102663, -0.0853409, -0.0658627, -0.0520456, -0.0374281, -0.0276341, -0.0239505, -0.0178986, -0.00978913, -0.00449124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.159596, 0.171381, 0.202199, 0.223236, 0.240185, 0.255105, 0.268846, 0.281987, 0.294923, 0.307848, 0.340634, 0.35142, 0.362454, 0.382365, 0.385678");
-            values ( \
-              "-0.0333633, -0.0530491, -0.136475, -0.183794, -0.215428, -0.237629, -0.253395, -0.262192, -0.261053, -0.235026, -0.0817925, -0.0511829, -0.0307673, -0.0116219, -0.0103936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.237169, 0.270185, 0.346668, 0.370365, 0.404488, 0.434413, 0.461942, 0.488276, 0.514214, 0.540131, 0.593939, 0.617614, 0.644266, 0.66414, 0.690638, 0.734084, 0.785193");
-            values ( \
-              "-0.0291414, -0.0639235, -0.177212, -0.206855, -0.243526, -0.269478, -0.28716, -0.29721, -0.295466, -0.26576, -0.117853, -0.0727751, -0.0404289, -0.0256283, -0.0135092, -0.00432064, -0.00106628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.400084, 0.480458, 0.642157, 0.775051, 0.839003, 0.89778, 0.954006, 1.00939, 1.06474, 1.18059, 1.23166, 1.28911, 1.3317, 1.38848, 1.48192, 1.59206");
-            values ( \
-              "-0.0267282, -0.0600909, -0.180492, -0.258744, -0.28636, -0.305751, -0.315914, -0.314462, -0.281904, -0.124089, -0.0766441, -0.0426745, -0.0271852, -0.0144372, -0.00464198, -0.00112307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.879317, 1.10795, 1.33248, 1.48994, 1.61823, 1.75918, 1.88887, 2.00841, 2.07116, 2.13387, 2.25341, 2.50496, 2.5914, 2.70508, 2.8217, 2.90269, 3.02223, 3.14177, 3.38085");
-            values ( \
-              "-0.0630329, -0.11022, -0.187749, -0.234201, -0.266594, -0.295529, -0.315051, -0.325351, -0.326778, -0.323587, -0.29129, -0.131088, -0.0912411, -0.0546979, -0.0317654, -0.0212466, -0.0117913, -0.00624635, -0.0017464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.124197, 0.128335, 0.129596, 0.130227, 0.130857, 0.132118, 0.133761, 0.134308, 0.136075, 0.137841, 0.13941, 0.140978, 0.142547, 0.145681, 0.148214, 0.151188, 0.152675, 0.153989, 0.156616, 0.159139, 0.160349, 0.162767, 0.163921, 0.165074, 0.166228, 0.167382, 0.168514, 0.169646, 0.170777, 0.171909, 0.17304, 0.17417, 0.175301, 0.176672, 0.177152, 0.178112, 0.178592, 0.180032, 0.18341, 0.184338, 0.185581, 0.18808, 0.188724, 0.189691, 0.19098, 0.191625, 0.19227, 0.193413, 0.194556, 0.195709");
-            values ( \
-              "-0.026319, -0.031679, -0.0343868, -0.0360818, -0.0379708, -0.0423311, -0.0492667, -0.0517546, -0.0612683, -0.0699156, -0.0768677, -0.0830502, -0.0888646, -0.0992909, -0.107002, -0.115338, -0.119233, -0.122511, -0.128664, -0.134067, -0.136482, -0.14097, -0.142116, -0.142942, -0.143449, -0.143635, -0.143508, -0.143072, -0.142329, -0.141279, -0.139216, -0.136564, -0.133323, -0.128588, -0.126082, -0.119296, -0.115531, -0.103908, -0.0751468, -0.0678285, -0.0589918, -0.0433718, -0.0396911, -0.0347928, -0.0294629, -0.0270361, -0.024768, -0.0212726, -0.0180037, -0.0149349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.140947, 0.150373, 0.152987, 0.157523, 0.165451, 0.176966, 0.177133, 0.177802, 0.180477, 0.183601, 0.186345, 0.190778, 0.194599, 0.202242, 0.209487, 0.216635, 0.223777, 0.224927, 0.226462, 0.233201, 0.235621, 0.238247, 0.241681, 0.244653, 0.248616, 0.252143, 0.25379, 0.257085, 0.263673, 0.266158");
-            values ( \
-              "-0.030107, -0.0499634, -0.058433, -0.0759513, -0.111779, -0.145686, -0.14691, -0.14931, -0.156619, -0.164661, -0.171342, -0.181238, -0.188928, -0.201436, -0.208815, -0.208135, -0.18751, -0.181685, -0.171907, -0.120312, -0.102691, -0.0853556, -0.0658741, -0.0520483, -0.037423, -0.0276357, -0.0239529, -0.0179039, -0.00979504, -0.00836916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.17799, 0.183705, 0.191956, 0.194741, 0.200313, 0.222302, 0.243297, 0.243986, 0.2495, 0.255253, 0.260283, 0.268303, 0.275193, 0.288975, 0.302091, 0.315029, 0.327955, 0.330073, 0.332897, 0.345162, 0.349652, 0.354458, 0.360743, 0.366114, 0.37153, 0.379245, 0.382563, 0.389201, 0.402476, 0.415404");
-            values ( \
-              "-0.0312812, -0.0397969, -0.0541159, -0.0616066, -0.0740976, -0.137373, -0.183131, -0.185116, -0.195962, -0.206556, -0.215253, -0.227939, -0.237647, -0.253277, -0.262245, -0.260936, -0.23509, -0.227028, -0.213962, -0.149038, -0.126957, -0.105652, -0.0817914, -0.0650484, -0.05118, -0.0359047, -0.0307651, -0.0224555, -0.0116203, -0.00682845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.22904, 0.272878, 0.283914, 0.295579, 0.309984, 0.331232, 0.347644, 0.375727, 0.390853, 0.421105, 0.433157, 0.449226, 0.455135, 0.466954, 0.482768, 0.509112, 0.535091, 0.561052, 0.571129, 0.598989, 0.614895, 0.627675, 0.638557, 0.649575, 0.665225, 0.671855, 0.685115, 0.711635, 0.718632");
-            values ( \
-              "-0.0126804, -0.0394287, -0.0524091, -0.0681062, -0.0898486, -0.124527, -0.148853, -0.186797, -0.205288, -0.23853, -0.250082, -0.263889, -0.268587, -0.276973, -0.286511, -0.296586, -0.295087, -0.265427, -0.239942, -0.157883, -0.117774, -0.0912778, -0.0727627, -0.0573461, -0.0404169, -0.0347689, -0.0256147, -0.0134988, -0.0120204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.39216, 0.500821, 0.667056, 0.722569, 0.795757, 0.859728, 0.918514, 0.974748, 1.03014, 1.08549, 1.20135, 1.25242, 1.30987, 1.35245, 1.40923, 1.477");
-            values ( \
-              "-0.00444457, -0.0597706, -0.183035, -0.218367, -0.258494, -0.286476, -0.305537, -0.316047, -0.314271, -0.282048, -0.12408, -0.0766478, -0.0426784, -0.0271888, -0.0144328, -0.0073402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.869574, 1.10825, 1.35625, 1.49844, 1.63792, 1.77897, 1.90866, 2.0282, 2.09134, 2.1537, 2.27324, 2.5246, 2.61126, 2.72525, 2.84146, 2.92218, 3.04172, 3.16126, 3.40034");
-            values ( \
-              "-0.046022, -0.102856, -0.188884, -0.230922, -0.266395, -0.295366, -0.314904, -0.325409, -0.326738, -0.323562, -0.291274, -0.131199, -0.0912419, -0.0546197, -0.0317777, -0.0212869, -0.0118106, -0.00626028, -0.001752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.167742, 0.171663, 0.173169, 0.176182, 0.177862, 0.181222, 0.186398, 0.190254, 0.196199, 0.201452, 0.206288, 0.210901, 0.215427, 0.219949, 0.22067, 0.227439, 0.229097, 0.231918, 0.233208, 0.235788, 0.238073, 0.241531, 0.246142, 0.251073");
-            values ( \
-              "-0.0244193, -0.0317706, -0.033438, -0.0440579, -0.0511725, -0.0691862, -0.0897381, -0.101759, -0.118857, -0.130636, -0.139821, -0.144854, -0.14509, -0.130191, -0.126223, -0.0714472, -0.0592073, -0.0418716, -0.035436, -0.0250035, -0.0181877, -0.0111324, -0.00564771, -0.00313504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.185367, 0.19394, 0.195663, 0.199013, 0.208982, 0.220505, 0.229853, 0.238122, 0.24573, 0.253, 0.260147, 0.267287, 0.269973, 0.279131, 0.28519, 0.292125, 0.295652, 0.300593, 0.307181, 0.313446");
-            values ( \
-              "-0.0313877, -0.0503737, -0.055671, -0.0675496, -0.110331, -0.146964, -0.171453, -0.188928, -0.20153, -0.208782, -0.208237, -0.187464, -0.171914, -0.102697, -0.0658766, -0.0374244, -0.0276358, -0.0179042, -0.00979655, -0.00619979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.219994, 0.235135, 0.23831, 0.244659, 0.251534, 0.262456, 0.266098, 0.273383, 0.287208, 0.304193, 0.319129, 0.332881, 0.346031, 0.358974, 0.371906, 0.374018, 0.376836, 0.389112, 0.393608, 0.398413, 0.404697, 0.410067, 0.415483, 0.4232, 0.426519, 0.433159, 0.446438, 0.453734");
-            values ( \
-              "-0.0275024, -0.0534794, -0.0597346, -0.0753543, -0.0948019, -0.12731, -0.135369, -0.153893, -0.183045, -0.215448, -0.23709, -0.253494, -0.261771, -0.261197, -0.234697, -0.227029, -0.213949, -0.149005, -0.126913, -0.10564, -0.0817702, -0.0650413, -0.0511822, -0.0358974, -0.0307654, -0.0224481, -0.0116197, -0.00891296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.30023, 0.333832, 0.41071, 0.468498, 0.498437, 0.525974, 0.552316, 0.578259, 0.60418, 0.657993, 0.681667, 0.70832, 0.728196, 0.754698, 0.798145, 0.849261");
-            values ( \
-              "-0.0282942, -0.0631438, -0.177116, -0.24342, -0.269349, -0.287103, -0.297122, -0.295441, -0.265706, -0.117844, -0.0727723, -0.0404262, -0.0256254, -0.0135079, -0.00431938, -0.0010666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.44296, 0.546307, 0.675073, 0.73498, 0.767571, 0.832753, 0.856434, 0.90454, 0.950019, 0.990237, 1.01947, 1.07484, 1.1302, 1.21181, 1.24607, 1.27364, 1.29716, 1.3209, 1.35461, 1.39718, 1.45395, 1.54759, 1.65768");
-            values ( \
-              "-0.00732582, -0.0601969, -0.157415, -0.198203, -0.218445, -0.254588, -0.266071, -0.286493, -0.301806, -0.311609, -0.315986, -0.314206, -0.281964, -0.16643, -0.12409, -0.0961539, -0.0766358, -0.0604449, -0.0426735, -0.027188, -0.0144374, -0.00463195, -0.00111901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.920352, 1.09221, 1.39954, 1.53878, 1.68254, 1.82359, 1.95326, 2.0728, 2.13568, 2.19827, 2.31781, 2.5694, 2.65581, 2.76943, 2.88612, 2.96716, 3.0867, 3.20624, 3.44532");
-            values ( \
-              "-0.0594572, -0.0814406, -0.188467, -0.229836, -0.266463, -0.295424, -0.314947, -0.325462, -0.326776, -0.323593, -0.291288, -0.131071, -0.0912433, -0.0547139, -0.0317605, -0.0212418, -0.0117853, -0.00624634, -0.00174787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.261219, 0.271874, 0.27884, 0.28285, 0.292333, 0.302502, 0.307142, 0.311687, 0.316939, 0.328217, 0.332064, 0.337866, 0.342526, 0.349993, 0.355136");
-            values ( \
-              "-0.00540121, -0.0412659, -0.0742792, -0.0892436, -0.117455, -0.138862, -0.14427, -0.144452, -0.125927, -0.0418013, -0.0250621, -0.0110783, -0.00558355, -0.00182807, -0.001447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.282043, 0.29439, 0.305348, 0.316897, 0.326263, 0.334527, 0.342139, 0.349412, 0.356562, 0.363704, 0.381609, 0.388543, 0.397014, 0.403605, 0.415176, 0.419428");
-            values ( \
-              "-0.0226613, -0.0631192, -0.109778, -0.146651, -0.171444, -0.188706, -0.20153, -0.208618, -0.208258, -0.187349, -0.0658681, -0.0374293, -0.0179017, -0.00979333, -0.0031501, -0.00245455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.317969, 0.327135, 0.334135, 0.335444, 0.338061, 0.343295, 0.34853, 0.358113, 0.362996, 0.372762, 0.384045, 0.401001, 0.415924, 0.429667, 0.44281, 0.455748, 0.468674, 0.469379, 0.47079, 0.473612, 0.479159, 0.48588, 0.490372, 0.495176, 0.501461, 0.503251, 0.506832, 0.512247, 0.519963, 0.523282, 0.52992, 0.543195, 0.551963");
-            values ( \
-              "-0.0302183, -0.044641, -0.0574479, -0.0612223, -0.0670263, -0.0805391, -0.0958352, -0.124297, -0.136074, -0.159959, -0.183482, -0.215585, -0.237377, -0.253552, -0.261979, -0.261204, -0.234841, -0.232631, -0.227061, -0.21396, -0.184843, -0.149027, -0.126944, -0.105657, -0.0817842, -0.0758652, -0.065046, -0.0511842, -0.0359016, -0.0307675, -0.0224524, -0.0116217, -0.00836944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.383963, 0.428145, 0.430777, 0.43604, 0.446567, 0.459093, 0.479673, 0.489273, 0.508471, 0.532264, 0.566477, 0.596451, 0.624013, 0.650372, 0.676329, 0.702264, 0.703709, 0.706598, 0.712376, 0.723532, 0.737125, 0.740192, 0.746326, 0.756087, 0.768867, 0.772497, 0.779756, 0.790769, 0.806414, 0.813042, 0.826295, 0.852803, 0.868502");
-            values ( \
-              "-0.0160848, -0.0573377, -0.0608252, -0.0679483, -0.0832765, -0.103357, -0.136445, -0.149822, -0.17665, -0.205891, -0.243314, -0.268826, -0.287124, -0.296717, -0.295539, -0.2654, -0.262379, -0.255523, -0.239948, -0.206748, -0.166497, -0.157941, -0.141565, -0.117828, -0.0912974, -0.0847299, -0.0727647, -0.0573589, -0.0404184, -0.0347801, -0.0256175, -0.0135093, -0.0101847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.557662, 0.642957, 0.804547, 0.937328, 1.00127, 1.06005, 1.11627, 1.17165, 1.22699, 1.34285, 1.39392, 1.45137, 1.49395, 1.55073, 1.64419, 1.75432");
-            values ( \
-              "-0.0215185, -0.0602912, -0.18061, -0.258776, -0.28639, -0.305769, -0.315933, -0.314471, -0.281914, -0.124091, -0.0766437, -0.0426742, -0.0271849, -0.0144372, -0.00464146, -0.00112305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("1.03884, 1.2708, 1.496, 1.6483, 1.82183, 1.92182, 2.03225, 2.08986, 2.16667, 2.22529, 2.2966, 2.41614, 2.67473, 2.78839, 2.91611, 3.01081, 3.13035, 3.24989, 3.31479");
-            values ( \
-              "-0.0605411, -0.110256, -0.188003, -0.233004, -0.275735, -0.295503, -0.312608, -0.319272, -0.325086, -0.326828, -0.323474, -0.291223, -0.127256, -0.0787411, -0.0438883, -0.028, -0.0151916, -0.0085045, -0.00626198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.457851, 0.465304, 0.469501, 0.472478, 0.482652, 0.494818, 0.500812, 0.50627, 0.511395, 0.516383, 0.521364, 0.522703, 0.530003, 0.535959, 0.540706, 0.546064, 0.550225, 0.555126, 0.559136");
-            values ( \
-              "-0.0142747, -0.0214626, -0.0301355, -0.0376191, -0.0724957, -0.103189, -0.115384, -0.12475, -0.130788, -0.132426, -0.121019, -0.114231, -0.0627922, -0.0311735, -0.016653, -0.00797827, -0.00447127, -0.00262608, -0.00194799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.482043, 0.501976, 0.516099, 0.534553, 0.550225, 0.559459, 0.562922, 0.566652, 0.574449, 0.592551, 0.59733, 0.605766, 0.612987, 0.622615, 0.624055");
-            values ( \
-              "-0.0163985, -0.0608735, -0.111147, -0.158804, -0.188638, -0.198926, -0.200688, -0.199813, -0.180692, -0.0637217, -0.0436465, -0.021315, -0.0112568, -0.00457092, -0.00421736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.515818, 0.545048, 0.55476, 0.576501, 0.59561, 0.613067, 0.628265, 0.642188, 0.655467, 0.668507, 0.681533, 0.703361, 0.714416, 0.725176, 0.736257, 0.742934, 0.756289, 0.777695, 0.802947, 0.834474");
-            values ( \
-              "-0.0100449, -0.0516186, -0.0734558, -0.134263, -0.177622, -0.210391, -0.234255, -0.250175, -0.260139, -0.259026, -0.23409, -0.126256, -0.0815482, -0.0511412, -0.0307069, -0.0223851, -0.011545, -0.00367521, -0.000965286, -0.000243976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.607326, 0.631061, 0.645314, 0.651161, 0.658957, 0.704614, 0.747451, 0.78162, 0.811515, 0.839037, 0.865365, 0.891299, 0.917212, 0.91866, 0.921558, 0.927353, 0.952069, 0.955131, 0.961255, 0.971017, 0.983797, 0.987428, 0.994691, 1.0057, 1.02134, 1.02797, 1.04121, 1.06771, 1.10059");
-            values ( \
-              "-0.0208948, -0.0436349, -0.061086, -0.0690416, -0.0802055, -0.152067, -0.206311, -0.244285, -0.268985, -0.287801, -0.296726, -0.296037, -0.265271, -0.262478, -0.25564, -0.239946, -0.166514, -0.157998, -0.141612, -0.117879, -0.0913102, -0.0847557, -0.0727632, -0.0573731, -0.0404168, -0.0347924, -0.0256174, -0.0135207, -0.00653875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.776403, 0.860848, 0.988348, 1.0487, 1.08069, 1.14467, 1.20768, 1.23727, 1.27637, 1.33247, 1.38784, 1.44318, 1.52477, 1.55903, 1.5866, 1.6101, 1.63384, 1.66755, 1.71013, 1.76691, 1.86051, 1.97062");
-            values ( \
-              "-0.0209205, -0.061742, -0.157858, -0.19897, -0.218724, -0.254305, -0.282765, -0.293763, -0.305693, -0.316105, -0.31435, -0.282068, -0.166448, -0.124091, -0.0961598, -0.0766474, -0.0604504, -0.042678, -0.0271886, -0.0144344, -0.00463493, -0.00111799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("1.25278, 1.4867, 1.71236, 1.86152, 1.99687, 2.13765, 2.25719, 2.32925, 2.39095, 2.51049, 2.54764, 2.58727, 2.6411, 2.81491, 2.91606, 3.03213, 3.11732, 3.23018, 3.3209, 3.44044, 3.55998, 3.79907");
-            values ( \
-              "-0.0590698, -0.110278, -0.188134, -0.232258, -0.266418, -0.295325, -0.313783, -0.321347, -0.325661, -0.323822, -0.318755, -0.309036, -0.285645, -0.17049, -0.11454, -0.0692869, -0.0466773, -0.0273241, -0.017518, -0.00953223, -0.00519469, -0.00152335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.86015, 0.878314, 0.884495, 0.901676, 0.913512, 0.920853, 0.927444, 0.93357, 0.939472, 0.945364, 0.947078, 0.956819, 0.962151, 0.967637, 0.970753, 0.974906, 0.983213, 0.993225, 1.01814");
-            values ( \
-              "-0.00230042, -0.0185408, -0.0262971, -0.0628203, -0.0837946, -0.0950145, -0.103721, -0.109902, -0.112639, -0.105669, -0.0992364, -0.0473279, -0.0262761, -0.0133748, -0.00901206, -0.0052533, -0.00170766, -0.000608517, -0.00047734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.892235, 0.914314, 0.919928, 0.951767, 0.963913, 0.974312, 0.983708, 0.992471, 1.00095, 1.00942, 1.01114, 1.0248, 1.03239, 1.04047, 1.04432, 1.04945, 1.05972, 1.0733, 1.09003, 1.1435");
-            values ( \
-              "-0.00376499, -0.0306448, -0.0392261, -0.111134, -0.134291, -0.151782, -0.165063, -0.17421, -0.177377, -0.164321, -0.157914, -0.0808492, -0.0474093, -0.0251226, -0.0183335, -0.0118874, -0.00472899, -0.00138176, -0.000465325, -0.000175965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.952903, 0.971498, 0.979655, 0.991128, 0.999488, 1.0283, 1.06247, 1.07926, 1.09453, 1.10886, 1.12284, 1.13737, 1.16517, 1.17485, 1.17995, 1.1889, 1.19903, 1.20713, 1.21612, 1.23267");
-            values ( \
-              "-0.0143364, -0.0295471, -0.039077, -0.0563069, -0.0717912, -0.131671, -0.189687, -0.212638, -0.230316, -0.24096, -0.243386, -0.220567, -0.0987506, -0.0669974, -0.053914, -0.0361915, -0.0227638, -0.0155339, -0.0100962, -0.00541629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("1.06547, 1.10091, 1.11998, 1.1598, 1.18748, 1.23543, 1.2666, 1.2805, 1.30871, 1.33539, 1.36165, 1.38788, 1.4259, 1.442, 1.46546, 1.47655, 1.49226, 1.5123, 1.53901, 1.58254, 1.63378");
-            values ( \
-              "-0.0409254, -0.0457819, -0.0681378, -0.125897, -0.163118, -0.219991, -0.251115, -0.262861, -0.282084, -0.293124, -0.292486, -0.263728, -0.157381, -0.117144, -0.0727825, -0.0573201, -0.0403752, -0.0255254, -0.0133988, -0.00428295, -0.00105774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("1.28082, 1.33841, 1.50785, 1.63059, 1.69447, 1.7532, 1.80939, 1.86475, 1.92007, 2.0359, 2.08698, 2.14442, 2.187, 2.24377, 2.33726, 2.44737");
-            values ( \
-              "-0.0587698, -0.0624083, -0.187696, -0.259054, -0.286701, -0.305916, -0.316143, -0.314533, -0.282043, -0.12411, -0.076647, -0.0426778, -0.0271891, -0.0144387, -0.00464053, -0.00112173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("1.6931, 1.82518, 2.1995, 2.31368, 2.47436, 2.5939, 2.7451, 2.86464, 2.92759, 2.99011, 3.10965, 3.36119, 3.44765, 3.56135, 3.67795, 3.75892, 3.87846, 3.998, 4.23708");
-            values ( \
-              "-0.0580526, -0.0619464, -0.191059, -0.224978, -0.266386, -0.291577, -0.314888, -0.325501, -0.326766, -0.323589, -0.291283, -0.131098, -0.0912441, -0.0546957, -0.0317628, -0.0212519, -0.0117894, -0.00625016, -0.00174971" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00655681, 0.00658015, 0.00660593, 0.00662629, 0.00663853, 0.00664483", \
-            "0.00771662, 0.00773034, 0.0077494, 0.00776763, 0.00778027, 0.00778731", \
-            "0.00841943, 0.00842477, 0.00843445, 0.00844681, 0.0084573, 0.00846388", \
-            "0.0088402, 0.00884156, 0.00884454, 0.00885008, 0.0088568, 0.0088621", \
-            "0.0090606, 0.00906122, 0.00906219, 0.00906385, 0.00906677, 0.00907016", \
-            "0.00917437, 0.00917427, 0.00917566, 0.00917735, 0.00917905, 0.00918098" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00911387, 0.00912728, 0.00914257, 0.00915421, 0.0091639, 0.00916925", \
-            "0.0101318, 0.0101424, 0.0101525, 0.0101591, 0.0101619, 0.0101642", \
-            "0.0106568, 0.0106774, 0.0106963, 0.0107082, 0.0107136, 0.0107155", \
-            "0.0111282, 0.0110695, 0.0110088, 0.0109619, 0.010934, 0.0109201", \
-            "0.0118504, 0.0116339, 0.011396, 0.0112097, 0.0110963, 0.0110323", \
-            "0.0129556, 0.0125944, 0.0120735, 0.0116091, 0.011304, 0.0111427" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.160763, 0.196035, 0.273731, 0.448665, 0.8459, 1.74804", \
-            "0.166896, 0.202217, 0.28002, 0.455126, 0.852168, 1.75422", \
-            "0.181601, 0.217002, 0.29505, 0.47021, 0.867374, 1.76943", \
-            "0.213293, 0.248798, 0.327109, 0.50271, 0.899707, 1.80214", \
-            "0.267379, 0.302753, 0.381023, 0.556747, 0.954374, 1.85638", \
-            "0.352794, 0.388618, 0.466911, 0.642214, 1.03979, 1.94187" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0319964, 0.0503098, 0.0916476, 0.185566, 0.399432, 0.883428", \
-            "0.0319955, 0.0503136, 0.0917196, 0.185658, 0.399355, 0.883528", \
-            "0.0319992, 0.0502988, 0.0917228, 0.185618, 0.399282, 0.883433", \
-            "0.0320334, 0.0503236, 0.091727, 0.185674, 0.39915, 0.88349", \
-            "0.0322299, 0.0504021, 0.0917552, 0.185537, 0.399186, 0.883513", \
-            "0.0331697, 0.0510055, 0.09193, 0.185527, 0.39956, 0.883551" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.155092, 0.181704, 0.239725, 0.369293, 0.662567, 1.32947", \
-            "0.158891, 0.185504, 0.243591, 0.373228, 0.666821, 1.33361", \
-            "0.166606, 0.193293, 0.251485, 0.38119, 0.674768, 1.34183", \
-            "0.181171, 0.208147, 0.266702, 0.396792, 0.690383, 1.35747", \
-            "0.20053, 0.227685, 0.286283, 0.416615, 0.710914, 1.3776", \
-            "0.214305, 0.242077, 0.301706, 0.432494, 0.725835, 1.39261" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0244776, 0.0382719, 0.0694444, 0.14082, 0.302966, 0.671569", \
-            "0.0244945, 0.0382783, 0.069497, 0.140841, 0.303234, 0.671582", \
-            "0.0245037, 0.0383064, 0.0694745, 0.140747, 0.302982, 0.671594", \
-            "0.0246386, 0.0383787, 0.0695353, 0.14085, 0.303233, 0.671629", \
-            "0.0246746, 0.0383273, 0.0693043, 0.140845, 0.302911, 0.6716", \
-            "0.0255756, 0.0391134, 0.0698113, 0.140668, 0.302476, 0.671669" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.137101, 0.141549, 0.148076, 0.15292, 0.156519, 0.162207, 0.167368, 0.172292, 0.177179, 0.182063, 0.190969, 0.193904, 0.199297, 0.204325, 0.208245, 0.215739, 0.221428, 0.228864, 0.234857, 0.246843, 0.264435, 0.269228");
-            values ( \
-              "0.0144389, 0.0445675, 0.0763986, 0.0957559, 0.107905, 0.122654, 0.131307, 0.134893, 0.133515, 0.123089, 0.0741179, 0.0608616, 0.0425147, 0.030172, 0.0229659, 0.0135758, 0.00906946, 0.00547043, 0.00368164, 0.00172066, 0.000662945, 0.000610088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.150222, 0.159004, 0.169706, 0.177253, 0.182938, 0.191811, 0.199869, 0.207585, 0.215278, 0.222969, 0.235941, 0.246637, 0.25452, 0.260938, 0.271726, 0.282873, 0.297165, 0.312411, 0.323753");
-            values ( \
-              "0.00838492, 0.059411, 0.109461, 0.138803, 0.156727, 0.178957, 0.190477, 0.195415, 0.191755, 0.175577, 0.114963, 0.0744067, 0.0530343, 0.0395526, 0.0238204, 0.0138569, 0.00694064, 0.00328538, 0.00220975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.185159, 0.196352, 0.214172, 0.221284, 0.233561, 0.240719, 0.255036, 0.260093, 0.265869, 0.271281, 0.282105, 0.285335, 0.291795, 0.29935, 0.313473, 0.347064, 0.360065, 0.366736, 0.379902, 0.393016, 0.401702, 0.413815, 0.418812, 0.428807, 0.448796, 0.484019, 0.525344");
-            values ( \
-              "0.053798, 0.0670927, 0.126955, 0.148431, 0.181499, 0.197326, 0.222426, 0.228752, 0.234446, 0.238614, 0.242695, 0.242927, 0.241706, 0.237239, 0.215402, 0.11845, 0.0884809, 0.0755729, 0.0538518, 0.0381052, 0.0300575, 0.0213795, 0.0185975, 0.0139751, 0.00779737, 0.00257847, 0.000723186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.261277, 0.297652, 0.330924, 0.355985, 0.37017, 0.398541, 0.410081, 0.431765, 0.444356, 0.46014, 0.488426, 0.49875, 0.5085, 0.519167, 0.540502, 0.581247, 0.612632, 0.638024, 0.656383, 0.689043, 0.71422, 0.74033, 0.760321, 0.800304, 0.873668, 0.960388");
-            values ( \
-              "0.0691584, 0.10441, 0.166201, 0.204653, 0.222799, 0.250324, 0.258188, 0.268108, 0.271163, 0.271942, 0.264841, 0.258106, 0.249326, 0.236812, 0.205376, 0.141669, 0.0998802, 0.0730382, 0.0574773, 0.036817, 0.0257402, 0.0176845, 0.0131939, 0.00720941, 0.00213254, 0.000474653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.422156, 0.488697, 0.537074, 0.579618, 0.613191, 0.664017, 0.733212, 0.796302, 0.857517, 0.918623, 0.934858, 0.951616, 0.984742, 1.051, 1.11067, 1.18047, 1.22527, 1.27359, 1.31546, 1.37129, 1.40745, 1.44763, 1.5012, 1.60835, 1.72933, 1.8503");
-            values ( \
-              "0.0714999, 0.0889625, 0.136678, 0.174926, 0.201934, 0.235923, 0.268254, 0.283682, 0.287273, 0.2788, 0.273818, 0.267193, 0.249546, 0.201948, 0.156473, 0.109277, 0.0845775, 0.0629926, 0.0483185, 0.0334234, 0.0262229, 0.0199646, 0.0137689, 0.00630617, 0.00253979, 0.00103799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.705919, 0.890052, 1.14529, 1.22626, 1.3317, 1.45267, 1.54729, 1.62378, 1.74476, 1.78814, 1.84108, 1.89547, 2.00426, 2.04145, 2.11583, 2.35965, 2.45207, 2.51654, 2.5879, 2.68175, 2.76432, 2.87441, 2.96728, 3.09054, 3.21151, 3.33249, 3.57444, 3.81638, 3.93736");
-            values ( \
-              "0.0189362, 0.0671428, 0.179669, 0.210027, 0.242489, 0.270082, 0.284043, 0.290758, 0.294441, 0.293423, 0.290292, 0.285113, 0.265199, 0.255502, 0.232463, 0.147631, 0.118662, 0.100845, 0.0835595, 0.0643014, 0.0507128, 0.0366159, 0.0275143, 0.0187829, 0.0129033, 0.00868912, 0.00396231, 0.00178304, 0.00128958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.156931, 0.161639, 0.168162, 0.173014, 0.176607, 0.182294, 0.187455, 0.192379, 0.197266, 0.20215, 0.211046, 0.213985, 0.219384, 0.224429, 0.228337, 0.23582, 0.24151, 0.248958, 0.254961, 0.266968, 0.284557, 0.289296");
-            values ( \
-              "0.0112039, 0.0445354, 0.0764229, 0.0957982, 0.107901, 0.122669, 0.131304, 0.134902, 0.133513, 0.123094, 0.0741649, 0.0608856, 0.0425114, 0.0301366, 0.0229584, 0.0135822, 0.00907167, 0.00546733, 0.00367771, 0.00171617, 0.000662276, 0.00061009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.168636, 0.18503, 0.198706, 0.211767, 0.219831, 0.227547, 0.235241, 0.242933, 0.266603, 0.280887, 0.291729, 0.302844, 0.317051, 0.329694");
-            values ( \
-              "0.00216186, 0.0882415, 0.143713, 0.178728, 0.190647, 0.195223, 0.19192, 0.175405, 0.0744032, 0.0395816, 0.0237739, 0.0138507, 0.006966, 0.00391979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.197154, 0.23991, 0.250444, 0.25947, 0.26919, 0.276829, 0.291438, 0.305497, 0.319544, 0.333639, 0.366959, 0.379804, 0.394138, 0.403476, 0.420242, 0.433183, 0.446961, 0.457574, 0.478799, 0.516693, 0.561781");
-            values ( \
-              "0.00383981, 0.143826, 0.173104, 0.194289, 0.212909, 0.22428, 0.238572, 0.24295, 0.237472, 0.215546, 0.119295, 0.0894927, 0.0630795, 0.049506, 0.0315031, 0.0219092, 0.0148541, 0.0109694, 0.00586608, 0.00177378, 0.00042701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.26368, 0.299002, 0.351121, 0.368689, 0.390384, 0.422653, 0.452038, 0.480503, 0.509467, 0.520504, 0.529574, 0.53949, 0.555314, 0.61242, 0.631122, 0.65279, 0.674793, 0.694986, 0.72191, 0.738412, 0.7569, 0.78155, 0.83085, 0.904478, 0.993396");
-            values ( \
-              "0.0189952, 0.0679916, 0.165936, 0.194123, 0.222602, 0.253465, 0.268226, 0.272433, 0.264546, 0.257127, 0.24865, 0.236897, 0.214043, 0.126106, 0.102027, 0.0783285, 0.0589175, 0.0448977, 0.0307475, 0.0243295, 0.0186436, 0.0129672, 0.00608364, 0.00177621, 0.00038732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.410705, 0.484344, 0.599726, 0.658769, 0.684204, 0.722478, 0.753141, 0.816489, 0.877603, 0.939256, 0.971126, 1.00487, 1.07235, 1.12654, 1.17212, 1.20981, 1.25855, 1.29755, 1.32859, 1.36659, 1.41992, 1.47503, 1.51719, 1.6015, 1.72248, 1.84345, 1.96443");
-            values ( \
-              "0.0287966, 0.0659614, 0.174881, 0.219821, 0.235959, 0.255593, 0.267966, 0.283469, 0.287179, 0.278581, 0.267401, 0.249509, 0.200988, 0.159642, 0.127356, 0.10385, 0.0782256, 0.0615691, 0.0506001, 0.0395217, 0.0276508, 0.0190322, 0.0142229, 0.0077923, 0.00315809, 0.00126881, 0.000511672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.776649, 0.910117, 1.03576, 1.16604, 1.2494, 1.35256, 1.47353, 1.50511, 1.56827, 1.6447, 1.76568, 1.8091, 1.86204, 1.91642, 2.02518, 2.13684, 2.38058, 2.49451, 2.60887, 2.70271, 2.78527, 2.89535, 2.98823, 3.11151, 3.23249, 3.35346, 3.47444, 3.71638, 3.95833");
-            values ( \
-              "0.0521831, 0.066577, 0.123699, 0.17958, 0.210636, 0.242469, 0.269939, 0.275251, 0.283933, 0.290791, 0.294345, 0.293332, 0.29034, 0.285031, 0.265263, 0.232506, 0.147575, 0.112536, 0.0834964, 0.0643608, 0.050773, 0.0365594, 0.0275737, 0.0188402, 0.0128447, 0.00874653, 0.00591955, 0.00270696, 0.0012325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.200699, 0.210823, 0.215289, 0.219479, 0.222118, 0.227805, 0.23297, 0.237895, 0.242782, 0.247667, 0.249238, 0.256562, 0.259501, 0.263383, 0.264902, 0.269948, 0.273855, 0.277492, 0.281337, 0.287028, 0.289973, 0.294477, 0.300482, 0.312492, 0.330038, 0.352226, 0.381741");
-            values ( \
-              "0.000977107, 0.0629573, 0.0832657, 0.0992231, 0.107793, 0.122706, 0.131225, 0.134945, 0.133452, 0.123133, 0.115529, 0.074167, 0.0608877, 0.0470068, 0.0425102, 0.0301309, 0.0229572, 0.0178079, 0.0135821, 0.00907202, 0.00741309, 0.00546704, 0.00367682, 0.00171585, 0.000663595, 0.000274886, 9.17902e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.217065, 0.224809, 0.230858, 0.237984, 0.248688, 0.257555, 0.265613, 0.273327, 0.281043, 0.288723, 0.301741, 0.312479, 0.319954, 0.326021, 0.330982, 0.338969, 0.349622, 0.356191, 0.369328, 0.390396, 0.397543");
-            values ( \
-              "0.0170658, 0.0598729, 0.088865, 0.119897, 0.157224, 0.178626, 0.190904, 0.195079, 0.192111, 0.175197, 0.114712, 0.0741059, 0.0537598, 0.0407868, 0.0324176, 0.0221576, 0.0132107, 0.00959628, 0.00501898, 0.00176237, 0.0014295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.246282, 0.255517, 0.262489, 0.287447, 0.296403, 0.30689, 0.322916, 0.337478, 0.351541, 0.365577, 0.379666, 0.412958, 0.425826, 0.440177, 0.449504, 0.457086, 0.466255, 0.479199, 0.492989, 0.503612, 0.524858, 0.545816");
-            values ( \
-              "0.0273932, 0.0458963, 0.0666689, 0.14837, 0.173171, 0.19733, 0.224749, 0.238661, 0.243226, 0.237459, 0.215725, 0.119373, 0.0895003, 0.0630604, 0.0495005, 0.0404615, 0.0315127, 0.0219123, 0.0148511, 0.0109647, 0.00585867, 0.00359846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.306213, 0.344935, 0.401724, 0.425388, 0.436234, 0.454038, 0.46841, 0.497916, 0.526332, 0.55476, 0.566112, 0.575297, 0.585337, 0.601161, 0.658274, 0.676979, 0.698649, 0.720652, 0.740845, 0.767768, 0.784272, 0.802762, 0.827414, 0.876719, 0.950212, 1.03907");
-            values ( \
-              "0.0112707, 0.0680392, 0.173965, 0.20914, 0.222617, 0.241345, 0.252954, 0.268277, 0.272099, 0.264853, 0.257245, 0.248738, 0.236849, 0.214028, 0.126094, 0.102017, 0.0783193, 0.0589198, 0.0449011, 0.030743, 0.0243332, 0.0186468, 0.0129624, 0.00608672, 0.00177724, 0.000392831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.441817, 0.531752, 0.641402, 0.674678, 0.706433, 0.73024, 0.768441, 0.799217, 0.862546, 0.923655, 0.984785, 1.01738, 1.05091, 1.11797, 1.17406, 1.22122, 1.25489, 1.30267, 1.34282, 1.37604, 1.41353, 1.46647, 1.52013, 1.56103, 1.64281, 1.76379, 1.88476, 2.00574");
-            values ( \
-              "0.0103232, 0.0672735, 0.17129, 0.198278, 0.22116, 0.23591, 0.255775, 0.267914, 0.283652, 0.287083, 0.278831, 0.267318, 0.249525, 0.201311, 0.158536, 0.125325, 0.104399, 0.0791204, 0.0618577, 0.0501373, 0.0392774, 0.0275588, 0.019157, 0.0144376, 0.00807055, 0.00326651, 0.00131807, 0.000526069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.78441, 0.956919, 1.15316, 1.21228, 1.33047, 1.39866, 1.51963, 1.55122, 1.6144, 1.69079, 1.81177, 1.85515, 1.9081, 1.96249, 2.07127, 2.18285, 2.42666, 2.54057, 2.65492, 2.74877, 2.83133, 2.94142, 3.03429, 3.15756, 3.27853, 3.39951, 3.52048, 3.76243, 4.00438");
-            values ( \
-              "0.0248591, 0.0669996, 0.155398, 0.179765, 0.22237, 0.242561, 0.269968, 0.275325, 0.283953, 0.290847, 0.294344, 0.29333, 0.290378, 0.285023, 0.265287, 0.232546, 0.147552, 0.11252, 0.083482, 0.0643781, 0.0507881, 0.0365412, 0.027589, 0.0188565, 0.0128298, 0.00876228, 0.00590446, 0.00269184, 0.00121744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.302839, 0.306758, 0.315165, 0.322013, 0.32771, 0.332882, 0.337812, 0.342704, 0.347593, 0.356501, 0.364835, 0.373788, 0.381285, 0.386977, 0.394422, 0.411415");
-            values ( \
-              "0.0282848, 0.0419403, 0.0829999, 0.10758, 0.122496, 0.131083, 0.134795, 0.133366, 0.123036, 0.0740879, 0.0424924, 0.0229517, 0.0135688, 0.00906318, 0.00546484, 0.00192755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.314861, 0.324656, 0.335336, 0.343005, 0.348617, 0.357495, 0.365556, 0.373274, 0.380969, 0.388662, 0.401636, 0.412334, 0.420205, 0.426614, 0.437475, 0.44858, 0.462758, 0.477881, 0.491663");
-            values ( \
-              "0.00185179, 0.059018, 0.108951, 0.138784, 0.156789, 0.178719, 0.190572, 0.195218, 0.191867, 0.175411, 0.114949, 0.0743953, 0.0530505, 0.0395879, 0.0237572, 0.0138485, 0.00697497, 0.00332399, 0.00199646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.348987, 0.362486, 0.38744, 0.397658, 0.406882, 0.422911, 0.437473, 0.451538, 0.465574, 0.479663, 0.512956, 0.525824, 0.540175, 0.549502, 0.566254, 0.579198, 0.592987, 0.60361, 0.624857, 0.662675, 0.70764");
-            values ( \
-              "0.0332335, 0.0670088, 0.148209, 0.176496, 0.197159, 0.224869, 0.238512, 0.243347, 0.237324, 0.215844, 0.119365, 0.0894949, 0.0630618, 0.049499, 0.0315132, 0.0219109, 0.01485, 0.0109654, 0.00585781, 0.00177826, 0.00042849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.415562, 0.445476, 0.49769, 0.517778, 0.536961, 0.56924, 0.59863, 0.627097, 0.656061, 0.667052, 0.676145, 0.686086, 0.70191, 0.759018, 0.777719, 0.799387, 0.821391, 0.841584, 0.868509, 0.88501, 0.903498, 0.928147, 0.977447, 1.05091, 1.13963");
-            values ( \
-              "0.0348818, 0.0678454, 0.165848, 0.197691, 0.222547, 0.253405, 0.26822, 0.272397, 0.264532, 0.257155, 0.248672, 0.23689, 0.214042, 0.126103, 0.102026, 0.0783275, 0.0589182, 0.0448982, 0.0307461, 0.0243301, 0.0186443, 0.0129665, 0.00608452, 0.00178165, 0.000391237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.544034, 0.631977, 0.712642, 0.746822, 0.801424, 0.831207, 0.890773, 0.919314, 0.957368, 0.975681, 1.0001, 1.02445, 1.07316, 1.08595, 1.11154, 1.15161, 1.21883, 1.27446, 1.32123, 1.3559, 1.40392, 1.44379, 1.47647, 1.51409, 1.56713, 1.62115, 1.66236, 1.74478, 1.86575, 1.98673, 2.1077");
-            values ( \
-              "0.0128867, 0.066445, 0.144571, 0.174945, 0.217066, 0.235918, 0.264667, 0.27406, 0.282528, 0.285031, 0.286872, 0.286898, 0.281435, 0.27836, 0.269974, 0.249451, 0.201212, 0.158802, 0.1258, 0.104267, 0.0789062, 0.0617696, 0.0502377, 0.039349, 0.0275682, 0.0191132, 0.0143958, 0.00798848, 0.00325141, 0.00129371, 0.000534524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.906511, 1.05641, 1.31212, 1.38904, 1.49863, 1.61961, 1.71434, 1.79077, 1.91174, 1.95515, 2.00809, 2.06248, 2.17125, 2.20846, 2.28287, 2.52664, 2.64057, 2.75492, 2.84876, 2.93132, 3.04141, 3.13428, 3.25756, 3.37853, 3.49951, 3.62048, 3.74146, 3.9834, 4.10438");
-            values ( \
-              "0.0390101, 0.0667122, 0.179553, 0.20847, 0.242447, 0.270012, 0.284, 0.290757, 0.294403, 0.293386, 0.290304, 0.285081, 0.265222, 0.255473, 0.232474, 0.147608, 0.11257, 0.0835302, 0.0643288, 0.0507419, 0.0365889, 0.0275433, 0.0188113, 0.012874, 0.00871791, 0.00594822, 0.00399135, 0.00181226, 0.00126023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.503576, 0.519564, 0.523774, 0.532276, 0.53749, 0.542452, 0.54737, 0.55016, 0.552493, 0.562106, 0.566423, 0.576875, 0.58342, 0.592754, 0.599273, 0.607966, 0.623085, 0.628764");
-            values ( \
-              "0.000548059, 0.0814798, 0.0973359, 0.121363, 0.130135, 0.134018, 0.132713, 0.128242, 0.121321, 0.06937, 0.0520276, 0.025567, 0.0161984, 0.00837941, 0.0053499, 0.0031722, 0.00111027, 0.000974285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.52173, 0.528361, 0.542443, 0.549131, 0.553172, 0.562037, 0.570128, 0.577864, 0.585573, 0.59328, 0.606275, 0.616991, 0.624821, 0.631193, 0.637725, 0.642365, 0.65331, 0.65972, 0.667046, 0.681697, 0.704826, 0.733105");
-            values ( \
-              "0.0317211, 0.054179, 0.118507, 0.143684, 0.15626, 0.177994, 0.190218, 0.19473, 0.191647, 0.175071, 0.114785, 0.0742762, 0.0530788, 0.039691, 0.0292926, 0.0234811, 0.013803, 0.01013, 0.00710873, 0.00347329, 0.00113478, 0.000357566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.554717, 0.567058, 0.582812, 0.592012, 0.599712, 0.611483, 0.627517, 0.642085, 0.656154, 0.670195, 0.684287, 0.717592, 0.730452, 0.744797, 0.754129, 0.761719, 0.770888, 0.783832, 0.79762, 0.808242, 0.829486, 0.867225, 0.912139");
-            values ( \
-              "0.0429153, 0.0667329, 0.119968, 0.148322, 0.169605, 0.197295, 0.224513, 0.238664, 0.243049, 0.237502, 0.215589, 0.119338, 0.0894952, 0.0630622, 0.0494995, 0.0404523, 0.0315044, 0.0219087, 0.0148494, 0.0109631, 0.00585952, 0.00178299, 0.000432313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.629636, 0.661517, 0.702631, 0.72969, 0.741841, 0.766142, 0.774002, 0.789355, 0.803386, 0.83182, 0.860252, 0.871644, 0.880811, 0.890832, 0.906656, 0.963768, 0.982475, 1.00415, 1.02615, 1.04634, 1.07326, 1.08977, 1.10826, 1.13292, 1.18224, 1.23074");
-            values ( \
-              "0.0607505, 0.0894298, 0.1667, 0.207422, 0.22314, 0.247004, 0.253381, 0.262309, 0.268343, 0.27198, 0.264934, 0.257197, 0.248709, 0.236836, 0.214024, 0.126092, 0.102012, 0.0783112, 0.0589207, 0.0449036, 0.0307414, 0.0243356, 0.018648, 0.0129577, 0.00608723, 0.00323832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.796776, 0.858304, 0.9518, 1.01062, 1.03615, 1.08721, 1.10512, 1.13807, 1.1682, 1.22936, 1.29051, 1.32299, 1.35664, 1.42395, 1.47892, 1.52515, 1.5612, 1.60955, 1.64902, 1.68094, 1.71874, 1.77191, 1.82644, 1.86808, 1.95137, 2.07235, 2.19332, 2.3143");
-            values ( \
-              "0.0793034, 0.0868415, 0.175342, 0.219954, 0.23622, 0.261241, 0.268201, 0.277574, 0.283598, 0.28696, 0.27884, 0.267336, 0.249507, 0.201105, 0.159182, 0.12653, 0.104066, 0.0785831, 0.0616891, 0.0504164, 0.0394181, 0.0276174, 0.0190863, 0.0143057, 0.00790782, 0.00319789, 0.00129238, 0.000513726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("1.1698, 1.31112, 1.42616, 1.51773, 1.60627, 1.70407, 1.82505, 1.85664, 1.91982, 1.99622, 2.1172, 2.16062, 2.21356, 2.26794, 2.37671, 2.48835, 2.7321, 2.84604, 2.9604, 3.05424, 3.13679, 3.24687, 3.33975, 3.46303, 3.58401, 3.70498, 3.82596, 4.06791, 4.30986");
-            values ( \
-              "0.0771908, 0.0881425, 0.141305, 0.179715, 0.212479, 0.242527, 0.269913, 0.275295, 0.283907, 0.290827, 0.294312, 0.293301, 0.29037, 0.285, 0.265293, 0.232537, 0.147546, 0.112508, 0.0834695, 0.0643877, 0.0507994, 0.0365328, 0.0276, 0.0188663, 0.0128188, 0.00877249, 0.00589373, 0.00268123, 0.00120689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.923048, 0.936954, 0.944321, 0.950335, 0.955728, 0.960837, 0.965881, 0.970922, 0.980266, 0.989196, 0.997638, 1.00743, 1.02025, 1.03098, 1.03644");
-            values ( \
-              "0.0188733, 0.0764795, 0.101428, 0.116875, 0.12608, 0.130411, 0.129697, 0.120136, 0.0712233, 0.0396171, 0.022306, 0.0113059, 0.0047679, 0.00240441, 0.00210417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.938738, 0.944666, 0.960425, 0.971499, 0.98058, 0.988782, 0.996605, 1.00438, 1.01216, 1.02531, 1.03616, 1.04949, 1.06328, 1.07072, 1.08071, 1.09403, 1.11322");
-            values ( \
-              "0.027972, 0.0465402, 0.115003, 0.152952, 0.175023, 0.187894, 0.192674, 0.190238, 0.173803, 0.113654, 0.0732362, 0.0407833, 0.0213217, 0.0148545, 0.00916483, 0.00477228, 0.0019866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.967791, 1.01058, 1.03017, 1.04627, 1.0609, 1.07496, 1.08902, 1.10313, 1.13652, 1.14941, 1.16387, 1.18098, 1.20343, 1.23099, 1.26007");
-            values ( \
-              "0.0126226, 0.146913, 0.196257, 0.223877, 0.238214, 0.242818, 0.237294, 0.21538, 0.118876, 0.0890336, 0.0625183, 0.0398746, 0.0213719, 0.010318, 0.00556086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("1.04053, 1.06879, 1.10755, 1.12104, 1.14597, 1.16034, 1.18906, 1.19958, 1.21111, 1.22191, 1.23084, 1.2394, 1.2503, 1.27033, 1.2788, 1.29321, 1.30921, 1.36715, 1.40083, 1.42647, 1.44592, 1.46238, 1.47989, 1.50475, 1.51548, 1.53694, 1.57986, 1.64875, 1.73018");
-            values ( \
-              "0.0408677, 0.0678699, 0.142238, 0.165778, 0.204371, 0.222537, 0.250696, 0.257908, 0.26393, 0.268341, 0.270646, 0.272039, 0.272194, 0.268424, 0.264583, 0.254646, 0.236913, 0.148023, 0.102139, 0.0746824, 0.0578611, 0.0463729, 0.0365013, 0.025532, 0.0219989, 0.0160008, 0.00844098, 0.00266792, 0.000739172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("1.21585, 1.27627, 1.31087, 1.36975, 1.4033, 1.45423, 1.52347, 1.58658, 1.64782, 1.70946, 1.74159, 1.77507, 1.84203, 1.89856, 1.94609, 1.97882, 2.02637, 2.06679, 2.10054, 2.1379, 2.19075, 2.21362, 2.25938, 2.35089, 2.47187, 2.59284, 2.71382");
-            values ( \
-              "0.0834842, 0.086453, 0.120792, 0.174664, 0.201742, 0.235694, 0.268167, 0.283528, 0.287249, 0.278609, 0.267311, 0.24952, 0.201396, 0.158283, 0.124843, 0.104534, 0.0793365, 0.06192, 0.0500209, 0.0392239, 0.0275307, 0.0235894, 0.0172337, 0.00895891, 0.0036405, 0.00145029, 0.000604248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("1.58608, 1.73132, 1.84375, 1.93608, 2.03954, 2.12234, 2.24331, 2.27494, 2.33818, 2.41453, 2.53551, 2.57895, 2.63189, 2.68626, 2.79502, 2.90671, 3.15042, 3.26437, 3.37873, 3.47257, 3.55512, 3.66519, 3.75808, 3.88138, 4.00235, 4.12333, 4.2443, 4.48625, 4.7282");
-            values ( \
-              "0.0737781, 0.0890919, 0.141041, 0.179766, 0.217524, 0.242498, 0.26989, 0.27527, 0.283897, 0.290806, 0.294301, 0.29329, 0.290356, 0.284992, 0.265287, 0.232521, 0.147551, 0.11251, 0.0834698, 0.0643851, 0.0507979, 0.0365357, 0.0275984, 0.018864, 0.0128201, 0.00877056, 0.00589523, 0.00268281, 0.00120848" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00694204, 0.0069605, 0.00698064, 0.00699558, 0.00700462, 0.00700926", \
-            "0.00896058, 0.00897263, 0.00898917, 0.00900485, 0.00901544, 0.00902125", \
-            "0.0103195, 0.010323, 0.0103312, 0.0103421, 0.0103516, 0.0103575", \
-            "0.0110952, 0.0110951, 0.0110964, 0.0111005, 0.0111062, 0.011111", \
-            "0.0115612, 0.0115593, 0.0115586, 0.0115576, 0.0115585, 0.0115606", \
-            "0.0117835, 0.0117825, 0.0117807, 0.0117782, 0.011776, 0.0117752" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0108291, 0.0108431, 0.0108662, 0.0108906, 0.0109079, 0.0109174", \
-            "0.0114124, 0.0114062, 0.0114069, 0.0114158, 0.0114273, 0.0114353", \
-            "0.0109187, 0.0109069, 0.0108905, 0.0108796, 0.0108776, 0.0108793", \
-            "0.0106692, 0.0106653, 0.0106516, 0.0106318, 0.010617, 0.0106106", \
-            "0.00829607, 0.00881696, 0.00949994, 0.0101283, 0.0105535, 0.0107881", \
-            "0.00654137, 0.00655589, 0.00662736, 0.00714255, 0.0084402, 0.00964427" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.134287, 0.146411, 0.153551, 0.162659, 0.166568, 0.170307, 0.174016, 0.178036, 0.188009, 0.191571, 0.196418, 0.200861, 0.205723");
-            values ( \
-              "-0.00495694, -0.0867671, -0.124348, -0.161786, -0.172764, -0.178556, -0.175159, -0.150893, -0.048296, -0.0286305, -0.0136856, -0.00699956, -0.00366481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.143324, 0.161222, 0.173071, 0.180503, 0.187081, 0.193188, 0.199075, 0.204954, 0.210842, 0.220633, 0.227163, 0.23429, 0.23894, 0.24817, 0.259436, 0.26941");
-            values ( \
-              "-0.00131356, -0.124743, -0.185286, -0.215791, -0.236517, -0.250265, -0.256309, -0.249739, -0.218448, -0.12026, -0.0703614, -0.0371571, -0.0241696, -0.0101516, -0.00336811, -0.00178601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.168054, 0.176387, 0.19879, 0.21508, 0.228361, 0.240215, 0.251323, 0.262079, 0.273001, 0.280283, 0.312066, 0.320492, 0.332381, 0.352292, 0.35626");
-            values ( \
-              "-0.0502486, -0.0769634, -0.178988, -0.236362, -0.27294, -0.29712, -0.311551, -0.317863, -0.307906, -0.286072, -0.0943111, -0.0639819, -0.0360414, -0.0133586, -0.0116716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.196614, 0.290439, 0.307605, 0.334415, 0.358388, 0.380857, 0.402703, 0.424524, 0.434432, 0.444497, 0.448482, 0.472388, 0.492556, 0.506848, 0.514767, 0.527952, 0.544575, 0.561952, 0.575645, 0.60303, 0.635435");
-            values ( \
-              "-0.00282271, -0.23338, -0.26574, -0.307243, -0.333458, -0.349413, -0.35457, -0.344367, -0.330198, -0.305174, -0.292043, -0.201492, -0.136215, -0.100432, -0.084381, -0.0626137, -0.0423857, -0.0280913, -0.0201934, -0.0101822, -0.00515733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.274953, 0.325467, 0.346688, 0.419204, 0.446254, 0.491606, 0.517288, 0.568652, 0.587367, 0.6077, 0.626332, 0.663596, 0.674699, 0.696905, 0.721639, 0.769023, 0.777398, 0.786969, 0.802566, 0.820348, 0.880498, 0.905466, 0.922216, 0.959273, 0.975839, 1.00897, 1.04182, 1.05949, 1.09483, 1.16551, 1.19551");
-            values ( \
-              "-0.0123409, -0.0549806, -0.0800731, -0.176299, -0.208224, -0.256737, -0.280396, -0.320463, -0.332246, -0.343318, -0.352272, -0.365479, -0.368409, -0.37221, -0.373549, -0.362513, -0.357658, -0.350401, -0.333661, -0.306613, -0.196808, -0.157508, -0.134501, -0.0930573, -0.0784712, -0.0551593, -0.0386325, -0.0318289, -0.0214255, -0.00929479, -0.00722673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.508932, 0.624392, 0.725493, 0.834782, 0.902554, 0.992542, 1.06665, 1.11983, 1.23396, 1.27561, 1.34104, 1.43435, 1.46715, 1.508, 1.54987, 1.6336, 1.79827, 1.88778, 1.973, 2.01098, 2.08695, 2.13782, 2.19424, 2.26947, 2.36278, 2.45609, 2.5494, 2.73601");
-            values ( \
-              "-0.0512232, -0.0860386, -0.149436, -0.212352, -0.247125, -0.287503, -0.315593, -0.33273, -0.361238, -0.368829, -0.377545, -0.382756, -0.382015, -0.378558, -0.37131, -0.336005, -0.200859, -0.139231, -0.0951705, -0.0797852, -0.0554726, -0.0432742, -0.0327783, -0.022477, -0.0139181, -0.00861979, -0.0052903, -0.00199484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.153672, 0.155719, 0.157614, 0.161337, 0.164415, 0.167496, 0.176312, 0.180572, 0.184484, 0.188225, 0.191936, 0.195958, 0.202554, 0.205935, 0.207671, 0.212183, 0.215828, 0.218796, 0.224731, 0.225896");
-            values ( \
-              "-0.0326712, -0.0382901, -0.0416001, -0.0641867, -0.0883086, -0.105049, -0.146315, -0.161265, -0.173032, -0.178103, -0.175421, -0.151064, -0.0763558, -0.0481398, -0.0374554, -0.0188871, -0.0108473, -0.0070974, -0.00282113, -0.00259421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.164716, 0.169964, 0.181253, 0.181848, 0.188551, 0.195109, 0.198377, 0.204976, 0.211085, 0.216976, 0.222858, 0.228748, 0.237736, 0.241959, 0.246094, 0.247979, 0.251748, 0.256849, 0.260227, 0.266984, 0.278712, 0.281795");
-            values ( \
-              "-0.047477, -0.0636686, -0.138244, -0.139692, -0.17432, -0.203179, -0.215235, -0.23668, -0.249817, -0.256488, -0.249343, -0.218668, -0.127589, -0.0914836, -0.0642918, -0.0547108, -0.0385838, -0.0240069, -0.0177501, -0.00912659, -0.00312961, -0.00263146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.184195, 0.195212, 0.211287, 0.233041, 0.246346, 0.258231, 0.269329, 0.280089, 0.290836, 0.298325, 0.330153, 0.338418, 0.350363, 0.369332");
-            values ( \
-              "-0.0461052, -0.0794295, -0.155803, -0.235501, -0.272636, -0.296717, -0.311906, -0.317462, -0.308453, -0.28592, -0.0939977, -0.06425, -0.0361025, -0.0145351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.222634, 0.250302, 0.285305, 0.325822, 0.352636, 0.376613, 0.399086, 0.420934, 0.442759, 0.45265, 0.466719, 0.510581, 0.533194, 0.563296, 0.59143, 0.614188");
-            values ( \
-              "-0.0323571, -0.0889063, -0.181892, -0.265656, -0.307229, -0.333364, -0.349398, -0.354482, -0.344361, -0.330191, -0.292016, -0.136809, -0.0840366, -0.0419379, -0.0214506, -0.0123854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.335853, 0.391583, 0.461256, 0.497151, 0.534589, 0.592375, 0.643864, 0.692212, 0.739269, 0.786276, 0.809156, 0.832399, 0.927706, 0.970528, 1.01336, 1.04648, 1.08083, 1.10714, 1.15976, 1.2066");
-            values ( \
-              "-0.0859742, -0.116654, -0.206069, -0.244941, -0.280328, -0.324687, -0.352206, -0.368647, -0.373586, -0.362942, -0.346247, -0.315977, -0.150866, -0.0992919, -0.0636597, -0.0445038, -0.030573, -0.0228128, -0.0124581, -0.00814527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.513392, 0.641892, 0.85221, 0.921625, 1.00996, 1.08395, 1.13725, 1.23055, 1.29304, 1.35846, 1.45177, 1.48458, 1.52543, 1.56729, 1.65102, 1.81571, 1.90726, 1.99046, 2.02767, 2.1021, 2.15455, 2.21248, 2.28971, 2.38302, 2.47633, 2.56964, 2.75626");
-            values ( \
-              "-0.0372749, -0.0860599, -0.2123, -0.248008, -0.287436, -0.315619, -0.332659, -0.356981, -0.368877, -0.37748, -0.382801, -0.382049, -0.378506, -0.371341, -0.335964, -0.20089, -0.137995, -0.0951558, -0.0800795, -0.0560949, -0.0434391, -0.0326599, -0.0221549, -0.0137239, -0.00849208, -0.00521771, -0.00196879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.192432, 0.196164, 0.203997, 0.214869, 0.21913, 0.223052, 0.226794, 0.230508, 0.234219, 0.242708, 0.248018, 0.252951, 0.257552, 0.260856");
-            values ( \
-              "-0.0330499, -0.0414901, -0.0949842, -0.146328, -0.161979, -0.172025, -0.178751, -0.174544, -0.15309, -0.0618773, -0.0287058, -0.0134834, -0.00666856, -0.00464632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.203012, 0.218902, 0.229564, 0.23701, 0.243596, 0.249708, 0.2556, 0.261484, 0.267376, 0.276649, 0.283711, 0.290778, 0.295482, 0.304822, 0.313913");
-            values ( \
-              "-0.032163, -0.132466, -0.184692, -0.21582, -0.23603, -0.25028, -0.255914, -0.249769, -0.218165, -0.124933, -0.0702762, -0.0373396, -0.0241704, -0.0100504, -0.00458142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.22474, 0.232966, 0.255295, 0.271588, 0.284876, 0.296736, 0.307849, 0.318609, 0.329534, 0.336751, 0.341083, 0.3611, 0.371477, 0.377239, 0.383825, 0.395273, 0.403056, 0.418621, 0.42659");
-            values ( \
-              "-0.0506642, -0.0773602, -0.178975, -0.236278, -0.272831, -0.297003, -0.311395, -0.31776, -0.307796, -0.286289, -0.26329, -0.13098, -0.082815, -0.0633725, -0.0462112, -0.026422, -0.0179551, -0.0080562, -0.00609211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.279254, 0.304371, 0.312094, 0.317243, 0.322807, 0.328786, 0.336955, 0.347314, 0.352494, 0.364654, 0.370734, 0.376814, 0.382893, 0.388973, 0.395153, 0.401332, 0.406276, 0.413104, 0.41538, 0.419932, 0.429037, 0.436799, 0.446431, 0.456358, 0.4651, 0.470534, 0.4814, 0.482169, 0.483708, 0.486273, 0.488324, 0.491234, 0.495, 0.496883, 0.501163, 0.505443, 0.518282, 0.535069, 0.542298, 0.546692, 0.551065, 0.555439, 0.563843, 0.569683, 0.57576, 0.578478, 0.586634, 0.594301, 0.601968, 0.608008");
-            values ( \
-              "-0.119007, -0.130526, -0.152225, -0.165652, -0.179381, -0.19348, -0.211932, -0.233981, -0.24444, -0.266049, -0.276169, -0.285833, -0.295041, -0.303793, -0.312043, -0.319624, -0.325197, -0.331731, -0.333748, -0.337289, -0.343904, -0.349049, -0.354988, -0.352766, -0.350222, -0.348365, -0.344014, -0.343367, -0.341584, -0.338066, -0.334728, -0.329247, -0.320848, -0.316098, -0.303419, -0.289849, -0.242329, -0.181404, -0.15732, -0.143409, -0.131428, -0.120145, -0.100596, -0.0879119, -0.0760622, -0.0717016, -0.0597723, -0.0502439, -0.0420244, -0.0364389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.36272, 0.409408, 0.50235, 0.547571, 0.573366, 0.624955, 0.642991, 0.682409, 0.720106, 0.75213, 0.777725, 0.825112, 0.842872, 0.858558, 0.876441, 0.936591, 0.978325, 1.01537, 1.03193, 1.06506, 1.09792, 1.1156, 1.15095, 1.22166, 1.31497, 1.40827");
-            values ( \
-              "-0.0604526, -0.0890407, -0.208343, -0.256669, -0.280439, -0.32061, -0.331967, -0.352252, -0.365581, -0.372079, -0.373522, -0.362489, -0.35054, -0.333766, -0.306599, -0.196809, -0.134482, -0.0930531, -0.0784744, -0.055166, -0.0386314, -0.0318277, -0.0214199, -0.00929052, -0.00286069, -0.000911535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.532008, 0.680976, 0.856686, 0.960235, 1.12296, 1.17623, 1.26954, 1.33203, 1.39745, 1.49076, 1.52357, 1.56442, 1.60629, 1.69002, 1.8547, 1.94626, 2.02946, 2.14111, 2.25148, 2.32871, 2.42202, 2.51533, 2.60864, 2.79526");
-            values ( \
-              "-0.0201385, -0.0862587, -0.193571, -0.247864, -0.315654, -0.33263, -0.357001, -0.368895, -0.377447, -0.382817, -0.382063, -0.378474, -0.371357, -0.335923, -0.200934, -0.137949, -0.0951119, -0.0560529, -0.0327011, -0.0221139, -0.0137642, -0.00845176, -0.00525777, -0.00200853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.272027, 0.281713, 0.28497, 0.292426, 0.301617, 0.305551, 0.309312, 0.313038, 0.317073, 0.327088, 0.330637, 0.335532, 0.340054, 0.346083, 0.346681");
-            values ( \
-              "-0.00280829, -0.0589633, -0.0842281, -0.122803, -0.160433, -0.171901, -0.177461, -0.174611, -0.150523, -0.0479574, -0.0286706, -0.0136671, -0.00696121, -0.00277327, -0.00270291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.285856, 0.291894, 0.295404, 0.301028, 0.308236, 0.312603, 0.320063, 0.326666, 0.33279, 0.338691, 0.344584, 0.350482, 0.359759, 0.363514, 0.366847, 0.36982, 0.373784, 0.37861, 0.381805, 0.388196, 0.398494");
-            values ( \
-              "-0.0456858, -0.0665431, -0.0874321, -0.126312, -0.16433, -0.184177, -0.215153, -0.235629, -0.249777, -0.255633, -0.249425, -0.218019, -0.124859, -0.0930206, -0.0701067, -0.0540117, -0.0377054, -0.0241405, -0.0178492, -0.0098057, -0.00376909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.306071, 0.317824, 0.332754, 0.355136, 0.368451, 0.380345, 0.391449, 0.402214, 0.412966, 0.4204, 0.45215, 0.460729, 0.472569, 0.491612");
-            values ( \
-              "-0.0454583, -0.0817633, -0.15286, -0.235372, -0.272337, -0.296632, -0.311657, -0.317417, -0.308258, -0.286078, -0.0945877, -0.0637247, -0.0359828, -0.0142472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.354211, 0.373262, 0.407454, 0.430434, 0.447998, 0.47481, 0.49879, 0.521265, 0.543115, 0.564942, 0.574839, 0.584893, 0.588903, 0.612966, 0.632825, 0.646902, 0.655333, 0.669377, 0.685358, 0.701629, 0.714264, 0.739534, 0.786043, 0.841358");
-            values ( \
-              "-0.069738, -0.0915588, -0.18195, -0.232659, -0.265627, -0.307256, -0.333301, -0.349405, -0.354413, -0.344367, -0.330158, -0.305205, -0.291998, -0.200906, -0.136642, -0.101255, -0.0841233, -0.0611922, -0.0420546, -0.0286164, -0.021113, -0.011273, -0.00316823, -0.000671178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.419092, 0.492713, 0.537191, 0.585327, 0.657118, 0.689326, 0.714687, 0.766357, 0.814706, 0.861783, 0.90881, 0.931378, 0.954304, 1.02555, 1.04851, 1.09228, 1.11386, 1.13689, 1.16958, 1.18368, 1.21189, 1.2683, 1.36161, 1.45492");
-            values ( \
-              "-0.00685797, -0.0879269, -0.147885, -0.207469, -0.280228, -0.306506, -0.324139, -0.352161, -0.368306, -0.373618, -0.362683, -0.346484, -0.31693, -0.188626, -0.153343, -0.100073, -0.080257, -0.062995, -0.0442384, -0.037939, -0.0277767, -0.0145134, -0.00451518, -0.00140464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.63421, 0.764689, 0.975033, 1.04706, 1.13281, 1.20662, 1.26009, 1.3534, 1.41591, 1.48132, 1.57463, 1.60746, 1.64831, 1.69017, 1.77389, 1.93859, 2.03014, 2.11334, 2.15056, 2.22499, 2.27744, 2.33537, 2.4126, 2.50591, 2.59922, 2.69253, 2.87915");
-            values ( \
-              "-0.0353886, -0.0860712, -0.212311, -0.249204, -0.287445, -0.315498, -0.332662, -0.356919, -0.368823, -0.377485, -0.382749, -0.382001, -0.378509, -0.3713, -0.335971, -0.200881, -0.137986, -0.0951482, -0.0800854, -0.0560892, -0.0434453, -0.0326672, -0.0221474, -0.013731, -0.00848478, -0.00522507, -0.00197636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.444253, 0.448394, 0.451274, 0.456447, 0.460306, 0.466996, 0.471736, 0.474998, 0.477068, 0.479443, 0.483176, 0.487221, 0.493112, 0.497294, 0.50092, 0.503681, 0.506003, 0.510871, 0.517361, 0.525823");
-            values ( \
-              "-0.0304402, -0.0398158, -0.0563653, -0.0918426, -0.1121, -0.142611, -0.160274, -0.169667, -0.174099, -0.177219, -0.174126, -0.149989, -0.0832555, -0.0474783, -0.027912, -0.01831, -0.0129015, -0.00623158, -0.00243716, -0.000999627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.454684, 0.470961, 0.482594, 0.490043, 0.496637, 0.502752, 0.508644, 0.514528, 0.520418, 0.533385, 0.539912, 0.547213, 0.556053, 0.56653, 0.567672");
-            values ( \
-              "-0.0174288, -0.125675, -0.184551, -0.215386, -0.236023, -0.250089, -0.256097, -0.249678, -0.218145, -0.0932021, -0.0530684, -0.0271042, -0.0127287, -0.00490407, -0.0045752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.479537, 0.489042, 0.493005, 0.498533, 0.503468, 0.50941, 0.515856, 0.518004, 0.523725, 0.528949, 0.532265, 0.538897, 0.541777, 0.544657, 0.546097, 0.547536, 0.548976, 0.550416, 0.552062, 0.555504, 0.557191, 0.55941, 0.561629, 0.563661, 0.565693, 0.567725, 0.569757, 0.571737, 0.573716, 0.577675, 0.580416, 0.583157, 0.585874, 0.58859, 0.591307, 0.594023, 0.594968, 0.596858, 0.608832, 0.614452, 0.618704, 0.621634, 0.624987, 0.627097, 0.629629, 0.633529, 0.636696, 0.640429, 0.642295, 0.645249");
-            values ( \
-              "-0.0575031, -0.084844, -0.102962, -0.131595, -0.154356, -0.179497, -0.204594, -0.212479, -0.229345, -0.24586, -0.256898, -0.280265, -0.288152, -0.295367, -0.294741, -0.294659, -0.295122, -0.296129, -0.299185, -0.304674, -0.307122, -0.309754, -0.312014, -0.31376, -0.315194, -0.316317, -0.31713, -0.31674, -0.31603, -0.313648, -0.309241, -0.303503, -0.296506, -0.288202, -0.278593, -0.267678, -0.262916, -0.250489, -0.167587, -0.132709, -0.111144, -0.0979773, -0.0839223, -0.075708, -0.0668858, -0.0559481, -0.0482535, -0.040374, -0.036879, -0.031926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.506948, 0.597757, 0.618485, 0.645254, 0.669272, 0.691746, 0.713597, 0.73558, 0.745291, 0.755314, 0.759385, 0.78381, 0.802936, 0.822118, 0.831485, 0.842026, 0.862707, 0.87491, 0.899316, 0.942285, 0.992918");
-            values ( \
-              "-0.000367072, -0.225761, -0.266107, -0.306812, -0.33371, -0.349023, -0.354786, -0.343941, -0.330212, -0.305388, -0.291996, -0.199588, -0.137686, -0.0913174, -0.0740888, -0.0582112, -0.0358011, -0.0267418, -0.014642, -0.0046376, -0.00115654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.630261, 0.684165, 0.709375, 0.75796, 0.806491, 0.828799, 0.873414, 0.911968, 0.937684, 0.986065, 1.0331, 1.0801, 1.10311, 1.13186, 1.20308, 1.24111, 1.28046, 1.30153, 1.33812, 1.37929, 1.41203, 1.47751, 1.52559");
-            values ( \
-              "-0.0846096, -0.115027, -0.149957, -0.209409, -0.260454, -0.281084, -0.316125, -0.339901, -0.352414, -0.368542, -0.373753, -0.362804, -0.346134, -0.30659, -0.178518, -0.125139, -0.084252, -0.0676058, -0.045533, -0.0290243, -0.0201128, -0.00932888, -0.00600719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("0.847163, 0.981431, 1.11185, 1.21436, 1.30376, 1.37782, 1.43105, 1.54519, 1.65227, 1.74558, 1.7784, 1.81924, 1.86111, 1.94484, 2.10953, 2.20109, 2.28428, 2.39592, 2.5063, 2.58354, 2.67685, 2.86347, 3.05009");
-            values ( \
-              "-0.0717954, -0.114377, -0.193603, -0.247371, -0.287577, -0.315509, -0.332783, -0.361281, -0.377582, -0.382672, -0.381934, -0.378589, -0.371233, -0.336045, -0.200809, -0.13804, -0.0952005, -0.0561413, -0.0326194, -0.0221948, -0.0136838, -0.00517851, -0.00193041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.78849, 0.793148, 0.797462, 0.802035, 0.813161, 0.818171, 0.821405, 0.823998, 0.826144, 0.829986, 0.834109, 0.840189, 0.844532, 0.846993, 0.849807, 0.853695, 0.8566, 0.86241, 0.870528, 0.887032");
-            values ( \
-              "-0.0256082, -0.0348556, -0.0566508, -0.0853845, -0.135727, -0.154027, -0.163495, -0.169191, -0.172122, -0.170088, -0.147205, -0.081189, -0.0455604, -0.0319564, -0.0209426, -0.0116795, -0.00757498, -0.00322265, -0.00122678, -0.000950917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.80067, 0.806092, 0.823916, 0.837109, 0.843868, 0.850107, 0.856102, 0.862087, 0.868053, 0.878028, 0.884682, 0.890212, 0.897538, 0.903705, 0.911928, 0.920413");
-            values ( \
-              "-0.0374277, -0.0531335, -0.153352, -0.209737, -0.2306, -0.245663, -0.251945, -0.246722, -0.215718, -0.118088, -0.0684863, -0.0420017, -0.0213576, -0.0120627, -0.00550953, -0.00306189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.822921, 0.833673, 0.853251, 0.873405, 0.886826, 0.898769, 0.909913, 0.920708, 0.931489, 0.937982, 0.970022, 0.98026, 0.992378, 1.00352, 1.01625, 1.01651");
-            values ( \
-              "-0.0407324, -0.0709274, -0.160476, -0.23325, -0.270837, -0.29525, -0.310764, -0.316598, -0.307814, -0.289561, -0.0973981, -0.0607428, -0.0337479, -0.0195051, -0.0102343, -0.0101513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("0.863425, 0.923229, 0.967228, 0.993993, 1.01795, 1.0404, 1.06222, 1.08402, 1.09401, 1.10417, 1.15478, 1.17219, 1.19683, 1.21585, 1.24581, 1.26706");
-            values ( \
-              "-0.0163435, -0.173029, -0.266269, -0.307424, -0.33401, -0.349648, -0.355123, -0.344544, -0.330266, -0.304685, -0.128511, -0.0881848, -0.0501029, -0.0319988, -0.0161732, -0.0111876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("0.967918, 1.01333, 1.02261, 1.05744, 1.08909, 1.10601, 1.13983, 1.17683, 1.19631, 1.21578, 1.229, 1.23401, 1.24404, 1.26408, 1.28539, 1.32801, 1.33376, 1.34527, 1.36311, 1.38074, 1.41598, 1.42793, 1.45182, 1.47929, 1.51951, 1.54417, 1.55207, 1.56788, 1.59948, 1.6141, 1.61798, 1.62573, 1.64124, 1.67226, 1.69411, 1.70148, 1.71621, 1.74568, 1.80462, 1.89793, 1.99124");
-            values ( \
-              "-0.0645206, -0.0891597, -0.101051, -0.148884, -0.189361, -0.208903, -0.246151, -0.281122, -0.297819, -0.312323, -0.321424, -0.324765, -0.330944, -0.342246, -0.352363, -0.367149, -0.36847, -0.370827, -0.373064, -0.373581, -0.367543, -0.362466, -0.344852, -0.30681, -0.232358, -0.189159, -0.176404, -0.152713, -0.112455, -0.0971319, -0.0933767, -0.0862682, -0.0734391, -0.0527459, -0.0416195, -0.0384194, -0.0326843, -0.0235507, -0.0118913, -0.00369205, -0.00114908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("1.22355, 1.36011, 1.49385, 1.58716, 1.65161, 1.77891, 1.87222, 1.93478, 2.00016, 2.09347, 2.12632, 2.16716, 2.20902, 2.29273, 2.45744, 2.549, 2.6322, 2.74384, 2.85422, 2.93145, 3.02476, 3.21138, 3.398");
-            values ( \
-              "-0.10554, -0.133976, -0.212315, -0.259205, -0.287423, -0.332655, -0.356856, -0.368775, -0.377491, -0.382705, -0.381962, -0.37852, -0.371266, -0.335994, -0.200856, -0.138002, -0.0951636, -0.0561047, -0.0326532, -0.0221617, -0.0137172, -0.00521139, -0.00196284" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00603769, 0.00604919, 0.00606258, 0.00607343, 0.00608007, 0.00608349", \
-            "0.00709081, 0.0070968, 0.00710598, 0.00711542, 0.00712221, 0.00712607", \
-            "0.00772341, 0.00772487, 0.00772852, 0.00773413, 0.00773953, 0.00774313", \
-            "0.00809898, 0.00809873, 0.0080989, 0.00810046, 0.00810307, 0.00810575", \
-            "0.00829902, 0.00829912, 0.00829875, 0.0082988, 0.00829926, 0.00830105", \
-            "0.00842654, 0.0084264, 0.00842607, 0.00842559, 0.0084251, 0.008425" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00848961, 0.00849506, 0.00850585, 0.00851808, 0.00852696, 0.00853199", \
-            "0.00948823, 0.00948724, 0.00948955, 0.00949632, 0.00950356, 0.00950843", \
-            "0.0102515, 0.0102452, 0.0102379, 0.0102338, 0.0102341, 0.0102354", \
-            "0.0109612, 0.0109522, 0.0109385, 0.0109232, 0.0109121, 0.0109074", \
-            "0.0110089, 0.0110396, 0.0110634, 0.0110751, 0.0110772, 0.0110748", \
-            "0.00916486, 0.00942843, 0.0101774, 0.0109106, 0.0113392, 0.0115594" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0316069, 0.0428481, 0.067304, 0.122004, 0.245696, 0.526318", \
-            "0.0375218, 0.048797, 0.073357, 0.128222, 0.25204, 0.532733", \
-            "0.0511781, 0.0638402, 0.0883521, 0.143205, 0.267144, 0.547945", \
-            "0.0723936, 0.0917977, 0.12305, 0.177785, 0.30146, 0.582256", \
-            "0.106964, 0.135042, 0.182079, 0.255146, 0.379023, 0.658994", \
-            "0.170045, 0.207821, 0.274584, 0.383911, 0.550825, 0.831443" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0215491, 0.0367604, 0.0718319, 0.151872, 0.333672, 0.746196", \
-            "0.0224128, 0.0370669, 0.0718522, 0.151867, 0.333671, 0.746197", \
-            "0.0302094, 0.0414781, 0.0726631, 0.151882, 0.333672, 0.746199", \
-            "0.0478718, 0.0612352, 0.0856953, 0.154382, 0.333675, 0.746202", \
-            "0.0744788, 0.0955275, 0.129013, 0.185134, 0.339731, 0.746207", \
-            "0.119123, 0.149139, 0.200793, 0.279839, 0.408057, 0.759574" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0222474, 0.0308833, 0.0498608, 0.0923359, 0.188399, 0.406303", \
-            "0.026956, 0.0356818, 0.054738, 0.097325, 0.193459, 0.411411", \
-            "0.033494, 0.0456089, 0.0660794, 0.108778, 0.204986, 0.423002", \
-            "0.038229, 0.0562717, 0.0862324, 0.13447, 0.230182, 0.448606", \
-            "0.0360069, 0.061953, 0.106496, 0.178038, 0.288842, 0.506494", \
-            "0.0155675, 0.0521388, 0.115538, 0.219985, 0.384479, 0.636082" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0147268, 0.0254317, 0.0500083, 0.106094, 0.233113, 0.521911", \
-            "0.0162701, 0.0258684, 0.0500513, 0.105973, 0.233115, 0.521983", \
-            "0.0244144, 0.0329997, 0.052855, 0.106011, 0.23312, 0.521913", \
-            "0.0380365, 0.0506971, 0.0720189, 0.114709, 0.233215, 0.521984", \
-            "0.0608452, 0.0789086, 0.109551, 0.158612, 0.254711, 0.522268", \
-            "0.101965, 0.126372, 0.169348, 0.240879, 0.352931, 0.570002" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.027511, 0.0293193, 0.0309595, 0.0333397, 0.0351184, 0.0360534, 0.0369848, 0.0384658, 0.039983, 0.043, 0.0446264, 0.0478299, 0.0538044, 0.0592406, 0.0648042, 0.0674872, 0.0710647, 0.0741905, 0.07908, 0.0834893, 0.0891223, 0.0966328, 0.109343, 0.124436, 0.143444, 0.168629, 0.20801, 4.37068");
-            values ( \
-              "0.167999, 0.193346, 0.205732, 0.218379, 0.22071, 0.220971, 0.220481, 0.218427, 0.21492, 0.204623, 0.196914, 0.175719, 0.116127, 0.075542, 0.048803, 0.0395782, 0.0298586, 0.0234329, 0.0159898, 0.0113058, 0.00729546, 0.00402756, 0.00138597, 0.000387395, 5.68384e-05, 1.10436e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.0277565, 0.0312356, 0.0338754, 0.0365134, 0.0392861, 0.0422188, 0.0462845, 0.0569843, 0.0659989, 0.0790713, 0.0882381, 0.098213, 0.109696, 0.117502, 0.122918, 0.133794, 0.148294, 0.169022");
-            values ( \
-              "0.154912, 0.272129, 0.290529, 0.300209, 0.302168, 0.299785, 0.291814, 0.257121, 0.207314, 0.119993, 0.0787353, 0.048789, 0.0275024, 0.0183435, 0.0138723, 0.00782302, 0.00349807, 0.00103959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.0277556, 0.0338565, 0.0373056, 0.0391453, 0.0428247, 0.0486418, 0.0580195, 0.0680292, 0.084126, 0.0901483, 0.101914, 0.11887, 0.134131, 0.151072, 0.160805, 0.168512, 0.182771, 0.196171, 0.211164, 0.223011, 0.246705, 0.283754, 0.32852, 0.385546, 0.465169, 4.37064");
-            values ( \
-              "0.159605, 0.337711, 0.355153, 0.359641, 0.363129, 0.359791, 0.345728, 0.327701, 0.29442, 0.279035, 0.240226, 0.173873, 0.123772, 0.0816473, 0.0632566, 0.0513527, 0.0345092, 0.023399, 0.0150981, 0.0106078, 0.00506369, 0.00144045, 0.000276785, 3.15487e-05, 1e-22, 1.11583e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.0294672, 0.0371518, 0.0446186, 0.0495073, 0.0652011, 0.110491, 0.138752, 0.157582, 0.182599, 0.222909, 0.249248, 0.283053, 0.3174, 0.334632, 0.357607, 0.386527, 0.404344, 0.439979, 0.506902, 0.584844, 0.672257, 0.759671, 4.37057");
-            values ( \
-              "0.332553, 0.384637, 0.400602, 0.40103, 0.39029, 0.349251, 0.321003, 0.298304, 0.25862, 0.182412, 0.138024, 0.092233, 0.0588143, 0.0464565, 0.0335857, 0.0221302, 0.0170312, 0.0099588, 0.00331624, 0.000875906, 0.000183804, 2.22448e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.0342789, 0.0342989, 0.114867, 0.206627, 0.254316, 0.310451, 0.365562, 0.461315, 0.510195, 0.552045, 0.582478, 0.614304, 0.656437, 0.693544, 0.74302, 0.785804, 0.842908, 0.919046, 1.00646, 1.09387, 1.18129, 1.2687, 1.44353, 1.70577, 4.37067");
-            values ( \
-              "1e-22, 0.464809, 0.398636, 0.359535, 0.337884, 0.307692, 0.267839, 0.184621, 0.145435, 0.116105, 0.0976258, 0.0808133, 0.062239, 0.049133, 0.0354869, 0.0266177, 0.0180494, 0.0105812, 0.00564696, 0.00301567, 0.00159094, 0.000854142, 0.000244672, 2.90732e-05, 5.00489e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.0375449, 0.0375649, 0.218683, 0.424399, 0.538057, 0.641936, 0.687541, 0.736562, 0.792949, 0.993198, 1.10166, 1.21687, 1.27247, 1.33889, 1.4263, 1.5068, 1.58819, 1.6756, 1.73734, 1.84885, 1.93627, 2.02368, 2.11109, 2.28592, 2.54816, 2.89781, 3.33488, 4.37061");
-            values ( \
-              "1e-22, 0.468678, 0.403797, 0.364232, 0.34091, 0.316147, 0.3032, 0.287503, 0.267387, 0.188879, 0.148996, 0.112471, 0.097349, 0.0813962, 0.0637441, 0.0505704, 0.0397658, 0.0305743, 0.0253378, 0.0179947, 0.0137026, 0.0104237, 0.0079112, 0.0045483, 0.00197844, 0.000649759, 0.000158468, 4.37769e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0436962, 0.0477421, 0.0490932, 0.0500625, 0.0510318, 0.0517012, 0.052235, 0.0531669, 0.0532054, 0.0533507, 0.0541809, 0.054782, 0.055589, 0.056336, 0.056585, 0.0574041, 0.0582231, 0.0590078, 0.0597924, 0.0605907, 0.0613891, 0.0621874, 0.0630284, 0.0637539, 0.0645278, 0.0656886, 0.0664228, 0.0674651, 0.0685074, 0.0738753, 0.0761543, 0.0773107, 0.0779715, 0.0785739, 0.0803812, 0.0827501, 0.0844324, 0.0853251, 0.0862178, 0.0880031, 0.0888958, 0.0897885, 0.091835, 0.0932938, 0.0948468, 0.0963999, 0.0979529, 0.100462, 0.102972, 0.107154");
-            values ( \
-              "0.116645, 0.12132, 0.134131, 0.148462, 0.16536, 0.169682, 0.173898, 0.182896, 0.182954, 0.183973, 0.190232, 0.194033, 0.198234, 0.20126, 0.202085, 0.203201, 0.203855, 0.204024, 0.203814, 0.202874, 0.201767, 0.200495, 0.198975, 0.196445, 0.193204, 0.1877, 0.183705, 0.176389, 0.167853, 0.115254, 0.0965557, 0.0876205, 0.0829353, 0.0792384, 0.0689685, 0.0575416, 0.0500938, 0.0466079, 0.0433825, 0.0379442, 0.035443, 0.0330871, 0.0283058, 0.0251025, 0.0219814, 0.0195545, 0.0173548, 0.0143366, 0.0117984, 0.00839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.0429265, 0.0482446, 0.0509244, 0.0527566, 0.0545918, 0.0565493, 0.0589205, 0.0604195, 0.0620162, 0.0635939, 0.0661476, 0.0687638, 0.0739738, 0.0768486, 0.0796199, 0.0820776, 0.0848863, 0.0944932, 0.0989699, 0.102842, 0.108138, 0.112419, 0.118125, 0.123141, 0.129578, 0.13739, 0.142808, 0.146436, 0.153691, 0.168202, 0.189415, 0.215366, 0.248459, 0.295789, 4.37063");
-            values ( \
-              "0.0417001, 0.16497, 0.201652, 0.238997, 0.259692, 0.27516, 0.285811, 0.289128, 0.290593, 0.290392, 0.287588, 0.28237, 0.267548, 0.256843, 0.244665, 0.231528, 0.213839, 0.145981, 0.120044, 0.100676, 0.0787734, 0.0643741, 0.0487605, 0.0380738, 0.0275253, 0.0183686, 0.0138755, 0.0114902, 0.0078186, 0.00350344, 0.000974317, 0.000189338, 1.1748e-05, 1e-22, 1.13106e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.0457251, 0.0509138, 0.0526963, 0.0553478, 0.0570411, 0.0588574, 0.0621971, 0.0665091, 0.0684317, 0.0698186, 0.0741133, 0.0780087, 0.0857994, 0.0988104, 0.103631, 0.108772, 0.112897, 0.117655, 0.123207, 0.134311, 0.146866, 0.15426, 0.163777, 0.170963, 0.178252, 0.187949, 0.196518, 0.207944, 0.217907, 0.222353, 0.231243, 0.249023, 0.282375, 0.321149, 0.369891, 0.432761, 4.37062");
-            values ( \
-              "0.139921, 0.233303, 0.277079, 0.313331, 0.329059, 0.340859, 0.352923, 0.357282, 0.356694, 0.355747, 0.350989, 0.345237, 0.331857, 0.306302, 0.295587, 0.282779, 0.27094, 0.255327, 0.234695, 0.190626, 0.146119, 0.123295, 0.0980129, 0.0818244, 0.0676771, 0.0521032, 0.041126, 0.0296998, 0.0222586, 0.0195527, 0.0150532, 0.0087774, 0.00293346, 0.000766881, 0.000117581, 5.93629e-06, 1.04379e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.0490468, 0.056433, 0.0611194, 0.0635464, 0.0696903, 0.0722701, 0.0774298, 0.0871757, 0.119681, 0.153324, 0.177796, 0.202812, 0.243123, 0.269461, 0.303265, 0.337611, 0.354842, 0.377816, 0.406732, 0.424547, 0.460176, 0.527068, 0.604972, 0.692385, 0.779799, 4.37061");
-            values ( \
-              "0.343015, 0.350917, 0.383211, 0.391052, 0.398291, 0.398254, 0.396103, 0.388801, 0.359535, 0.327033, 0.298307, 0.258622, 0.182414, 0.138026, 0.0922355, 0.0588167, 0.0464593, 0.0335885, 0.0221329, 0.0170341, 0.00996144, 0.00331917, 0.000877437, 0.00018413, 2.23005e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.0519245, 0.0519445, 0.135179, 0.22692, 0.274608, 0.330744, 0.385855, 0.481608, 0.530488, 0.582481, 0.634595, 0.689096, 0.713834, 0.763309, 0.806091, 0.863192, 0.939326, 1.02674, 1.11415, 1.20157, 1.28898, 1.46381, 1.72605, 4.37066");
-            values ( \
-              "1e-22, 0.437363, 0.398598, 0.359537, 0.337882, 0.307692, 0.267839, 0.184622, 0.145436, 0.109709, 0.0808144, 0.0575867, 0.0491341, 0.0354881, 0.0266187, 0.0180505, 0.0105824, 0.00564747, 0.00301606, 0.00159103, 0.000854308, 0.00024477, 2.90085e-05, 5.02962e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.0585353, 0.0585553, 0.239049, 0.444762, 0.556745, 0.662299, 0.707904, 0.756925, 0.813312, 1.01356, 1.12203, 1.23724, 1.29283, 1.35925, 1.44667, 1.52716, 1.60855, 1.69596, 1.75769, 1.86921, 1.95662, 2.04403, 2.13145, 2.30627, 2.56851, 2.91817, 3.35524, 4.3706");
-            values ( \
-              "1e-22, 0.471739, 0.403768, 0.364232, 0.34127, 0.316147, 0.3032, 0.287503, 0.267387, 0.188879, 0.148996, 0.112471, 0.0973495, 0.0813966, 0.0637445, 0.0505709, 0.0397662, 0.0305747, 0.0253383, 0.0179953, 0.013703, 0.0104241, 0.00791144, 0.00454844, 0.00197851, 0.000649787, 0.00015847, 4.78661e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0736334, 0.0814113, 0.0836174, 0.0853211, 0.0887284, 0.0917606, 0.0941798, 0.0964714, 0.0983833, 0.100471, 0.10222, 0.10397, 0.106303, 0.10747, 0.107819, 0.108796, 0.109634, 0.110201, 0.110768, 0.111335, 0.111902, 0.112286, 0.112671, 0.113144, 0.113616, 0.113923, 0.114536, 0.11512, 0.115952, 0.116339, 0.117113, 0.121412, 0.124175, 0.12602, 0.127488, 0.128341, 0.130048, 0.131891, 0.133064, 0.134237, 0.135411, 0.137204, 0.140069, 0.14114, 0.142211, 0.144354, 0.146496, 0.150326, 0.152106, 0.153885");
-            values ( \
-              "0.0587675, 0.061625, 0.0702549, 0.0766685, 0.0891914, 0.100073, 0.108531, 0.116268, 0.122501, 0.128987, 0.133526, 0.137764, 0.142947, 0.145338, 0.145773, 0.147478, 0.1484, 0.148728, 0.148817, 0.148667, 0.148279, 0.149315, 0.150658, 0.150117, 0.149342, 0.148713, 0.147158, 0.145177, 0.141228, 0.138926, 0.133551, 0.100763, 0.0820521, 0.0719179, 0.0646127, 0.0606766, 0.053488, 0.046465, 0.0422454, 0.0387457, 0.0354819, 0.0310767, 0.0246512, 0.0225999, 0.0207253, 0.0176706, 0.0149919, 0.0111403, 0.00953026, 0.00812726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.0715762, 0.081969, 0.0905055, 0.103238, 0.112578, 0.114149, 0.115354, 0.117736, 0.120109, 0.12265, 0.125362, 0.127265, 0.129782, 0.141707, 0.149077, 0.154295, 0.158489, 0.164081, 0.168152, 0.175889, 0.183576, 0.187122, 0.1924, 0.199438, 0.213515, 0.234593, 0.26028, 0.293021, 0.339414, 4.37061");
-            values ( \
-              "0.0303006, 0.0817804, 0.12893, 0.194222, 0.235148, 0.246515, 0.250422, 0.254618, 0.254198, 0.249824, 0.241531, 0.233354, 0.219826, 0.139336, 0.100143, 0.0786671, 0.0645533, 0.0491838, 0.0402656, 0.0272741, 0.018318, 0.0152555, 0.0115908, 0.00798322, 0.00367179, 0.00103484, 0.000203465, 1.61659e-05, 1e-22, 3.22071e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.0760301, 0.0892785, 0.115631, 0.117736, 0.120892, 0.123863, 0.128875, 0.133995, 0.14512, 0.154671, 0.163742, 0.180107, 0.192856, 0.209765, 0.224237, 0.233934, 0.242503, 0.25393, 0.26389, 0.277218, 0.294989, 0.328329, 0.367088, 0.415807, 0.478638, 4.37078");
-            values ( \
-              "0.0609978, 0.141174, 0.320136, 0.328977, 0.335737, 0.337118, 0.333799, 0.326505, 0.305539, 0.283027, 0.254991, 0.191387, 0.146119, 0.0980157, 0.0676838, 0.0521087, 0.04113, 0.0297022, 0.0222628, 0.0150593, 0.00878343, 0.00293711, 0.000768218, 0.000118126, 5.84113e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.0823187, 0.0987664, 0.116389, 0.122338, 0.127087, 0.132983, 0.138721, 0.176549, 0.204808, 0.223638, 0.248655, 0.288964, 0.315303, 0.349106, 0.383452, 0.400681, 0.423654, 0.452568, 0.47038, 0.506005, 0.57288, 0.65076, 0.738174, 0.825588, 4.37063");
-            values ( \
-              "0.141582, 0.22506, 0.364415, 0.384647, 0.388508, 0.387128, 0.383188, 0.349279, 0.32101, 0.298308, 0.258623, 0.182415, 0.138028, 0.0922377, 0.0588188, 0.0464616, 0.0335909, 0.0221353, 0.0170366, 0.00996369, 0.00332107, 0.000878379, 0.00018435, 2.23178e-05, 5.20073e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.0879028, 0.120275, 0.124204, 0.129404, 0.135981, 0.154913, 0.273117, 0.335436, 0.376941, 0.432052, 0.527805, 0.576685, 0.628678, 0.680791, 0.735292, 0.760029, 0.809503, 0.852285, 0.909382, 0.985512, 1.07293, 1.16034, 1.24775, 1.33517, 1.50999, 1.77223, 4.37092");
-            values ( \
-              "0.20828, 0.402689, 0.411901, 0.416312, 0.416527, 0.409719, 0.359536, 0.3307, 0.307692, 0.267839, 0.184623, 0.145437, 0.109709, 0.0808154, 0.0575877, 0.0491348, 0.0354892, 0.0266194, 0.0180513, 0.0105834, 0.00564784, 0.00301646, 0.00159105, 0.000854507, 0.000244909, 2.89024e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.0953163, 0.13369, 0.141629, 0.163238, 0.490774, 0.627889, 0.723513, 0.859324, 1.16804, 1.33884, 1.40526, 1.51951, 1.65456, 1.74197, 1.85149, 2.00263, 2.17745, 2.43969, 2.70193, 3.05159, 4.37056");
-            values ( \
-              "0.389277, 0.430847, 0.430832, 0.427071, 0.364232, 0.335732, 0.311993, 0.267387, 0.148996, 0.0973499, 0.0813968, 0.0590484, 0.0397664, 0.030575, 0.0218959, 0.0137032, 0.00791152, 0.0034501, 0.00149343, 0.000487846, 5.72027e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124444, 0.140674, 0.148471, 0.167029, 0.174945, 0.189038, 0.196686, 0.203872, 0.210929, 0.217984, 0.229605, 0.237546, 0.247147, 0.248859, 0.250954, 0.253481, 0.265606, 0.274327, 0.28544, 0.290605");
-            values ( \
-              "0.00641442, 0.0151476, 0.0242332, 0.0559867, 0.0665206, 0.0822086, 0.088828, 0.0928269, 0.0924431, 0.0772434, 0.0394326, 0.0244789, 0.0132104, 0.0117784, 0.0125682, 0.0119226, 0.0062054, 0.00339268, 0.00134298, 0.00102613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.134993, 0.148853, 0.155149, 0.173626, 0.186124, 0.197978, 0.206458, 0.214729, 0.220267, 0.224592, 0.226092, 0.228092, 0.232091, 0.234017, 0.23787, 0.248868, 0.250352, 0.26083, 0.266351, 0.272173, 0.277223, 0.283955, 0.289296, 0.295194, 0.303058, 0.318787, 0.339531, 0.365133, 0.39744, 0.444589, 4.37059");
-            values ( \
-              "0.0343979, 0.0351393, 0.0477261, 0.0887651, 0.112906, 0.134166, 0.147855, 0.159183, 0.16487, 0.167701, 0.168108, 0.168069, 0.164935, 0.161021, 0.149394, 0.103811, 0.101516, 0.0689613, 0.0538805, 0.0408326, 0.0318597, 0.0226295, 0.0171978, 0.0126666, 0.00835064, 0.00346902, 0.000998973, 0.00020186, 1.29771e-05, 1e-22, 9.52313e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.139015, 0.156523, 0.196726, 0.22043, 0.234533, 0.247315, 0.248896, 0.250243, 0.252893, 0.255497, 0.259406, 0.265474, 0.272539, 0.289749, 0.303615, 0.313129, 0.320312, 0.327601, 0.337297, 0.345866, 0.357291, 0.367251, 0.371693, 0.380577, 0.398345, 0.431679, 0.470431, 0.519139, 0.581955, 4.37062");
-            values ( \
-              "0.0472473, 0.0610832, 0.165142, 0.223642, 0.253667, 0.274132, 0.275771, 0.280108, 0.281825, 0.28073, 0.274957, 0.259326, 0.234477, 0.168278, 0.123291, 0.0980172, 0.0818352, 0.0676854, 0.0521113, 0.0411337, 0.0297056, 0.0222663, 0.0195602, 0.0150629, 0.00878575, 0.00293919, 0.000768456, 0.000118745, 5.42819e-06, 7.45194e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.148245, 0.171877, 0.240268, 0.251905, 0.25494, 0.25789, 0.263789, 0.270307, 0.279331, 0.307087, 0.326431, 0.342424, 0.354068, 0.388457, 0.414584, 0.44142, 0.454066, 0.467556, 0.486671, 0.502461, 0.519849, 0.544494, 0.555207, 0.569502, 0.588563, 0.626683, 0.698404, 0.782803, 0.870217, 0.95763, 1.13246, 4.37067");
-            values ( \
-              "0.0818585, 0.109614, 0.319203, 0.353136, 0.359157, 0.361392, 0.361262, 0.356911, 0.349223, 0.32154, 0.29823, 0.274008, 0.253408, 0.18829, 0.143438, 0.104922, 0.0896872, 0.0754146, 0.0584436, 0.0470893, 0.0369006, 0.0258704, 0.0221571, 0.0179885, 0.0135776, 0.00759569, 0.00229205, 0.000510174, 0.000113945, 6.98095e-06, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.16093, 0.202025, 0.248728, 0.259957, 0.265636, 0.284133, 0.375118, 0.437437, 0.478943, 0.534054, 0.678687, 0.73068, 0.782793, 0.837293, 0.911502, 0.954284, 1.01138, 1.0875, 1.17492, 1.26233, 1.43716, 1.87423, 4.37045");
-            values ( \
-              "0.132683, 0.213732, 0.378172, 0.402903, 0.404089, 0.398236, 0.359533, 0.330699, 0.307691, 0.267838, 0.145437, 0.10971, 0.0808158, 0.0575882, 0.0354898, 0.0266203, 0.0180523, 0.0105841, 0.0056484, 0.00301658, 0.000854462, 2.90102e-05, 5.11738e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.171072, 0.214377, 0.252063, 0.256558, 0.267881, 0.287115, 0.487688, 0.680588, 0.757097, 0.825914, 0.961726, 1.27044, 1.35785, 1.44125, 1.50767, 1.62191, 1.75696, 1.84437, 1.95389, 2.10502, 2.27985, 2.54209, 2.80433, 3.06657, 4.3705");
-            values ( \
-              "0.200695, 0.264119, 0.40729, 0.418756, 0.425583, 0.423008, 0.384647, 0.346516, 0.329565, 0.311992, 0.267387, 0.148996, 0.120653, 0.0973502, 0.0813968, 0.0590484, 0.0397666, 0.0305754, 0.0218961, 0.0137034, 0.00791157, 0.00345029, 0.00149336, 0.000650025, 8.34342e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.257962, 0.285159, 0.300713, 0.312071, 0.341656, 0.356796, 0.369848, 0.381847, 0.393229, 0.404385, 0.415542, 0.434551, 0.451128, 0.465609, 0.477339, 0.492979, 0.514806, 0.550119, 0.554408, 0.562984, 0.574969");
-            values ( \
-              "0.00682216, 0.00695343, 0.0113202, 0.0167132, 0.0386523, 0.0472362, 0.0527002, 0.0563274, 0.0583918, 0.0587802, 0.0542651, 0.0258865, 0.0118953, 0.00561926, 0.00295059, 0.00118106, 0.000301216, 8.25415e-05, 0.0012828, 0.00165077, 0.00093746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.257719, 0.28869, 0.297077, 0.305165, 0.317502, 0.351074, 0.362037, 0.377573, 0.394456, 0.413225, 0.424364, 0.438453, 0.452543, 0.472502, 0.483204, 0.49335, 0.507831, 0.515625, 0.528804, 0.546376, 0.55012, 0.554725, 0.561314, 0.577763, 0.590479, 0.611421, 0.644266, 0.874142");
-            values ( \
-              "0.0107997, 0.0133156, 0.0167237, 0.0208549, 0.0295589, 0.0627505, 0.0717947, 0.0827674, 0.0926834, 0.101305, 0.10481, 0.105915, 0.0942632, 0.0547301, 0.0386555, 0.0268774, 0.0151414, 0.0109043, 0.00610297, 0.00262075, 0.00220689, 0.00371766, 0.00412414, 0.00224408, 0.00109388, 0.00035099, 1e-22, 1.75568e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.27108, 0.302664, 0.314036, 0.324636, 0.365528, 0.386885, 0.411531, 0.436314, 0.456831, 0.466438, 0.475963, 0.494772, 0.513573, 0.532259, 0.550098, 0.581147, 0.595392, 0.602816, 0.614438, 0.629934, 0.660926, 0.699983, 0.74895, 0.811617, 4.37058");
-            values ( \
-              "0.0279536, 0.028014, 0.0362894, 0.0460305, 0.0927572, 0.11422, 0.136727, 0.157252, 0.1715, 0.176684, 0.180391, 0.178259, 0.148904, 0.108746, 0.0752671, 0.0367488, 0.0244134, 0.0196741, 0.0139773, 0.00875172, 0.00321866, 0.00081312, 0.000138667, 1e-22, 3.48282e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.282863, 0.323829, 0.342122, 0.385873, 0.441654, 0.487809, 0.501799, 0.52979, 0.54282, 0.550079, 0.556165, 0.56172, 0.56753, 0.583954, 0.632798, 0.647832, 0.66735, 0.681705, 0.696462, 0.716032, 0.733243, 0.756189, 0.77622, 0.785136, 0.802966, 0.838628, 0.90561, 0.983435, 1.07085, 1.15826, 4.37056");
-            values ( \
-              "0.0443818, 0.0541128, 0.0744717, 0.129353, 0.196665, 0.248597, 0.262403, 0.28501, 0.291437, 0.293061, 0.292443, 0.288251, 0.281022, 0.253336, 0.162644, 0.138089, 0.110014, 0.0922256, 0.0763418, 0.0588284, 0.046483, 0.0336019, 0.025184, 0.0221296, 0.017044, 0.00994753, 0.00332075, 0.00086792, 0.000193125, 1.31928e-05, 1.41822e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.311111, 0.378684, 0.51599, 0.550261, 0.556469, 0.560161, 0.567546, 0.577029, 0.627613, 0.654301, 0.700936, 0.7205, 0.742644, 0.76817, 0.858394, 0.90788, 0.95906, 0.978968, 1.01094, 1.0532, 1.06567, 1.0906, 1.14045, 1.18284, 1.20169, 1.23939, 1.31479, 1.40221, 1.48962, 1.57704, 1.66445, 1.75186, 1.92669, 2.18893, 4.37074");
-            values ( \
-              "0.0860069, 0.127639, 0.32224, 0.367037, 0.373531, 0.374868, 0.37431, 0.370896, 0.348874, 0.33641, 0.311626, 0.299212, 0.283344, 0.262891, 0.184434, 0.144812, 0.109699, 0.0978537, 0.0809198, 0.0622896, 0.0575806, 0.0490844, 0.0353449, 0.0265959, 0.0234184, 0.0181054, 0.0106601, 0.00570149, 0.00303303, 0.00161193, 0.000853634, 0.000453959, 0.000128184, 1.79673e-05, 1.34137e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("0.330696, 0.42497, 0.550102, 0.563231, 0.576814, 0.820614, 0.944326, 1.03815, 1.13278, 1.18917, 1.42557, 1.49788, 1.61309, 1.73511, 1.82252, 1.90301, 1.9844, 2.07181, 2.13354, 2.24505, 2.33246, 2.41988, 2.50729, 2.68212, 2.94436, 3.2066, 4.37058");
-            values ( \
-              "0.119145, 0.199896, 0.400052, 0.412246, 0.411099, 0.364226, 0.33871, 0.316145, 0.287501, 0.267386, 0.175123, 0.148996, 0.112471, 0.0813972, 0.0637449, 0.0505717, 0.0397671, 0.0305753, 0.0253391, 0.0179963, 0.0137039, 0.0104247, 0.007912, 0.00454879, 0.00197863, 0.000854231, 1.92467e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.445345, 0.476685, 0.501784, 0.531059, 0.556846, 0.571069, 0.593946, 0.608317, 0.626033, 0.634808, 0.652358, 0.671862, 0.713298, 0.72496, 0.737714, 0.758744, 0.777839, 0.786391, 0.795911, 0.813604, 0.831301, 0.834687, 0.844579, 0.862993, 0.871152, 0.878977, 0.88941, 0.896897, 0.90818, 0.923223, 0.950254, 0.981686, 1.02131, 1.0746, 1.16201, 1.21599, 1.23784, 1.27091, 1.2998, 1.35694, 1.41199, 1.4994, 1.58682, 1.67423, 1.76165, 4.37072");
-            values ( \
-              "0.0001907, 0.000447173, 0.000706846, 0.00110702, 0.00164162, 0.00201223, 0.00280932, 0.00347384, 0.00455561, 0.00529583, 0.00726433, 0.0109788, 0.023147, 0.0261988, 0.0291376, 0.0330094, 0.0354414, 0.0361937, 0.0367845, 0.0370898, 0.035485, 0.0343472, 0.0289318, 0.0163443, 0.0123473, 0.00941275, 0.00645035, 0.00482287, 0.00308236, 0.00164791, 0.000435672, 0.000133171, 3.63552e-05, 4.78398e-05, 2.51637e-06, 2.76923e-05, 0.000444488, 0.000119462, 1e-22, 5.64205e-05, 1e-22, 2.37536e-05, 1e-22, 1.0074e-05, 1e-22, 3.71172e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.531338, 0.608327, 0.624475, 0.645104, 0.680398, 0.734186, 0.749955, 0.765183, 0.791582, 0.815568, 0.826312, 0.838256, 0.86041, 0.882569, 0.89143, 0.909363, 0.923172, 0.936192, 0.942065, 0.95381, 0.963335, 0.976927, 0.99505, 1.02748, 1.06491, 1.11181, 1.1743, 1.216, 1.23685, 1.26522, 1.29074, 1.34177, 1.39131, 1.47872, 1.56614, 4.37073");
-            values ( \
-              "0.00425206, 0.00665565, 0.00832547, 0.0112292, 0.0199233, 0.0425185, 0.048092, 0.0529519, 0.0596948, 0.0640737, 0.0654687, 0.0666234, 0.067378, 0.0638338, 0.058025, 0.041334, 0.0299704, 0.0213988, 0.0181414, 0.0128643, 0.00954677, 0.00612414, 0.00324268, 0.000847783, 0.000199723, 5.11888e-05, 5.26475e-05, 1.62411e-05, 0.000860279, 0.000501724, 1e-22, 4.41523e-05, 1e-22, 1.9755e-05, 1e-22, 2.81344e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.557788, 0.643097, 0.6648, 0.706203, 0.733883, 0.772436, 0.813865, 0.849617, 0.882077, 0.896589, 0.912573, 0.94215, 0.971732, 1.02914, 1.04732, 1.07141, 1.08453, 1.10281, 1.12717, 1.17181, 1.21584, 1.23184, 1.25822, 1.28296, 1.32969, 1.37394, 4.37057");
-            values ( \
-              "0.0115413, 0.0176088, 0.0231283, 0.0395908, 0.0542711, 0.0731625, 0.0890406, 0.0999308, 0.107804, 0.1106, 0.113078, 0.114888, 0.105173, 0.0491769, 0.0352309, 0.0214166, 0.0159787, 0.0104295, 0.00565951, 0.00152643, 0.000421144, 0.00170761, 0.001499, 0.000456288, 0.000134732, 1e-22, 3.89042e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("0.573231, 0.677253, 0.700068, 0.793598, 0.838596, 0.892321, 0.946532, 0.991293, 1.01193, 1.03294, 1.07366, 1.11435, 1.17392, 1.20992, 1.25578, 1.2769, 1.29492, 1.3195, 1.35227, 1.41781, 1.49723, 1.58464, 1.67206, 4.37061");
-            values ( \
-              "0.0196397, 0.0360783, 0.0455153, 0.0966858, 0.118619, 0.142184, 0.163653, 0.178666, 0.184204, 0.188451, 0.187888, 0.161283, 0.0996597, 0.0672592, 0.0391255, 0.0289222, 0.0222925, 0.0155524, 0.00949713, 0.0032664, 0.00080601, 0.000194921, 2.34482e-07, 2.14395e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("0.61705, 0.733594, 0.838232, 0.945949, 1.00515, 1.02721, 1.06508, 1.09605, 1.15802, 1.17199, 1.1869, 1.21591, 1.2177, 1.21974, 1.22381, 1.23152, 1.24348, 1.25928, 1.27701, 1.36693, 1.41575, 1.45766, 1.46782, 1.48814, 1.51996, 1.56207, 1.57443, 1.59915, 1.64859, 1.6914, 1.71045, 1.74854, 1.82473, 1.91214, 1.99956, 2.08697, 2.17438, 2.2618, 2.43662, 2.61145, 4.37064");
-            values ( \
-              "0.0470069, 0.0704676, 0.131258, 0.191673, 0.224008, 0.235604, 0.254592, 0.268935, 0.292651, 0.296502, 0.299731, 0.302124, 0.302608, 0.30245, 0.301354, 0.297367, 0.289265, 0.277278, 0.262847, 0.184625, 0.145482, 0.116132, 0.1097, 0.0976208, 0.0807964, 0.0622494, 0.0575804, 0.0491517, 0.0354952, 0.0266333, 0.0234202, 0.0180577, 0.0105703, 0.00565278, 0.0030072, 0.00159788, 0.000846512, 0.000449799, 0.000126781, 3.60293e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("0.669852, 0.821968, 1.12963, 1.21629, 1.22097, 1.23031, 1.3264, 1.45013, 1.54454, 1.58829, 1.69493, 1.89518, 2.00365, 2.09106, 2.17445, 2.24087, 2.32829, 2.40877, 2.49016, 2.57758, 2.63931, 2.75081, 2.83822, 2.92564, 3.10046, 3.27529, 3.53753, 3.88719, 4.37102");
-            values ( \
-              "0.0893916, 0.127639, 0.326717, 0.378507, 0.380831, 0.382363, 0.364226, 0.338701, 0.315977, 0.303567, 0.267385, 0.188878, 0.148995, 0.120653, 0.0973505, 0.0813967, 0.0637456, 0.0505725, 0.0397669, 0.030576, 0.0253389, 0.017997, 0.0137038, 0.0104253, 0.00600678, 0.00345056, 0.00149334, 0.000487699, 0.000102519" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0208054, 0.0222065, 0.0236553, 0.0253021, 0.0269122, 0.0292037, 0.0314766, 0.0337494, 0.036137, 0.038312, 0.0409433, 0.0470396, 0.0496985, 0.0523897, 0.0553452, 0.0607866, 0.0625923");
-            values ( \
-              "-0.0190316, -0.168361, -0.246545, -0.273316, -0.282939, -0.288602, -0.28829, -0.283407, -0.269458, -0.248299, -0.208981, -0.0928532, -0.0604846, -0.0383803, -0.0228663, -0.00846652, -0.00733995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00826804");
-            index_3 ("0.0209585, 0.0245703, 0.0266844, 0.0318889, 0.0376451, 0.0423761, 0.0463819, 0.050419, 0.0538049, 0.0653694, 0.0702317, 0.075091, 0.0796261, 0.0873526, 0.0931924");
-            values ( \
-              "-0.0484009, -0.3513, -0.372083, -0.384892, -0.379877, -0.368148, -0.351935, -0.324399, -0.289295, -0.122329, -0.0775106, -0.0480242, -0.0302486, -0.0134044, -0.0079501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0187787");
-            index_3 ("0.0209307, 0.0254897, 0.0267808, 0.0285658, 0.0301735, 0.0321748, 0.0346102, 0.0380909, 0.0458435, 0.0535283, 0.0614088, 0.0695891, 0.0756141, 0.0783098, 0.0828028, 0.0962546, 0.106667, 0.116184, 0.125536, 0.134561, 0.139746, 0.150115, 0.169024, 0.19118");
-            values ( \
-              "-0.0280381, -0.422299, -0.435427, -0.444222, -0.449424, -0.452314, -0.452919, -0.452227, -0.445143, -0.435562, -0.422651, -0.403177, -0.382416, -0.370455, -0.343573, -0.221901, -0.141339, -0.0896122, -0.0560244, -0.0350573, -0.0266546, -0.0152013, -0.00497252, -0.00127434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042651");
-            index_3 ("0.023098, 0.0244356, 0.0265409, 0.029018, 0.031398, 0.0365222, 0.0487702, 0.0708072, 0.0871017, 0.103839, 0.121209, 0.130027, 0.139661, 0.158493, 0.176111, 0.18882, 0.19978, 0.218631, 0.237676, 0.252319, 0.267575, 0.279265, 0.302646, 0.341296, 0.392222");
-            values ( \
-              "-0.349774, -0.440133, -0.469559, -0.483641, -0.490161, -0.493797, -0.489475, -0.476883, -0.465724, -0.451705, -0.431688, -0.418009, -0.397973, -0.330689, -0.245719, -0.191279, -0.151608, -0.0991425, -0.0631874, -0.0441356, -0.0302897, -0.0226092, -0.0123441, -0.0042432, -0.000900945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0968708");
-            index_3 ("0.0232431, 0.0244119, 0.0265276, 0.0321121, 0.0345332, 0.0407221, 0.0576852, 0.127176, 0.163037, 0.199111, 0.238151, 0.266951, 0.278742, 0.299632, 0.325184, 0.375655, 0.41255, 0.437283, 0.457951, 0.482116, 0.514337, 0.539685, 0.578381, 0.629975, 0.699272, 0.76857, 0.837867");
-            values ( \
-              "-0.380902, -0.456587, -0.487295, -0.510984, -0.513673, -0.515084, -0.511638, -0.492248, -0.48039, -0.466209, -0.445665, -0.423715, -0.411536, -0.381875, -0.329691, -0.218315, -0.153608, -0.11946, -0.0962185, -0.0742601, -0.0520148, -0.0392035, -0.0252947, -0.0138066, -0.00594995, -0.00253738, -0.00109783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.220017");
-            index_3 ("0.0230933, 0.0274967, 0.0316056, 0.0364671, 0.0428987, 0.135519, 0.244866, 0.33513, 0.396586, 0.459464, 0.503333, 0.572631, 0.594216, 0.637385, 0.698078, 0.76073, 0.823876, 0.880878, 0.918771, 0.97873, 1.03109, 1.10039, 1.15561, 1.21629, 1.28559, 1.35488, 1.42418, 1.56278, 1.77067");
-            values ( \
-              "-0.396663, -0.504843, -0.51993, -0.5248, -0.525735, -0.515087, -0.500725, -0.48713, -0.476427, -0.463337, -0.45208, -0.428058, -0.417754, -0.39044, -0.334649, -0.269749, -0.210175, -0.164869, -0.139244, -0.105488, -0.0822521, -0.0585659, -0.0444993, -0.0328222, -0.0230454, -0.016138, -0.0112794, -0.00549087, -0.0018569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0318515, 0.0423646, 0.0472224, 0.0524373, 0.0532527, 0.0548567, 0.0574315, 0.0592366, 0.064804, 0.0679383, 0.0700682, 0.0741321, 0.0787876, 0.0825274");
-            values ( \
-              "-0.00803949, -0.17823, -0.227916, -0.274284, -0.273163, -0.265844, -0.242328, -0.216404, -0.108143, -0.0659166, -0.0462663, -0.0228295, -0.00982974, -0.00543481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00826804");
-            index_3 ("0.0351422, 0.0381422, 0.0405986, 0.0517993, 0.053064, 0.0554776, 0.0603371, 0.0626206, 0.0652484, 0.0696793, 0.0728314, 0.0831229, 0.0886895, 0.0932761, 0.100476, 0.108922, 0.113544");
-            values ( \
-              "-0.0442307, -0.154243, -0.207977, -0.364195, -0.375932, -0.376454, -0.369514, -0.362307, -0.350333, -0.320069, -0.285538, -0.133687, -0.0798109, -0.0508633, -0.0243847, -0.00993415, -0.00680526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0187787");
-            index_3 ("0.0323003, 0.0473134, 0.0528971, 0.0570811, 0.0618827, 0.0684286, 0.0800475, 0.0883839, 0.0953659, 0.100601, 0.107105, 0.12045, 0.125175, 0.134243, 0.138752, 0.143559, 0.153366, 0.159302, 0.171174, 0.19023, 0.212844");
-            values ( \
-              "-0.000116956, -0.354913, -0.442735, -0.448336, -0.446944, -0.440434, -0.423076, -0.403192, -0.378385, -0.350429, -0.297084, -0.176995, -0.143296, -0.0930653, -0.074457, -0.0583683, -0.0350723, -0.0256357, -0.0133701, -0.00433316, -0.00105566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042651");
-            index_3 ("0.0327917, 0.0495515, 0.052339, 0.0530855, 0.0561633, 0.0581629, 0.0614771, 0.0695166, 0.0897928, 0.105818, 0.122826, 0.139688, 0.147341, 0.155505, 0.164972, 0.177596, 0.193687, 0.207377, 0.219812, 0.227641, 0.237782, 0.244515, 0.253493, 0.270011, 0.277561, 0.288951, 0.304137, 0.334509, 0.379336, 0.434098");
-            values ( \
-              "-0.00769799, -0.421717, -0.476874, -0.481758, -0.48897, -0.490567, -0.491324, -0.488525, -0.47682, -0.465977, -0.451719, -0.432636, -0.420845, -0.405312, -0.38023, -0.32946, -0.251793, -0.192387, -0.147786, -0.124225, -0.0984942, -0.0841821, -0.0680034, -0.0453806, -0.0376992, -0.0284269, -0.0193726, -0.00866353, -0.0023728, -0.000432445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0968708");
-            index_3 ("0.0402931, 0.0491724, 0.0529478, 0.0561896, 0.0632618, 0.0859647, 0.146206, 0.18207, 0.218152, 0.257176, 0.286934, 0.297773, 0.318685, 0.344214, 0.38109, 0.405036, 0.429609, 0.462373, 0.473894, 0.49579, 0.517316, 0.55945, 0.583698, 0.632192, 0.70149, 0.770787, 0.840084");
-            values ( \
-              "-0.355036, -0.431033, -0.501821, -0.510028, -0.514011, -0.509268, -0.492271, -0.480416, -0.466174, -0.445669, -0.422815, -0.411541, -0.38183, -0.329694, -0.246269, -0.19838, -0.156565, -0.111922, -0.0992353, -0.0785238, -0.0621192, -0.0388306, -0.0294915, -0.0167829, -0.00722492, -0.00310372, -0.0013231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.220017");
-            index_3 ("0.0382308, 0.0534812, 0.0589249, 0.0674743, 0.257074, 0.354181, 0.423479, 0.46271, 0.53683, 0.565911, 0.613177, 0.682474, 0.84328, 0.901234, 0.940881, 0.998589, 1.04864, 1.11536, 1.17334, 1.25111, 1.3204, 1.3897, 1.5283, 1.66689, 1.80548");
-            values ( \
-              "-0.224376, -0.517141, -0.523358, -0.525176, -0.501693, -0.487136, -0.474932, -0.46689, -0.447868, -0.43823, -0.417777, -0.368795, -0.209841, -0.163901, -0.137349, -0.105075, -0.0828364, -0.0598021, -0.0447784, -0.0302763, -0.0212825, -0.0148572, -0.00723312, -0.00350347, -0.00168842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.056286, 0.0622416, 0.0647533, 0.0687985, 0.0733817, 0.0858217, 0.089763, 0.0934462, 0.097055, 0.100918, 0.107765, 0.112582, 0.113412, 0.116939, 0.120414, 0.124904, 0.128364");
-            values ( \
-              "-0.00287483, -0.0412115, -0.0531727, -0.0822111, -0.106692, -0.159354, -0.173225, -0.182254, -0.181711, -0.157646, -0.0740566, -0.035952, -0.0363012, -0.0219608, -0.0123514, -0.00552662, -0.00324083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00826804");
-            index_3 ("0.0563561, 0.0846583, 0.0966414, 0.10195, 0.106935, 0.113615, 0.115094, 0.122948, 0.126673, 0.131697, 0.138201, 0.144459, 0.149508, 0.157137");
-            values ( \
-              "-0.0113623, -0.207553, -0.269541, -0.291721, -0.305277, -0.294041, -0.282244, -0.165714, -0.120121, -0.0750248, -0.0392244, -0.0206183, -0.0120433, -0.0056926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0187787");
-            index_3 ("0.0563831, 0.0905852, 0.114524, 0.120998, 0.128831, 0.139355, 0.14642, 0.165141, 0.175123, 0.184438, 0.194559, 0.209453, 0.221046");
-            values ( \
-              "-0.00569357, -0.284244, -0.431995, -0.425069, -0.408031, -0.370405, -0.323637, -0.158825, -0.0997025, -0.0625408, -0.0369853, -0.0167142, -0.00954232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042651");
-            index_3 ("0.0562933, 0.0980914, 0.114377, 0.116074, 0.11846, 0.122652, 0.139057, 0.148344, 0.16724, 0.182453, 0.195609, 0.200918, 0.210427, 0.222059, 0.238844, 0.249734, 0.260896, 0.275778, 0.281036, 0.291024, 0.300802, 0.319938, 0.330941, 0.352948, 0.39272, 0.439176");
-            values ( \
-              "-0.00270699, -0.364881, -0.476596, -0.482057, -0.482137, -0.481929, -0.472224, -0.465757, -0.449605, -0.431734, -0.409811, -0.398037, -0.369291, -0.318991, -0.238323, -0.192037, -0.151621, -0.108443, -0.0961117, -0.075999, -0.0601304, -0.0376034, -0.0285793, -0.0162741, -0.00537916, -0.00140044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0968708");
-            index_3 ("0.0562667, 0.102984, 0.114335, 0.116745, 0.123867, 0.188593, 0.224458, 0.261318, 0.27994, 0.299555, 0.338215, 0.351819, 0.367366, 0.424146, 0.447585, 0.471673, 0.50379, 0.516061, 0.539332, 0.560491, 0.590134, 0.601874, 0.625356, 0.672319, 0.741616, 0.810913, 0.880211");
-            values ( \
-              "-0.000542144, -0.418689, -0.501735, -0.509203, -0.5101, -0.492294, -0.48042, -0.465827, -0.456894, -0.445683, -0.413757, -0.396566, -0.370477, -0.244857, -0.198051, -0.157042, -0.113095, -0.099458, -0.0775746, -0.0615803, -0.0442535, -0.0388115, -0.0297613, -0.0172388, -0.0074433, -0.00317819, -0.00137443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.220017");
-            index_3 ("0.0753556, 0.0979822, 0.113842, 0.116282, 0.12572, 0.316366, 0.396557, 0.461923, 0.513301, 0.564886, 0.634183, 0.655773, 0.698952, 0.759635, 0.822266, 0.885389, 0.942479, 0.980432, 1.04032, 1.0926, 1.16189, 1.21714, 1.27787, 1.34717, 1.41647, 1.48576, 1.62436, 1.83225");
-            values ( \
-              "-0.311943, -0.388474, -0.512742, -0.521796, -0.523306, -0.49933, -0.487159, -0.475693, -0.465083, -0.452106, -0.428035, -0.417779, -0.390407, -0.334677, -0.269769, -0.210217, -0.164839, -0.139176, -0.105477, -0.0822747, -0.0585788, -0.0445062, -0.0328201, -0.0230406, -0.016138, -0.0112761, -0.00548832, -0.00185849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.105725, 0.112233, 0.11553, 0.120099, 0.123506, 0.126993, 0.131441, 0.138567, 0.141733, 0.148066, 0.153058, 0.156624, 0.162852, 0.168614, 0.174147, 0.179669, 0.180431, 0.183044, 0.188054, 0.190557, 0.19282, 0.195633, 0.199132, 0.200463, 0.202058, 0.204185, 0.208439, 0.216784, 0.2266, 0.232904");
-            values ( \
-              "-0.0111084, -0.0159342, -0.0189118, -0.0251969, -0.0311029, -0.0397075, -0.052275, -0.0682586, -0.0745208, -0.0862966, -0.0949148, -0.100714, -0.110047, -0.117034, -0.120396, -0.112452, -0.10916, -0.095946, -0.0638222, -0.0493379, -0.038259, -0.0272472, -0.0173062, -0.0145271, -0.0117431, -0.00879616, -0.00484547, -0.00136316, -0.000397527, -0.000319183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00826804");
-            index_3 ("0.107954, 0.124451, 0.143937, 0.163265, 0.180683, 0.188333, 0.195709, 0.2038, 0.217707, 0.223331, 0.230772, 0.24048, 0.248866, 0.252068, 0.271397");
-            values ( \
-              "-0.0109175, -0.0470343, -0.10566, -0.152467, -0.188388, -0.200075, -0.204523, -0.183778, -0.0834274, -0.0533668, -0.0277694, -0.0111769, -0.0047486, -0.00651102, -0.00123721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0187787");
-            index_3 ("0.128817, 0.152243, 0.156996, 0.161749, 0.171255, 0.172088, 0.18066, 0.186375, 0.192835, 0.199295, 0.205103, 0.210911, 0.21361, 0.216309, 0.219007, 0.221706, 0.224336, 0.226965, 0.229595, 0.232225, 0.234849, 0.237472, 0.240096, 0.24272, 0.24336, 0.244001, 0.247203, 0.248163, 0.249124, 0.250242, 0.25136, 0.252002, 0.253287, 0.253929, 0.255968, 0.25863, 0.259837, 0.263459, 0.266721, 0.268353, 0.269984, 0.271914, 0.27514, 0.276983, 0.278826, 0.280669, 0.282513, 0.284356, 0.286199, 0.289734");
-            values ( \
-              "-0.139218, -0.149632, -0.16272, -0.176109, -0.203791, -0.206633, -0.230751, -0.246482, -0.26341, -0.279815, -0.294118, -0.307999, -0.311737, -0.314569, -0.316494, -0.317514, -0.317635, -0.316896, -0.315297, -0.312838, -0.307852, -0.301342, -0.293307, -0.283747, -0.280347, -0.275788, -0.251395, -0.239943, -0.226279, -0.222183, -0.217081, -0.213694, -0.205924, -0.20154, -0.184649, -0.163601, -0.155313, -0.132211, -0.113706, -0.105224, -0.0972552, -0.0884925, -0.074507, -0.0680272, -0.0620196, -0.0566307, -0.0516165, -0.0469768, -0.0427117, -0.035488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042651");
-            index_3 ("0.132255, 0.159238, 0.173557, 0.187878, 0.201342, 0.214379, 0.223167, 0.229831, 0.234526, 0.241947, 0.245496, 0.249045, 0.250979, 0.253485, 0.255347, 0.259068, 0.262789, 0.26651, 0.270107, 0.273704, 0.276413, 0.277768, 0.280476, 0.28454, 0.289957, 0.292666, 0.294912, 0.298281, 0.301292, 0.303181, 0.306957, 0.313788, 0.320317, 0.333075, 0.338418, 0.347416, 0.352899, 0.358381, 0.362036, 0.365691, 0.369347, 0.374908, 0.378673, 0.382438, 0.38545, 0.390265, 0.395079, 0.401822, 0.405193, 0.413911");
-            values ( \
-              "-0.161394, -0.186216, -0.233091, -0.278524, -0.319855, -0.358459, -0.383877, -0.402274, -0.414587, -0.432758, -0.443102, -0.454255, -0.454387, -0.454134, -0.453637, -0.45111, -0.447549, -0.443671, -0.43962, -0.435273, -0.431634, -0.429567, -0.425252, -0.418331, -0.408261, -0.402866, -0.397755, -0.388238, -0.378488, -0.371851, -0.357495, -0.327237, -0.297407, -0.236568, -0.213763, -0.17625, -0.156976, -0.139573, -0.128858, -0.118788, -0.10936, -0.0962502, -0.0877681, -0.0799125, -0.0741584, -0.0663422, -0.0591774, -0.0505633, -0.0464914, -0.0366885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0968708");
-            index_3 ("0.12409, 0.152061, 0.156498, 0.165371, 0.176695, 0.195125, 0.225623, 0.241874, 0.248505, 0.250834, 0.253304, 0.255945, 0.261227, 0.267315, 0.274398, 0.281536, 0.29581, 0.317429, 0.35347, 0.355931, 0.359213, 0.365777, 0.378905, 0.392536, 0.419798, 0.433119, 0.453975, 0.479563, 0.492062, 0.50476, 0.530155, 0.545313, 0.548383, 0.554525, 0.566807, 0.591373, 0.608235, 0.612317, 0.62048, 0.636806, 0.66946, 0.687835, 0.694113, 0.706671, 0.731786, 0.782015, 0.851313, 0.92061, 0.989908");
-            values ( \
-              "-0.0815302, -0.167988, -0.183812, -0.216962, -0.256131, -0.319443, -0.419617, -0.470585, -0.490875, -0.496836, -0.499299, -0.498807, -0.49826, -0.496434, -0.494485, -0.492204, -0.487776, -0.480354, -0.466254, -0.465073, -0.463592, -0.460473, -0.453708, -0.445662, -0.42513, -0.411532, -0.381945, -0.329688, -0.300939, -0.272004, -0.218075, -0.189445, -0.184001, -0.173463, -0.153794, -0.11982, -0.10047, -0.0962337, -0.0882377, -0.0740102, -0.0515808, -0.0420251, -0.0391783, -0.0340221, -0.0255776, -0.0142022, -0.00612225, -0.00261105, -0.00112984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.220017");
-            index_3 ("0.124292, 0.211179, 0.248707, 0.25467, 0.265891, 0.410154, 0.490466, 0.572841, 0.651308, 0.673111, 0.702182, 0.749463, 0.81876, 0.910268, 0.979565, 1.03752, 1.07716, 1.13487, 1.18492, 1.25165, 1.30963, 1.38739, 1.45668, 1.52598, 1.66458, 1.80317, 1.94176");
-            values ( \
-              "-0.0722165, -0.382383, -0.508777, -0.518553, -0.518311, -0.499392, -0.487203, -0.472384, -0.454194, -0.447905, -0.438203, -0.417809, -0.368766, -0.275675, -0.209867, -0.163918, -0.137336, -0.10509, -0.0828493, -0.0597882, -0.0447912, -0.030289, -0.0212714, -0.0148692, -0.0072445, -0.0035144, -0.00169898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.228262, 0.25277, 0.2559, 0.259089, 0.263872, 0.267941, 0.270415, 0.272889, 0.275363, 0.277002, 0.280281, 0.284404, 0.289058, 0.290151, 0.294524, 0.301302, 0.311252, 0.313548, 0.315845, 0.318142, 0.320438, 0.322624, 0.324811, 0.326997, 0.329183, 0.331355, 0.333528, 0.3357, 0.337872, 0.3395, 0.341128, 0.342756, 0.344384, 0.345926, 0.347468, 0.350217, 0.351316, 0.353269, 0.354245, 0.356161, 0.357599, 0.358421, 0.359243, 0.359902, 0.360562, 0.361881, 0.362813, 0.364679, 0.366019, 0.367242");
-            values ( \
-              "-0.0187351, -0.0221794, -0.0248561, -0.0281857, -0.0329018, -0.0367384, -0.038993, -0.0411882, -0.0433239, -0.0446321, -0.0473303, -0.0505814, -0.0541174, -0.0549275, -0.0580414, -0.0627733, -0.0693489, -0.0707923, -0.0721884, -0.0735371, -0.0748385, -0.0753591, -0.0756063, -0.0755803, -0.0752809, -0.0747126, -0.0738745, -0.0727665, -0.0713886, -0.0694414, -0.0671099, -0.064394, -0.0612939, -0.0576645, -0.0535608, -0.0449077, -0.0416437, -0.0361636, -0.0335496, -0.0286641, -0.0252551, -0.0234143, -0.0216799, -0.0204588, -0.019287, -0.0170909, -0.0157308, -0.0131572, -0.011429, -0.00994071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00826804");
-            index_3 ("0.231428, 0.256962, 0.258581, 0.261524, 0.267409, 0.275362, 0.277868, 0.282879, 0.285385, 0.287265, 0.291026, 0.296667, 0.304189, 0.309879, 0.313739, 0.321458, 0.324303, 0.331794, 0.337202, 0.340181, 0.343161, 0.34912, 0.35196, 0.3548, 0.357639, 0.360479, 0.363315, 0.366151, 0.368988, 0.371824, 0.373954, 0.376085, 0.378215, 0.380345, 0.381391, 0.382436, 0.383482, 0.384527, 0.387138, 0.388878, 0.390618, 0.396489, 0.397778, 0.399067, 0.400356, 0.401645, 0.402934, 0.404223, 0.407398, 0.410431");
-            values ( \
-              "-0.0351209, -0.0356844, -0.0375505, -0.0412625, -0.0487419, -0.0589678, -0.0620383, -0.0679623, -0.0708158, -0.0728704, -0.0769363, -0.0829273, -0.0907139, -0.096443, -0.100177, -0.107365, -0.109983, -0.116699, -0.121483, -0.124028, -0.126517, -0.131326, -0.132031, -0.132247, -0.131973, -0.131209, -0.129956, -0.128215, -0.125985, -0.123265, -0.119663, -0.115393, -0.110455, -0.10485, -0.101675, -0.0983007, -0.0947259, -0.0909511, -0.0803541, -0.0737685, -0.0674618, -0.047977, -0.0441687, -0.0406283, -0.0375018, -0.0345459, -0.0317606, -0.029146, -0.0235413, -0.0185453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0187787");
-            index_3 ("0.238309, 0.267544, 0.273551, 0.27924, 0.290301, 0.296007, 0.301714, 0.30742, 0.313126, 0.318824, 0.327434, 0.344099, 0.349093, 0.354087, 0.35908, 0.364074, 0.377489, 0.386085, 0.398458, 0.402411, 0.406363, 0.410316, 0.414268, 0.418213, 0.422157, 0.426101, 0.430046, 0.430302, 0.430815, 0.432355, 0.433895, 0.435948, 0.438001, 0.442106, 0.446212, 0.453594, 0.455877, 0.458159, 0.459942, 0.463506, 0.467219, 0.46915, 0.471081, 0.473012, 0.474943, 0.476874, 0.478805, 0.482167, 0.485529, 0.48858");
-            values ( \
-              "-0.057764, -0.057934, -0.0669956, -0.0754366, -0.0914529, -0.0991271, -0.106669, -0.114079, -0.121357, -0.128492, -0.138975, -0.158919, -0.164608, -0.170192, -0.175673, -0.18105, -0.194892, -0.203642, -0.216073, -0.215737, -0.215054, -0.214024, -0.212647, -0.210927, -0.20886, -0.206448, -0.20369, -0.203395, -0.202447, -0.198472, -0.193895, -0.186831, -0.179467, -0.163453, -0.147011, -0.116578, -0.108062, -0.0999002, -0.0939368, -0.0823324, -0.0707009, -0.0652204, -0.0601383, -0.0557031, -0.0515008, -0.0475312, -0.0437944, -0.0380447, -0.0325982, -0.0279186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042651");
-            index_3 ("0.222884, 0.262394, 0.269575, 0.284904, 0.322024, 0.350605, 0.39879, 0.423814, 0.453064, 0.461156, 0.476835, 0.499791, 0.522729, 0.550063, 0.571696, 0.585819, 0.602246, 0.616774, 0.631607, 0.642927, 0.665565, 0.707902, 0.757839");
-            values ( \
-              "-0.0175005, -0.0602695, -0.0694048, -0.0911846, -0.147704, -0.187343, -0.25091, -0.281343, -0.312873, -0.320344, -0.332357, -0.337247, -0.300718, -0.198424, -0.128181, -0.0927397, -0.062784, -0.0439871, -0.0305086, -0.0229771, -0.0128172, -0.00387297, -0.00086671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0968708");
-            index_3 ("0.222679, 0.286676, 0.371328, 0.434679, 0.483818, 0.525955, 0.55011, 0.553625, 0.560657, 0.597928, 0.626047, 0.642739, 0.676123, 0.745742, 0.771293, 0.788743, 0.814854, 0.837544, 0.867798, 0.904601, 0.92733, 0.972788, 1.04209, 1.11138, 1.18068");
-            values ( \
-              "-0.00936938, -0.0996627, -0.229438, -0.321388, -0.388069, -0.44059, -0.4669, -0.46862, -0.467438, -0.448361, -0.428092, -0.411548, -0.358436, -0.206551, -0.161861, -0.135997, -0.10371, -0.0814707, -0.0585012, -0.0388148, -0.0299998, -0.0177211, -0.00763564, -0.00327941, -0.00139966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.220017");
-            index_3 ("0.27479, 0.33335, 0.422278, 0.549751, 0.554763, 0.564786, 0.698776, 0.781151, 0.807306, 0.859616, 0.881425, 0.910503, 0.957772, 1.02707, 1.11858, 1.18787, 1.24583, 1.28547, 1.34318, 1.39323, 1.45996, 1.51794, 1.59569, 1.66499, 1.73429, 1.80358, 1.87288, 2.01148, 2.15007");
-            values ( \
-              "-0.163683, -0.176207, -0.315749, -0.503742, -0.506686, -0.507039, -0.487233, -0.472406, -0.46682, -0.454211, -0.44791, -0.438195, -0.417812, -0.368766, -0.275677, -0.209865, -0.163914, -0.137343, -0.105086, -0.0828444, -0.059793, -0.0447864, -0.0302848, -0.0212768, -0.0148646, -0.0104135, -0.00723985, -0.00350973, -0.00169433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.390137, 0.441178, 0.468338, 0.491573, 0.504369, 0.528857, 0.566349, 0.595618, 0.623815, 0.639204, 0.653829, 0.668355, 0.67924, 0.707077, 0.71909, 0.732423, 0.747662, 0.750603");
-            values ( \
-              "-0.000683305, -0.00193209, -0.00374019, -0.00580893, -0.00758115, -0.012671, -0.0263927, -0.034777, -0.0412369, -0.044039, -0.0455221, -0.0448063, -0.0385929, -0.00960336, -0.00452378, -0.00226739, -0.00120968, -0.00116389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00826804");
-            index_3 ("0.443022, 0.501534, 0.51614, 0.542862, 0.550178, 0.586587, 0.608362, 0.629223, 0.660266, 0.679234, 0.697312, 0.715146, 0.728504, 0.748212, 0.75947, 0.767906, 0.771886, 0.778088, 0.786357, 0.802895, 0.826287, 0.85127");
-            values ( \
-              "-0.00635827, -0.0121252, -0.0157448, -0.0251165, -0.0293139, -0.0470663, -0.0564561, -0.0648278, -0.075674, -0.0807985, -0.084251, -0.0829004, -0.0716294, -0.0382457, -0.0232639, -0.0152974, -0.0125234, -0.00912425, -0.00598995, -0.0024772, -0.000857446, -0.000415056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0187787");
-            index_3 ("0.441829, 0.493796, 0.513491, 0.537603, 0.568641, 0.627929, 0.664753, 0.695811, 0.723492, 0.748961, 0.773238, 0.79732, 0.815364, 0.853628, 0.872045, 0.889063, 0.908512, 0.922661");
-            values ( \
-              "-0.00959574, -0.0164356, -0.0219461, -0.0310121, -0.0474146, -0.0822235, -0.101535, -0.116243, -0.127969, -0.136867, -0.142288, -0.138796, -0.116816, -0.0462927, -0.0252951, -0.0136871, -0.00652051, -0.00424364" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042651");
-            index_3 ("0.441378, 0.520124, 0.539022, 0.572915, 0.657601, 0.692861, 0.744614, 0.788678, 0.828, 0.864228, 0.898869, 0.93344, 0.938189, 0.953385, 0.983882, 1.00953, 1.02778, 1.05655, 1.06976, 1.08737, 1.1226, 1.17471, 1.21588, 1.23321");
-            values ( \
-              "-0.00767482, -0.0313663, -0.039364, -0.05723, -0.112316, -0.133538, -0.162975, -0.185977, -0.204507, -0.218434, -0.225976, -0.214449, -0.209186, -0.185201, -0.124755, -0.0811819, -0.0576866, -0.0321103, -0.0242534, -0.0164521, -0.00712312, -0.00172723, -0.000613037, -0.00133597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0968708");
-            index_3 ("0.510191, 0.585048, 0.758476, 0.865591, 0.935101, 0.992229, 1.04512, 1.09608, 1.1469, 1.25167, 1.28472, 1.3161, 1.36309, 1.41858, 1.47776, 1.51152");
-            values ( \
-              "-0.0634636, -0.0712383, -0.186123, -0.251823, -0.29097, -0.319347, -0.339958, -0.3464, -0.310383, -0.135254, -0.0959127, -0.0684427, -0.0405377, -0.021599, -0.0106872, -0.00771915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.220017");
-            index_3 ("0.509758, 0.617187, 0.811487, 0.98147, 1.11664, 1.21539, 1.22972, 1.24368, 1.29542, 1.32934, 1.39717, 1.4202, 1.46627, 1.5241, 1.63952, 1.69121, 1.75677, 1.80852, 1.84998, 1.9014, 1.9707, 2.02164, 2.116, 2.1853, 2.25459, 2.39319, 2.60108");
-            values ( \
-              "-0.0512526, -0.0962891, -0.229854, -0.342136, -0.424012, -0.475483, -0.474771, -0.472415, -0.461001, -0.452062, -0.428698, -0.417753, -0.388221, -0.334611, -0.219313, -0.176514, -0.131866, -0.103678, -0.0851599, -0.0663478, -0.0470261, -0.0364616, -0.0225818, -0.0158133, -0.0110497, -0.00537797, -0.00181992" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0124646, 0.0124212, 0.0123075, 0.0121734, 0.0121415, 0.0122906", \
-            "0.0123973, 0.0123624, 0.0122648, 0.0121413, 0.0121117, 0.0122693", \
-            "0.0122745, 0.0122616, 0.0122055, 0.0121205, 0.012099, 0.0122485", \
-            "0.0122199, 0.0122343, 0.0122335, 0.0122226, 0.0122228, 0.0123727", \
-            "0.0124599, 0.0124715, 0.0124994, 0.0125192, 0.012553, 0.0127104", \
-            "0.013381, 0.0134108, 0.0134302, 0.0134438, 0.0134842, 0.0136221" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00789002, 0.00795505, 0.00798616, 0.00794268, 0.00784639, 0.00767558", \
-            "0.00780844, 0.00787656, 0.00790962, 0.0078777, 0.00777653, 0.00761132", \
-            "0.00766135, 0.00772992, 0.0077855, 0.00777433, 0.00768943, 0.00752382", \
-            "0.00750818, 0.00757464, 0.00762247, 0.00766199, 0.00760651, 0.00744824", \
-            "0.0075418, 0.00761212, 0.0076672, 0.00774585, 0.00768956, 0.00754583", \
-            "0.00830777, 0.00830121, 0.00830468, 0.00829926, 0.00831628, 0.00818204" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0016052, 0.00169111, 0.00180449, 0.00193034, 0.00217531, 0.0025618", \
-            "0.00153464, 0.00164202, 0.00178438, 0.00193198, 0.0021754, 0.00259348", \
-            "0.00140588, 0.00153597, 0.0016773, 0.00189493, 0.00213581, 0.00261134", \
-            "0.00136533, 0.00140567, 0.00158523, 0.00176479, 0.00209077, 0.00256483", \
-            "0.00149079, 0.00153683, 0.00161462, 0.0017863, 0.00200399, 0.00252144", \
-            "0.00235995, 0.00216714, 0.00208219, 0.00225361, 0.00218162, 0.00250198" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0110296, 0.0111202, 0.0112217, 0.0113284, 0.0115121, 0.0117127", \
-            "0.010922, 0.011043, 0.0111622, 0.0113151, 0.0115047, 0.011718", \
-            "0.0108188, 0.0109219, 0.0110896, 0.0112669, 0.0114868, 0.011716", \
-            "0.0108574, 0.010924, 0.0110032, 0.0111825, 0.0114239, 0.011696", \
-            "0.0112367, 0.0113505, 0.0113092, 0.0113004, 0.0114558, 0.0117262", \
-            "0.0125803, 0.0124352, 0.0122986, 0.0119776, 0.0117784, 0.0118568" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0129996, 0.0130874, 0.0131598, 0.013206, 0.0133123, 0.013584", \
-            "0.0129478, 0.0130408, 0.0131196, 0.0131741, 0.0132926, 0.0135435", \
-            "0.0128662, 0.0129638, 0.0130687, 0.013155, 0.0132702, 0.0135447", \
-            "0.0128736, 0.0129687, 0.0131012, 0.0132369, 0.013404, 0.0136793", \
-            "0.01314, 0.0132366, 0.0133772, 0.0135677, 0.0137844, 0.0141009", \
-            "0.014175, 0.0142071, 0.0142904, 0.0144513, 0.014691, 0.0150516" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0070306, 0.00709867, 0.00713583, 0.0070955, 0.00700507, 0.00683721", \
-            "0.0069513, 0.0070224, 0.007062, 0.00703211, 0.00694277, 0.00677505", \
-            "0.00678785, 0.0068583, 0.00691239, 0.00689804, 0.00681684, 0.00665653", \
-            "0.00657369, 0.00663516, 0.00672131, 0.00675239, 0.00669549, 0.00654769", \
-            "0.00655136, 0.00661234, 0.00671335, 0.00674045, 0.00670351, 0.00656117", \
-            "0.00711481, 0.00711298, 0.0071484, 0.00719723, 0.00721473, 0.0070655" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.00284052, 0.00290169, 0.00297685, 0.0030876, 0.00327896, 0.00360679", \
-            "0.00276047, 0.00282909, 0.00292393, 0.00303874, 0.00324963, 0.00357567", \
-            "0.00261954, 0.00270898, 0.00283452, 0.0029786, 0.00318666, 0.00353921", \
-            "0.00255125, 0.00263261, 0.00271067, 0.0028667, 0.00310806, 0.0034974", \
-            "0.00265405, 0.00271963, 0.00275625, 0.002935, 0.00302555, 0.00344698", \
-            "0.00335527, 0.00329315, 0.00328146, 0.00331381, 0.0032537, 0.00344214" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00826804, 0.0187787, 0.042651, 0.0968708, 0.220017");
-          values ( \
-            "0.0124318, 0.0125461, 0.012629, 0.0127277, 0.0129202, 0.0131081", \
-            "0.0123243, 0.0124307, 0.0125537, 0.0127143, 0.0128756, 0.0130703", \
-            "0.0121937, 0.012299, 0.0124497, 0.0126084, 0.0128383, 0.0130654", \
-            "0.0120576, 0.0121402, 0.0122809, 0.0124875, 0.0127723, 0.0130302", \
-            "0.0120224, 0.0120933, 0.0122162, 0.0123485, 0.0126107, 0.0129591", \
-            "0.0125964, 0.012505, 0.0124599, 0.0126198, 0.0126147, 0.0128911" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0102201;
-      rise_capacitance : 0.0102201;
-      rise_capacitance_range (0.00764291, 0.0102201);
-      fall_capacitance : 0.0101299;
-      fall_capacitance_range (0.00744309, 0.0101299);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0112363;
-      rise_capacitance : 0.0112363;
-      rise_capacitance_range (0.00894102, 0.0112363);
-      fall_capacitance : 0.0111459;
-      fall_capacitance_range (0.00748131, 0.0111459);
-    }
-  }
-  cell (ADDHXL) {
-    area : 27.8388;
-    cell_footprint : "ADDH";
-    cell_leakage_power : 0.487919;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * CO * CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.643493;
-      when : "(A * B * CO * CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !CO * !CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.643269;
-      when : "(A * !B * !CO * !CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !CO * !CON * S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.470569;
-      when : "(!A * B * !CO * !CON * S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !CO * CON * !S)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.194347;
-      when : "(!A * !B * !CO * CON * !S)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.487919;
-      related_pg_pin : VDD;
-    }
-    pin (CO) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.12748;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0901242, 0.106955, 0.137918, 0.198281, 0.320385, 0.569024", \
-            "0.094293, 0.11113, 0.142108, 0.202484, 0.324586, 0.573225", \
-            "0.104249, 0.121039, 0.151997, 0.212425, 0.334578, 0.583248", \
-            "0.121286, 0.138544, 0.169932, 0.230569, 0.352655, 0.601399", \
-            "0.138686, 0.15658, 0.188171, 0.249361, 0.372379, 0.621028", \
-            "0.147969, 0.167737, 0.201005, 0.262175, 0.384569, 0.634016" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0391139, 0.0589696, 0.100871, 0.189595, 0.37318, 0.747955", \
-            "0.0391125, 0.0589747, 0.100858, 0.189594, 0.373182, 0.747956", \
-            "0.039241, 0.0590325, 0.100898, 0.189607, 0.373211, 0.74796", \
-            "0.0412816, 0.0606636, 0.101813, 0.189872, 0.373243, 0.747967", \
-            "0.0453024, 0.0639583, 0.104282, 0.191669, 0.374077, 0.748008", \
-            "0.0543818, 0.0718314, 0.109328, 0.193753, 0.375315, 0.749334" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0764061, 0.0893156, 0.11279, 0.157967, 0.248901, 0.434044", \
-            "0.0824906, 0.0954492, 0.119015, 0.164225, 0.255171, 0.440323", \
-            "0.0971858, 0.110228, 0.133898, 0.179189, 0.270167, 0.455326", \
-            "0.126999, 0.140642, 0.164736, 0.210224, 0.301253, 0.486428", \
-            "0.173021, 0.188242, 0.214002, 0.260756, 0.35221, 0.537221", \
-            "0.244013, 0.262561, 0.291499, 0.340214, 0.432186, 0.618029" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0288863, 0.0425646, 0.0702909, 0.128162, 0.249229, 0.496702", \
-            "0.0288963, 0.0425735, 0.0702766, 0.128152, 0.248884, 0.4967", \
-            "0.0289862, 0.0425887, 0.0702714, 0.12814, 0.249165, 0.496696", \
-            "0.0311455, 0.0442298, 0.0711618, 0.128441, 0.2489, 0.496771", \
-            "0.0371941, 0.0496298, 0.0755286, 0.131324, 0.250068, 0.496671", \
-            "0.0477828, 0.060672, 0.0845076, 0.136508, 0.252558, 0.497953" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0609024, 0.0763771, 0.0835555, 0.0898536, 0.0957864, 0.101642, 0.107494, 0.111847, 0.126207, 0.134423, 0.142564, 0.156088, 0.169897, 0.186747, 0.191909");
-            values ( \
-              "0.0026362, 0.0821121, 0.0995933, 0.108593, 0.112294, 0.111759, 0.106676, 0.0977539, 0.0551475, 0.0380806, 0.0253622, 0.012103, 0.0052741, 0.00178017, 0.00164993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074131");
-            index_3 ("0.06157, 0.0821046, 0.0852061, 0.0920676, 0.0983813, 0.101025, 0.105409, 0.109677, 0.118634, 0.124532, 0.127561, 0.132255, 0.154266, 0.170351, 0.181451, 0.189533, 0.197323, 0.204262, 0.217617, 0.229533, 0.239335, 0.250152, 0.2779, 0.278202");
-            values ( \
-              "0.0072101, 0.12171, 0.130304, 0.144129, 0.151336, 0.153241, 0.154625, 0.154764, 0.150578, 0.144476, 0.140011, 0.131123, 0.0778122, 0.0478811, 0.0332541, 0.0251274, 0.0190715, 0.0148423, 0.00904674, 0.00595976, 0.00432678, 0.00304069, 0.00126573, 0.00125952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0150959");
-            index_3 ("0.0622519, 0.0819526, 0.0835325, 0.0908828, 0.0992643, 0.106166, 0.119527, 0.126059, 0.133524, 0.141549, 0.149454, 0.165346, 0.175463, 0.207138, 0.219601, 0.234889, 0.247543, 0.267805, 0.279385, 0.293258, 0.310458, 0.321582, 0.332709, 0.347546, 0.369548, 0.397919, 0.432478, 0.480277");
-            values ( \
-              "0.000510961, 0.137497, 0.143182, 0.164783, 0.180649, 0.187772, 0.192783, 0.191667, 0.189194, 0.184514, 0.178843, 0.162551, 0.147815, 0.0970045, 0.0796843, 0.0617821, 0.0492495, 0.0336707, 0.0268167, 0.020384, 0.0146551, 0.0116216, 0.00932232, 0.00707808, 0.00436999, 0.00253028, 0.00106176, 0.000458373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0307412");
-            index_3 ("0.0627552, 0.0835012, 0.0905698, 0.0989383, 0.104874, 0.116747, 0.130566, 0.143211, 0.155823, 0.17703, 0.20983, 0.240271, 0.261415, 0.317852, 0.350354, 0.393583, 0.415931, 0.452326, 0.491637, 0.524213, 0.537681, 0.564617, 0.606923, 0.666525, 0.7403, 0.822596");
-            values ( \
-              "0.000871158, 0.153279, 0.177525, 0.197303, 0.206383, 0.217078, 0.220682, 0.219775, 0.216408, 0.208405, 0.191431, 0.171614, 0.154915, 0.106364, 0.0818347, 0.0553233, 0.0444577, 0.0307616, 0.0203552, 0.0144265, 0.0122567, 0.00922038, 0.00549609, 0.00282651, 0.000991581, 0.000474244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0626009");
-            index_3 ("0.0733119, 0.0874242, 0.102124, 0.109218, 0.118675, 0.129083, 0.145412, 0.160909, 0.17642, 0.225202, 0.276699, 0.331843, 0.392495, 0.410064, 0.4452, 0.56477, 0.653681, 0.684453, 0.717715, 0.752611, 0.797999, 0.833848, 0.878286, 0.940553, 1.00491, 1.05414, 1.13644, 1.30103, 1.46562");
-            values ( \
-              "0.144903, 0.1747, 0.213065, 0.223324, 0.232181, 0.236943, 0.23955, 0.238372, 0.236215, 0.225756, 0.212169, 0.196222, 0.175929, 0.169058, 0.154908, 0.10299, 0.0699816, 0.0604614, 0.0513815, 0.0433152, 0.0339294, 0.0279442, 0.0220397, 0.0152152, 0.0104352, 0.00799394, 0.00467089, 0.00162998, 0.000517921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.12748");
-            index_3 ("0.073487, 0.0995015, 0.109092, 0.11988, 0.129069, 0.144596, 0.159992, 0.175069, 0.23461, 0.336021, 0.415841, 0.54591, 0.638037, 0.702521, 0.765002, 1.05807, 1.14013, 1.22242, 1.28427, 1.3383, 1.40057, 1.48286, 1.53169, 1.57712, 1.70603, 1.84627, 2.01086, 2.17546, 2.34005, 2.50464, 2.83383");
-            values ( \
-              "0.140325, 0.212708, 0.229238, 0.240506, 0.245623, 0.250064, 0.250799, 0.250476, 0.244755, 0.232896, 0.222127, 0.203585, 0.189502, 0.178318, 0.16666, 0.103125, 0.0870064, 0.0720588, 0.0622602, 0.0545557, 0.0468169, 0.0374748, 0.0328212, 0.0289458, 0.0200629, 0.013299, 0.00810973, 0.00489567, 0.0029253, 0.00172465, 0.000554087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0778061, 0.0978616, 0.10171, 0.108008, 0.11394, 0.119795, 0.125797, 0.130002, 0.137159, 0.144362, 0.152435, 0.155895, 0.161151, 0.167047, 0.174106, 0.182914, 0.188218, 0.19777, 0.204908, 0.217674, 0.234926, 0.268318");
-            values ( \
-              "0.00291891, 0.0912423, 0.0995433, 0.108665, 0.112233, 0.11183, 0.106405, 0.0977493, 0.075527, 0.0551293, 0.0383152, 0.0323541, 0.0247962, 0.0181226, 0.0121961, 0.00718402, 0.00521858, 0.00280303, 0.00178521, 0.000963805, 0.000496963, 0.000168263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074131");
-            index_3 ("0.0837288, 0.0898388, 0.0924762, 0.097743, 0.100278, 0.105348, 0.110261, 0.119227, 0.1279, 0.136562, 0.140858, 0.145222, 0.152268, 0.168431, 0.179288, 0.187882, 0.195145, 0.200633, 0.208162, 0.214687, 0.221915, 0.229078, 0.235569, 0.241227, 0.248771, 0.258404, 0.269373, 0.281978, 0.297428, 0.318518, 0.348759");
-            values ( \
-              "0.00788864, 0.0795969, 0.0925036, 0.11335, 0.121475, 0.134895, 0.144045, 0.15338, 0.154868, 0.150952, 0.146842, 0.140982, 0.127055, 0.0867014, 0.0637733, 0.04893, 0.0386397, 0.0321326, 0.0247728, 0.0196745, 0.0151205, 0.0115894, 0.00910675, 0.00745865, 0.00577191, 0.00418959, 0.00296244, 0.00198163, 0.00123151, 0.00061708, 0.000234501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0150959");
-            index_3 ("0.0834032, 0.0936433, 0.100039, 0.108969, 0.116567, 0.124227, 0.137295, 0.152734, 0.165912, 0.176802, 0.19455, 0.229326, 0.251418, 0.273691, 0.301595, 0.321285, 0.337635, 0.375044, 0.41929");
-            values ( \
-              "0.00061504, 0.109638, 0.137789, 0.165101, 0.17958, 0.187935, 0.192719, 0.188547, 0.180134, 0.169915, 0.146351, 0.0910798, 0.0633188, 0.0425539, 0.024806, 0.0168388, 0.0121788, 0.00577855, 0.00258788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0307412");
-            index_3 ("0.0836091, 0.09833, 0.107544, 0.116041, 0.123023, 0.135691, 0.148702, 0.161333, 0.173961, 0.200148, 0.227967, 0.258408, 0.279979, 0.335874, 0.369044, 0.396538, 0.418945, 0.433534, 0.456409, 0.470186, 0.490244, 0.512581, 0.543347, 0.581013, 0.622889, 0.681507, 0.753977, 0.836273");
-            values ( \
-              "0.0111479, 0.139302, 0.174704, 0.195403, 0.206607, 0.217525, 0.220791, 0.219704, 0.216501, 0.206046, 0.191522, 0.171703, 0.154468, 0.106541, 0.0815398, 0.0639064, 0.0517131, 0.0447845, 0.0356158, 0.0309414, 0.0251669, 0.0198358, 0.0141919, 0.00932405, 0.00572892, 0.00285136, 0.00114217, 0.000412192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0626009");
-            index_3 ("0.0932527, 0.110443, 0.11996, 0.128291, 0.138284, 0.147329, 0.163844, 0.179247, 0.194666, 0.218422, 0.243448, 0.284012, 0.350102, 0.400719, 0.430771, 0.47084, 0.567901, 0.62506, 0.686146, 0.719761, 0.742129, 0.786864, 0.819881, 0.872163, 0.931914, 0.984512, 1.01102, 1.06404, 1.14634, 1.22863, 1.31093, 1.47552");
-            values ( \
-              "0.173201, 0.190267, 0.212478, 0.224422, 0.232974, 0.237041, 0.239445, 0.238468, 0.236106, 0.231408, 0.225648, 0.215125, 0.196111, 0.179523, 0.168201, 0.151642, 0.109386, 0.0866116, 0.0655586, 0.055758, 0.0499576, 0.0397291, 0.0334127, 0.0251128, 0.0179254, 0.013239, 0.0113186, 0.00829301, 0.0050172, 0.00305434, 0.00182096, 0.000651056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.12748");
-            index_3 ("0.0928864, 0.126804, 0.13767, 0.146927, 0.162458, 0.17785, 0.192925, 0.219515, 0.28627, 0.354283, 0.433703, 0.562924, 0.655876, 0.720366, 0.782852, 0.859534, 1.07593, 1.15798, 1.24028, 1.30213, 1.35616, 1.4282, 1.51049, 1.62816, 1.70507, 1.78736, 1.86297, 1.94936, 2.11395, 2.27855, 2.44314, 2.60773, 2.85462");
-            values ( \
-              "0.162401, 0.229186, 0.240408, 0.245731, 0.249993, 0.250888, 0.250396, 0.248156, 0.240833, 0.232761, 0.222043, 0.203625, 0.189422, 0.178403, 0.166579, 0.150484, 0.103207, 0.0869234, 0.0721412, 0.0623426, 0.054638, 0.045565, 0.0365781, 0.0264406, 0.0213225, 0.016744, 0.0134183, 0.0103906, 0.0063346, 0.00383745, 0.00231193, 0.00138429, 0.00070814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.123221, 0.12712, 0.130559, 0.13507, 0.14236, 0.148709, 0.15467, 0.160545, 0.166416, 0.170724, 0.185054, 0.192373, 0.197345, 0.205377, 0.21403, 0.22448, 0.234391, 0.240745, 0.253298, 0.267971, 0.269783");
-            values ( \
-              "0.0177184, 0.0495461, 0.0646359, 0.0803144, 0.0985744, 0.107931, 0.111872, 0.111465, 0.106501, 0.0977634, 0.0552441, 0.0397199, 0.0312866, 0.0206521, 0.0128282, 0.00685068, 0.00367459, 0.00242296, 0.00124371, 0.000680322, 0.000660577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074131");
-            index_3 ("0.123227, 0.130043, 0.134662, 0.140799, 0.152321, 0.155126, 0.159889, 0.168575, 0.177437, 0.181003, 0.186467, 0.196746, 0.213544, 0.229344, 0.240286, 0.248422, 0.256339, 0.263256, 0.276553, 0.288557, 0.298367, 0.309084, 0.336681, 0.337038");
-            values ( \
-              "0.00289676, 0.0755038, 0.0974249, 0.119919, 0.145565, 0.149055, 0.152798, 0.154658, 0.150601, 0.147311, 0.139966, 0.117789, 0.0769924, 0.0477453, 0.0333284, 0.0251521, 0.0190081, 0.0147934, 0.00904624, 0.00595903, 0.00431597, 0.0030526, 0.00127755, 0.00127016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0150959");
-            index_3 ("0.123248, 0.140678, 0.149745, 0.158797, 0.165046, 0.177545, 0.179331, 0.182903, 0.19357, 0.208349, 0.224246, 0.234125, 0.252121, 0.266043, 0.278521, 0.293026, 0.301252, 0.31388, 0.328312, 0.342382, 0.350409, 0.361988, 0.378182, 0.384747, 0.397879, 0.416688, 0.441115, 0.471144, 0.509949, 0.567069");
-            values ( \
-              "0.01955, 0.136413, 0.163773, 0.181707, 0.187186, 0.192977, 0.192191, 0.192353, 0.188678, 0.178886, 0.162534, 0.148202, 0.118802, 0.0970533, 0.0797292, 0.0625467, 0.0541405, 0.0430953, 0.0327221, 0.0248275, 0.0212043, 0.0168766, 0.0122659, 0.0107711, 0.00831367, 0.0056671, 0.00342721, 0.00178533, 0.000762924, 0.000171529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0307412");
-            index_3 ("0.129048, 0.138889, 0.147984, 0.157997, 0.163735, 0.17521, 0.189449, 0.202107, 0.214709, 0.235468, 0.268718, 0.299158, 0.321089, 0.376531, 0.41204, 0.441006, 0.465676, 0.484008, 0.507635, 0.52672, 0.549886, 0.58122, 0.616601, 0.643447, 0.694633, 0.763128, 0.845425");
-            values ( \
-              "0.0873957, 0.137654, 0.172514, 0.19729, 0.205985, 0.216702, 0.220567, 0.219775, 0.216358, 0.208619, 0.191409, 0.171606, 0.154243, 0.10654, 0.0799375, 0.0617937, 0.0487306, 0.0406822, 0.0319669, 0.0262634, 0.0206044, 0.0145998, 0.00985814, 0.00729684, 0.00396201, 0.00173302, 0.00058142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0626009");
-            index_3 ("0.13422, 0.152995, 0.163442, 0.17572, 0.188054, 0.202741, 0.219056, 0.235385, 0.284167, 0.390831, 0.451453, 0.520802, 0.608783, 0.657464, 0.718173, 0.752456, 0.806063, 0.868804, 0.939493, 1.00076, 1.07933, 1.16163, 1.24393, 1.32622, 1.57311");
-            values ( \
-              "0.1767, 0.195003, 0.216601, 0.230975, 0.23698, 0.239586, 0.238422, 0.236279, 0.225802, 0.196246, 0.175955, 0.147782, 0.109339, 0.0897099, 0.0683258, 0.0579838, 0.0444075, 0.0319445, 0.0216308, 0.0152273, 0.00961648, 0.00584371, 0.00354672, 0.00213053, 0.000470857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.12748");
-            index_3 ("0.143786, 0.143806, 0.293319, 0.395749, 0.474901, 0.60376, 0.697065, 0.761554, 0.824044, 1.11713, 1.19918, 1.28148, 1.34332, 1.39735, 1.46879, 1.55108, 1.67054, 1.74702, 1.82932, 1.9042, 1.98952, 2.15411, 2.3187, 2.48329, 2.64789, 2.89477");
-            values ( \
-              "1e-22, 0.258515, 0.244798, 0.23281, 0.222123, 0.203756, 0.189501, 0.178325, 0.166658, 0.103131, 0.0870002, 0.0720654, 0.0622703, 0.0545677, 0.0457077, 0.036568, 0.0262832, 0.0213449, 0.0166381, 0.0133505, 0.0103583, 0.00629072, 0.00378657, 0.002257, 0.00132714, 0.000770867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.199409, 0.207143, 0.215037, 0.223018, 0.226192, 0.234414, 0.239541, 0.244801, 0.248904, 0.25505, 0.258848, 0.268365, 0.280573, 0.28776, 0.292681, 0.30125, 0.307781, 0.312485, 0.320371, 0.328189, 0.340743, 0.352073");
-            values ( \
-              "0.00208535, 0.0318182, 0.0582156, 0.0809269, 0.0880195, 0.101183, 0.105295, 0.106477, 0.105179, 0.0972988, 0.0886092, 0.061143, 0.0353911, 0.0248715, 0.0192553, 0.0119539, 0.00815635, 0.00612642, 0.00371593, 0.00229741, 0.00123349, 0.000821384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074131");
-            index_3 ("0.200416, 0.216917, 0.224166, 0.230691, 0.2353, 0.244899, 0.248883, 0.251436, 0.257258, 0.262972, 0.269287, 0.272304, 0.277072, 0.2827, 0.295428, 0.302004, 0.307329, 0.315081, 0.320893, 0.326797, 0.334732, 0.342126, 0.349183, 0.356262, 0.362631, 0.368039, 0.37525, 0.385199, 0.396069, 0.409, 0.424542, 0.446309, 0.476662");
-            values ( \
-              "0.00143527, 0.0785609, 0.104877, 0.1233, 0.132955, 0.145531, 0.147836, 0.147792, 0.148456, 0.14696, 0.141987, 0.138074, 0.129759, 0.116815, 0.0856462, 0.071544, 0.0612379, 0.0481027, 0.0398796, 0.0327975, 0.0249221, 0.0192026, 0.0148835, 0.0114195, 0.00908141, 0.00751779, 0.00587153, 0.00423906, 0.0029829, 0.00198833, 0.00120882, 0.000604699, 0.000212276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0150959");
-            index_3 ("0.199388, 0.233893, 0.248827, 0.250178, 0.260029, 0.272196, 0.293014, 0.310267, 0.364502, 0.395016, 0.419506, 0.434815, 0.465526, 0.469951, 0.478802, 0.496182, 0.520065, 0.548448, 0.584759, 0.634613");
-            values ( \
-              "0.00692496, 0.150677, 0.178309, 0.178015, 0.186965, 0.188948, 0.179567, 0.1625, 0.0792306, 0.0465431, 0.0289845, 0.0212717, 0.0113164, 0.0115779, 0.00856561, 0.00711055, 0.0033278, 0.00279368, 0.000338832, 0.000928525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0307412");
-            index_3 ("0.199492, 0.246602, 0.255762, 0.266102, 0.275505, 0.288314, 0.300953, 0.321493, 0.355029, 0.385475, 0.4077, 0.462777, 0.500131, 0.527891, 0.550177, 0.567374, 0.593136, 0.614596, 0.637198, 0.66922, 0.709986, 0.752304, 0.810166, 0.883366, 0.965662");
-            values ( \
-              "0.0204962, 0.193447, 0.205367, 0.214349, 0.217834, 0.218253, 0.215733, 0.208407, 0.191434, 0.171662, 0.153896, 0.106681, 0.0787896, 0.061422, 0.0496405, 0.0418815, 0.0322584, 0.0258618, 0.0203642, 0.0143511, 0.00909844, 0.00557815, 0.00278284, 0.00112061, 0.000387207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0626009");
-            index_3 ("0.211539, 0.23054, 0.241041, 0.246852, 0.25804, 0.263408, 0.270763, 0.280529, 0.293549, 0.312711, 0.32156, 0.339258, 0.370406, 0.408856, 0.477115, 0.524705, 0.563674, 0.607095, 0.700856, 0.735428, 0.772383, 0.819197, 0.852111, 0.890246, 0.940339, 0.955138, 0.984736, 1.02715, 1.08783, 1.11333, 1.16433, 1.24663, 1.32892, 1.41122, 1.49352, 1.65811");
-            values ( \
-              "0.0789257, 0.158412, 0.191589, 0.203655, 0.220406, 0.226392, 0.232042, 0.236154, 0.238121, 0.237177, 0.235837, 0.232591, 0.225621, 0.215688, 0.196074, 0.180572, 0.165861, 0.147602, 0.10691, 0.0929583, 0.0791921, 0.0637472, 0.054356, 0.0449149, 0.0345795, 0.0319586, 0.0272035, 0.0214815, 0.0151555, 0.013083, 0.00968534, 0.00590264, 0.00356608, 0.0021589, 0.0012926, 0.000464175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.12748");
-            index_3 ("0.225256, 0.267869, 0.286008, 0.302805, 0.320151, 0.344449, 0.41353, 0.481399, 0.560965, 0.688733, 0.783117, 0.847644, 0.910128, 0.986808, 1.2032, 1.28525, 1.36754, 1.4294, 1.48343, 1.55547, 1.63777, 1.67697, 1.75539, 1.8323, 1.9146, 2.01902, 2.07664, 2.15893, 2.24123, 2.32353, 2.48812, 2.73501, 2.9819");
-            values ( \
-              "0.232671, 0.23795, 0.24749, 0.250129, 0.250098, 0.248318, 0.240851, 0.232759, 0.222018, 0.203811, 0.189402, 0.178419, 0.166558, 0.150504, 0.103229, 0.086907, 0.0721632, 0.0623646, 0.0546593, 0.0455451, 0.0365979, 0.0329369, 0.0264635, 0.0213037, 0.0167657, 0.0123812, 0.010412, 0.00817477, 0.00635651, 0.00498857, 0.00303549, 0.00140698, 0.00068506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.354088, 0.358101, 0.362469, 0.365211, 0.370695, 0.391365, 0.399718, 0.401814, 0.407022, 0.409056, 0.413123, 0.415395, 0.418, 0.420607, 0.42582, 0.436696, 0.441226, 0.449592, 0.452858, 0.456867, 0.462133, 0.466936, 0.473341, 0.47837, 0.485711, 0.490367, 0.498236, 0.504453, 0.510992, 0.527232, 0.528007");
-            values ( \
-              "0.00832429, 0.0109202, 0.0155873, 0.0198548, 0.0291778, 0.0714198, 0.0853903, 0.0881156, 0.0935983, 0.0951501, 0.0970704, 0.0974599, 0.0972092, 0.0960269, 0.0897449, 0.0618036, 0.0514342, 0.0354143, 0.0304635, 0.0251488, 0.0191752, 0.0148715, 0.0104422, 0.007735, 0.00499822, 0.00374913, 0.00230541, 0.00169054, 0.00123096, 0.000646728, 0.000635259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074131");
-            index_3 ("0.35596, 0.362711, 0.367643, 0.373834, 0.397934, 0.40779, 0.41251, 0.422338, 0.43186, 0.441384, 0.447593, 0.469348, 0.485244, 0.496571, 0.504671, 0.512336, 0.51932, 0.532592, 0.544441, 0.556036, 0.569227, 0.578735");
-            values ( \
-              "0.0135688, 0.0206484, 0.0294059, 0.0435158, 0.106527, 0.125702, 0.132266, 0.139767, 0.140542, 0.133957, 0.124359, 0.0758673, 0.0474712, 0.0329476, 0.0249919, 0.0191285, 0.0148926, 0.00919679, 0.00613443, 0.0038418, 0.00265715, 0.00215748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0150959");
-            index_3 ("0.377514, 0.399303, 0.405103, 0.408002, 0.410902, 0.414254, 0.417606, 0.420957, 0.424309, 0.427661, 0.431578, 0.433273, 0.436665, 0.437795, 0.442318, 0.447205, 0.44976, 0.454141, 0.457062, 0.458689, 0.463571, 0.47008, 0.476589, 0.479823, 0.48629, 0.493571, 0.498293, 0.511056, 0.525635, 0.531599, 0.538216, 0.541277, 0.544338, 0.55046, 0.552305, 0.554149, 0.555993, 0.558817, 0.559797, 0.565678, 0.569599, 0.578294, 0.589487, 0.59504, 0.600179, 0.605318, 0.610457, 0.617992, 0.625528, 0.641064");
-            values ( \
-              "0.120523, 0.129138, 0.144211, 0.150821, 0.156813, 0.162079, 0.166715, 0.170513, 0.173817, 0.176627, 0.179284, 0.180226, 0.181039, 0.18122, 0.181331, 0.180992, 0.18046, 0.178925, 0.177596, 0.176676, 0.173588, 0.168708, 0.162954, 0.15955, 0.151109, 0.14076, 0.133548, 0.113036, 0.0911294, 0.0828881, 0.0752086, 0.070671, 0.0656845, 0.0543654, 0.0544604, 0.0542448, 0.0537186, 0.0523107, 0.0516518, 0.0464463, 0.0432486, 0.0369831, 0.0299584, 0.0267603, 0.0240414, 0.0217355, 0.0196456, 0.0170629, 0.0147629, 0.0108356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0307412");
-            index_3 ("0.379462, 0.401806, 0.40978, 0.41631, 0.42284, 0.426105, 0.42937, 0.433049, 0.436728, 0.440408, 0.444087, 0.447449, 0.454173, 0.460705, 0.463874, 0.470214, 0.47524, 0.480266, 0.485293, 0.493564, 0.496808, 0.503297, 0.513573, 0.5308, 0.542531, 0.548397, 0.55061, 0.552824, 0.553931, 0.555699, 0.564957, 0.57381, 0.588287, 0.605564, 0.622981, 0.641609, 0.65292, 0.668118, 0.686078, 0.705545, 0.71869, 0.728232, 0.737774, 0.750519, 0.766964, 0.78177, 0.791076, 0.807889, 0.831792, 0.853177");
-            values ( \
-              "0.134414, 0.147308, 0.169538, 0.18479, 0.194963, 0.199167, 0.202782, 0.205854, 0.208376, 0.210347, 0.211767, 0.212059, 0.212312, 0.212137, 0.211903, 0.21114, 0.210114, 0.208876, 0.207426, 0.204578, 0.203305, 0.200396, 0.195359, 0.185971, 0.179015, 0.175366, 0.170754, 0.170836, 0.170645, 0.170021, 0.163433, 0.156585, 0.144711, 0.129721, 0.115018, 0.099764, 0.0908417, 0.0802121, 0.0687156, 0.0571407, 0.0501197, 0.045774, 0.0417152, 0.0368245, 0.0310251, 0.0264122, 0.0239695, 0.0201071, 0.0155788, 0.0120602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0626009");
-            index_3 ("0.372588, 0.394849, 0.415732, 0.43128, 0.442407, 0.460474, 0.475979, 0.49096, 0.514972, 0.57578, 0.610102, 0.658291, 0.70787, 0.754826, 0.879392, 0.960734, 0.999673, 1.04145, 1.09715, 1.12495, 1.15676, 1.19917, 1.25883, 1.33302, 1.41532, 1.49762, 1.57991, 1.8268");
-            values ( \
-              "0.0745685, 0.127954, 0.190989, 0.216627, 0.226282, 0.233294, 0.234177, 0.232977, 0.229069, 0.215735, 0.206844, 0.192681, 0.175827, 0.157266, 0.103446, 0.0728922, 0.0606614, 0.0494311, 0.0371081, 0.0320144, 0.0269322, 0.0212594, 0.0150883, 0.0097762, 0.00595445, 0.00360192, 0.00217643, 0.000471247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.12748");
-            index_3 ("0.37266, 0.409987, 0.423048, 0.442301, 0.459451, 0.474892, 0.489476, 0.513208, 0.543314, 0.556139, 0.572368, 0.583625, 0.627066, 0.681368, 0.754613, 0.896135, 0.974102, 1.08092, 1.15697, 1.3733, 1.45522, 1.53751, 1.61763, 1.72611, 1.80841, 1.84714, 1.9246, 2.00185, 2.08415, 2.18944, 2.32993, 2.49452, 2.65911, 2.906, 3.15289");
-            values ( \
-              "0.0643559, 0.181606, 0.210986, 0.234449, 0.243593, 0.246385, 0.247088, 0.245927, 0.243318, 0.241778, 0.241146, 0.240292, 0.235763, 0.228908, 0.218708, 0.198296, 0.185979, 0.166429, 0.150505, 0.103256, 0.0869273, 0.0722103, 0.0597157, 0.0454668, 0.0365713, 0.0329148, 0.0265557, 0.0213197, 0.0168173, 0.0123502, 0.00813272, 0.00495441, 0.00300628, 0.00142478, 0.00066212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.671011, 0.690431, 0.696405, 0.723998, 0.733718, 0.747639, 0.75605, 0.764048, 0.772045, 0.786924, 0.798168, 0.810434, 0.816425, 0.822838, 0.827136, 0.836601, 0.848726, 0.863453");
-            values ( \
-              "0.00151955, 0.0119788, 0.0167938, 0.050141, 0.0611485, 0.075066, 0.0807705, 0.0831675, 0.0779077, 0.0477201, 0.0298395, 0.0167467, 0.0123124, 0.00870333, 0.00680814, 0.00394987, 0.00202347, 0.00120015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074131");
-            index_3 ("0.66394, 0.684887, 0.695001, 0.703848, 0.753577, 0.76519, 0.775964, 0.786617, 0.797257, 0.819671, 0.838241, 0.852043, 0.866338, 0.885719, 0.911866");
-            values ( \
-              "0.00479906, 0.0110555, 0.0201815, 0.0312312, 0.108839, 0.121179, 0.126429, 0.124437, 0.111211, 0.0661601, 0.0382453, 0.0242823, 0.0147245, 0.00743595, 0.00335755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0150959");
-            index_3 ("0.685435, 0.703388, 0.714116, 0.747577, 0.758964, 0.776784, 0.793057, 0.809107, 0.825791, 0.83475, 0.867021, 0.885729, 0.901496, 0.915445, 0.925223, 0.937889, 0.948265, 0.961105, 0.97757, 0.999903, 1.01677, 1.04235, 1.0737, 1.07913");
-            values ( \
-              "0.0243622, 0.036197, 0.0541905, 0.120906, 0.141607, 0.163292, 0.170697, 0.168363, 0.156891, 0.14637, 0.0979731, 0.0732202, 0.0560746, 0.0437531, 0.0364854, 0.0285803, 0.0233484, 0.0181656, 0.0131488, 0.00848904, 0.00605188, 0.00356875, 0.00182648, 0.00167974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0307412");
-            index_3 ("0.6853, 0.714495, 0.756478, 0.771993, 0.787573, 0.809656, 0.814909, 0.825413, 0.841993, 0.87952, 0.911231, 0.979144, 1.01072, 1.06358, 1.08011, 1.11997, 1.17062, 1.21067, 1.24885, 1.30038, 1.33709");
-            values ( \
-              "0.0164607, 0.0594174, 0.153374, 0.180649, 0.197092, 0.206171, 0.206298, 0.205528, 0.201312, 0.184172, 0.162821, 0.105917, 0.0823405, 0.0509517, 0.0433357, 0.0289883, 0.0169496, 0.0109193, 0.00704449, 0.00392168, 0.00266837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0626009");
-            index_3 ("0.684839, 0.72887, 0.755504, 0.771387, 0.785475, 0.806771, 0.828556, 0.835728, 0.850074, 0.885267, 0.93711, 0.992634, 1.0212, 1.0534, 1.08462, 1.22531, 1.29013, 1.33722, 1.36565, 1.40357, 1.45576, 1.47159, 1.50326, 1.55963, 1.60879, 1.66083, 1.73022, 1.81251, 1.89481, 1.9771, 2.1417");
-            values ( \
-              "0.0086865, 0.0937111, 0.160301, 0.192059, 0.211065, 0.225824, 0.230391, 0.230269, 0.22945, 0.223643, 0.210917, 0.195228, 0.186205, 0.175189, 0.163163, 0.103236, 0.0787624, 0.0632844, 0.0551581, 0.0456606, 0.0347746, 0.0319691, 0.0268999, 0.0196024, 0.0147698, 0.0109084, 0.00721242, 0.00437097, 0.00264351, 0.00158974, 0.000574137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.12748");
-            index_3 ("0.723925, 0.804199, 0.820091, 0.834196, 0.857919, 0.885395, 0.921863, 0.968811, 1.02674, 1.25777, 1.28844, 1.36422, 1.42736, 1.71958, 1.80147, 1.88376, 1.94584, 2.00013, 2.07252, 2.15481, 2.19343, 2.27065, 2.34798, 2.43028, 2.53578, 2.59412, 2.67641, 2.841, 3.0056, 3.25249, 3.49938");
-            values ( \
-              "0.158123, 0.235074, 0.24159, 0.244175, 0.245097, 0.243554, 0.240131, 0.234995, 0.227916, 0.195806, 0.19114, 0.178394, 0.166408, 0.103254, 0.0869457, 0.0722134, 0.0623819, 0.0546426, 0.0454606, 0.0365552, 0.032921, 0.0265684, 0.0213346, 0.0168196, 0.012353, 0.0103968, 0.00813276, 0.00495632, 0.00300933, 0.00141902, 0.000666535" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0062678, 0.00628023, 0.00629406, 0.006306, 0.00631431, 0.00631923", \
-            "0.00752085, 0.00752856, 0.00753931, 0.00755062, 0.00755977, 0.0075658", \
-            "0.00829018, 0.00829195, 0.00829601, 0.0083021, 0.0083088, 0.00831406", \
-            "0.00877728, 0.00877463, 0.00877678, 0.00877787, 0.00878045, 0.00878128", \
-            "0.00908752, 0.00908692, 0.0090858, 0.00908459, 0.00908842, 0.00908891", \
-            "0.0093034, 0.00930253, 0.00930114, 0.00929923, 0.00929718, 0.00929563" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.00885967, 0.00887052, 0.00888771, 0.00890759, 0.00892361, 0.00893416", \
-            "0.00925161, 0.00924928, 0.00925014, 0.00925656, 0.00926447, 0.00927216", \
-            "0.0092383, 0.00922995, 0.00921904, 0.00920983, 0.0092061, 0.00920659", \
-            "0.00960084, 0.0096078, 0.00960449, 0.00959764, 0.00958903, 0.00958688", \
-            "0.00754335, 0.00802124, 0.0086693, 0.00935217, 0.00987407, 0.0102071", \
-            "0.00624454, 0.00625192, 0.00627623, 0.00653906, 0.00735628, 0.00849061" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0566977, 0.0658127, 0.0734069, 0.0786508, 0.0834345, 0.0879813, 0.092457, 0.0970709, 0.0990577, 0.113742, 0.118883, 0.125649, 0.132704, 0.145861, 0.16171");
-            values ( \
-              "-0.028966, -0.0883629, -0.117484, -0.13247, -0.141684, -0.146514, -0.146653, -0.137356, -0.129692, -0.0394692, -0.0228633, -0.0111348, -0.00574936, -0.00324239, -0.00235222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074131");
-            index_3 ("0.0530742, 0.0693429, 0.0754228, 0.0858975, 0.0942097, 0.100869, 0.107527, 0.115094, 0.118728, 0.128991, 0.136918, 0.143959, 0.148701, 0.155325, 0.163607, 0.168969, 0.185836, 0.198688, 0.225939, 0.22857");
-            values ( \
-              "-0.00126567, -0.130144, -0.156321, -0.186875, -0.198983, -0.202037, -0.198952, -0.182249, -0.166376, -0.103422, -0.0647521, -0.0416386, -0.0310876, -0.0210703, -0.013416, -0.0103776, -0.00523212, -0.00332677, -0.00128294, -0.00123875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0150959");
-            index_3 ("0.0573389, 0.0734689, 0.0856405, 0.0910311, 0.100187, 0.107118, 0.11347, 0.124395, 0.135536, 0.147358, 0.157279, 0.17632, 0.183389, 0.19236, 0.202106, 0.215343, 0.226469, 0.242258, 0.25321, 0.275115, 0.299815");
-            values ( \
-              "-0.0696006, -0.167999, -0.215609, -0.228416, -0.24251, -0.247928, -0.249766, -0.248314, -0.239177, -0.2186, -0.184597, -0.107601, -0.0858255, -0.0639256, -0.0461556, -0.0298841, -0.0208265, -0.0127679, -0.00917814, -0.0047799, -0.00291041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0307412");
-            index_3 ("0.0595818, 0.0894201, 0.0984639, 0.107959, 0.115228, 0.130026, 0.149567, 0.169521, 0.190218, 0.209049, 0.219033, 0.27915, 0.30464, 0.335305, 0.371208, 0.42116, 0.434827");
-            values ( \
-              "-0.126655, -0.245063, -0.264149, -0.275674, -0.280574, -0.284075, -0.281363, -0.273697, -0.260843, -0.241642, -0.225603, -0.0965926, -0.0621711, -0.0360043, -0.018817, -0.00767268, -0.00634742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0626009");
-            index_3 ("0.0640267, 0.0845126, 0.0967246, 0.109014, 0.127466, 0.146423, 0.183515, 0.235236, 0.260332, 0.299528, 0.320132, 0.344266, 0.388633, 0.451353, 0.473855, 0.497818, 0.529769, 0.571618, 0.596989, 0.647507, 0.677101, 0.736288, 0.799844, 0.863401, 0.990514");
-            values ( \
-              "-0.210267, -0.241419, -0.274568, -0.292231, -0.303215, -0.305467, -0.30139, -0.290854, -0.284442, -0.27141, -0.261988, -0.246659, -0.199242, -0.124502, -0.103012, -0.0835651, -0.0625825, -0.0424987, -0.0334612, -0.020683, -0.0155957, -0.00874783, -0.00470371, -0.0025248, -0.000749551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.12748");
-            index_3 ("0.0712326, 0.119983, 0.133079, 0.145761, 0.16392, 0.218273, 0.29214, 0.367811, 0.440496, 0.518156, 0.577123, 0.613354, 0.67691, 0.7765, 0.840057, 0.880612, 0.942889, 1.00081, 1.05224, 1.1036, 1.16716, 1.22811, 1.32836, 1.39192, 1.45547, 1.58259, 1.90037");
-            values ( \
-              "-0.300157, -0.309424, -0.315148, -0.317135, -0.317882, -0.314305, -0.307395, -0.299417, -0.29037, -0.277755, -0.264098, -0.252098, -0.219659, -0.156019, -0.120609, -0.101389, -0.0768606, -0.0588922, -0.0462901, -0.0362066, -0.0266825, -0.0198394, -0.012161, -0.00895226, -0.0065159, -0.00348592, -0.00078273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0748728, 0.0799775, 0.0858658, 0.0901473, 0.098724, 0.10351, 0.108058, 0.112535, 0.117149, 0.119131, 0.133787, 0.138954, 0.145785, 0.15281, 0.165807, 0.179598");
-            values ( \
-              "-0.00033609, -0.0510769, -0.0879275, -0.105919, -0.132383, -0.141634, -0.146471, -0.146623, -0.137334, -0.129703, -0.0396142, -0.0228868, -0.0110752, -0.00575124, -0.00325799, -0.0024782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074131");
-            index_3 ("0.0771607, 0.0895061, 0.0954593, 0.10649, 0.113222, 0.116496, 0.120961, 0.12759, 0.134563, 0.140997, 0.157019, 0.163964, 0.168808, 0.17557, 0.183871, 0.18913, 0.205567, 0.218418, 0.225642");
-            values ( \
-              "-0.0445365, -0.130035, -0.156047, -0.187939, -0.197932, -0.200769, -0.202007, -0.198977, -0.184105, -0.153899, -0.0648575, -0.0419726, -0.031137, -0.0209361, -0.0133291, -0.0103591, -0.00530645, -0.0033611, -0.00281325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0150959");
-            index_3 ("0.0796097, 0.0872457, 0.0945987, 0.105438, 0.111085, 0.120541, 0.126552, 0.133514, 0.144442, 0.155348, 0.162385, 0.167396, 0.177419, 0.196027, 0.203425, 0.212863, 0.222277, 0.234723, 0.245093, 0.262788, 0.275044, 0.297894, 0.329989");
-            values ( \
-              "-0.117686, -0.127977, -0.174194, -0.215282, -0.228604, -0.243009, -0.247649, -0.249923, -0.248281, -0.239457, -0.229208, -0.218612, -0.184186, -0.108748, -0.0858436, -0.0629527, -0.045969, -0.0305402, -0.0218053, -0.0125795, -0.00869466, -0.0044057, -0.00216872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0307412");
-            index_3 ("0.0821354, 0.0949, 0.102227, 0.109658, 0.118661, 0.130601, 0.135401, 0.142916, 0.150239, 0.164887, 0.169778, 0.179561, 0.189732, 0.210429, 0.219229, 0.229287, 0.239188, 0.252389, 0.271692, 0.2903, 0.299366, 0.309958, 0.320704, 0.334958, 0.347115, 0.361481, 0.382063, 0.405333, 0.423483, 0.459782, 0.501991, 0.546863, 0.602573");
-            values ( \
-              "-0.170904, -0.188413, -0.221982, -0.245406, -0.26438, -0.277775, -0.280614, -0.283238, -0.284089, -0.282598, -0.281353, -0.278087, -0.27368, -0.260848, -0.253115, -0.241608, -0.22571, -0.196782, -0.150692, -0.112258, -0.0965852, -0.0806585, -0.066913, -0.0519805, -0.0418575, -0.0323271, -0.0222601, -0.0146913, -0.010621, -0.00548437, -0.00253855, -0.00112415, -0.000430299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0626009");
-            index_3 ("0.0847502, 0.104339, 0.118073, 0.129338, 0.143209, 0.155167, 0.166718, 0.185197, 0.203829, 0.256511, 0.280644, 0.319855, 0.340221, 0.361945, 0.380254, 0.396107, 0.454824, 0.483668, 0.503401, 0.535914, 0.562088, 0.583093, 0.610501, 0.649221, 0.69231, 0.725788, 0.789345, 0.852901, 0.916458, 1.04357");
-            values ( \
-              "-0.219203, -0.24029, -0.276984, -0.292425, -0.301608, -0.304681, -0.30543, -0.304152, -0.301429, -0.290649, -0.284413, -0.271436, -0.262111, -0.248648, -0.232593, -0.214761, -0.142188, -0.112191, -0.094732, -0.0709661, -0.0558489, -0.04598, -0.0355382, -0.0245647, -0.0163185, -0.011842, -0.0063574, -0.00341488, -0.00184326, -0.000562123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.12748");
-            index_3 ("0.0904435, 0.129005, 0.140185, 0.153305, 0.165867, 0.184092, 0.238379, 0.312245, 0.4606, 0.538265, 0.597223, 0.633458, 0.697015, 0.796607, 0.860163, 0.900711, 0.962977, 1.02091, 1.07235, 1.1237, 1.18726, 1.2482, 1.34845, 1.41201, 1.47556, 1.60268, 1.92046");
-            values ( \
-              "-0.294824, -0.300467, -0.309467, -0.315295, -0.317091, -0.31795, -0.314362, -0.307449, -0.290417, -0.277787, -0.264076, -0.252121, -0.219643, -0.156026, -0.1206, -0.101396, -0.0768703, -0.0588899, -0.0462859, -0.0362099, -0.0266789, -0.0198424, -0.012164, -0.00895002, -0.0065185, -0.00348834, -0.000780517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.11997, 0.125013, 0.131, 0.135744, 0.144207, 0.149012, 0.153573, 0.15806, 0.162681, 0.164622, 0.178729, 0.184894, 0.188768, 0.193934, 0.199931, 0.21633, 0.230086");
-            values ( \
-              "-0.00503617, -0.0469815, -0.0840613, -0.105231, -0.131682, -0.141144, -0.146124, -0.146345, -0.13715, -0.129772, -0.0421438, -0.0219748, -0.0145289, -0.00854335, -0.00527031, -0.00289266, -0.0021669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074131");
-            index_3 ("0.123326, 0.127071, 0.135023, 0.141114, 0.145731, 0.151563, 0.156268, 0.160003, 0.166667, 0.173328, 0.180892, 0.184504, 0.194789, 0.20271, 0.209739, 0.214465, 0.221043, 0.229807, 0.234741, 0.25066, 0.263507, 0.282184");
-            values ( \
-              "-0.0700178, -0.0726296, -0.129225, -0.155659, -0.170355, -0.186453, -0.194163, -0.198799, -0.201984, -0.198867, -0.182247, -0.166516, -0.103477, -0.0648305, -0.0417625, -0.0312293, -0.0212253, -0.0131468, -0.0103816, -0.00539654, -0.00340559, -0.00196893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0150959");
-            index_3 ("0.123218, 0.140039, 0.151268, 0.156866, 0.166258, 0.17246, 0.179294, 0.19022, 0.201127, 0.208184, 0.213175, 0.223158, 0.24192, 0.249191, 0.258439, 0.26797, 0.280679, 0.291302, 0.308272, 0.320031, 0.342877, 0.369327");
-            values ( \
-              "-0.0657899, -0.172624, -0.215493, -0.228578, -0.242988, -0.247738, -0.249918, -0.248296, -0.239461, -0.229187, -0.218627, -0.184368, -0.108393, -0.0859171, -0.0634065, -0.0461121, -0.0303613, -0.0214923, -0.0126744, -0.00888549, -0.00448978, -0.0026086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0307412");
-            index_3 ("0.12691, 0.136605, 0.140546, 0.148464, 0.155472, 0.16461, 0.176403, 0.18101, 0.18862, 0.196037, 0.210872, 0.215575, 0.224982, 0.235528, 0.256223, 0.265097, 0.275238, 0.284672, 0.29725, 0.319183, 0.337228, 0.348148, 0.365404, 0.380272, 0.393733, 0.407793, 0.428143, 0.450459, 0.467763, 0.502372, 0.544735, 0.589171, 0.643473");
-            values ( \
-              "-0.159606, -0.162899, -0.187905, -0.223953, -0.24574, -0.26475, -0.27785, -0.280607, -0.283256, -0.284134, -0.282569, -0.281377, -0.278236, -0.273694, -0.260851, -0.253051, -0.241405, -0.226306, -0.19903, -0.146878, -0.110196, -0.0918474, -0.0682003, -0.0524095, -0.0412269, -0.0320136, -0.0221368, -0.0148591, -0.0109055, -0.00581555, -0.00268116, -0.00119514, -0.00046645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0626009");
-            index_3 ("0.129561, 0.145217, 0.1508, 0.162849, 0.175203, 0.181444, 0.193864, 0.212595, 0.233132, 0.249686, 0.282794, 0.311452, 0.356604, 0.387733, 0.410453, 0.453323, 0.493788, 0.540203, 0.570352, 0.604566, 0.642591, 0.666734, 0.713634, 0.73971, 0.791861, 0.855418, 0.918975, 1.04609");
-            values ( \
-              "-0.208846, -0.21878, -0.242733, -0.27497, -0.292518, -0.297465, -0.303382, -0.305498, -0.303877, -0.301409, -0.295059, -0.288433, -0.274901, -0.261272, -0.246592, -0.20111, -0.150653, -0.102706, -0.0789465, -0.0576793, -0.0406174, -0.0322634, -0.020628, -0.0161713, -0.00967416, -0.00526851, -0.00275779, -0.000782412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.12748");
-            index_3 ("0.136743, 0.174991, 0.186197, 0.199314, 0.211849, 0.230054, 0.28436, 0.358225, 0.433896, 0.506579, 0.584254, 0.643192, 0.679436, 0.742993, 0.84257, 0.906126, 0.946684, 1.00897, 1.06688, 1.1183, 1.16966, 1.23321, 1.29416, 1.39441, 1.45797, 1.52152, 1.64864, 1.96642");
-            values ( \
-              "-0.299551, -0.300549, -0.309647, -0.315285, -0.317162, -0.317909, -0.314317, -0.307406, -0.299427, -0.29038, -0.277762, -0.264099, -0.252111, -0.219658, -0.156039, -0.120609, -0.101402, -0.0768698, -0.0588866, -0.0462858, -0.0362181, -0.0266755, -0.0198493, -0.0121702, -0.00894342, -0.00652467, -0.00349464, -0.000773582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.211008, 0.235272, 0.244543, 0.248887, 0.253857, 0.259675, 0.263468, 0.273506, 0.279424, 0.284216, 0.290991, 0.297753, 0.315228, 0.322611, 0.331748, 0.340416, 0.348928, 0.356539, 0.367019, 0.377299, 0.412642");
-            values ( \
-              "-0.00715197, -0.100786, -0.126224, -0.133772, -0.138687, -0.135536, -0.124322, -0.0601626, -0.0333935, -0.0199859, -0.0108299, -0.00520167, -0.00231708, -0.00289299, -0.00121233, -0.00185275, -0.000331705, -0.0012612, -1e-22, -0.0009438, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074131");
-            index_3 ("0.210469, 0.238044, 0.248893, 0.258153, 0.265075, 0.271884, 0.279505, 0.282638, 0.301091, 0.309294, 0.319013, 0.326648, 0.332533, 0.340438, 0.350977, 0.363809, 0.374154");
-            values ( \
-              "-0.0034174, -0.138762, -0.172463, -0.189924, -0.196278, -0.194975, -0.180268, -0.167992, -0.0666335, -0.040074, -0.0224719, -0.0147121, -0.0109717, -0.00771004, -0.00504147, -0.00316206, -0.00240968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0150959");
-            index_3 ("0.217979, 0.228533, 0.232248, 0.236956, 0.241236, 0.247639, 0.255041, 0.259148, 0.264625, 0.266959, 0.271627, 0.278165, 0.289207, 0.300247, 0.305712, 0.312256, 0.31406, 0.317667, 0.334481, 0.344386, 0.347434, 0.352507, 0.358304, 0.367329, 0.371416, 0.377981, 0.385712, 0.396736, 0.401621, 0.411392, 0.430932, 0.454131, 0.477659, 0.500769, 0.530368");
-            values ( \
-              "-0.0730475, -0.103397, -0.127449, -0.153502, -0.172546, -0.196701, -0.216131, -0.225343, -0.234748, -0.237816, -0.242496, -0.246203, -0.24628, -0.23845, -0.231137, -0.218303, -0.213529, -0.202037, -0.132468, -0.0974006, -0.0883134, -0.0748951, -0.0618315, -0.0457386, -0.0399449, -0.0321773, -0.0249942, -0.0175183, -0.0150447, -0.0111276, -0.00613855, -0.00310492, -0.00157053, -0.00082368, -0.000383372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0307412");
-            index_3 ("0.220777, 0.233249, 0.237743, 0.245846, 0.256571, 0.262493, 0.269014, 0.275233, 0.285509, 0.295085, 0.310505, 0.323097, 0.334667, 0.354732, 0.364297, 0.374501, 0.383583, 0.395693, 0.418239, 0.436289, 0.447249, 0.464494, 0.479318, 0.492705, 0.506748, 0.527063, 0.549356, 0.566645, 0.601223, 0.643484, 0.68797, 0.742626");
-            values ( \
-              "-0.114318, -0.141487, -0.169085, -0.206743, -0.240399, -0.254438, -0.265709, -0.273077, -0.280117, -0.282592, -0.281842, -0.278326, -0.273523, -0.261347, -0.252996, -0.24127, -0.226793, -0.200789, -0.147169, -0.110414, -0.0919613, -0.0682797, -0.0524952, -0.0413342, -0.032093, -0.0221897, -0.0148876, -0.0109215, -0.00581806, -0.00268253, -0.00119254, -0.000461906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0626009");
-            index_3 ("0.226815, 0.246302, 0.260961, 0.274035, 0.286541, 0.299531, 0.311697, 0.328921, 0.348839, 0.386698, 0.425656, 0.468799, 0.484252, 0.504855, 0.518761, 0.537302, 0.593164, 0.637216, 0.66455, 0.693706, 0.736527, 0.761864, 0.812317, 0.841855, 0.90093, 0.964487, 1.02804, 1.15516");
-            values ( \
-              "-0.202419, -0.217997, -0.263998, -0.287358, -0.298563, -0.303525, -0.304833, -0.304096, -0.301355, -0.29401, -0.284434, -0.269782, -0.262634, -0.250213, -0.238917, -0.219388, -0.150002, -0.104385, -0.0822228, -0.0631504, -0.0424967, -0.0334702, -0.0206963, -0.0156081, -0.00876465, -0.00470765, -0.00252919, -0.000751875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.12748");
-            index_3 ("0.235241, 0.284223, 0.297351, 0.310858, 0.328018, 0.375669, 0.427732, 0.566098, 0.674413, 0.727083, 0.778525, 0.842081, 0.941752, 1.04575, 1.10783, 1.16589, 1.21746, 1.26877, 1.33233, 1.39314, 1.49329, 1.55685, 1.74752, 1.78661");
-            values ( \
-              "-0.291284, -0.306268, -0.313474, -0.316572, -0.317563, -0.314883, -0.310191, -0.295481, -0.279439, -0.268178, -0.252119, -0.219753, -0.156007, -0.101428, -0.0769571, -0.058922, -0.0462766, -0.0362098, -0.0266743, -0.0198575, -0.0121801, -0.00894858, -0.00349795, -0.00316244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.394131, 0.407598, 0.410536, 0.417941, 0.427915, 0.442224, 0.448127, 0.453657, 0.45913, 0.464595, 0.4734, 0.47847, 0.483803, 0.487274, 0.491286, 0.498008, 0.503351, 0.513656, 0.531775, 0.550188, 0.552539, 0.611177");
-            values ( \
-              "-0.00706384, -0.0280203, -0.0343111, -0.0546737, -0.0767207, -0.106286, -0.115715, -0.121168, -0.118665, -0.101676, -0.0542849, -0.0337636, -0.0197628, -0.0139933, -0.00967482, -0.00570036, -0.00430383, -0.00295646, -0.00167968, -0.00094942, -0.000499683, -0.000154488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074131");
-            index_3 ("0.394073, 0.413933, 0.424848, 0.437436, 0.448743, 0.455572, 0.46337, 0.470882, 0.478525, 0.482255, 0.486233, 0.498019, 0.505755, 0.511607, 0.514747, 0.520375, 0.527878, 0.533073, 0.53951, 0.55441, 0.55942, 0.573138, 0.590995");
-            values ( \
-              "-0.00406229, -0.0529984, -0.0881849, -0.12438, -0.15358, -0.1667, -0.1762, -0.178887, -0.170249, -0.15979, -0.141617, -0.0796316, -0.0508117, -0.0358127, -0.0298241, -0.0216506, -0.0143933, -0.011114, -0.00826988, -0.00421291, -0.00347071, -0.00225696, -0.00130545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0150959");
-            index_3 ("0.400481, 0.412685, 0.444547, 0.451825, 0.462641, 0.470345, 0.477394, 0.489143, 0.500892, 0.512625, 0.5142, 0.520501, 0.538792, 0.551778, 0.561038, 0.567831, 0.579155, 0.593619, 0.602078, 0.61733, 0.637666, 0.661115, 0.674327");
-            values ( \
-              "-0.0311769, -0.0579569, -0.167984, -0.188978, -0.211963, -0.222706, -0.229101, -0.233727, -0.229482, -0.213709, -0.210442, -0.191918, -0.120113, -0.0798505, -0.0590333, -0.047221, -0.0325264, -0.0201597, -0.0154179, -0.00960771, -0.00514576, -0.002567, -0.00183904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0307412");
-            index_3 ("0.409486, 0.430481, 0.450058, 0.460236, 0.474057, 0.4899, 0.495102, 0.505372, 0.5154, 0.535645, 0.550104, 0.569895, 0.57916, 0.594812, 0.622601, 0.645019, 0.658614, 0.673115, 0.684932, 0.698764, 0.717206, 0.73152, 0.746754, 0.767067, 0.807691, 0.84984, 0.953108");
-            values ( \
-              "-0.086462, -0.12934, -0.202311, -0.229492, -0.253529, -0.268357, -0.270716, -0.273408, -0.273498, -0.268964, -0.261741, -0.247069, -0.236111, -0.206833, -0.141689, -0.0985072, -0.0780934, -0.0604973, -0.049005, -0.0382132, -0.0272993, -0.0210578, -0.0159977, -0.0110636, -0.0052045, -0.0023851, -0.000380083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0626009");
-            index_3 ("0.41866, 0.449321, 0.459128, 0.476887, 0.48524, 0.498849, 0.512179, 0.531332, 0.582718, 0.627182, 0.667809, 0.708708, 0.726302, 0.742426, 0.802105, 0.843552, 0.881828, 0.908218, 0.929689, 0.956898, 0.995415, 1.03799, 1.07103, 1.13459, 1.19815, 1.2617, 1.38882");
-            values ( \
-              "-0.158, -0.209554, -0.239169, -0.272571, -0.282248, -0.291996, -0.296641, -0.298362, -0.294036, -0.284375, -0.27088, -0.248738, -0.233481, -0.215446, -0.14166, -0.100302, -0.0714607, -0.0560909, -0.0459568, -0.0355703, -0.024602, -0.0164025, -0.0119472, -0.00639565, -0.00343305, -0.00184517, -0.000559276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.12748");
-            index_3 ("0.425277, 0.462662, 0.472346, 0.488594, 0.500647, 0.511268, 0.530169, 0.58351, 0.658797, 0.734463, 0.807122, 0.88509, 0.942707, 0.979969, 1.04353, 1.1429, 1.20646, 1.24704, 1.30938, 1.36713, 1.41838, 1.46971, 1.53327, 1.59413, 1.69433, 1.75789, 1.94856, 2.01398");
-            values ( \
-              "-0.223215, -0.254093, -0.274, -0.294909, -0.303737, -0.308014, -0.311887, -0.313171, -0.307344, -0.299392, -0.290358, -0.277726, -0.264471, -0.252196, -0.21979, -0.156222, -0.120747, -0.101494, -0.0769035, -0.0589356, -0.0463476, -0.0362712, -0.0266964, -0.0198792, -0.0121879, -0.00893797, -0.00350166, -0.00293762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.780816, 0.795571, 0.801071, 0.816784, 0.835633, 0.844311, 0.852026, 0.859133, 0.865993, 0.872834, 0.873843, 0.883009, 0.888201, 0.893867, 0.897394, 0.90175, 0.908336, 0.912658, 0.918697, 0.926417, 0.953455, 0.97016, 0.978685");
-            values ( \
-              "-0.0107256, -0.0175974, -0.0231183, -0.0463862, -0.070458, -0.080777, -0.0890932, -0.0949494, -0.0966747, -0.0859237, -0.0833189, -0.0474355, -0.0308274, -0.0184181, -0.013362, -0.00918802, -0.00568778, -0.004461, -0.0034825, -0.00273296, -0.00114712, -0.000645995, -0.000561803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074131");
-            index_3 ("0.78073, 0.795534, 0.805544, 0.826228, 0.850095, 0.860899, 0.870552, 0.879544, 0.888389, 0.897217, 0.911148, 0.921317, 0.9259, 0.932136, 0.94045, 0.951953, 0.960648, 0.973933, 1.00083, 1.00212");
-            values ( \
-              "-0.0122476, -0.0238165, -0.0373903, -0.0749664, -0.115213, -0.131921, -0.144517, -0.152063, -0.150267, -0.129143, -0.0701826, -0.0401495, -0.031053, -0.0220011, -0.014195, -0.00814566, -0.00570726, -0.00330426, -0.00119379, -0.00117272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0150959");
-            index_3 ("0.790725, 0.806603, 0.817791, 0.855414, 0.870154, 0.875706, 0.886261, 0.896191, 0.899428, 0.905879, 0.912203, 0.924907, 0.92712, 0.929649, 0.934328, 0.951143, 0.959287, 0.96503, 0.974461, 0.982077, 0.988532, 0.996713, 1.00851, 1.01442, 1.02316, 1.03481, 1.0581, 1.08081, 1.104, 1.1658");
-            values ( \
-              "-0.043728, -0.0468493, -0.0682164, -0.14769, -0.176382, -0.185847, -0.200547, -0.209749, -0.211673, -0.213963, -0.213568, -0.203121, -0.199436, -0.194085, -0.180969, -0.120207, -0.0944314, -0.0789939, -0.0583268, -0.0453973, -0.0366952, -0.0279847, -0.018962, -0.0156857, -0.0118958, -0.00825446, -0.00398371, -0.0019978, -0.00102151, -0.000255031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0307412");
-            index_3 ("0.795935, 0.818435, 0.869585, 0.882073, 0.895381, 0.905486, 0.925694, 0.935995, 0.948221, 0.969302, 0.979805, 0.990646, 1.00139, 1.04817, 1.06337, 1.08995, 1.12077, 1.14096, 1.16236, 1.17895, 1.21214, 1.23493, 1.25326");
-            values ( \
-              "-0.0608171, -0.0756069, -0.195689, -0.220194, -0.239602, -0.249796, -0.261189, -0.262942, -0.262299, -0.254657, -0.247057, -0.235728, -0.218914, -0.116516, -0.0905559, -0.0568469, -0.0326051, -0.0224994, -0.0152559, -0.0112797, -0.00610749, -0.00393427, -0.00311428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0626009");
-            index_3 ("0.8093, 0.845348, 0.86464, 0.880257, 0.896435, 0.911386, 0.923338, 0.943566, 0.962295, 0.990131, 1.00083, 1.02023, 1.04026, 1.0836, 1.09838, 1.11808, 1.13822, 1.16506, 1.21595, 1.25517, 1.29209, 1.321, 1.34695, 1.37301, 1.41061, 1.4499, 1.48005, 1.54036, 1.60392, 1.61011");
-            values ( \
-              "-0.111685, -0.146022, -0.195805, -0.231036, -0.258191, -0.274378, -0.283069, -0.290898, -0.293297, -0.291779, -0.29029, -0.286628, -0.282, -0.268052, -0.261529, -0.250363, -0.234174, -0.203962, -0.141642, -0.103121, -0.0744403, -0.0570882, -0.044825, -0.0350272, -0.0244206, -0.0167789, -0.0125497, -0.00693989, -0.00371084, -0.00354374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.12748");
-            index_3 ("0.821743, 0.867699, 0.896855, 0.92188, 0.943457, 0.967239, 0.996906, 1.03061, 1.07141, 1.13497, 1.24603, 1.26851, 1.30701, 1.3419, 1.38442, 1.41114, 1.44676, 1.54951, 1.61307, 1.66264, 1.7293, 1.78256, 1.82658, 1.87951, 1.94307, 2.00818, 2.04786, 2.11141, 2.17497, 2.23853, 2.36564, 2.49275, 2.61987");
-            values ( \
-              "-0.176337, -0.20969, -0.268381, -0.294099, -0.304611, -0.309672, -0.310396, -0.308518, -0.30523, -0.298988, -0.286185, -0.283063, -0.276137, -0.268368, -0.255723, -0.244871, -0.226042, -0.160415, -0.124224, -0.100518, -0.0746327, -0.0583414, -0.047456, -0.0368643, -0.0271122, -0.0197805, -0.0163087, -0.0119421, -0.0087438, -0.00639878, -0.00342901, -0.00184298, -0.000998053" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0060154, 0.00602852, 0.00604301, 0.00605547, 0.00606414, 0.0060693", \
-            "0.00699198, 0.00700218, 0.00701622, 0.00703092, 0.00704273, 0.00705051", \
-            "0.00758468, 0.0075891, 0.00759697, 0.00760795, 0.00761914, 0.00762775", \
-            "0.00795388, 0.0079555, 0.00795836, 0.0079635, 0.00797068, 0.0079777", \
-            "0.00815902, 0.00815982, 0.00816128, 0.0081636, 0.0081669, 0.00817095", \
-            "0.00828785, 0.00828844, 0.00828947, 0.00829106, 0.00829313, 0.00829557" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.00842692, 0.00845857, 0.00849704, 0.00853971, 0.00857239, 0.00859302", \
-            "0.00933268, 0.00935719, 0.00939544, 0.00944198, 0.00948444, 0.00951478", \
-            "0.0100708, 0.0100842, 0.0101088, 0.0101461, 0.0101891, 0.0102261", \
-            "0.010914, 0.0109117, 0.0109133, 0.010924, 0.0109439, 0.0109687", \
-            "0.0102155, 0.010458, 0.0107183, 0.0109409, 0.011099, 0.011201", \
-            "0.00735175, 0.00741186, 0.00775008, 0.0085107, 0.00927724, 0.0104519" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0932573, 0.110134, 0.141105, 0.201516, 0.323656, 0.572335", \
-            "0.0968922, 0.113762, 0.144778, 0.205172, 0.32732, 0.575994", \
-            "0.104925, 0.121751, 0.152749, 0.213212, 0.33537, 0.584065", \
-            "0.119276, 0.136422, 0.167719, 0.228287, 0.350549, 0.599304", \
-            "0.134755, 0.152582, 0.184576, 0.245653, 0.368366, 0.617001", \
-            "0.141588, 0.161335, 0.194957, 0.256569, 0.379161, 0.628573" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0391197, 0.0589685, 0.10087, 0.18959, 0.373205, 0.74798", \
-            "0.0391129, 0.0589877, 0.100806, 0.189592, 0.373194, 0.747971", \
-            "0.0391887, 0.0589895, 0.100895, 0.1896, 0.373155, 0.747974", \
-            "0.0408307, 0.060302, 0.101654, 0.189828, 0.373192, 0.747991", \
-            "0.0446412, 0.0636275, 0.103916, 0.191425, 0.373811, 0.747978", \
-            "0.0531602, 0.0712683, 0.109404, 0.194008, 0.375107, 0.748796" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0817513, 0.0950615, 0.118915, 0.164318, 0.255209, 0.439852", \
-            "0.0878248, 0.101131, 0.125013, 0.170442, 0.261348, 0.445999", \
-            "0.102507, 0.115837, 0.139713, 0.185283, 0.276237, 0.460918", \
-            "0.133429, 0.147092, 0.171284, 0.216968, 0.307995, 0.492738", \
-            "0.182557, 0.197719, 0.223413, 0.270156, 0.361508, 0.546239", \
-            "0.258515, 0.276901, 0.305561, 0.354079, 0.446111, 0.631536" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0285914, 0.0421033, 0.0697203, 0.127594, 0.248837, 0.496748", \
-            "0.0286212, 0.0421173, 0.0697188, 0.127595, 0.248838, 0.49675", \
-            "0.0287017, 0.0421849, 0.0697519, 0.1276, 0.248842, 0.496755", \
-            "0.03044, 0.0434329, 0.0704403, 0.12782, 0.248536, 0.496902", \
-            "0.0363726, 0.0486467, 0.0744596, 0.130403, 0.249583, 0.496948", \
-            "0.0468961, 0.0592762, 0.0827837, 0.135235, 0.251747, 0.49817" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0682077, 0.0718574, 0.0751129, 0.0794894, 0.0866681, 0.0929689, 0.0989031, 0.10476, 0.110762, 0.114964, 0.129321, 0.137559, 0.14592, 0.152007, 0.159137, 0.167897, 0.173121, 0.1832, 0.190493, 0.204003, 0.21295");
-            values ( \
-              "0.0185335, 0.0533282, 0.0673216, 0.0821198, 0.0994254, 0.10868, 0.112151, 0.111865, 0.106391, 0.0977544, 0.055148, 0.0380156, 0.0250473, 0.0181224, 0.0121437, 0.00717085, 0.00523481, 0.0027182, 0.00172607, 0.000911998, 0.000693178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074131");
-            index_3 ("0.0692746, 0.0749094, 0.0786175, 0.0832884, 0.0893867, 0.0953038, 0.104265, 0.112938, 0.121598, 0.125924, 0.130318, 0.137117, 0.153521, 0.163712, 0.172764, 0.180891, 0.186331, 0.193428, 0.199295, 0.206677, 0.214094, 0.220572, 0.234039, 0.244185, 0.269242, 0.285904, 0.291609");
-            values ( \
-              "0.0146749, 0.0800861, 0.0974374, 0.115162, 0.132654, 0.144096, 0.153442, 0.15486, 0.150996, 0.146815, 0.140896, 0.1275, 0.0865894, 0.0649466, 0.0491529, 0.037728, 0.0314137, 0.0245715, 0.0199769, 0.015275, 0.0115973, 0.00912152, 0.00573046, 0.00409286, 0.00184733, 0.0011024, 0.00100948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0150959");
-            index_3 ("0.069628, 0.0784863, 0.0901967, 0.0939967, 0.101597, 0.109252, 0.122862, 0.132643, 0.137761, 0.147995, 0.16007, 0.168439, 0.182679, 0.214798, 0.237409, 0.250641, 0.266882, 0.279398, 0.289529, 0.302412, 0.319152, 0.341583, 0.358634, 0.38372, 0.41644, 0.427071");
-            values ( \
-              "0.0141255, 0.108743, 0.15513, 0.165114, 0.179641, 0.187923, 0.192785, 0.190734, 0.188556, 0.182331, 0.171714, 0.162244, 0.141496, 0.0904014, 0.0622469, 0.0493743, 0.0365227, 0.0285877, 0.0234174, 0.0181777, 0.0130481, 0.00839493, 0.00594957, 0.0035359, 0.00174354, 0.00147112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0307412");
-            index_3 ("0.0697475, 0.0834777, 0.0938386, 0.102008, 0.108107, 0.120304, 0.133778, 0.146413, 0.159034, 0.181235, 0.1932, 0.213057, 0.236467, 0.257525, 0.311109, 0.34822, 0.376505, 0.398677, 0.428272, 0.451972, 0.471035, 0.494204, 0.537658, 0.547081, 0.584771, 0.635024, 0.705917, 0.788054");
-            values ( \
-              "0.0212024, 0.140164, 0.17823, 0.197315, 0.206706, 0.21736, 0.220793, 0.219756, 0.216472, 0.207911, 0.202114, 0.191468, 0.17674, 0.160722, 0.114542, 0.0856634, 0.0669877, 0.054374, 0.0406198, 0.0320619, 0.0263619, 0.0205175, 0.0127314, 0.0114598, 0.00746343, 0.00423786, 0.00167025, 0.000677418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0626009");
-            index_3 ("0.0809476, 0.107813, 0.119873, 0.132406, 0.148838, 0.164263, 0.179723, 0.228504, 0.280006, 0.346503, 0.39574, 0.447178, 0.567426, 0.647366, 0.680413, 0.730213, 0.786561, 0.812887, 0.882319, 0.945162, 1.02365, 1.10579, 1.18793, 1.3522, 1.51648");
-            values ( \
-              "0.201977, 0.217454, 0.230984, 0.237338, 0.239584, 0.238512, 0.236148, 0.225661, 0.21207, 0.192633, 0.175878, 0.155331, 0.103438, 0.0733718, 0.0627493, 0.0492728, 0.0367518, 0.0319463, 0.0218966, 0.0151601, 0.00955353, 0.0059194, 0.00348779, 0.00123022, 0.000405972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.12748");
-            index_3 ("0.0809485, 0.102666, 0.115024, 0.128402, 0.139795, 0.154986, 0.178165, 0.206199, 0.338081, 0.418932, 0.55178, 0.64122, 0.705678, 0.76815, 0.844807, 1.06151, 1.14365, 1.22579, 1.2874, 1.3411, 1.41119, 1.49333, 1.61707, 1.69267, 1.77481, 1.84832, 1.9315, 2.09577, 2.26005, 2.42432, 2.5886, 2.83501");
-            values ( \
-              "0.209373, 0.213481, 0.233384, 0.244323, 0.248532, 0.250676, 0.250515, 0.248017, 0.232957, 0.222046, 0.203103, 0.189416, 0.178371, 0.16659, 0.150464, 0.103122, 0.0868744, 0.07208, 0.0623184, 0.0546559, 0.0458644, 0.0367906, 0.0261397, 0.0212085, 0.0166071, 0.0133837, 0.0104566, 0.00636988, 0.00385085, 0.00231026, 0.00137243, 0.000749235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0839005, 0.0922235, 0.0954719, 0.104241, 0.110538, 0.116471, 0.122327, 0.128328, 0.132533, 0.146892, 0.15517, 0.163251, 0.176774, 0.190591, 0.207842, 0.215395");
-            values ( \
-              "0.00554377, 0.0658254, 0.0771103, 0.0995576, 0.10864, 0.11225, 0.111809, 0.106408, 0.0977519, 0.0551396, 0.0379475, 0.0253536, 0.0120949, 0.00526873, 0.00174154, 0.00156653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074131");
-            index_3 ("0.086804, 0.0925041, 0.0969207, 0.102911, 0.108635, 0.112875, 0.121865, 0.130516, 0.139244, 0.143556, 0.148377, 0.157689, 0.171011, 0.177401, 0.190873, 0.196795, 0.204691, 0.2127, 0.223125, 0.228742, 0.239783, 0.253761, 0.264074, 0.275958, 0.300517");
-            values ( \
-              "0.013442, 0.0802481, 0.100435, 0.121691, 0.136474, 0.14415, 0.153442, 0.154912, 0.150909, 0.14676, 0.140133, 0.120565, 0.0869522, 0.072846, 0.0483549, 0.0399096, 0.0305977, 0.0231736, 0.0159415, 0.0129407, 0.00859867, 0.00531276, 0.00381082, 0.00259668, 0.00131584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0150959");
-            index_3 ("0.0822374, 0.111783, 0.123909, 0.133295, 0.141254, 0.1554, 0.162346, 0.182312, 0.247362, 0.272607, 0.300088, 0.333824, 0.364366, 0.404374");
-            values ( \
-              "0.018806, 0.165324, 0.186226, 0.191949, 0.192438, 0.188975, 0.184721, 0.166946, 0.0711047, 0.0456809, 0.0269374, 0.0138511, 0.00758175, 0.00372244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0307412");
-            index_3 ("0.0814581, 0.109987, 0.120214, 0.125703, 0.136681, 0.15138, 0.164035, 0.176632, 0.202819, 0.230634, 0.261084, 0.276879, 0.345121, 0.366404, 0.39098, 0.410478, 0.430167, 0.454617, 0.47551, 0.499435, 0.531335, 0.557635, 0.597223, 0.644903, 0.712369, 0.794507, 0.876644");
-            values ( \
-              "0.00192819, 0.174089, 0.198322, 0.206688, 0.216564, 0.220849, 0.219714, 0.216524, 0.206058, 0.19153, 0.171697, 0.159246, 0.101297, 0.0853074, 0.0688692, 0.0575364, 0.0475541, 0.0372516, 0.0301346, 0.0235006, 0.0166393, 0.0124607, 0.00797393, 0.00458775, 0.00198625, 0.000718291, 0.000230241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0626009");
-            index_3 ("0.0907222, 0.108245, 0.11861, 0.138578, 0.149845, 0.16636, 0.181742, 0.19716, 0.24594, 0.287991, 0.362654, 0.413163, 0.462701, 0.584664, 0.662571, 0.70488, 0.749717, 0.830405, 0.887362, 0.947362, 0.988027, 1.04596, 1.1232, 1.20534, 1.36961, 1.53389");
-            values ( \
-              "0.0814888, 0.175894, 0.205244, 0.231938, 0.237394, 0.239547, 0.238553, 0.236113, 0.22563, 0.214726, 0.193015, 0.175862, 0.156161, 0.103482, 0.0740925, 0.0607175, 0.0487266, 0.031958, 0.0234288, 0.0165987, 0.0131188, 0.00933442, 0.00590474, 0.0035368, 0.00127102, 0.000443727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.12748");
-            index_3 ("0.101928, 0.145602, 0.158076, 0.174708, 0.195728, 0.223771, 0.35563, 0.436493, 0.56876, 0.658761, 0.72323, 0.785701, 0.862358, 1.07907, 1.1612, 1.24334, 1.30496, 1.35866, 1.43027, 1.51241, 1.55252, 1.63273, 1.70901, 1.79115, 1.89413, 1.95075, 2.03289, 2.11503, 2.19716, 2.36144, 2.60785, 2.85426");
-            values ( \
-              "0.234994, 0.24403, 0.248644, 0.250843, 0.250443, 0.248054, 0.23292, 0.222011, 0.203151, 0.189381, 0.178415, 0.166548, 0.150507, 0.103167, 0.0868264, 0.0721251, 0.0623656, 0.0547039, 0.0456318, 0.0366896, 0.0329367, 0.0263319, 0.0212319, 0.0167182, 0.0123977, 0.0104561, 0.00821473, 0.00638943, 0.00501854, 0.00305736, 0.00141726, 0.00069356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.122623, 0.128941, 0.13379, 0.14302, 0.149341, 0.155298, 0.161165, 0.167175, 0.171355, 0.185696, 0.193376, 0.204912, 0.216529, 0.23044, 0.249115, 0.25803");
-            values ( \
-              "0.00259055, 0.0555102, 0.0746348, 0.0989408, 0.108242, 0.111994, 0.111635, 0.106293, 0.0977566, 0.0551831, 0.0389673, 0.0218687, 0.0115037, 0.00492218, 0.00158017, 0.00141171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074131");
-            index_3 ("0.122453, 0.135607, 0.14168, 0.149941, 0.155296, 0.160687, 0.169365, 0.178476, 0.187249, 0.195008, 0.213184, 0.230458, 0.238388, 0.247451, 0.257017, 0.264003, 0.277296, 0.299723, 0.323983");
-            values ( \
-              "0.00217705, 0.0993695, 0.120348, 0.141288, 0.148813, 0.152841, 0.154922, 0.15046, 0.139994, 0.124033, 0.0794302, 0.0472353, 0.0364461, 0.0267163, 0.0190802, 0.0147954, 0.00905113, 0.00423339, 0.00240261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0150959");
-            index_3 ("0.128517, 0.13705, 0.141415, 0.150395, 0.160238, 0.165667, 0.176526, 0.186756, 0.194183, 0.208184, 0.219029, 0.236589, 0.270789, 0.292354, 0.315635, 0.328691, 0.342925, 0.36243, 0.378934, 0.398258, 0.41747, 0.443676, 0.474842, 0.480912");
-            values ( \
-              "0.06696, 0.118155, 0.13711, 0.164841, 0.182362, 0.187577, 0.192409, 0.191361, 0.188386, 0.179487, 0.169163, 0.145549, 0.0910227, 0.0638682, 0.0421693, 0.032914, 0.0248765, 0.016952, 0.0122304, 0.00834338, 0.00566307, 0.00326921, 0.00167966, 0.00158836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0307412");
-            index_3 ("0.134046, 0.150393, 0.162231, 0.169617, 0.179465, 0.190317, 0.207421, 0.215586, 0.228303, 0.241757, 0.269611, 0.283183, 0.300034, 0.335067, 0.380011, 0.40497, 0.432602, 0.449484, 0.467028, 0.485033, 0.508609, 0.527437, 0.550662, 0.582637, 0.616922, 0.643015, 0.693124, 0.763899, 0.846037");
-            values ( \
-              "0.160664, 0.178199, 0.203777, 0.212335, 0.218422, 0.220764, 0.218771, 0.216573, 0.211838, 0.206051, 0.191647, 0.183421, 0.171758, 0.142919, 0.104415, 0.0856432, 0.0671452, 0.0574495, 0.0484965, 0.0406412, 0.0319298, 0.0263018, 0.0206398, 0.0145026, 0.00991069, 0.00741486, 0.00406942, 0.00173689, 0.000572757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0626009");
-            index_3 ("0.134009, 0.147299, 0.155841, 0.164357, 0.177583, 0.188957, 0.204797, 0.220524, 0.236278, 0.285059, 0.33656, 0.400565, 0.447471, 0.480346, 0.517355, 0.60956, 0.67911, 0.727709, 0.758657, 0.812693, 0.869656, 0.937887, 1.0002, 1.04002, 1.11407, 1.1962, 1.27834, 1.44262, 1.52475");
-            values ( \
-              "0.164493, 0.174927, 0.200551, 0.217076, 0.231822, 0.237186, 0.239599, 0.238468, 0.236206, 0.22572, 0.212121, 0.193455, 0.177685, 0.164925, 0.149591, 0.109286, 0.0819764, 0.0655067, 0.0564396, 0.0430659, 0.0319035, 0.0218905, 0.0153833, 0.0121424, 0.00783229, 0.0048201, 0.00285663, 0.00101516, 0.000669989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.12748");
-            index_3 ("0.14269, 0.219913, 0.234813, 0.262117, 0.294514, 0.395449, 0.475585, 0.606759, 0.697822, 0.762314, 0.824784, 1.11815, 1.20029, 1.28242, 1.34404, 1.39775, 1.46935, 1.55149, 1.67181, 1.74809, 1.83023, 1.90491, 1.98984, 2.15411, 2.31839, 2.48266, 2.64694, 2.89335");
-            values ( \
-              "0.247064, 0.250879, 0.250432, 0.248066, 0.244683, 0.232874, 0.222056, 0.203356, 0.189432, 0.178366, 0.166597, 0.103117, 0.0868762, 0.0720757, 0.0623161, 0.0546548, 0.0456814, 0.0366404, 0.0262824, 0.0212808, 0.016669, 0.0133893, 0.0104082, 0.00634176, 0.00383566, 0.00230319, 0.00137045, 0.000739952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.199941, 0.206444, 0.213993, 0.220844, 0.224453, 0.23131, 0.237611, 0.243744, 0.248929, 0.252001, 0.256719, 0.265314, 0.278022, 0.289997, 0.295222, 0.306537, 0.308318, 0.311878, 0.322447, 0.335796, 0.342646, 0.350769, 0.361878, 0.377202, 0.401125");
-            values ( \
-              "0.0041333, 0.0362503, 0.0624808, 0.0818512, 0.0899858, 0.101226, 0.106586, 0.107523, 0.104588, 0.100092, 0.0893006, 0.0634334, 0.0357859, 0.0193968, 0.0145258, 0.00734435, 0.00714107, 0.00525072, 0.00254361, 0.00110724, 0.00129952, 0.000464767, 0.000771028, 2.78074e-05, 0.000420926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074131");
-            index_3 ("0.200807, 0.212549, 0.222584, 0.227649, 0.233482, 0.242947, 0.248883, 0.25521, 0.260834, 0.265342, 0.270141, 0.279584, 0.292879, 0.305382, 0.314833, 0.3246, 0.332543, 0.33996, 0.347016, 0.360517, 0.372852, 0.382576, 0.407476, 0.424158, 0.427515");
-            values ( \
-              "0.00379554, 0.0700293, 0.108032, 0.122442, 0.134976, 0.147112, 0.150046, 0.149574, 0.147732, 0.144341, 0.138486, 0.119668, 0.0866548, 0.060764, 0.0452538, 0.032779, 0.0249461, 0.019205, 0.0148529, 0.00904832, 0.00592552, 0.00430125, 0.00194353, 0.00114037, 0.00108266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0150959");
-            index_3 ("0.202556, 0.214571, 0.222319, 0.22829, 0.23979, 0.246216, 0.248792, 0.256905, 0.261471, 0.265306, 0.270419, 0.277179, 0.290699, 0.300084, 0.308016, 0.319758, 0.353968, 0.375161, 0.386817, 0.39914, 0.41273, 0.42585, 0.445023, 0.461796, 0.481971, 0.501236, 0.527595, 0.55894, 0.601274, 0.662404");
-            values ( \
-              "0.00281061, 0.0877111, 0.121881, 0.142864, 0.170577, 0.178979, 0.181634, 0.186821, 0.188464, 0.188982, 0.188672, 0.186785, 0.179097, 0.170822, 0.16187, 0.145289, 0.0910111, 0.0643022, 0.0524754, 0.0419243, 0.0323687, 0.0250055, 0.0171545, 0.0123235, 0.0082663, 0.00558487, 0.00322525, 0.00163351, 0.000628408, 0.000126288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0307412");
-            index_3 ("0.203487, 0.220737, 0.228254, 0.23216, 0.239972, 0.247153, 0.25429, 0.262326, 0.267926, 0.273306, 0.284067, 0.298723, 0.320959, 0.332955, 0.348948, 0.36052, 0.37595, 0.39783, 0.450361, 0.474229, 0.488777, 0.517871, 0.537909, 0.548244, 0.566963, 0.591298, 0.61159, 0.634463, 0.666695, 0.680544, 0.708243, 0.751902, 0.813007, 0.8895, 0.971638");
-            values ( \
-              "0.0059124, 0.122449, 0.153424, 0.166335, 0.186874, 0.19979, 0.207823, 0.214423, 0.21703, 0.218366, 0.218687, 0.21588, 0.207704, 0.201951, 0.19359, 0.186876, 0.17683, 0.16019, 0.114918, 0.0958999, 0.0851651, 0.0659525, 0.0547149, 0.0494935, 0.0411228, 0.0321372, 0.0260774, 0.0204738, 0.0143975, 0.01236, 0.00904701, 0.00545342, 0.00260797, 0.000998664, 0.000347427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0626009");
-            index_3 ("0.215786, 0.234426, 0.246816, 0.262372, 0.271896, 0.281176, 0.299152, 0.319421, 0.343187, 0.368215, 0.409755, 0.483477, 0.529389, 0.547696, 0.604974, 0.71126, 0.784914, 0.827159, 0.871504, 0.93063, 0.948465, 0.977766, 1.01125, 1.07823, 1.11056, 1.15769, 1.22053, 1.30266, 1.3848, 1.46694, 1.63121");
-            values ( \
-              "0.162337, 0.181004, 0.210037, 0.228796, 0.234584, 0.237385, 0.238403, 0.235968, 0.231364, 0.225632, 0.214824, 0.193452, 0.178062, 0.171232, 0.14759, 0.101684, 0.0740404, 0.0606881, 0.0488187, 0.0359698, 0.0327008, 0.0279206, 0.023188, 0.0158061, 0.0131111, 0.00995254, 0.00683715, 0.00415157, 0.00250708, 0.00151438, 0.000549704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.12748");
-            index_3 ("0.215734, 0.24857, 0.262874, 0.280403, 0.297983, 0.317793, 0.343427, 0.374987, 0.508873, 0.690422, 0.780858, 0.845328, 0.907804, 0.984466, 1.20117, 1.28331, 1.36544, 1.44496, 1.55236, 1.6345, 1.67461, 1.75482, 1.8311, 1.91324, 2.01622, 2.07284, 2.15497, 2.23711, 2.31925, 2.48352, 2.72994, 2.97635");
-            values ( \
-              "0.152852, 0.219049, 0.236676, 0.246915, 0.250178, 0.250203, 0.248227, 0.244914, 0.22887, 0.203209, 0.189377, 0.178415, 0.166548, 0.150509, 0.103171, 0.0868257, 0.0721297, 0.0597554, 0.0456287, 0.0366968, 0.0329314, 0.0263377, 0.0212255, 0.0167245, 0.0123896, 0.0104645, 0.00820621, 0.00639803, 0.00500982, 0.00304845, 0.00142646, 0.000684068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.35433, 0.359475, 0.36301, 0.365927, 0.384562, 0.388102, 0.395184, 0.398125, 0.402046, 0.405814, 0.41005, 0.416759, 0.423471, 0.432745, 0.435074, 0.441159, 0.445722, 0.448803, 0.452863, 0.45983, 0.463126, 0.469719, 0.477074, 0.486708, 0.494877, 0.501165, 0.509585");
-            values ( \
-              "0.0106716, 0.0157197, 0.0207536, 0.0264647, 0.067595, 0.0745435, 0.0864447, 0.0902845, 0.0943375, 0.0969961, 0.0984618, 0.0969132, 0.0871487, 0.0618792, 0.0564223, 0.0436145, 0.0353713, 0.0306793, 0.0251279, 0.0175593, 0.0147838, 0.0101501, 0.00657811, 0.00361005, 0.00228219, 0.00157616, 0.00113615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074131");
-            index_3 ("0.353353, 0.367614, 0.398187, 0.408816, 0.418526, 0.428013, 0.437485, 0.440758, 0.466245, 0.482084, 0.494056, 0.507458, 0.528238, 0.556845, 0.561266");
-            values ( \
-              "0.0144149, 0.0364632, 0.117331, 0.133847, 0.140727, 0.141119, 0.134348, 0.129776, 0.0742027, 0.0463453, 0.0313828, 0.0197793, 0.00930853, 0.00343266, 0.0032522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0150959");
-            index_3 ("0.353312, 0.40704, 0.413997, 0.42791, 0.432767, 0.438643, 0.444474, 0.456138, 0.459713, 0.466862, 0.475895, 0.489129, 0.515959, 0.522093, 0.530272, 0.545431, 0.555512, 0.56321, 0.572007, 0.584395, 0.590996, 0.606055, 0.622653, 0.63675, 0.657152, 0.679831, 0.708766, 0.729594");
-            values ( \
-              "0.00253563, 0.157653, 0.168414, 0.180337, 0.18182, 0.182281, 0.181563, 0.176941, 0.174747, 0.169165, 0.160171, 0.142018, 0.099615, 0.0908013, 0.0798524, 0.0619786, 0.051927, 0.0452611, 0.0385271, 0.0303961, 0.0267275, 0.0199317, 0.0143752, 0.010903, 0.007262, 0.00455653, 0.0024645, 0.00168419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0307412");
-            index_3 ("0.353602, 0.412618, 0.425055, 0.440184, 0.45835, 0.479196, 0.492726, 0.520788, 0.550161, 0.639205, 0.683633, 0.72022, 0.744768, 0.766072, 0.79064, 0.823162, 0.84826, 0.886047, 0.93643, 1.00263, 1.01042");
-            values ( \
-              "0.00292973, 0.184699, 0.201912, 0.211744, 0.213765, 0.209159, 0.20406, 0.190473, 0.172038, 0.0986023, 0.067603, 0.0478276, 0.0374289, 0.0301485, 0.0233443, 0.0164314, 0.01247, 0.00815089, 0.00450642, 0.0020111, 0.00192744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0626009");
-            index_3 ("0.374686, 0.392289, 0.41003, 0.417456, 0.427356, 0.438929, 0.457194, 0.472519, 0.487335, 0.511399, 0.536475, 0.579403, 0.605199, 0.66283, 0.692062, 0.727875, 0.773386, 0.861415, 0.899651, 0.937376, 0.985043, 1.01929, 1.05708, 1.12142, 1.15057, 1.20754, 1.25768, 1.27975, 1.32389, 1.40603, 1.48817, 1.5703, 1.65244, 1.81672");
-            values ( \
-              "0.120549, 0.135618, 0.18865, 0.203288, 0.21714, 0.227089, 0.233965, 0.234888, 0.233684, 0.229875, 0.22449, 0.214076, 0.207123, 0.189984, 0.180126, 0.166659, 0.147635, 0.109285, 0.0937946, 0.0796435, 0.0639067, 0.0541434, 0.0447819, 0.0319467, 0.0272892, 0.0197983, 0.0148618, 0.013057, 0.0100972, 0.00613887, 0.00373785, 0.00224188, 0.00136734, 0.000501319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.12748");
-            index_3 ("0.384573, 0.421315, 0.438937, 0.456762, 0.47171, 0.485884, 0.510858, 0.579749, 0.616374, 0.663735, 0.823281, 0.918966, 1.01397, 1.07683, 1.15305, 1.36971, 1.45184, 1.53398, 1.61355, 1.72105, 1.80319, 1.84319, 1.92318, 1.99953, 2.08167, 2.18486, 2.24161, 2.32375, 2.40588, 2.48802, 2.6523, 2.89871, 3.14512");
-            values ( \
-              "0.192122, 0.216154, 0.236001, 0.244873, 0.247249, 0.24797, 0.246682, 0.240494, 0.236571, 0.230712, 0.208358, 0.194187, 0.178398, 0.166465, 0.150502, 0.10318, 0.0868352, 0.0721375, 0.0597511, 0.0456126, 0.0366888, 0.0329282, 0.026358, 0.0212312, 0.0167352, 0.0123837, 0.0104623, 0.00819755, 0.00639821, 0.00500292, 0.00304268, 0.00142957, 0.000679718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.673157, 0.687565, 0.691145, 0.721941, 0.732421, 0.741421, 0.749601, 0.757481, 0.765339, 0.780622, 0.786052, 0.793866, 0.806466, 0.81148, 0.8185, 0.827712, 0.834279, 0.840163, 0.85324, 0.864774");
-            values ( \
-              "0.00458864, 0.0136228, 0.0167936, 0.0565305, 0.0684509, 0.0773276, 0.0825274, 0.0843016, 0.0785366, 0.0471084, 0.0376728, 0.0268131, 0.0145613, 0.0111815, 0.0075671, 0.00447643, 0.0030409, 0.00219645, 0.00118113, 0.000912679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074131");
-            index_3 ("0.660911, 0.6858, 0.699835, 0.747399, 0.758819, 0.769524, 0.780159, 0.790785, 0.81329, 0.831907, 0.845756, 0.860121, 0.879632, 0.907072");
-            values ( \
-              "0.00490055, 0.0148667, 0.0336274, 0.111225, 0.122323, 0.126949, 0.12427, 0.111121, 0.0659977, 0.0381007, 0.024164, 0.0146654, 0.00738301, 0.00312968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0150959");
-            index_3 ("0.696421, 0.719032, 0.731021, 0.73902, 0.747019, 0.753098, 0.758013, 0.76047, 0.764876, 0.768773, 0.770722, 0.774804, 0.778886, 0.782968, 0.787051, 0.791077, 0.795103, 0.799129, 0.803155, 0.807181, 0.811206, 0.815232, 0.819911, 0.821217, 0.823829, 0.827612, 0.834545, 0.8387, 0.851538, 0.858808, 0.87003, 0.872544, 0.877572, 0.884011, 0.891861, 0.895786, 0.901446, 0.905531, 0.909616, 0.916989, 0.919447, 0.925812, 0.935676, 0.939175, 0.942674, 0.952313, 0.959693, 0.96386, 0.972195, 0.981242");
-            values ( \
-              "0.0689045, 0.0770255, 0.102417, 0.118425, 0.133879, 0.144217, 0.151272, 0.154479, 0.159692, 0.16373, 0.165547, 0.166509, 0.167192, 0.167597, 0.167723, 0.167575, 0.167156, 0.166467, 0.165506, 0.163577, 0.161434, 0.159077, 0.156068, 0.154915, 0.151951, 0.147278, 0.138003, 0.131993, 0.112227, 0.101391, 0.0851478, 0.0819443, 0.0757683, 0.0683082, 0.0598959, 0.0559711, 0.0506602, 0.046934, 0.0434435, 0.0380423, 0.0363517, 0.0323003, 0.0264646, 0.0246058, 0.0228967, 0.0190639, 0.0165672, 0.015301, 0.0130202, 0.0109034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0307412");
-            index_3 ("0.685225, 0.710399, 0.750607, 0.768166, 0.781543, 0.805037, 0.808994, 0.816906, 0.832731, 0.842946, 0.864529, 0.884947, 0.911199, 0.973503, 1.00215, 1.05118, 1.08096, 1.11071, 1.14639, 1.17884, 1.21587, 1.24394, 1.28845, 1.33878, 1.35635");
-            values ( \
-              "0.024076, 0.0639176, 0.15599, 0.184222, 0.19665, 0.205428, 0.205442, 0.205095, 0.201775, 0.198332, 0.188839, 0.177445, 0.158408, 0.105809, 0.0842393, 0.0542493, 0.0405085, 0.0299707, 0.0206225, 0.0145595, 0.00959767, 0.00697527, 0.00420034, 0.00229905, 0.00208289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0626009");
-            index_3 ("0.684675, 0.724483, 0.750039, 0.767411, 0.779754, 0.803191, 0.825169, 0.830228, 0.859834, 0.8798, 0.918732, 0.987084, 1.03486, 1.07384, 1.22519, 1.29161, 1.33323, 1.38716, 1.46221, 1.51398, 1.56921, 1.62222, 1.69933, 1.78147, 1.86361, 1.94574, 2.11002");
-            values ( \
-              "0.0135397, 0.0997387, 0.163806, 0.195991, 0.21079, 0.225854, 0.229582, 0.22969, 0.227034, 0.223421, 0.214484, 0.195378, 0.180068, 0.165505, 0.101103, 0.0762322, 0.0627477, 0.0481903, 0.0325792, 0.0245534, 0.0179573, 0.0132266, 0.00840108, 0.00510705, 0.00309337, 0.00186486, 0.000675492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.12748");
-            index_3 ("0.713625, 0.748125, 0.776881, 0.794681, 0.812334, 0.828373, 0.854831, 0.883484, 0.922884, 1.02096, 1.26379, 1.31734, 1.40676, 1.4973, 1.67215, 1.82433, 1.94008, 2.0073, 2.07349, 2.18718, 2.33242, 2.41456, 2.53336, 2.68279, 2.84706, 3.01134, 3.17561, 3.50416");
-            values ( \
-              "0.150694, 0.163358, 0.215657, 0.231648, 0.239951, 0.243318, 0.244659, 0.24317, 0.239611, 0.228241, 0.194197, 0.185594, 0.169368, 0.150561, 0.111857, 0.0814237, 0.0622104, 0.0529197, 0.0445134, 0.0328213, 0.0218169, 0.0173735, 0.0121281, 0.00774606, 0.00469213, 0.00281976, 0.00167843, 0.000564963" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.00693464, 0.0069509, 0.00696858, 0.00698304, 0.00699294, 0.00699881", \
-            "0.00895007, 0.0089609, 0.00897522, 0.0089897, 0.00900084, 0.00900791", \
-            "0.0103124, 0.0103154, 0.0103221, 0.0103314, 0.0103405, 0.0103473", \
-            "0.0110938, 0.0110937, 0.0110946, 0.0110974, 0.011102, 0.0111068", \
-            "0.0115706, 0.0115696, 0.0115684, 0.0115672, 0.0115672, 0.0115683", \
-            "0.0117941, 0.0117932, 0.0117915, 0.0117891, 0.0117866, 0.011785" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0108084, 0.0108219, 0.0108425, 0.0108654, 0.0108838, 0.0108958", \
-            "0.0114056, 0.0114005, 0.0114008, 0.0114085, 0.0114193, 0.0114289", \
-            "0.0109546, 0.0109432, 0.0109276, 0.0109154, 0.0109108, 0.0109109", \
-            "0.010741, 0.0107428, 0.0107373, 0.010725, 0.0107121, 0.0107044", \
-            "0.0077017, 0.00815693, 0.00884482, 0.00959225, 0.0101833, 0.0105652", \
-            "0.00652022, 0.0065265, 0.00654729, 0.00670469, 0.00751362, 0.00871571" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0633391, 0.0663088, 0.0691694, 0.0713873, 0.0757359, 0.0840281, 0.0872783, 0.0910746, 0.0932663, 0.0977051, 0.102281, 0.104186, 0.106364, 0.113305, 0.117839, 0.121236, 0.123733, 0.127476, 0.132465, 0.136929, 0.142043, 0.152389, 0.161212, 0.188624, 0.204511");
-            values ( \
-              "-0.0296474, -0.0588082, -0.0792793, -0.091844, -0.109256, -0.133821, -0.140339, -0.145757, -0.147631, -0.147733, -0.138536, -0.131375, -0.119044, -0.0694493, -0.0446973, -0.0313398, -0.0240793, -0.0163067, -0.00993929, -0.00681933, -0.00479722, -0.00282451, -0.00200376, -0.000634801, -0.000505871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074131");
-            index_3 ("0.0632381, 0.0687345, 0.0725531, 0.0776038, 0.0859336, 0.0932104, 0.0999969, 0.106591, 0.113184, 0.120705, 0.124365, 0.142031, 0.151932, 0.16126, 0.168936, 0.173584, 0.181455, 0.19195, 0.203669");
-            values ( \
-              "-0.00833586, -0.0890046, -0.120683, -0.144438, -0.174909, -0.191986, -0.201079, -0.203884, -0.200564, -0.183821, -0.167756, -0.067645, -0.0367174, -0.0208572, -0.0133874, -0.0104671, -0.00710163, -0.0044169, -0.0028338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0150959");
-            index_3 ("0.0689965, 0.0814452, 0.0906994, 0.0974079, 0.106509, 0.113168, 0.119606, 0.130442, 0.141286, 0.148872, 0.153289, 0.162123, 0.175437, 0.193096, 0.207595, 0.216234, 0.227753, 0.236645, 0.250603, 0.269214, 0.29321, 0.307015");
-            values ( \
-              "-0.150812, -0.183112, -0.216439, -0.231972, -0.245335, -0.250049, -0.251717, -0.24982, -0.240761, -0.229371, -0.21982, -0.190331, -0.133828, -0.0762638, -0.0466516, -0.0347768, -0.0234951, -0.0175043, -0.0111977, -0.00626044, -0.00307183, -0.00241997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0307412");
-            index_3 ("0.0691868, 0.0909079, 0.0965318, 0.105696, 0.115163, 0.121298, 0.129096, 0.136717, 0.151958, 0.164566, 0.176026, 0.19599, 0.205816, 0.216296, 0.232898, 0.261788, 0.287328, 0.298887, 0.314299, 0.329073, 0.351052, 0.378955, 0.395858, 0.429664, 0.474875, 0.489956");
-            values ( \
-              "-0.153001, -0.234544, -0.250434, -0.268197, -0.278602, -0.282338, -0.284967, -0.28562, -0.283836, -0.27987, -0.274764, -0.262272, -0.253433, -0.241081, -0.210635, -0.142078, -0.0933774, -0.0764607, -0.058149, -0.0446226, -0.0299984, -0.018131, -0.0134178, -0.00732127, -0.00327183, -0.00267369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0626009");
-            index_3 ("0.0732086, 0.095999, 0.105512, 0.116094, 0.129408, 0.141495, 0.153245, 0.190202, 0.241335, 0.266727, 0.305779, 0.327111, 0.350617, 0.39503, 0.458695, 0.488931, 0.536826, 0.565489, 0.607657, 0.659315, 0.689671, 0.750382, 0.81424, 0.865164");
-            values ( \
-              "-0.233731, -0.261017, -0.281894, -0.295099, -0.303267, -0.306183, -0.306778, -0.302649, -0.292044, -0.285369, -0.271793, -0.261622, -0.246242, -0.198511, -0.12274, -0.094925, -0.0618247, -0.047537, -0.0321295, -0.019809, -0.0149349, -0.00840627, -0.00463393, -0.0029863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.12748");
-            index_3 ("0.0768998, 0.108106, 0.127926, 0.140585, 0.152572, 0.17122, 0.224814, 0.298379, 0.373605, 0.441811, 0.532419, 0.596038, 0.619328, 0.664364, 0.807635, 0.879315, 0.967719, 1.03034, 1.11532, 1.17918, 1.25113, 1.35905, 1.42291, 1.61448, 1.64992");
-            values ( \
-              "-0.293011, -0.29311, -0.31216, -0.316833, -0.318518, -0.319062, -0.315492, -0.308595, -0.300538, -0.291739, -0.275859, -0.259118, -0.250762, -0.228998, -0.140198, -0.103969, -0.0702756, -0.0527717, -0.0355173, -0.0262402, -0.0187441, -0.0112804, -0.00832486, -0.0034438, -0.00315043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0819855, 0.0862451, 0.089134, 0.092825, 0.0988452, 0.104036, 0.108782, 0.113295, 0.117738, 0.122312, 0.124206, 0.12637, 0.134909, 0.137819, 0.141145, 0.143759, 0.14767, 0.152884, 0.157154, 0.160378, 0.166826, 0.175046, 0.211021, 0.211508");
-            values ( \
-              "-0.00411038, -0.0575476, -0.0786986, -0.0976427, -0.11943, -0.133418, -0.14285, -0.147514, -0.14782, -0.138537, -0.131416, -0.119209, -0.0599067, -0.0449286, -0.0317562, -0.0241009, -0.0160387, -0.0095748, -0.00671713, -0.00533198, -0.00362958, -0.00251811, -0.000591103, -0.00058778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074131");
-            index_3 ("0.0851828, 0.0925092, 0.0954526, 0.101832, 0.105923, 0.113201, 0.115905, 0.119991, 0.126587, 0.133183, 0.13979, 0.140703, 0.144354, 0.154445, 0.158718, 0.162034, 0.167473, 0.171938, 0.176218, 0.18127, 0.188953, 0.193608, 0.201493, 0.212007, 0.22566, 0.253641, 0.318377");
-            values ( \
-              "-0.0626654, -0.118302, -0.134267, -0.161072, -0.174515, -0.191656, -0.196064, -0.200794, -0.204037, -0.200326, -0.18646, -0.183801, -0.167793, -0.105285, -0.0824399, -0.0676258, -0.048415, -0.0366963, -0.0282502, -0.0208408, -0.013374, -0.0104549, -0.00709138, -0.00441045, -0.00257027, -0.000881345, -0.000219052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0150959");
-            index_3 ("0.0877891, 0.093421, 0.0973078, 0.104856, 0.110699, 0.117448, 0.126023, 0.134254, 0.139645, 0.150473, 0.161322, 0.169043, 0.173331, 0.181907, 0.195843, 0.210014, 0.217188, 0.226754, 0.241041, 0.253106, 0.261129, 0.27414, 0.291488, 0.315696, 0.33962, 0.341917");
-            values ( \
-              "-0.136355, -0.138221, -0.162098, -0.196673, -0.216185, -0.231995, -0.244703, -0.250491, -0.251664, -0.249828, -0.240729, -0.229122, -0.219814, -0.191359, -0.132353, -0.0845142, -0.066564, -0.0480313, -0.029584, -0.0196918, -0.0151763, -0.0100642, -0.00589609, -0.00288678, -0.0014508, -0.00141955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0307412");
-            index_3 ("0.0896299, 0.0997943, 0.110727, 0.116401, 0.125348, 0.137076, 0.149171, 0.156584, 0.171409, 0.185257, 0.195893, 0.215869, 0.225525, 0.235824, 0.244187, 0.253286, 0.285938, 0.306811, 0.326858, 0.340943, 0.353001, 0.367578, 0.388545, 0.413336, 0.432858, 0.471902, 0.515251, 0.613785");
-            values ( \
-              "-0.173277, -0.188011, -0.234473, -0.250419, -0.267924, -0.28013, -0.285016, -0.285603, -0.283933, -0.279555, -0.274749, -0.262269, -0.25359, -0.241546, -0.228244, -0.209439, -0.132672, -0.0939342, -0.0662447, -0.0514887, -0.0414578, -0.0318427, -0.0217528, -0.0140064, -0.00992563, -0.00494793, -0.00231592, -0.000484968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0626009");
-            index_3 ("0.0936981, 0.116096, 0.125767, 0.138062, 0.149183, 0.161434, 0.173339, 0.210296, 0.261404, 0.286821, 0.325872, 0.347209, 0.370711, 0.415124, 0.478808, 0.50903, 0.556903, 0.585613, 0.627801, 0.679443, 0.709779, 0.77045, 0.834309, 0.88522");
-            values ( \
-              "-0.242346, -0.26107, -0.282201, -0.296736, -0.303163, -0.306161, -0.306793, -0.302658, -0.292041, -0.285378, -0.271786, -0.26162, -0.246239, -0.198502, -0.122716, -0.094918, -0.0618343, -0.0475255, -0.0321172, -0.0198057, -0.0149356, -0.00841113, -0.00463681, -0.00298895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.12748");
-            index_3 ("0.0975323, 0.13609, 0.148087, 0.160744, 0.172732, 0.191376, 0.244974, 0.318539, 0.393765, 0.461971, 0.552578, 0.616199, 0.639488, 0.684524, 0.827799, 0.899481, 0.987892, 1.05051, 1.1355, 1.19936, 1.27132, 1.37924, 1.4431, 1.63468, 1.66645");
-            values ( \
-              "-0.292172, -0.303177, -0.312213, -0.316806, -0.318555, -0.319031, -0.315461, -0.308565, -0.30051, -0.291713, -0.275837, -0.259137, -0.250741, -0.229012, -0.140183, -0.103954, -0.0702617, -0.0527597, -0.0355065, -0.0262481, -0.0187347, -0.0112716, -0.00833262, -0.00343589, -0.00317438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.12798, 0.131397, 0.134419, 0.138211, 0.141821, 0.144311, 0.150967, 0.15429, 0.157658, 0.158817, 0.161051, 0.16326, 0.167703, 0.16984, 0.172119, 0.178482, 0.184405, 0.187865, 0.191445, 0.194201, 0.199713, 0.203417, 0.209487, 0.216799, 0.224197, 0.251606, 0.264427");
-            values ( \
-              "-0.0220558, -0.0548011, -0.0758673, -0.0958183, -0.109962, -0.118199, -0.136102, -0.142193, -0.146211, -0.147203, -0.147913, -0.147182, -0.138752, -0.130784, -0.11767, -0.0719951, -0.0403747, -0.0280327, -0.0192603, -0.0145296, -0.0085421, -0.00637945, -0.0042794, -0.00295614, -0.00220138, -0.000719218, -0.000606277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074131");
-            index_3 ("0.12795, 0.133922, 0.137776, 0.143017, 0.151425, 0.157056, 0.162074, 0.165535, 0.172141, 0.178747, 0.186261, 0.189866, 0.207608, 0.217512, 0.226841, 0.234524, 0.23918, 0.247067, 0.257583, 0.271185");
-            values ( \
-              "-0.00458851, -0.084972, -0.116732, -0.142344, -0.17356, -0.187949, -0.196615, -0.200264, -0.203932, -0.200006, -0.183736, -0.168028, -0.0676076, -0.0366902, -0.0208435, -0.0133758, -0.010456, -0.00709179, -0.00441031, -0.00257666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0150959");
-            index_3 ("0.133595, 0.140747, 0.150328, 0.155757, 0.162956, 0.171876, 0.179117, 0.185174, 0.196013, 0.206862, 0.214418, 0.218866, 0.227762, 0.240931, 0.258898, 0.273341, 0.281583, 0.292572, 0.306942, 0.316828, 0.3366, 0.367814");
-            values ( \
-              "-0.142981, -0.15057, -0.195692, -0.214458, -0.231547, -0.244893, -0.250037, -0.251612, -0.249724, -0.24075, -0.2294, -0.219801, -0.190058, -0.134133, -0.0756811, -0.0463867, -0.0350555, -0.0241265, -0.0150465, -0.0109839, -0.0059277, -0.00303987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0307412");
-            index_3 ("0.13438, 0.141289, 0.150141, 0.157056, 0.162076, 0.171293, 0.182773, 0.194761, 0.202284, 0.217331, 0.230521, 0.241595, 0.261565, 0.271308, 0.2817, 0.289546, 0.298801, 0.326658, 0.353129, 0.366035, 0.383242, 0.3992, 0.410669, 0.433607, 0.441577, 0.461043, 0.48329, 0.527783, 0.570567, 0.675169");
-            values ( \
-              "-0.158069, -0.162683, -0.210334, -0.236225, -0.250215, -0.268154, -0.280054, -0.28496, -0.285579, -0.283877, -0.279727, -0.274739, -0.262282, -0.25351, -0.241303, -0.228859, -0.209853, -0.143585, -0.0929839, -0.0743562, -0.0547058, -0.0410878, -0.0334013, -0.0219918, -0.0190734, -0.0135157, -0.00912603, -0.00410822, -0.00195138, -0.000387367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0626009");
-            index_3 ("0.139032, 0.161822, 0.171333, 0.181917, 0.19454, 0.206982, 0.219069, 0.256026, 0.308547, 0.33255, 0.371624, 0.392615, 0.416421, 0.460828, 0.495527, 0.546806, 0.602065, 0.648624, 0.68268, 0.712539, 0.78617, 0.850028, 0.913886, 0.922244");
-            values ( \
-              "-0.233687, -0.261035, -0.281899, -0.295056, -0.303036, -0.306107, -0.306824, -0.302687, -0.291666, -0.285407, -0.271747, -0.261794, -0.246248, -0.198519, -0.154898, -0.101651, -0.0621587, -0.0405209, -0.0294581, -0.0222906, -0.0112156, -0.00615583, -0.00339701, -0.00327686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.12748");
-            index_3 ("0.143085, 0.181847, 0.19385, 0.206503, 0.218488, 0.237031, 0.29073, 0.364295, 0.439521, 0.507729, 0.598335, 0.661957, 0.685245, 0.730281, 0.873569, 0.945254, 1.03367, 1.0963, 1.18131, 1.24516, 1.31714, 1.42508, 1.48894, 1.68051, 1.71476");
-            values ( \
-              "-0.289018, -0.3032, -0.312218, -0.316813, -0.318553, -0.319033, -0.315461, -0.308564, -0.300508, -0.291711, -0.275832, -0.259141, -0.250729, -0.229013, -0.140156, -0.10393, -0.0702408, -0.0527377, -0.0354856, -0.0262608, -0.0187151, -0.0112533, -0.00834859, -0.00341906, -0.00314087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.220306, 0.232974, 0.242111, 0.247918, 0.253072, 0.257878, 0.262532, 0.267267, 0.269731, 0.27948, 0.285064, 0.291288, 0.294813, 0.300611, 0.305249, 0.314623, 0.331225, 0.35603");
-            values ( \
-              "-0.00992381, -0.0705385, -0.104138, -0.121579, -0.132544, -0.139763, -0.142002, -0.134704, -0.126003, -0.0627509, -0.0362168, -0.0189908, -0.013323, -0.00780675, -0.00553748, -0.00324211, -0.00167601, -0.00121889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074131");
-            index_3 ("0.223477, 0.238009, 0.248857, 0.258706, 0.264696, 0.271488, 0.278223, 0.285739, 0.288872, 0.307273, 0.317167, 0.326419, 0.334058, 0.338663, 0.34644, 0.35681, 0.370448, 0.376637");
-            values ( \
-              "-0.0316156, -0.112527, -0.157053, -0.183952, -0.193997, -0.199023, -0.197542, -0.182358, -0.169832, -0.0675207, -0.0367826, -0.0210031, -0.0135028, -0.0105682, -0.00718215, -0.00446635, -0.0025852, -0.0022072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0150959");
-            index_3 ("0.22662, 0.245448, 0.255807, 0.262132, 0.269389, 0.273781, 0.282563, 0.289392, 0.295747, 0.306681, 0.31344, 0.318687, 0.329181, 0.344442, 0.354259, 0.366835, 0.375915, 0.388651, 0.400487, 0.41577, 0.42803, 0.451994, 0.478615");
-            values ( \
-              "-0.0634655, -0.165845, -0.205172, -0.222606, -0.236381, -0.241957, -0.248324, -0.249477, -0.248101, -0.24, -0.230299, -0.219425, -0.183412, -0.120183, -0.0879115, -0.0576901, -0.0423493, -0.0274743, -0.0184525, -0.0113132, -0.00773014, -0.00372382, -0.00218084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0307412");
-            index_3 ("0.229882, 0.238697, 0.246019, 0.25398, 0.261237, 0.268769, 0.278596, 0.282397, 0.29, 0.302057, 0.317641, 0.329381, 0.341431, 0.362057, 0.371245, 0.381745, 0.388759, 0.398111, 0.427485, 0.449904, 0.46372, 0.478457, 0.490272, 0.504294, 0.522991, 0.537922, 0.554183, 0.575863, 0.619224, 0.662764, 0.761581");
-            values ( \
-              "-0.112709, -0.138581, -0.181428, -0.216117, -0.240482, -0.258495, -0.273232, -0.276822, -0.281624, -0.284614, -0.283281, -0.279803, -0.274674, -0.261642, -0.253389, -0.241011, -0.229986, -0.211078, -0.141445, -0.0979845, -0.0772408, -0.0594805, -0.0481406, -0.037398, -0.0266245, -0.0203471, -0.0152375, -0.0103701, -0.00474729, -0.00221431, -0.000465012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0626009");
-            index_3 ("0.233545, 0.245679, 0.260867, 0.274786, 0.281432, 0.293751, 0.306622, 0.318799, 0.336632, 0.355782, 0.385107, 0.410267, 0.432311, 0.471419, 0.491923, 0.513794, 0.530278, 0.546872, 0.608444, 0.636202, 0.655414, 0.688153, 0.715285, 0.737996, 0.765854, 0.805602, 0.850743, 0.885982, 0.94984, 1.0137, 1.07756, 1.20527");
-            values ( \
-              "-0.184181, -0.187554, -0.25058, -0.282591, -0.291387, -0.301066, -0.305284, -0.306304, -0.305294, -0.302561, -0.296984, -0.291235, -0.28534, -0.271792, -0.262037, -0.248095, -0.233642, -0.215172, -0.139158, -0.11061, -0.0937823, -0.0701286, -0.0547577, -0.0444348, -0.0342856, -0.0236001, -0.0155252, -0.0111863, -0.00613525, -0.00339105, -0.0019006, -0.000638365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.12748");
-            index_3 ("0.242445, 0.281471, 0.293607, 0.306364, 0.318309, 0.336122, 0.387082, 0.437569, 0.577563, 0.617191, 0.681049, 0.732587, 0.785042, 0.8489, 0.950749, 1.01461, 1.05541, 1.11829, 1.17817, 1.23241, 1.28513, 1.34899, 1.4154, 1.51937, 1.58323, 1.77481, 2.0941");
-            values ( \
-              "-0.288738, -0.299504, -0.310304, -0.315839, -0.318021, -0.318836, -0.31575, -0.311147, -0.295829, -0.290303, -0.279391, -0.267692, -0.250744, -0.218052, -0.153362, -0.118383, -0.0994568, -0.0753293, -0.0573432, -0.0446336, -0.0348502, -0.0258044, -0.0188662, -0.0115563, -0.00857863, -0.00350729, -0.000847152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.407691, 0.413674, 0.419667, 0.428281, 0.447049, 0.451994, 0.457758, 0.463163, 0.468527, 0.473885, 0.483463, 0.486861, 0.490433, 0.49248, 0.496188, 0.501133, 0.505618, 0.508625, 0.514639, 0.523256, 0.532631, 0.551679");
-            values ( \
-              "-0.0156707, -0.0220602, -0.0332974, -0.056445, -0.0985319, -0.108935, -0.118628, -0.123752, -0.120922, -0.103691, -0.0512253, -0.0372998, -0.026307, -0.0215025, -0.0150361, -0.00960671, -0.00670331, -0.00545646, -0.00380829, -0.00257016, -0.00179306, -0.000723645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074131");
-            index_3 ("0.406763, 0.431905, 0.453128, 0.45699, 0.465234, 0.472853, 0.480253, 0.488055, 0.491924, 0.507293, 0.512577, 0.520578, 0.524943, 0.529948, 0.537503, 0.544288, 0.555824, 0.565219, 0.588659, 0.598217");
-            values ( \
-              "-0.0022035, -0.0809626, -0.144025, -0.153811, -0.170491, -0.179493, -0.181884, -0.172143, -0.160245, -0.0802996, -0.0592886, -0.0367701, -0.0283503, -0.021103, -0.0137035, -0.0095993, -0.00532066, -0.00359392, -0.00149087, -0.00105435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0150959");
-            index_3 ("0.415002, 0.424861, 0.445832, 0.461883, 0.4724, 0.480091, 0.486933, 0.498516, 0.510097, 0.521715, 0.523394, 0.530111, 0.550172, 0.558486, 0.57267, 0.579869, 0.589759, 0.602946, 0.610211, 0.622512, 0.638914, 0.663135, 0.670819");
-            values ( \
-              "-0.0439279, -0.0663388, -0.142373, -0.19424, -0.216248, -0.226825, -0.232814, -0.236675, -0.23183, -0.215609, -0.212073, -0.191742, -0.112918, -0.0876848, -0.0547812, -0.0429625, -0.0307267, -0.0196142, -0.0154579, -0.0104189, -0.0062199, -0.00297461, -0.00248918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0307412");
-            index_3 ("0.424308, 0.445558, 0.460732, 0.470232, 0.484135, 0.495385, 0.50487, 0.521923, 0.543454, 0.559902, 0.576015, 0.588317, 0.609326, 0.63426, 0.656531, 0.676086, 0.690403, 0.717336, 0.738067, 0.762171, 0.781093, 0.818938, 0.861945, 0.873983");
-            values ( \
-              "-0.107138, -0.15355, -0.209477, -0.234257, -0.258269, -0.268921, -0.273964, -0.276157, -0.271258, -0.26308, -0.251336, -0.23688, -0.194966, -0.136407, -0.0944674, -0.0671757, -0.0519647, -0.0319058, -0.0218492, -0.0142018, -0.0101444, -0.00514194, -0.00240195, -0.00216853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0626009");
-            index_3 ("0.429349, 0.483284, 0.51036, 0.522229, 0.541171, 0.58084, 0.618485, 0.672876, 0.701862, 0.720503, 0.757786, 0.850983, 0.88711, 0.94639, 1.01459, 1.09464, 1.15711");
-            values ( \
-              "-0.147899, -0.272068, -0.295854, -0.299334, -0.300339, -0.29738, -0.29, -0.272906, -0.258858, -0.246268, -0.207428, -0.101507, -0.0738104, -0.0428455, -0.0225942, -0.0106936, -0.00704758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.12748");
-            index_3 ("0.4406, 0.483162, 0.497012, 0.509983, 0.521556, 0.540687, 0.574832, 0.601123, 0.639914, 0.78095, 0.821335, 0.885193, 0.936744, 0.989189, 1.05305, 1.15476, 1.21862, 1.25945, 1.32238, 1.38213, 1.43622, 1.48891, 1.55276, 1.61907, 1.72299, 1.78685, 1.97843, 2.29772");
-            values ( \
-              "-0.259135, -0.280056, -0.297136, -0.306503, -0.310667, -0.313998, -0.315135, -0.314318, -0.31121, -0.295916, -0.290282, -0.279382, -0.267693, -0.250766, -0.218116, -0.153487, -0.118487, -0.0995186, -0.0753484, -0.0573916, -0.0446956, -0.0348877, -0.0258336, -0.0188828, -0.0115616, -0.00858757, -0.00350091, -0.000849972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.795611, 0.799559, 0.806717, 0.80738, 0.81136, 0.814932, 0.817142, 0.821563, 0.827167, 0.833946, 0.850487, 0.859027, 0.86659, 0.873542, 0.880248, 0.887272, 0.887945, 0.889291, 0.895866, 0.897913, 0.899252, 0.90188, 0.905425, 0.90674, 0.908265, 0.910299, 0.914244, 0.920417, 0.923451, 0.924827, 0.927579, 0.933084, 0.942339, 0.951729, 0.961426, 0.969705, 0.987539");
-            values ( \
-              "-0.0085998, -0.0106318, -0.0147532, -0.0155473, -0.0190523, -0.0227614, -0.0253551, -0.031537, -0.0406017, -0.0499453, -0.071395, -0.0822898, -0.0909862, -0.0971571, -0.0988659, -0.0870342, -0.0849847, -0.0799109, -0.0525875, -0.0449335, -0.0403172, -0.032276, -0.0235991, -0.0209884, -0.0183362, -0.0153301, -0.0109204, -0.00668113, -0.00542748, -0.00499712, -0.00425527, -0.00323164, -0.00221575, -0.00161397, -0.00112517, -0.000807698, -0.000444583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074131");
-            index_3 ("0.799524, 0.818126, 0.823442, 0.836043, 0.864989, 0.875547, 0.884953, 0.893725, 0.902372, 0.911003, 0.926448, 0.932393, 0.937276, 0.94197, 0.947065, 0.954776, 0.961588, 0.966394, 0.976007, 0.989838, 1.00417, 1.00889");
-            values ( \
-              "-0.0123569, -0.0347299, -0.0432082, -0.0668061, -0.117905, -0.135421, -0.148286, -0.155749, -0.153463, -0.131819, -0.065785, -0.0473747, -0.0358916, -0.0274427, -0.0204576, -0.0133746, -0.0093576, -0.00746858, -0.00474148, -0.00273176, -0.00151459, -0.00135047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0150959");
-            index_3 ("0.810033, 0.824871, 0.849603, 0.870691, 0.886794, 0.89566, 0.900682, 0.910725, 0.919263, 0.926116, 0.938617, 0.941009, 0.948178, 0.972476, 0.982237, 0.98992, 0.996961, 1.00549, 1.01685, 1.02403, 1.03225, 1.04321, 1.06513, 1.08915, 1.09747");
-            values ( \
-              "-0.0492836, -0.0535035, -0.105344, -0.152354, -0.184825, -0.198972, -0.205301, -0.214294, -0.217666, -0.216941, -0.205793, -0.201592, -0.182473, -0.096157, -0.0704624, -0.054613, -0.0431155, -0.0323374, -0.0219864, -0.017324, -0.0132556, -0.00932453, -0.00464498, -0.00224558, -0.00185002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0307412");
-            index_3 ("0.816329, 0.836344, 0.88375, 0.897107, 0.910087, 0.919985, 0.93933, 0.953304, 0.962131, 0.979785, 0.99041, 1.00584, 1.02868, 1.06094, 1.077, 1.10253, 1.116, 1.13746, 1.16526, 1.18217, 1.21878, 1.2633");
-            values ( \
-              "-0.0703392, -0.0829793, -0.199904, -0.226534, -0.245034, -0.254494, -0.264539, -0.265801, -0.264703, -0.258041, -0.250836, -0.234524, -0.190353, -0.118297, -0.090501, -0.0577314, -0.0453199, -0.0306954, -0.0185068, -0.0136525, -0.00688591, -0.00357661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0626009");
-            index_3 ("0.829674, 0.868508, 0.895798, 0.912216, 0.933339, 0.937996, 0.94731, 0.96215, 0.97651, 1.00523, 1.03395, 1.05405, 1.09739, 1.11286, 1.13347, 1.14803, 1.16663, 1.21582, 1.2483, 1.27531, 1.30855, 1.3372, 1.36295, 1.38989, 1.4288, 1.47121, 1.50406, 1.56792, 1.63178, 1.65361");
-            values ( \
-              "-0.118499, -0.171791, -0.238774, -0.265117, -0.284546, -0.287206, -0.29105, -0.294542, -0.295425, -0.293392, -0.288063, -0.283072, -0.268365, -0.261161, -0.248809, -0.237136, -0.217805, -0.1568, -0.122053, -0.0971082, -0.0723259, -0.0556555, -0.043867, -0.0340945, -0.0236019, -0.0158806, -0.011674, -0.00636455, -0.00349727, -0.002968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.12748");
-            index_3 ("0.83588, 0.873588, 0.895621, 0.9118, 0.93522, 0.963986, 0.988068, 1.01146, 1.08561, 1.16142, 1.25527, 1.32053, 1.38438, 1.40746, 1.45249, 1.59551, 1.66713, 1.75544, 1.81781, 1.90254, 1.9664, 2.03794, 2.14563, 2.20949, 2.40106, 2.43994");
-            values ( \
-              "-0.16061, -0.191228, -0.246568, -0.274167, -0.297499, -0.309172, -0.312071, -0.312041, -0.306645, -0.29892, -0.287473, -0.27577, -0.259082, -0.250805, -0.229154, -0.140445, -0.104154, -0.0703912, -0.0528888, -0.0356053, -0.0263152, -0.018789, -0.0112969, -0.00835587, -0.00342556, -0.00310722" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.00603354, 0.00604394, 0.0060558, 0.00606621, 0.0060735, 0.00607784", \
-            "0.00708699, 0.00709249, 0.00710052, 0.00710921, 0.00711631, 0.00712101", \
-            "0.00772231, 0.00772346, 0.00772629, 0.00773093, 0.00773606, 0.00774012", \
-            "0.00809984, 0.00809974, 0.00809972, 0.0081006, 0.00810262, 0.00810503", \
-            "0.00830148, 0.00830123, 0.00830084, 0.00830044, 0.0083004, 0.00830107", \
-            "0.00842293, 0.00842277, 0.00842249, 0.00842208, 0.00842161, 0.0084213" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.00848153, 0.00848734, 0.00849713, 0.00850855, 0.00851815, 0.00852447", \
-            "0.00948979, 0.00948857, 0.00949008, 0.00949544, 0.00950254, 0.00950816", \
-            "0.0102718, 0.0102657, 0.0102644, 0.0102528, 0.0102516, 0.0102529", \
-            "0.0110153, 0.0110056, 0.0109925, 0.0109776, 0.0109652, 0.0109572", \
-            "0.0108847, 0.0109467, 0.011001, 0.0110387, 0.0110588, 0.0110679", \
-            "0.00918749, 0.00924031, 0.00973094, 0.0105487, 0.0111168, 0.0114467" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.00640423, 0.00643925, 0.0064332, 0.00638341, 0.00629994, 0.00620909", \
-            "0.00631285, 0.00635583, 0.00635911, 0.00631415, 0.00623321, 0.00614177", \
-            "0.00614808, 0.00619181, 0.00621268, 0.00619532, 0.00612992, 0.00604326", \
-            "0.00598554, 0.00603006, 0.00608717, 0.00610874, 0.00606956, 0.00598543", \
-            "0.00601266, 0.00606391, 0.00611088, 0.00615661, 0.00616458, 0.0061019", \
-            "0.00668941, 0.00672635, 0.00673292, 0.00676173, 0.00678301, 0.00675122" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0109692, 0.010919, 0.0108145, 0.0106801, 0.0105695, 0.010521", \
-            "0.0108965, 0.0108536, 0.0107614, 0.010637, 0.010527, 0.0104782", \
-            "0.0107679, 0.0107499, 0.0106936, 0.0106, 0.0105048, 0.0104554", \
-            "0.0107139, 0.0107229, 0.0107186, 0.0106754, 0.0106084, 0.0105611", \
-            "0.0109454, 0.0109686, 0.0109795, 0.0109785, 0.0109536, 0.0109192", \
-            "0.011864, 0.0118624, 0.0118899, 0.0118937, 0.0118698, 0.0118388" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.00555386, 0.00559489, 0.00559656, 0.00555011, 0.00546922, 0.00537959", \
-            "0.005466, 0.00551075, 0.00551851, 0.00547494, 0.00540024, 0.00531053", \
-            "0.00527832, 0.00532434, 0.00534691, 0.00532387, 0.00526311, 0.00518011", \
-            "0.00506097, 0.00510789, 0.00515821, 0.00518118, 0.00514673, 0.00506625", \
-            "0.00501628, 0.00506332, 0.0051291, 0.00521855, 0.00517531, 0.00511065", \
-            "0.00556516, 0.0056285, 0.00564764, 0.00569154, 0.00570792, 0.00566189" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074131, 0.0150959, 0.0307412, 0.0626009, 0.12748");
-          values ( \
-            "0.0115144, 0.0115627, 0.0115778, 0.0115583, 0.0115412, 0.0115581", \
-            "0.0114554, 0.0115101, 0.0115358, 0.0115251, 0.0115096, 0.0115245", \
-            "0.0113717, 0.0114365, 0.0114885, 0.0115056, 0.0115063, 0.0115245", \
-            "0.0113714, 0.0114459, 0.0115285, 0.0115952, 0.0116394, 0.0116782", \
-            "0.0116494, 0.0117059, 0.0117963, 0.0118904, 0.0119757, 0.012041", \
-            "0.0125955, 0.0126553, 0.0127252, 0.0127995, 0.0129048, 0.0129854" \
-          );
-        }
-      }
-    }
-    pin (CON) {
-      direction : output;
-      function : "(A * B) + (!A * !B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.101419;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.107387, 0.1163, 0.132661, 0.163469, 0.222646, 0.3379", \
-            "0.111515, 0.120408, 0.136807, 0.167644, 0.22684, 0.342094", \
-            "0.120898, 0.12986, 0.146427, 0.177408, 0.236722, 0.351993", \
-            "0.13735, 0.146629, 0.163517, 0.194892, 0.254365, 0.369829", \
-            "0.156082, 0.165412, 0.182474, 0.213927, 0.273319, 0.389026", \
-            "0.167765, 0.177583, 0.195393, 0.227297, 0.287335, 0.402689" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0569929, 0.0677353, 0.0898016, 0.13416, 0.222525, 0.396611", \
-            "0.0569887, 0.067746, 0.0897912, 0.13415, 0.222526, 0.396656", \
-            "0.0572429, 0.067933, 0.0899044, 0.134184, 0.222534, 0.396651", \
-            "0.0585791, 0.0691866, 0.0909335, 0.134877, 0.222735, 0.39666", \
-            "0.0604931, 0.0705075, 0.0914271, 0.134899, 0.222658, 0.396619", \
-            "0.0681732, 0.0772371, 0.0968947, 0.138106, 0.223413, 0.395973" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.10289, 0.112594, 0.130927, 0.166142, 0.234637, 0.368366", \
-            "0.108907, 0.118669, 0.137112, 0.172359, 0.240873, 0.374594", \
-            "0.123202, 0.133021, 0.151599, 0.187097, 0.255734, 0.389483", \
-            "0.152115, 0.162204, 0.181184, 0.217087, 0.285999, 0.419858", \
-            "0.198897, 0.209218, 0.228552, 0.264738, 0.334035, 0.468118", \
-            "0.273638, 0.284597, 0.304487, 0.341354, 0.411078, 0.545588" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0566671, 0.068805, 0.0931559, 0.141109, 0.235485, 0.419886", \
-            "0.0566836, 0.0687992, 0.0930734, 0.14111, 0.235552, 0.419889", \
-            "0.0567954, 0.0688795, 0.0931426, 0.141118, 0.235493, 0.419892", \
-            "0.0572181, 0.0693596, 0.0935009, 0.141318, 0.235559, 0.419922", \
-            "0.0556593, 0.067308, 0.0911283, 0.139139, 0.233873, 0.419832", \
-            "0.0606322, 0.0711283, 0.0929741, 0.138488, 0.231365, 0.415847" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0632399, 0.0696472, 0.0747836, 0.0812962, 0.0867396, 0.0917766, 0.100514, 0.104334, 0.108699, 0.112446, 0.121756, 0.1281, 0.136106, 0.137378, 0.139923, 0.144957, 0.149792, 0.15462, 0.166443, 0.168736, 0.171248, 0.188451, 0.200423, 0.212084, 0.231728, 0.250775, 0.278972, 0.312284");
-            values ( \
-              "0.00882898, 0.0321344, 0.0440577, 0.0559472, 0.0633685, 0.0679978, 0.072943, 0.0737452, 0.0741483, 0.0738073, 0.0713797, 0.070532, 0.070808, 0.0672158, 0.0639329, 0.0536056, 0.0454696, 0.0379464, 0.0228794, 0.0209356, 0.0195762, 0.0124266, 0.00795533, 0.00493723, 0.00213718, 0.00103566, 0.000233362, 0.000143287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00708167");
-            index_3 ("0.0561424, 0.0896515, 0.0960572, 0.106842, 0.117293, 0.135021, 0.146084, 0.177141, 0.191177, 0.198309, 0.206012, 0.229868, 0.257578, 0.281487");
-            values ( \
-              "0.00419734, 0.105901, 0.114572, 0.121787, 0.122481, 0.116402, 0.114325, 0.0513011, 0.0319053, 0.0249054, 0.0205563, 0.0113197, 0.00481372, 0.0026106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0137763");
-            index_3 ("0.0646559, 0.0712663, 0.0832928, 0.0882061, 0.0969804, 0.103214, 0.115683, 0.119252, 0.124012, 0.130292, 0.158551, 0.170879, 0.19739, 0.217134, 0.239301, 0.251802, 0.257928, 0.268097, 0.294855, 0.323269, 0.34302, 0.37982");
-            values ( \
-              "0.0724727, 0.0776704, 0.133471, 0.149258, 0.169289, 0.177628, 0.185272, 0.185597, 0.185116, 0.183461, 0.167456, 0.15806, 0.0992116, 0.0649641, 0.038519, 0.0278541, 0.0243594, 0.0198119, 0.0117823, 0.0065581, 0.00415202, 0.00201217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0267996");
-            index_3 ("0.0634389, 0.0732265, 0.0834161, 0.0939002, 0.0957352, 0.099405, 0.106745, 0.116089, 0.130088, 0.135301, 0.144839, 0.154678, 0.174239, 0.196667, 0.214144, 0.247805, 0.265773, 0.278017, 0.298038, 0.305892, 0.319819, 0.33852, 0.347325, 0.361475, 0.377996, 0.399329, 0.411085, 0.434599, 0.461504, 0.491795, 0.524778, 0.564433, 0.615532");
-            values ( \
-              "0.0869469, 0.110279, 0.171495, 0.213186, 0.218641, 0.227438, 0.240584, 0.249431, 0.253132, 0.252176, 0.249256, 0.244213, 0.231313, 0.214808, 0.198328, 0.139504, 0.111801, 0.0946682, 0.0713951, 0.0638251, 0.0516093, 0.0384602, 0.0332459, 0.0265687, 0.0207894, 0.015438, 0.0131876, 0.00960748, 0.00663344, 0.00428755, 0.00261018, 0.00139775, 0.000605047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0521344");
-            index_3 ("0.0626711, 0.0770374, 0.090967, 0.101411, 0.108356, 0.12205, 0.135327, 0.146403, 0.1577, 0.169255, 0.200727, 0.234089, 0.282681, 0.310579, 0.370345, 0.422097, 0.464305, 0.494173, 0.519364, 0.550411, 0.591807, 0.62538, 0.67956, 0.726715, 0.829298, 0.853005");
-            values ( \
-              "0.103242, 0.158122, 0.241204, 0.27752, 0.292707, 0.308551, 0.313316, 0.31262, 0.309652, 0.305606, 0.290825, 0.27166, 0.240092, 0.212884, 0.145887, 0.0979897, 0.0686867, 0.0525772, 0.0416435, 0.0310489, 0.0211404, 0.0157661, 0.00998326, 0.00666164, 0.00269604, 0.00238697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.101419");
-            index_3 ("0.0732428, 0.0986657, 0.114361, 0.127339, 0.137774, 0.151687, 0.173927, 0.182005, 0.260021, 0.290235, 0.341526, 0.396557, 0.447006, 0.486469, 0.578984, 0.625666, 0.676957, 0.714546, 0.74202, 0.778651, 0.829943, 0.861911, 0.896609, 0.942874, 0.994165, 1.04771, 1.11708, 1.21966, 1.32224, 1.42483, 1.52741, 1.68128");
-            values ( \
-              "0.291782, 0.299692, 0.338312, 0.352446, 0.357086, 0.358681, 0.355764, 0.353781, 0.330129, 0.319896, 0.300711, 0.277783, 0.253006, 0.228907, 0.167121, 0.138824, 0.111556, 0.0938838, 0.0825712, 0.0694228, 0.0536633, 0.0456219, 0.0381393, 0.0300736, 0.0229148, 0.01746, 0.0123859, 0.00747542, 0.0044935, 0.00268366, 0.00159205, 0.00082825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0820889, 0.0875556, 0.0927529, 0.101381, 0.105529, 0.109793, 0.118321, 0.123733, 0.127988, 0.139772, 0.145443, 0.150448, 0.155306, 0.169957, 0.185622, 0.192303, 0.215376, 0.223589, 0.229946, 0.238986, 0.251038, 0.273811, 0.281399");
-            values ( \
-              "0.0161749, 0.0317905, 0.0438115, 0.0588901, 0.0639866, 0.067948, 0.072722, 0.0739176, 0.0740135, 0.0714299, 0.0705437, 0.0722009, 0.0680746, 0.0420446, 0.0216012, 0.0182831, 0.00906989, 0.00648069, 0.00500166, 0.00343985, 0.00211495, 0.000757273, 0.000623358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00708167");
-            index_3 ("0.0746199, 0.107989, 0.114109, 0.124897, 0.135353, 0.153083, 0.164147, 0.195204, 0.20924, 0.216373, 0.224007, 0.247967, 0.275756, 0.299546");
-            values ( \
-              "0.00612312, 0.106269, 0.114496, 0.121742, 0.122462, 0.116395, 0.114323, 0.0513008, 0.031905, 0.024905, 0.0205898, 0.0113083, 0.00479556, 0.00260442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0137763");
-            index_3 ("0.0756217, 0.102611, 0.106452, 0.114133, 0.121467, 0.13433, 0.136748, 0.139972, 0.14642, 0.152734, 0.17678, 0.188768, 0.199, 0.209486, 0.222397, 0.229914, 0.242406, 0.256646, 0.269322, 0.276471, 0.288602, 0.303556, 0.314796, 0.324724, 0.33796, 0.355279, 0.377667, 0.403662, 0.438675, 0.487321");
-            values ( \
-              "0.00578592, 0.137285, 0.149337, 0.167273, 0.17768, 0.185317, 0.185563, 0.185465, 0.18418, 0.181606, 0.167368, 0.15856, 0.136287, 0.111683, 0.086575, 0.0734089, 0.0554615, 0.0393504, 0.0283783, 0.0242138, 0.0189768, 0.0142173, 0.0114269, 0.00934729, 0.00704431, 0.00481296, 0.00282927, 0.00151887, 0.000604257, 0.000183001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0267996");
-            index_3 ("0.0835956, 0.0954097, 0.103409, 0.107039, 0.113861, 0.125522, 0.134251, 0.148299, 0.153457, 0.162993, 0.172833, 0.192393, 0.21482, 0.232153, 0.265957, 0.28393, 0.296173, 0.316191, 0.324044, 0.337971, 0.356673, 0.365478, 0.379631, 0.396151, 0.417304, 0.429237, 0.453103, 0.479814, 0.509913, 0.542572, 0.581927, 0.632525");
-            values ( \
-              "0.109511, 0.137084, 0.180305, 0.195682, 0.218189, 0.241563, 0.249629, 0.253128, 0.252281, 0.249222, 0.244289, 0.231257, 0.214808, 0.198519, 0.139508, 0.111793, 0.0946664, 0.0713959, 0.0638256, 0.0516107, 0.0384596, 0.033245, 0.026567, 0.0207895, 0.015476, 0.0131892, 0.00956082, 0.00661983, 0.00428946, 0.00262612, 0.00141248, 0.00061836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0521344");
-            index_3 ("0.0832149, 0.0952681, 0.109127, 0.118103, 0.1265, 0.139623, 0.153551, 0.157155, 0.164362, 0.175746, 0.187389, 0.210676, 0.234894, 0.252224, 0.292438, 0.300815, 0.313859, 0.328714, 0.375541, 0.40573, 0.440232, 0.460039, 0.482441, 0.512311, 0.532325, 0.547847, 0.568543, 0.609935, 0.643515, 0.661579, 0.697708, 0.744397, 0.795689, 0.846981, 0.898272, 1.00086");
-            values ( \
-              "0.152307, 0.159344, 0.241528, 0.273499, 0.292553, 0.308157, 0.313242, 0.313255, 0.312584, 0.30973, 0.305551, 0.294912, 0.281887, 0.271611, 0.246024, 0.240058, 0.228472, 0.212852, 0.159647, 0.12848, 0.0979634, 0.0831758, 0.0686643, 0.0525976, 0.0437563, 0.037827, 0.0310682, 0.0211235, 0.015749, 0.0135137, 0.0099656, 0.00670476, 0.00430226, 0.0027236, 0.00171847, 0.000676923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.101419");
-            index_3 ("0.083087, 0.122073, 0.133478, 0.146306, 0.165119, 0.182608, 0.200174, 0.252927, 0.333758, 0.418829, 0.457997, 0.501197, 0.62529, 0.71456, 0.801143, 0.89005, 0.948566, 1.04001, 1.17008, 1.27267, 1.39425");
-            values ( \
-              "0.152255, 0.316174, 0.339917, 0.353011, 0.358588, 0.357473, 0.35377, 0.338251, 0.310752, 0.275874, 0.256952, 0.231205, 0.149937, 0.102209, 0.0678782, 0.0434153, 0.0320249, 0.0199451, 0.0105222, 0.00637692, 0.00367312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.119384, 0.125856, 0.136448, 0.143986, 0.149778, 0.158667, 0.162354, 0.16815, 0.171317, 0.184886, 0.1893, 0.194255, 0.209473, 0.224651, 0.229613, 0.256333, 0.272916, 0.288953, 0.310336, 0.317428");
-            values ( \
-              "0.0157709, 0.0247418, 0.0489353, 0.0606707, 0.0667923, 0.0721375, 0.0731909, 0.0736716, 0.073323, 0.0702413, 0.0718144, 0.0700611, 0.0431578, 0.0229995, 0.0195869, 0.00877705, 0.00449322, 0.00230375, 0.000924705, 0.00075671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00708167");
-            index_3 ("0.123208, 0.132208, 0.141846, 0.14932, 0.154195, 0.163945, 0.171456, 0.175542, 0.20655, 0.21797, 0.233955, 0.25079, 0.25618, 0.263586, 0.282627, 0.298386, 0.328658, 0.351137");
-            values ( \
-              "0.0548645, 0.0622326, 0.0914674, 0.106518, 0.113094, 0.120569, 0.122226, 0.122024, 0.112251, 0.0851041, 0.0538338, 0.0305112, 0.0252578, 0.0209779, 0.0132072, 0.00839826, 0.00315247, 0.00193724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0137763");
-            index_3 ("0.123222, 0.13219, 0.140839, 0.146597, 0.155673, 0.161773, 0.173975, 0.178512, 0.183705, 0.188932, 0.199386, 0.217205, 0.229543, 0.23875, 0.249408, 0.256462, 0.265867, 0.277138, 0.284662, 0.294398, 0.306301, 0.31294, 0.318388, 0.325652, 0.337113, 0.359006, 0.37117, 0.386702, 0.406427, 0.429818, 0.459196, 0.500174");
-            values ( \
-              "0.0836978, 0.0866628, 0.126745, 0.146571, 0.167979, 0.17661, 0.184596, 0.185119, 0.18464, 0.183174, 0.177912, 0.167281, 0.158106, 0.137891, 0.112751, 0.098361, 0.0811942, 0.0630125, 0.0532293, 0.0421233, 0.0309912, 0.0264204, 0.0234516, 0.0203156, 0.0162174, 0.0105491, 0.00826933, 0.00583889, 0.00378332, 0.00210116, 0.00106935, 0.000315058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0267996");
-            index_3 ("0.123202, 0.135753, 0.141938, 0.149966, 0.154378, 0.163203, 0.174871, 0.18829, 0.194048, 0.203605, 0.213459, 0.233026, 0.255452, 0.27265, 0.306588, 0.336807, 0.356824, 0.378604, 0.397307, 0.410831, 0.420268, 0.436789, 0.457783, 0.494047, 0.520587, 0.550516, 0.582891, 0.606406");
-            values ( \
-              "0.103729, 0.132601, 0.168047, 0.202744, 0.216647, 0.236212, 0.249179, 0.252903, 0.252109, 0.24911, 0.244228, 0.231229, 0.214798, 0.198694, 0.139512, 0.0946654, 0.0713971, 0.0516123, 0.0384566, 0.0308316, 0.0265651, 0.0207877, 0.0155096, 0.00952063, 0.00660703, 0.00429221, 0.00263947, 0.00190986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0521344");
-            index_3 ("0.122987, 0.135579, 0.149684, 0.16016, 0.167153, 0.180636, 0.19371, 0.197823, 0.206049, 0.222501, 0.239291, 0.259559, 0.291314, 0.329018, 0.35667, 0.429168, 0.480815, 0.523277, 0.553328, 0.588442, 0.608891, 0.649789, 0.684149, 0.739561, 0.786406, 0.837698, 0.940281, 1.04286");
-            values ( \
-              "0.144347, 0.153896, 0.23919, 0.276552, 0.291907, 0.308162, 0.312989, 0.31315, 0.312297, 0.307724, 0.300711, 0.290705, 0.272557, 0.248999, 0.226856, 0.145829, 0.0980095, 0.068554, 0.0524783, 0.0378417, 0.0311949, 0.0212675, 0.0157415, 0.0098519, 0.00664798, 0.00423574, 0.00168082, 0.000651799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.101419");
-            index_3 ("0.129033, 0.175301, 0.193892, 0.201957, 0.216612, 0.241041, 0.26669, 0.345085, 0.408404, 0.472752, 0.542064, 0.666156, 0.755426, 0.842014, 0.930917, 0.989427, 1.08087, 1.21095, 1.31354, 1.43074");
-            values ( \
-              "0.230743, 0.341224, 0.356233, 0.358119, 0.35835, 0.353831, 0.346636, 0.321319, 0.29756, 0.270163, 0.23124, 0.149962, 0.102234, 0.0678554, 0.0433961, 0.0320073, 0.0199271, 0.01054, 0.00639459, 0.00376159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.199435, 0.206851, 0.221993, 0.22721, 0.233553, 0.243057, 0.24893, 0.250658, 0.252456, 0.259752, 0.265954, 0.271252, 0.279359, 0.280657, 0.283253, 0.287014, 0.294698, 0.29975, 0.310361, 0.315824, 0.341002, 0.353707, 0.35867, 0.374817, 0.392403, 0.420114, 0.452935");
-            values ( \
-              "0.0149957, 0.020365, 0.0486219, 0.0564548, 0.0638546, 0.0706872, 0.0726561, 0.070927, 0.0701496, 0.069955, 0.0693544, 0.0693658, 0.070262, 0.0665203, 0.063435, 0.055557, 0.0428454, 0.0353174, 0.0222744, 0.0191295, 0.00890305, 0.00533571, 0.00435052, 0.00220792, 0.00111852, 0.000281232, 0.000139237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00708167");
-            index_3 ("0.205133, 0.215028, 0.219676, 0.225503, 0.23136, 0.238491, 0.239821, 0.241151, 0.242481, 0.243811, 0.245155, 0.246499, 0.247843, 0.249186, 0.249457, 0.249999, 0.251084, 0.253252, 0.256084, 0.258271, 0.260458, 0.261825, 0.265927, 0.273928, 0.281683, 0.282468, 0.283254, 0.28404, 0.284825, 0.286397, 0.287182, 0.288753, 0.290325, 0.291896, 0.293467, 0.311119, 0.315697, 0.320275, 0.324853, 0.333193, 0.336799, 0.338002, 0.339615, 0.344453, 0.349915, 0.354881, 0.362219, 0.369962, 0.376762, 0.386584");
-            values ( \
-              "0.0532798, 0.0552361, 0.0694718, 0.0859325, 0.0975586, 0.112884, 0.114776, 0.116363, 0.117647, 0.118626, 0.118746, 0.118543, 0.118017, 0.117168, 0.116958, 0.115723, 0.114904, 0.115341, 0.116336, 0.116937, 0.117393, 0.117341, 0.116925, 0.115275, 0.113951, 0.114241, 0.114396, 0.114444, 0.114355, 0.113919, 0.113572, 0.112621, 0.111326, 0.109688, 0.107706, 0.0685864, 0.0598796, 0.0522998, 0.0453607, 0.033717, 0.0293816, 0.0281509, 0.0268194, 0.0233778, 0.0206176, 0.0183621, 0.0153733, 0.0125758, 0.0104143, 0.00762769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0137763");
-            index_3 ("0.199523, 0.211082, 0.220252, 0.227018, 0.230487, 0.237425, 0.246148, 0.248964, 0.251675, 0.255309, 0.260271, 0.265209, 0.274051, 0.288024, 0.30062, 0.302552, 0.306416, 0.314145, 0.340604, 0.347508, 0.360923, 0.376603, 0.387932, 0.397121, 0.406784, 0.417589, 0.435188, 0.448285, 0.472523, 0.492362, 0.517012, 0.546579, 0.588152");
-            values ( \
-              "0.0251869, 0.0606662, 0.101545, 0.12803, 0.139547, 0.15819, 0.173851, 0.177183, 0.175278, 0.17534, 0.178371, 0.180036, 0.180168, 0.174522, 0.167613, 0.167262, 0.165658, 0.158285, 0.100916, 0.0877955, 0.0653454, 0.0455414, 0.0341926, 0.0270835, 0.0220487, 0.0178755, 0.0126833, 0.00976229, 0.0058047, 0.00371151, 0.00203005, 0.00099419, 0.000315745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0267996");
-            index_3 ("0.199422, 0.238723, 0.24882, 0.253159, 0.270844, 0.279328, 0.296298, 0.304105, 0.340976, 0.358291, 0.399831, 0.42775, 0.458041, 0.493823, 0.520265, 0.540162, 0.597106, 0.658212, 0.66663");
-            values ( \
-              "0.0338128, 0.210839, 0.235211, 0.235214, 0.247533, 0.248737, 0.244453, 0.240406, 0.214703, 0.198425, 0.127155, 0.0878277, 0.0566667, 0.0320838, 0.0213797, 0.0162118, 0.00750582, 0.00308966, 0.00291792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0521344");
-            index_3 ("0.211598, 0.233533, 0.248757, 0.252066, 0.264053, 0.271856, 0.283415, 0.298668, 0.313849, 0.337015, 0.378719, 0.41893, 0.440349, 0.532229, 0.566732, 0.608941, 0.638811, 0.674352, 0.695051, 0.736449, 0.770028, 0.824221, 0.921632, 0.990002");
-            values ( \
-              "0.183694, 0.228774, 0.282391, 0.281939, 0.298301, 0.305499, 0.310034, 0.309484, 0.304957, 0.29488, 0.27156, 0.246047, 0.228499, 0.128496, 0.0979409, 0.0686456, 0.0526119, 0.0378093, 0.0310797, 0.021106, 0.0157333, 0.00995117, 0.00431068, 0.00258026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.101419");
-            index_3 ("0.211829, 0.231827, 0.248667, 0.253197, 0.264798, 0.273676, 0.285171, 0.298308, 0.319008, 0.326741, 0.392391, 0.435035, 0.478825, 0.524545, 0.558426, 0.60688, 0.739156, 0.821143, 0.868525, 0.924493, 0.975785, 1.00677, 1.04013, 1.08462, 1.13591, 1.19229, 1.2666, 1.36918, 1.47176, 1.62564, 1.83081");
-            values ( \
-              "0.22503, 0.242705, 0.314843, 0.316928, 0.337365, 0.347766, 0.354473, 0.356955, 0.355114, 0.353407, 0.334145, 0.319908, 0.303658, 0.285009, 0.270116, 0.244368, 0.157637, 0.111762, 0.0900903, 0.0689877, 0.0534752, 0.0456865, 0.0384635, 0.0304956, 0.023346, 0.0175393, 0.0121568, 0.00735833, 0.00444262, 0.0020854, 0.000762027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.355823, 0.366712, 0.401055, 0.41205, 0.42189, 0.431294, 0.43997, 0.444497, 0.448881, 0.465956, 0.480927, 0.5105, 0.528984, 0.54695");
-            values ( \
-              "0.0100223, 0.014071, 0.0550417, 0.0641888, 0.0690062, 0.0704174, 0.070219, 0.0711381, 0.0679071, 0.0400117, 0.0216837, 0.00913077, 0.00432411, 0.00204191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00708167");
-            index_3 ("0.355617, 0.368774, 0.405955, 0.418256, 0.429472, 0.440385, 0.454007, 0.456869, 0.462578, 0.478994, 0.493881, 0.505926, 0.512279, 0.519632, 0.55438, 0.570112, 0.604785, 0.618992");
-            values ( \
-              "0.0131381, 0.0256616, 0.0968283, 0.110564, 0.116567, 0.117463, 0.115855, 0.11464, 0.106888, 0.0713161, 0.0455776, 0.0300803, 0.0244879, 0.020603, 0.00680026, 0.00437392, 0.00145664, 0.00119438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0137763");
-            index_3 ("0.355783, 0.372574, 0.381957, 0.3971, 0.408364, 0.414839, 0.427788, 0.433453, 0.441006, 0.443668, 0.448993, 0.457607, 0.474245, 0.477256, 0.482029, 0.487262, 0.509613, 0.516168, 0.529277, 0.539704, 0.55537, 0.56116, 0.572939, 0.58077, 0.596433, 0.612931, 0.636006, 0.655078, 0.677691, 0.694915");
-            values ( \
-              "0.0139684, 0.0451233, 0.0715959, 0.118277, 0.146726, 0.15871, 0.173625, 0.176871, 0.178836, 0.178999, 0.178481, 0.17587, 0.168296, 0.166358, 0.160655, 0.151042, 0.102414, 0.0896565, 0.0675267, 0.0533281, 0.0352988, 0.0297822, 0.0230498, 0.0198084, 0.0146864, 0.0106677, 0.006582, 0.0043266, 0.00250251, 0.00174893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0267996");
-            index_3 ("0.355706, 0.377356, 0.402261, 0.415138, 0.429091, 0.445455, 0.449051, 0.456245, 0.468703, 0.492421, 0.518932, 0.587869, 0.627826, 0.663923, 0.690964, 0.711492, 0.762967, 0.808584");
-            values ( \
-              "0.0148817, 0.0742937, 0.173239, 0.211182, 0.235281, 0.246199, 0.246753, 0.246611, 0.243295, 0.230165, 0.210303, 0.0996385, 0.0565112, 0.0318593, 0.0210885, 0.0158208, 0.0079197, 0.00506827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0521344");
-            index_3 ("0.355534, 0.383416, 0.401317, 0.421085, 0.436171, 0.453176, 0.468733, 0.48369, 0.515074, 0.548222, 0.558683, 0.590535, 0.62484, 0.702195, 0.737088, 0.777872, 0.833585, 0.866687, 0.909545, 0.988858, 1.12054");
-            values ( \
-              "0.0216604, 0.113027, 0.200761, 0.269259, 0.295753, 0.307581, 0.308663, 0.305408, 0.292322, 0.273531, 0.262906, 0.244394, 0.213046, 0.128114, 0.0974879, 0.0691509, 0.0416554, 0.030455, 0.0205069, 0.0104257, 0.00365781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.101419");
-            index_3 ("0.35581, 0.416752, 0.427539, 0.443039, 0.458651, 0.476494, 0.496954, 0.55019, 0.557269, 0.605115, 0.650331, 0.728517, 0.776138, 0.909265, 0.991277, 1.03862, 1.09453, 1.17687, 1.2549, 1.30619, 1.36241, 1.43644, 1.53902, 1.6416, 1.74936");
-            values ( \
-              "0.0143336, 0.286641, 0.317003, 0.342364, 0.35315, 0.356502, 0.354439, 0.340137, 0.333105, 0.319641, 0.303133, 0.270088, 0.244875, 0.157629, 0.111743, 0.090088, 0.0690047, 0.045688, 0.0304657, 0.0233259, 0.0175388, 0.0121734, 0.0073688, 0.00444933, 0.00279531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.668657, 0.690407, 0.693618, 0.70004, 0.706051, 0.708095, 0.740104, 0.753719, 0.765302, 0.775911, 0.785766, 0.786927, 0.788475, 0.791571, 0.796142, 0.803485, 0.807547, 0.812964, 0.818741, 0.826139, 0.828722, 0.830462, 0.841834, 0.847969, 0.85108, 0.855227, 0.863493, 0.870189, 0.872421, 0.876886, 0.885816, 0.903675, 0.913119");
-            values ( \
-              "0.00234961, 0.00806824, 0.00953264, 0.0128423, 0.0167892, 0.0182391, 0.0431591, 0.0531489, 0.0601082, 0.0633028, 0.0648527, 0.0658868, 0.0664011, 0.0665578, 0.0629203, 0.0520856, 0.0456986, 0.0380188, 0.0307473, 0.0224344, 0.0209999, 0.0201892, 0.0154841, 0.0128014, 0.0115268, 0.00994924, 0.00728902, 0.00563895, 0.00516479, 0.00434584, 0.00305806, 0.00149813, 0.00113895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00708167");
-            index_3 ("0.669251, 0.693481, 0.696372, 0.702155, 0.71178, 0.750101, 0.755088, 0.761108, 0.773909, 0.785831, 0.797195, 0.798126, 0.799366, 0.801846, 0.805442, 0.809247, 0.826153, 0.831158, 0.841167, 0.849806, 0.854911, 0.861405, 0.872512, 0.880393, 0.887941, 0.897871, 0.902418, 0.911511, 0.925039, 0.944953, 0.945901");
-            values ( \
-              "0.00404459, 0.0150757, 0.0173577, 0.0225039, 0.0332574, 0.0823061, 0.0881886, 0.0946486, 0.104795, 0.109075, 0.109512, 0.110424, 0.110604, 0.110609, 0.108229, 0.102826, 0.0690834, 0.0599849, 0.0444135, 0.0332825, 0.0279365, 0.0233806, 0.0182336, 0.0151009, 0.0123655, 0.00933753, 0.00814031, 0.00618059, 0.00400753, 0.00209492, 0.00204916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0137763");
-            index_3 ("0.669602, 0.698831, 0.703274, 0.709197, 0.71747, 0.756545, 0.763304, 0.76882, 0.773623, 0.781702, 0.788897, 0.803287, 0.808692, 0.818435, 0.825931, 0.834084, 0.85183, 0.863585, 0.875981, 0.884563, 0.894514, 0.907407, 0.914383, 0.920471, 0.928589, 0.944824, 0.955476, 0.965185, 0.978131, 0.996504, 1.01793, 1.02234");
-            values ( \
-              "0.00629614, 0.0281599, 0.0341699, 0.0433896, 0.0578758, 0.132157, 0.143278, 0.150821, 0.156332, 0.163337, 0.167413, 0.169041, 0.168009, 0.165206, 0.161115, 0.148397, 0.110685, 0.0878135, 0.0674193, 0.0557255, 0.0441563, 0.0318003, 0.0270401, 0.0237636, 0.0202134, 0.0148362, 0.0120457, 0.00990019, 0.00757384, 0.00502152, 0.00307463, 0.002825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0267996");
-            index_3 ("0.711728, 0.742977, 0.750201, 0.762225, 0.767503, 0.77278, 0.777565, 0.782349, 0.787134, 0.791918, 0.793889, 0.79586, 0.799803, 0.805059, 0.811502, 0.815061, 0.817434, 0.82218, 0.824553, 0.827339, 0.835698, 0.840897, 0.846096, 0.851295, 0.856494, 0.859047, 0.861601, 0.864155, 0.869262, 0.875154, 0.881047, 0.914959, 0.922882, 0.926253, 0.936365, 0.949418, 0.958432, 0.967758, 0.977083, 0.986409, 0.99669, 1.00474, 1.00937, 1.01399, 1.01834, 1.02704, 1.03138, 1.03633, 1.05117, 1.0671");
-            values ( \
-              "0.136598, 0.142582, 0.162167, 0.192144, 0.201734, 0.210179, 0.216668, 0.222334, 0.227177, 0.231197, 0.232614, 0.233697, 0.235223, 0.236031, 0.236365, 0.236239, 0.23583, 0.234675, 0.233929, 0.2328, 0.228917, 0.226127, 0.223049, 0.219684, 0.21603, 0.214738, 0.212645, 0.210066, 0.204043, 0.195524, 0.186497, 0.129073, 0.11639, 0.111559, 0.0978308, 0.0819609, 0.0716022, 0.0623409, 0.0544455, 0.0472542, 0.0402181, 0.0349866, 0.0323111, 0.0298893, 0.0280013, 0.0245819, 0.0230506, 0.0215549, 0.0175853, 0.0141546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0521344");
-            index_3 ("0.717011, 0.753154, 0.763842, 0.766997, 0.770152, 0.776461, 0.781245, 0.786029, 0.790812, 0.795596, 0.798947, 0.803974, 0.80565, 0.811967, 0.818283, 0.824408, 0.830534, 0.836659, 0.842784, 0.848909, 0.855034, 0.86236, 0.866023, 0.877011, 0.895633, 0.920929, 0.931368, 0.935381, 0.941401, 0.946691, 0.960305, 0.971591, 1.03114, 1.04828, 1.06543, 1.08258, 1.10951, 1.1205, 1.12416, 1.13881, 1.15345, 1.16837, 1.18088, 1.19346, 1.20856, 1.2162, 1.226, 1.24996, 1.26724, 1.28392");
-            values ( \
-              "0.188208, 0.202555, 0.237272, 0.244595, 0.251445, 0.263726, 0.271491, 0.278357, 0.284326, 0.289395, 0.292412, 0.29568, 0.296495, 0.297611, 0.298238, 0.298363, 0.298062, 0.297172, 0.295965, 0.29444, 0.292598, 0.289978, 0.288355, 0.283097, 0.272861, 0.257629, 0.251022, 0.248199, 0.24338, 0.238707, 0.225316, 0.213174, 0.145867, 0.128565, 0.112983, 0.0987244, 0.0785765, 0.0713991, 0.069147, 0.0610536, 0.0536546, 0.0469028, 0.0415609, 0.0369608, 0.032217, 0.0271612, 0.0258065, 0.0211578, 0.0182105, 0.0156143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.101419");
-            index_3 ("0.703344, 0.729702, 0.758153, 0.771644, 0.789857, 0.812799, 0.835135, 0.843331, 0.859723, 0.888233, 0.912652, 0.987024, 1.05795, 1.1078, 1.14711, 1.23938, 1.31813, 1.36942, 1.41424, 1.45357, 1.52294, 1.55911, 1.60676, 1.65805, 1.72996, 1.82404, 1.92662, 2.02921, 2.18308, 2.33696");
-            values ( \
-              "0.137636, 0.139594, 0.245193, 0.286184, 0.322875, 0.34437, 0.349433, 0.349146, 0.346954, 0.340378, 0.333335, 0.308162, 0.279149, 0.25423, 0.229581, 0.167048, 0.121533, 0.0965135, 0.0782373, 0.0646947, 0.0456231, 0.0378287, 0.0294935, 0.0225915, 0.0157432, 0.00992223, 0.0060056, 0.00362341, 0.00169168, 0.000791566" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0062689, 0.00628077, 0.00629291, 0.00630396, 0.00631253, 0.00631795", \
-            "0.00752014, 0.00752792, 0.00753783, 0.00754854, 0.00755772, 0.00756418", \
-            "0.00829018, 0.00829178, 0.00829533, 0.00830078, 0.00830717, 0.00831258", \
-            "0.00877728, 0.00877466, 0.00877674, 0.00877752, 0.00877967, 0.00878029", \
-            "0.00908717, 0.00908645, 0.00908545, 0.00908436, 0.00908367, 0.00908385", \
-            "0.0093016, 0.0093008, 0.00929954, 0.0092978, 0.00929583, 0.00929415" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00885944, 0.00886887, 0.00889078, 0.00890397, 0.00892, 0.00893134", \
-            "0.00925454, 0.00924773, 0.00925883, 0.00925497, 0.00926218, 0.00926995", \
-            "0.0092383, 0.00923059, 0.00922045, 0.00921123, 0.0092065, 0.00920617", \
-            "0.00960084, 0.00960761, 0.00960495, 0.0095993, 0.00959107, 0.00958823", \
-            "0.00755149, 0.00798809, 0.00858976, 0.0092357, 0.00976319, 0.010127", \
-            "0.00624771, 0.00625428, 0.00627672, 0.00643682, 0.00712344, 0.00812189" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.00435946, 0.00590574, 0.0126134, 0.0274232, 0.0315498, 0.0623785, 0.0747243, 0.0900234, 0.109991, 0.124916, 0.164243, 0.183935");
-            values ( \
-              "-1e-22, -0.0188902, -0.0144575, -0.0334215, -1e-22, -2e-22, -0.0455578, -0.06137, -0.0698907, -0.0805528, -0.0256716, -0.0117481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00708167");
-            index_3 ("0.00491306, 0.00646069, 0.00763154, 0.0121406, 0.0152807, 0.0279768, 0.0339159, 0.0635862, 0.0698094, 0.0831299, 0.0947094, 0.101036, 0.118199, 0.137206, 0.143623, 0.170287, 0.187725, 0.205462, 0.207199");
-            values ( \
-              "-1e-22, -0.0267008, -0.0280172, -0.0240104, -0.0264398, -0.0585669, -1e-22, -2e-22, -0.0496234, -0.0882722, -0.102496, -0.106673, -0.113353, -0.126062, -0.120604, -0.0667948, -0.0380821, -0.0202905, -0.0193227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0137763");
-            index_3 ("0.00720889, 0.0598412, 0.0626614, 0.0685357, 0.0718284, 0.0803177, 0.087795, 0.0962456, 0.0972566, 0.0992786, 0.103323, 0.107762, 0.112767, 0.12043, 0.127872, 0.142471, 0.156719, 0.170149, 0.207823, 0.219529, 0.232557, 0.243449, 0.247091, 0.247871, 0.24943, 0.252548, 0.256528, 0.263741, 0.275368, 0.284872, 0.288266, 0.295055, 0.308633, 0.326598, 0.355569, 0.383574, 0.412715, 0.442774, 0.478071, 0.521131");
-            values ( \
-              "-0.0212071, -0.00428681, -0.0435312, -0.0907815, -0.106522, -0.133874, -0.145808, -0.156573, -0.155454, -0.158441, -0.158713, -0.162057, -0.161715, -0.165047, -0.16526, -0.174465, -0.174168, -0.15576, -0.0785228, -0.0597525, -0.043239, -0.034191, -0.0295868, -0.0305841, -0.0278148, -0.0271737, -0.0229764, -0.0204253, -0.013469, -0.0115052, -0.00881268, -0.0084773, -0.0042519, -0.00424134, -0.00165617, -0.00263178, -0.000425128, -0.00154728, -1e-22, -0.000969818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0267996");
-            index_3 ("0.00928716, 0.0619758, 0.068652, 0.0721045, 0.0765341, 0.0822963, 0.0876536, 0.0968833, 0.104405, 0.111113, 0.122478, 0.133483, 0.150046, 0.155708, 0.166792, 0.177631, 0.199438, 0.20819, 0.215207, 0.223067, 0.265992, 0.284204, 0.302304, 0.312141, 0.331814, 0.340843, 0.351789, 0.366383, 0.388957, 0.399646, 0.415405, 0.436418, 0.478443, 0.529469, 0.584287, 0.744635");
-            values ( \
-              "-0.0106558, -0.0370905, -0.11239, -0.135964, -0.158363, -0.179283, -0.192046, -0.205627, -0.210881, -0.213807, -0.216133, -0.217021, -0.217299, -0.217911, -0.219616, -0.223033, -0.218229, -0.20932, -0.200445, -0.188893, -0.118705, -0.0926121, -0.0710131, -0.0610755, -0.0446421, -0.0385553, -0.0321986, -0.0252091, -0.0170921, -0.0141393, -0.0105581, -0.00694327, -0.00314928, -0.00196513, -0.00120929, -0.000260967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0521344");
-            index_3 ("0.0144227, 0.063042, 0.0687651, 0.0726758, 0.0814855, 0.0896338, 0.0961506, 0.101494, 0.111672, 0.123512, 0.137773, 0.153726, 0.19827, 0.209787, 0.227951, 0.24616, 0.282418, 0.300476, 0.319116, 0.391735, 0.414561, 0.443631, 0.466542, 0.497089, 0.524219, 0.535854, 0.559123, 0.601358, 0.632384, 0.653672, 0.696247, 0.752166, 0.808084, 0.864003, 1.08768");
-            values ( \
-              "-0.025171, -0.0549497, -0.127553, -0.161178, -0.209136, -0.234096, -0.245236, -0.251151, -0.257498, -0.260767, -0.262066, -0.262, -0.25905, -0.258563, -0.258407, -0.259307, -0.250038, -0.236777, -0.218884, -0.139584, -0.117245, -0.0923665, -0.075803, -0.0575397, -0.0447075, -0.0400499, -0.0320349, -0.0211025, -0.0154667, -0.0124246, -0.00781627, -0.00419797, -0.00257548, -0.00167502, -0.000452489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.101419");
-            index_3 ("0.0183782, 0.115346, 0.12771, 0.141805, 0.158876, 0.18967, 0.308139, 0.379886, 0.429366, 0.445996, 0.479256, 0.518548, 0.638258, 0.676435, 0.732354, 0.793142, 0.840744, 0.884507, 0.934375, 0.97645, 1.03237, 1.0597, 1.11437, 1.17029, 1.22621, 1.33805, 1.44988, 1.49126");
-            values ( \
-              "-0.0122417, -0.290061, -0.29359, -0.294778, -0.295199, -0.294073, -0.28604, -0.282375, -0.274473, -0.268751, -0.254504, -0.230543, -0.152206, -0.129753, -0.101267, -0.0759033, -0.0599859, -0.0480279, -0.0370767, -0.0296706, -0.0219653, -0.0189304, -0.0140093, -0.0101945, -0.00737024, -0.00391589, -0.00221081, -0.00200559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.00238762, 0.00400694, 0.0120551, 0.0200053, 0.0458797, 0.0533591, 0.0555581, 0.0790939, 0.0963825, 0.108013, 0.127853, 0.14311, 0.181281, 0.20773, 0.221072");
-            values ( \
-              "-1e-22, -0.00843454, -0.00547099, -0.00472362, -0.0122485, -0.00987589, -1e-22, -2e-22, -0.0502765, -0.0614784, -0.0698963, -0.0805729, -0.02676, -0.00768516, -0.00481489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00708167");
-            index_3 ("0.00963971, 0.0799629, 0.082813, 0.0854599, 0.0912245, 0.0942496, 0.100513, 0.109825, 0.117265, 0.133302, 0.137966, 0.144167, 0.15183, 0.154455, 0.15953, 0.175106, 0.184774, 0.190542, 0.199881, 0.209926, 0.218329, 0.224519, 0.235746, 0.246637, 0.25359, 0.264315, 0.329036, 0.347386");
-            values ( \
-              "-0.00141999, -0.00805718, -0.0350719, -0.0517392, -0.0726505, -0.080567, -0.0922454, -0.1027, -0.107438, -0.113295, -0.116446, -0.121859, -0.126205, -0.124425, -0.119594, -0.0887693, -0.0679606, -0.0570595, -0.0420728, -0.0297731, -0.0220101, -0.01759, -0.011304, -0.00676253, -0.00516924, -0.00401418, -0.00128644, -0.000923003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0137763");
-            index_3 ("0.0129091, 0.0796144, 0.0848525, 0.0887238, 0.0954426, 0.100374, 0.10969, 0.117302, 0.125425, 0.147959, 0.152774, 0.162548, 0.175102, 0.179977, 0.185539, 0.191794, 0.221417, 0.239423, 0.253833, 0.264515, 0.274574, 0.284827, 0.300077, 0.313311, 0.322819, 0.341417, 0.37169, 0.428573, 0.534143");
-            values ( \
-              "-0.0171981, -0.00272814, -0.0638764, -0.0910574, -0.119291, -0.132953, -0.14933, -0.156286, -0.160568, -0.166092, -0.168138, -0.174084, -0.175812, -0.171087, -0.163463, -0.152291, -0.0911369, -0.060636, -0.0426459, -0.0324347, -0.0249771, -0.0190501, -0.0123929, -0.00810945, -0.00596849, -0.00370763, -0.00252091, -0.00128518, -0.000209046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0267996");
-            index_3 ("0.0237643, 0.0816694, 0.0841744, 0.088061, 0.0938647, 0.0985186, 0.103956, 0.107891, 0.115762, 0.124005, 0.131347, 0.142719, 0.153715, 0.170158, 0.17594, 0.187024, 0.197863, 0.219669, 0.228468, 0.2433, 0.286226, 0.304438, 0.32254, 0.332378, 0.352054, 0.36108, 0.372021, 0.386609, 0.409191, 0.419883, 0.435652, 0.456678, 0.498729, 0.549764, 0.604579, 0.764934");
-            values ( \
-              "-0.012798, -0.0294494, -0.0661211, -0.10618, -0.14455, -0.166229, -0.183154, -0.192343, -0.204042, -0.210707, -0.21372, -0.216254, -0.216924, -0.217388, -0.21783, -0.219695, -0.222957, -0.2183, -0.209262, -0.188885, -0.118702, -0.0926106, -0.0710112, -0.0610717, -0.0446389, -0.0385551, -0.0322011, -0.0252127, -0.0170937, -0.0141399, -0.0105567, -0.0069394, -0.00314761, -0.00196376, -0.00120929, -0.000260146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0521344");
-            index_3 ("0.0287791, 0.0823387, 0.087451, 0.0956969, 0.101671, 0.10972, 0.11847, 0.128046, 0.138735, 0.152605, 0.168701, 0.22999, 0.266215, 0.302568, 0.321664, 0.343323, 0.419062, 0.467011, 0.495725, 0.528541, 0.56464, 0.590449, 0.626508, 0.674374, 0.730293, 0.786211, 0.898049, 0.901932");
-            values ( \
-              "-0.0266609, -0.0434639, -0.113786, -0.181057, -0.209903, -0.234094, -0.24826, -0.255665, -0.259708, -0.261881, -0.262147, -0.258492, -0.258656, -0.250469, -0.235897, -0.214638, -0.132382, -0.0899372, -0.0700361, -0.0518689, -0.0369211, -0.0287641, -0.0201379, -0.0123766, -0.0066429, -0.00364935, -0.0015267, -0.00150748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.101419");
-            index_3 ("0.0393958, 0.135571, 0.147973, 0.162102, 0.17915, 0.199738, 0.230351, 0.335885, 0.400149, 0.449617, 0.46626, 0.499546, 0.538813, 0.625117, 0.658527, 0.69671, 0.752629, 0.789603, 0.813395, 0.860979, 0.904775, 0.954684, 0.996748, 1.05267, 1.07998, 1.1346, 1.19052, 1.24644, 1.30236, 1.35827, 1.47011, 1.58195, 1.80562");
-            values ( \
-              "-0.0143435, -0.290213, -0.293486, -0.294904, -0.295089, -0.294571, -0.292934, -0.285638, -0.282462, -0.27439, -0.268831, -0.254413, -0.230613, -0.173045, -0.152153, -0.129793, -0.101215, -0.0851081, -0.0759364, -0.059967, -0.0480019, -0.0370444, -0.0296901, -0.0219383, -0.0189534, -0.0139898, -0.01022, -0.00734969, -0.00535747, -0.00389483, -0.0021897, -0.00130832, -0.000526516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0, 0.00277903, 0.026777, 0.0414348, 0.0793166, 0.097432, 0.108501, 0.112389, 0.11378, 0.122014, 0.138624, 0.150413, 0.170086, 0.183612, 0.187573, 0.194561, 0.224871, 0.239963, 0.254158, 0.270831, 0.307128");
-            values ( \
-              "-0.000349408, -0.00373951, -0.00103525, -0.00119002, -0.0040836, -0.00146444, -0.000560779, -0.00135934, -1e-22, -2e-22, -0.0490257, -0.0609434, -0.0696143, -0.0800078, -0.0795683, -0.072196, -0.0255673, -0.0132932, -0.00614513, -0.00382505, -0.00171073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00708167");
-            index_3 ("0.0270059, 0.125038, 0.12808, 0.130883, 0.135556, 0.139068, 0.141342, 0.14589, 0.153734, 0.157419, 0.162331, 0.17849, 0.182751, 0.189363, 0.196878, 0.199661, 0.20474, 0.220246, 0.230411, 0.236019, 0.245107, 0.248825, 0.255032, 0.263496, 0.269832, 0.274094, 0.281834, 0.292827, 0.299311, 0.30256, 0.309057, 0.321789, 0.354339, 0.393508, 0.418618, 0.451121");
-            values ( \
-              "-0.00233371, -0.00536663, -0.0324441, -0.0517313, -0.0685962, -0.0781545, -0.0831815, -0.0914381, -0.100857, -0.10383, -0.106968, -0.113315, -0.115968, -0.121815, -0.126011, -0.12439, -0.119435, -0.0888399, -0.0671335, -0.0565697, -0.0420465, -0.0370503, -0.0298775, -0.0220717, -0.0175434, -0.0149647, -0.0108912, -0.00645211, -0.00510251, -0.00464505, -0.00406591, -0.00333156, -0.00200197, -0.000769696, -0.000396405, -0.000142528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0137763");
-            index_3 ("0.000164212, 0.00320291, 0.0271572, 0.0418369, 0.0565165, 0.0766272, 0.085036, 0.104766, 0.112812, 0.114412, 0.124416, 0.135068, 0.142247, 0.153105, 0.165839, 0.171793, 0.193526, 0.21403, 0.222272, 0.235006, 0.264149, 0.287848, 0.303706, 0.324856, 0.34953, 0.37757, 0.404465, 0.44489");
-            values ( \
-              "-1e-22, -0.00757267, -0.00405649, -0.00433038, -0.00693054, -0.0126688, -0.0119436, -0.0041244, -0.00387541, -1e-22, -2e-22, -0.0937932, -0.122575, -0.146117, -0.157737, -0.160697, -0.165956, -0.17644, -0.174028, -0.156763, -0.0967646, -0.0566892, -0.0382413, -0.022101, -0.0109885, -0.00456423, -0.002837, -0.00217797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0267996");
-            index_3 ("0.0562375, 0.126797, 0.132506, 0.136523, 0.144619, 0.151417, 0.15733, 0.165215, 0.176863, 0.184079, 0.191693, 0.199236, 0.21432, 0.221439, 0.232523, 0.24336, 0.265168, 0.273929, 0.280943, 0.288801, 0.331736, 0.349952, 0.368052, 0.377889, 0.397563, 0.406597, 0.417548, 0.43215, 0.45472, 0.465405, 0.481156, 0.502157, 0.544159, 0.595191, 0.65001, 0.810356");
-            values ( \
-              "-0.00595521, -0.0278118, -0.0985676, -0.129553, -0.168685, -0.187751, -0.198764, -0.207836, -0.213874, -0.215511, -0.216447, -0.216963, -0.217284, -0.217855, -0.219651, -0.222975, -0.218262, -0.209284, -0.200403, -0.188857, -0.11868, -0.0925963, -0.071002, -0.0610701, -0.0446388, -0.0385502, -0.0321922, -0.0252032, -0.0170888, -0.0141375, -0.0105584, -0.00694651, -0.00315142, -0.00196647, -0.00120918, -0.000261614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0521344");
-            index_3 ("0, 0.00520385, 0.0269132, 0.0416023, 0.0562914, 0.0721374, 0.0836537, 0.112587, 0.115234, 0.12416, 0.136244, 0.153128, 0.161008, 0.167452, 0.178035, 0.189564, 0.203744, 0.219654, 0.27574, 0.312114, 0.348371, 0.366458, 0.385128, 0.457965, 0.509662, 0.532389, 0.562692, 0.590174, 0.625505, 0.667482, 0.698266, 0.761412, 0.817331, 0.929168, 0.942721");
-            values ( \
-              "-0.000128273, -0.0109694, -0.00907682, -0.0104833, -0.0156932, -0.0256845, -0.0297284, -0.01219, -1e-22, -2e-22, -0.146636, -0.228531, -0.243947, -0.251335, -0.257713, -0.260845, -0.262013, -0.262068, -0.258496, -0.259242, -0.250085, -0.236747, -0.218809, -0.139296, -0.0923104, -0.0758794, -0.0577312, -0.0447127, -0.0319068, -0.0210741, -0.0154821, -0.00788868, -0.00423472, -0.0016856, -0.00161107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.101419");
-            index_3 ("0.0834883, 0.190014, 0.201061, 0.215789, 0.235738, 0.286684, 0.381611, 0.445875, 0.495355, 0.511986, 0.545249, 0.58454, 0.670845, 0.704262, 0.742452, 0.798371, 0.835331, 0.859113, 0.906676, 0.950514, 1.00047, 1.04252, 1.09844, 1.12572, 1.18029, 1.23621, 1.29213, 1.34805, 1.40397, 1.5158, 1.62764, 1.85132");
-            values ( \
-              "-0.0297509, -0.292898, -0.294408, -0.295053, -0.294939, -0.29228, -0.285626, -0.282475, -0.274369, -0.268847, -0.254403, -0.230628, -0.173053, -0.152129, -0.129795, -0.101195, -0.0851041, -0.0759434, -0.0599744, -0.0479994, -0.0370338, -0.0296846, -0.0219342, -0.0189525, -0.0139943, -0.0102225, -0.00735279, -0.00535821, -0.0038972, -0.00219183, -0.00131046, -0.00052896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("8.81301e-05, 0.00108813, 0.00397688, 0.0190752, 0.0431285, 0.0728928, 0.114762, 0.14436, 0.161333, 0.176744, 0.21075, 0.21605, 0.22851, 0.241687, 0.249043, 0.251001, 0.25369, 0.257819, 0.267613, 0.276696, 0.285105, 0.29388, 0.310104, 0.320808, 0.330998, 0.340429, 0.348771, 0.356289, 0.365721, 0.410626, 0.426279, 0.42865");
-            values ( \
-              "-1e-22, -0.00126055, -0.00163356, -0.000596517, -0.000132007, -0.000163342, -0.00057783, -0.00134315, -0.000925737, -1e-22, -2e-22, -0.00030585, -0.0369775, -0.0573156, -0.0645891, -0.0636435, -0.0637447, -0.0649153, -0.0688109, -0.0763079, -0.0797532, -0.0690681, -0.0418811, -0.0273833, -0.0177583, -0.0115683, -0.00727482, -0.00504057, -0.00401287, -0.00137074, -0.000787411, -0.000737844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00708167");
-            index_3 ("0.000104329, 0.00399308, 0.0190674, 0.0431192, 0.114919, 0.144096, 0.160982, 0.176937, 0.215914, 0.230374, 0.244949, 0.24906, 0.252063, 0.257502, 0.275866, 0.290384, 0.297136, 0.305561, 0.328339, 0.345501, 0.363346, 0.384979, 0.393833, 0.410935, 0.457656");
-            values ( \
-              "-1e-22, -0.00253867, -0.00118688, -0.000371223, -0.00104031, -0.00240752, -0.00189705, -1e-22, -2e-22, -0.0635892, -0.0975399, -0.103509, -0.102466, -0.104064, -0.112466, -0.124029, -0.124106, -0.11349, -0.0664454, -0.0382747, -0.0203145, -0.00842436, -0.00559907, -0.00376005, -0.00189264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0137763");
-            index_3 ("0.000209155, 0.00120915, 0.00409791, 0.0283158, 0.0432009, 0.072971, 0.115126, 0.143995, 0.160778, 0.17673, 0.194034, 0.21545, 0.218285, 0.22418, 0.230925, 0.238626, 0.249164, 0.252921, 0.276062, 0.291252, 0.295852, 0.305924, 0.319064, 0.326532, 0.333917, 0.361513, 0.382989, 0.399586, 0.411386, 0.427836, 0.443264, 0.457024, 0.466986, 0.486909, 0.551011");
-            values ( \
-              "-1e-22, -0.0020407, -0.00348719, -0.00151151, -0.000944407, -0.000722531, -0.00180737, -0.00408605, -0.00364389, -0.000714858, -1e-22, -2e-22, -0.00745746, -0.0539164, -0.091955, -0.122261, -0.150755, -0.150641, -0.161257, -0.165503, -0.167405, -0.173762, -0.175113, -0.1668, -0.154365, -0.0975996, -0.0604848, -0.0402094, -0.0297271, -0.0192727, -0.0125396, -0.00802709, -0.00587494, -0.00353703, -0.00187879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0267996");
-            index_3 ("4.12602e-06, 0.00389288, 0.0429864, 0.0727633, 0.115493, 0.142646, 0.159213, 0.175076, 0.19196, 0.214032, 0.217693, 0.22408, 0.234945, 0.248959, 0.251407, 0.274956, 0.286437, 0.29743, 0.312871, 0.330787, 0.341622, 0.363508, 0.372211, 0.387087, 0.430061, 0.448294, 0.466398, 0.495909, 0.515945, 0.530582, 0.553128, 0.579508, 0.600454, 0.642345, 0.744684");
-            values ( \
-              "-1e-22, -0.00427419, -0.00191323, -0.00156353, -0.00307922, -0.00635705, -0.00651423, -0.00282219, -1e-22, -2e-22, -0.0046486, -0.0663851, -0.141417, -0.197331, -0.197031, -0.212227, -0.2152, -0.216533, -0.216987, -0.219461, -0.222997, -0.218113, -0.209149, -0.188698, -0.118581, -0.0925228, -0.0709591, -0.04463, -0.0321659, -0.0251741, -0.0170761, -0.0105616, -0.00696108, -0.0031625, -0.00127454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0521344");
-            index_3 ("0.217698, 0.235159, 0.248784, 0.268947, 0.27575, 0.28855, 0.302015, 0.317993, 0.374076, 0.389879, 0.410422, 0.446687, 0.464636, 0.483163, 0.55345, 0.574648, 0.606844, 0.63473, 0.655339, 0.68944, 0.704284, 0.730863, 0.768739, 0.811716, 0.845333, 0.901252, 0.957171, 1.01309, 1.23676");
-            values ( \
-              "-0.124535, -0.16659, -0.235747, -0.251708, -0.2557, -0.259933, -0.261566, -0.261786, -0.258546, -0.25838, -0.259225, -0.250057, -0.23682, -0.219045, -0.142184, -0.121145, -0.0931846, -0.0732421, -0.0608638, -0.0443514, -0.0385388, -0.029824, -0.0205157, -0.013296, -0.00928962, -0.00494706, -0.00291597, -0.00187524, -0.000498623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.101419");
-            index_3 ("0.226199, 0.256284, 0.274988, 0.287827, 0.300445, 0.315302, 0.35406, 0.472531, 0.544281, 0.593762, 0.610394, 0.643658, 0.682952, 0.802714, 0.840934, 0.896852, 0.957528, 1.00503, 1.049, 1.09912, 1.14113, 1.19705, 1.22426, 1.27867, 1.33459, 1.39051, 1.50235, 1.61418, 1.9497");
-            values ( \
-              "-0.269682, -0.270142, -0.286072, -0.291503, -0.293741, -0.2949, -0.294045, -0.28604, -0.28239, -0.274433, -0.268769, -0.254449, -0.230542, -0.152119, -0.129706, -0.101196, -0.0759239, -0.0600236, -0.0480123, -0.0370203, -0.0296437, -0.0219409, -0.0189291, -0.0140245, -0.0102112, -0.00737883, -0.00392019, -0.00221287, -0.000547335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.408772, 0.419243, 0.429293, 0.456982, 0.462968, 0.465853, 0.470136, 0.473993, 0.481706, 0.484188, 0.487023, 0.508566, 0.516202, 0.521029, 0.528909, 0.535902, 0.545438, 0.553707, 0.558843, 0.564134, 0.576117, 0.60707, 0.642477, 0.644016");
-            values ( \
-              "-0.0185951, -0.0239697, -0.0368046, -0.0675144, -0.0751097, -0.0780162, -0.0810812, -0.0822946, -0.080224, -0.0779056, -0.0743364, -0.0394883, -0.0292002, -0.0239602, -0.0171482, -0.0125831, -0.0075262, -0.00458448, -0.00376253, -0.00378515, -0.00336516, -0.00154742, -0.000440533, -0.000430587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00708167");
-            index_3 ("0.408821, 0.416636, 0.443474, 0.451336, 0.474323, 0.483917, 0.489719, 0.494244, 0.503294, 0.533082, 0.552468, 0.562342, 0.582242, 0.592582, 0.598774, 0.647554, 0.66846");
-            values ( \
-              "-0.0306136, -0.0346527, -0.0837646, -0.0958958, -0.125487, -0.130221, -0.128424, -0.125184, -0.111627, -0.0522844, -0.0259409, -0.0185227, -0.00866471, -0.00555336, -0.00475091, -0.00219761, -0.00162366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0137763");
-            index_3 ("0.413218, 0.426757, 0.441993, 0.452139, 0.460202, 0.47435, 0.489958, 0.503638, 0.51782, 0.522501, 0.52725, 0.532592, 0.543277, 0.550152, 0.551414, 0.55394, 0.55881, 0.570511, 0.581105, 0.592008, 0.605228, 0.611581, 0.622489, 0.637033, 0.646447, 0.658208, 0.673889, 0.700491, 0.729152, 0.757777, 0.818752, 0.856899");
-            values ( \
-              "-0.0713742, -0.0763299, -0.117243, -0.13964, -0.152394, -0.166905, -0.179262, -0.183468, -0.174581, -0.168735, -0.161163, -0.15124, -0.129611, -0.115037, -0.11099, -0.105364, -0.0957447, -0.0754788, -0.0595357, -0.0459371, -0.0331803, -0.0280632, -0.0211333, -0.0143795, -0.0106924, -0.00728841, -0.00471218, -0.00283315, -0.00232308, -0.00147551, -0.000510061, -0.00036795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0267996");
-            index_3 ("0.413212, 0.428258, 0.446112, 0.461586, 0.475302, 0.48689, 0.497177, 0.526598, 0.539695, 0.549983, 0.568973, 0.585101, 0.63838, 0.655468, 0.687393, 0.703033, 0.723518, 0.761999, 0.783448, 0.812045, 0.859605, 0.933105");
-            values ( \
-              "-0.0954509, -0.104117, -0.165805, -0.200816, -0.215866, -0.221535, -0.224416, -0.228482, -0.2284, -0.224809, -0.208973, -0.187776, -0.103411, -0.0811859, -0.0497687, -0.0386855, -0.0275768, -0.0141588, -0.0094723, -0.00531979, -0.00250442, -0.0017668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0521344");
-            index_3 ("0.418652, 0.446041, 0.455937, 0.466874, 0.484555, 0.502768, 0.516857, 0.550269, 0.564306, 0.571878, 0.588615, 0.609299, 0.626284, 0.646043, 0.677903, 0.763924, 0.811924, 0.851942, 0.880278, 0.931332, 0.968762, 1.00977, 1.04164, 1.09756, 1.20414");
-            values ( \
-              "-0.14632, -0.196447, -0.227213, -0.248202, -0.264279, -0.26965, -0.270782, -0.268944, -0.259985, -0.258854, -0.258385, -0.259134, -0.257023, -0.248915, -0.223037, -0.130393, -0.0884485, -0.0622172, -0.0479156, -0.0294449, -0.020356, -0.0134624, -0.00960185, -0.00511306, -0.00206888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.101419");
-            index_3 ("0.423846, 0.460334, 0.474376, 0.488348, 0.502611, 0.519888, 0.536585, 0.550143, 0.559079, 0.566977, 0.579021, 0.678982, 0.7349, 0.743274, 0.760023, 0.793519, 0.809279, 0.840798, 0.881856, 0.968234, 1.00179, 1.04015, 1.09607, 1.12097, 1.15634, 1.20351, 1.24819, 1.29913, 1.35505, 1.42048, 1.45278, 1.5087, 1.56462, 1.62054, 1.67646, 1.78829, 1.90013, 2.1238");
-            values ( \
-              "-0.221404, -0.264481, -0.287269, -0.297969, -0.302693, -0.305134, -0.305543, -0.305294, -0.296937, -0.293944, -0.292312, -0.285675, -0.2825, -0.282364, -0.280588, -0.273924, -0.268744, -0.255184, -0.23051, -0.172879, -0.151914, -0.129514, -0.101026, -0.0899784, -0.0759946, -0.0601754, -0.0479711, -0.0368344, -0.0273903, -0.0192466, -0.0161119, -0.0118119, -0.00853576, -0.00618999, -0.00449048, -0.00249269, -0.00147382, -0.000589614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.80251, 0.811785, 0.817622, 0.834179, 0.850849, 0.862778, 0.872859, 0.878768, 0.886105, 0.890478, 0.899984, 0.931466, 0.946024, 0.95815, 0.965961, 1.00863");
-            values ( \
-              "-0.0126398, -0.0156454, -0.0189275, -0.0319533, -0.047403, -0.0624722, -0.0706295, -0.0734606, -0.0745677, -0.0734047, -0.0646216, -0.0231573, -0.012506, -0.00658101, -0.00487915, -0.00207403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00708167");
-            index_3 ("0.808798, 0.82561, 0.847876, 0.870851, 0.882223, 0.892756, 0.903251, 0.912451, 0.943578, 0.957314, 0.974199, 0.997727, 1.01615, 1.06041");
-            values ( \
-              "-0.0359107, -0.0399497, -0.0682867, -0.106083, -0.118349, -0.123635, -0.119548, -0.108176, -0.0508999, -0.0325193, -0.0180032, -0.00664214, -0.00407804, -0.00214472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0137763");
-            index_3 ("0.808559, 0.830826, 0.848338, 0.881422, 0.88435, 0.890205, 0.898714, 0.912523, 0.926562, 0.93363, 0.941877, 0.977811, 0.987917, 0.99537, 1.00387, 1.01528, 1.02665, 1.04181, 1.05346, 1.06041, 1.07431, 1.09832, 1.15689, 1.19373");
-            values ( \
-              "-0.0441642, -0.0672389, -0.0981247, -0.160723, -0.165143, -0.172273, -0.178622, -0.180845, -0.172401, -0.163309, -0.149122, -0.0794695, -0.0633902, -0.0532158, -0.0432506, -0.032475, -0.0242457, -0.0161567, -0.0115506, -0.0092361, -0.00589812, -0.00330781, -0.0017432, -0.00108921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0267996");
-            index_3 ("0.808354, 0.824648, 0.868134, 0.888219, 0.898368, 0.907663, 0.926252, 0.933248, 0.941194, 0.949225, 0.965286, 0.970633, 0.981325, 0.994257, 1.03858, 1.06685, 1.08434, 1.10433, 1.11684, 1.13846, 1.16163, 1.17823, 1.18931, 1.22445, 1.23547, 1.33617, 1.49406");
-            values ( \
-              "-0.0647032, -0.073553, -0.171031, -0.205182, -0.218334, -0.227073, -0.234437, -0.234362, -0.233429, -0.231289, -0.22282, -0.218325, -0.206409, -0.187492, -0.116117, -0.0785549, -0.0604034, -0.0440759, -0.0359963, -0.0251771, -0.0169474, -0.0125876, -0.0102368, -0.00451738, -0.0035733, -0.00164603, -0.000406329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0521344");
-            index_3 ("0.814886, 0.841767, 0.86732, 0.892558, 0.910273, 0.92285, 0.949639, 0.975829, 1.00054, 1.01886, 1.0552, 1.07367, 1.09542, 1.17039, 1.22485, 1.26515, 1.29261, 1.34157, 1.37999, 1.42383, 1.45817, 1.51409, 1.62593, 1.64444");
-            values ( \
-              "-0.109809, -0.134042, -0.200737, -0.244243, -0.258668, -0.264306, -0.271827, -0.273596, -0.270353, -0.265892, -0.25006, -0.235837, -0.213872, -0.132557, -0.0847137, -0.0600353, -0.046838, -0.0295615, -0.0203182, -0.0131011, -0.00910111, -0.00488983, -0.00188765, -0.00177278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.101419");
-            index_3 ("0.821228, 0.862588, 0.885352, 0.900786, 0.913895, 0.931372, 0.949674, 0.99479, 1.05932, 1.09054, 1.14646, 1.16758, 1.21582, 1.25642, 1.27322, 1.39856, 1.48458, 1.52489, 1.56875, 1.62467, 1.65915, 1.69938, 1.74455, 1.82714, 1.87553, 1.93084, 1.98676, 2.0986, 2.21043, 2.54594");
-            values ( \
-              "-0.150586, -0.210273, -0.261013, -0.279997, -0.288622, -0.294452, -0.29755, -0.298356, -0.295281, -0.292978, -0.287206, -0.283374, -0.270374, -0.251525, -0.24201, -0.159889, -0.111329, -0.0926014, -0.0751568, -0.0569535, -0.0478119, -0.0388471, -0.0306238, -0.0196478, -0.0150901, -0.0110641, -0.00800657, -0.00423781, -0.00237572, -0.00057947" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00601534, 0.00602703, 0.00604119, 0.00605334, 0.00606228, 0.00606796", \
-            "0.00699068, 0.00700042, 0.00701429, 0.00702822, 0.00704008, 0.00704843", \
-            "0.00758468, 0.00758872, 0.00759575, 0.00760571, 0.00761643, 0.00762534", \
-            "0.00795388, 0.00795537, 0.00795787, 0.00796233, 0.00796877, 0.00797559", \
-            "0.00815807, 0.00815881, 0.00816003, 0.00816193, 0.00816465, 0.00816826", \
-            "0.00828699, 0.00828753, 0.00828845, 0.00828986, 0.00829171, 0.00829389" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00842514, 0.00845615, 0.00849346, 0.00853707, 0.00856512, 0.00858758", \
-            "0.00933459, 0.00935592, 0.0093887, 0.00943203, 0.00947451, 0.00950646", \
-            "0.0100623, 0.0100765, 0.0101059, 0.0101401, 0.0101782, 0.0102154", \
-            "0.0109149, 0.0109118, 0.0109126, 0.0109212, 0.010938, 0.0109608", \
-            "0.0101882, 0.0104192, 0.0106691, 0.0108934, 0.0110612, 0.0111733", \
-            "0.00735301, 0.00740477, 0.00768267, 0.00836104, 0.00905638, 0.0100833" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "~B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "!B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.068916, 0.0850589, 0.115654, 0.174119, 0.286862, 0.505488", \
-            "0.0734714, 0.0894045, 0.12052, 0.179238, 0.292218, 0.510949", \
-            "0.0856647, 0.101711, 0.132416, 0.191217, 0.304359, 0.523194", \
-            "0.116174, 0.131996, 0.16166, 0.219014, 0.33226, 0.551209", \
-            "0.165919, 0.188606, 0.2268, 0.28822, 0.399103, 0.616485", \
-            "0.244099, 0.275158, 0.328474, 0.415352, 0.55026, 0.766555" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0830823, 0.103784, 0.145382, 0.227263, 0.38714, 0.69831", \
-            "0.0829112, 0.103738, 0.145378, 0.227299, 0.387082, 0.69829", \
-            "0.0828651, 0.103294, 0.145298, 0.227216, 0.387158, 0.698294", \
-            "0.0961073, 0.112556, 0.149067, 0.227149, 0.387181, 0.69831", \
-            "0.135635, 0.152871, 0.18323, 0.248023, 0.391586, 0.698302", \
-            "0.197784, 0.221557, 0.263626, 0.334319, 0.455144, 0.720643" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0462016, 0.0563155, 0.0755537, 0.112399, 0.183505, 0.321287", \
-            "0.0506892, 0.0609196, 0.080276, 0.117312, 0.188513, 0.32643", \
-            "0.0610389, 0.0712389, 0.0907284, 0.127895, 0.199278, 0.337324", \
-            "0.07719, 0.091008, 0.113951, 0.151622, 0.223077, 0.361266", \
-            "0.0901665, 0.110141, 0.143568, 0.196428, 0.275893, 0.414928", \
-            "0.0892184, 0.117212, 0.164632, 0.241133, 0.358505, 0.531982" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0467958, 0.0600311, 0.0860178, 0.136469, 0.234632, 0.425584", \
-            "0.0467897, 0.0600882, 0.0859984, 0.136492, 0.23465, 0.425701", \
-            "0.0497473, 0.0616057, 0.0861826, 0.136484, 0.234641, 0.425703", \
-            "0.0677102, 0.0785078, 0.0983693, 0.14158, 0.234868, 0.425696", \
-            "0.100278, 0.114241, 0.13899, 0.180353, 0.256306, 0.429803", \
-            "0.155253, 0.173862, 0.207256, 0.262079, 0.349816, 0.496718" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0283484, 0.0314068, 0.0339007, 0.0370079, 0.0408054, 0.0477789, 0.0683215, 0.0804737, 0.0903104, 0.0935613, 0.100063, 0.109005, 0.120047, 0.137213, 0.14356, 0.149504, 0.178592, 0.190477, 0.205794, 0.231653, 0.251899, 0.278894, 0.321729, 0.371686");
-            values ( \
-              "0.0062937, 0.0796113, 0.0780641, 0.0734024, 0.0700816, 0.0659839, 0.0590255, 0.0534639, 0.0482255, 0.0474728, 0.0435446, 0.0367056, 0.0294971, 0.0203217, 0.0190303, 0.0184106, 0.0111899, 0.00861711, 0.00613345, 0.00339488, 0.00211967, 0.00117314, 0.00034526, 0.000140486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00708167");
-            index_3 ("0.0284189, 0.0326614, 0.0418542, 0.0477981, 0.0544329, 0.081713, 0.0941782, 0.113028, 0.123167, 0.1321, 0.145909, 0.162763, 0.182598, 0.190887, 0.235779, 0.252277, 0.270911, 0.284563, 0.299285, 0.318914, 0.352022, 0.387684");
-            values ( \
-              "0.00364434, 0.116666, 0.105479, 0.101161, 0.0981038, 0.0888269, 0.0833033, 0.0737272, 0.0665441, 0.0586165, 0.0478234, 0.0370414, 0.026246, 0.0247357, 0.0128928, 0.00959325, 0.00685537, 0.0052438, 0.00396767, 0.00277842, 0.0013983, 0.000803889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0137763");
-            index_3 ("0.0301461, 0.0344372, 0.0394075, 0.0428225, 0.048158, 0.055946, 0.0666112, 0.0956466, 0.105968, 0.124226, 0.150275, 0.160203, 0.176878, 0.195598, 0.216591, 0.241014, 0.261632, 0.269061, 0.273197, 0.287795, 0.318585, 0.336474, 0.354558, 0.380217, 0.412892, 0.456459, 0.523374, 0.605748");
-            values ( \
-              "0.0781811, 0.153334, 0.146102, 0.142255, 0.138097, 0.134595, 0.131363, 0.123631, 0.120502, 0.113936, 0.102728, 0.096093, 0.0830774, 0.0692668, 0.0556532, 0.0419535, 0.0321335, 0.0303564, 0.0289648, 0.0251525, 0.0178557, 0.014266, 0.0113413, 0.00789382, 0.00498213, 0.00271984, 0.000897154, 0.000297371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0267996");
-            index_3 ("0.0318063, 0.0318263, 0.0712627, 0.120092, 0.152079, 0.185722, 0.221855, 0.247613, 0.294415, 0.335615, 0.357286, 0.400626, 0.426474, 0.448978, 0.477788, 0.519754, 0.552971, 0.577872, 0.629752, 0.689042, 0.772179, 0.802202");
-            values ( \
-              "1e-22, 0.178751, 0.162915, 0.154141, 0.147642, 0.139096, 0.127619, 0.11402, 0.08743, 0.0664943, 0.0567353, 0.0397394, 0.0326968, 0.0277584, 0.0222833, 0.0158178, 0.0118206, 0.0094786, 0.0058641, 0.0033519, 0.0014446, 0.00123085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0521344");
-            index_3 ("0.033847, 0.033867, 0.124248, 0.192858, 0.263335, 0.326746, 0.360122, 0.430553, 0.530916, 0.593635, 0.672761, 0.723427, 0.76322, 0.822621, 0.860027, 0.914573, 0.963193, 1.0277, 1.11083, 1.19397, 1.31002");
-            values ( \
-              "1e-22, 0.201955, 0.180748, 0.172932, 0.1636, 0.152968, 0.145348, 0.121403, 0.0850908, 0.0651323, 0.0445539, 0.0348147, 0.0287975, 0.021534, 0.0178216, 0.013371, 0.0102927, 0.00721967, 0.00452009, 0.0027943, 0.00158634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.101419");
-            index_3 ("0.0368902, 0.0369102, 0.182382, 0.361074, 0.495451, 0.560111, 0.628584, 0.755087, 0.940289, 1.05704, 1.20598, 1.27589, 1.39742, 1.56448, 1.69824, 1.86451, 2.03078, 2.19706, 2.28408");
-            values ( \
-              "1e-22, 0.219138, 0.194449, 0.182614, 0.171898, 0.165475, 0.156421, 0.131937, 0.0920915, 0.0697263, 0.0466801, 0.0384207, 0.0272802, 0.0167599, 0.0111647, 0.00663988, 0.00390797, 0.00228264, 0.00195937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0426341, 0.0555235, 0.0712177, 0.0873403, 0.11501, 0.135939, 0.154406, 0.159886, 0.17215, 0.201456, 0.21641, 0.234037, 0.266354, 0.304404, 0.328087");
-            values ( \
-              "0.00682659, 0.0752395, 0.0647649, 0.0588761, 0.0457988, 0.0310516, 0.0208816, 0.0193069, 0.0175329, 0.010179, 0.00735714, 0.00496763, 0.00235855, 0.000956525, 0.000739774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00708167");
-            index_3 ("0.0471448, 0.0522993, 0.0547416, 0.056498, 0.0683111, 0.0728366, 0.103198, 0.129011, 0.131388, 0.142588, 0.150473, 0.173901, 0.192682, 0.203244, 0.210778, 0.244036, 0.265742, 0.278943, 0.308545, 0.321841, 0.366273, 0.405779");
-            values ( \
-              "0.0483483, 0.101042, 0.110556, 0.111827, 0.101651, 0.0988927, 0.0875803, 0.0744787, 0.0739193, 0.0657752, 0.0584804, 0.041264, 0.0302873, 0.0256118, 0.0243992, 0.0152829, 0.010483, 0.00830956, 0.00467391, 0.0036194, 0.00148723, 0.000991633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0137763");
-            index_3 ("0.0440572, 0.058219, 0.0670669, 0.0729459, 0.0789705, 0.0854138, 0.124752, 0.143075, 0.169058, 0.179768, 0.209728, 0.2239, 0.244944, 0.267975, 0.284161, 0.289241, 0.2994, 0.331769, 0.361508, 0.377327, 0.4011, 0.427584, 0.462895, 0.51733, 0.595214, 0.678351");
-            values ( \
-              "0.0196329, 0.149451, 0.140487, 0.136304, 0.133629, 0.131556, 0.120521, 0.113893, 0.102743, 0.0955176, 0.0725772, 0.0628759, 0.0500498, 0.0377643, 0.0309038, 0.0299573, 0.0269233, 0.0190807, 0.0131685, 0.0107071, 0.00770995, 0.00534811, 0.00319584, 0.00143999, 0.000388247, 0.000123126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0267996");
-            index_3 ("0.0443158, 0.0596764, 0.0690808, 0.0787749, 0.177324, 0.222387, 0.240955, 0.332651, 0.380589, 0.420106, 0.462794, 0.50379, 0.547992, 0.582482, 0.634037, 0.749903, 0.775727");
-            values ( \
-              "0.0203149, 0.180551, 0.171105, 0.16604, 0.14619, 0.134029, 0.127631, 0.077422, 0.0549485, 0.0396749, 0.0288566, 0.0211133, 0.0146104, 0.0107939, 0.00675871, 0.00221623, 0.00193878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0521344");
-            index_3 ("0.0551013, 0.0551213, 0.133392, 0.204891, 0.259994, 0.330914, 0.35426, 0.37947, 0.429891, 0.53186, 0.583516, 0.642551, 0.671859, 0.720055, 0.755469, 0.814062, 0.89082, 0.947791, 1.02735, 1.08638, 1.16952, 1.25265, 1.33579, 1.50206");
-            values ( \
-              "1e-22, 0.212871, 0.181887, 0.173783, 0.166862, 0.155783, 0.151397, 0.14522, 0.128563, 0.0914388, 0.0741423, 0.0568039, 0.0492329, 0.0387805, 0.0327691, 0.0247281, 0.0167866, 0.0123909, 0.00803607, 0.00580359, 0.00359095, 0.00224687, 0.00136756, 0.0005128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.101419");
-            index_3 ("0.0566156, 0.0566356, 0.181958, 0.358616, 0.471207, 0.536526, 0.619663, 0.648246, 0.705412, 0.774451, 0.960176, 1.07723, 1.16037, 1.22423, 1.29463, 1.3709, 1.40991, 1.51523, 1.58553, 1.66866, 1.80096, 1.88409, 1.96723, 2.05037, 2.21664, 2.46605, 2.71546");
-            values ( \
-              "1e-22, 0.222128, 0.19573, 0.184186, 0.175723, 0.169921, 0.160604, 0.156206, 0.146004, 0.131815, 0.091953, 0.0695484, 0.0559686, 0.0469091, 0.0384478, 0.0311326, 0.0278349, 0.0205688, 0.0167347, 0.0129993, 0.00864705, 0.00668787, 0.00512365, 0.00395583, 0.00233026, 0.00102993, 0.000476282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0746312, 0.0815509, 0.0843692, 0.0881563, 0.0965136, 0.101211, 0.109447, 0.112602, 0.114535, 0.116683, 0.119854, 0.138376, 0.147982, 0.155007, 0.161729, 0.169448, 0.176562, 0.182165, 0.19094, 0.195353, 0.201238, 0.206512, 0.212883, 0.222664, 0.235895, 0.244119, 0.256018, 0.265977, 0.27703, 0.292777, 0.298187, 0.29976, 0.309195, 0.321775, 0.346934, 0.392088, 0.446093");
-            values ( \
-              "0.0125203, 0.0254051, 0.0289587, 0.0345109, 0.0440986, 0.0490705, 0.0569675, 0.0595844, 0.0640811, 0.065995, 0.0650608, 0.0554954, 0.0503192, 0.0472886, 0.0435836, 0.037488, 0.0326327, 0.0291603, 0.0245477, 0.0219448, 0.0195174, 0.0190333, 0.017985, 0.0157459, 0.0121627, 0.0102566, 0.00788256, 0.00631648, 0.00497909, 0.00342784, 0.00308595, 0.00292309, 0.00235346, 0.00180074, 0.000955989, 0.0003296, 4.8408e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00708167");
-            index_3 ("0.0752913, 0.0844267, 0.0888851, 0.0977857, 0.10924, 0.112578, 0.114285, 0.116293, 0.11843, 0.122702, 0.125864, 0.12923, 0.13588, 0.143105, 0.155506, 0.177042, 0.182438, 0.192157, 0.201684, 0.212572, 0.231777, 0.242631, 0.251359, 0.288005, 0.300022, 0.306937, 0.316156, 0.333885, 0.351026, 0.376989, 0.411143, 0.464059, 0.530202");
-            values ( \
-              "0.0126232, 0.0432109, 0.0521815, 0.0682403, 0.086414, 0.0909764, 0.0972717, 0.100982, 0.101002, 0.0989935, 0.0968199, 0.0949704, 0.0919162, 0.0890334, 0.083348, 0.0722554, 0.0682872, 0.0597704, 0.0520568, 0.0442343, 0.0325115, 0.026789, 0.02487, 0.0151473, 0.012258, 0.0108359, 0.00923649, 0.00658487, 0.00476997, 0.00290188, 0.00152042, 0.000460316, 0.000137939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0137763");
-            index_3 ("0.0760684, 0.0886008, 0.103474, 0.112541, 0.116783, 0.118873, 0.134937, 0.167421, 0.184962, 0.211713, 0.232691, 0.249687, 0.268805, 0.283274, 0.300822, 0.322237, 0.351917, 0.391914, 0.404515, 0.42949, 0.451764, 0.485395, 0.530235, 0.60077, 0.683907");
-            values ( \
-              "0.0106676, 0.0685612, 0.104982, 0.124344, 0.138511, 0.138794, 0.130386, 0.120523, 0.114226, 0.1026, 0.0878784, 0.0745785, 0.0613434, 0.0525191, 0.0429052, 0.0323952, 0.024505, 0.0154349, 0.0131837, 0.00937021, 0.00688869, 0.00428363, 0.00226602, 0.000713053, 0.00021821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0267996");
-            index_3 ("0.0770013, 0.0942844, 0.118241, 0.121732, 0.136069, 0.143458, 0.181915, 0.219606, 0.247556, 0.278261, 0.29451, 0.373319, 0.410248, 0.460516, 0.484432, 0.506237, 0.546396, 0.590023, 0.624738, 0.677215, 0.716641, 0.795493, 0.878629, 0.961766");
-            values ( \
-              "0.0113641, 0.10037, 0.171161, 0.17049, 0.16334, 0.161382, 0.154082, 0.146287, 0.13909, 0.129775, 0.122238, 0.0784237, 0.0605788, 0.040279, 0.0336434, 0.0286867, 0.0211303, 0.0147051, 0.010806, 0.00669516, 0.00466019, 0.00212124, 0.000949554, 0.000388599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0521344");
-            index_3 ("0.0776047, 0.101842, 0.118649, 0.121659, 0.131767, 0.143402, 0.247873, 0.302981, 0.341295, 0.390144, 0.422441, 0.492875, 0.59331, 0.656094, 0.720313, 0.751808, 0.78468, 0.851961, 0.925226, 1.01551, 1.08648, 1.16758, 1.25072, 1.33386, 1.37353");
-            values ( \
-              "0.0165656, 0.138538, 0.194296, 0.193944, 0.188707, 0.185835, 0.173761, 0.166844, 0.161238, 0.152764, 0.145332, 0.121374, 0.0850969, 0.0651233, 0.0478959, 0.0410257, 0.0349623, 0.0253258, 0.0175265, 0.010848, 0.00739671, 0.00463461, 0.0029191, 0.00176553, 0.00155571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.101419");
-            index_3 ("0.0968284, 0.0968484, 0.237051, 0.375017, 0.45709, 0.511101, 0.579733, 0.662869, 0.691455, 0.748627, 0.81766, 1.00338, 1.12044, 1.20358, 1.26743, 1.33783, 1.41409, 1.45312, 1.55845, 1.62874, 1.71188, 1.84415, 1.92729, 2.01043, 2.09356, 2.25984, 2.50925, 2.75866");
-            values ( \
-              "1e-22, 0.211673, 0.194958, 0.186051, 0.180251, 0.176018, 0.169897, 0.160628, 0.156184, 0.146024, 0.131795, 0.0919378, 0.069534, 0.0559838, 0.046923, 0.038435, 0.0311475, 0.027823, 0.0205589, 0.0167435, 0.0129903, 0.00863896, 0.00669653, 0.00511566, 0.00396411, 0.00233821, 0.0010225, 0.000483427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124558, 0.149288, 0.169611, 0.183446, 0.212099, 0.226856, 0.240704, 0.248973, 0.25169, 0.254277, 0.273512, 0.294937, 0.299613, 0.311223, 0.337319, 0.356238, 0.383185, 0.398043, 0.420745, 0.451014, 0.478627");
-            values ( \
-              "0.00132059, 0.0124002, 0.0242303, 0.0307269, 0.0423102, 0.0464572, 0.0481995, 0.0477807, 0.0489495, 0.0486044, 0.0340836, 0.0215854, 0.0199389, 0.0182534, 0.0115352, 0.00766857, 0.00418707, 0.00298466, 0.00176489, 0.000864429, 0.000496637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00708167");
-            index_3 ("0.139328, 0.157247, 0.164745, 0.179742, 0.210834, 0.228688, 0.237513, 0.240965, 0.248839, 0.25078, 0.253372, 0.266854, 0.272612, 0.284129, 0.296488, 0.31571, 0.330893, 0.34183, 0.350221, 0.375028, 0.396985, 0.408342, 0.42196, 0.441184, 0.461415, 0.475118, 0.502054, 0.552866, 0.61543");
-            values ( \
-              "0.021841, 0.0253348, 0.0320105, 0.0440642, 0.0647316, 0.074691, 0.0787044, 0.0799385, 0.0819909, 0.0842199, 0.0849506, 0.0770419, 0.0743708, 0.0654677, 0.0547234, 0.0410058, 0.0319799, 0.0264065, 0.0248166, 0.0180378, 0.0125139, 0.0102147, 0.00802598, 0.00553484, 0.00378688, 0.00295288, 0.00171623, 0.000620082, 0.000130718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0137763");
-            index_3 ("0.124483, 0.210268, 0.22185, 0.244728, 0.248899, 0.252188, 0.257344, 0.271907, 0.309158, 0.327696, 0.350129, 0.371046, 0.391108, 0.405258, 0.424433, 0.429382, 0.439281, 0.470782, 0.486945, 0.507528, 0.529443, 0.544925, 0.558698, 0.577061, 0.613788, 0.681224, 0.764098");
-            values ( \
-              "0.00118599, 0.0890864, 0.0992337, 0.117409, 0.119991, 0.12407, 0.12527, 0.118491, 0.102861, 0.0895572, 0.0722819, 0.0583313, 0.0466679, 0.0391043, 0.0309188, 0.0299381, 0.0270124, 0.0193465, 0.0159048, 0.0121844, 0.00912365, 0.00731108, 0.0060349, 0.00471635, 0.00272847, 0.00100088, 0.000219937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0267996");
-            index_3 ("0.17022, 0.214893, 0.218028, 0.2243, 0.227427, 0.230554, 0.233681, 0.236808, 0.239627, 0.242446, 0.24848, 0.249317, 0.250993, 0.252708, 0.255492, 0.258275, 0.26996, 0.278307, 0.29233, 0.310279, 0.324793, 0.339306, 0.346258, 0.357843, 0.371745, 0.375899, 0.380054, 0.384208, 0.396671, 0.421313, 0.451583, 0.461673, 0.486892, 0.502845, 0.518798, 0.526774, 0.544977, 0.555203, 0.560651, 0.571547, 0.581153, 0.59076, 0.610905, 0.637283, 0.649696, 0.662109, 0.672039, 0.690671, 0.714399, 0.742146");
-            values ( \
-              "0.115187, 0.116842, 0.122949, 0.138052, 0.138498, 0.139333, 0.140558, 0.142172, 0.14396, 0.146065, 0.152145, 0.156408, 0.15773, 0.160074, 0.160239, 0.159946, 0.156166, 0.154273, 0.151348, 0.147377, 0.143944, 0.140314, 0.138409, 0.13496, 0.130507, 0.1289, 0.127186, 0.125168, 0.1188, 0.104822, 0.0880339, 0.0825322, 0.0695915, 0.0620614, 0.055073, 0.0517586, 0.0446437, 0.0409203, 0.0391023, 0.0358688, 0.0334257, 0.0311567, 0.0269636, 0.022072, 0.0198932, 0.0179016, 0.0164376, 0.0140888, 0.011516, 0.00884803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0521344");
-            index_3 ("0.124262, 0.248678, 0.260066, 0.277422, 0.366896, 0.446354, 0.518307, 0.744923, 0.833788, 0.888798, 0.930161, 1.03916, 1.09828, 1.17055, 1.33248, 1.40201");
-            values ( \
-              "0.00149998, 0.176993, 0.186209, 0.181525, 0.170982, 0.159755, 0.145395, 0.0671954, 0.0439093, 0.0336341, 0.027623, 0.0159635, 0.0116635, 0.00786168, 0.00313962, 0.00233184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.101419");
-            index_3 ("0.167989, 0.216383, 0.252816, 0.260266, 0.266961, 0.280775, 0.51966, 0.654034, 0.718692, 0.787166, 0.913668, 1.09887, 1.21562, 1.29875, 1.36455, 1.43446, 1.54912, 1.65203, 1.80617, 1.93991, 2.02305, 2.18932, 2.35559, 2.49601");
-            values ( \
-              "0.117698, 0.145518, 0.199426, 0.202987, 0.200117, 0.198307, 0.182646, 0.17193, 0.165508, 0.156389, 0.131905, 0.0920601, 0.069695, 0.0560383, 0.0467147, 0.0383897, 0.0278465, 0.0207226, 0.0130777, 0.00866637, 0.0066726, 0.00394021, 0.00231454, 0.00179277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.288826, 0.330495, 0.338541, 0.34451, 0.356447, 0.369276, 0.378856, 0.38615, 0.402852, 0.419555, 0.423353, 0.434644, 0.438025, 0.441406, 0.444043, 0.44668, 0.451953, 0.454328, 0.459078, 0.461453, 0.465097, 0.468742, 0.472387, 0.476031, 0.477373, 0.481399, 0.48398, 0.491725, 0.503821, 0.504568, 0.506809, 0.507766, 0.508722, 0.513981, 0.519719, 0.523418, 0.528777, 0.544259, 0.547079, 0.550533, 0.554993, 0.557418, 0.559843, 0.564981, 0.579848, 0.589029, 0.593619, 0.6028, 0.607391, 0.61976");
-            values ( \
-              "0.0118405, 0.0139075, 0.0162599, 0.0177333, 0.0204358, 0.0229692, 0.0246864, 0.0259122, 0.0281534, 0.0301273, 0.0304002, 0.0309221, 0.0311656, 0.0314658, 0.0318333, 0.0322881, 0.0334596, 0.0334821, 0.0334282, 0.0333518, 0.0331704, 0.0329115, 0.0325749, 0.0321607, 0.0318531, 0.0307301, 0.0296879, 0.0264168, 0.0208747, 0.0206538, 0.0200756, 0.0200496, 0.0199638, 0.0192757, 0.0183035, 0.0175572, 0.0163465, 0.0124784, 0.0114544, 0.0114215, 0.0112994, 0.011119, 0.0108583, 0.0100236, 0.00724462, 0.00590628, 0.00534795, 0.00435984, 0.00393007, 0.00294999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00708167");
-            index_3 ("0.224078, 0.2747, 0.295637, 0.318181, 0.384356, 0.439458, 0.46318, 0.482699, 0.491116, 0.50234, 0.549661, 0.55365, 0.559683, 0.603202, 0.642312, 0.673389, 0.725572, 0.770262");
-            values ( \
-              "0.00198104, 0.00636889, 0.0101074, 0.0163414, 0.0397597, 0.052213, 0.0550648, 0.0589369, 0.0587728, 0.0553639, 0.0288509, 0.0295231, 0.0288696, 0.0159771, 0.00790469, 0.00440736, 0.00162532, 0.000689776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0137763");
-            index_3 ("0.294788, 0.34731, 0.36382, 0.380344, 0.396868, 0.413673, 0.42868, 0.440005, 0.451331, 0.466432, 0.473982, 0.475154, 0.479841, 0.48609, 0.502311, 0.506033, 0.509756, 0.513478, 0.517201, 0.520923, 0.524645, 0.526699, 0.528753, 0.543724, 0.550478, 0.556839, 0.565903, 0.5856, 0.598408, 0.608893, 0.621138, 0.626285, 0.631432, 0.63658, 0.641727, 0.645335, 0.648943, 0.651488, 0.660042, 0.666619, 0.676982, 0.684671, 0.697377, 0.703975, 0.710573, 0.717171, 0.721566, 0.734751, 0.753691, 0.770467");
-            values ( \
-              "0.0305, 0.0379028, 0.0463238, 0.0532071, 0.0598048, 0.0662247, 0.0717229, 0.0754382, 0.0790245, 0.0836055, 0.08581, 0.0860479, 0.0872943, 0.0886173, 0.0917163, 0.0925461, 0.0934782, 0.0940785, 0.0944917, 0.0947178, 0.0947568, 0.0946102, 0.0943852, 0.0879118, 0.0848798, 0.0818559, 0.0761309, 0.0623857, 0.0542561, 0.0478976, 0.0410848, 0.0385904, 0.0362934, 0.0341939, 0.0322919, 0.0313463, 0.0305783, 0.029704, 0.0273176, 0.0255298, 0.0228943, 0.0210285, 0.0180713, 0.0165875, 0.0152027, 0.0139169, 0.0131625, 0.0110789, 0.00860307, 0.00664861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0267996");
-            index_3 ("0.23998, 0.275741, 0.299191, 0.311196, 0.335205, 0.377799, 0.446726, 0.490844, 0.528967, 0.539835, 0.557426, 0.563891, 0.59994, 0.690233, 0.722908, 0.756431, 0.775444, 0.789235, 0.828762, 0.856461, 0.894849, 0.92825, 0.963008, 1.01197, 1.07724, 1.13929");
-            values ( \
-              "0.00681221, 0.0137398, 0.0215508, 0.0265623, 0.0390852, 0.064766, 0.0995398, 0.119397, 0.133531, 0.136773, 0.14072, 0.139916, 0.127594, 0.0781149, 0.0622371, 0.04804, 0.0407663, 0.0366428, 0.0274198, 0.0222037, 0.0162256, 0.0121161, 0.00886222, 0.00563629, 0.00303307, 0.00174037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0521344");
-            index_3 ("0.27491, 0.331124, 0.378617, 0.456131, 0.526781, 0.555736, 0.561233, 0.585493, 0.616269, 0.67401, 0.732537, 0.778581, 0.904719, 0.961432, 1.01937, 1.05154, 1.10711, 1.1487, 1.21355, 1.2551, 1.31432, 1.39055, 1.4695, 1.55264, 1.71891, 1.88518");
-            values ( \
-              "0.0230134, 0.0437975, 0.0749581, 0.122034, 0.160512, 0.174304, 0.174862, 0.170826, 0.166866, 0.158052, 0.146203, 0.131284, 0.0857403, 0.0674719, 0.051499, 0.0438384, 0.033496, 0.0274605, 0.0199273, 0.0160941, 0.0117404, 0.00773941, 0.00495683, 0.00308137, 0.00117977, 0.000450562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.101419");
-            index_3 ("0.274813, 0.358709, 0.465389, 0.537896, 0.557635, 0.569748, 0.579152, 0.686653, 0.76979, 0.820677, 0.891369, 0.974506, 1.00311, 1.06033, 1.12932, 1.31504, 1.4321, 1.51523, 1.57909, 1.64949, 1.72575, 1.76477, 1.81391, 1.87007, 1.94036, 2.0235, 2.07265, 2.15578, 2.23892, 2.32205, 2.40519, 2.57146, 2.82087, 3.07028");
-            values ( \
-              "0.0199467, 0.067151, 0.13954, 0.184517, 0.19453, 0.194782, 0.192998, 0.18601, 0.180155, 0.176175, 0.169916, 0.160623, 0.156196, 0.146005, 0.131806, 0.0919484, 0.0695448, 0.0559742, 0.0469133, 0.0384465, 0.031138, 0.0278349, 0.0242355, 0.0205736, 0.0167332, 0.0130038, 0.0112102, 0.008652, 0.00668455, 0.00512851, 0.00395182, 0.00232572, 0.00103534, 0.00047056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.455633, 0.575002, 0.608276, 0.641049, 0.68722, 0.723273, 0.746694, 0.785787, 0.822496, 0.841684, 0.852149, 0.866346, 0.880453, 0.882414, 0.886336, 0.89418, 0.912519, 0.920523, 0.930339, 0.937416, 0.954747, 0.984704, 0.999094, 1.03272, 1.06499, 1.0864, 1.12647, 1.17989, 1.21595, 1.23731, 1.26391, 1.27048");
-            values ( \
-              "0.000196516, 0.00200064, 0.00287036, 0.00422622, 0.0080792, 0.012672, 0.0150657, 0.018491, 0.0201123, 0.0202438, 0.0208011, 0.021984, 0.0218212, 0.0218948, 0.0217886, 0.0218391, 0.0214989, 0.0205147, 0.0202887, 0.0207122, 0.0179221, 0.0114992, 0.00910291, 0.00497909, 0.00263936, 0.00171177, 0.000732348, 0.000219778, 9.03359e-05, 0.000693983, 0.000563343, 0.000472155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00708167");
-            index_3 ("0.531942, 0.608314, 0.646601, 0.694265, 0.76468, 0.808778, 0.847226, 0.88325, 0.90105, 0.933323, 0.949623, 0.975581, 0.984974, 1.06074, 1.12037, 1.15156, 1.20014, 1.21599, 1.23014, 1.24717, 1.29542, 1.40415");
-            values ( \
-              "0.00470993, 0.00499614, 0.00759506, 0.0140367, 0.0263356, 0.0316021, 0.0346487, 0.0363074, 0.0385013, 0.0389683, 0.0384555, 0.0338589, 0.0296468, 0.0134042, 0.00509513, 0.00294653, 0.00120734, 0.000994671, 0.00181301, 0.00176945, 0.000655093, 6.22133e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0137763");
-            index_3 ("0.531597, 0.608126, 0.646554, 0.66583, 0.70438, 0.767125, 0.794824, 0.847657, 0.893813, 0.936278, 0.95212, 0.964338, 0.975908, 0.995228, 1.01441, 1.05278, 1.08045, 1.09602, 1.16103, 1.18574, 1.2122, 1.2158, 1.223, 1.23267, 1.2596, 1.28167, 1.32579, 1.39337, 1.47651");
-            values ( \
-              "0.00759053, 0.0081409, 0.0119314, 0.014642, 0.0224233, 0.0375572, 0.0428672, 0.0510426, 0.0564553, 0.0600201, 0.0626272, 0.0638779, 0.0645341, 0.0649382, 0.0632842, 0.0510445, 0.0396456, 0.0350517, 0.019111, 0.0142313, 0.0101673, 0.00970458, 0.0102278, 0.00988308, 0.00687564, 0.00502753, 0.00264617, 0.000922615, 0.000241451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0267996");
-            index_3 ("0.531418, 0.613997, 0.649602, 0.680976, 0.691751, 0.782963, 0.845666, 0.912417, 0.96953, 1.06504, 1.07241, 1.08715, 1.11663, 1.14955, 1.21573, 1.22934, 1.25989, 1.28755, 1.31084, 1.34178, 1.36647, 1.39448, 1.43253, 1.47483, 1.50687, 1.57095, 1.65409, 1.73722, 1.82036");
-            values ( \
-              "0.0106343, 0.0127462, 0.0176049, 0.0234652, 0.0259643, 0.0517278, 0.0657657, 0.0784265, 0.0878151, 0.100526, 0.100655, 0.100479, 0.0951546, 0.0829394, 0.0564237, 0.0533485, 0.0425377, 0.0346703, 0.029111, 0.0228568, 0.0186152, 0.0146271, 0.0103861, 0.00704489, 0.00525361, 0.00280709, 0.00124909, 0.000521208, 0.000249199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0521344");
-            index_3 ("0.531183, 0.646022, 0.671126, 0.704597, 0.789555, 0.866461, 1.0106, 1.06615, 1.12593, 1.15802, 1.21558, 1.22323, 1.23852, 1.39825, 1.49073, 1.55874, 1.59589, 1.65749, 1.73928, 1.79804, 1.88395, 1.96391, 2.13019, 2.1632");
-            values ( \
-              "0.0101722, 0.0225283, 0.0275201, 0.0357192, 0.0621289, 0.0826784, 0.116481, 0.127885, 0.138233, 0.142639, 0.145399, 0.144859, 0.140802, 0.0836054, 0.0555932, 0.0397694, 0.0332545, 0.0247256, 0.0163318, 0.0119756, 0.00748262, 0.00473837, 0.00181333, 0.00163179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.101419");
-            index_3 ("0.607935, 0.774118, 0.833559, 0.900886, 1.05092, 1.16728, 1.21561, 1.23645, 1.26517, 1.32918, 1.37444, 1.45758, 1.48614, 1.54327, 1.61235, 1.79807, 1.91513, 1.99826, 2.06211, 2.13251, 2.20881, 2.2478, 2.29691, 2.35304, 2.42335, 2.50649, 2.55567, 2.63881, 2.72194, 2.80508, 2.88822, 3.05449, 3.3039, 3.55331");
-            values ( \
-              "0.031362, 0.0628036, 0.0814242, 0.101049, 0.142238, 0.170718, 0.180945, 0.181433, 0.179376, 0.174039, 0.169927, 0.160598, 0.156208, 0.146012, 0.131815, 0.0919523, 0.0695481, 0.0559706, 0.0469115, 0.0384498, 0.0311315, 0.027837, 0.0242353, 0.0205785, 0.0167336, 0.0130069, 0.0112091, 0.00865351, 0.00668352, 0.00512986, 0.00395082, 0.00232476, 0.00103629, 0.000469771" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00699166, 0.00702742, 0.00706434, 0.00709562, 0.00711757, 0.00713107", \
-            "0.00876817, 0.00881257, 0.00886637, 0.00891651, 0.00895505, 0.00898029", \
-            "0.00986616, 0.0098887, 0.0099232, 0.00996352, 0.01, 0.010027", \
-            "0.0105344, 0.0105198, 0.0105089, 0.0105084, 0.0105172, 0.0105284", \
-            "0.0109505, 0.0109179, 0.0108736, 0.0108289, 0.0107927, 0.0107734", \
-            "0.0111787, 0.0111412, 0.0110958, 0.0110456, 0.0109881, 0.010934" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0100485, 0.0100755, 0.0101124, 0.0101485, 0.0101754, 0.0101922", \
-            "0.0102888, 0.0102257, 0.0101675, 0.0101234, 0.0100923, 0.0100719", \
-            "0.00976502, 0.00964971, 0.00951629, 0.00939119, 0.00929576, 0.00923879", \
-            "0.0095946, 0.00940746, 0.00920189, 0.00901311, 0.00886861, 0.00877086", \
-            "0.0102738, 0.00992798, 0.00941528, 0.0090526, 0.00879774, 0.00863022", \
-            "0.0102373, 0.0102864, 0.0103004, 0.00978069, 0.00907714, 0.00872221" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0255861, 0.0270624, 0.031905, 0.0426447, 0.0476043, 0.0574958, 0.0650963, 0.0757849, 0.0928522, 0.10643, 0.125504, 0.130189, 0.138429, 0.148396, 0.195428");
-            values ( \
-              "-0.0679141, -0.110004, -0.106845, -0.103336, -0.100852, -0.0937914, -0.084338, -0.064151, -0.0363849, -0.0214463, -0.00933179, -0.00720931, -0.00501739, -0.00411606, -0.00167652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00708167");
-            index_3 ("0.0255828, 0.0278475, 0.0324987, 0.0485055, 0.0589748, 0.0719167, 0.0816996, 0.102303, 0.114697, 0.129785, 0.138962, 0.153446, 0.17376, 0.187611, 0.253439");
-            values ( \
-              "-0.0608527, -0.164727, -0.160499, -0.153703, -0.147317, -0.13555, -0.119924, -0.0756087, -0.053306, -0.0335184, -0.0249164, -0.0154107, -0.00664899, -0.00461886, -0.00172416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0137763");
-            index_3 ("0.0256785, 0.0290501, 0.0352869, 0.0605882, 0.0743048, 0.0841498, 0.0931049, 0.100747, 0.114615, 0.136041, 0.143652, 0.15271, 0.164439, 0.174589, 0.182449, 0.195114, 0.206955, 0.222743, 0.236305, 0.244847, 0.258027, 0.2756, 0.303956, 0.362584, 0.430804");
-            values ( \
-              "-0.0729404, -0.22128, -0.215276, -0.204649, -0.197413, -0.191322, -0.183621, -0.174292, -0.148895, -0.105244, -0.0910273, -0.0758473, -0.0590346, -0.0472311, -0.0395399, -0.02951, -0.0223543, -0.0151734, -0.0103922, -0.00807101, -0.00571969, -0.00407758, -0.00293014, -0.00143474, -0.000448872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0267996");
-            index_3 ("0.0272054, 0.0284894, 0.0362365, 0.0454934, 0.0810308, 0.111781, 0.123986, 0.144635, 0.159421, 0.209511, 0.243241, 0.267534, 0.287382, 0.310562, 0.351927, 0.381448, 0.404966, 0.452001, 0.520073");
-            values ( \
-              "-0.270771, -0.270853, -0.263079, -0.258635, -0.24784, -0.236666, -0.230828, -0.215402, -0.196944, -0.118276, -0.0761664, -0.0542193, -0.0405851, -0.0287864, -0.0151899, -0.00914821, -0.0060904, -0.0031681, -0.00231907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0521344");
-            index_3 ("0.0275987, 0.0286705, 0.0512384, 0.159899, 0.19438, 0.219411, 0.258352, 0.34493, 0.40176, 0.452863, 0.509583, 0.599834, 0.664745, 0.726333, 0.78488");
-            values ( \
-              "-0.304248, -0.305164, -0.291499, -0.270382, -0.261102, -0.250592, -0.221663, -0.131746, -0.085406, -0.0561014, -0.0344628, -0.0153511, -0.00820056, -0.00455121, -0.00390113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.101419");
-            index_3 ("0.0276689, 0.0286054, 0.0441831, 0.068744, 0.206532, 0.285645, 0.332997, 0.389284, 0.467651, 0.606713, 0.707643, 0.791143, 0.904005, 0.954596, 1.05069, 1.1176, 1.24078, 1.41034");
-            values ( \
-              "-0.324946, -0.326305, -0.315759, -0.310162, -0.296828, -0.287208, -0.279373, -0.265006, -0.226283, -0.140273, -0.0916969, -0.0628601, -0.0368359, -0.0288228, -0.0179493, -0.0128212, -0.00675031, -0.00358752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.039242, 0.0462668, 0.050937, 0.0515482, 0.0523749, 0.0530809, 0.0676256, 0.0726423, 0.0761582, 0.0835156, 0.0861632, 0.0985093, 0.104721, 0.11118, 0.118436, 0.121307, 0.125853, 0.130641, 0.141066, 0.145484, 0.150533, 0.158673, 0.168355, 0.200537, 0.214842, 0.231758, 0.253635, 0.282877");
-            values ( \
-              "-0.00649624, -0.0806023, -0.096027, -0.102571, -0.107083, -0.107574, -0.0997811, -0.0963459, -0.0932589, -0.0843611, -0.0799049, -0.0563728, -0.0461259, -0.0364652, -0.0276828, -0.0246318, -0.0206349, -0.0169853, -0.0108088, -0.00857215, -0.0065354, -0.00478188, -0.00403776, -0.00208415, -0.00134637, -0.000748394, -0.000326662, -9.28864e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00708167");
-            index_3 ("0.0396855, 0.0479221, 0.0509256, 0.0519419, 0.0534806, 0.0759176, 0.0863817, 0.0969483, 0.125813, 0.141164, 0.15592, 0.172418, 0.192575, 0.206252, 0.265402, 0.271276");
-            values ( \
-              "-0.014004, -0.128787, -0.1434, -0.157165, -0.160869, -0.148238, -0.140033, -0.126169, -0.0661133, -0.0419175, -0.0262956, -0.0151997, -0.00658675, -0.00461447, -0.00176993, -0.00165045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0137763");
-            index_3 ("0.0392443, 0.0494168, 0.0509325, 0.0513575, 0.0518432, 0.0525865, 0.0537856, 0.0552836, 0.0567936, 0.0595529, 0.0629283, 0.0744804, 0.0805539, 0.092651, 0.0966966, 0.101012, 0.105757, 0.115248, 0.11938, 0.12639, 0.150905, 0.154032, 0.162467, 0.171464, 0.18308, 0.186289, 0.192708, 0.205544, 0.214597, 0.21724, 0.222525, 0.233097, 0.25163, 0.259324, 0.262903, 0.27006, 0.284375, 0.307977, 0.365505, 0.395643, 0.42881, 0.468828");
-            values ( \
-              "-0.00113715, -0.183155, -0.192604, -0.202346, -0.209453, -0.214722, -0.21692, -0.216257, -0.214951, -0.212922, -0.211105, -0.20644, -0.203743, -0.197502, -0.195113, -0.192403, -0.188907, -0.179694, -0.17433, -0.162614, -0.112831, -0.106253, -0.0906395, -0.0755181, -0.0589934, -0.0549709, -0.0477214, -0.0355933, -0.0288942, -0.0271417, -0.0240036, -0.0186208, -0.0114998, -0.00905813, -0.00819615, -0.00668065, -0.00482543, -0.00337637, -0.00176805, -0.00117274, -0.000639158, -0.000325557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0267996");
-            index_3 ("0.0388926, 0.0531533, 0.0550561, 0.0667086, 0.102523, 0.130817, 0.142835, 0.16349, 0.178217, 0.228279, 0.261949, 0.286813, 0.307155, 0.328348, 0.343552, 0.379229, 0.402388, 0.428855, 0.479432, 0.550987");
-            values ( \
-              "-0.00632978, -0.261988, -0.264234, -0.257394, -0.246782, -0.236603, -0.230777, -0.215429, -0.197021, -0.118394, -0.076314, -0.0538885, -0.0400335, -0.0292449, -0.0232646, -0.0131918, -0.00880761, -0.0056002, -0.00290283, -0.00207684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0521344");
-            index_3 ("0.0386197, 0.0560783, 0.0782784, 0.144561, 0.196122, 0.214141, 0.249782, 0.273158, 0.357689, 0.40496, 0.456396, 0.492347, 0.532682, 0.561147, 0.618898, 0.716131, 0.839306, 0.844708");
-            values ( \
-              "-0.0332806, -0.298466, -0.289021, -0.27755, -0.266156, -0.260729, -0.24411, -0.225638, -0.137645, -0.0967295, -0.0638419, -0.0470676, -0.0332304, -0.0258523, -0.0153253, -0.0058728, -0.00225107, -0.00221322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.101419");
-            index_3 ("0.0461726, 0.0578749, 0.0689155, 0.0863095, 0.255734, 0.287764, 0.349352, 0.387869, 0.419338, 0.480926, 0.614476, 0.662021, 0.723608, 0.75975, 0.822711, 0.87403, 0.915751, 0.968912, 1.0305, 1.10058, 1.20532, 1.3285, 1.45167, 1.54562");
-            values ( \
-              "-0.305495, -0.318643, -0.313327, -0.310107, -0.293441, -0.2895, -0.279909, -0.271107, -0.260982, -0.229821, -0.146625, -0.121054, -0.0929102, -0.0791142, -0.0592783, -0.0465671, -0.0381712, -0.0294875, -0.0218115, -0.0153817, -0.00895456, -0.00478375, -0.00270979, -0.00218254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0677132, 0.0740689, 0.0755461, 0.0786585, 0.0847969, 0.0897306, 0.094698, 0.102998, 0.110434, 0.112616, 0.114244, 0.116543, 0.121655, 0.124663, 0.130679, 0.137842, 0.143468, 0.146522, 0.150593, 0.155226, 0.163128, 0.166447, 0.172062, 0.182205, 0.186556, 0.191406, 0.199604, 0.209203, 0.255534, 0.272256, 0.293831, 0.322482");
-            values ( \
-              "-0.0041703, -0.0370762, -0.0412763, -0.0480153, -0.0585622, -0.0663675, -0.0735407, -0.0844889, -0.091778, -0.0932683, -0.0961306, -0.0956081, -0.0889002, -0.0844418, -0.0734616, -0.0595682, -0.0505488, -0.045097, -0.0389492, -0.0326685, -0.0239854, -0.0211025, -0.0167614, -0.0107786, -0.00860898, -0.00660693, -0.00482469, -0.00404787, -0.0013521, -0.000777022, -0.000326793, -0.000111739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00708167");
-            index_3 ("0.068745, 0.0761692, 0.0780459, 0.0874729, 0.0962878, 0.108396, 0.112558, 0.114315, 0.116246, 0.127497, 0.133833, 0.136985, 0.14188, 0.147843, 0.16451, 0.174284, 0.18254, 0.189776, 0.195386, 0.20495, 0.209313, 0.216505, 0.224889, 0.236672, 0.244422, 0.268486, 0.303229, 0.346407, 0.375416");
-            values ( \
-              "-0.00634437, -0.0624385, -0.069368, -0.0943386, -0.113977, -0.137805, -0.144484, -0.149341, -0.150664, -0.140145, -0.132675, -0.127926, -0.118785, -0.105365, -0.0706459, -0.0534235, -0.0416035, -0.0331646, -0.0277319, -0.0202858, -0.0175518, -0.0136334, -0.00967793, -0.00590149, -0.00489066, -0.00343104, -0.00190498, -0.000648392, -0.000286049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0137763");
-            index_3 ("0.0698677, 0.0792293, 0.0811085, 0.0883016, 0.101126, 0.112572, 0.114346, 0.116941, 0.121826, 0.139577, 0.147009, 0.159961, 0.169943, 0.202242, 0.210813, 0.223771, 0.236265, 0.244656, 0.255721, 0.265358, 0.277027, 0.29412, 0.310618, 0.322996, 0.34642, 0.403657, 0.44286");
-            values ( \
-              "-0.00958675, -0.0963883, -0.104528, -0.129337, -0.167803, -0.198334, -0.205241, -0.20838, -0.204364, -0.194179, -0.188958, -0.175287, -0.158183, -0.0932962, -0.0786197, -0.0596905, -0.0453294, -0.0374551, -0.0290072, -0.0231461, -0.0174639, -0.0110941, -0.00683874, -0.00510679, -0.00349092, -0.00184995, -0.0012696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0267996");
-            index_3 ("0.0683672, 0.0879471, 0.0998897, 0.115361, 0.118922, 0.121732, 0.158816, 0.173453, 0.184181, 0.204861, 0.219223, 0.269109, 0.279797, 0.301172, 0.32036, 0.333733, 0.352593, 0.370073, 0.393379, 0.413646, 0.42409, 0.444978, 0.482889, 0.535618, 0.591815, 0.757162");
-            values ( \
-              "-0.0120277, -0.156238, -0.200822, -0.253312, -0.256694, -0.254064, -0.241711, -0.236011, -0.230778, -0.215383, -0.197515, -0.11914, -0.104309, -0.0785736, -0.0602091, -0.049742, -0.0377083, -0.0290819, -0.0204066, -0.0147991, -0.0124414, -0.00859466, -0.00452649, -0.00259991, -0.00162751, -0.000314085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0521344");
-            index_3 ("0.0684668, 0.088927, 0.114774, 0.117306, 0.124769, 0.129108, 0.186044, 0.219687, 0.238911, 0.255631, 0.289071, 0.300443, 0.315605, 0.400689, 0.41852, 0.454182, 0.477268, 0.512831, 0.54331, 0.570484, 0.599289, 0.640872, 0.660224, 0.687661, 0.724243, 0.78583, 0.847418, 0.909005, 1.15536");
-            values ( \
-              "-0.00242704, -0.181104, -0.286727, -0.29178, -0.288422, -0.287412, -0.277492, -0.270566, -0.265805, -0.260725, -0.245465, -0.237672, -0.224727, -0.136176, -0.119668, -0.0909879, -0.0756107, -0.056303, -0.0433966, -0.034303, -0.0266317, -0.018325, -0.0153448, -0.0118542, -0.00828083, -0.00457883, -0.00289457, -0.00188837, -0.000444049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.101419");
-            index_3 ("0.0820531, 0.102908, 0.116749, 0.136755, 0.248095, 0.329406, 0.40897, 0.46098, 0.522567, 0.656119, 0.76525, 0.864347, 0.957392, 1.07214, 1.14221, 1.24695, 1.37013, 1.48255");
-            values ( \
-              "-0.230746, -0.257247, -0.313532, -0.309016, -0.298859, -0.289483, -0.276167, -0.260985, -0.229819, -0.146626, -0.0929112, -0.0592798, -0.0381712, -0.0218115, -0.0153823, -0.00895509, -0.00478402, -0.00289104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.119597, 0.13004, 0.135218, 0.149884, 0.171942, 0.180051, 0.191547, 0.202076, 0.212063, 0.222894, 0.241578, 0.249334, 0.253865, 0.26624, 0.274679, 0.278819, 0.2871, 0.297267, 0.321302, 0.33411, 0.341907");
-            values ( \
-              "-0.0118162, -0.016403, -0.02071, -0.0345137, -0.049267, -0.0540705, -0.0599987, -0.0645215, -0.066743, -0.0603884, -0.0354789, -0.0268426, -0.0249707, -0.0153444, -0.0102309, -0.00820188, -0.00572091, -0.00456743, -0.0028007, -0.00195706, -0.00157425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00708167");
-            index_3 ("0.123817, 0.132028, 0.15665, 0.190188, 0.203349, 0.215409, 0.226955, 0.240077, 0.267365, 0.276548, 0.287041, 0.296368, 0.30864, 0.316929, 0.329225, 0.339601, 0.412443, 0.424402");
-            values ( \
-              "-0.0215421, -0.0276818, -0.0597043, -0.0917233, -0.101874, -0.109395, -0.112088, -0.0976851, -0.0513964, -0.0387666, -0.0277721, -0.0204501, -0.0133144, -0.00941277, -0.00578225, -0.00468648, -0.00126058, -0.00103812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0137763");
-            index_3 ("0.124545, 0.139725, 0.151902, 0.161237, 0.177861, 0.189415, 0.207724, 0.22375, 0.238442, 0.248961, 0.25269, 0.259014, 0.285953, 0.291629, 0.299198, 0.313687, 0.319431, 0.326032, 0.334833, 0.346964, 0.358575, 0.374058, 0.387901, 0.39662, 0.401175, 0.410285, 0.428505, 0.456685, 0.514297, 0.581524");
-            values ( \
-              "-0.014965, -0.050463, -0.0719762, -0.0864856, -0.109596, -0.124532, -0.146643, -0.162779, -0.174154, -0.174808, -0.174448, -0.164859, -0.109087, -0.0980568, -0.0845631, -0.062346, -0.0550393, -0.047561, -0.0390131, -0.0294402, -0.0224197, -0.0153675, -0.010421, -0.00805534, -0.00712578, -0.00565481, -0.00403458, -0.00290283, -0.00142292, -0.000445829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0267996");
-            index_3 ("0.124411, 0.147081, 0.162684, 0.195954, 0.211273, 0.234899, 0.252312, 0.25558, 0.276019, 0.290328, 0.29764, 0.308724, 0.364974, 0.378736, 0.397212, 0.412685, 0.42463, 0.444294, 0.462099, 0.485839, 0.515906, 0.536357, 0.574191, 0.626742, 0.682874, 0.847632");
-            values ( \
-              "-0.00855376, -0.0766531, -0.10818, -0.16423, -0.187752, -0.221042, -0.240996, -0.241322, -0.230884, -0.221192, -0.214334, -0.200798, -0.113372, -0.0950871, -0.0742064, -0.0597851, -0.050413, -0.0377755, -0.0289935, -0.0201985, -0.0124368, -0.00866619, -0.00455562, -0.00261844, -0.00163464, -0.000322421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0521344");
-            index_3 ("0.124398, 0.156957, 0.162048, 0.191449, 0.204703, 0.229347, 0.252223, 0.257007, 0.260934, 0.287028, 0.312178, 0.338194, 0.347503, 0.365407, 0.384657, 0.422471, 0.479415, 0.501525, 0.524989, 0.555354, 0.579256, 0.611126, 0.636312, 0.657222, 0.685101, 0.729968, 0.751917, 0.785429, 0.830113, 0.8917, 0.953288, 1.01488, 1.07646, 1.26123");
-            values ( \
-              "-0.00682172, -0.108566, -0.120309, -0.178232, -0.202689, -0.24554, -0.281687, -0.282933, -0.28099, -0.275804, -0.270419, -0.263724, -0.260673, -0.253671, -0.243022, -0.20981, -0.149226, -0.127767, -0.10737, -0.0845592, -0.0696551, -0.0533778, -0.0429872, -0.0358843, -0.0281407, -0.0187739, -0.0153585, -0.0111886, -0.00720882, -0.00407404, -0.00263015, -0.00173011, -0.00124583, -0.000400291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.101419");
-            index_3 ("0.124308, 0.170474, 0.200938, 0.248723, 0.254008, 0.260656, 0.266698, 0.278782, 0.354415, 0.421475, 0.483062, 0.521561, 0.553046, 0.614634, 0.748193, 0.795732, 0.85732, 0.893443, 0.956397, 1.00772, 1.04946, 1.10262, 1.1642, 1.23427, 1.27741, 1.339, 1.40058, 1.46217, 1.58535, 1.70852, 1.95487");
-            values ( \
-              "-0.0101677, -0.148102, -0.211133, -0.300737, -0.30647, -0.307464, -0.305517, -0.304837, -0.2974, -0.289501, -0.279922, -0.271116, -0.260988, -0.229823, -0.146621, -0.121051, -0.0929092, -0.0791194, -0.0592839, -0.0465691, -0.03817, -0.0294878, -0.0218111, -0.0153831, -0.012374, -0.00895606, -0.00652087, -0.00478457, -0.00271027, -0.00162068, -0.000636223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.221551, 0.22309, 0.236429, 0.258847, 0.275335, 0.28427, 0.301867, 0.310671, 0.328278, 0.337243, 0.349155, 0.365407, 0.380581, 0.395271, 0.407232, 0.429067, 0.436073, 0.445797, 0.453, 0.457906, 0.462249, 0.468041, 0.477981, 0.501558, 0.522073, 0.550296, 0.557422, 0.566539, 0.574667, 0.608281");
-            values ( \
-              "-0.00419545, -0.00431962, -0.00612578, -0.0108288, -0.0167386, -0.02052, -0.0263155, -0.0290357, -0.0338026, -0.0359928, -0.038683, -0.0420081, -0.0442877, -0.0450946, -0.0401686, -0.0233179, -0.0186219, -0.013041, -0.00955966, -0.00789065, -0.00699462, -0.00616732, -0.00501672, -0.00286323, -0.00151679, -0.000549353, -0.00136311, -0.00111909, -0.000619265, -0.000125886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00708167");
-            index_3 ("0.22365, 0.262674, 0.275165, 0.293018, 0.312524, 0.343735, 0.366772, 0.385206, 0.402417, 0.419015, 0.426545, 0.434195, 0.455305, 0.468052, 0.475933, 0.486851, 0.496408, 0.503498, 0.514207, 0.531149, 0.550126, 0.557216, 0.565482, 0.573566, 0.606731, 0.637106, 0.672213");
-            values ( \
-              "-0.00491761, -0.0186907, -0.0251043, -0.0354455, -0.0449411, -0.0580324, -0.066214, -0.0720418, -0.0760927, -0.0766265, -0.0726221, -0.0654639, -0.0421062, -0.0302304, -0.0242417, -0.0174329, -0.0123429, -0.00946989, -0.00683592, -0.004941, -0.00365913, -0.00463041, -0.00415179, -0.0030983, -0.00124314, -0.000544725, -0.000132253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0137763");
-            index_3 ("0.223768, 0.259048, 0.275112, 0.307801, 0.343677, 0.371977, 0.396468, 0.418604, 0.439251, 0.459665, 0.48003, 0.512345, 0.52822, 0.540503, 0.550074, 0.551492, 0.554328, 0.569317, 0.58192, 0.597421, 0.606212, 0.623795, 0.650912, 0.679397, 0.740614, 0.777555");
-            values ( \
-              "-0.0031547, -0.0249798, -0.0339852, -0.0580319, -0.0799285, -0.0954134, -0.107231, -0.11685, -0.123163, -0.120327, -0.0977257, -0.0562142, -0.0406215, -0.0310145, -0.0250208, -0.0256116, -0.0253253, -0.0174529, -0.0123193, -0.00807263, -0.00660421, -0.00476062, -0.00330161, -0.00229205, -0.000873594, -0.000439226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0267996");
-            index_3 ("0.223886, 0.317943, 0.376616, 0.412517, 0.443528, 0.471585, 0.498013, 0.526142, 0.55018, 0.557035, 0.581574, 0.60013, 0.611965, 0.632529, 0.649531, 0.663899, 0.681524, 0.706879, 0.719804, 0.739684, 0.766191, 0.81693, 0.924394, 1.0338");
-            values ( \
-              "-0.000314947, -0.0791358, -0.122497, -0.146436, -0.164822, -0.17909, -0.186103, -0.170116, -0.138288, -0.131175, -0.0967297, -0.0753912, -0.0639787, -0.0476881, -0.0371624, -0.0300216, -0.0229898, -0.0154501, -0.0124267, -0.008784, -0.00561492, -0.00295489, -0.00116577, -0.000379343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0521344");
-            index_3 ("0.222984, 0.364987, 0.403095, 0.425092, 0.472523, 0.513655, 0.549034, 0.549923, 0.551703, 0.555263, 0.568214, 0.577377, 0.587023, 0.606104, 0.628562, 0.680078, 0.701933, 0.725245, 0.75693, 0.767987, 0.783154, 0.803376, 0.836633, 0.846478, 0.866167, 0.905546, 0.938618, 0.954532, 0.986361, 1.04795, 1.10954, 1.17112, 1.23271, 1.2943, 1.41747");
-            values ( \
-              "-0.000632018, -0.131639, -0.162955, -0.18029, -0.215316, -0.241674, -0.258756, -0.25972, -0.260608, -0.259475, -0.253682, -0.24892, -0.243182, -0.228127, -0.205845, -0.15074, -0.12947, -0.108903, -0.085005, -0.0777584, -0.0686989, -0.0580605, -0.0437016, -0.0401592, -0.0338419, -0.023881, -0.0177252, -0.0153215, -0.0113312, -0.00610379, -0.00362023, -0.00232401, -0.0015959, -0.00111284, -0.000546018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.101419");
-            index_3 ("0.224041, 0.416586, 0.498574, 0.550027, 0.563663, 0.58425, 0.603759, 0.621082, 0.625851, 0.690018, 0.757398, 0.818986, 0.952521, 1.06165, 1.16019, 1.25422, 1.30723, 1.41704, 1.60446, 1.74476");
-            values ( \
-              "-0.00199211, -0.187757, -0.256796, -0.295582, -0.299673, -0.292527, -0.29393, -0.288938, -0.289911, -0.2795, -0.261072, -0.22981, -0.146642, -0.0929214, -0.0594893, -0.0380336, -0.029508, -0.0170891, -0.00646642, -0.00394175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.412, 0.486286, 0.511682, 0.527659, 0.55688, 0.565888, 0.608239, 0.643636, 0.671852, 0.697222, 0.720826, 0.740041, 0.743336, 0.749925, 0.763103, 0.765918, 0.781023, 0.801945, 0.809219, 0.820416, 0.848663, 0.861761, 0.880357, 0.905151, 0.935791, 0.977769, 1.21591, 1.22133, 1.23217, 1.23985");
-            values ( \
-              "-5.29465e-05, -0.00347693, -0.00495075, -0.00626913, -0.00969039, -0.0113668, -0.0175743, -0.0218086, -0.02469, -0.0269788, -0.0284735, -0.0298666, -0.0295226, -0.0296406, -0.0281258, -0.0274816, -0.0221877, -0.0129375, -0.0106169, -0.00846744, -0.00474118, -0.00336579, -0.00196377, -0.000882882, -0.000318488, -7.98121e-05, -5.13866e-06, -0.000427984, -0.000376015, -0.000163799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00708167");
-            index_3 ("0.428927, 0.473988, 0.499324, 0.527704, 0.537696, 0.557681, 0.608519, 0.665414, 0.697062, 0.725505, 0.751849, 0.775849, 0.776964, 0.783658, 0.792584, 0.802188, 0.841993, 0.863888, 0.876006, 0.889057, 0.904841, 0.920361, 0.946688, 0.9708, 1.00023, 1.03586, 1.08416, 1.20733, 1.21619, 1.22676, 1.24344");
-            values ( \
-              "-0.00233744, -0.00479777, -0.00695838, -0.0101122, -0.0115774, -0.0151547, -0.0269201, -0.0378086, -0.0427864, -0.0468521, -0.0497661, -0.0519869, -0.0518233, -0.051644, -0.0505313, -0.0475623, -0.0247466, -0.0135655, -0.0101716, -0.00798199, -0.00609857, -0.00457538, -0.00260397, -0.00147211, -0.000662453, -0.000265287, -5.7916e-05, -9.77027e-07, -2.43857e-05, -0.000620672, -0.000452756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0137763");
-            index_3 ("0.437687, 0.474292, 0.500995, 0.520644, 0.542986, 0.554277, 0.576859, 0.626357, 0.65782, 0.701733, 0.739342, 0.773102, 0.804322, 0.834201, 0.86402, 0.909637, 0.926832, 0.940602, 0.952762, 0.96713, 0.989551, 1.01322, 1.03046, 1.05878, 1.08911, 1.12289, 1.15947");
-            values ( \
-              "-0.00434492, -0.00747179, -0.0108572, -0.0139, -0.0180734, -0.0206475, -0.0268486, -0.0423077, -0.0509453, -0.0619565, -0.0700818, -0.0768354, -0.0817451, -0.0846595, -0.0761746, -0.0421031, -0.0311661, -0.0239368, -0.0184346, -0.0131508, -0.00820573, -0.00582294, -0.00457871, -0.00307343, -0.00192808, -0.00108299, -0.000554183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0267996");
-            index_3 ("0.47387, 0.527367, 0.555331, 0.579937, 0.633255, 0.704677, 0.759629, 0.806824, 0.849124, 0.888319, 0.926221, 0.966854, 1.02388, 1.04893, 1.07701, 1.11778, 1.1521, 1.17774, 1.21585, 1.2353, 1.28346, 1.32738, 1.34614");
-            values ( \
-              "-0.0153545, -0.0207369, -0.0275931, -0.0352636, -0.0553726, -0.0795059, -0.0959908, -0.108795, -0.119088, -0.126693, -0.128623, -0.108296, -0.0617337, -0.0454024, -0.0313231, -0.0174383, -0.0100218, -0.00689338, -0.00422253, -0.00467682, -0.00267245, -0.0017574, -0.00155182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0521344");
-            index_3 ("0.473716, 0.556134, 0.597795, 0.684963, 0.777505, 0.849347, 0.910869, 0.966421, 1.01802, 1.06925, 1.12037, 1.21574, 1.27484, 1.30221, 1.34375, 1.38486, 1.42962, 1.46447, 1.52605, 1.63772");
-            values ( \
-              "-0.0114356, -0.0341288, -0.0483003, -0.0843028, -0.11842, -0.142737, -0.161804, -0.176881, -0.186606, -0.18052, -0.146585, -0.0768533, -0.0480247, -0.0379431, -0.0263557, -0.0181843, -0.0119448, -0.00849884, -0.00476529, -0.0022178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.101419");
-            index_3 ("0.535154, 0.614171, 0.721655, 0.822867, 0.910189, 0.981747, 1.04333, 1.10466, 1.13996, 1.20155, 1.21579, 1.22471, 1.24409, 1.28652, 1.39853, 1.43104, 1.49262, 1.53305, 1.5784, 1.63886, 1.69456, 1.7264, 1.77476, 1.83635, 1.87131, 1.9329, 1.99449, 2.05607, 2.11766, 2.24084, 2.4256, 2.61036");
-            values ( \
-              "-0.059269, -0.0604495, -0.106763, -0.147402, -0.180618, -0.206187, -0.22651, -0.244399, -0.252762, -0.259239, -0.257691, -0.255532, -0.246215, -0.221321, -0.151035, -0.132858, -0.102568, -0.0859069, -0.0700039, -0.0528117, -0.0405563, -0.0348056, -0.0275201, -0.0203165, -0.0170782, -0.0124977, -0.00905511, -0.00658066, -0.0048401, -0.00274412, -0.0012895, -0.000650581" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00601473, 0.00603507, 0.00605694, 0.00607568, 0.00608897, 0.00609718", \
-            "0.00699009, 0.00700409, 0.00702176, 0.00703938, 0.00705347, 0.00706298", \
-            "0.00758826, 0.00759263, 0.00760028, 0.00761044, 0.00762055, 0.00762832", \
-            "0.00795953, 0.00795623, 0.00795239, 0.00795161, 0.00795317, 0.00795595", \
-            "0.00815727, 0.00815175, 0.00814429, 0.0081363, 0.00813003, 0.00812671", \
-            "0.00826466, 0.00825861, 0.00824958, 0.00823847, 0.00822622, 0.00821621" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0084434, 0.00845411, 0.0084664, 0.00847704, 0.0084864, 0.00849317", \
-            "0.00932224, 0.00930664, 0.00929313, 0.00928345, 0.00927677, 0.00927368", \
-            "0.0097815, 0.00973944, 0.00969354, 0.00965243, 0.00962592, 0.00960741", \
-            "0.0100584, 0.00997479, 0.00988887, 0.00981193, 0.0097521, 0.00971077", \
-            "0.0104795, 0.010328, 0.0101467, 0.00998101, 0.00985815, 0.00977732", \
-            "0.0114986, 0.0109985, 0.0106313, 0.0103188, 0.0100693, 0.00990083" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.110382, 0.119304, 0.135652, 0.166391, 0.225423, 0.340512", \
-            "0.114102, 0.12302, 0.139382, 0.170168, 0.229282, 0.344437", \
-            "0.121527, 0.130517, 0.147011, 0.177931, 0.237151, 0.35237", \
-            "0.134922, 0.144172, 0.161052, 0.192344, 0.251841, 0.367151", \
-            "0.151826, 0.161264, 0.178426, 0.209777, 0.268959, 0.384583", \
-            "0.160978, 0.170926, 0.188931, 0.221559, 0.281634, 0.396895" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0567828, 0.0675381, 0.0896067, 0.133921, 0.222234, 0.396281", \
-            "0.0568552, 0.0676094, 0.0896545, 0.134024, 0.222372, 0.396467", \
-            "0.0571698, 0.0678656, 0.0898085, 0.134128, 0.222467, 0.396572", \
-            "0.0580195, 0.0686798, 0.0906192, 0.134702, 0.222676, 0.396628", \
-            "0.0597928, 0.0699195, 0.0909491, 0.134106, 0.22203, 0.396157", \
-            "0.067219, 0.0768515, 0.0966654, 0.138093, 0.223299, 0.395037" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.102201, 0.113027, 0.133222, 0.171711, 0.246251, 0.391629", \
-            "0.108332, 0.119212, 0.139457, 0.177982, 0.252561, 0.397952", \
-            "0.122944, 0.133886, 0.154271, 0.193059, 0.26779, 0.413183", \
-            "0.153458, 0.164547, 0.185228, 0.224325, 0.299309, 0.444904", \
-            "0.203346, 0.214477, 0.235353, 0.27445, 0.350023, 0.49573", \
-            "0.28253, 0.294364, 0.315855, 0.355554, 0.430938, 0.576618" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0502953, 0.0637329, 0.090597, 0.143661, 0.247676, 0.450441", \
-            "0.0502725, 0.0637017, 0.090584, 0.143648, 0.247674, 0.450432", \
-            "0.0503198, 0.0637463, 0.0905832, 0.143645, 0.247648, 0.450418", \
-            "0.0510522, 0.0642149, 0.0908727, 0.143754, 0.247673, 0.450424", \
-            "0.0535495, 0.065988, 0.0920011, 0.144155, 0.247913, 0.450592", \
-            "0.0613069, 0.0725102, 0.0964358, 0.146324, 0.248096, 0.450289" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0617257, 0.0844547, 0.0948654, 0.104109, 0.112381, 0.112973, 0.114156, 0.127489, 0.131043, 0.138151, 0.144657, 0.150566, 0.158503, 0.169534, 0.173667, 0.199004, 0.212069, 0.21866, 0.241004, 0.264744, 0.296586, 0.335909");
-            values ( \
-              "0.000986343, 0.056698, 0.068553, 0.073415, 0.0744499, 0.0741653, 0.0743105, 0.0710799, 0.0709524, 0.0715995, 0.0607393, 0.0487516, 0.0366425, 0.0226836, 0.0197553, 0.00939437, 0.00555296, 0.00422306, 0.00161549, 0.000663949, 9.57033e-05, 0.000103542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00708167");
-            index_3 ("0.0594661, 0.0941897, 0.0991568, 0.109936, 0.120335, 0.138066, 0.141695, 0.147191, 0.152918, 0.166758, 0.174364, 0.188612, 0.196274, 0.202867, 0.214726, 0.230469, 0.245265, 0.268376, 0.288282, 0.306049");
-            values ( \
-              "0.00630995, 0.108535, 0.115154, 0.122046, 0.122959, 0.1167, 0.115998, 0.115815, 0.108015, 0.0763487, 0.061082, 0.038835, 0.0295117, 0.0237131, 0.0179797, 0.012087, 0.00782129, 0.00370111, 0.00192123, 0.00112064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0137763");
-            index_3 ("0.0682505, 0.0747109, 0.0822325, 0.0865491, 0.0914588, 0.100181, 0.106406, 0.120024, 0.127039, 0.133411, 0.146154, 0.161607, 0.174182, 0.192989, 0.211326, 0.223414, 0.234738, 0.24296, 0.254893, 0.267365, 0.279163, 0.296185, 0.316361, 0.35404, 0.378539, 0.411513, 0.455906");
-            values ( \
-              "0.0742237, 0.0794114, 0.117214, 0.134123, 0.150288, 0.169692, 0.178414, 0.185998, 0.185768, 0.183719, 0.177076, 0.167738, 0.15796, 0.11476, 0.0793464, 0.0603978, 0.0463893, 0.0379584, 0.0276967, 0.0211721, 0.0170665, 0.0120457, 0.00794977, 0.0033469, 0.00200263, 0.000731159, 0.00034978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0267996");
-            index_3 ("0.0652625, 0.0763768, 0.086506, 0.0987017, 0.107765, 0.119038, 0.133419, 0.147723, 0.157544, 0.19946, 0.21762, 0.258565, 0.285604, 0.318339, 0.351212, 0.374149, 0.394159, 0.43768, 0.495448, 0.529822");
-            values ( \
-              "0.0619417, 0.111694, 0.173418, 0.218965, 0.238279, 0.25024, 0.25364, 0.249705, 0.244777, 0.215088, 0.197723, 0.126748, 0.0885567, 0.0550704, 0.0326126, 0.0228265, 0.0171936, 0.00956312, 0.00422262, 0.00252399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0521344");
-            index_3 ("0.0697729, 0.0834401, 0.0940595, 0.104548, 0.111412, 0.125138, 0.13895, 0.148134, 0.172197, 0.203622, 0.236934, 0.28587, 0.313341, 0.373039, 0.424721, 0.466896, 0.496736, 0.521849, 0.552769, 0.593995, 0.64559, 0.681657, 0.730748, 0.832991, 0.844494");
-            values ( \
-              "0.172597, 0.18259, 0.241863, 0.278332, 0.293326, 0.309208, 0.313993, 0.313431, 0.306151, 0.291278, 0.272035, 0.240082, 0.213145, 0.146083, 0.0981598, 0.0688224, 0.0526195, 0.0416936, 0.0311054, 0.0212657, 0.0135065, 0.0100615, 0.00653211, 0.00262426, 0.00248162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.101419");
-            index_3 ("0.0699228, 0.0872558, 0.0978046, 0.107043, 0.120484, 0.133892, 0.149761, 0.167372, 0.184973, 0.237647, 0.319036, 0.403255, 0.442771, 0.485705, 0.581213, 0.610219, 0.647988, 0.69911, 0.751168, 0.783985, 0.835107, 0.86442, 0.895513, 0.936206, 0.975218, 1.04703, 1.13954, 1.24178, 1.34402, 1.54851, 1.70187");
-            values ( \
-              "0.194716, 0.2267, 0.285099, 0.317079, 0.344019, 0.355293, 0.359704, 0.358066, 0.354547, 0.338857, 0.310994, 0.276317, 0.257184, 0.231177, 0.167529, 0.149561, 0.128102, 0.102029, 0.0800629, 0.0685792, 0.0529693, 0.0456155, 0.0388376, 0.0316364, 0.0255878, 0.0176946, 0.0111639, 0.0067172, 0.00400954, 0.00137168, 0.000835227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0839671, 0.0904904, 0.0955788, 0.102057, 0.107448, 0.112502, 0.121489, 0.125792, 0.130635, 0.1382, 0.14229, 0.147742, 0.150806, 0.154376, 0.158001, 0.162697, 0.16831, 0.175939, 0.187834, 0.192241, 0.210958, 0.220997, 0.232563, 0.239133, 0.252273, 0.276803, 0.305478, 0.342134");
-            values ( \
-              "0.00758189, 0.0326553, 0.0444621, 0.0562727, 0.0634451, 0.068266, 0.073111, 0.074064, 0.0741943, 0.0726627, 0.0716138, 0.0707033, 0.0717235, 0.0720288, 0.067624, 0.059609, 0.0489058, 0.0370163, 0.021935, 0.0194445, 0.0116635, 0.00799624, 0.00499921, 0.00383281, 0.00217913, 0.000753297, 0.000214168, 7.07253e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00708167");
-            index_3 ("0.0848771, 0.0912899, 0.0972486, 0.104718, 0.110651, 0.116753, 0.127506, 0.137941, 0.155681, 0.159323, 0.164825, 0.170557, 0.184413, 0.192031, 0.206296, 0.213969, 0.220574, 0.232452, 0.248335, 0.263067, 0.272087, 0.28609, 0.305883, 0.332387, 0.370953");
-            values ( \
-              "0.0262347, 0.0533371, 0.0746272, 0.0951742, 0.106797, 0.114852, 0.122079, 0.122663, 0.116572, 0.115896, 0.115691, 0.107912, 0.0762484, 0.0609909, 0.0387622, 0.0294666, 0.02367, 0.017945, 0.0120135, 0.00778312, 0.00592372, 0.00369097, 0.00193574, 0.000721697, 0.000222509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0137763");
-            index_3 ("0.0850461, 0.0923169, 0.0998382, 0.109067, 0.117394, 0.124021, 0.137276, 0.140037, 0.143192, 0.149503, 0.154137, 0.16482, 0.175712, 0.186394, 0.194608, 0.213761, 0.223973, 0.237588, 0.243259, 0.253089, 0.264322, 0.276835, 0.283341, 0.294879, 0.313126, 0.324078, 0.344212, 0.364963, 0.389689, 0.420079, 0.460614");
-            values ( \
-              "0.056812, 0.0796969, 0.116979, 0.150001, 0.169067, 0.178063, 0.185778, 0.185911, 0.185737, 0.184275, 0.182404, 0.176263, 0.169143, 0.164147, 0.151421, 0.108215, 0.0879259, 0.0655315, 0.0574706, 0.0457776, 0.0343073, 0.0252993, 0.0220945, 0.0175582, 0.0123829, 0.00988469, 0.00644413, 0.00407735, 0.00220851, 0.00107852, 0.000334006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0267996");
-            index_3 ("0.0816053, 0.104308, 0.111888, 0.116528, 0.125806, 0.136868, 0.15112, 0.156052, 0.165577, 0.175407, 0.195687, 0.217356, 0.235346, 0.268326, 0.299132, 0.318648, 0.326151, 0.340193, 0.358983, 0.367915, 0.382332, 0.398731, 0.420815, 0.453025, 0.480626, 0.511474, 0.545642, 0.637218");
-            values ( \
-              "0.0399172, 0.172788, 0.204464, 0.21896, 0.238389, 0.250099, 0.253344, 0.252682, 0.249419, 0.244629, 0.230938, 0.214933, 0.197829, 0.139801, 0.094112, 0.0714612, 0.0642161, 0.0518378, 0.0385801, 0.0332754, 0.0264748, 0.0207481, 0.0152464, 0.00989469, 0.00676515, 0.00434432, 0.00259123, 0.000588438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0521344");
-            index_3 ("0.0808726, 0.107862, 0.11986, 0.129241, 0.14289, 0.157139, 0.165296, 0.176173, 0.190073, 0.221531, 0.241433, 0.290933, 0.309325, 0.331245, 0.382416, 0.402744, 0.425637, 0.455104, 0.4784, 0.509461, 0.53292, 0.552775, 0.579249, 0.6231, 0.646567, 0.682598, 0.721987, 0.773109, 0.875352, 1.02872");
-            values ( \
-              "0.0588565, 0.222218, 0.270872, 0.29298, 0.308849, 0.313683, 0.313207, 0.310661, 0.305869, 0.291041, 0.279938, 0.249104, 0.235364, 0.213243, 0.154938, 0.133906, 0.112585, 0.0884869, 0.0726435, 0.0553157, 0.0444868, 0.0369225, 0.0287942, 0.0191346, 0.0156167, 0.0115195, 0.0083153, 0.00531836, 0.00213178, 0.000555652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.101419");
-            index_3 ("0.0907051, 0.110294, 0.124519, 0.136013, 0.149238, 0.164395, 0.181566, 0.202545, 0.25526, 0.336886, 0.420931, 0.460526, 0.503435, 0.627638, 0.716966, 0.802424, 0.882318, 0.953207, 0.992503, 1.06491, 1.15859, 1.26084, 1.36308, 1.42747");
-            values ( \
-              "0.24985, 0.260298, 0.316504, 0.34047, 0.35361, 0.358647, 0.358202, 0.354121, 0.338513, 0.310647, 0.276096, 0.256946, 0.231222, 0.149838, 0.10208, 0.0682323, 0.0456904, 0.0316564, 0.0257605, 0.017794, 0.0112017, 0.00678257, 0.0040912, 0.00348614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.122604, 0.129084, 0.139705, 0.146233, 0.150457, 0.159838, 0.165276, 0.16879, 0.175818, 0.181562, 0.186965, 0.195459, 0.196261, 0.197866, 0.207644, 0.214135, 0.226232, 0.230243, 0.253753, 0.267699, 0.277224, 0.289923, 0.309072, 0.336031, 0.370811");
-            values ( \
-              "0.0283999, 0.0316265, 0.0538397, 0.0628849, 0.0670654, 0.0725031, 0.0736996, 0.0737681, 0.0725555, 0.0711591, 0.0705906, 0.0704585, 0.0673986, 0.0655248, 0.0471231, 0.0371017, 0.0217872, 0.0195583, 0.0098956, 0.00568112, 0.00382518, 0.00227776, 0.000972799, 0.000339381, 6.01885e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00708167");
-            index_3 ("0.122434, 0.128855, 0.134787, 0.139742, 0.142643, 0.148445, 0.154935, 0.165789, 0.176278, 0.207175, 0.219281, 0.228149, 0.233535, 0.242742, 0.249389, 0.253337, 0.259803, 0.270938, 0.286355, 0.301544, 0.312243, 0.326347, 0.345695, 0.372204, 0.410891");
-            values ( \
-              "0.0434476, 0.0471884, 0.0692779, 0.0846152, 0.0920836, 0.104161, 0.113425, 0.121278, 0.122232, 0.112379, 0.0837559, 0.0654131, 0.0557145, 0.0414818, 0.0328068, 0.0285128, 0.0232149, 0.0179403, 0.0121514, 0.00776761, 0.00562217, 0.00346192, 0.00186731, 0.000677347, 0.000227888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0137763");
-            index_3 ("0.122353, 0.131443, 0.142375, 0.147207, 0.156132, 0.162349, 0.174783, 0.178579, 0.18364, 0.18948, 0.201159, 0.21602, 0.21771, 0.221089, 0.226837, 0.233076, 0.254429, 0.268023, 0.279793, 0.290976, 0.299658, 0.311281, 0.315355, 0.323504, 0.335201, 0.352471, 0.373084, 0.389162, 0.410342, 0.434944, 0.512403");
-            values ( \
-              "0.06102, 0.0800819, 0.130884, 0.147062, 0.168079, 0.176882, 0.184867, 0.185311, 0.184933, 0.183342, 0.177279, 0.168051, 0.167903, 0.166319, 0.161992, 0.15077, 0.103695, 0.078124, 0.0602113, 0.0463962, 0.0373022, 0.0276725, 0.0253398, 0.0213181, 0.0170021, 0.0121488, 0.00798313, 0.00559328, 0.00346199, 0.00187978, 0.000231872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0267996");
-            index_3 ("0.122356, 0.131904, 0.142465, 0.150118, 0.154883, 0.164413, 0.175333, 0.189359, 0.19453, 0.204082, 0.213931, 0.234224, 0.255904, 0.273683, 0.306953, 0.337494, 0.357239, 0.364899, 0.378891, 0.406519, 0.420819, 0.437276, 0.459113, 0.492464, 0.519861, 0.550561, 0.584371, 0.675755");
-            values ( \
-              "0.0987009, 0.103407, 0.168353, 0.201612, 0.216834, 0.237534, 0.249305, 0.253021, 0.252281, 0.249234, 0.244377, 0.230816, 0.214841, 0.19803, 0.139653, 0.0943549, 0.0714267, 0.0640357, 0.0517318, 0.0332591, 0.0265127, 0.0207596, 0.01531, 0.00978255, 0.00670572, 0.00431455, 0.00258752, 0.000590042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0521344");
-            index_3 ("0.12227, 0.136063, 0.148844, 0.158413, 0.167682, 0.180859, 0.195275, 0.198365, 0.204545, 0.216439, 0.228597, 0.252911, 0.274361, 0.293409, 0.333733, 0.342351, 0.355223, 0.369882, 0.416698, 0.446872, 0.481356, 0.501173, 0.523584, 0.553466, 0.573445, 0.588935, 0.609588, 0.650894, 0.684564, 0.738902, 0.787143, 0.838265, 0.940508, 1.04275");
-            values ( \
-              "0.119138, 0.153185, 0.232922, 0.269726, 0.291823, 0.307945, 0.313234, 0.31329, 0.312755, 0.310003, 0.305624, 0.294525, 0.282996, 0.271672, 0.246026, 0.239817, 0.228362, 0.212881, 0.15966, 0.128542, 0.0979768, 0.0831757, 0.0686532, 0.0526228, 0.043748, 0.0378277, 0.0311196, 0.0211328, 0.0157353, 0.00993598, 0.00662955, 0.0042243, 0.00167871, 0.00065002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.101419");
-            index_3 ("0.128412, 0.147729, 0.163132, 0.175749, 0.190114, 0.206066, 0.223683, 0.241268, 0.294004, 0.345125, 0.408587, 0.472922, 0.499349, 0.542245, 0.633031, 0.704727, 0.755849, 0.807761, 0.841226, 0.91614, 0.992428, 1.03166, 1.10397, 1.19747, 1.29971, 1.45307, 1.51448");
-            values ( \
-              "0.238673, 0.251069, 0.315099, 0.341199, 0.354604, 0.358846, 0.357701, 0.353876, 0.338314, 0.321442, 0.297606, 0.270193, 0.256805, 0.231243, 0.170482, 0.127784, 0.102102, 0.0802093, 0.0681789, 0.0468446, 0.0315382, 0.0257724, 0.0178292, 0.0112553, 0.00683653, 0.0031678, 0.00266914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.203898, 0.212895, 0.21668, 0.220466, 0.224252, 0.226146, 0.228969, 0.231762, 0.233624, 0.237347, 0.239208, 0.241322, 0.243435, 0.244492, 0.245549, 0.246442, 0.247336, 0.248232, 0.249125, 0.250324, 0.255567, 0.259593, 0.261268, 0.262944, 0.264057, 0.265171, 0.266286, 0.267399, 0.268993, 0.269792, 0.271385, 0.273457, 0.274731, 0.276004, 0.277277, 0.278311, 0.27986, 0.290211, 0.294468, 0.298532, 0.301706, 0.30716, 0.309296, 0.31086, 0.312943, 0.324268, 0.334155, 0.340371, 0.346997, 0.353141");
-            values ( \
-              "0.0357066, 0.0371286, 0.0442876, 0.0507118, 0.0564028, 0.0589726, 0.0623892, 0.0652112, 0.0668241, 0.0695965, 0.070756, 0.0718893, 0.0726632, 0.0729153, 0.0730775, 0.0730657, 0.072962, 0.0727664, 0.0724788, 0.0710855, 0.0706293, 0.0701675, 0.0698993, 0.069516, 0.0694214, 0.0694248, 0.0695263, 0.0697259, 0.0707788, 0.0707683, 0.0706067, 0.0701167, 0.0696582, 0.06908, 0.0683821, 0.0671826, 0.0646007, 0.0461965, 0.0393293, 0.0336926, 0.0296075, 0.0232298, 0.0212298, 0.0203239, 0.0193733, 0.0147309, 0.0104722, 0.00829062, 0.00640163, 0.00482824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00708167");
-            index_3 ("0.20517, 0.215251, 0.219471, 0.223691, 0.227913, 0.232135, 0.234282, 0.236428, 0.238575, 0.240722, 0.242868, 0.245015, 0.247374, 0.248276, 0.249178, 0.250317, 0.252108, 0.25341, 0.254059, 0.256075, 0.257591, 0.260118, 0.262139, 0.271581, 0.279624, 0.280944, 0.281933, 0.282591, 0.283909, 0.28457, 0.285888, 0.287207, 0.288525, 0.289843, 0.29125, 0.307676, 0.31019, 0.313509, 0.316826, 0.323359, 0.331118, 0.334877, 0.338226, 0.341572, 0.347071, 0.353315, 0.359933, 0.367667, 0.374604, 0.383969");
-            values ( \
-              "0.0612751, 0.0652317, 0.0774371, 0.0882293, 0.0976122, 0.105581, 0.108773, 0.111631, 0.114154, 0.116343, 0.118196, 0.119715, 0.120947, 0.11982, 0.119029, 0.118742, 0.11844, 0.118333, 0.118316, 0.118562, 0.118561, 0.11843, 0.11821, 0.115925, 0.114176, 0.114721, 0.114801, 0.114745, 0.114414, 0.114138, 0.113368, 0.112306, 0.110951, 0.109304, 0.106999, 0.0705502, 0.065265, 0.0593445, 0.0537812, 0.0439402, 0.0332081, 0.0286682, 0.0258816, 0.0235231, 0.0207209, 0.0179043, 0.0152501, 0.0124783, 0.0103058, 0.00764827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0137763");
-            index_3 ("0.202544, 0.212201, 0.223155, 0.228543, 0.237873, 0.243975, 0.248927, 0.252573, 0.256965, 0.259665, 0.263266, 0.270468, 0.273861, 0.285536, 0.299589, 0.301066, 0.303036, 0.306976, 0.315465, 0.334669, 0.34223, 0.351011, 0.362456, 0.372731, 0.380742, 0.3933, 0.399516, 0.409875, 0.421058, 0.440898, 0.465262, 0.485256, 0.508751, 0.537582, 0.57673");
-            values ( \
-              "0.0605002, 0.0763962, 0.123694, 0.142189, 0.165943, 0.175973, 0.181495, 0.17848, 0.178704, 0.18011, 0.180894, 0.180836, 0.180044, 0.174748, 0.166404, 0.166627, 0.165972, 0.16383, 0.151116, 0.108194, 0.092944, 0.0772326, 0.0598219, 0.0471388, 0.0386342, 0.0279198, 0.0243692, 0.0197523, 0.0159411, 0.0108118, 0.00647323, 0.00410602, 0.00235684, 0.00114366, 0.000422686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0267996");
-            index_3 ("0.203323, 0.21302, 0.223911, 0.234868, 0.247408, 0.248835, 0.251688, 0.254519, 0.262944, 0.269521, 0.276852, 0.291514, 0.296406, 0.316748, 0.34482, 0.350794, 0.362199, 0.392146, 0.407701, 0.428441, 0.452461, 0.4696, 0.493368, 0.50762, 0.528141, 0.551899, 0.586239, 0.614302, 0.682478, 0.688228");
-            values ( \
-              "0.0976348, 0.101481, 0.162763, 0.208487, 0.239406, 0.241711, 0.239268, 0.239754, 0.24627, 0.248834, 0.249437, 0.245681, 0.243437, 0.230532, 0.210237, 0.204184, 0.18756, 0.135495, 0.111372, 0.0840529, 0.0593691, 0.0455835, 0.0310554, 0.0248183, 0.0184538, 0.0133575, 0.00841336, 0.00566705, 0.00202996, 0.00193328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0521344");
-            index_3 ("0.203442, 0.217742, 0.232168, 0.248747, 0.249761, 0.273112, 0.296089, 0.336733, 0.342823, 0.355004, 0.409975, 0.412199, 0.416647, 0.438224, 0.512416, 0.564128, 0.606417, 0.656275, 0.661426, 0.671727, 0.733535, 0.758287, 0.767395, 0.785611, 0.822043, 0.870057, 0.921179, 0.9723, 1.02342, 1.07454, 1.12567");
-            values ( \
-              "0.112104, 0.150575, 0.235109, 0.29074, 0.287046, 0.309399, 0.310696, 0.294807, 0.289779, 0.285075, 0.250889, 0.247886, 0.246835, 0.229025, 0.144967, 0.0970968, 0.0677633, 0.0428738, 0.04254, 0.0369836, 0.0203241, 0.017907, 0.0148996, 0.0143519, 0.00907945, 0.0074526, 0.00339388, 0.00353667, 0.000852179, 0.00190535, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.101419");
-            index_3 ("0.203834, 0.239525, 0.249022, 0.252111, 0.25589, 0.273036, 0.285629, 0.303718, 0.324469, 0.350169, 0.432732, 0.491824, 0.556165, 0.625495, 0.716286, 0.788006, 0.839128, 0.891022, 0.924473, 0.999419, 1.07584, 1.11505, 1.18732, 1.28073, 1.38297, 1.53634, 1.58424");
-            values ( \
-              "0.118313, 0.29302, 0.324576, 0.324246, 0.327923, 0.349637, 0.355856, 0.357127, 0.353583, 0.346566, 0.319919, 0.297561, 0.270151, 0.231206, 0.170478, 0.127767, 0.102082, 0.0802049, 0.0681802, 0.0468383, 0.0315101, 0.0257589, 0.0178257, 0.0112595, 0.00684097, 0.00316449, 0.0027754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.361881, 0.373376, 0.384076, 0.388837, 0.391218, 0.394557, 0.397434, 0.400431, 0.403427, 0.404602, 0.408125, 0.410474, 0.412823, 0.415172, 0.417521, 0.418808, 0.419772, 0.421058, 0.422345, 0.434783, 0.43639, 0.437193, 0.4388, 0.439603, 0.440914, 0.442225, 0.443536, 0.444846, 0.445067, 0.44573, 0.446614, 0.447498, 0.45629, 0.459221, 0.461175, 0.46488, 0.468384, 0.471413, 0.475244, 0.476999, 0.478754, 0.480509, 0.490368, 0.492192, 0.497619, 0.50255, 0.506333, 0.509459, 0.51319, 0.517068");
-            values ( \
-              "0.0248976, 0.0265967, 0.0412333, 0.04722, 0.0500836, 0.053919, 0.0569702, 0.0598358, 0.0623985, 0.0631546, 0.0652707, 0.0665546, 0.067737, 0.0688179, 0.0697974, 0.0701945, 0.0704472, 0.0706899, 0.0708542, 0.0706378, 0.0707656, 0.0707721, 0.0706702, 0.0705618, 0.0701413, 0.0695252, 0.0687136, 0.0677064, 0.0674671, 0.0666913, 0.0653096, 0.0638858, 0.0486474, 0.0436852, 0.0407831, 0.035536, 0.0308844, 0.0271148, 0.0227025, 0.0214552, 0.0205397, 0.0196946, 0.0155984, 0.0148246, 0.0124113, 0.0103589, 0.00901392, 0.00801011, 0.00690309, 0.00583485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00708167");
-            index_3 ("0.355903, 0.367737, 0.387727, 0.396663, 0.402439, 0.414518, 0.425618, 0.436449, 0.447267, 0.449364, 0.451529, 0.454923, 0.45855, 0.471191, 0.479701, 0.489428, 0.501858, 0.510329, 0.518828, 0.537712, 0.550255, 0.554879, 0.559765, 0.575773, 0.587831, 0.605816");
-            values ( \
-              "0.0132555, 0.0298463, 0.0729561, 0.0901149, 0.0991464, 0.111969, 0.117622, 0.11814, 0.116138, 0.116321, 0.115849, 0.113007, 0.107096, 0.0793118, 0.0623272, 0.0462359, 0.0301088, 0.0230425, 0.0190534, 0.0117718, 0.00807218, 0.00589888, 0.00495597, 0.00306044, 0.00216619, 0.00123794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0137763");
-            index_3 ("0.356056, 0.370854, 0.393008, 0.403458, 0.411, 0.425707, 0.43964, 0.453563, 0.470182, 0.473305, 0.477948, 0.483042, 0.505271, 0.524787, 0.540972, 0.555366, 0.561273, 0.569135, 0.57909, 0.594328, 0.614408, 0.645419, 0.667675, 0.68244");
-            values ( \
-              "0.016064, 0.0509995, 0.120701, 0.147052, 0.160867, 0.176259, 0.180129, 0.176875, 0.169065, 0.166972, 0.161231, 0.151772, 0.103008, 0.0680645, 0.0468514, 0.0313134, 0.026511, 0.0225336, 0.0185682, 0.0139111, 0.00936087, 0.00477725, 0.00286785, 0.00209175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0267996");
-            index_3 ("0.355879, 0.375018, 0.397873, 0.412915, 0.425146, 0.442512, 0.450021, 0.460033, 0.47354, 0.511898, 0.519669, 0.529513, 0.568996, 0.598816, 0.627218, 0.647367, 0.661838, 0.688274, 0.710142, 0.740568, 0.767311, 0.83055, 0.845361");
-            values ( \
-              "0.0197733, 0.0810683, 0.174881, 0.217321, 0.236767, 0.247604, 0.247973, 0.246043, 0.240266, 0.213851, 0.205052, 0.189353, 0.119959, 0.0803822, 0.0530372, 0.038558, 0.0304731, 0.0204263, 0.0150738, 0.0100303, 0.00694924, 0.00274903, 0.00227312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0521344");
-            index_3 ("0.356018, 0.38159, 0.398325, 0.410578, 0.41763, 0.431735, 0.447878, 0.458927, 0.469344, 0.479831, 0.500805, 0.526914, 0.550105, 0.556251, 0.594173, 0.618681, 0.668594, 0.690287, 0.713834, 0.743995, 0.768945, 0.788106, 0.820198, 0.849354, 0.888228, 0.920691, 0.96849, 1.01961, 1.07073, 1.12186, 1.2241, 1.32634");
-            values ( \
-              "0.0206263, 0.12623, 0.208691, 0.254064, 0.27272, 0.296776, 0.308455, 0.310177, 0.309244, 0.306698, 0.298681, 0.285285, 0.271147, 0.263781, 0.238715, 0.215218, 0.158198, 0.135743, 0.113329, 0.0889002, 0.071891, 0.0608258, 0.0453912, 0.0344916, 0.0238773, 0.0178809, 0.0118897, 0.0076946, 0.00497009, 0.00316031, 0.00125339, 0.000495251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.101419");
-            index_3 ("0.375538, 0.407219, 0.424957, 0.441449, 0.459459, 0.476424, 0.492832, 0.518409, 0.550104, 0.563982, 0.598303, 0.632723, 0.724063, 0.750495, 0.793396, 0.884191, 0.955911, 1.00703, 1.05894, 1.0924, 1.16734, 1.24373, 1.28295, 1.35525, 1.44871, 1.55095, 1.70432, 1.75765");
-            values ( \
-              "0.202603, 0.269399, 0.32208, 0.346466, 0.356672, 0.35819, 0.356097, 0.350066, 0.340628, 0.330656, 0.320568, 0.308155, 0.270161, 0.256762, 0.231224, 0.170453, 0.127752, 0.102091, 0.0802054, 0.0681666, 0.0468295, 0.0315097, 0.0257619, 0.0178256, 0.0112578, 0.0068411, 0.00316239, 0.00272936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.669163, 0.680134, 0.687663, 0.691233, 0.698373, 0.70402, 0.734182, 0.747336, 0.758664, 0.769153, 0.778869, 0.779347, 0.782217, 0.784864, 0.789317, 0.805635, 0.818492, 0.821428, 0.847997, 0.853488, 0.864772, 0.870922, 0.883221, 0.899398");
-            values ( \
-              "0.00318937, 0.00609199, 0.00933079, 0.0111924, 0.0156796, 0.0199754, 0.0450361, 0.0546352, 0.0611541, 0.0637387, 0.06497, 0.065524, 0.0666747, 0.0665862, 0.0631039, 0.0389435, 0.0234646, 0.0212707, 0.0100705, 0.00811549, 0.00515797, 0.0040559, 0.00241012, 0.00135605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00708167");
-            index_3 ("0.666103, 0.692075, 0.696172, 0.700854, 0.707136, 0.740271, 0.754769, 0.767409, 0.779285, 0.790967, 0.802192, 0.802818, 0.817147, 0.825241, 0.835532, 0.840599, 0.846819, 0.853151, 0.860211, 0.875181, 0.892365, 0.899132, 0.908154, 0.921941, 0.934652");
-            values ( \
-              "0.0042296, 0.0180471, 0.0220064, 0.0272419, 0.0351293, 0.0799797, 0.096087, 0.105603, 0.109076, 0.109344, 0.105881, 0.103303, 0.0742168, 0.0593362, 0.043559, 0.0368056, 0.0295658, 0.0245597, 0.0207721, 0.0144796, 0.00883885, 0.00716812, 0.00550204, 0.0034006, 0.00240145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0137763");
-            index_3 ("0.667328, 0.691932, 0.697594, 0.709794, 0.743635, 0.750574, 0.76445, 0.773398, 0.782635, 0.796831, 0.80074, 0.812257, 0.822288, 0.850606, 0.865193, 0.883671, 0.892796, 0.905259, 0.911716, 0.922423, 0.9367, 0.951717, 0.972004, 0.989699, 1.00563");
-            values ( \
-              "0.00687446, 0.025977, 0.0339784, 0.0552858, 0.123337, 0.135698, 0.154641, 0.162622, 0.16764, 0.168721, 0.168109, 0.164757, 0.158124, 0.100858, 0.0747901, 0.0495222, 0.0395981, 0.0287829, 0.025006, 0.0201523, 0.0152831, 0.0113667, 0.00743587, 0.00502473, 0.00356215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0267996");
-            index_3 ("0.681899, 0.704622, 0.740547, 0.766488, 0.788208, 0.80879, 0.83349, 0.851131, 0.862174, 0.927138, 0.957179, 0.976736, 0.99804, 1.02488, 1.0448, 1.08119, 1.13704, 1.15803");
-            values ( \
-              "0.0242164, 0.0607127, 0.156471, 0.21175, 0.232691, 0.236323, 0.22737, 0.216314, 0.206345, 0.102318, 0.0670996, 0.0500295, 0.0355387, 0.0233293, 0.0175987, 0.0107662, 0.00493561, 0.0040081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0521344");
-            index_3 ("0.681726, 0.713472, 0.749775, 0.757913, 0.77419, 0.792853, 0.815923, 0.82455, 0.840258, 0.856385, 0.888456, 0.925385, 0.954589, 1.02484, 1.04183, 1.07518, 1.09573, 1.11864, 1.1492, 1.18083, 1.199, 1.23113, 1.24837, 1.27731, 1.30092, 1.34788, 1.399, 1.45012, 1.50295");
-            values ( \
-              "0.0216199, 0.0966693, 0.215499, 0.237899, 0.270954, 0.291357, 0.299056, 0.298659, 0.295521, 0.290011, 0.274426, 0.251635, 0.226509, 0.146896, 0.129873, 0.0998461, 0.0843006, 0.0693014, 0.0528666, 0.0393336, 0.0331556, 0.0238002, 0.0202291, 0.0157565, 0.0129567, 0.00865521, 0.0056394, 0.00356306, 0.00244667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.101419");
-            index_3 ("0.704343, 0.735695, 0.752632, 0.765484, 0.7841, 0.806625, 0.829753, 0.837705, 0.853609, 0.88323, 0.936, 1.00483, 1.0687, 1.09418, 1.13744, 1.2158, 1.23313, 1.25444, 1.27507, 1.33079, 1.37713, 1.41765, 1.49854, 1.55238, 1.60011, 1.65123, 1.70206, 1.76674, 1.86898, 1.97122, 2.07347, 2.17571, 2.32907");
-            values ( \
-              "0.155559, 0.188967, 0.250494, 0.287316, 0.322628, 0.342901, 0.34858, 0.348463, 0.34675, 0.340419, 0.324772, 0.299655, 0.272369, 0.259101, 0.232532, 0.179401, 0.166078, 0.154808, 0.140982, 0.111083, 0.0900436, 0.07433, 0.049716, 0.0376499, 0.0297555, 0.0224068, 0.0172959, 0.0125258, 0.00752321, 0.00448203, 0.00263266, 0.00151489, 0.000978878" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00693484, 0.00695226, 0.00696723, 0.00698058, 0.00699083, 0.00699728", \
-            "0.00895002, 0.00896043, 0.00897328, 0.00898702, 0.0089984, 0.00900603", \
-            "0.0103124, 0.0103151, 0.0103211, 0.0103295, 0.0103383, 0.0103454", \
-            "0.0110938, 0.0110937, 0.0110944, 0.0110967, 0.0111007, 0.0111054", \
-            "0.0115712, 0.0115702, 0.0115689, 0.0115677, 0.0115673, 0.0115683", \
-            "0.011794, 0.011793, 0.0117914, 0.0117894, 0.0117871, 0.0117854" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0108081, 0.0108159, 0.0108391, 0.0108637, 0.0108796, 0.0108926", \
-            "0.0114052, 0.0113989, 0.0114002, 0.0114066, 0.0114163, 0.0114262", \
-            "0.0109546, 0.0109442, 0.0109296, 0.0109173, 0.0109113, 0.0109107", \
-            "0.010741, 0.0107429, 0.0107384, 0.0107277, 0.010715, 0.0107061", \
-            "0.0077029, 0.00812409, 0.00875091, 0.0094571, 0.0100512, 0.0104626", \
-            "0.00652039, 0.00652553, 0.00654554, 0.00662162, 0.0072639, 0.00831552" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0713518, 0.0756809, 0.0789581, 0.0836003, 0.0916775, 0.0990975, 0.106349, 0.113731, 0.121535, 0.145983, 0.156046, 0.166101, 0.185857, 0.200582, 0.237636, 0.268894, 0.318085");
-            values ( \
-              "-0.00756038, -0.053077, -0.0656516, -0.0755638, -0.0864331, -0.0902946, -0.0906451, -0.0872504, -0.0804416, -0.0413588, -0.0285347, -0.0192913, -0.00781207, -0.00446143, -0.00209777, -0.000698666, -1.01545e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00708167");
-            index_3 ("0.0719663, 0.0766736, 0.0807102, 0.0864332, 0.0915078, 0.0964679, 0.105839, 0.115096, 0.124548, 0.130535, 0.134526, 0.14251, 0.162675, 0.176448, 0.191198, 0.201795, 0.213036, 0.221531, 0.232936, 0.246192, 0.304416, 0.321274");
-            values ( \
-              "-0.0236345, -0.0848263, -0.103206, -0.118854, -0.127811, -0.133996, -0.138266, -0.137383, -0.132441, -0.127118, -0.122475, -0.108885, -0.0699715, -0.047433, -0.0301419, -0.0214001, -0.014655, -0.0105187, -0.00642975, -0.00463706, -0.00178652, -0.00143964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0137763");
-            index_3 ("0.0722563, 0.0778392, 0.0810673, 0.0876345, 0.0910593, 0.0979088, 0.104842, 0.11527, 0.123558, 0.131215, 0.14446, 0.153725, 0.159039, 0.169666, 0.20562, 0.218075, 0.238286, 0.252602, 0.267485, 0.278509, 0.295382, 0.305183, 0.322591, 0.345801, 0.402624, 0.429261");
-            values ( \
-              "-0.0566748, -0.121195, -0.13927, -0.162259, -0.170461, -0.181321, -0.186874, -0.189416, -0.188291, -0.186347, -0.18003, -0.172459, -0.166643, -0.150214, -0.0854451, -0.0666353, -0.0431943, -0.0312301, -0.022125, -0.0169704, -0.0107789, -0.00806478, -0.00525023, -0.00359514, -0.00189113, -0.00148424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0267996");
-            index_3 ("0.073255, 0.079612, 0.0844791, 0.094001, 0.099109, 0.108802, 0.120145, 0.12732, 0.140766, 0.161723, 0.183273, 0.197885, 0.205987, 0.222191, 0.274834, 0.309223, 0.339254, 0.363529, 0.391958, 0.417269, 0.43607, 0.473856, 0.524237, 0.598166");
-            values ( \
-              "-0.144875, -0.158971, -0.184988, -0.214064, -0.221949, -0.230812, -0.234013, -0.234347, -0.233031, -0.228082, -0.219742, -0.210724, -0.203797, -0.184921, -0.111668, -0.0727262, -0.0483037, -0.0341324, -0.0224441, -0.0151851, -0.0110384, -0.0058202, -0.00305535, -0.0017806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0521344");
-            index_3 ("0.0768715, 0.104479, 0.113786, 0.137056, 0.166628, 0.235702, 0.257765, 0.29524, 0.321357, 0.411364, 0.469551, 0.520606, 0.556712, 0.589531, 0.663459, 0.746282, 0.86447, 0.902372");
-            values ( \
-              "-0.247511, -0.257127, -0.263545, -0.267503, -0.265652, -0.253722, -0.247392, -0.231507, -0.2119, -0.129592, -0.085794, -0.0577181, -0.0429451, -0.0326373, -0.0171367, -0.00783481, -0.00296513, -0.0026454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.101419");
-            index_3 ("0.0769588, 0.121197, 0.141977, 0.237242, 0.348697, 0.403251, 0.459587, 0.50435, 0.690995, 0.796951, 0.896124, 0.997662, 1.0517, 1.15164, 1.28939, 1.52577, 1.54973");
-            values ( \
-              "-0.272426, -0.285704, -0.288624, -0.28398, -0.272996, -0.265014, -0.251443, -0.233877, -0.134005, -0.0884784, -0.0578545, -0.0368285, -0.028372, -0.0175673, -0.00875367, -0.00277083, -0.00265228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0892643, 0.0990614, 0.108339, 0.111771, 0.118634, 0.122506, 0.126421, 0.130048, 0.133807, 0.140293, 0.144291, 0.163618, 0.171276, 0.180599, 0.191351, 0.205805, 0.213029, 0.220605, 0.257802, 0.289163, 0.290865");
-            values ( \
-              "-0.00077875, -0.0662199, -0.0825773, -0.0860674, -0.0904852, -0.0908973, -0.0902591, -0.089002, -0.0870272, -0.0818385, -0.0766266, -0.0450766, -0.0343465, -0.024075, -0.0158248, -0.00795962, -0.00561278, -0.00455912, -0.0021834, -0.000783119, -0.000759485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00708167");
-            index_3 ("0.0930507, 0.0968634, 0.1009, 0.106623, 0.112348, 0.116645, 0.125166, 0.135233, 0.143407, 0.152342, 0.159369, 0.184581, 0.197024, 0.210433, 0.224528, 0.231756, 0.240726, 0.251893, 0.266131, 0.325344, 0.344253");
-            values ( \
-              "-0.047995, -0.0857927, -0.104111, -0.118611, -0.129267, -0.133747, -0.138428, -0.137112, -0.133343, -0.125331, -0.115114, -0.0666964, -0.0467769, -0.0309874, -0.0196783, -0.0154199, -0.011002, -0.00671607, -0.00462906, -0.00173091, -0.00136267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0137763");
-            index_3 ("0.0920258, 0.0980818, 0.102633, 0.108516, 0.116718, 0.125017, 0.135139, 0.144327, 0.151383, 0.164631, 0.17376, 0.179204, 0.190093, 0.225771, 0.238118, 0.258554, 0.27302, 0.287332, 0.297933, 0.315245, 0.331336, 0.343438, 0.367243, 0.424114, 0.452152");
-            values ( \
-              "-0.0419518, -0.122069, -0.146276, -0.164339, -0.17974, -0.187067, -0.189392, -0.188209, -0.186336, -0.180069, -0.172605, -0.166659, -0.149777, -0.085475, -0.066798, -0.0430876, -0.0310497, -0.0222906, -0.0172762, -0.0108803, -0.00684311, -0.0051763, -0.00353258, -0.00185201, -0.00143469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0267996");
-            index_3 ("0.0937363, 0.0998778, 0.107897, 0.114208, 0.119303, 0.128922, 0.140328, 0.147769, 0.160947, 0.181902, 0.203452, 0.218065, 0.226165, 0.242363, 0.294998, 0.329385, 0.359445, 0.383692, 0.41211, 0.449932, 0.468836, 0.494042, 0.544453, 0.622973");
-            values ( \
-              "-0.153887, -0.160582, -0.19754, -0.214308, -0.22234, -0.230726, -0.233932, -0.234258, -0.232933, -0.22798, -0.219755, -0.210736, -0.203805, -0.184952, -0.111691, -0.072739, -0.0482904, -0.0341361, -0.0224501, -0.0123184, -0.00888878, -0.00581975, -0.00305115, -0.00169783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0521344");
-            index_3 ("0.0938048, 0.123661, 0.136093, 0.162658, 0.204796, 0.252659, 0.278102, 0.315529, 0.492702, 0.533591, 0.611755, 0.698208, 0.792347, 0.822934");
-            values ( \
-              "-0.193148, -0.256181, -0.264044, -0.267216, -0.263072, -0.25421, -0.247696, -0.231265, -0.0840064, -0.0612065, -0.0321458, -0.015077, -0.00610348, -0.0051595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.101419");
-            index_3 ("0.0972604, 0.127995, 0.15219, 0.179293, 0.257241, 0.368688, 0.423243, 0.479579, 0.524337, 0.710976, 0.816929, 0.916097, 1.01763, 1.18285, 1.36846, 1.50088");
-            values ( \
-              "-0.278135, -0.279202, -0.287643, -0.288623, -0.28382, -0.272822, -0.264838, -0.251626, -0.234072, -0.13421, -0.0886798, -0.058043, -0.0366447, -0.0167484, -0.00659364, -0.00415553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.138239, 0.144394, 0.149094, 0.153788, 0.157207, 0.164046, 0.168216, 0.171886, 0.177066, 0.183019, 0.187086, 0.193424, 0.200818, 0.209563, 0.218337, 0.226479, 0.235556, 0.245724, 0.2548, 0.263118, 0.272719, 0.281883, 0.292963, 0.319202, 0.35778, 0.386777");
-            values ( \
-              "-0.0411864, -0.0650806, -0.0745285, -0.0821898, -0.0857577, -0.0903468, -0.0907181, -0.0900886, -0.0882697, -0.0844409, -0.0800933, -0.0706966, -0.0581436, -0.0443841, -0.0323878, -0.0237052, -0.016547, -0.0106324, -0.00658213, -0.00489341, -0.00404738, -0.00362178, -0.00280266, -0.00130372, -0.00028156, -0.00014513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00708167");
-            index_3 ("0.137983, 0.142123, 0.149417, 0.157016, 0.162006, 0.171384, 0.180645, 0.190098, 0.196031, 0.208169, 0.241976, 0.256772, 0.2674, 0.278518, 0.298288, 0.311707, 0.370064, 0.37136");
-            values ( \
-              "-0.0395386, -0.0837683, -0.11211, -0.127618, -0.13374, -0.138302, -0.137248, -0.132412, -0.127179, -0.108682, -0.0474578, -0.0301135, -0.0213691, -0.0146967, -0.00648492, -0.00464522, -0.00178793, -0.00176114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0137763");
-            index_3 ("0.136863, 0.143716, 0.149199, 0.156943, 0.163545, 0.170706, 0.180276, 0.190417, 0.197064, 0.210315, 0.224884, 0.23596, 0.27144, 0.283706, 0.304308, 0.318883, 0.332775, 0.360697, 0.377148, 0.389617, 0.413224, 0.470058, 0.491967");
-            values ( \
-              "-0.0236516, -0.121203, -0.150175, -0.170553, -0.181153, -0.186924, -0.189387, -0.188187, -0.186265, -0.180136, -0.166671, -0.149467, -0.0854982, -0.06692, -0.0430107, -0.0309174, -0.0224132, -0.0109551, -0.00681253, -0.0051223, -0.00352097, -0.00184392, -0.00151983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0267996");
-            index_3 ("0.14224, 0.165401, 0.17544, 0.186411, 0.196788, 0.223251, 0.237459, 0.249523, 0.271237, 0.286547, 0.339193, 0.374152, 0.397138, 0.415768, 0.445481, 0.46193, 0.49618, 0.517509, 0.555868, 0.651514");
-            values ( \
-              "-0.196224, -0.222567, -0.231143, -0.233873, -0.23425, -0.22937, -0.224935, -0.219637, -0.205003, -0.187554, -0.11417, -0.0739865, -0.0542844, -0.0417298, -0.0271252, -0.0212395, -0.0122879, -0.0084581, -0.00454197, -0.00197975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0521344");
-            index_3 ("0.142745, 0.180163, 0.1916, 0.203597, 0.232988, 0.278955, 0.302889, 0.324124, 0.361612, 0.387507, 0.477808, 0.536435, 0.585501, 0.622619, 0.662728, 0.690155, 0.744249, 0.77562, 0.834714, 0.952902, 1.00319");
-            values ( \
-              "-0.237383, -0.263607, -0.266415, -0.267434, -0.265554, -0.258362, -0.253432, -0.247505, -0.23141, -0.212111, -0.129532, -0.0854481, -0.0583833, -0.0430864, -0.0308097, -0.0243434, -0.0150548, -0.0112471, -0.00630074, -0.00250668, -0.00215322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.101419");
-            index_3 ("0.142873, 0.187356, 0.197877, 0.225436, 0.303434, 0.401688, 0.469435, 0.525778, 0.570513, 0.75714, 0.863071, 0.962294, 1.06376, 1.1178, 1.22899, 1.41463, 1.56949");
-            values ( \
-              "-0.26705, -0.285463, -0.287736, -0.288726, -0.283738, -0.274425, -0.264778, -0.251687, -0.234127, -0.134237, -0.0887018, -0.0580328, -0.0366573, -0.028555, -0.0167555, -0.00659953, -0.00374886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.233896, 0.241447, 0.246686, 0.248994, 0.255356, 0.260159, 0.263095, 0.268966, 0.273636, 0.277993, 0.285882, 0.310977, 0.323883, 0.334165, 0.349209, 0.354494, 0.362676, 0.403934, 0.428538");
-            values ( \
-              "-0.0321609, -0.0557863, -0.0693762, -0.0731497, -0.0810929, -0.0857822, -0.0875492, -0.0889858, -0.0880329, -0.0861505, -0.0796544, -0.0406775, -0.0251761, -0.0168189, -0.00838614, -0.00640002, -0.00476228, -0.00207684, -0.00101844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00708167");
-            index_3 ("0.233669, 0.23895, 0.241602, 0.24367, 0.248926, 0.249916, 0.251337, 0.252863, 0.255309, 0.26774, 0.270144, 0.274848, 0.279503, 0.288717, 0.289016, 0.297983, 0.305202, 0.328921, 0.34334, 0.352938, 0.359572, 0.368398, 0.377235, 0.385869, 0.397273, 0.410741, 0.46897, 0.495299");
-            values ( \
-              "-0.0277146, -0.068942, -0.0830829, -0.0920155, -0.109018, -0.10807, -0.113378, -0.115074, -0.121666, -0.135991, -0.135111, -0.137432, -0.135131, -0.132974, -0.131852, -0.123379, -0.11214, -0.0667427, -0.0441468, -0.0329016, -0.0267247, -0.0200305, -0.0148565, -0.010654, -0.00647557, -0.00462551, -0.00177061, -0.00104556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0137763");
-            index_3 ("0.233684, 0.241151, 0.245692, 0.248933, 0.259515, 0.264903, 0.269581, 0.278936, 0.282887, 0.289487, 0.296143, 0.309439, 0.317975, 0.32401, 0.340144, 0.370942, 0.382906, 0.403341, 0.417704, 0.432431, 0.44334, 0.460336, 0.47608, 0.487827, 0.511321, 0.567918, 0.592439");
-            values ( \
-              "-0.0282118, -0.104737, -0.133431, -0.145766, -0.170886, -0.179362, -0.183792, -0.187904, -0.188012, -0.187444, -0.185736, -0.179876, -0.172967, -0.166465, -0.140521, -0.0849246, -0.0668722, -0.0431499, -0.031185, -0.0221748, -0.0170456, -0.0108108, -0.00686754, -0.00523543, -0.00356662, -0.00187653, -0.00150645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0267996");
-            index_3 ("0.237977, 0.258688, 0.264425, 0.272741, 0.283664, 0.297618, 0.306342, 0.334256, 0.348853, 0.370532, 0.386511, 0.438628, 0.473649, 0.496147, 0.514359, 0.545743, 0.568284, 0.586933, 0.60809, 0.6363, 0.688055, 0.775141");
-            values ( \
-              "-0.14456, -0.205729, -0.217577, -0.227258, -0.232457, -0.23345, -0.232554, -0.225629, -0.219739, -0.204866, -0.186697, -0.114043, -0.0738507, -0.0545479, -0.0421993, -0.0267748, -0.0190839, -0.0141906, -0.00988469, -0.00609688, -0.00307225, -0.00156935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0521344");
-            index_3 ("0.237952, 0.268549, 0.278268, 0.288599, 0.300406, 0.315088, 0.350501, 0.404846, 0.423816, 0.461345, 0.486654, 0.577566, 0.636425, 0.68451, 0.722136, 0.76327, 0.843984, 0.933885, 1.05207, 1.06657");
-            values ( \
-              "-0.174222, -0.252055, -0.261007, -0.264955, -0.266752, -0.266818, -0.262975, -0.252771, -0.247611, -0.231267, -0.212563, -0.129472, -0.0852607, -0.0587059, -0.0431522, -0.0305884, -0.0150538, -0.0063353, -0.00251842, -0.00241591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.101419");
-            index_3 ("0.242471, 0.289792, 0.317955, 0.403374, 0.514825, 0.569379, 0.625718, 0.670466, 0.857095, 0.963035, 1.06223, 1.16373, 1.27687, 1.45549, 1.64752");
-            values ( \
-              "-0.266819, -0.285273, -0.288528, -0.283836, -0.272847, -0.264866, -0.251601, -0.234047, -0.134177, -0.0886436, -0.0579929, -0.0366955, -0.0215777, -0.008886, -0.0040255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.422709, 0.430576, 0.440646, 0.448504, 0.453876, 0.462618, 0.470607, 0.478276, 0.483695, 0.486582, 0.492355, 0.511402, 0.523989, 0.531616, 0.536652, 0.550188, 0.55277, 0.557987, 0.563442, 0.618705, 0.630305");
-            values ( \
-              "-0.00465297, -0.0287028, -0.0487816, -0.0619112, -0.0700382, -0.0799484, -0.0839575, -0.0832773, -0.0800877, -0.0775211, -0.0700063, -0.0415328, -0.026374, -0.0196918, -0.0161643, -0.00867903, -0.00672961, -0.00537568, -0.00458513, -0.0013769, -0.00106332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00708167");
-            index_3 ("0.422686, 0.432701, 0.437616, 0.447318, 0.454943, 0.459643, 0.469043, 0.476008, 0.479822, 0.487448, 0.493742, 0.499743, 0.50845, 0.528157, 0.541241, 0.556793, 0.568077, 0.576487, 0.590264, 0.602532, 0.609657, 0.630041, 0.683982, 0.689216");
-            values ( \
-              "-0.00329497, -0.0476576, -0.0636898, -0.0896863, -0.107157, -0.116082, -0.127668, -0.131317, -0.131727, -0.130563, -0.126575, -0.120288, -0.106656, -0.0700372, -0.0487763, -0.0294803, -0.0206383, -0.0156735, -0.00913, -0.00562707, -0.00484884, -0.00360158, -0.00131808, -0.00121557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0137763");
-            index_3 ("0.422588, 0.439872, 0.453626, 0.469225, 0.483084, 0.496582, 0.510068, 0.521509, 0.530686, 0.571693, 0.591689, 0.620378, 0.639084, 0.670465, 0.699256, 0.772292");
-            values ( \
-              "-0.00490418, -0.0937532, -0.140945, -0.173631, -0.184157, -0.183744, -0.179237, -0.169795, -0.157649, -0.0845143, -0.0565362, -0.0299541, -0.0193865, -0.00824902, -0.00430077, -0.0019088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0267996");
-            index_3 ("0.43377, 0.450187, 0.459521, 0.464011, 0.47299, 0.486189, 0.497012, 0.507123, 0.523975, 0.528071, 0.536264, 0.549576, 0.553751, 0.560216, 0.566127, 0.572371, 0.584102, 0.597981, 0.63775, 0.647515, 0.667045, 0.681097, 0.696403, 0.71681, 0.730008, 0.746043, 0.7625, 0.786096, 0.796754, 0.818071, 0.855933, 0.910341, 0.965031, 1.0708, 1.1299");
-            values ( \
-              "-0.123818, -0.158123, -0.19013, -0.201709, -0.2175, -0.228606, -0.231245, -0.231596, -0.229181, -0.228223, -0.225866, -0.220719, -0.216391, -0.212569, -0.208406, -0.203038, -0.190116, -0.171664, -0.11618, -0.103745, -0.0814296, -0.0677815, -0.0550955, -0.0413665, -0.0342354, -0.0270994, -0.0211952, -0.0146873, -0.0122604, -0.00846997, -0.00456724, -0.00261821, -0.00161373, -0.000577114, -0.000319344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0521344");
-            index_3 ("0.433996, 0.470859, 0.494654, 0.516624, 0.550449, 0.555144, 0.60647, 0.663013, 0.837772, 0.88775, 0.963721, 1.04601, 1.13699, 1.1711");
-            values ( \
-              "-0.140603, -0.241911, -0.262991, -0.266514, -0.264282, -0.261305, -0.25265, -0.231205, -0.0854996, -0.0580378, -0.0309746, -0.0150472, -0.00627261, -0.00518416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.101419");
-            index_3 ("0.438007, 0.464734, 0.474312, 0.482727, 0.493946, 0.509622, 0.527593, 0.550186, 0.554348, 0.5607, 0.565763, 0.584848, 0.637526, 0.703302, 0.736472, 0.770982, 0.830076, 0.842273, 0.866667, 0.915455, 1.01649, 1.03931, 1.06972, 1.12882, 1.18347, 1.20569, 1.25012, 1.30921, 1.33453, 1.36037, 1.39483, 1.44168, 1.50078, 1.53215, 1.59124, 1.65033, 1.70943, 1.76852, 1.82762, 1.9458, 2.12309, 2.30037");
-            values ( \
-              "-0.197876, -0.245844, -0.266018, -0.275637, -0.282876, -0.287407, -0.289011, -0.288903, -0.286687, -0.285845, -0.285874, -0.285025, -0.280999, -0.274364, -0.270178, -0.264837, -0.250711, -0.246553, -0.236515, -0.21147, -0.155705, -0.143844, -0.128798, -0.102539, -0.0820067, -0.0746673, -0.0616429, -0.0473727, -0.042254, -0.0375559, -0.0320371, -0.0257262, -0.0194146, -0.0166787, -0.0124565, -0.00921557, -0.00685819, -0.00514394, -0.00391492, -0.00234076, -0.00115181, -0.000593304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.82071, 0.828723, 0.83316, 0.843108, 0.860574, 0.871708, 0.881417, 0.890506, 0.898109, 0.899574, 0.902503, 0.911971, 0.930375, 0.941116, 0.948601, 0.956584, 0.96427, 0.969069, 0.977558, 0.987493, 1.0196, 1.03395, 1.04926");
-            values ( \
-              "-0.0124591, -0.0202847, -0.0240232, -0.0358916, -0.0537248, -0.0641116, -0.0708124, -0.0733747, -0.071255, -0.0706492, -0.0682111, -0.0578127, -0.0343536, -0.0235033, -0.0178069, -0.0130377, -0.00900123, -0.00710187, -0.00524364, -0.0043946, -0.00220006, -0.00141754, -0.000856012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00708167");
-            index_3 ("0.820902, 0.831498, 0.847374, 0.867752, 0.880471, 0.891714, 0.902405, 0.913267, 0.915724, 0.927562, 0.946188, 0.963596, 0.974473, 0.984235, 0.995487, 1.00383, 1.01574, 1.02559, 1.08619");
-            values ( \
-              "-0.0140459, -0.0341484, -0.0602581, -0.0919496, -0.10867, -0.118053, -0.12056, -0.114126, -0.11137, -0.0936079, -0.0623365, -0.0383725, -0.0276236, -0.0203131, -0.0138976, -0.00995483, -0.00621346, -0.00500317, -0.00192582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0137763");
-            index_3 ("0.821225, 0.835627, 0.869453, 0.879356, 0.895102, 0.909596, 0.923862, 0.938632, 0.945885, 0.955097, 0.987959, 1.00185, 1.01497, 1.02402, 1.03588, 1.04676, 1.05791, 1.07429, 1.08843, 1.09862, 1.119, 1.14827, 1.19499");
-            values ( \
-              "-0.0139595, -0.0549512, -0.12845, -0.146938, -0.167742, -0.174745, -0.173371, -0.162107, -0.15239, -0.137579, -0.0818528, -0.0622057, -0.0472107, -0.0387289, -0.0296616, -0.0231043, -0.0177279, -0.0115133, -0.00765563, -0.0059445, -0.00406739, -0.0029203, -0.00171727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0267996");
-            index_3 ("0.827802, 0.841636, 0.872686, 0.888156, 0.898027, 0.915689, 0.92902, 0.941664, 0.963188, 0.972746, 0.982612, 0.993793, 1.0087, 1.0539, 1.08888, 1.10123, 1.12226, 1.14271, 1.16532, 1.19311, 1.21958, 1.24278, 1.2589, 1.28812, 1.33696, 1.49325");
-            values ( \
-              "-0.0572401, -0.0823382, -0.165314, -0.197681, -0.211099, -0.223007, -0.224635, -0.223792, -0.218268, -0.213272, -0.206232, -0.195045, -0.175873, -0.113575, -0.0739245, -0.0627978, -0.0470598, -0.035188, -0.0253022, -0.016566, -0.00993543, -0.00653807, -0.00519477, -0.00354766, -0.0022888, -0.00052209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0521344");
-            index_3 ("0.834192, 0.891957, 0.907432, 0.929629, 0.945041, 0.991327, 1.02001, 1.03895, 1.07644, 1.10147, 1.19212, 1.23648, 1.29033, 1.33191, 1.371, 1.4001, 1.45968, 1.49642, 1.55551, 1.6737, 1.70355");
-            values ( \
-              "-0.0945508, -0.230603, -0.250372, -0.260162, -0.261952, -0.258542, -0.253139, -0.247788, -0.231813, -0.212998, -0.130126, -0.0949624, -0.063261, -0.045164, -0.0326579, -0.0254982, -0.015054, -0.0106679, -0.00600983, -0.00242992, -0.00219676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.101419");
-            index_3 ("0.840925, 0.888768, 0.904348, 0.920901, 0.928238, 0.955508, 0.987599, 1.02715, 1.10149, 1.14966, 1.21624, 1.27716, 1.47308, 1.52005, 1.57914, 1.63593, 1.67871, 1.73395, 1.78018, 1.83432, 1.89342, 1.9344, 2.01331, 2.1315, 2.24968, 2.36787, 2.40554");
-            values ( \
-              "-0.14365, -0.241504, -0.265635, -0.278116, -0.28075, -0.28561, -0.285955, -0.283698, -0.276927, -0.271199, -0.259249, -0.237975, -0.133887, -0.112138, -0.0885152, -0.0697204, -0.0578886, -0.045222, -0.0366454, -0.0284986, -0.0215513, -0.017703, -0.0120044, -0.00661951, -0.0037921, -0.00227388, -0.00209374" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00603385, 0.00604275, 0.0060543, 0.00606442, 0.00607194, 0.00607671", \
-            "0.00708688, 0.00709136, 0.00709939, 0.0071076, 0.00711471, 0.00711975", \
-            "0.00772231, 0.00772335, 0.00772583, 0.00772994, 0.00773479, 0.00773897", \
-            "0.00809984, 0.00809975, 0.00809969, 0.00810038, 0.00810209, 0.00810424", \
-            "0.00829974, 0.00829947, 0.00829909, 0.00829868, 0.00829851, 0.00829894", \
-            "0.00842253, 0.00842238, 0.00842214, 0.00842179, 0.00842135, 0.00842099" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00848094, 0.00848693, 0.00849559, 0.00850674, 0.00851599, 0.00852278", \
-            "0.00948974, 0.00948807, 0.00949024, 0.00949414, 0.00950078, 0.00950655", \
-            "0.0102718, 0.0102661, 0.0102653, 0.0102535, 0.0102516, 0.0102523", \
-            "0.0110153, 0.0110063, 0.0109944, 0.0109803, 0.0109678, 0.0109592", \
-            "0.0108856, 0.0109436, 0.0109965, 0.0110349, 0.0110576, 0.0110684", \
-            "0.00918946, 0.00922843, 0.00963935, 0.0104073, 0.0109998, 0.0113628" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "~A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "!A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0874288, 0.103557, 0.13413, 0.192608, 0.305345, 0.523956", \
-            "0.0930265, 0.109243, 0.139997, 0.198693, 0.311652, 0.530405", \
-            "0.10589, 0.122066, 0.152894, 0.211797, 0.325034, 0.544036", \
-            "0.134346, 0.150625, 0.18146, 0.240346, 0.353829, 0.57318", \
-            "0.183415, 0.204835, 0.241646, 0.304403, 0.418013, 0.637697", \
-            "0.265821, 0.295191, 0.344796, 0.426237, 0.55866, 0.78138" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0832266, 0.103854, 0.145425, 0.227254, 0.387083, 0.698292", \
-            "0.0832253, 0.103865, 0.145425, 0.227294, 0.387083, 0.698292", \
-            "0.0833493, 0.103894, 0.145438, 0.227294, 0.38716, 0.698292", \
-            "0.0899324, 0.108422, 0.14719, 0.227346, 0.387167, 0.698306", \
-            "0.119266, 0.135714, 0.168247, 0.239591, 0.389833, 0.698282", \
-            "0.173265, 0.192655, 0.229044, 0.297552, 0.428821, 0.711615" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0543494, 0.0638742, 0.0818976, 0.116185, 0.181923, 0.308917", \
-            "0.0588403, 0.0684166, 0.0865124, 0.120855, 0.186651, 0.313703", \
-            "0.0692682, 0.0788578, 0.0968473, 0.131435, 0.197316, 0.324432", \
-            "0.0893962, 0.100996, 0.120891, 0.155293, 0.221151, 0.348288", \
-            "0.109083, 0.125781, 0.154449, 0.20138, 0.274493, 0.401664", \
-            "0.116643, 0.140208, 0.181145, 0.248922, 0.355448, 0.516202" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0533512, 0.0651527, 0.08834, 0.133711, 0.222333, 0.395249", \
-            "0.0532719, 0.0651309, 0.0883574, 0.133798, 0.222369, 0.395274", \
-            "0.0544604, 0.0655988, 0.0881516, 0.133733, 0.222379, 0.395267", \
-            "0.0702633, 0.0795874, 0.0982274, 0.138075, 0.222445, 0.395304", \
-            "0.102239, 0.115011, 0.137206, 0.17506, 0.244623, 0.400226", \
-            "0.155, 0.17286, 0.203478, 0.254718, 0.33497, 0.469375" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0437628, 0.050548, 0.0514219, 0.0562594, 0.0611075, 0.0661654, 0.0809246, 0.087302, 0.0942435, 0.108495, 0.112092, 0.11894, 0.127542, 0.134798, 0.155763, 0.160913, 0.166592, 0.173732, 0.193048, 0.201589, 0.216471, 0.222966, 0.23408, 0.249882, 0.271036, 0.299243, 0.342641, 0.393386");
-            values ( \
-              "0.0111918, 0.0680253, 0.0681115, 0.0674484, 0.0661374, 0.0650292, 0.0608486, 0.0587186, 0.0557411, 0.048362, 0.0473965, 0.0433574, 0.0367528, 0.0318455, 0.0202995, 0.0192457, 0.018593, 0.0172129, 0.0121536, 0.0101617, 0.00735402, 0.00634956, 0.00496741, 0.0034442, 0.00211276, 0.00109862, 0.000345613, 0.000107823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00708167");
-            index_3 ("0.0472681, 0.0499231, 0.0536958, 0.068426, 0.0952373, 0.110075, 0.131491, 0.165629, 0.175323, 0.195941, 0.205785, 0.255554, 0.26818, 0.300522, 0.325442, 0.358669, 0.409211, 0.471723");
-            values ( \
-              "0.0915713, 0.100896, 0.101958, 0.0988417, 0.0906264, 0.0844873, 0.073888, 0.0471272, 0.0405362, 0.0284059, 0.0253233, 0.0125692, 0.0100013, 0.00543938, 0.00335487, 0.00189183, 0.00052772, 0.000254387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0137763");
-            index_3 ("0.0463567, 0.0519649, 0.0545422, 0.0619511, 0.0756404, 0.103848, 0.124469, 0.145722, 0.168805, 0.173815, 0.209522, 0.2273, 0.250965, 0.272462, 0.285578, 0.32151, 0.342542, 0.366446, 0.40433, 0.437904, 0.476274, 0.54426, 0.627044");
-            values ( \
-              "0.041223, 0.135973, 0.136865, 0.13649, 0.133679, 0.126549, 0.120502, 0.112655, 0.102497, 0.0994535, 0.0726048, 0.0603881, 0.0465895, 0.0353973, 0.0306555, 0.0214581, 0.0167477, 0.012317, 0.00734969, 0.00461851, 0.00262725, 0.0009355, 0.00022195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0267996");
-            index_3 ("0.0463655, 0.0548618, 0.0616645, 0.0959628, 0.152646, 0.199593, 0.232058, 0.25692, 0.335224, 0.39505, 0.431854, 0.451073, 0.497602, 0.557217, 0.602508, 0.663953, 0.77754");
-            values ( \
-              "0.0613948, 0.165999, 0.167192, 0.161975, 0.1515, 0.140538, 0.130597, 0.119017, 0.0756512, 0.0486246, 0.0361295, 0.0311926, 0.0219569, 0.0133016, 0.00883108, 0.00494261, 0.00205384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0521344");
-            index_3 ("0.0505577, 0.0505777, 0.124818, 0.150988, 0.259191, 0.316943, 0.35708, 0.378677, 0.421872, 0.538112, 0.60333, 0.694688, 0.75061, 0.791504, 0.8566, 0.898103, 0.957401, 1.03318, 1.11083, 1.19361, 1.30996");
-            values ( \
-              "1e-22, 0.19551, 0.182546, 0.180057, 0.16686, 0.158066, 0.150535, 0.145244, 0.131094, 0.0889839, 0.0677819, 0.043764, 0.0333848, 0.0274748, 0.0199144, 0.016075, 0.0117323, 0.00775457, 0.00499543, 0.00312132, 0.00177367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.101419");
-            index_3 ("0.0528177, 0.0528377, 0.187068, 0.317454, 0.431285, 0.535925, 0.618709, 0.647645, 0.705296, 0.773855, 0.933602, 1.01151, 1.07662, 1.15941, 1.22436, 1.29449, 1.37083, 1.41636, 1.45793, 1.58408, 1.71722, 1.88279, 2.04836, 2.21392, 2.37949, 2.71063");
-            values ( \
-              "1e-22, 0.211243, 0.195533, 0.187108, 0.179022, 0.170078, 0.160498, 0.156362, 0.145754, 0.131969, 0.0972269, 0.0814463, 0.0697028, 0.0558696, 0.0466591, 0.0385522, 0.0309344, 0.0271799, 0.0241209, 0.016625, 0.0110606, 0.00655095, 0.00382198, 0.00219454, 0.00123177, 0.000331473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0645381, 0.0679, 0.070872, 0.075774, 0.0828582, 0.0907365, 0.106467, 0.11261, 0.129457, 0.131647, 0.140941, 0.147061, 0.155269, 0.174304, 0.179599, 0.184803, 0.191894, 0.212495, 0.23272, 0.242578, 0.269442, 0.290506, 0.318592, 0.36156, 0.41196");
-            values ( \
-              "0.012588, 0.0654619, 0.0669942, 0.0667636, 0.0657319, 0.0636544, 0.0589138, 0.0563124, 0.0477248, 0.0474107, 0.0416626, 0.0366442, 0.03136, 0.0207013, 0.0193518, 0.0187688, 0.0175446, 0.0121481, 0.00785402, 0.00630685, 0.00341074, 0.00208602, 0.00113405, 0.000322007, 0.000140789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00708167");
-            index_3 ("0.0639405, 0.0733118, 0.0882377, 0.119386, 0.126739, 0.151214, 0.180594, 0.19373, 0.212495, 0.223063, 0.230601, 0.263876, 0.285593, 0.298808, 0.319079, 0.341713, 0.386245, 0.429785");
-            values ( \
-              "0.0352879, 0.100883, 0.0989522, 0.0891647, 0.0859016, 0.0739232, 0.0505887, 0.0412507, 0.0303021, 0.0256008, 0.024401, 0.0152751, 0.0104807, 0.00830227, 0.00560056, 0.00361663, 0.00148294, 0.000938892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0137763");
-            index_3 ("0.0670787, 0.0715876, 0.0771036, 0.0893752, 0.124096, 0.144197, 0.16545, 0.188533, 0.247028, 0.292184, 0.305304, 0.341239, 0.386157, 0.424042, 0.457654, 0.496068, 0.54673");
-            values ( \
-              "0.0869396, 0.133514, 0.136249, 0.134809, 0.126287, 0.120504, 0.112658, 0.102503, 0.0603832, 0.035395, 0.0306616, 0.0214632, 0.0123254, 0.00735691, 0.00461183, 0.00262998, 0.00136288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0267996");
-            index_3 ("0.0667271, 0.0748287, 0.082161, 0.0864194, 0.0981291, 0.127862, 0.170111, 0.190607, 0.224243, 0.258382, 0.272247, 0.333723, 0.355162, 0.398281, 0.431241, 0.450391, 0.486237, 0.515888, 0.560461, 0.594251, 0.624059, 0.664551, 0.718541, 0.801326, 0.88411, 0.966895");
-            values ( \
-              "0.0740728, 0.164362, 0.16657, 0.166409, 0.164912, 0.15982, 0.151863, 0.147579, 0.139029, 0.128284, 0.121683, 0.0870296, 0.0758671, 0.0556758, 0.0425664, 0.0364541, 0.0280074, 0.022386, 0.0155092, 0.011559, 0.00884342, 0.00609832, 0.00363727, 0.00161273, 0.000692335, 0.0003153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0521344");
-            index_3 ("0.0708773, 0.0708973, 0.145059, 0.226891, 0.279432, 0.337185, 0.377324, 0.398919, 0.44211, 0.558353, 0.623581, 0.682382, 0.714925, 0.770843, 0.811735, 0.876858, 0.918353, 0.977654, 1.05339, 1.13103, 1.21381, 1.37938, 1.54495");
-            values ( \
-              "1e-22, 0.19584, 0.182613, 0.173477, 0.166858, 0.158064, 0.150535, 0.145243, 0.131097, 0.0889855, 0.0677778, 0.0514999, 0.043766, 0.033387, 0.0274753, 0.0199119, 0.0160752, 0.0117307, 0.00775531, 0.0049975, 0.00312123, 0.00120299, 0.000464065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.101419");
-            index_3 ("0.0711711, 0.0711911, 0.451678, 0.556318, 0.639102, 0.668038, 0.725689, 0.794247, 0.953994, 1.0319, 1.09702, 1.1798, 1.24476, 1.31488, 1.39121, 1.43675, 1.47833, 1.60447, 1.73761, 1.90318, 2.06874, 2.23431, 2.39988, 2.73102");
-            values ( \
-              "1e-22, 0.205168, 0.179, 0.170055, 0.16052, 0.15634, 0.145776, 0.131949, 0.0972457, 0.0814659, 0.0696845, 0.0558887, 0.046678, 0.0385328, 0.0309543, 0.0272017, 0.0241437, 0.0166482, 0.0110846, 0.00657512, 0.00384641, 0.00221924, 0.00125674, 0.000356987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.101384, 0.112632, 0.112843, 0.113263, 0.114105, 0.115281, 0.116957, 0.118607, 0.121907, 0.127085, 0.129243, 0.133559, 0.139698, 0.150199, 0.150559, 0.155592, 0.167378, 0.175295, 0.183154, 0.189729, 0.196818, 0.215394, 0.220796, 0.232199, 0.239296, 0.26007, 0.270735, 0.282636, 0.299412, 0.320174, 0.332201, 0.356256, 0.39942, 0.448912");
-            values ( \
-              "0.00568843, 0.0506205, 0.0554913, 0.0531585, 0.0575548, 0.0551242, 0.0592153, 0.0575677, 0.0625301, 0.0615905, 0.064527, 0.0616444, 0.0632207, 0.057515, 0.0586796, 0.0566928, 0.0506982, 0.04732, 0.042663, 0.0374635, 0.0326152, 0.0220684, 0.0197136, 0.0181893, 0.0166449, 0.0111987, 0.00895383, 0.00686458, 0.00471193, 0.00293224, 0.00224442, 0.00125427, 0.000434218, 0.000104229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00708167");
-            index_3 ("0.101557, 0.115601, 0.122775, 0.128064, 0.134375, 0.139631, 0.146639, 0.155312, 0.163509, 0.175688, 0.194803, 0.202458, 0.219427, 0.227992, 0.240132, 0.261884, 0.284366, 0.302124, 0.321549, 0.342905, 0.363325, 0.376218, 0.401075, 0.434218, 0.474334");
-            values ( \
-              "0.00584421, 0.0850024, 0.0927097, 0.0959245, 0.0966931, 0.0959397, 0.09425, 0.0916997, 0.0888048, 0.0834507, 0.0737268, 0.068553, 0.0541706, 0.0476852, 0.0396865, 0.0272557, 0.0216111, 0.0167274, 0.0120198, 0.00815813, 0.00562127, 0.00435809, 0.00270634, 0.00144669, 0.000696389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0137763");
-            index_3 ("0.110219, 0.123913, 0.129787, 0.136912, 0.153855, 0.187924, 0.205437, 0.232219, 0.289218, 0.321445, 0.342875, 0.385748, 0.412694, 0.450989, 0.472387, 0.504475, 0.547259, 0.607094");
-            values ( \
-              "0.124931, 0.126761, 0.131534, 0.132849, 0.129858, 0.120517, 0.114224, 0.102608, 0.0614183, 0.0428255, 0.0323602, 0.0213371, 0.0153621, 0.00922411, 0.00685831, 0.00435343, 0.00240655, 0.000981613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0267996");
-            index_3 ("0.107886, 0.117575, 0.121877, 0.127177, 0.132752, 0.140186, 0.145749, 0.153168, 0.168004, 0.202552, 0.234541, 0.250793, 0.268176, 0.302315, 0.316166, 0.377667, 0.399092, 0.41756, 0.442184, 0.475162, 0.483284, 0.494328, 0.506949, 0.530176, 0.559813, 0.578899, 0.604348, 0.638139, 0.66796, 0.708477, 0.762499, 0.845283, 0.928068, 1.01085");
-            values ( \
-              "0.0576233, 0.141794, 0.150474, 0.158514, 0.162552, 0.164102, 0.163815, 0.162873, 0.160411, 0.154072, 0.147585, 0.143756, 0.139029, 0.128297, 0.121682, 0.0870313, 0.0758753, 0.0667752, 0.0556817, 0.042576, 0.0397, 0.0364588, 0.0332011, 0.0280118, 0.022383, 0.0192111, 0.0155192, 0.0115589, 0.00884134, 0.00609426, 0.00364033, 0.00160807, 0.000696271, 0.000311055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0521344");
-            index_3 ("0.114697, 0.114717, 0.187228, 0.2686, 0.323701, 0.366344, 0.410933, 0.443166, 0.5136, 0.614039, 0.676827, 0.741042, 0.772531, 0.805256, 0.872659, 0.946389, 1.00012, 1.04643, 1.10658, 1.18678, 1.26956, 1.3894");
-            values ( \
-              "1e-22, 0.193499, 0.182962, 0.173784, 0.166852, 0.160538, 0.152735, 0.14535, 0.121397, 0.0850666, 0.0650921, 0.0478662, 0.0409995, 0.0350134, 0.0253552, 0.0175119, 0.0132021, 0.0102903, 0.00739751, 0.00470069, 0.00292652, 0.00162197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.101419");
-            index_3 ("0.117634, 0.117654, 0.249993, 0.395843, 0.47837, 0.531019, 0.600559, 0.683344, 0.71228, 0.76993, 0.838489, 1.0242, 1.07614, 1.14126, 1.22404, 1.289, 1.35912, 1.43544, 1.47406, 1.52257, 1.57801, 1.64871, 1.73149, 1.78183, 1.86462, 1.9474, 2.03019, 2.11297, 2.27854, 2.52689, 2.77525");
-            values ( \
-              "1e-22, 0.212072, 0.195465, 0.186039, 0.180204, 0.176079, 0.169911, 0.160664, 0.156199, 0.145917, 0.131809, 0.091955, 0.0815999, 0.0695523, 0.0560215, 0.0468087, 0.038404, 0.0310848, 0.0278323, 0.0242636, 0.0206547, 0.0167671, 0.0130574, 0.0112028, 0.00866799, 0.00669236, 0.00515245, 0.00396278, 0.0023348, 0.00105279, 0.000470348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.173176, 0.203908, 0.217099, 0.219497, 0.224292, 0.233115, 0.24601, 0.246391, 0.248925, 0.250942, 0.252899, 0.254998, 0.258795, 0.271815, 0.276199, 0.287542, 0.295906, 0.314375, 0.319603, 0.324929, 0.33186, 0.340033, 0.352841, 0.36142, 0.376366, 0.382919, 0.394003, 0.409772, 0.426272, 0.438922, 0.464221, 0.509473, 0.563427");
-            values ( \
-              "0.00548002, 0.0372071, 0.0455596, 0.045633, 0.0480893, 0.0495404, 0.0511915, 0.0508737, 0.0506968, 0.0522342, 0.0521592, 0.0517543, 0.0513088, 0.0475746, 0.0451468, 0.0367635, 0.0311409, 0.020942, 0.0195068, 0.0189754, 0.0176514, 0.0156625, 0.012208, 0.0101685, 0.00734706, 0.0063578, 0.00495611, 0.00345902, 0.00237071, 0.00177612, 0.000969487, 0.000306984, 6.76524e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00708167");
-            index_3 ("0.191308, 0.217833, 0.22176, 0.223427, 0.228428, 0.231763, 0.235097, 0.238431, 0.241765, 0.244829, 0.24589, 0.24695, 0.249071, 0.250736, 0.2524, 0.254231, 0.256061, 0.258076, 0.260091, 0.263836, 0.267582, 0.2695, 0.275255, 0.28113, 0.287006, 0.291669, 0.295922, 0.300176, 0.304693, 0.310785, 0.315508, 0.320232, 0.325289, 0.333595, 0.339048, 0.350743, 0.353229, 0.358199, 0.360684, 0.36924, 0.381016, 0.394625, 0.401909, 0.413625, 0.424981, 0.430487, 0.435994, 0.445532, 0.45507, 0.467741");
-            values ( \
-              "0.0659987, 0.0689262, 0.0716486, 0.0725673, 0.0750571, 0.0764952, 0.0777558, 0.078839, 0.0797447, 0.0803302, 0.0809354, 0.0817475, 0.0839928, 0.0841449, 0.0843779, 0.0847279, 0.0851758, 0.0851532, 0.0850604, 0.0847012, 0.0840993, 0.0835098, 0.0814716, 0.078918, 0.0760549, 0.073562, 0.0707218, 0.0675979, 0.0639701, 0.0585622, 0.05467, 0.0509532, 0.0474041, 0.041824, 0.0384706, 0.0308204, 0.0296217, 0.0275679, 0.0267129, 0.0246453, 0.0216636, 0.0178568, 0.0159326, 0.0131125, 0.0105803, 0.00958006, 0.00866289, 0.00730483, 0.00612788, 0.00482125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0137763");
-            index_3 ("0.182869, 0.194631, 0.198194, 0.205282, 0.219652, 0.240589, 0.243543, 0.248889, 0.252319, 0.256279, 0.26035, 0.264426, 0.272389, 0.282915, 0.288124, 0.295068, 0.305921, 0.327537, 0.328931, 0.331721, 0.3373, 0.355561, 0.37656, 0.390714, 0.403801, 0.418538, 0.439372, 0.44756, 0.452316, 0.458658, 0.469273, 0.482731, 0.499725, 0.50286, 0.509131, 0.521673, 0.546757, 0.569004, 0.580223, 0.602663, 0.647543, 0.718446, 0.80123");
-            values ( \
-              "0.0222918, 0.0621234, 0.067167, 0.0777957, 0.0947666, 0.110872, 0.111803, 0.114225, 0.118662, 0.120868, 0.122248, 0.123484, 0.123333, 0.121047, 0.119368, 0.116913, 0.112679, 0.103026, 0.102498, 0.100862, 0.0973177, 0.0831382, 0.0675733, 0.0584806, 0.0506789, 0.0425916, 0.0325134, 0.0304079, 0.028882, 0.0272858, 0.0244779, 0.021276, 0.0173509, 0.0167772, 0.015437, 0.0132031, 0.00936299, 0.00688589, 0.00594899, 0.00427941, 0.00226598, 0.000704806, 0.000220383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0267996");
-            index_3 ("0.182691, 0.201044, 0.218528, 0.235336, 0.248731, 0.254206, 0.261179, 0.268196, 0.282158, 0.290513, 0.299045, 0.331026, 0.364688, 0.386342, 0.400792, 0.429691, 0.495756, 0.523764, 0.555003, 0.575872, 0.592453, 0.611834, 0.631862, 0.658097, 0.692476, 0.717422, 0.752568, 0.783471, 0.824676, 0.896551, 0.979335, 1.06212, 1.1449");
-            values ( \
-              "0.0180617, 0.0871559, 0.114758, 0.133113, 0.142829, 0.150095, 0.154865, 0.157219, 0.157475, 0.155563, 0.154183, 0.147649, 0.139109, 0.132783, 0.127585, 0.112276, 0.0757376, 0.0622212, 0.0489629, 0.0409253, 0.0359651, 0.0312535, 0.026947, 0.0220762, 0.0166746, 0.0134482, 0.00984681, 0.0074387, 0.00504352, 0.00252637, 0.00108768, 0.000494989, 0.000196197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0521344");
-            index_3 ("0.193724, 0.210488, 0.223452, 0.259372, 0.268268, 0.278436, 0.293039, 0.338231, 0.4298, 0.500558, 0.539946, 0.610393, 0.694567, 0.767237, 0.824003, 0.855156, 0.909829, 0.951048, 1.02152, 1.06174, 1.12127, 1.18958, 1.2681, 1.35088, 1.51645, 1.68202");
-            values ( \
-              "0.108593, 0.115564, 0.136735, 0.177655, 0.182289, 0.183221, 0.182035, 0.176939, 0.165571, 0.154131, 0.145386, 0.121384, 0.0906836, 0.0669966, 0.0514055, 0.0439681, 0.0337325, 0.0277239, 0.0195609, 0.0158845, 0.0115766, 0.00797453, 0.00511004, 0.00319686, 0.00123422, 0.000478072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.101419");
-            index_3 ("0.203196, 0.248717, 0.261853, 0.272298, 0.281271, 0.29206, 0.396396, 0.492927, 0.592998, 0.697687, 0.741495, 0.809266, 0.89205, 0.935763, 1.09534, 1.17224, 1.23773, 1.32051, 1.38734, 1.45699, 1.5334, 1.5781, 1.67294, 1.74433, 1.82712, 1.87886, 1.96165, 2.04443, 2.21, 2.37557, 2.54114, 2.87227");
-            values ( \
-              "0.160462, 0.176313, 0.193593, 0.198965, 0.199267, 0.198938, 0.1924, 0.186053, 0.178892, 0.169972, 0.165408, 0.156409, 0.140794, 0.131923, 0.0973282, 0.0817526, 0.0697027, 0.0560799, 0.0466157, 0.0383519, 0.0309824, 0.027308, 0.0207977, 0.0168305, 0.0131264, 0.0111956, 0.00867879, 0.00668513, 0.00395582, 0.0023283, 0.00136562, 0.000465647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.326567, 0.345076, 0.350382, 0.359355, 0.37675, 0.39612, 0.414233, 0.423164, 0.441351, 0.44588, 0.451055, 0.458527, 0.467128, 0.475908, 0.484635, 0.495485, 0.509165, 0.519599, 0.521962, 0.526688, 0.532256, 0.541208, 0.550179, 0.553434, 0.570406, 0.583664, 0.593695, 0.599211, 0.610063, 0.625133, 0.631503, 0.644242, 0.66972, 0.712192, 0.762254");
-            values ( \
-              "0.00246292, 0.0117109, 0.013065, 0.0161104, 0.0216096, 0.0272373, 0.0322293, 0.0344713, 0.0377683, 0.0381281, 0.0382711, 0.0380093, 0.0378385, 0.0372864, 0.0339421, 0.0295578, 0.0246889, 0.0206557, 0.0200699, 0.019774, 0.019163, 0.0175736, 0.0153487, 0.0153652, 0.0113899, 0.00864086, 0.00692964, 0.00613686, 0.00480304, 0.0034107, 0.00294574, 0.00220145, 0.00120008, 0.000412949, 0.000107148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00708167");
-            index_3 ("0.31999, 0.365945, 0.380928, 0.41556, 0.43917, 0.460022, 0.479961, 0.499982, 0.516854, 0.548596, 0.550166, 0.551385, 0.553343, 0.566479, 0.611599, 0.624575, 0.642572, 0.654519, 0.674272, 0.694342, 0.70986, 0.740896, 0.796201, 0.861739");
-            values ( \
-              "0.000746905, 0.0270126, 0.0343657, 0.0494449, 0.0586798, 0.0635279, 0.064445, 0.0624591, 0.0545238, 0.0377851, 0.0371182, 0.0375681, 0.0369127, 0.0304873, 0.0172374, 0.0138197, 0.0100214, 0.00805664, 0.00555028, 0.00380276, 0.0028382, 0.00153423, 0.000479506, 0.000102782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0137763");
-            index_3 ("0.335264, 0.356602, 0.401187, 0.436993, 0.462939, 0.471537, 0.481986, 0.491741, 0.511251, 0.528117, 0.542306, 0.550245, 0.556988, 0.598051, 0.619913, 0.642435, 0.658689, 0.663611, 0.673455, 0.705907, 0.735876, 0.757086, 0.776553, 0.795154, 0.819957, 0.862373, 0.936733, 1.01952");
-            values ( \
-              "0.0155901, 0.0309154, 0.0586173, 0.0796601, 0.0912666, 0.0940237, 0.0966774, 0.0982179, 0.099228, 0.0979292, 0.0955814, 0.091989, 0.0904177, 0.0630448, 0.0497052, 0.0376948, 0.0311066, 0.0301736, 0.0271615, 0.0191186, 0.013111, 0.00994424, 0.00754133, 0.00584049, 0.00412158, 0.00221381, 0.000684894, 0.000174015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0267996");
-            index_3 ("0.335093, 0.367501, 0.411346, 0.451439, 0.470768, 0.509232, 0.544942, 0.550047, 0.555441, 0.564082, 0.579376, 0.615538, 0.716189, 0.751462, 0.785896, 0.820205, 0.871461, 0.913494, 0.946136, 0.978893, 1.02377, 1.08361, 1.1664, 1.18311");
-            values ( \
-              "0.0141545, 0.0454117, 0.0793409, 0.107864, 0.118601, 0.132277, 0.137891, 0.138174, 0.14034, 0.140958, 0.138981, 0.12777, 0.0729154, 0.0565233, 0.042773, 0.0327381, 0.0223206, 0.0157914, 0.0119035, 0.00887259, 0.00587436, 0.0032949, 0.0014658, 0.00134716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0521344");
-            index_3 ("0.35502, 0.383853, 0.441662, 0.460273, 0.497495, 0.524419, 0.549963, 0.560378, 0.574794, 0.580182, 0.590956, 0.635252, 0.683066, 0.712946, 0.754714, 0.825177, 0.909434, 0.981041, 1.03854, 1.07033, 1.1256, 1.16706, 1.23389, 1.27497, 1.33391, 1.4085, 1.48748, 1.57027, 1.65305, 1.73584, 1.90141");
-            values ( \
-              "0.0650478, 0.0652156, 0.115363, 0.129328, 0.150346, 0.160399, 0.167319, 0.17148, 0.173602, 0.173534, 0.172588, 0.166888, 0.159674, 0.154544, 0.14542, 0.121412, 0.0906327, 0.0672765, 0.0514818, 0.043888, 0.0335789, 0.027554, 0.0197979, 0.0160196, 0.011708, 0.00778922, 0.00498232, 0.00311001, 0.00192115, 0.00119826, 0.000462012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.101419");
-            index_3 ("0.371299, 0.43977, 0.485304, 0.513936, 0.563213, 0.580399, 0.595971, 0.61133, 0.707922, 0.782492, 0.858795, 0.91265, 0.995435, 1.02436, 1.08201, 1.15056, 1.33628, 1.38821, 1.45333, 1.53611, 1.60106, 1.67119, 1.74755, 1.78613, 1.83459, 1.88997, 1.96069, 2.04348, 2.09387, 2.17665, 2.25944, 2.34222, 2.42501, 2.59057, 2.83893, 3.08728");
-            values ( \
-              "0.0873529, 0.122339, 0.156747, 0.171594, 0.190937, 0.194027, 0.19359, 0.192353, 0.185993, 0.180809, 0.17479, 0.169907, 0.160669, 0.156192, 0.145924, 0.131803, 0.0919482, 0.081609, 0.0695455, 0.0560303, 0.0468178, 0.0383979, 0.0310895, 0.0278257, 0.0242752, 0.0206549, 0.0167791, 0.0130538, 0.0112115, 0.0086618, 0.0067005, 0.00514578, 0.0039706, 0.00234245, 0.0010455, 0.000477877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.629475, 0.696713, 0.707686, 0.786838, 0.81966, 0.847601, 0.870373, 0.880815, 0.889868, 0.898712, 0.926255, 0.94147, 0.948641, 0.95435, 0.960113, 0.96891, 1.01942, 1.04442, 1.06521, 1.08739, 1.10034, 1.12148, 1.14968, 1.2159, 1.22716, 1.24746");
-            values ( \
-              "0.00164185, 0.00591757, 0.00696792, 0.0179609, 0.0219911, 0.0246093, 0.0254854, 0.0265188, 0.0266805, 0.0257639, 0.0217551, 0.0187721, 0.0185669, 0.0185972, 0.0180848, 0.0170184, 0.0086945, 0.00575572, 0.00398405, 0.00262519, 0.00204677, 0.00134828, 0.00074789, 0.000162149, 0.000530173, 0.000427634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00708167");
-            index_3 ("0.65297, 0.701632, 0.717553, 0.805158, 0.842291, 0.874042, 0.92557, 0.930804, 0.941273, 0.96055, 0.985306, 0.996652, 1.01435, 1.02973, 1.06842, 1.08632, 1.10111, 1.12476, 1.1481, 1.16491, 1.19818, 1.21583, 1.23077, 1.29881, 1.33504, 1.4075");
-            values ( \
-              "0.0085574, 0.0101886, 0.0126127, 0.0309199, 0.0377651, 0.0426161, 0.0470573, 0.0457286, 0.0440017, 0.0393776, 0.032629, 0.0288613, 0.0262751, 0.0233199, 0.0146525, 0.0114142, 0.00905998, 0.00621501, 0.00427429, 0.0031498, 0.00174198, 0.00133096, 0.00165182, 0.000505857, 0.000244098, 4.65917e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0137763");
-            index_3 ("0.653248, 0.690808, 0.704963, 0.733936, 0.777903, 0.821905, 0.861825, 0.880536, 0.917959, 0.924523, 0.94243, 0.969718, 0.98604, 1.01316, 1.03846, 1.07237, 1.08624, 1.1031, 1.11528, 1.13212, 1.16684, 1.1901, 1.21592, 1.22066, 1.22847, 1.26092, 1.29107, 1.34883, 1.42171, 1.50449");
-            values ( \
-              "0.0132125, 0.0132131, 0.0155019, 0.0218023, 0.0346053, 0.0465993, 0.0569432, 0.0615179, 0.0695335, 0.070646, 0.0729461, 0.0754756, 0.0745236, 0.0670947, 0.0584967, 0.0459961, 0.0404782, 0.0348939, 0.0321849, 0.0279222, 0.0197727, 0.0151316, 0.0109666, 0.0111498, 0.0104412, 0.00683492, 0.00448614, 0.00185506, 0.000613653, 0.000133599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0267996");
-            index_3 ("0.662996, 0.709084, 0.724364, 0.747811, 0.813415, 0.886005, 0.933983, 0.95682, 0.989652, 1.02709, 1.04869, 1.07821, 1.12573, 1.14675, 1.21554, 1.21592, 1.21666, 1.21815, 1.26265, 1.27137, 1.28879, 1.3113, 1.3439, 1.37007, 1.39781, 1.43556, 1.45359, 1.47709, 1.50843, 1.5711, 1.65389, 1.73667, 1.81946");
-            values ( \
-              "0.0204064, 0.0214889, 0.0250841, 0.0320088, 0.0545127, 0.0783605, 0.092937, 0.0989289, 0.105578, 0.109661, 0.110515, 0.108793, 0.0944759, 0.0865521, 0.0586937, 0.0585555, 0.0588346, 0.0586449, 0.0421579, 0.0395192, 0.0346983, 0.0294549, 0.0228269, 0.0184166, 0.0145338, 0.0103502, 0.00879716, 0.0070929, 0.00529683, 0.00289882, 0.00127037, 0.000556814, 0.00024151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0521344");
-            index_3 ("0.688572, 0.778981, 0.920256, 0.961461, 1.03588, 1.10263, 1.16667, 1.21582, 1.23098, 1.28589, 1.37053, 1.43044, 1.52215, 1.54534, 1.6099, 1.66396, 1.71891, 1.81521, 1.83115, 1.86303, 2.00957, 2.17514, 2.25792, 2.34071, 2.42349");
-            values ( \
-              "0.031564, 0.0484542, 0.10219, 0.116391, 0.13593, 0.145301, 0.147946, 0.145278, 0.144896, 0.127438, 0.0954246, 0.0750617, 0.0490364, 0.0437564, 0.0320006, 0.0246257, 0.0186502, 0.0111205, 0.0106737, 0.00852607, 0.00359827, 0.00124215, 0.00114618, 0.000333037, 0.000579943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.101419");
-            index_3 ("0.715106, 0.829536, 0.945711, 1.01585, 1.07466, 1.15745, 1.18298, 1.23582, 1.25879, 1.3891, 1.45443, 1.50085, 1.58363, 1.81249, 1.92924, 2.01202, 2.07889, 2.14853, 2.26286, 2.36433, 2.43576, 2.51854, 2.65315, 2.73593, 2.9015, 3.06707, 3.31543, 3.56378");
-            values ( \
-              "0.0498127, 0.0727812, 0.120595, 0.144787, 0.15982, 0.173427, 0.176432, 0.181031, 0.180922, 0.169957, 0.162939, 0.156275, 0.140891, 0.0920423, 0.0696795, 0.0561085, 0.0466357, 0.0383299, 0.0278257, 0.0207955, 0.0168462, 0.0131206, 0.00867037, 0.00669494, 0.00396478, 0.00233654, 0.00105181, 0.000472113" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0069985, 0.007014, 0.00703111, 0.00704619, 0.00705694, 0.0070637", \
-            "0.0090191, 0.0090348, 0.00905486, 0.00907504, 0.00909119, 0.00910203", \
-            "0.0103026, 0.0103105, 0.0103239, 0.0103411, 0.0103576, 0.0103701", \
-            "0.0110718, 0.0110615, 0.0110522, 0.0110468, 0.0110481, 0.0110518", \
-            "0.0115346, 0.011505, 0.0114654, 0.0114233, 0.0113897, 0.0113689", \
-            "0.0118242, 0.0117887, 0.0117399, 0.011679, 0.0116117, 0.0115521" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0108675, 0.0108691, 0.0108763, 0.0108871, 0.0108976, 0.0109052", \
-            "0.0114502, 0.0114143, 0.0113787, 0.0113518, 0.0113356, 0.0113272", \
-            "0.0108894, 0.0108006, 0.0106976, 0.0105889, 0.0105032, 0.0104471", \
-            "0.0106983, 0.010531, 0.0103259, 0.0101184, 0.00994771, 0.00982195", \
-            "0.0116949, 0.0112533, 0.0107407, 0.0103095, 0.00995566, 0.00970292", \
-            "0.0118135, 0.011855, 0.0118704, 0.0113419, 0.0105103, 0.00999041" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0210246, 0.0253243, 0.0283037, 0.0310515, 0.036679, 0.0442702, 0.0575059, 0.0659067, 0.0739638, 0.0835237, 0.0994816, 0.10985, 0.120202, 0.129163, 0.140575, 0.151115, 0.208837, 0.212719");
-            values ( \
-              "-0.00913148, -0.0844699, -0.0785286, -0.0758858, -0.0740363, -0.0738341, -0.0751409, -0.0803528, -0.0828038, -0.068969, -0.0406426, -0.0265916, -0.0170218, -0.0112677, -0.0058968, -0.0041244, -0.00101134, -0.00093928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00708167");
-            index_3 ("0.0231404, 0.0235568, 0.0251843, 0.0289655, 0.03297, 0.0371622, 0.0438202, 0.0544689, 0.0650728, 0.0753414, 0.0852825, 0.0958555, 0.11933, 0.130982, 0.136753, 0.149784, 0.159801, 0.170894, 0.180132, 0.187696, 0.200472, 0.27098, 0.273053");
-            values ( \
-              "-0.0965238, -0.115861, -0.132365, -0.125871, -0.122043, -0.12058, -0.119873, -0.119697, -0.120921, -0.127237, -0.129794, -0.111508, -0.0600593, -0.0408952, -0.0334985, -0.0210219, -0.014519, -0.00901354, -0.00580241, -0.00456995, -0.00360337, -0.000959306, -0.000939697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0137763");
-            index_3 ("0.0236831, 0.0245648, 0.0264604, 0.0347748, 0.0413881, 0.0654535, 0.0796109, 0.0934463, 0.107065, 0.123658, 0.148549, 0.168213, 0.176011, 0.194105, 0.208602, 0.22517, 0.236537, 0.257535, 0.285326, 0.351139");
-            values ( \
-              "-0.156664, -0.179189, -0.186246, -0.17929, -0.177445, -0.175297, -0.175851, -0.18236, -0.182305, -0.153433, -0.0970049, -0.0612619, -0.050528, -0.031691, -0.0218316, -0.0136086, -0.00954504, -0.00480304, -0.00289832, -0.0017817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0267996");
-            index_3 ("0.0241813, 0.0254602, 0.0302681, 0.0731571, 0.106848, 0.127865, 0.138177, 0.14853, 0.169235, 0.21143, 0.242808, 0.279159, 0.314264, 0.343106, 0.395508, 0.438839, 0.459866");
-            values ( \
-              "-0.204377, -0.230165, -0.238692, -0.232248, -0.22938, -0.234426, -0.234455, -0.228589, -0.201742, -0.124419, -0.0783241, -0.0433708, -0.0238354, -0.0143026, -0.00496093, -0.00246899, -0.00226531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0521344");
-            index_3 ("0.0243979, 0.0279347, 0.033925, 0.0411678, 0.0881555, 0.159041, 0.193481, 0.222825, 0.238991, 0.260546, 0.333513, 0.387343, 0.421577, 0.449435, 0.4753, 0.509787, 0.538511, 0.57992, 0.635132, 0.694304, 0.800089");
-            values ( \
-              "-0.243114, -0.277517, -0.284573, -0.285586, -0.279709, -0.272598, -0.273448, -0.266803, -0.256213, -0.234986, -0.144416, -0.0906812, -0.0657661, -0.0501539, -0.038798, -0.0272728, -0.0203359, -0.0132015, -0.00705159, -0.00356364, -0.00163539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.101419");
-            index_3 ("0.0245746, 0.0299222, 0.0343251, 0.0404764, 0.0524392, 0.0824009, 0.176386, 0.259504, 0.320475, 0.379648, 0.402411, 0.424415, 0.45105, 0.544451, 0.595822, 0.666055, 0.704999, 0.739043, 0.783395, 0.819064, 0.865233, 0.924406, 0.960994, 1.01115, 1.1295, 1.24784, 1.36619, 1.48454, 1.66205");
-            values ( \
-              "-0.266087, -0.309692, -0.316235, -0.31944, -0.319902, -0.316064, -0.309095, -0.301852, -0.298201, -0.28698, -0.276836, -0.265211, -0.246975, -0.175895, -0.140141, -0.0995611, -0.081537, -0.068366, -0.05354, -0.043997, -0.0342177, -0.024225, -0.0196468, -0.014698, -0.00718538, -0.00348311, -0.00180864, -0.00101141, -0.000694224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0345946, 0.040528, 0.0508757, 0.0516369, 0.0526177, 0.0566872, 0.0614071, 0.0670379, 0.0758239, 0.0842396, 0.0922984, 0.101859, 0.117826, 0.128193, 0.138534, 0.147503, 0.158849, 0.1692, 0.211468, 0.244709, 0.299575, 0.347347");
-            values ( \
-              "-0.00157574, -0.0426527, -0.0714871, -0.0802376, -0.0823627, -0.0765369, -0.0746043, -0.0741818, -0.0752892, -0.0802734, -0.082829, -0.0689484, -0.04063, -0.0265861, -0.0170247, -0.0112644, -0.00591529, -0.004156, -0.00161533, -0.000468268, -2.9352e-05, -6.33865e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00708167");
-            index_3 ("0.0346017, 0.0443002, 0.0508815, 0.0529255, 0.0585435, 0.0636126, 0.0712507, 0.076361, 0.0835672, 0.0938296, 0.103772, 0.114347, 0.137826, 0.149474, 0.155242, 0.168274, 0.178303, 0.189541, 0.198682, 0.218748, 0.288439, 0.288735");
-            values ( \
-              "-0.00805332, -0.0859343, -0.114279, -0.130579, -0.122698, -0.120541, -0.119768, -0.119819, -0.121021, -0.127214, -0.129772, -0.111473, -0.0600582, -0.0408961, -0.033503, -0.0210234, -0.0145163, -0.00894626, -0.00579213, -0.00361802, -0.000988739, -0.000985783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0137763");
-            index_3 ("0.0380948, 0.0436267, 0.0508874, 0.0530221, 0.0579449, 0.061166, 0.0648644, 0.076361, 0.0980713, 0.111945, 0.125566, 0.140167, 0.168175, 0.186742, 0.201274, 0.211716, 0.221435, 0.231697, 0.246932, 0.255447, 0.269762, 0.28885, 0.376622, 0.387893");
-            values ( \
-              "-0.0615242, -0.118133, -0.165243, -0.188666, -0.181672, -0.179244, -0.17775, -0.175975, -0.175643, -0.182211, -0.18238, -0.157318, -0.0945711, -0.0613021, -0.0427717, -0.0325086, -0.0251737, -0.0192402, -0.0124332, -0.00948637, -0.00591527, -0.00365446, -0.00122958, -0.00112636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0267996");
-            index_3 ("0.0411316, 0.0502743, 0.053765, 0.0631977, 0.0837528, 0.104533, 0.125505, 0.146357, 0.167186, 0.189722, 0.231235, 0.261231, 0.272726, 0.300184, 0.322041, 0.347021, 0.38489, 0.416567, 0.462507, 0.523609");
-            values ( \
-              "-0.170973, -0.209533, -0.244972, -0.237256, -0.233201, -0.23076, -0.229734, -0.233723, -0.229787, -0.19862, -0.12247, -0.0784779, -0.0654391, -0.041596, -0.0287626, -0.018488, -0.00914825, -0.00478099, -0.00230751, -0.00174591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0521344");
-            index_3 ("0.0400637, 0.0509091, 0.0525778, 0.0534064, 0.0550635, 0.0598923, 0.0676391, 0.0864947, 0.143512, 0.177747, 0.212078, 0.246534, 0.263955, 0.281937, 0.345303, 0.368025, 0.397529, 0.4245, 0.444128, 0.476822, 0.491195, 0.516805, 0.553446, 0.57084, 0.595726, 0.628908, 0.688081, 0.747253, 0.806426, 1.04312");
-            values ( \
-              "-0.135454, -0.253472, -0.285837, -0.289589, -0.290709, -0.287689, -0.285182, -0.282109, -0.275832, -0.272777, -0.27286, -0.264675, -0.250943, -0.23206, -0.152574, -0.126799, -0.0979937, -0.0764464, -0.0634124, -0.0460366, -0.0399009, -0.0308087, -0.0211251, -0.0176519, -0.0136084, -0.009488, -0.00472893, -0.00262005, -0.00166545, -0.000443712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.101419");
-            index_3 ("0.0405566, 0.0522105, 0.0554112, 0.0803751, 0.101211, 0.27845, 0.349544, 0.363169, 0.390419, 0.401666, 0.42416, 0.469758, 0.551255, 0.605054, 0.662439, 0.682928, 0.713943, 0.749389, 0.799215, 0.843752, 0.902925, 0.955686, 1.02958, 1.08876, 1.14793, 1.2071, 1.32545, 1.44379, 1.68049");
-            values ( \
-              "-0.152257, -0.305377, -0.322382, -0.318397, -0.316115, -0.30199, -0.297332, -0.295375, -0.288817, -0.285014, -0.275438, -0.247152, -0.184948, -0.14663, -0.111573, -0.100721, -0.0860022, -0.0713939, -0.0545589, -0.0427129, -0.0306069, -0.0226748, -0.0148313, -0.0104647, -0.00731573, -0.00509551, -0.00260401, -0.00146133, -0.000572355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0630154, 0.0701561, 0.077001, 0.0822693, 0.085554, 0.0921234, 0.0982796, 0.112603, 0.114516, 0.117257, 0.119706, 0.124604, 0.127559, 0.130578, 0.133522, 0.139409, 0.14651, 0.151877, 0.152773, 0.158154, 0.162643, 0.168625, 0.1735, 0.178695, 0.185916, 0.188126, 0.192048, 0.19653, 0.203973, 0.213338, 0.223206, 0.245325, 0.258435, 0.274089, 0.293686, 0.319594, 0.356151");
-            values ( \
-              "-0.0120244, -0.0247608, -0.0327589, -0.0395611, -0.0440485, -0.0519194, -0.0587012, -0.0737492, -0.0778788, -0.0781931, -0.0775476, -0.0803269, -0.082285, -0.0830829, -0.0821913, -0.0755275, -0.0624978, -0.0531787, -0.0512134, -0.0420514, -0.0352682, -0.0275227, -0.0223852, -0.0178625, -0.0129539, -0.01163, -0.00946421, -0.00728485, -0.00500252, -0.00396145, -0.00344042, -0.0020735, -0.00137794, -0.000791614, -0.000382119, -0.000141492, -4.72504e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00708167");
-            index_3 ("0.0664219, 0.0763332, 0.0961556, 0.11256, 0.114465, 0.118275, 0.121501, 0.124869, 0.129707, 0.135117, 0.145022, 0.154915, 0.155594, 0.156954, 0.159673, 0.163645, 0.168734, 0.178911, 0.186712, 0.189261, 0.189753, 0.190737, 0.192704, 0.195913, 0.201489, 0.208885, 0.211134, 0.215632, 0.223824, 0.234952, 0.242432, 0.246559, 0.254812, 0.269308, 0.285414, 0.30288, 0.321518, 0.343154, 0.361306");
-            values ( \
-              "-0.0408128, -0.0516022, -0.090765, -0.117796, -0.128914, -0.119708, -0.123517, -0.119801, -0.125679, -0.126131, -0.131581, -0.110714, -0.113542, -0.106696, -0.10443, -0.0916884, -0.0836746, -0.0585284, -0.0486549, -0.0411872, -0.0439148, -0.039331, -0.0397331, -0.0327018, -0.0295661, -0.0200551, -0.0212783, -0.0153963, -0.0136464, -0.00585662, -0.00671244, -0.00328268, -0.00529891, -0.00180774, -0.00397235, -0.000482259, -0.00258727, -1e-22, -0.00116521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0137763");
-            index_3 ("0.0664632, 0.0906131, 0.11521, 0.122293, 0.130802, 0.139278, 0.153144, 0.166736, 0.181336, 0.212945, 0.227945, 0.237115, 0.254249, 0.266051, 0.279538, 0.309043, 0.332254, 0.41099");
-            values ( \
-              "-0.0453481, -0.117495, -0.181773, -0.177094, -0.175666, -0.176095, -0.182234, -0.18247, -0.157401, -0.0872074, -0.0613021, -0.0488766, -0.0314408, -0.0230269, -0.0159692, -0.00631054, -0.0033632, -0.00161962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0267996");
-            index_3 ("0.0703201, 0.0850158, 0.0902354, 0.0987181, 0.115582, 0.119381, 0.122258, 0.130017, 0.137483, 0.145948, 0.162878, 0.166908, 0.174967, 0.187746, 0.208497, 0.231086, 0.241652, 0.259011, 0.266485, 0.280021, 0.297589, 0.307625, 0.314143, 0.32571, 0.340826, 0.345127, 0.35373, 0.369237, 0.391609, 0.402842, 0.408467, 0.419717, 0.442218, 0.483241, 0.534421, 0.590058, 0.69506, 0.754233");
-            values ( \
-              "-0.0955014, -0.132045, -0.152355, -0.182796, -0.236506, -0.237966, -0.234916, -0.233137, -0.231964, -0.230925, -0.229602, -0.229831, -0.230819, -0.234355, -0.229667, -0.198698, -0.179331, -0.146482, -0.13301, -0.110246, -0.0848833, -0.0726062, -0.0654557, -0.0542441, -0.0421687, -0.0392306, -0.0339104, -0.0259765, -0.0175332, -0.0143376, -0.0129374, -0.0104633, -0.00662659, -0.00299598, -0.00188308, -0.00118557, -0.000449372, -0.000252527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0521344");
-            index_3 ("0.074474, 0.0942018, 0.116004, 0.122835, 0.135141, 0.185075, 0.219308, 0.239455, 0.253746, 0.282329, 0.301064, 0.326436, 0.398916, 0.427673, 0.447342, 0.468658, 0.497079, 0.513767, 0.543195, 0.576828, 0.601966, 0.629098, 0.665274, 0.724447, 0.78362, 0.842793, 0.887199");
-            values ( \
-              "-0.156906, -0.199057, -0.287641, -0.283926, -0.281501, -0.275889, -0.27261, -0.272793, -0.273449, -0.267156, -0.254783, -0.228386, -0.138593, -0.108504, -0.0909581, -0.0746247, -0.0567802, -0.0481817, -0.0359612, -0.0254871, -0.0197027, -0.0148656, -0.0100405, -0.00502995, -0.00271509, -0.00174159, -0.00150338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.101419");
-            index_3 ("0.0796564, 0.106672, 0.114232, 0.117148, 0.119946, 0.122676, 0.127045, 0.133138, 0.142943, 0.15738, 0.179099, 0.201126, 0.24518, 0.260159, 0.289848, 0.320151, 0.379324, 0.381025, 0.40484, 0.432058, 0.443366, 0.465981, 0.511457, 0.592953, 0.646749, 0.724624, 0.791096, 0.840914, 0.885444, 0.944617, 0.99738, 1.07128, 1.13046, 1.18963, 1.2488, 1.36715, 1.48549, 1.72219");
-            values ( \
-              "-0.232009, -0.278505, -0.321614, -0.319521, -0.321603, -0.316991, -0.320113, -0.315222, -0.318238, -0.312952, -0.31541, -0.309718, -0.31029, -0.304912, -0.306496, -0.299941, -0.300146, -0.298105, -0.295378, -0.288841, -0.28501, -0.275386, -0.247145, -0.184941, -0.146619, -0.100708, -0.0713759, -0.0545731, -0.0427291, -0.0305928, -0.0226902, -0.0148462, -0.0104493, -0.00733088, -0.00508008, -0.00258855, -0.00144583, -0.000556763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.120103, 0.139131, 0.167117, 0.193318, 0.200766, 0.206784, 0.213905, 0.223422, 0.232909, 0.241942, 0.248955, 0.24998, 0.259663, 0.26649, 0.274971, 0.287946, 0.295279, 0.304724, 0.34991, 0.365661, 0.375949");
-            values ( \
-              "-0.0150161, -0.0185007, -0.0345067, -0.0480222, -0.052376, -0.0570476, -0.0646696, -0.0727165, -0.0659213, -0.0529716, -0.0412482, -0.0417271, -0.0285446, -0.0212723, -0.0145853, -0.00731147, -0.00513975, -0.00408211, -0.00135268, -0.000767723, -0.000561263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00708167");
-            index_3 ("0.12014, 0.130605, 0.143794, 0.159272, 0.173486, 0.207896, 0.213034, 0.223309, 0.230085, 0.236532, 0.246884, 0.249022, 0.250928, 0.26864, 0.278124, 0.285985, 0.300162, 0.306414, 0.318503, 0.329577, 0.335952, 0.345552, 0.409422, 0.415003");
-            values ( \
-              "-0.0243085, -0.0245021, -0.0338953, -0.0487028, -0.0609436, -0.0890001, -0.0939397, -0.10664, -0.116549, -0.120028, -0.109781, -0.105913, -0.10483, -0.0651763, -0.0478905, -0.0366552, -0.0221065, -0.0175989, -0.010856, -0.00639747, -0.00508985, -0.00406922, -0.00134036, -0.00118668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0137763");
-            index_3 ("0.12456, 0.141932, 0.148732, 0.160394, 0.167953, 0.183056, 0.193312, 0.230459, 0.245437, 0.252015, 0.255468, 0.258966, 0.26573, 0.272671, 0.274991, 0.293117, 0.302603, 0.315252, 0.321872, 0.325424, 0.332527, 0.344393, 0.352554, 0.358288, 0.367962, 0.381932, 0.387164, 0.392632, 0.399921, 0.414501, 0.442498, 0.499299, 0.528267, 0.560717, 0.599307");
-            values ( \
-              "-0.042725, -0.0481852, -0.0562864, -0.0725346, -0.0825311, -0.100908, -0.112827, -0.154597, -0.176617, -0.185571, -0.185064, -0.181774, -0.172885, -0.1594, -0.15433, -0.112523, -0.0921729, -0.0689023, -0.0587336, -0.053814, -0.0450167, -0.0331157, -0.0267464, -0.0229799, -0.0177085, -0.0118942, -0.0100607, -0.00840758, -0.00662449, -0.0043221, -0.00269566, -0.00147843, -0.000981596, -0.000555123, -0.00027535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0267996");
-            index_3 ("0.127504, 0.150217, 0.179098, 0.197108, 0.212801, 0.237558, 0.248912, 0.253281, 0.259004, 0.264899, 0.27632, 0.279808, 0.286785, 0.300491, 0.320507, 0.328072, 0.35372, 0.370946, 0.389475, 0.394686, 0.406721, 0.418072, 0.432946, 0.445371, 0.460989, 0.483438, 0.494792, 0.51192, 0.534758, 0.576466, 0.62759, 0.682879, 0.787472, 0.846645");
-            values ( \
-              "-0.0575753, -0.0774008, -0.127569, -0.155146, -0.178204, -0.212027, -0.226512, -0.230979, -0.231467, -0.23068, -0.233824, -0.234555, -0.235147, -0.228907, -0.202812, -0.189534, -0.141426, -0.111896, -0.0849474, -0.0783735, -0.0648041, -0.0538725, -0.042058, -0.034099, -0.0260618, -0.017578, -0.014345, -0.0104194, -0.00654017, -0.00294004, -0.00186557, -0.00117986, -0.000451873, -0.000246583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0521344");
-            index_3 ("0.137675, 0.175164, 0.198913, 0.224457, 0.252743, 0.258236, 0.262698, 0.311216, 0.352361, 0.359315, 0.373222, 0.380421, 0.39482, 0.4183, 0.482372, 0.501471, 0.531448, 0.557032, 0.576982, 0.610271, 0.625119, 0.650566, 0.687087, 0.72885, 0.761569, 0.820742, 0.879915, 0.939088, 1.17578");
-            values ( \
-              "-0.105395, -0.145055, -0.190587, -0.235128, -0.279306, -0.279719, -0.277832, -0.272839, -0.272895, -0.271797, -0.267047, -0.263245, -0.252986, -0.228428, -0.148261, -0.126814, -0.0975724, -0.0771063, -0.0637814, -0.0460398, -0.0397076, -0.0307155, -0.0210816, -0.0136542, -0.00957701, -0.00477055, -0.00263827, -0.0016725, -0.000443485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.101419");
-            index_3 ("0.144331, 0.181596, 0.220365, 0.24881, 0.254574, 0.262122, 0.26921, 0.280996, 0.292895, 0.316068, 0.413555, 0.472742, 0.492459, 0.535076, 0.586726, 0.731363, 0.788477, 0.814631, 0.856608, 0.904583, 0.946606, 0.996932, 1.0561, 1.11171, 1.13991, 1.19631, 1.25548, 1.31465, 1.433, 1.61052, 1.84721");
-            values ( \
-              "-0.158154, -0.176353, -0.256471, -0.308666, -0.314824, -0.315277, -0.313454, -0.313137, -0.311678, -0.310184, -0.301774, -0.298454, -0.296168, -0.285236, -0.258594, -0.151465, -0.115759, -0.101622, -0.0820132, -0.0635336, -0.0505708, -0.0382824, -0.0274026, -0.0199659, -0.0169805, -0.012233, -0.00858, -0.00597928, -0.00300414, -0.00126453, -0.000509244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.222182, 0.242926, 0.260892, 0.275236, 0.302508, 0.347244, 0.36749, 0.384218, 0.398267, 0.411293, 0.422535, 0.440619, 0.448224, 0.457981, 0.467712, 0.469681, 0.477557, 0.486092, 0.496707, 0.518639, 0.532616, 0.550197, 0.55894, 0.581148, 0.623657, 0.664618");
-            values ( \
-              "-0.00590352, -0.00674625, -0.00950168, -0.0123009, -0.0194042, -0.0298134, -0.0350894, -0.0431113, -0.050288, -0.0504671, -0.0449007, -0.026577, -0.0202809, -0.0138556, -0.00864678, -0.00791978, -0.00598638, -0.00498856, -0.0039477, -0.00218629, -0.00144273, -0.000709793, -0.00142724, -0.000483355, -6.83021e-05, -7.23427e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00708167");
-            index_3 ("0.222143, 0.247701, 0.272124, 0.281444, 0.311764, 0.359873, 0.382189, 0.41694, 0.431977, 0.446558, 0.468062, 0.476754, 0.491364, 0.503346, 0.512268, 0.524821, 0.550191, 0.55677, 0.571337, 0.601087, 0.621899");
-            values ( \
-              "-0.00755718, -0.0124143, -0.0191964, -0.0225, -0.0351411, -0.0528087, -0.0617015, -0.0850925, -0.0847737, -0.0723306, -0.0424386, -0.0328327, -0.020471, -0.0132103, -0.0090883, -0.00567827, -0.0036915, -0.00470762, -0.00327098, -0.00148116, -0.00101893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0137763");
-            index_3 ("0.236151, 0.264846, 0.282158, 0.337765, 0.38986, 0.407402, 0.448853, 0.467441, 0.485963, 0.513392, 0.531853, 0.549552, 0.550081, 0.551139, 0.552877, 0.56431, 0.572996, 0.585419, 0.602688, 0.625647, 0.683315, 0.712393, 0.715788");
-            values ( \
-              "-0.0245294, -0.0258973, -0.0340847, -0.0662887, -0.093433, -0.103365, -0.135423, -0.131974, -0.109304, -0.0649248, -0.0424643, -0.0271844, -0.0268933, -0.0276384, -0.0274397, -0.0203075, -0.0158999, -0.0108877, -0.00637135, -0.00375401, -0.00182728, -0.00121444, -0.00118212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0267996");
-            index_3 ("0.242548, 0.275121, 0.297981, 0.332061, 0.354886, 0.448804, 0.476452, 0.501282, 0.526085, 0.554379, 0.573528, 0.583447, 0.598819, 0.614468, 0.634347, 0.643698, 0.659692, 0.681017, 0.698351, 0.707595, 0.726082, 0.763057, 0.812877, 0.924127, 1.03316");
-            values ( \
-              "-0.0372501, -0.0416976, -0.0574046, -0.0839337, -0.100249, -0.162974, -0.186067, -0.201941, -0.187817, -0.147403, -0.114387, -0.099068, -0.0783852, -0.0611113, -0.0439386, -0.0375516, -0.0285896, -0.0196955, -0.0144552, -0.0121884, -0.00848183, -0.00396273, -0.00213901, -0.000876965, -0.000288146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0521344");
-            index_3 ("0.261103, 0.320822, 0.363385, 0.42437, 0.472131, 0.531346, 0.55242, 0.566109, 0.574855, 0.583889, 0.601557, 0.621762, 0.703908, 0.745514, 0.780983, 0.806487, 0.828044, 0.853651, 0.890288, 0.932564, 0.965742, 1.02491, 1.08409, 1.14326, 1.37995");
-            values ( \
-              "-0.0662881, -0.0910124, -0.128893, -0.178716, -0.214808, -0.256379, -0.273894, -0.271212, -0.268009, -0.263409, -0.250197, -0.228515, -0.127885, -0.0885615, -0.0634305, -0.0494125, -0.0398955, -0.030822, -0.0211177, -0.0136006, -0.00949788, -0.00472036, -0.00263007, -0.00165699, -0.000434756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.101419");
-            index_3 ("0.274897, 0.337833, 0.387418, 0.456624, 0.555796, 0.56767, 0.584809, 0.596861, 0.615646, 0.640985, 0.672775, 0.676567, 0.684152, 0.718494, 0.738876, 0.770557, 0.806982, 0.94205, 1.02, 1.08731, 1.1512, 1.22473, 1.30971, 1.31715, 1.33202, 1.36176, 1.42093, 1.53928, 1.59845, 1.65762, 1.7168, 1.77597, 1.83514, 1.89431, 1.95349, 2.01266");
-            values ( \
-              "-0.104387, -0.118979, -0.1677, -0.23039, -0.309725, -0.304494, -0.306128, -0.302541, -0.303116, -0.299529, -0.299505, -0.297976, -0.298217, -0.291869, -0.284683, -0.271088, -0.246765, -0.146327, -0.100345, -0.0706705, -0.0498747, -0.0329843, -0.0201708, -0.0201849, -0.0177076, -0.015723, -0.0103717, -0.00483069, -0.00414988, -0.00224797, -0.00241433, -0.00106607, -0.00159113, -0.000478109, -0.00115871, -0.00015229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.429394, 0.485507, 0.493791, 0.510359, 0.531466, 0.547323, 0.564403, 0.620508, 0.66802, 0.699252, 0.745799, 0.746355, 0.757475, 0.7653, 0.780951, 0.78498, 0.793037, 0.823896, 0.833856, 0.848106, 0.869288, 0.879673, 0.893519, 0.914591, 0.940773, 0.974554, 0.97633");
-            values ( \
-              "-0.0015032, -0.00316879, -0.00353183, -0.00434141, -0.00552254, -0.00659492, -0.00804394, -0.0142195, -0.0192095, -0.0228327, -0.0333465, -0.0331778, -0.0342097, -0.0343969, -0.0328376, -0.031365, -0.0280784, -0.0116713, -0.00860717, -0.00644673, -0.00385156, -0.00285151, -0.00183765, -0.000891109, -0.000349667, -0.000117263, -0.000114441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00708167");
-            index_3 ("0.429134, 0.492278, 0.517191, 0.536712, 0.559146, 0.583709, 0.630284, 0.685514, 0.719727, 0.772164, 0.773474, 0.783952, 0.794445, 0.81543, 0.849344, 0.872603, 0.878905, 0.887309, 0.898029, 0.9213, 0.934409, 0.951806, 0.973636, 0.998208, 1.02851, 1.06802, 1.21594, 1.23544, 1.26087");
-            values ( \
-              "-0.0021182, -0.00596213, -0.00806008, -0.00997494, -0.0126809, -0.0165435, -0.0250231, -0.0342503, -0.0403213, -0.056738, -0.0565177, -0.0579233, -0.0586585, -0.0545661, -0.0298573, -0.0154055, -0.0124709, -0.00974032, -0.007617, -0.00494893, -0.0038074, -0.00258232, -0.00149693, -0.000772874, -0.000330228, -0.000122998, -2.26761e-05, -0.000509259, -0.000210182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0137763");
-            index_3 ("0.468607, 0.530681, 0.554643, 0.573212, 0.647687, 0.741576, 0.755673, 0.783866, 0.799908, 0.818052, 0.842129, 0.845098, 0.851037, 0.861364, 0.872607, 0.9172, 0.941135, 0.959706, 0.978744, 0.99044, 1.00849, 1.03255, 1.06053, 1.09013, 1.12152, 1.15734, 1.21597, 1.22953, 1.26331, 1.29459");
-            values ( \
-              "-0.0123107, -0.0147524, -0.0187726, -0.0224998, -0.0417671, -0.0642224, -0.0680287, -0.0779177, -0.0851192, -0.0903084, -0.0932985, -0.0933792, -0.092869, -0.0901107, -0.0835582, -0.0431881, -0.0264684, -0.0171154, -0.010256, -0.00781839, -0.00559316, -0.00388637, -0.00260987, -0.00164735, -0.00094309, -0.000501232, -0.000179639, -0.000973122, -0.000375149, -0.000242549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0267996");
-            index_3 ("0.468259, 0.521985, 0.574994, 0.596312, 0.690065, 0.815221, 0.89351, 0.928283, 0.963003, 1.01187, 1.03941, 1.07514, 1.09802, 1.11812, 1.12936, 1.15185, 1.19211, 1.2157, 1.23293, 1.27381, 1.36172, 1.48006");
-            values ( \
-              "-0.0166058, -0.0193295, -0.0319131, -0.0383119, -0.0700737, -0.10786, -0.138244, -0.139542, -0.122404, -0.0734834, -0.0505563, -0.0297057, -0.0204412, -0.0146127, -0.0117763, -0.0078476, -0.00390427, -0.00317994, -0.00370983, -0.00224208, -0.000808279, -0.000182292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0521344");
-            index_3 ("0.497837, 0.566648, 0.608289, 0.682997, 0.74217, 0.83572, 0.909628, 0.963244, 0.97367, 0.984432, 1.00596, 1.02465, 1.04958, 1.05898, 1.07776, 1.18082, 1.21596, 1.26109, 1.28576, 1.32986, 1.35431, 1.36835, 1.39644, 1.45261, 1.51178, 1.57095, 1.63013, 1.80764");
-            values ( \
-              "-0.0347937, -0.0377863, -0.0521752, -0.0826421, -0.105177, -0.138531, -0.163978, -0.18467, -0.189386, -0.193123, -0.198629, -0.20103, -0.199375, -0.196588, -0.186112, -0.0943629, -0.0692484, -0.0460748, -0.035946, -0.0228485, -0.0177326, -0.0153093, -0.011321, -0.00592065, -0.00317112, -0.00197542, -0.00131222, -0.000488678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.101419");
-            index_3 ("0.535877, 0.64562, 0.706196, 0.812048, 0.970099, 1.12393, 1.15072, 1.19107, 1.21553, 1.23221, 1.27179, 1.36788, 1.40891, 1.47498, 1.54568, 1.59124, 1.62923, 1.67484, 1.73402, 1.78582, 1.87736, 1.93653, 1.99571, 2.11405, 2.2324, 2.46909");
-            values ( \
-              "-0.0603365, -0.0763332, -0.103831, -0.14857, -0.210237, -0.26486, -0.272158, -0.276655, -0.273008, -0.265737, -0.23852, -0.165448, -0.137495, -0.099628, -0.0689919, -0.0539247, -0.0437674, -0.0339029, -0.0242245, -0.0180171, -0.0105568, -0.00738095, -0.00513985, -0.00262519, -0.00147032, -0.000571578" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00655113, 0.00657139, 0.00659388, 0.00661389, 0.00662842, 0.00663755", \
-            "0.00771045, 0.0077226, 0.00773885, 0.00775585, 0.0077699, 0.00777962", \
-            "0.00841647, 0.00842078, 0.00842818, 0.00843829, 0.0084487, 0.00845698", \
-            "0.00883958, 0.00884058, 0.00884249, 0.00884604, 0.00885129, 0.00885684", \
-            "0.00906047, 0.009061, 0.00906174, 0.00906276, 0.00906443, 0.00906697", \
-            "0.00917432, 0.00917494, 0.00917585, 0.00917659, 0.00917798, 0.00917937" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00910497, 0.00911803, 0.00913254, 0.00914466, 0.00915337, 0.00916095", \
-            "0.010125, 0.0101351, 0.010145, 0.0101526, 0.0101568, 0.0101584", \
-            "0.0106497, 0.0106692, 0.0106877, 0.0107012, 0.010709, 0.0107126", \
-            "0.0111446, 0.01109, 0.0110353, 0.0109889, 0.0109547, 0.0109335", \
-            "0.0119626, 0.0117473, 0.0115167, 0.0113197, 0.0111794, 0.011091", \
-            "0.0132023, 0.012855, 0.0123776, 0.0118975, 0.0115308, 0.0112955" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00639367, 0.00643233, 0.00642723, 0.00638661, 0.00630917, 0.00622239", \
-            "0.00630363, 0.00633865, 0.00634893, 0.00631354, 0.00624214, 0.0061573", \
-            "0.00613488, 0.00617679, 0.00620185, 0.00618996, 0.00613755, 0.00605772", \
-            "0.0059752, 0.00601245, 0.00606535, 0.00608031, 0.00607256, 0.00600513", \
-            "0.00604432, 0.00608391, 0.00612695, 0.00617081, 0.00617187, 0.00613237", \
-            "0.00666361, 0.00666752, 0.00666935, 0.00670074, 0.00673047, 0.00671586" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0109693, 0.0109254, 0.0108239, 0.0107011, 0.0105865, 0.0105239", \
-            "0.0108886, 0.0108534, 0.0107667, 0.0106565, 0.0105463, 0.0104816", \
-            "0.0107719, 0.0107589, 0.0107014, 0.0106151, 0.0105184, 0.0104597", \
-            "0.0107146, 0.0107238, 0.0107236, 0.0106756, 0.0106211, 0.0105766", \
-            "0.0109173, 0.0109239, 0.0109386, 0.0109399, 0.010919, 0.0108789", \
-            "0.0118475, 0.0118492, 0.0118809, 0.011891, 0.0118719, 0.01184" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00999464, 0.0100614, 0.010127, 0.0101821, 0.0102321, 0.0102875", \
-            "0.00988332, 0.00993296, 0.0100603, 0.0101543, 0.0102159, 0.0102735", \
-            "0.009781, 0.00985456, 0.00995209, 0.010066, 0.0101453, 0.0102147", \
-            "0.00982665, 0.00986413, 0.00993664, 0.00995304, 0.0101085, 0.0102103", \
-            "0.0102471, 0.0102048, 0.0101539, 0.010145, 0.0101376, 0.0101951", \
-            "0.0114936, 0.0113621, 0.0111682, 0.0109789, 0.0107046, 0.0105347" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.000344409, 0.000412737, 0.000476946, 0.000538437, 0.000607091, 0.000689027", \
-            "0.000279705, 0.00036342, 0.00045124, 0.000528147, 0.000608798, 0.00070764", \
-            "0.000150415, 0.000241023, 0.000352752, 0.000457402, 0.000576643, 0.00070825", \
-            "2.23253e-05, 0.000106018, 0.000208913, 0.000347837, 0.000496592, 0.000643087", \
-            "0.000131674, 0.000147088, 0.000207491, 0.000280945, 0.000332987, 0.000587434", \
-            "0.000942732, 0.00080395, 0.000670667, 0.000614904, 0.000568783, 0.000589247" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00555072, 0.00559177, 0.00559515, 0.00555552, 0.0054858, 0.00540181", \
-            "0.00545952, 0.00550142, 0.00550791, 0.00547818, 0.00541538, 0.00532993", \
-            "0.00526814, 0.00531402, 0.00533555, 0.00532308, 0.0052703, 0.0051948", \
-            "0.0050487, 0.00509405, 0.00513762, 0.0051644, 0.00515246, 0.00508313", \
-            "0.00501148, 0.00506071, 0.00511721, 0.00515757, 0.00516672, 0.00512003", \
-            "0.00556336, 0.00558518, 0.0056038, 0.00565078, 0.00568472, 0.00564835" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0115152, 0.0115581, 0.0115781, 0.0115634, 0.0115384, 0.0115475", \
-            "0.011453, 0.011504, 0.0115329, 0.0115288, 0.0115075, 0.0115148", \
-            "0.0113703, 0.0114322, 0.0114832, 0.0115023, 0.011501, 0.0115149", \
-            "0.0113755, 0.0114405, 0.0115223, 0.0115865, 0.0116235, 0.0116645", \
-            "0.0116086, 0.011671, 0.0117553, 0.0118432, 0.0119249, 0.0119837", \
-            "0.0125938, 0.0126448, 0.0127008, 0.0127812, 0.0128791, 0.0129653" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.0113926, 0.011463, 0.0115207, 0.0115756, 0.0116178, 0.0116645", \
-            "0.011276, 0.0113521, 0.0114303, 0.0115055, 0.011573, 0.011634", \
-            "0.0111346, 0.0112145, 0.0113057, 0.011413, 0.0115124, 0.0115974", \
-            "0.0110003, 0.011066, 0.0111559, 0.0112877, 0.0114023, 0.0115315", \
-            "0.0109798, 0.01101, 0.0110792, 0.0111617, 0.0112855, 0.0114427", \
-            "0.0115059, 0.0114692, 0.0114002, 0.011353, 0.0113533, 0.0114224" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00708167, 0.0137763, 0.0267996, 0.0521344, 0.101419");
-          values ( \
-            "0.00156602, 0.00160733, 0.00164705, 0.00168532, 0.00172775, 0.00178296", \
-            "0.00148358, 0.00153212, 0.00158226, 0.00163343, 0.00168171, 0.00174783", \
-            "0.00135986, 0.00142556, 0.00148436, 0.00154057, 0.00162699, 0.00171456", \
-            "0.00124838, 0.00129403, 0.00136564, 0.00144432, 0.0015481, 0.00163702", \
-            "0.00131507, 0.00134481, 0.00137405, 0.00142126, 0.0014916, 0.00161215", \
-            "0.00197006, 0.00189865, 0.00181826, 0.00179204, 0.00170552, 0.00164131" \
-          );
-        }
-      }
-    }
-    pin (S) {
-      direction : output;
-      function : "(A * !B) + (!A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.129853;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "~B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "!B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0989749, 0.133249, 0.20154, 0.339373, 0.620097, 1.19274", \
-            "0.10351, 0.137887, 0.20631, 0.344232, 0.625142, 1.19807", \
-            "0.113752, 0.148209, 0.216756, 0.355062, 0.635703, 1.20898", \
-            "0.13306, 0.170123, 0.240076, 0.378904, 0.660092, 1.23303", \
-            "0.15413, 0.199529, 0.281908, 0.429802, 0.712965, 1.28602", \
-            "0.165778, 0.222261, 0.324647, 0.504988, 0.822513, 1.40677" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0390319, 0.0617314, 0.107964, 0.202261, 0.395889, 0.790405", \
-            "0.0390164, 0.0617162, 0.107955, 0.20235, 0.395899, 0.790254", \
-            "0.0390255, 0.0616801, 0.107887, 0.202485, 0.39561, 0.790258", \
-            "0.0407711, 0.0624616, 0.108046, 0.202384, 0.395663, 0.790253", \
-            "0.045011, 0.0667997, 0.111284, 0.20347, 0.395696, 0.790041", \
-            "0.0541618, 0.0760537, 0.120339, 0.211484, 0.398565, 0.790207" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.10983, 0.147122, 0.221153, 0.369842, 0.671647, 1.28883", \
-            "0.114358, 0.151792, 0.226038, 0.37502, 0.676593, 1.29435", \
-            "0.126506, 0.163812, 0.237951, 0.387217, 0.689406, 1.30671", \
-            "0.156234, 0.193382, 0.26665, 0.415404, 0.717621, 1.33475", \
-            "0.208905, 0.252894, 0.333407, 0.481571, 0.784243, 1.39974", \
-            "0.28984, 0.346745, 0.448325, 0.624615, 0.935113, 1.54911" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0339294, 0.0526989, 0.090076, 0.165721, 0.318889, 0.631258", \
-            "0.0339617, 0.0526606, 0.0900877, 0.165291, 0.319134, 0.631358", \
-            "0.0339797, 0.0526634, 0.0900849, 0.165612, 0.319821, 0.631311", \
-            "0.0345643, 0.0529744, 0.0900465, 0.165569, 0.319506, 0.631261", \
-            "0.0401305, 0.0577955, 0.0922121, 0.166172, 0.319073, 0.631247", \
-            "0.0501016, 0.0693013, 0.104885, 0.173805, 0.320705, 0.630978" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0716937, 0.075712, 0.0795778, 0.0856607, 0.0920692, 0.0985876, 0.104616, 0.110496, 0.116367, 0.118924, 0.122904, 0.132835, 0.142236, 0.149807, 0.155444, 0.161575, 0.16581, 0.174148, 0.178958, 0.188578, 0.206692, 0.207887");
-            values ( \
-              "0.0221018, 0.0432083, 0.0590223, 0.0792484, 0.0951809, 0.105989, 0.111204, 0.112092, 0.108095, 0.103789, 0.093341, 0.0613637, 0.0389231, 0.0264588, 0.0195545, 0.0139789, 0.0110527, 0.00691411, 0.00525961, 0.00304838, 0.00102789, 0.00100431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.0762944, 0.111347, 0.115966, 0.126107, 0.135545, 0.144807, 0.154059, 0.160627, 0.181971, 0.196028, 0.208681, 0.221654, 0.238399, 0.256868, 0.272936, 0.284142");
-            values ( \
-              "0.00475514, 0.116333, 0.125205, 0.139009, 0.144786, 0.144839, 0.138276, 0.127633, 0.0767208, 0.0502332, 0.0331375, 0.021047, 0.0114035, 0.00573737, 0.00308894, 0.00225794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.0965973, 0.142715, 0.153433, 0.163171, 0.180623, 0.196962, 0.213116, 0.230778, 0.244956, 0.278933, 0.294384, 0.322535, 0.348506, 0.377481, 0.409444, 0.445974, 0.452556");
-            values ( \
-              "0.00229497, 0.116493, 0.135754, 0.149114, 0.164752, 0.170308, 0.168971, 0.158585, 0.141568, 0.0895335, 0.069288, 0.0411483, 0.0243542, 0.0131543, 0.00654021, 0.00279897, 0.00253778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.137932, 0.155896, 0.220776, 0.245866, 0.25852, 0.28383, 0.290708, 0.304465, 0.321071, 0.3538, 0.371903, 0.382651, 0.404147, 0.474029, 0.520039, 0.561486, 0.601796, 0.624062, 0.655367, 0.688189, 0.71337, 0.763732, 0.797715");
-            values ( \
-              "0.0161385, 0.0321429, 0.129124, 0.155387, 0.165436, 0.178935, 0.181428, 0.184616, 0.186373, 0.183073, 0.17731, 0.172296, 0.158521, 0.0989317, 0.0653013, 0.0429627, 0.0277891, 0.0216529, 0.0151172, 0.0103407, 0.00768654, 0.00417274, 0.00308719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.209184, 0.283173, 0.360304, 0.410215, 0.450255, 0.51296, 0.572208, 0.631319, 0.697698, 0.726804, 0.761197, 0.913902, 0.963982, 1.00189, 1.05244, 1.11534, 1.15252, 1.22129, 1.29991, 1.36293, 1.46529, 1.56765, 1.77236");
-            values ( \
-              "0.00514169, 0.0567223, 0.123262, 0.155765, 0.174062, 0.190836, 0.195322, 0.191841, 0.177955, 0.168036, 0.153927, 0.0860418, 0.067709, 0.0558645, 0.0426593, 0.0301125, 0.0243467, 0.0162544, 0.0101933, 0.00694977, 0.00366771, 0.00192116, 0.000524173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.39516, 0.511783, 0.600786, 0.691638, 0.789764, 0.843257, 0.945616, 1.01227, 1.08578, 1.18814, 1.20371, 1.23485, 1.29713, 1.32695, 1.38659, 1.46381, 1.68616, 1.85824, 1.97192, 2.06051, 2.16389, 2.29739, 2.39975, 2.54483, 2.64719, 2.74955, 2.95427, 3.15898, 3.46606");
-            values ( \
-              "0.0225127, 0.0577311, 0.0996133, 0.137003, 0.167259, 0.179093, 0.193755, 0.198317, 0.199824, 0.196773, 0.195778, 0.193418, 0.186877, 0.1828, 0.172842, 0.157027, 0.105712, 0.071172, 0.053279, 0.0419795, 0.0315069, 0.0214828, 0.0158929, 0.0103102, 0.00756698, 0.00554167, 0.00296116, 0.00157743, 0.000611073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0901145, 0.0944997, 0.100253, 0.107782, 0.110792, 0.117301, 0.123328, 0.129206, 0.135075, 0.137647, 0.151558, 0.160979, 0.168403, 0.17412, 0.180416, 0.18461, 0.192859, 0.207015, 0.216188");
-            values ( \
-              "0.0287792, 0.0430295, 0.0664738, 0.0886505, 0.0951999, 0.106175, 0.111157, 0.112217, 0.108029, 0.10377, 0.061313, 0.0388515, 0.0266172, 0.0195817, 0.0138769, 0.0109921, 0.00691025, 0.00309458, 0.00205373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.108953, 0.122071, 0.124578, 0.127921, 0.130891, 0.133489, 0.134788, 0.137319, 0.13985, 0.142382, 0.144913, 0.147274, 0.149634, 0.151995, 0.154355, 0.15667, 0.158985, 0.1613, 0.163615, 0.165927, 0.16824, 0.170552, 0.173077, 0.173502, 0.174352, 0.176051, 0.177751, 0.17945, 0.183439, 0.189422, 0.193602, 0.200085, 0.202234, 0.206531, 0.20861, 0.212769, 0.214848, 0.217795, 0.221939, 0.226074, 0.227453, 0.231006, 0.236534, 0.240486, 0.242215, 0.245675, 0.249857, 0.254762, 0.257214, 0.261115");
-            values ( \
-              "0.0948336, 0.0970989, 0.103954, 0.11233, 0.119132, 0.124585, 0.127138, 0.131456, 0.13512, 0.138131, 0.140489, 0.141515, 0.142345, 0.142977, 0.143412, 0.143646, 0.143691, 0.143547, 0.143212, 0.142322, 0.141171, 0.139757, 0.137914, 0.137457, 0.136276, 0.133598, 0.13055, 0.127219, 0.118293, 0.103388, 0.0932672, 0.077832, 0.0731935, 0.0647069, 0.060844, 0.0535936, 0.050206, 0.0456904, 0.0396145, 0.0346217, 0.033064, 0.0293656, 0.0240334, 0.0206947, 0.0194996, 0.0172706, 0.0148871, 0.0124633, 0.0113892, 0.00986009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.111533, 0.17367, 0.181902, 0.199347, 0.215687, 0.23184, 0.249503, 0.263696, 0.297866, 0.313739, 0.340884, 0.367452, 0.396415, 0.427338, 0.462678, 0.472338");
-            values ( \
-              "0.000131166, 0.13812, 0.149186, 0.164755, 0.170347, 0.16896, 0.158587, 0.141547, 0.0892334, 0.0685232, 0.0414476, 0.0242384, 0.0130906, 0.00666201, 0.00294054, 0.00253584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.171489, 0.191953, 0.225515, 0.262274, 0.276702, 0.305558, 0.315712, 0.327681, 0.339291, 0.36251, 0.369455, 0.383344, 0.40085, 0.432656, 0.496876, 0.539941, 0.57627, 0.600815, 0.622571, 0.651579, 0.687707, 0.71001, 0.754617, 0.839972, 0.93874");
-            values ( \
-              "0.0506985, 0.0587395, 0.111183, 0.153824, 0.165185, 0.180345, 0.183282, 0.185359, 0.186353, 0.184803, 0.183603, 0.179739, 0.17233, 0.150503, 0.0952462, 0.0643342, 0.0445457, 0.0343125, 0.0270411, 0.0194523, 0.0128327, 0.00992785, 0.00578334, 0.00192427, 0.000474452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.227028, 0.302096, 0.378966, 0.429824, 0.469241, 0.531951, 0.591202, 0.650314, 0.716693, 0.745792, 0.780193, 0.932835, 0.982964, 1.02092, 1.07153, 1.13431, 1.17142, 1.24026, 1.31898, 1.38211, 1.48447, 1.58683, 1.79154");
-            values ( \
-              "0.00434048, 0.0566368, 0.122989, 0.156083, 0.174045, 0.190826, 0.195317, 0.191837, 0.177954, 0.168039, 0.153926, 0.0860672, 0.0677137, 0.0558558, 0.0426389, 0.030117, 0.0243603, 0.0162571, 0.0101883, 0.00694204, 0.00366331, 0.00191913, 0.000523751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.413293, 0.531274, 0.62032, 0.688216, 0.776877, 0.86323, 0.965589, 1.03153, 1.10548, 1.20784, 1.22338, 1.25448, 1.31667, 1.34662, 1.4065, 1.48347, 1.70581, 1.77553, 1.87789, 1.99158, 2.08017, 2.18354, 2.23982, 2.31703, 2.41939, 2.5645, 2.66686, 2.76922, 2.97393, 3.17865, 3.48573");
-            values ( \
-              "0.0215388, 0.0577453, 0.0996213, 0.128356, 0.158544, 0.179258, 0.193889, 0.198381, 0.199857, 0.196817, 0.19579, 0.193459, 0.186894, 0.182828, 0.17279, 0.157046, 0.105697, 0.0907831, 0.0711565, 0.0532958, 0.0419609, 0.0315248, 0.0268313, 0.0215009, 0.0158757, 0.0102921, 0.00758429, 0.00552373, 0.00294333, 0.00155965, 0.000628762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.131235, 0.135302, 0.139146, 0.147743, 0.151614, 0.158128, 0.164155, 0.170034, 0.175904, 0.178472, 0.181123, 0.192385, 0.201802, 0.209265, 0.214962, 0.221219, 0.225424, 0.233698, 0.247929, 0.265747, 0.268763");
-            values ( \
-              "0.0210685, 0.0434258, 0.0590502, 0.0863929, 0.0951765, 0.106116, 0.111151, 0.112177, 0.108028, 0.103761, 0.0973585, 0.0613159, 0.0388617, 0.0265743, 0.0195693, 0.0138995, 0.0110025, 0.0069072, 0.00307994, 0.00106464, 0.00100219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.141049, 0.150033, 0.159955, 0.171012, 0.175699, 0.185818, 0.195243, 0.204498, 0.213743, 0.216958, 0.220388, 0.241763, 0.24761, 0.255774, 0.262631, 0.267944, 0.275334, 0.281554, 0.288404, 0.298163, 0.308375, 0.316213, 0.331889, 0.360741, 0.394989");
-            values ( \
-              "0.00589039, 0.053797, 0.088055, 0.116655, 0.125527, 0.139271, 0.144889, 0.144964, 0.138294, 0.133767, 0.127504, 0.0764838, 0.0644934, 0.0501366, 0.0401802, 0.0336127, 0.0260175, 0.0208821, 0.0163043, 0.0113696, 0.00779149, 0.00580925, 0.0031805, 0.000961633, 0.000227676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.159438, 0.178331, 0.189468, 0.202844, 0.215443, 0.223251, 0.238869, 0.244235, 0.250711, 0.256954, 0.26944, 0.273065, 0.280315, 0.289707, 0.306552, 0.329582, 0.341079, 0.351024, 0.36377, 0.373107, 0.383073, 0.396289, 0.408105, 0.42386, 0.437098, 0.454879, 0.478587, 0.524055, 0.577069");
-            values ( \
-              "0.0012415, 0.0581076, 0.0870034, 0.116976, 0.138979, 0.149387, 0.163702, 0.166639, 0.169022, 0.170279, 0.169715, 0.168795, 0.165841, 0.159405, 0.139422, 0.103284, 0.0866098, 0.0734427, 0.0586522, 0.049342, 0.0407301, 0.0312935, 0.0245768, 0.0176232, 0.0132778, 0.00903472, 0.00531591, 0.00177367, 0.000461233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.19524, 0.272111, 0.297583, 0.318382, 0.350683, 0.381103, 0.411352, 0.444996, 0.477495, 0.552156, 0.58037, 0.62111, 0.662198, 0.715557, 0.77292, 0.822684, 0.867882");
-            values ( \
-              "0.000419959, 0.11778, 0.147614, 0.165135, 0.181451, 0.18647, 0.183757, 0.171189, 0.147911, 0.0847373, 0.06515, 0.0431662, 0.0276869, 0.0150964, 0.00773384, 0.00423127, 0.00275647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.266994, 0.344884, 0.41019, 0.438089, 0.483783, 0.511293, 0.566314, 0.58893, 0.619085, 0.633041, 0.660953, 0.691975, 0.758173, 0.785812, 0.821934, 0.934702, 1.0227, 1.06991, 1.10369, 1.14722, 1.18206, 1.22556, 1.28669, 1.35086, 1.4001, 1.49858, 1.60094, 1.80565");
-            values ( \
-              "0.00118068, 0.0575784, 0.11481, 0.135501, 0.162426, 0.17428, 0.189489, 0.192746, 0.19494, 0.195189, 0.194398, 0.191571, 0.177928, 0.16861, 0.153893, 0.102683, 0.068376, 0.0537853, 0.0449552, 0.0354042, 0.0291225, 0.0226894, 0.0158363, 0.0108261, 0.00804512, 0.00436078, 0.00228951, 0.000626296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.450702, 0.573102, 0.662056, 0.728302, 0.821866, 0.904919, 1.00728, 1.07324, 1.14717, 1.24953, 1.26508, 1.29618, 1.35837, 1.38831, 1.44819, 1.52517, 1.74751, 1.81723, 1.91959, 2.03327, 2.12186, 2.22524, 2.28152, 2.35874, 2.46109, 2.60618, 2.70854, 2.8109, 3.01562, 3.22033, 3.52741");
-            values ( \
-              "0.0186219, 0.0577937, 0.0996449, 0.127735, 0.159501, 0.179252, 0.193887, 0.19838, 0.199855, 0.196816, 0.19579, 0.193457, 0.186895, 0.182825, 0.172793, 0.157043, 0.105703, 0.0907759, 0.0711628, 0.0532873, 0.041971, 0.0315152, 0.0268398, 0.0214911, 0.0158847, 0.0103019, 0.00757515, 0.00553337, 0.00295289, 0.00156917, 0.000619315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.206669, 0.211895, 0.215846, 0.237792, 0.246888, 0.253911, 0.257481, 0.262848, 0.265186, 0.270239, 0.281218, 0.290377, 0.304883, 0.312057, 0.321157, 0.332383, 0.350366");
-            values ( \
-              "0.00904223, 0.0125132, 0.0218881, 0.0859806, 0.101159, 0.106533, 0.107429, 0.105629, 0.103011, 0.0919659, 0.0581235, 0.0374064, 0.0175384, 0.011785, 0.00702796, 0.00369677, 0.00187303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.222542, 0.259141, 0.265214, 0.270797, 0.275625, 0.285252, 0.294619, 0.303982, 0.309424, 0.33364, 0.346552, 0.35769, 0.365414, 0.372436, 0.381798, 0.389442, 0.397955, 0.409306, 0.432007, 0.445001");
-            values ( \
-              "0.00117516, 0.10745, 0.120375, 0.12968, 0.135722, 0.142761, 0.143422, 0.137466, 0.129236, 0.0730001, 0.0493769, 0.0342667, 0.0262307, 0.0204672, 0.0145623, 0.0109838, 0.00801206, 0.00522434, 0.00211804, 0.00146104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.253818, 0.268382, 0.286758, 0.306567, 0.314456, 0.330233, 0.34192, 0.348265, 0.360954, 0.3644, 0.371293, 0.381055, 0.397902, 0.432411, 0.455131, 0.474451, 0.487663, 0.499469, 0.515211, 0.528464, 0.546266, 0.570001, 0.61496, 0.66779");
-            values ( \
-              "0.0215285, 0.0537091, 0.100215, 0.137886, 0.148656, 0.163226, 0.168684, 0.169961, 0.169512, 0.168592, 0.165909, 0.159287, 0.139403, 0.0866284, 0.0586412, 0.0407302, 0.0312789, 0.0245698, 0.0176394, 0.0132704, 0.00902361, 0.00531922, 0.00179079, 0.000478919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.308249, 0.3296, 0.369939, 0.389176, 0.410327, 0.442584, 0.472981, 0.503215, 0.53344, 0.550554, 0.569347, 0.627378, 0.672212, 0.712967, 0.754036, 0.776185, 0.8074, 0.83989, 0.864779, 0.914559, 0.981322");
-            values ( \
-              "0.0601336, 0.0655534, 0.126093, 0.147578, 0.165543, 0.181546, 0.18666, 0.183738, 0.173169, 0.162543, 0.147929, 0.0977989, 0.0651552, 0.0431624, 0.0276885, 0.0216022, 0.015096, 0.0103658, 0.00773309, 0.00422915, 0.00205125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.399346, 0.438552, 0.482081, 0.530678, 0.564967, 0.603692, 0.666288, 0.725483, 0.784687, 0.850822, 0.914409, 1.0617, 1.11589, 1.19365, 1.23882, 1.2761, 1.31903, 1.37972, 1.44225, 1.49006, 1.58567, 1.68803, 1.89275");
-            values ( \
-              "0.0574719, 0.0592719, 0.0983006, 0.136025, 0.156987, 0.174515, 0.191028, 0.195488, 0.191863, 0.178017, 0.153947, 0.0882036, 0.0681338, 0.0455612, 0.0355674, 0.0288578, 0.0225536, 0.0157821, 0.0108946, 0.00816701, 0.00451298, 0.00236938, 0.00064801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.561699, 0.665161, 0.796054, 0.851591, 0.94246, 0.996994, 1.09935, 1.16537, 1.23927, 1.34162, 1.35717, 1.38827, 1.45046, 1.48041, 1.54029, 1.61726, 1.8396, 2.01168, 2.12537, 2.21396, 2.31733, 2.45082, 2.55318, 2.69829, 2.80065, 2.90301, 3.10772, 3.31244, 3.61952");
-            values ( \
-              "0.0326403, 0.0577617, 0.117855, 0.139405, 0.167204, 0.179279, 0.193856, 0.198355, 0.199881, 0.19679, 0.195814, 0.193434, 0.186917, 0.182804, 0.172814, 0.157023, 0.105719, 0.0711784, 0.0532758, 0.0419808, 0.0315056, 0.0214818, 0.0158947, 0.0103109, 0.00756547, 0.0055425, 0.00296201, 0.00157823, 0.000610336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.364854, 0.379479, 0.383245, 0.39559, 0.415001, 0.422352, 0.429184, 0.435893, 0.442592, 0.454274, 0.46373, 0.472693, 0.478589, 0.485908, 0.492735, 0.500524, 0.518788, 0.528909");
-            values ( \
-              "0.00029118, 0.0176357, 0.0237252, 0.0492685, 0.0848492, 0.0935788, 0.0981373, 0.097542, 0.0889128, 0.0565823, 0.0365775, 0.0235061, 0.017295, 0.0116839, 0.00803368, 0.0052576, 0.0019202, 0.00126431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.38624, 0.404902, 0.410494, 0.432583, 0.442171, 0.454049, 0.464611, 0.474665, 0.48472, 0.494793, 0.506524, 0.513941, 0.523829, 0.529798, 0.536958, 0.546475, 0.553575, 0.560342, 0.565707, 0.57502, 0.586333, 0.601417, 0.629739, 0.663047");
-            values ( \
-              "0.0027342, 0.0259745, 0.036355, 0.0847636, 0.103614, 0.121979, 0.13156, 0.134962, 0.13124, 0.117096, 0.0899294, 0.0739253, 0.0554999, 0.0462603, 0.0367464, 0.0266135, 0.0205874, 0.0161984, 0.0133581, 0.00954342, 0.00632355, 0.00360093, 0.00115555, 0.000302976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.417294, 0.439203, 0.445882, 0.504227, 0.522935, 0.544942, 0.556696, 0.569332, 0.582356, 0.647479, 0.691499, 0.720152, 0.751005, 0.771797");
-            values ( \
-              "0.00668605, 0.0227143, 0.0321772, 0.136716, 0.155999, 0.164951, 0.164127, 0.159591, 0.148563, 0.0592734, 0.0251483, 0.0137102, 0.00701835, 0.00540522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.455228, 0.501775, 0.518604, 0.572161, 0.598786, 0.611243, 0.636158, 0.643965, 0.659579, 0.674603, 0.704992, 0.738688, 0.769073, 0.83912, 0.869023, 0.911892, 0.936605, 0.957949, 0.979422, 1.02328, 1.0496, 1.10225, 1.17836");
-            values ( \
-              "0.00479578, 0.022731, 0.0433895, 0.121787, 0.151312, 0.161607, 0.176291, 0.17922, 0.183369, 0.185039, 0.182957, 0.170838, 0.149603, 0.0899101, 0.0684841, 0.0445675, 0.0342379, 0.0271024, 0.0212996, 0.0128627, 0.0094567, 0.00499077, 0.00200204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.608156, 0.660566, 0.733761, 0.765503, 0.806839, 0.869449, 0.928651, 0.987863, 1.05399, 1.08244, 1.11758, 1.26448, 1.31897, 1.36311, 1.39757, 1.44219, 1.47891, 1.52197, 1.58276, 1.64566, 1.6938, 1.79006, 1.89242, 2.09713");
-            values ( \
-              "0.0606722, 0.0762588, 0.13582, 0.15552, 0.17441, 0.19104, 0.195427, 0.191895, 0.178018, 0.168344, 0.153943, 0.088363, 0.0681643, 0.054473, 0.0453773, 0.0355306, 0.0289183, 0.0225842, 0.0157948, 0.0108794, 0.00813906, 0.00447846, 0.00235086, 0.000642795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.788153, 0.871134, 0.958866, 1.05578, 1.12217, 1.20089, 1.30325, 1.36891, 1.44299, 1.54535, 1.56087, 1.59191, 1.65398, 1.68408, 1.74429, 1.82093, 2.04326, 2.21534, 2.32902, 2.41762, 2.52099, 2.57728, 2.65449, 2.75685, 2.90194, 3.0043, 3.10666, 3.31138, 3.51609, 3.82317");
-            values ( \
-              "0.0535264, 0.0592081, 0.100421, 0.139813, 0.161022, 0.179449, 0.194028, 0.198472, 0.199911, 0.196862, 0.195817, 0.193501, 0.186929, 0.182852, 0.172735, 0.157058, 0.105698, 0.071157, 0.0532914, 0.0419665, 0.0315189, 0.0268365, 0.0214947, 0.0158813, 0.0102983, 0.00757836, 0.00552997, 0.0029496, 0.00156597, 0.000622398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.663055, 0.697891, 0.704928, 0.708484, 0.713225, 0.717837, 0.722567, 0.736385, 0.745322, 0.756304, 0.765651, 0.774075, 0.782047, 0.790021, 0.794945, 0.802239, 0.805921, 0.812402, 0.816017, 0.822118, 0.826936, 0.830196, 0.834544, 0.842651, 0.846622, 0.85294, 0.861363, 0.871649");
-            values ( \
-              "0.00113884, 0.00463397, 0.00864105, 0.0110446, 0.0148321, 0.019427, 0.025187, 0.0433423, 0.0537172, 0.0656706, 0.0747198, 0.0808634, 0.0835759, 0.0792763, 0.0696906, 0.0531931, 0.0457311, 0.0345228, 0.0294064, 0.0220506, 0.0173987, 0.0147795, 0.0118331, 0.00773364, 0.00629492, 0.00453587, 0.00292486, 0.00187758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.676861, 0.728716, 0.73324, 0.738448, 0.748865, 0.756165, 0.79135, 0.810844, 0.818857, 0.830456, 0.841696, 0.852914, 0.872762, 0.87904, 0.889036, 0.901114, 0.908927, 0.918742, 0.929832, 0.933694, 0.942584, 0.952745, 0.973066, 0.976578");
-            values ( \
-              "0.00121917, 0.00691592, 0.0101239, 0.0139358, 0.023721, 0.0327413, 0.0807413, 0.104442, 0.11195, 0.119079, 0.119762, 0.10983, 0.0711175, 0.0600262, 0.0449038, 0.0306297, 0.0236094, 0.0168271, 0.0113181, 0.00986126, 0.00715866, 0.00493903, 0.00228567, 0.00210043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.732735, 0.789488, 0.811235, 0.895038, 0.91421, 0.932297, 0.950391, 0.96842, 1.028, 1.05261, 1.07565, 1.10253, 1.12719, 1.15536, 1.16421");
-            values ( \
-              "0.00208519, 0.0146393, 0.034392, 0.136371, 0.14905, 0.15371, 0.149758, 0.133777, 0.0564763, 0.0355486, 0.0223677, 0.0126911, 0.00744328, 0.00396817, 0.00362301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.906111, 0.958929, 0.972557, 0.986184, 0.993001, 0.999818, 1.00663, 1.01345, 1.02176, 1.03007, 1.03837, 1.04668, 1.05716, 1.06502, 1.07339, 1.08176, 1.08968, 1.09759, 1.1055, 1.11342, 1.12133, 1.12924, 1.13715, 1.14575, 1.14714, 1.1499, 1.15544, 1.16097, 1.1665, 1.18112, 1.19574, 1.22287, 1.23435, 1.24799, 1.2558, 1.26936, 1.28089, 1.28938, 1.30302, 1.31249, 1.32196, 1.3282, 1.34692, 1.35443, 1.35819, 1.36946, 1.38448, 1.39951, 1.41751, 1.4323");
-            values ( \
-              "0.0883559, 0.090752, 0.106472, 0.121699, 0.127955, 0.133885, 0.139489, 0.144768, 0.150761, 0.15627, 0.161294, 0.165835, 0.170749, 0.173913, 0.17483, 0.175442, 0.175739, 0.175763, 0.175513, 0.174991, 0.17397, 0.172587, 0.17084, 0.168521, 0.167964, 0.166595, 0.163529, 0.160113, 0.156439, 0.145498, 0.13373, 0.110762, 0.101288, 0.0902532, 0.0844921, 0.0749824, 0.0673542, 0.0619613, 0.0538184, 0.0490419, 0.0445671, 0.0418739, 0.0342163, 0.0313218, 0.0300114, 0.0263906, 0.0224695, 0.0190122, 0.0155383, 0.0129206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("0.939168, 1.03167, 1.06435, 1.17134, 1.21612, 1.24625, 1.26765, 1.29048, 1.31145, 1.35337, 1.37136, 1.40099, 1.4308, 1.49702, 1.52325, 1.56111, 1.67419, 1.76215, 1.80892, 1.84283, 1.88651, 1.92161, 1.96506, 2.02615, 2.09014, 2.13923, 2.2374, 2.33976, 2.36506");
-            values ( \
-              "0.00284721, 0.0247836, 0.0443316, 0.126433, 0.15417, 0.168253, 0.176302, 0.182985, 0.187532, 0.192671, 0.193405, 0.192971, 0.190568, 0.177524, 0.168898, 0.153705, 0.10256, 0.0683279, 0.0538763, 0.0450043, 0.035417, 0.0290912, 0.022673, 0.0158291, 0.0108328, 0.00805786, 0.00437678, 0.00229812, 0.0020918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("1.2412, 1.32286, 1.4117, 1.50904, 1.59954, 1.6544, 1.75676, 1.77875, 1.82272, 1.89664, 1.999, 2.01455, 2.10779, 2.13777, 2.19773, 2.27463, 2.49696, 2.66904, 2.78273, 2.87133, 2.97469, 3.10819, 3.21054, 3.35566, 3.45802, 3.56038, 3.76509, 3.96981, 4.27689");
-            values ( \
-              "0.0566424, 0.057923, 0.0997605, 0.139466, 0.167164, 0.179315, 0.193884, 0.195754, 0.198375, 0.199894, 0.196801, 0.19582, 0.186926, 0.182811, 0.1728, 0.157027, 0.105716, 0.0711747, 0.0532797, 0.0419761, 0.0315098, 0.0214861, 0.0158906, 0.0103065, 0.00756947, 0.00553823, 0.0029578, 0.00157407, 0.000614468" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00699166, 0.00703019, 0.0070695, 0.00710134, 0.00712236, 0.00713445", \
-            "0.00876817, 0.00881635, 0.00887423, 0.00892626, 0.00896386, 0.0089868", \
-            "0.00986616, 0.00989087, 0.0099291, 0.00997223, 0.0100092, 0.0100343", \
-            "0.0105344, 0.0105187, 0.0105081, 0.0105098, 0.0105206, 0.0105323", \
-            "0.010948, 0.0109143, 0.010866, 0.0108181, 0.0107923, 0.0107723", \
-            "0.0111804, 0.0111473, 0.0110964, 0.0110387, 0.0109745, 0.0109207" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0100485, 0.010078, 0.0101181, 0.0101554, 0.0101814, 0.0101964", \
-            "0.0102904, 0.0102218, 0.0101604, 0.0101154, 0.0100853, 0.0100673", \
-            "0.00977777, 0.00965881, 0.00950334, 0.00940521, 0.00929221, 0.00922559", \
-            "0.00959779, 0.0093893, 0.00915144, 0.00894767, 0.00881109, 0.00872668", \
-            "0.0102785, 0.00988751, 0.00935117, 0.00898613, 0.00872089, 0.00855868", \
-            "0.0102335, 0.0102746, 0.0102733, 0.00956881, 0.0089396, 0.00862566" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0768532, 0.103563, 0.11006, 0.115921, 0.121387, 0.126657, 0.131896, 0.137132, 0.150717, 0.156249, 0.162483, 0.167365, 0.172843");
-            values ( \
-              "-0.00423273, -0.094208, -0.107154, -0.116668, -0.12286, -0.126271, -0.124092, -0.110427, -0.0381332, -0.0210486, -0.0102151, -0.00576377, -0.00322232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.0959702, 0.103767, 0.117143, 0.13134, 0.141463, 0.150538, 0.159009, 0.167186, 0.175353, 0.183486, 0.200667, 0.208472, 0.217379, 0.230213, 0.232025");
-            values ( \
-              "-0.0309301, -0.0465003, -0.0905378, -0.123233, -0.141593, -0.153689, -0.162188, -0.165961, -0.163107, -0.144979, -0.0646092, -0.0390929, -0.0209782, -0.0081752, -0.0074474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.118927, 0.138268, 0.158166, 0.176793, 0.20271, 0.218185, 0.232631, 0.246596, 0.260545, 0.274473, 0.301137, 0.312711, 0.327647, 0.336255, 0.349244, 0.366562, 0.384242");
-            values ( \
-              "-0.00208953, -0.0545704, -0.100129, -0.134103, -0.16956, -0.184341, -0.194267, -0.198439, -0.194655, -0.172498, -0.0845153, -0.0560334, -0.031507, -0.0222761, -0.0130067, -0.00613442, -0.00315268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.16678, 0.186023, 0.203508, 0.246929, 0.27503, 0.295394, 0.327257, 0.355655, 0.38217, 0.407837, 0.433474, 0.459133, 0.497288, 0.517358, 0.539287, 0.568195, 0.585625, 0.598963, 0.625638, 0.631054");
-            values ( \
-              "-0.0163683, -0.0301408, -0.0509361, -0.111109, -0.143204, -0.162737, -0.188731, -0.205334, -0.216282, -0.220433, -0.216028, -0.1904, -0.113046, -0.0790622, -0.0515221, -0.0281447, -0.0193292, -0.0144602, -0.00784005, -0.00722893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.292502, 0.340408, 0.405912, 0.44085, 0.489411, 0.544051, 0.581451, 0.617954, 0.662114, 0.707445, 0.745402, 0.782645, 0.794496, 0.807137, 0.819778, 0.835596, 0.909372, 0.941827, 0.973913, 0.991069, 1.02157, 1.05973");
-            values ( \
-              "-0.0426565, -0.0529199, -0.104102, -0.128454, -0.158356, -0.185589, -0.20156, -0.214301, -0.225325, -0.233516, -0.231448, -0.228306, -0.224345, -0.217543, -0.209234, -0.19656, -0.114571, -0.0851306, -0.0618836, -0.0514856, -0.0376389, -0.0244848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.536626, 0.665205, 0.786643, 0.885553, 1.04005, 1.09059, 1.18439, 1.22733, 1.28459, 1.33065, 1.39749, 1.49129, 1.52136, 1.55572, 1.60103, 1.77881, 1.88029, 1.93047, 1.99739, 2.07271, 2.12326, 2.21706, 2.31086, 2.38568");
-            values ( \
-              "-0.043851, -0.0723963, -0.120294, -0.153763, -0.195987, -0.207008, -0.223482, -0.229322, -0.235458, -0.238823, -0.240866, -0.235878, -0.230816, -0.22149, -0.201676, -0.102491, -0.0626853, -0.0484411, -0.0338792, -0.0224803, -0.0169703, -0.00991667, -0.00575303, -0.00381869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.100152, 0.104836, 0.109217, 0.11307, 0.122163, 0.128687, 0.134547, 0.140014, 0.145286, 0.150526, 0.156117, 0.164664, 0.169351, 0.17488, 0.177455, 0.181119, 0.186006, 0.191674");
-            values ( \
-              "-0.0281549, -0.0376308, -0.0529795, -0.0696438, -0.0937008, -0.107341, -0.116397, -0.123006, -0.126056, -0.124223, -0.10929, -0.0601558, -0.0381581, -0.0210261, -0.0156568, -0.0102358, -0.00573537, -0.0032319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.113012, 0.1226, 0.137459, 0.14911, 0.149678, 0.159767, 0.168831, 0.177306, 0.185485, 0.193653, 0.201786, 0.218966, 0.22312, 0.226773, 0.231643, 0.23568, 0.24118, 0.248513, 0.261143, 0.277188, 0.296808");
-            values ( \
-              "-0.0073195, -0.0486189, -0.0966481, -0.12147, -0.123596, -0.14142, -0.153822, -0.162024, -0.166083, -0.162981, -0.145081, -0.0645836, -0.0497514, -0.0391184, -0.0279629, -0.0210047, -0.0141147, -0.00814665, -0.00298368, -0.00081173, -0.00024064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.141789, 0.155414, 0.180118, 0.204669, 0.221981, 0.237458, 0.251905, 0.265871, 0.279821, 0.29375, 0.320421, 0.332985, 0.346882, 0.368572, 0.382474");
-            values ( \
-              "-0.0277139, -0.0496116, -0.105834, -0.146856, -0.169628, -0.18422, -0.194338, -0.19834, -0.194723, -0.172419, -0.0845158, -0.0540402, -0.0315824, -0.0129994, -0.00746765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.181435, 0.299363, 0.313841, 0.342799, 0.362756, 0.373996, 0.400533, 0.408647, 0.426136, 0.451654, 0.460006, 0.46849, 0.479179, 0.517, 0.54005, 0.550703, 0.563886, 0.581462, 0.593404, 0.611558, 0.635762, 0.682645, 0.73737");
-            values ( \
-              "-0.00236397, -0.149189, -0.163023, -0.186604, -0.199464, -0.205513, -0.216082, -0.218191, -0.220531, -0.215904, -0.210904, -0.202824, -0.186833, -0.109986, -0.0723971, -0.0588594, -0.0451381, -0.0311911, -0.0241731, -0.0162847, -0.00943783, -0.00294918, -0.000719473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.25747, 0.332948, 0.459562, 0.535686, 0.590404, 0.632155, 0.651784, 0.691041, 0.726657, 0.752291, 0.801444, 0.826079, 0.854767, 0.924423, 0.951042, 0.999973, 1.03051, 1.06458, 1.09828, 1.12424, 1.17616, 1.21804");
-            values ( \
-              "-0.00593672, -0.0335744, -0.127211, -0.172017, -0.197188, -0.212203, -0.217991, -0.227043, -0.232079, -0.233466, -0.228405, -0.218865, -0.196613, -0.119147, -0.0938648, -0.0581289, -0.042332, -0.0292943, -0.0202491, -0.0151445, -0.00830074, -0.00571718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.519914, 0.640794, 0.804662, 0.908651, 0.989435, 1.08324, 1.16507, 1.21843, 1.31978, 1.36508, 1.41733, 1.51114, 1.54125, 1.57566, 1.62088, 1.79866, 1.90014, 1.95034, 2.01726, 2.09256, 2.14308, 2.23689, 2.33069, 2.38223");
-            values ( \
-              "-0.0224899, -0.0548757, -0.119624, -0.154782, -0.178318, -0.201244, -0.217201, -0.225533, -0.236706, -0.239548, -0.240895, -0.235844, -0.230808, -0.221458, -0.201676, -0.102493, -0.0626846, -0.0484381, -0.0338757, -0.0224809, -0.0169737, -0.00991783, -0.00575456, -0.00442127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.142999, 0.14858, 0.155541, 0.165166, 0.171696, 0.177558, 0.183028, 0.188302, 0.193544, 0.199136, 0.212371, 0.2179, 0.221697, 0.228648");
-            values ( \
-              "-0.0274822, -0.0397762, -0.0673457, -0.0935932, -0.107248, -0.116342, -0.122945, -0.126023, -0.124187, -0.109279, -0.0381743, -0.0210039, -0.0136326, -0.00611936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.158411, 0.165264, 0.180435, 0.192596, 0.202698, 0.211771, 0.220236, 0.228409, 0.236574, 0.244703, 0.26188, 0.269688, 0.278594, 0.291417, 0.299908");
-            values ( \
-              "-0.0331723, -0.0472476, -0.0958122, -0.123589, -0.141577, -0.153934, -0.162155, -0.166134, -0.163065, -0.145101, -0.0645938, -0.0391256, -0.0210112, -0.0081473, -0.00475131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.1855, 0.199078, 0.22267, 0.247218, 0.26453, 0.280005, 0.294452, 0.308418, 0.322368, 0.336297, 0.362963, 0.375531, 0.389451, 0.402415, 0.428443, 0.431249");
-            values ( \
-              "-0.0289096, -0.0517858, -0.105828, -0.146895, -0.16961, -0.184253, -0.194318, -0.198369, -0.194703, -0.17244, -0.0845321, -0.0540356, -0.0315596, -0.0186795, -0.00609076, -0.00562193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.232696, 0.308555, 0.356703, 0.388522, 0.416896, 0.443395, 0.469051, 0.494677, 0.520329, 0.57852, 0.600502, 0.629393, 0.660116, 0.686749, 0.700838");
-            values ( \
-              "-0.00791513, -0.111826, -0.163052, -0.188887, -0.205516, -0.216353, -0.220553, -0.216048, -0.190481, -0.0790738, -0.0515412, -0.0281814, -0.0144342, -0.00789292, -0.0062777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.304352, 0.381974, 0.503072, 0.579469, 0.641067, 0.695747, 0.746829, 0.796329, 0.845769, 0.89534, 0.988956, 1.07624, 1.14232, 1.19803");
-            values ( \
-              "-0.00619126, -0.0374033, -0.127516, -0.171869, -0.200222, -0.217904, -0.229422, -0.233425, -0.228607, -0.20059, -0.0997831, -0.0417386, -0.0203865, -0.0117274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.568302, 0.683794, 0.882352, 0.948022, 1.03246, 1.10288, 1.1534, 1.2472, 1.29018, 1.36278, 1.39336, 1.46032, 1.55413, 1.58422, 1.61861, 1.66387, 1.79583, 1.84166, 1.90277, 1.94314, 1.99333, 2.06025, 2.13556, 2.18608, 2.27989, 2.37369, 2.4675, 2.6551");
-            values ( \
-              "-0.0261032, -0.0548844, -0.13192, -0.153651, -0.178302, -0.195996, -0.206943, -0.223496, -0.229346, -0.236698, -0.238758, -0.240899, -0.235827, -0.230806, -0.221469, -0.201673, -0.125548, -0.102492, -0.0766228, -0.0626846, -0.0484389, -0.0338766, -0.0224807, -0.0169725, -0.00991754, -0.00575403, -0.00332799, -0.00110684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.235107, 0.246665, 0.253954, 0.262277, 0.267495, 0.275007, 0.280571, 0.285908, 0.291201, 0.296834, 0.310132, 0.315646, 0.319485, 0.326902, 0.335779, 0.340259");
-            values ( \
-              "-0.00429005, -0.0399017, -0.0667322, -0.089816, -0.10135, -0.114294, -0.121149, -0.124758, -0.123107, -0.108608, -0.0380105, -0.0210106, -0.0135929, -0.00565409, -0.00181602, -0.00127967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.248882, 0.272788, 0.285293, 0.299198, 0.305838, 0.31617, 0.325998, 0.334148, 0.342329, 0.363281, 0.369234, 0.37705, 0.383681, 0.391258, 0.400546");
-            values ( \
-              "-0.000241485, -0.0788584, -0.11182, -0.138622, -0.14848, -0.159937, -0.16538, -0.162624, -0.144764, -0.0510694, -0.0344515, -0.0198883, -0.0122776, -0.00695987, -0.00384447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.281626, 0.296913, 0.316653, 0.335443, 0.343921, 0.361232, 0.376685, 0.391126, 0.405088, 0.419034, 0.43296, 0.459609, 0.472191, 0.478199, 0.48621, 0.499042, 0.507633, 0.524815, 0.541429");
-            values ( \
-              "-0.0167627, -0.0549081, -0.100122, -0.134367, -0.147196, -0.169587, -0.184479, -0.194245, -0.198547, -0.194616, -0.172584, -0.0845913, -0.0540382, -0.0430571, -0.0314408, -0.0187038, -0.0130895, -0.00617018, -0.00338533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.32283, 0.433129, 0.453253, 0.485056, 0.51342, 0.539914, 0.565565, 0.591186, 0.616835, 0.675011, 0.697019, 0.725901, 0.756601, 0.783212, 0.791295");
-            values ( \
-              "-0.00325313, -0.143773, -0.163235, -0.188892, -0.205644, -0.216328, -0.220652, -0.216008, -0.190557, -0.07909, -0.051536, -0.0281842, -0.0144393, -0.00790141, -0.00697358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.414595, 0.499262, 0.598971, 0.633754, 0.674738, 0.736119, 0.790749, 0.841786, 0.891253, 0.940662, 0.990213, 1.08333, 1.1276, 1.17125, 1.20399, 1.22445, 1.26217, 1.31247, 1.38338");
-            values ( \
-              "-0.000206342, -0.053856, -0.128021, -0.150038, -0.172497, -0.200396, -0.218261, -0.229471, -0.233669, -0.228583, -0.200764, -0.100284, -0.0655355, -0.0416439, -0.0293521, -0.0233642, -0.0153383, -0.00865806, -0.00399949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.689683, 0.780223, 0.968774, 1.03757, 1.12848, 1.2496, 1.34341, 1.38602, 1.45861, 1.49015, 1.55638, 1.65019, 1.68027, 1.71465, 1.75993, 1.93771, 2.03919, 2.08938, 2.15629, 2.23161, 2.28215, 2.37595, 2.46976, 2.54221");
-            values ( \
-              "-0.0482303, -0.0550771, -0.128637, -0.151578, -0.178309, -0.206989, -0.223548, -0.229348, -0.236705, -0.238807, -0.240919, -0.235828, -0.230815, -0.22148, -0.201677, -0.102492, -0.0626852, -0.0484403, -0.0338781, -0.0224806, -0.0169712, -0.00991708, -0.00575341, -0.00387997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.42443, 0.440628, 0.456327, 0.470328, 0.477496, 0.483979, 0.49004, 0.495948, 0.502655, 0.511584, 0.517998, 0.523976, 0.529288, 0.533664, 0.542416, 0.550154, 0.561568");
-            values ( \
-              "-0.00298865, -0.0271479, -0.0609613, -0.0858299, -0.0969078, -0.105287, -0.110751, -0.111525, -0.0984776, -0.0552364, -0.0303887, -0.0161688, -0.00900703, -0.00547269, -0.0019158, -0.000842948, -0.000681955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.447613, 0.461251, 0.466914, 0.47142, 0.488696, 0.508753, 0.51888, 0.528152, 0.536948, 0.545628, 0.550332, 0.554535, 0.568603, 0.575356, 0.583002, 0.588593, 0.592162, 0.599099, 0.608349, 0.611407");
-            values ( \
-              "-0.0144954, -0.0305391, -0.0401978, -0.0492955, -0.0885771, -0.125016, -0.13938, -0.149549, -0.154936, -0.154091, -0.148092, -0.138373, -0.0765178, -0.0515068, -0.0314039, -0.0213447, -0.0166076, -0.0100871, -0.0050451, -0.004333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.478417, 0.507068, 0.536891, 0.567195, 0.577651, 0.593605, 0.608376, 0.622555, 0.636665, 0.650769, 0.674, 0.686045, 0.694852, 0.709579, 0.720216, 0.734398, 0.758753, 0.787226");
-            values ( \
-              "-0.00203406, -0.0423234, -0.100575, -0.149567, -0.163193, -0.179787, -0.19052, -0.195779, -0.192545, -0.171286, -0.0945801, -0.0625381, -0.0451208, -0.0252501, -0.0163553, -0.00894093, -0.00288785, -0.000766372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.549966, 0.593992, 0.616399, 0.654541, 0.669134, 0.698322, 0.729841, 0.751373, 0.766767, 0.782193, 0.807772, 0.816675, 0.825495, 0.835356, 0.881564, 0.905186, 0.928773, 0.950032, 0.961886, 0.985595, 1.03089, 1.08325");
-            values ( \
-              "-0.0306928, -0.0706179, -0.102572, -0.146551, -0.160794, -0.184843, -0.204312, -0.213552, -0.21785, -0.219833, -0.215447, -0.210092, -0.201468, -0.18657, -0.0950075, -0.0608382, -0.037695, -0.0239762, -0.0185403, -0.0108885, -0.00358977, -0.000939221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.657675, 0.719579, 0.799634, 0.852354, 0.892561, 0.95377, 1.0083, 1.05928, 1.1087, 1.15807, 1.20759, 1.30049, 1.34491, 1.38868, 1.43552, 1.4638, 1.52035, 1.58484");
-            values ( \
-              "-0.017282, -0.0566468, -0.117451, -0.151189, -0.173126, -0.20082, -0.218585, -0.229699, -0.233851, -0.2287, -0.200851, -0.1005, -0.0655798, -0.0416165, -0.0250185, -0.0183933, -0.0094429, -0.00488933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.914791, 1.03812, 1.1615, 1.25955, 1.41465, 1.46514, 1.55895, 1.60188, 1.6745, 1.70524, 1.77204, 1.86584, 1.8959, 1.93026, 1.97558, 2.15336, 2.25484, 2.30502, 2.37193, 2.44726, 2.49781, 2.59161, 2.68542, 2.75575");
-            values ( \
-              "-0.0474211, -0.0718022, -0.120476, -0.153659, -0.196064, -0.206951, -0.223549, -0.229388, -0.236736, -0.238765, -0.240931, -0.235817, -0.230818, -0.221494, -0.201677, -0.102491, -0.0626855, -0.0484417, -0.0338796, -0.0224804, -0.0169697, -0.00991661, -0.00575271, -0.0039347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.827701, 0.840868, 0.852741, 0.869824, 0.880458, 0.897718, 0.905278, 0.912543, 0.919794, 0.93683, 0.944807, 0.950347, 0.954889, 0.963973, 0.975802, 1.04096");
-            values ( \
-              "-0.0183723, -0.0188709, -0.0326708, -0.0557783, -0.0675104, -0.0838154, -0.0892284, -0.091598, -0.0857048, -0.0301066, -0.0141577, -0.00811182, -0.00505901, -0.00189247, -0.000588201, -0.000104377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.846615, 0.860653, 0.867142, 0.87588, 0.882694, 0.916289, 0.943533, 0.954787, 0.965211, 0.975267, 0.986207, 1.0086, 1.01859, 1.027, 1.03294, 1.04483, 1.05179");
-            values ( \
-              "-0.00822318, -0.0153173, -0.0199457, -0.0281539, -0.0357573, -0.0825043, -0.113603, -0.124424, -0.132137, -0.134913, -0.12236, -0.0459898, -0.0247392, -0.0141523, -0.00938326, -0.00392437, -0.00273319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.896142, 0.918805, 0.940268, 0.99916, 1.02098, 1.03967, 1.05653, 1.07234, 1.08783, 1.10404, 1.1372, 1.14673, 1.16283, 1.17492, 1.18465, 1.2032");
-            values ( \
-              "-0.00825784, -0.0208146, -0.0402662, -0.113355, -0.137607, -0.15574, -0.169073, -0.17688, -0.177371, -0.158795, -0.0663039, -0.0474455, -0.025732, -0.0159594, -0.01073, -0.00491474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.973048, 1.02316, 1.03018, 1.05783, 1.11276, 1.13972, 1.17464, 1.20496, 1.21603, 1.23281, 1.24453, 1.25932, 1.28287, 1.29114, 1.30175, 1.31357, 1.35181, 1.37453, 1.39947, 1.41783, 1.42869, 1.44524, 1.46731, 1.5088");
-            values ( \
-              "-0.00555027, -0.0315933, -0.0373232, -0.0632372, -0.121064, -0.146314, -0.174805, -0.194077, -0.199818, -0.206885, -0.210663, -0.213603, -0.21186, -0.208376, -0.20023, -0.184242, -0.109136, -0.0726228, -0.0444615, -0.0302747, -0.0240349, -0.0168037, -0.010262, -0.00391688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("1.09436, 1.17991, 1.27685, 1.34252, 1.37566, 1.43751, 1.49244, 1.54364, 1.59323, 1.64276, 1.69238, 1.78611, 1.82995, 1.87328, 1.92673, 1.96482, 2.01561, 2.04269");
-            values ( \
-              "-0.00505144, -0.0378161, -0.110198, -0.152654, -0.17083, -0.199135, -0.217449, -0.228764, -0.233247, -0.228186, -0.200595, -0.0997244, -0.06544, -0.0416993, -0.0233358, -0.0152544, -0.00857408, -0.00680847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("1.39337, 1.48, 1.66753, 1.73335, 1.82654, 1.94755, 2.04135, 2.08377, 2.15645, 2.18867, 2.25419, 2.348, 2.37795, 2.41218, 2.4577, 2.63549, 2.73695, 2.7871, 2.85396, 2.9294, 2.98001, 3.07382, 3.16762, 3.2413");
-            values ( \
-              "-0.0519317, -0.0560429, -0.129202, -0.151068, -0.178493, -0.207107, -0.223702, -0.229462, -0.236811, -0.238894, -0.241002, -0.235842, -0.230871, -0.221594, -0.201697, -0.10249, -0.0626875, -0.0484515, -0.033894, -0.0224776, -0.0169603, -0.00991147, -0.00574907, -0.00384618" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00601473, 0.0060367, 0.00606003, 0.00607913, 0.00609187, 0.00609925", \
-            "0.00699009, 0.00700531, 0.00702448, 0.0070429, 0.00705678, 0.00706547", \
-            "0.00758826, 0.00759308, 0.00760168, 0.00761279, 0.00762315, 0.00763051", \
-            "0.00795953, 0.00795577, 0.00795213, 0.00795178, 0.00795396, 0.00795694", \
-            "0.00815727, 0.00815126, 0.00814398, 0.008136, 0.00813022, 0.00812756", \
-            "0.00826535, 0.00825878, 0.00824871, 0.00823601, 0.00822325, 0.00821362" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0084434, 0.00845496, 0.00846806, 0.00847916, 0.00848882, 0.00849484", \
-            "0.00932224, 0.00930554, 0.00929112, 0.00928178, 0.00927507, 0.00927333", \
-            "0.00978077, 0.00973515, 0.00968721, 0.00964752, 0.00961934, 0.00960272", \
-            "0.0100534, 0.00996925, 0.00988477, 0.00980648, 0.00974579, 0.00970537", \
-            "0.0104805, 0.010323, 0.0101214, 0.00993803, 0.00982493, 0.00975603", \
-            "0.0114994, 0.0109666, 0.0105812, 0.0102557, 0.0100098, 0.00985378" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.156067, 0.189165, 0.255334, 0.389719, 0.664506, 1.22617", \
-            "0.162066, 0.195229, 0.261406, 0.395948, 0.670721, 1.23241", \
-            "0.176233, 0.209573, 0.276044, 0.410566, 0.685648, 1.24727", \
-            "0.205414, 0.238889, 0.305756, 0.440832, 0.715987, 1.27768", \
-            "0.252369, 0.286085, 0.353394, 0.489032, 0.76428, 1.32645", \
-            "0.327477, 0.361518, 0.429012, 0.564851, 0.840751, 1.40324" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0388278, 0.0609368, 0.10637, 0.199766, 0.391159, 0.782281", \
-            "0.038831, 0.060938, 0.106339, 0.199752, 0.391152, 0.782275", \
-            "0.0388328, 0.0609519, 0.106368, 0.19966, 0.391142, 0.782289", \
-            "0.0388821, 0.0609553, 0.106381, 0.19977, 0.391216, 0.782307", \
-            "0.0389155, 0.0610663, 0.106644, 0.1998, 0.390984, 0.78232", \
-            "0.0395261, 0.0613611, 0.106626, 0.200215, 0.391764, 0.782446" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.14388, 0.169832, 0.221094, 0.324109, 0.533798, 0.961982", \
-            "0.147969, 0.173954, 0.225236, 0.3283, 0.537992, 0.966197", \
-            "0.157372, 0.183439, 0.234878, 0.338079, 0.547876, 0.976061", \
-            "0.174185, 0.200664, 0.252414, 0.355922, 0.565717, 0.993967", \
-            "0.192666, 0.219167, 0.271137, 0.374939, 0.585034, 1.0138", \
-            "0.204735, 0.231736, 0.284294, 0.388314, 0.598426, 1.02646" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0280407, 0.0436328, 0.0754242, 0.140571, 0.27388, 0.545995", \
-            "0.0280437, 0.043584, 0.0754219, 0.14057, 0.273875, 0.545984", \
-            "0.0280719, 0.0436124, 0.075422, 0.140569, 0.273875, 0.545993", \
-            "0.0282008, 0.0436794, 0.0754293, 0.140569, 0.273853, 0.545986", \
-            "0.0283289, 0.0437493, 0.0753741, 0.140499, 0.273888, 0.545673", \
-            "0.0292501, 0.0443988, 0.0757818, 0.140523, 0.273369, 0.54616" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.123966, 0.1392, 0.145863, 0.149216, 0.155751, 0.161766, 0.167605, 0.173509, 0.177691, 0.189561, 0.198488, 0.207796, 0.21651, 0.227423, 0.239753, 0.250508, 0.25872");
-            values ( \
-              "0.000221362, 0.0656706, 0.0861909, 0.094175, 0.106192, 0.111765, 0.113123, 0.108861, 0.10061, 0.0624826, 0.0405074, 0.0251203, 0.0156165, 0.00841644, 0.00417082, 0.00222169, 0.00156054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.138018, 0.15254, 0.166143, 0.1722, 0.182211, 0.191497, 0.200614, 0.20972, 0.21128, 0.216403, 0.237643, 0.251463, 0.262666, 0.277231, 0.293995, 0.304606, 0.318753, 0.344966, 0.345131");
-            values ( \
-              "0.0191715, 0.0729433, 0.113519, 0.126606, 0.141094, 0.147226, 0.147022, 0.140169, 0.137912, 0.12864, 0.0767947, 0.0504638, 0.0348581, 0.0208757, 0.011241, 0.00754471, 0.00436041, 0.00146713, 0.00146136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.152366, 0.192165, 0.208895, 0.217863, 0.234961, 0.250976, 0.266839, 0.282693, 0.299688, 0.333391, 0.356486, 0.376032, 0.400539, 0.429339, 0.471569, 0.50348");
-            values ( \
-              "0.00102267, 0.105336, 0.138962, 0.152236, 0.168118, 0.173775, 0.171695, 0.162203, 0.140778, 0.0881922, 0.0591891, 0.0408331, 0.0248226, 0.0134179, 0.0052574, 0.00277019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.194523, 0.264932, 0.290173, 0.310369, 0.341998, 0.37184, 0.401592, 0.43256, 0.467034, 0.526902, 0.570498, 0.613776, 0.648049, 0.702242, 0.7707, 0.831232, 0.833076");
-            values ( \
-              "0.0111075, 0.119682, 0.150874, 0.168669, 0.185267, 0.18983, 0.18653, 0.174313, 0.148948, 0.0964485, 0.0647118, 0.0415866, 0.028673, 0.015462, 0.00693233, 0.00327273, 0.0032265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.296854, 0.335557, 0.378645, 0.426481, 0.457477, 0.49814, 0.559568, 0.617784, 0.675999, 0.736886, 0.773492, 0.804767, 0.907497, 0.979567, 1.06005, 1.11507, 1.16955, 1.20859, 1.29558, 1.34715, 1.44883, 1.5505, 1.6173");
-            values ( \
-              "0.0586452, 0.0596124, 0.0996263, 0.138441, 0.158526, 0.177919, 0.194544, 0.198517, 0.194297, 0.181015, 0.168091, 0.15479, 0.107182, 0.0774969, 0.051627, 0.0383105, 0.0282317, 0.0225492, 0.0134937, 0.00990535, 0.0052606, 0.00277995, 0.00191253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.412487, 0.803602, 0.88219, 0.983863, 1.04538, 1.12049, 1.23692, 1.359, 1.4546, 1.84511, 2.00798, 2.18752, 2.41532, 2.65477, 2.93636");
-            values ( \
-              "0.00540228, 0.163503, 0.182661, 0.197329, 0.201415, 0.202676, 0.197951, 0.184215, 0.166642, 0.0792094, 0.0524884, 0.0320733, 0.0165762, 0.00809537, 0.00357033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.144022, 0.159123, 0.165852, 0.169164, 0.175705, 0.181716, 0.187556, 0.193386, 0.197639, 0.209513, 0.218439, 0.227748, 0.236462, 0.247375, 0.259705, 0.27046, 0.278776");
-            values ( \
-              "0.000772293, 0.0655238, 0.0862524, 0.0941676, 0.106161, 0.111775, 0.113095, 0.108962, 0.100616, 0.0624817, 0.0405067, 0.0251202, 0.0156163, 0.00841634, 0.0041708, 0.00222167, 0.00155209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.158152, 0.172568, 0.186157, 0.19223, 0.202241, 0.211527, 0.220644, 0.229751, 0.231311, 0.236434, 0.257674, 0.271494, 0.282698, 0.297262, 0.314025, 0.324638, 0.338788, 0.363865");
-            values ( \
-              "0.0200743, 0.0729732, 0.113439, 0.126634, 0.141055, 0.147256, 0.146987, 0.1402, 0.137913, 0.128641, 0.0767965, 0.0504653, 0.0348579, 0.020875, 0.01124, 0.00754324, 0.00436096, 0.00159128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.170459, 0.230292, 0.23799, 0.253387, 0.264841, 0.271042, 0.286868, 0.303273, 0.319879, 0.353978, 0.376697, 0.395999, 0.42075, 0.449548, 0.491043, 0.520528");
-            values ( \
-              "0.00417836, 0.141185, 0.152141, 0.167107, 0.172339, 0.173542, 0.171584, 0.161441, 0.14076, 0.0874934, 0.0590701, 0.0409355, 0.0247676, 0.0133889, 0.00533231, 0.00300343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.211955, 0.290735, 0.308676, 0.330293, 0.361885, 0.391763, 0.421512, 0.451257, 0.486953, 0.546873, 0.590442, 0.63354, 0.668017, 0.722216, 0.790312, 0.850484, 0.868987");
-            values ( \
-              "0.00593101, 0.127884, 0.149277, 0.168832, 0.185154, 0.189967, 0.186419, 0.175089, 0.148956, 0.0964078, 0.0646974, 0.0416539, 0.0286589, 0.0154538, 0.0069573, 0.0033021, 0.00283369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.287806, 0.35551, 0.430251, 0.478586, 0.518004, 0.57934, 0.637644, 0.695861, 0.756745, 0.824626, 0.999382, 1.07995, 1.16985, 1.22816, 1.28955, 1.39689, 1.48998, 1.57352");
-            values ( \
-              "0.00793285, 0.0596695, 0.126483, 0.159231, 0.177957, 0.194509, 0.198547, 0.194273, 0.181017, 0.154791, 0.0775124, 0.0516142, 0.0315278, 0.0225851, 0.0157328, 0.00828189, 0.00464958, 0.00284328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.510858, 0.609615, 0.705438, 0.760457, 0.849599, 0.903059, 1.00473, 1.02525, 1.06629, 1.14137, 1.24304, 1.2578, 1.28733, 1.33209, 1.37988, 1.47548, 1.51621, 1.71208, 1.76431, 1.86599, 1.9442, 2.02886, 2.13053, 2.2084, 2.25104, 2.33453, 2.4362, 2.5233, 2.57408, 2.67565, 2.77732, 2.87899, 2.98066, 3.18401, 3.48903");
-            values ( \
-              "0.0628513, 0.0748656, 0.120032, 0.14221, 0.170498, 0.182667, 0.197324, 0.199015, 0.201413, 0.20268, 0.199008, 0.197955, 0.195522, 0.19077, 0.184212, 0.166645, 0.157717, 0.111733, 0.100052, 0.0792066, 0.0653344, 0.0524859, 0.039855, 0.0320708, 0.0284336, 0.0223381, 0.0165786, 0.0128004, 0.0110114, 0.00809302, 0.00595093, 0.00435236, 0.00319629, 0.00171221, 0.000660354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.19248, 0.203752, 0.214246, 0.220789, 0.226798, 0.232639, 0.23869, 0.242721, 0.254596, 0.263523, 0.272832, 0.281547, 0.292459, 0.304791, 0.316707");
-            values ( \
-              "0.0227531, 0.0639952, 0.094158, 0.106175, 0.111753, 0.1131, 0.108672, 0.100616, 0.0624772, 0.0405035, 0.0251216, 0.0156138, 0.00841395, 0.00417254, 0.00277745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.200114, 0.211106, 0.217064, 0.225609, 0.232773, 0.237475, 0.247491, 0.256783, 0.265902, 0.275011, 0.276566, 0.281688, 0.302926, 0.31675, 0.327996, 0.335835, 0.342504, 0.351397, 0.359269, 0.369934, 0.384154, 0.409517");
-            values ( \
-              "0.000475253, 0.0483077, 0.0699436, 0.0978625, 0.116635, 0.126457, 0.14106, 0.147147, 0.147031, 0.140117, 0.137905, 0.128645, 0.0768158, 0.0504747, 0.0348175, 0.0264872, 0.0208854, 0.0150775, 0.0112443, 0.00752985, 0.00434612, 0.00154967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.226103, 0.238263, 0.25857, 0.272638, 0.283377, 0.300472, 0.316485, 0.332348, 0.34875, 0.365185, 0.398845, 0.421983, 0.444852, 0.474485, 0.498729, 0.528659, 0.560584, 0.572928");
-            values ( \
-              "0.034836, 0.0552698, 0.107763, 0.136233, 0.15204, 0.168351, 0.173563, 0.171905, 0.161574, 0.140781, 0.0882762, 0.0592087, 0.038217, 0.0207916, 0.012328, 0.00638473, 0.00305603, 0.00251517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.274379, 0.29867, 0.338565, 0.361403, 0.375577, 0.403924, 0.425435, 0.436926, 0.459906, 0.466593, 0.479965, 0.4976, 0.529022, 0.592622, 0.635783, 0.672186, 0.718151, 0.7469, 0.771971, 0.805559, 0.850341, 0.93369, 1.03145");
-            values ( \
-              "0.0574969, 0.069582, 0.131171, 0.15687, 0.168792, 0.184042, 0.188972, 0.189653, 0.187723, 0.186248, 0.182265, 0.174121, 0.151747, 0.0959048, 0.0645735, 0.0446858, 0.0271075, 0.0196124, 0.0146547, 0.00990036, 0.0058097, 0.00193226, 0.000534055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.360166, 0.40113, 0.487129, 0.521528, 0.563637, 0.625006, 0.683288, 0.741502, 0.799771, 0.870268, 1.0452, 1.12542, 1.18026, 1.2354, 1.27492, 1.36111, 1.41216, 1.51383, 1.63553");
-            values ( \
-              "0.0519376, 0.0597794, 0.135075, 0.157648, 0.178018, 0.194475, 0.198601, 0.194228, 0.181841, 0.154794, 0.077447, 0.0516611, 0.0383786, 0.0281769, 0.0224394, 0.0134914, 0.00993755, 0.00527604, 0.00299407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.473744, 0.86919, 0.948104, 1.04978, 1.1113, 1.18641, 1.30284, 1.42492, 1.52052, 1.91103, 2.0739, 2.25344, 2.48124, 2.72069, 3.00093");
-            values ( \
-              "0.00342682, 0.163392, 0.182667, 0.197315, 0.201403, 0.202682, 0.197958, 0.184206, 0.16665, 0.0792036, 0.0524838, 0.0320702, 0.0165791, 0.00809356, 0.0035934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.286007, 0.30365, 0.308284, 0.31148, 0.318049, 0.324059, 0.329907, 0.335745, 0.339968, 0.351548, 0.362286, 0.371024, 0.378117, 0.38933, 0.397564, 0.404139, 0.417289, 0.419933");
-            values ( \
-              "0.00186183, 0.0724694, 0.0862363, 0.0939013, 0.105927, 0.111624, 0.112951, 0.10889, 0.100632, 0.0634537, 0.0376994, 0.0239078, 0.0162527, 0.00861342, 0.00539457, 0.00369676, 0.00169904, 0.00153887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.295695, 0.323074, 0.330424, 0.33495, 0.344003, 0.354241, 0.361433, 0.367177, 0.372669, 0.38209, 0.39496, 0.409864, 0.424152, 0.436508, 0.448565, 0.4668, 0.47972, 0.501568");
-            values ( \
-              "0.000150663, 0.0977626, 0.116965, 0.126342, 0.139926, 0.146965, 0.147349, 0.144865, 0.13963, 0.12225, 0.0892187, 0.0578536, 0.0364075, 0.0236484, 0.0152807, 0.00771267, 0.00470053, 0.00207811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.32255, 0.336107, 0.356245, 0.370714, 0.381187, 0.398286, 0.414302, 0.430168, 0.446024, 0.45424, 0.463003, 0.496644, 0.519801, 0.529619, 0.542709, 0.555819, 0.572273, 0.596547, 0.610549, 0.626552, 0.658556, 0.684928");
-            values ( \
-              "0.0252588, 0.0555563, 0.10715, 0.13638, 0.152291, 0.168031, 0.173806, 0.171614, 0.162248, 0.153055, 0.140798, 0.0883017, 0.0592061, 0.0493052, 0.0381977, 0.0293197, 0.0208127, 0.0123346, 0.00908846, 0.00637884, 0.00304127, 0.00189471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.365032, 0.3905, 0.428183, 0.454452, 0.473943, 0.50557, 0.535414, 0.565166, 0.594914, 0.613251, 0.630612, 0.690489, 0.73408, 0.77733, 0.811636, 0.83187, 0.86583, 0.903994, 0.934231, 0.994706, 1.03968");
-            values ( \
-              "0.0289022, 0.0590115, 0.119202, 0.151533, 0.168827, 0.185131, 0.18995, 0.186392, 0.175085, 0.163011, 0.148951, 0.0964427, 0.0647106, 0.0415956, 0.0286719, 0.0228602, 0.0154619, 0.00992463, 0.0069352, 0.0032784, 0.00214814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.451511, 0.49916, 0.585152, 0.622035, 0.662081, 0.723616, 0.781771, 0.839999, 0.898277, 0.968771, 1.14309, 1.22455, 1.31361, 1.36948, 1.43271, 1.54601, 1.69917");
-            values ( \
-              "0.0368766, 0.0591813, 0.134653, 0.158655, 0.177931, 0.194388, 0.198592, 0.194167, 0.181861, 0.15479, 0.0776732, 0.0514913, 0.0315935, 0.0229574, 0.0158213, 0.00803075, 0.00364297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.599141, 0.721279, 0.870485, 0.970152, 1.04631, 1.14798, 1.20953, 1.28462, 1.40106, 1.47535, 1.52315, 1.61874, 2.00925, 2.17213, 2.35167, 2.47779, 2.57947, 2.71735, 2.81892, 3.12394, 3.16387");
-            values ( \
-              "0.017317, 0.0592584, 0.129239, 0.164202, 0.182646, 0.197314, 0.201403, 0.202667, 0.197946, 0.190761, 0.184212, 0.166641, 0.079213, 0.0524933, 0.0320806, 0.0223482, 0.0165688, 0.0110002, 0.00810415, 0.00318487, 0.00298746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.484337, 0.500594, 0.5053, 0.509049, 0.515604, 0.521625, 0.527479, 0.533325, 0.537587, 0.550193, 0.560344, 0.566164, 0.575875, 0.584294, 0.599009, 0.610796, 0.61575");
-            values ( \
-              "0.00337028, 0.0713048, 0.0850237, 0.0942265, 0.105822, 0.11159, 0.112702, 0.108785, 0.100394, 0.0603663, 0.0369332, 0.0273248, 0.0161068, 0.0100243, 0.00433628, 0.0021704, 0.00178112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.499443, 0.505791, 0.516892, 0.528187, 0.532767, 0.542788, 0.55208, 0.555877, 0.561182, 0.570533, 0.579999, 0.592872, 0.60801, 0.621049, 0.632863, 0.642541, 0.65692, 0.676774, 0.697952, 0.705405");
-            values ( \
-              "0.0274977, 0.0464449, 0.0862803, 0.117193, 0.126357, 0.141011, 0.147225, 0.147333, 0.146742, 0.139349, 0.121723, 0.0889251, 0.0573095, 0.03763, 0.0249569, 0.0176146, 0.0102976, 0.00482224, 0.00215791, 0.00174809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.51671, 0.534277, 0.545821, 0.554901, 0.564352, 0.572839, 0.579179, 0.591859, 0.596351, 0.604624, 0.61242, 0.628341, 0.644799, 0.652224, 0.660711, 0.692605, 0.701628, 0.717155, 0.730833, 0.739844, 0.754112, 0.759336, 0.769783, 0.788348, 0.794726, 0.809524, 0.826435, 0.860259, 0.910749, 0.972241");
-            values ( \
-              "0.00647567, 0.0558663, 0.0865979, 0.108155, 0.127675, 0.142234, 0.150966, 0.164035, 0.167036, 0.171091, 0.172751, 0.171208, 0.161231, 0.153132, 0.141432, 0.0915788, 0.0790371, 0.0601652, 0.046611, 0.0390976, 0.0293231, 0.0263337, 0.0211661, 0.0141872, 0.0123656, 0.00895699, 0.00615499, 0.00280292, 0.000783932, 0.000148771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.575823, 0.611285, 0.635897, 0.651477, 0.672903, 0.704485, 0.734384, 0.764144, 0.795119, 0.812208, 0.829598, 0.889376, 0.933019, 0.951705, 0.976619, 1.01053, 1.03054, 1.06471, 1.10323, 1.13382, 1.19499, 1.23664");
-            values ( \
-              "0.0624119, 0.0953739, 0.131222, 0.149668, 0.168688, 0.185192, 0.18978, 0.186477, 0.174286, 0.163021, 0.148935, 0.0965201, 0.0647383, 0.0537595, 0.0414649, 0.0286993, 0.0229448, 0.0154779, 0.00989313, 0.00688669, 0.00322185, 0.00219478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.669597, 0.739169, 0.790115, 0.834855, 0.861739, 0.915507, 0.938158, 0.968359, 0.981209, 1.00691, 1.03934, 1.10026, 1.13445, 1.16816, 1.28647, 1.37115, 1.44229, 1.48999, 1.53214, 1.58835, 1.63735, 1.70299, 1.79052, 1.89219, 1.98045");
-            values ( \
-              "0.0580298, 0.0971387, 0.138713, 0.16608, 0.178019, 0.19313, 0.196302, 0.198205, 0.198306, 0.197303, 0.193986, 0.18089, 0.168962, 0.154749, 0.100366, 0.0675607, 0.0466974, 0.0359629, 0.0283726, 0.0204752, 0.0153508, 0.0103799, 0.0060493, 0.00320481, 0.00188077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.838931, 0.922222, 1.00893, 1.07267, 1.10429, 1.16753, 1.24687, 1.34854, 1.36905, 1.41007, 1.48518, 1.58685, 1.60162, 1.63116, 1.67592, 1.72371, 1.8193, 2.10815, 2.20982, 2.28804, 2.3727, 2.47438, 2.55224, 2.59487, 2.67836, 2.78004, 2.84175, 2.91793, 3.0195, 3.12117, 3.22284, 3.32452, 3.52786, 3.83288");
-            values ( \
-              "0.0526617, 0.0596325, 0.101934, 0.129991, 0.142281, 0.163315, 0.182666, 0.197316, 0.198991, 0.201401, 0.202654, 0.199, 0.197932, 0.195516, 0.190748, 0.184213, 0.166633, 0.10004, 0.0792188, 0.0653463, 0.0524973, 0.0398423, 0.0320831, 0.028422, 0.0223504, 0.0165667, 0.0138027, 0.0109993, 0.0081046, 0.00593888, 0.00436401, 0.00318439, 0.00170039, 0.000672042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.893387, 0.907716, 0.916522, 0.923269, 0.929419, 0.935373, 0.941317, 0.944567, 0.947834, 0.959811, 0.968108, 0.97543, 0.980444, 0.985062, 0.991219, 0.996298, 1.0034, 1.01288, 1.03029, 1.03473");
-            values ( \
-              "0.016545, 0.0675462, 0.0910739, 0.103288, 0.10948, 0.111121, 0.107572, 0.102004, 0.093381, 0.0559633, 0.0373646, 0.0256335, 0.0195607, 0.0151912, 0.0107535, 0.00805922, 0.0053815, 0.00311585, 0.00107476, 0.000912829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.905261, 0.908521, 0.913101, 0.928811, 0.936278, 0.940899, 0.95014, 0.952856, 0.96042, 0.968304, 0.972202, 0.9774, 0.982128, 0.988433, 1.00123, 1.00875, 1.02168, 1.02852, 1.03634, 1.0484, 1.05709, 1.06404, 1.07115, 1.08063, 1.09959, 1.11001");
-            values ( \
-              "0.0234209, 0.03022, 0.0439496, 0.0957316, 0.115003, 0.124371, 0.138401, 0.14106, 0.145685, 0.146163, 0.144717, 0.140749, 0.134349, 0.121508, 0.089155, 0.072354, 0.0487424, 0.0389421, 0.0297707, 0.0194042, 0.0141115, 0.0108825, 0.0083387, 0.00583048, 0.00274074, 0.00203993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.927433, 0.960595, 0.980087, 0.987822, 1.00329, 1.01481, 1.02102, 1.0369, 1.05334, 1.06998, 1.10414, 1.12686, 1.14616, 1.17097, 1.19983, 1.21584, 1.23905, 1.27736, 1.27952");
-            values ( \
-              "0.0174892, 0.1018, 0.140394, 0.151246, 0.166369, 0.171657, 0.172959, 0.171152, 0.161156, 0.140559, 0.0873583, 0.0590008, 0.0408958, 0.0247135, 0.0133432, 0.0094168, 0.0058402, 0.00243131, 0.00237814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.978591, 0.997786, 1.04408, 1.06259, 1.08111, 1.11276, 1.14271, 1.17251, 1.20231, 1.22506, 1.29434, 1.34029, 1.38198, 1.42175, 1.44403, 1.4753, 1.53349, 1.58404, 1.6476");
-            values ( \
-              "0.0571454, 0.0594574, 0.131123, 0.152324, 0.168392, 0.184778, 0.189551, 0.186174, 0.174826, 0.159829, 0.0994348, 0.0655607, 0.0429574, 0.027919, 0.0217367, 0.0151702, 0.00768172, 0.0041548, 0.00210779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("1.06831, 1.10821, 1.15098, 1.18272, 1.21548, 1.2587, 1.27008, 1.29284, 1.33153, 1.39, 1.44845, 1.50935, 1.54466, 1.57728, 1.69944, 1.73551, 1.78114, 1.81389, 1.85042, 1.8987, 1.94214, 2.00007, 2.04732, 2.09916, 2.16828, 2.26995, 2.37162, 2.4733, 2.57497");
-            values ( \
-              "0.0544003, 0.0603068, 0.100021, 0.12664, 0.149798, 0.172358, 0.177046, 0.184795, 0.193545, 0.197953, 0.193862, 0.180816, 0.168481, 0.154708, 0.0987014, 0.0838504, 0.0672727, 0.0569597, 0.046952, 0.0360487, 0.0282347, 0.0201657, 0.0152752, 0.0112242, 0.00736485, 0.00390753, 0.00205133, 0.00108534, 0.00056239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("1.24395, 1.33143, 1.41832, 1.46106, 1.51376, 1.60256, 1.6564, 1.75808, 1.77865, 1.81978, 1.89481, 1.99648, 2.01127, 2.04086, 2.0856, 2.13338, 2.22892, 2.26972, 2.46559, 2.51782, 2.6195, 2.69772, 2.78239, 2.88407, 2.96192, 3.00455, 3.08804, 3.18971, 3.25143, 3.32761, 3.4292, 3.53087, 3.63254, 3.73421, 3.93756, 4.24258");
-            values ( \
-              "0.0475419, 0.0594035, 0.101817, 0.121056, 0.142204, 0.170336, 0.182598, 0.197232, 0.198939, 0.201336, 0.202619, 0.198957, 0.197912, 0.195473, 0.190735, 0.184184, 0.166644, 0.157704, 0.111731, 0.100051, 0.079209, 0.0653363, 0.0524869, 0.0398505, 0.0320756, 0.0284304, 0.0223434, 0.0165745, 0.0138095, 0.0110055, 0.00809795, 0.00594488, 0.00435762, 0.0031904, 0.00170636, 0.000666142" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0062678, 0.0062803, 0.0062942, 0.00630616, 0.00631444, 0.00631932", \
-            "0.00752085, 0.00752861, 0.00753943, 0.00755079, 0.00755993, 0.00756592", \
-            "0.00829018, 0.00829197, 0.00829606, 0.00830221, 0.00830893, 0.00831417", \
-            "0.00877728, 0.00877463, 0.00877678, 0.0087779, 0.00878051, 0.00878136", \
-            "0.00908619, 0.0090854, 0.0090842, 0.00908316, 0.00908264, 0.00908314", \
-            "0.00930178, 0.00930089, 0.00929946, 0.00929752, 0.00929553, 0.00929396" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00885967, 0.00887059, 0.00888791, 0.00890787, 0.00892389, 0.00893437", \
-            "0.00925161, 0.00924927, 0.00925018, 0.00925669, 0.00926465, 0.00927232", \
-            "0.0092383, 0.00922989, 0.00921892, 0.00920973, 0.00920607, 0.00920663", \
-            "0.00960084, 0.00960781, 0.00960445, 0.00959751, 0.00958887, 0.00958679", \
-            "0.00755738, 0.00803864, 0.00869618, 0.00937197, 0.00989282, 0.010226", \
-            "0.00624744, 0.0062551, 0.0062798, 0.00655474, 0.00738189, 0.00852712" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.120832, 0.126604, 0.132877, 0.137538, 0.146182, 0.150949, 0.155416, 0.159755, 0.164139, 0.167169, 0.180091, 0.183702, 0.188826, 0.195002, 0.202061, 0.202594");
-            values ( \
-              "-0.000480375, -0.0450175, -0.0814435, -0.102101, -0.131855, -0.143048, -0.150104, -0.152437, -0.146555, -0.135432, -0.0446738, -0.0294464, -0.0158176, -0.00740245, -0.00304142, -0.00292748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.131285, 0.159103, 0.167223, 0.174566, 0.181508, 0.1883, 0.195086, 0.198653, 0.215688, 0.223081, 0.231394, 0.242433, 0.251423, 0.258494");
-            values ( \
-              "-0.0404231, -0.154138, -0.175947, -0.188927, -0.196817, -0.198065, -0.190211, -0.180403, -0.0799835, -0.0483633, -0.0263331, -0.011453, -0.00568856, -0.0037763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.145547, 0.15793, 0.166951, 0.177731, 0.187766, 0.194235, 0.208057, 0.220652, 0.232636, 0.244432, 0.256221, 0.263874, 0.269675, 0.292627, 0.305698, 0.311856, 0.320066, 0.327703, 0.33941, 0.355018, 0.365344");
-            values ( \
-              "-0.0143896, -0.0741149, -0.108759, -0.144124, -0.171419, -0.185805, -0.210383, -0.22463, -0.232187, -0.232439, -0.222628, -0.206876, -0.186416, -0.0921027, -0.0560037, -0.0437952, -0.0312245, -0.0227038, -0.0138232, -0.00695163, -0.00498296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.16589, 0.226726, 0.248592, 0.264479, 0.290014, 0.313344, 0.335649, 0.357688, 0.379716, 0.387489, 0.395653, 0.405087, 0.436638, 0.449008, 0.471705, 0.49421, 0.515392, 0.527455, 0.551582, 0.595007");
-            values ( \
-              "-0.00191302, -0.145603, -0.183871, -0.206035, -0.232419, -0.24738, -0.254365, -0.25385, -0.242655, -0.23463, -0.221986, -0.201631, -0.123701, -0.0984044, -0.0625306, -0.0388797, -0.0244412, -0.0186877, -0.0107544, -0.00378763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.219292, 0.308627, 0.347916, 0.376443, 0.407086, 0.456627, 0.501891, 0.545301, 0.588291, 0.631265, 0.647467, 0.663012, 0.681044, 0.742835, 0.767572, 0.809421, 0.851403, 0.894705, 0.942723, 0.993942, 1.06997, 1.146");
-            values ( \
-              "-0.00469512, -0.123114, -0.167021, -0.193741, -0.217216, -0.245006, -0.260137, -0.266847, -0.265613, -0.253765, -0.244344, -0.230938, -0.209808, -0.12874, -0.102057, -0.0668957, -0.0427319, -0.0264151, -0.0153427, -0.00840146, -0.00329067, -0.00127053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.32636, 0.540437, 0.641636, 0.698969, 0.774999, 0.841688, 0.887273, 0.963303, 0.995319, 1.05941, 1.12349, 1.14744, 1.19219, 1.24492, 1.36979, 1.418, 1.50779, 1.59791, 1.68038, 1.72679, 1.80282, 1.95488, 1.98134");
-            values ( \
-              "-0.0112469, -0.148102, -0.200346, -0.223233, -0.246219, -0.260163, -0.26677, -0.272756, -0.273483, -0.271542, -0.263908, -0.258716, -0.243738, -0.214043, -0.130673, -0.104244, -0.0662279, -0.0409211, -0.0258951, -0.0200202, -0.0128694, -0.00529423, -0.00474681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.137846, 0.152449, 0.156421, 0.164198, 0.168971, 0.173438, 0.177777, 0.182112, 0.185185, 0.198098, 0.201746, 0.206866, 0.212972, 0.219951, 0.221879");
-            values ( \
-              "-0.00138434, -0.0884417, -0.105418, -0.131756, -0.143074, -0.150064, -0.15244, -0.146655, -0.135458, -0.0447567, -0.0293768, -0.0157857, -0.00745225, -0.00309533, -0.00267315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.14464, 0.177715, 0.185126, 0.192458, 0.199408, 0.206195, 0.212981, 0.216536, 0.220622, 0.23126, 0.237084, 0.242513, 0.251122, 0.257612, 0.262829, 0.273263, 0.288487, 0.307276");
-            values ( \
-              "-0.0116139, -0.156564, -0.17573, -0.189074, -0.196658, -0.198211, -0.190074, -0.180444, -0.160941, -0.0925278, -0.0633066, -0.043312, -0.022904, -0.0140622, -0.00944913, -0.00416471, -0.00125082, -0.000363647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.166247, 0.191809, 0.203751, 0.212291, 0.226116, 0.238704, 0.250688, 0.262484, 0.274519, 0.281928, 0.287726, 0.310677, 0.323749, 0.329907, 0.338117, 0.345754, 0.357459, 0.373066, 0.385515");
-            values ( \
-              "-0.0382925, -0.132203, -0.166256, -0.185863, -0.210374, -0.224665, -0.232167, -0.232469, -0.222305, -0.20687, -0.186415, -0.092102, -0.0560049, -0.0437955, -0.031223, -0.0227034, -0.0138235, -0.00695299, -0.00457278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.184762, 0.242502, 0.270746, 0.282576, 0.308104, 0.331444, 0.353749, 0.375787, 0.397815, 0.40559, 0.413753, 0.423187, 0.454737, 0.467108, 0.489805, 0.512308, 0.533491, 0.545556, 0.569686, 0.614768, 0.667295");
-            values ( \
-              "-0.00287674, -0.14107, -0.190049, -0.205978, -0.232469, -0.247328, -0.254418, -0.2538, -0.242706, -0.234629, -0.221985, -0.201632, -0.123702, -0.0984033, -0.0625302, -0.0388811, -0.0244413, -0.0186869, -0.0107533, -0.00350064, -0.000899444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.236568, 0.326759, 0.366041, 0.393553, 0.425205, 0.474757, 0.519918, 0.563419, 0.606408, 0.649381, 0.665589, 0.681131, 0.699161, 0.760951, 0.785692, 0.827539, 0.869518, 0.912821, 0.960842, 1.01206, 1.08809, 1.16412");
-            values ( \
-              "-0.00326696, -0.123149, -0.167048, -0.192876, -0.21724, -0.245004, -0.260135, -0.266834, -0.265633, -0.253748, -0.24434, -0.230938, -0.209807, -0.12874, -0.102054, -0.0668953, -0.0427323, -0.026415, -0.0153421, -0.00840096, -0.00329027, -0.00127058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.340433, 0.572169, 0.652899, 0.716952, 0.792982, 0.859752, 0.905281, 0.981311, 1.01332, 1.07741, 1.1415, 1.16544, 1.21019, 1.26292, 1.38779, 1.43601, 1.52579, 1.61591, 1.69838, 1.74479, 1.82082, 1.89685, 1.97288, 2.01521");
-            values ( \
-              "-0.00818831, -0.156108, -0.197396, -0.223181, -0.246271, -0.260229, -0.266724, -0.27281, -0.273535, -0.271593, -0.263861, -0.258764, -0.243696, -0.214097, -0.130723, -0.104293, -0.0662745, -0.0409644, -0.0259372, -0.0199779, -0.012912, -0.00831232, -0.00533686, -0.00446115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.180921, 0.185536, 0.195743, 0.204497, 0.209272, 0.213743, 0.218086, 0.222423, 0.225492, 0.238401, 0.242082, 0.247201, 0.253251, 0.259119");
-            values ( \
-              "-0.0336792, -0.0482354, -0.101443, -0.131683, -0.142833, -0.15001, -0.152284, -0.146628, -0.135435, -0.0448199, -0.02931, -0.0157549, -0.00748357, -0.00380121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.188059, 0.195972, 0.201307, 0.207676, 0.21742, 0.225527, 0.23286, 0.239809, 0.246602, 0.253504, 0.256917, 0.260557, 0.276405, 0.283755, 0.292327, 0.297855, 0.305225, 0.310381");
-            values ( \
-              "-0.00561871, -0.0621606, -0.0895818, -0.120596, -0.155012, -0.17596, -0.189208, -0.19642, -0.198331, -0.189759, -0.180515, -0.163659, -0.0681751, -0.0407931, -0.0216015, -0.0142372, -0.00806236, -0.00600341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.206922, 0.232337, 0.244245, 0.252807, 0.266632, 0.27922, 0.291204, 0.302999, 0.315035, 0.322445, 0.328242, 0.351194, 0.364265, 0.370423, 0.378634, 0.386271, 0.397977, 0.413584, 0.424311");
-            values ( \
-              "-0.0400296, -0.132222, -0.16618, -0.185886, -0.210354, -0.224685, -0.232146, -0.232487, -0.2223, -0.206867, -0.186415, -0.0921021, -0.0560029, -0.0437937, -0.031223, -0.0227034, -0.0138236, -0.00695194, -0.00489579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.224784, 0.285417, 0.307517, 0.323245, 0.348778, 0.372109, 0.394413, 0.416452, 0.43848, 0.446254, 0.454417, 0.463851, 0.495402, 0.507772, 0.53047, 0.552973, 0.574156, 0.586221, 0.610351, 0.653779");
-            values ( \
-              "-0.00211029, -0.145519, -0.184174, -0.206004, -0.232468, -0.247343, -0.254409, -0.253811, -0.242697, -0.23463, -0.221984, -0.201632, -0.123702, -0.0984033, -0.0625302, -0.0388812, -0.0244414, -0.0186865, -0.0107535, -0.00374876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.278275, 0.367501, 0.406787, 0.438386, 0.46595, 0.515429, 0.560751, 0.60416, 0.64715, 0.690123, 0.706328, 0.721872, 0.739903, 0.801693, 0.826432, 0.86828, 0.910261, 0.953563, 1.00158, 1.0528, 1.12883, 1.20486");
-            values ( \
-              "-0.00473993, -0.123147, -0.167079, -0.196335, -0.217248, -0.244963, -0.260165, -0.266825, -0.265641, -0.253741, -0.244343, -0.230938, -0.209808, -0.12874, -0.102056, -0.0668959, -0.0427317, -0.0264147, -0.0153421, -0.00840151, -0.0032902, -0.00127083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.386126, 0.59739, 0.698617, 0.757933, 0.833963, 0.900668, 0.946242, 1.02227, 1.05429, 1.11838, 1.18246, 1.20641, 1.25116, 1.30389, 1.42876, 1.47697, 1.56676, 1.65688, 1.73935, 1.78575, 1.86178, 1.93781, 2.01384, 2.04218");
-            values ( \
-              "-0.0119566, -0.146935, -0.199488, -0.223208, -0.246242, -0.26019, -0.266745, -0.272781, -0.273509, -0.271569, -0.263881, -0.258743, -0.243712, -0.214082, -0.130711, -0.104283, -0.066265, -0.0409569, -0.025931, -0.0199844, -0.0129055, -0.00831892, -0.00533042, -0.00474428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.265717, 0.269473, 0.280593, 0.289356, 0.294158, 0.29865, 0.303008, 0.307362, 0.310262, 0.32304, 0.327448, 0.333154, 0.337098, 0.342355, 0.3493");
-            values ( \
-              "-0.0338458, -0.0439558, -0.10065, -0.130831, -0.142114, -0.149403, -0.151781, -0.146249, -0.135948, -0.0464354, -0.0279324, -0.0139609, -0.00861559, -0.00448688, -0.00216802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.274678, 0.281165, 0.289688, 0.302642, 0.310775, 0.318134, 0.325084, 0.331883, 0.338676, 0.342198, 0.346315, 0.361682, 0.369066, 0.377643, 0.383135, 0.390457, 0.39955");
-            values ( \
-              "-0.0201849, -0.0624228, -0.105741, -0.153924, -0.175499, -0.188789, -0.196484, -0.198002, -0.189974, -0.180453, -0.160882, -0.0682213, -0.040736, -0.0215681, -0.0142561, -0.00810284, -0.0044527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.284022, 0.322011, 0.3317, 0.338513, 0.352348, 0.364931, 0.376916, 0.388713, 0.400749, 0.408157, 0.413957, 0.43691, 0.449982, 0.45614, 0.464352, 0.471989, 0.483697, 0.499306, 0.508965");
-            values ( \
-              "-7.89225e-05, -0.144136, -0.170606, -0.18578, -0.210395, -0.224601, -0.232178, -0.232422, -0.222304, -0.206863, -0.186406, -0.0920966, -0.0560007, -0.043792, -0.0312194, -0.0226999, -0.0138203, -0.00695161, -0.00509724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.317261, 0.341756, 0.358757, 0.371484, 0.393392, 0.409248, 0.43478, 0.458111, 0.480415, 0.502454, 0.524482, 0.532256, 0.540419, 0.549853, 0.581404, 0.593775, 0.616472, 0.638977, 0.660159, 0.672224, 0.696353, 0.73948");
-            values ( \
-              "-0.0127121, -0.0787184, -0.119116, -0.14564, -0.183972, -0.206012, -0.232463, -0.247347, -0.254405, -0.253813, -0.242694, -0.23463, -0.221984, -0.201631, -0.123701, -0.098403, -0.0625297, -0.0388796, -0.0244405, -0.0186868, -0.0107534, -0.00378534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.364961, 0.453517, 0.492769, 0.521416, 0.551908, 0.601395, 0.646604, 0.690101, 0.733089, 0.77606, 0.792279, 0.807816, 0.82584, 0.887627, 0.912381, 0.95422, 0.996194, 1.0395, 1.08753, 1.13876, 1.21479, 1.29082");
-            values ( \
-              "-0.00525164, -0.123291, -0.167144, -0.193955, -0.217284, -0.245028, -0.260145, -0.266861, -0.265631, -0.253765, -0.244335, -0.230936, -0.209808, -0.128742, -0.102044, -0.0668937, -0.0427335, -0.0264151, -0.0153407, -0.00839926, -0.00328964, -0.00127031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.474146, 0.695575, 0.787127, 0.843976, 0.920006, 0.986709, 1.03228, 1.10831, 1.14033, 1.20442, 1.2685, 1.29245, 1.3372, 1.38992, 1.51479, 1.56301, 1.6528, 1.74291, 1.80218, 1.87179, 1.94782, 2.02385, 2.1238");
-            values ( \
-              "-0.0135985, -0.154063, -0.200627, -0.22318, -0.246284, -0.260227, -0.266716, -0.272816, -0.27354, -0.271599, -0.263853, -0.258772, -0.243684, -0.214113, -0.130742, -0.104313, -0.0662947, -0.0409846, -0.0294879, -0.0199579, -0.0129321, -0.00829252, -0.00507819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.434452, 0.439329, 0.448881, 0.458344, 0.463172, 0.467683, 0.472058, 0.476427, 0.479153, 0.49221, 0.496623, 0.502489, 0.506737, 0.512402, 0.521481, 0.529012");
-            values ( \
-              "-0.0314009, -0.047295, -0.0969525, -0.129921, -0.14149, -0.148748, -0.151359, -0.145805, -0.136408, -0.0458235, -0.0275332, -0.0134844, -0.00801723, -0.00398339, -0.00134392, -0.00113989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.44317, 0.450177, 0.459444, 0.472027, 0.480196, 0.48757, 0.494529, 0.501333, 0.508131, 0.511573, 0.530384, 0.537771, 0.547439, 0.555832, 0.565281");
-            values ( \
-              "-0.03608, -0.0585942, -0.106493, -0.153074, -0.174997, -0.1884, -0.19633, -0.19791, -0.189972, -0.180683, -0.0715209, -0.0428194, -0.0209516, -0.0115254, -0.0057187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.452038, 0.501391, 0.507895, 0.521711, 0.534293, 0.546261, 0.554111, 0.562984, 0.57001, 0.580351, 0.606387, 0.617674, 0.629054, 0.637733, 0.646899, 0.653948, 0.668045, 0.67977");
-            values ( \
-              "-0.0037187, -0.17122, -0.185854, -0.210489, -0.224959, -0.23249, -0.233537, -0.22989, -0.222281, -0.197716, -0.0915445, -0.0597212, -0.0378436, -0.0263565, -0.0179493, -0.0133032, -0.00718379, -0.00486709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.490181, 0.528529, 0.548468, 0.568452, 0.584597, 0.604195, 0.627542, 0.649861, 0.671904, 0.693936, 0.70169, 0.709863, 0.719309, 0.750866, 0.763223, 0.785924, 0.808459, 0.829621, 0.841663, 0.865749, 0.910117, 0.961785");
-            values ( \
-              "-0.0252937, -0.119835, -0.160032, -0.192434, -0.21315, -0.232295, -0.247311, -0.254302, -0.253811, -0.242625, -0.234634, -0.221982, -0.20162, -0.123687, -0.0984184, -0.0625375, -0.0388601, -0.0244399, -0.0186947, -0.0107702, -0.00358297, -0.000948306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.561121, 0.592091, 0.623438, 0.66274, 0.690921, 0.721917, 0.77142, 0.816729, 0.86014, 0.903132, 0.946106, 0.962309, 0.977854, 0.995886, 1.05768, 1.08241, 1.12426, 1.16625, 1.20955, 1.25757, 1.30879, 1.36838");
-            values ( \
-              "-0.0801362, -0.0818266, -0.123044, -0.166981, -0.193417, -0.217195, -0.244973, -0.26013, -0.266837, -0.265614, -0.253755, -0.244338, -0.230938, -0.209804, -0.128737, -0.102057, -0.0668956, -0.0427313, -0.0264146, -0.0153424, -0.0084015, -0.00439539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.689906, 0.779048, 0.895996, 0.949068, 1.0265, 1.10401, 1.15751, 1.20163, 1.27766, 1.30962, 1.34167, 1.37373, 1.43786, 1.46176, 1.50651, 1.55923, 1.6841, 1.73232, 1.8221, 1.91222, 1.99469, 2.0411, 2.11713, 2.19316, 2.26919, 2.42125, 2.57331");
-            values ( \
-              "-0.0657998, -0.0994201, -0.171588, -0.197449, -0.228023, -0.249954, -0.26047, -0.266847, -0.272786, -0.273515, -0.273216, -0.271567, -0.26392, -0.258733, -0.243747, -0.214068, -0.130694, -0.104268, -0.0662516, -0.0409505, -0.0259251, -0.01999, -0.0129002, -0.00832395, -0.00532549, -0.00218026, -0.000887196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.775819, 0.780879, 0.790329, 0.797417, 0.802556, 0.808135, 0.811842, 0.814713, 0.81728, 0.821741, 0.824901, 0.834998, 0.839691, 0.844682, 0.849886, 0.853577, 0.86096, 0.869983");
-            values ( \
-              "-0.0214545, -0.0338235, -0.0785501, -0.105886, -0.12245, -0.136477, -0.143086, -0.146387, -0.147566, -0.143057, -0.132357, -0.0609031, -0.0364521, -0.0202401, -0.0107824, -0.00686219, -0.00275501, -0.00106015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.786935, 0.792706, 0.81099, 0.825136, 0.832643, 0.839706, 0.846594, 0.853475, 0.856519, 0.861182, 0.878165, 0.883025, 0.891266, 0.899933, 0.90706, 0.910897");
-            values ( \
-              "-0.0333516, -0.0497841, -0.130057, -0.171582, -0.185228, -0.193834, -0.195609, -0.188338, -0.180576, -0.159564, -0.0610012, -0.0434554, -0.0236745, -0.0123482, -0.00715411, -0.00582651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.799252, 0.832891, 0.844801, 0.853621, 0.867576, 0.880244, 0.892281, 0.904116, 0.916181, 0.923316, 0.929413, 0.952436, 0.965549, 0.971715, 0.979936, 0.987743, 0.999793, 1.01586, 1.02632");
-            values ( \
-              "-0.00159363, -0.129338, -0.163345, -0.183707, -0.208841, -0.223455, -0.231314, -0.231797, -0.221857, -0.207302, -0.186074, -0.0917489, -0.0556918, -0.0435327, -0.0310166, -0.022392, -0.0134354, -0.00662188, -0.00471896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.83067, 0.857209, 0.874454, 0.892525, 0.917161, 0.939038, 0.950556, 0.973929, 0.996231, 1.01827, 1.04029, 1.048, 1.05619, 1.06565, 1.09723, 1.10961, 1.1261, 1.13236, 1.14417, 1.15503, 1.17635, 1.18855, 1.21294, 1.2311, 1.25433, 1.27537, 1.31704");
-            values ( \
-              "-0.00771071, -0.0767187, -0.118182, -0.155224, -0.195313, -0.22162, -0.232145, -0.247308, -0.254356, -0.253929, -0.242734, -0.234775, -0.222066, -0.201599, -0.123536, -0.0982387, -0.0708194, -0.0623263, -0.0487011, -0.0385997, -0.024182, -0.0184299, -0.0105337, -0.00720272, -0.00428806, -0.0026529, -0.000963533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("0.902099, 0.936531, 0.970312, 1.00942, 1.0372, 1.06841, 1.11781, 1.16295, 1.21584, 1.24178, 1.26408, 1.29014, 1.31087, 1.33456, 1.38604, 1.42287, 1.46866, 1.49217, 1.52352, 1.55689, 1.61056, 1.68212, 1.75815, 1.83418");
-            values ( \
-              "-0.0537225, -0.0791943, -0.12405, -0.167853, -0.193631, -0.217841, -0.245278, -0.260632, -0.26764, -0.266629, -0.263144, -0.254729, -0.242482, -0.219015, -0.150364, -0.107596, -0.0679545, -0.0530365, -0.0376678, -0.0259935, -0.0140981, -0.00591066, -0.00229335, -0.000907198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("1.00078, 1.09391, 1.16316, 1.21525, 1.2598, 1.33142, 1.41272, 1.45725, 1.54745, 1.57578, 1.63395, 1.70998, 1.73916, 1.77262, 1.80755, 1.87739, 2.02142, 2.10471, 2.17554, 2.20727, 2.27074, 2.31917, 2.37246, 2.4435, 2.51953, 2.59556, 2.67159, 2.89968");
-            values ( \
-              "-0.0232414, -0.0771855, -0.125094, -0.15674, -0.180521, -0.212772, -0.240231, -0.251445, -0.266764, -0.269675, -0.273124, -0.272237, -0.269983, -0.265724, -0.258759, -0.231332, -0.135867, -0.0916435, -0.0637911, -0.0539292, -0.0382048, -0.029206, -0.0216838, -0.014467, -0.00930318, -0.00599472, -0.00382649, -0.00101828" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0060154, 0.0060286, 0.00604315, 0.00605563, 0.00606428, 0.0060694", \
-            "0.00699198, 0.00700224, 0.00701637, 0.00703114, 0.00704293, 0.00705066", \
-            "0.00758468, 0.00758913, 0.00759707, 0.00760813, 0.00761935, 0.00762793", \
-            "0.00795388, 0.00795551, 0.0079584, 0.0079636, 0.00797084, 0.00797786", \
-            "0.00816401, 0.00816489, 0.00816638, 0.00816862, 0.00817195, 0.00817631", \
-            "0.00829366, 0.0082943, 0.00829529, 0.00829681, 0.00829892, 0.00830139" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00841946, 0.00845375, 0.00849751, 0.00854031, 0.00857294, 0.00859342", \
-            "0.00933268, 0.00935736, 0.0093959, 0.0094427, 0.00948521, 0.0095154", \
-            "0.0100708, 0.0100843, 0.0101091, 0.0101468, 0.01019, 0.0102269", \
-            "0.010914, 0.0109117, 0.0109134, 0.0109242, 0.0109444, 0.0109693", \
-            "0.0102028, 0.010448, 0.0107099, 0.0109354, 0.0110941, 0.0111954", \
-            "0.00734179, 0.0074033, 0.00774505, 0.00851167, 0.00928819, 0.0104674" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "~A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "!A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.107103, 0.139798, 0.204726, 0.335825, 0.602391, 1.1458", \
-            "0.111611, 0.144338, 0.209377, 0.340381, 0.607127, 1.15061", \
-            "0.12199, 0.154733, 0.219932, 0.351119, 0.617823, 1.16127", \
-            "0.14376, 0.177852, 0.243585, 0.375, 0.64178, 1.18543", \
-            "0.170424, 0.211619, 0.287582, 0.426824, 0.695116, 1.23887", \
-            "0.189392, 0.241234, 0.335535, 0.504232, 0.804024, 1.35766" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0386838, 0.0607825, 0.105905, 0.198544, 0.388065, 0.775315", \
-            "0.0386549, 0.0607632, 0.105943, 0.198447, 0.388079, 0.775297", \
-            "0.0386216, 0.0607134, 0.10591, 0.198542, 0.388051, 0.775376", \
-            "0.0398997, 0.0611561, 0.105981, 0.198456, 0.388027, 0.775232", \
-            "0.0430234, 0.0645674, 0.108489, 0.199125, 0.387893, 0.775187", \
-            "0.0504186, 0.0722659, 0.116084, 0.206053, 0.390536, 0.775487" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.128323, 0.165698, 0.239501, 0.388339, 0.690649, 1.30729", \
-            "0.133895, 0.171206, 0.2454, 0.394365, 0.696146, 1.31368", \
-            "0.146721, 0.184121, 0.258246, 0.407662, 0.709679, 1.3274", \
-            "0.174778, 0.212635, 0.287059, 0.436254, 0.739683, 1.35685", \
-            "0.2259, 0.269076, 0.348248, 0.500699, 0.804358, 1.42173", \
-            "0.310621, 0.364536, 0.460959, 0.631279, 0.945767, 1.56617" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0339502, 0.0526205, 0.0901119, 0.165715, 0.319566, 0.631449", \
-            "0.0339805, 0.0527189, 0.0901639, 0.165585, 0.319972, 0.631496", \
-            "0.0339945, 0.0526858, 0.0901216, 0.165601, 0.319936, 0.63149", \
-            "0.0344353, 0.0527689, 0.0900496, 0.165301, 0.319429, 0.631424", \
-            "0.0384253, 0.0564217, 0.0918333, 0.165675, 0.319183, 0.631434", \
-            "0.04575, 0.0643649, 0.100312, 0.171825, 0.32029, 0.631177" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0782279, 0.0821283, 0.092636, 0.10037, 0.10687, 0.112844, 0.118659, 0.124544, 0.126931, 0.130931, 0.140701, 0.149928, 0.15798, 0.163221, 0.16795, 0.174255, 0.179096, 0.191985, 0.206715, 0.209252");
-            values ( \
-              "0.0210311, 0.0322659, 0.0736071, 0.0950214, 0.106712, 0.112413, 0.113432, 0.109267, 0.105033, 0.094228, 0.0621796, 0.0396795, 0.0262268, 0.0197715, 0.0152441, 0.0106481, 0.00810939, 0.00384937, 0.00160951, 0.00146212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.0830184, 0.116919, 0.123056, 0.133014, 0.142264, 0.151355, 0.160436, 0.167172, 0.188422, 0.202188, 0.216628, 0.231721, 0.248071, 0.266734, 0.285878");
-            values ( \
-              "0.000409806, 0.114013, 0.127413, 0.141708, 0.147743, 0.147328, 0.140432, 0.128652, 0.0766502, 0.0504295, 0.0311185, 0.0181868, 0.00988233, 0.00486865, 0.00234976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.108003, 0.134787, 0.147944, 0.161114, 0.167795, 0.181156, 0.191726, 0.200563, 0.216345, 0.232725, 0.250856, 0.282018, 0.305553, 0.325318, 0.349772, 0.361269, 0.384759, 0.398921, 0.427245, 0.455107");
-            values ( \
-              "0.0150746, 0.0880798, 0.11996, 0.144661, 0.153943, 0.167226, 0.172727, 0.174368, 0.172365, 0.161893, 0.138293, 0.0894359, 0.0596106, 0.0409724, 0.0249401, 0.0195779, 0.0117917, 0.00864193, 0.00453521, 0.00268645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.137327, 0.220837, 0.237914, 0.257151, 0.28834, 0.317888, 0.347438, 0.376979, 0.412659, 0.475718, 0.516808, 0.551865, 0.599156, 0.652945, 0.714046, 0.783876, 0.793961");
-            values ( \
-              "1.726e-05, 0.134058, 0.154377, 0.171604, 0.187331, 0.191533, 0.187414, 0.175724, 0.149198, 0.0938561, 0.0642507, 0.0450192, 0.0269479, 0.0145749, 0.00710241, 0.00294579, 0.00280414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.232794, 0.279837, 0.321938, 0.352415, 0.368525, 0.400746, 0.438497, 0.498847, 0.556322, 0.61379, 0.646526, 0.674462, 0.730333, 0.852694, 0.891988, 0.942928, 0.984591, 1.01661, 1.06443, 1.10441, 1.14662, 1.20671, 1.23272, 1.28474, 1.3849, 1.48506, 1.58522, 1.78555");
-            values ( \
-              "0.0366471, 0.0606191, 0.102278, 0.129566, 0.142167, 0.163589, 0.181638, 0.197505, 0.200653, 0.195703, 0.189303, 0.181694, 0.160474, 0.103703, 0.0871805, 0.0681889, 0.0551774, 0.0465674, 0.0358131, 0.0285998, 0.0224388, 0.0157396, 0.0135009, 0.0098729, 0.00530528, 0.00282302, 0.00150686, 0.000427067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.340679, 0.491911, 0.576496, 0.637941, 0.669097, 0.731409, 0.807925, 0.908087, 0.927643, 0.966755, 1.04206, 1.15727, 1.24756, 1.27863, 1.34077, 1.41418, 1.60115, 1.70783, 1.80799, 1.91726, 1.98143, 2.0647, 2.11927, 2.19204, 2.2922, 2.39289, 2.53327, 2.73359, 2.93391, 3.13424, 3.43472");
-            values ( \
-              "0.00118302, 0.0606731, 0.104944, 0.133635, 0.146582, 0.168008, 0.187099, 0.200982, 0.202586, 0.204441, 0.205258, 0.199655, 0.189811, 0.185025, 0.174001, 0.158091, 0.113825, 0.0903236, 0.0712154, 0.0537469, 0.0454032, 0.0359694, 0.0308511, 0.0251863, 0.0186759, 0.013852, 0.00907013, 0.00489927, 0.00261733, 0.00137845, 0.000648306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0943453, 0.10606, 0.114888, 0.118787, 0.125274, 0.131242, 0.137052, 0.142853, 0.145352, 0.147931, 0.159125, 0.168393, 0.176123, 0.181541, 0.186461, 0.193021, 0.197604, 0.209914, 0.223982, 0.234317");
-            values ( \
-              "0.000140921, 0.0558454, 0.0855465, 0.0952242, 0.106915, 0.112469, 0.11352, 0.109357, 0.104985, 0.0985671, 0.0620703, 0.0395234, 0.0265783, 0.0198342, 0.0151309, 0.0104216, 0.00804503, 0.00395887, 0.00172003, 0.00107743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.113825, 0.123676, 0.126399, 0.129138, 0.131845, 0.135434, 0.138371, 0.141802, 0.144289, 0.146776, 0.149263, 0.15175, 0.154062, 0.156374, 0.158686, 0.160999, 0.163271, 0.165543, 0.167815, 0.170087, 0.172356, 0.174626, 0.176895, 0.179165, 0.179858, 0.181243, 0.182628, 0.185089, 0.185909, 0.1887, 0.197072, 0.203707, 0.207162, 0.210183, 0.213204, 0.217061, 0.222978, 0.225038, 0.227098, 0.231218, 0.235338, 0.240589, 0.244822, 0.247643, 0.250465, 0.25326, 0.256055, 0.258849, 0.261644, 0.266466");
-            values ( \
-              "0.0783838, 0.0786147, 0.0882253, 0.0970153, 0.104893, 0.114472, 0.121694, 0.129422, 0.133975, 0.137819, 0.140956, 0.143384, 0.144398, 0.145205, 0.145805, 0.146199, 0.146384, 0.14637, 0.146157, 0.145744, 0.144816, 0.143574, 0.14202, 0.140152, 0.139385, 0.137247, 0.134859, 0.130047, 0.128313, 0.12185, 0.100635, 0.0843134, 0.0761288, 0.0698647, 0.0639405, 0.0570142, 0.0469115, 0.0437938, 0.0408676, 0.0357226, 0.0310786, 0.0258858, 0.0219611, 0.0198876, 0.0179738, 0.0162766, 0.0147086, 0.0132698, 0.0119602, 0.00997699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.124908, 0.137696, 0.162143, 0.175949, 0.186605, 0.203545, 0.219457, 0.235249, 0.251603, 0.321384, 0.343961, 0.368884, 0.397678, 0.439484, 0.44941");
-            values ( \
-              "0.0198214, 0.0437, 0.109363, 0.137831, 0.153812, 0.169518, 0.174594, 0.1724, 0.161936, 0.0629332, 0.0411299, 0.0247969, 0.0134046, 0.00529907, 0.00452383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.158219, 0.179213, 0.227251, 0.262094, 0.276031, 0.303905, 0.325312, 0.336646, 0.366101, 0.396984, 0.428293, 0.491742, 0.53496, 0.571413, 0.617311, 0.671125, 0.74966, 0.791936");
-            values ( \
-              "0.0140818, 0.0349652, 0.116022, 0.15983, 0.171589, 0.186281, 0.190768, 0.191213, 0.187274, 0.174697, 0.152047, 0.0960629, 0.0646202, 0.0446762, 0.0271241, 0.0146654, 0.00579506, 0.00384539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.241886, 0.298153, 0.34037, 0.371413, 0.418193, 0.457006, 0.51736, 0.574838, 0.636155, 0.664874, 0.692979, 0.749189, 0.875194, 0.934887, 0.966514, 1.02668, 1.0796, 1.12905, 1.19499, 1.234, 1.278, 1.33667, 1.43683, 1.53699, 1.63715, 1.73732");
-            values ( \
-              "0.0209221, 0.0604042, 0.102205, 0.129825, 0.162846, 0.181692, 0.197413, 0.200727, 0.195043, 0.189346, 0.181689, 0.160332, 0.101945, 0.0776924, 0.0664873, 0.0487239, 0.0364415, 0.0275825, 0.0187964, 0.0149088, 0.0114781, 0.00806771, 0.0043035, 0.00230751, 0.00121038, 0.000656573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.442872, 0.541669, 0.633968, 0.687643, 0.774161, 0.826455, 0.926616, 0.985299, 1.06059, 1.1758, 1.26608, 1.29716, 1.35932, 1.43271, 1.72703, 1.82719, 1.93431, 1.999, 2.13827, 2.21213, 2.31229, 2.38859, 2.54935, 2.64951, 2.74967, 2.94999, 3.04494");
-            values ( \
-              "0.0610011, 0.0772631, 0.123616, 0.146582, 0.174989, 0.187085, 0.20102, 0.20448, 0.205229, 0.199622, 0.189777, 0.185063, 0.17396, 0.158128, 0.0902243, 0.0710571, 0.0540012, 0.0454754, 0.0308558, 0.0250253, 0.0186356, 0.0149231, 0.00918611, 0.00682413, 0.00498485, 0.0026861, 0.00209457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.137655, 0.143323, 0.150257, 0.156676, 0.160061, 0.166533, 0.172494, 0.178299, 0.184096, 0.186647, 0.189281, 0.200426, 0.209764, 0.216952, 0.222666, 0.228934, 0.23302, 0.240982, 0.254415, 0.271344");
-            values ( \
-              "0.00673847, 0.0395905, 0.0673563, 0.0872837, 0.0954902, 0.107101, 0.112565, 0.113612, 0.109382, 0.104904, 0.0982874, 0.0618924, 0.0392673, 0.0271699, 0.0199469, 0.0141202, 0.0112256, 0.00713224, 0.00328136, 0.00117997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.148959, 0.156079, 0.171201, 0.182911, 0.191916, 0.194664, 0.202055, 0.20951, 0.214347, 0.220419, 0.229803, 0.242697, 0.257334, 0.272177, 0.284159, 0.296009, 0.304677, 0.31473, 0.328133, 0.346004");
-            values ( \
-              "0.0257574, 0.0461104, 0.0995867, 0.127788, 0.141009, 0.143608, 0.147702, 0.147855, 0.145697, 0.13996, 0.12246, 0.0892601, 0.0583188, 0.0360221, 0.0236949, 0.0154321, 0.0111507, 0.00763968, 0.00457242, 0.00249112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.167691, 0.19475, 0.203103, 0.217294, 0.22765, 0.244575, 0.260479, 0.276266, 0.292618, 0.300614, 0.309752, 0.339515, 0.347436, 0.363278, 0.374919, 0.382818, 0.397735, 0.410951, 0.428573, 0.439695, 0.452192, 0.468853, 0.502176, 0.551253, 0.610812");
-            values ( \
-              "0.0130307, 0.0881946, 0.109597, 0.138699, 0.153917, 0.169713, 0.174568, 0.17252, 0.161947, 0.15291, 0.139847, 0.0928543, 0.0816684, 0.0619296, 0.0499508, 0.042903, 0.0318198, 0.0242405, 0.0166505, 0.0130987, 0.00998384, 0.00690582, 0.00318881, 0.00093385, 0.00019115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.198912, 0.27256, 0.29828, 0.317442, 0.34863, 0.378177, 0.407727, 0.438551, 0.472948, 0.536022, 0.5771, 0.61215, 0.659448, 0.713237, 0.774323, 0.841532");
-            values ( \
-              "0.00172501, 0.121949, 0.154574, 0.171515, 0.187437, 0.191434, 0.187516, 0.174889, 0.149198, 0.0938442, 0.0642493, 0.0450202, 0.0269477, 0.0145752, 0.00710257, 0.00310277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.310813, 0.368496, 0.409623, 0.428737, 0.466963, 0.498681, 0.55895, 0.6165, 0.673967, 0.706716, 0.734637, 0.79048, 0.912545, 0.951966, 1.00303, 1.04499, 1.07726, 1.12472, 1.16435, 1.20664, 1.2668, 1.29289, 1.34507, 1.44523, 1.5454, 1.64556, 1.84588");
-            values ( \
-              "0.0638558, 0.0890492, 0.127148, 0.142216, 0.16705, 0.181649, 0.197516, 0.200653, 0.195714, 0.189314, 0.181686, 0.160498, 0.103837, 0.087269, 0.0682046, 0.0551225, 0.0464389, 0.035798, 0.0286474, 0.02245, 0.0157564, 0.0134926, 0.00987193, 0.00529139, 0.00282884, 0.00149674, 0.000418049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.496105, 0.636065, 0.728137, 0.827999, 0.931406, 0.986931, 1.08709, 1.13084, 1.21685, 1.33803, 1.4382, 1.82246, 1.98663, 2.16587, 2.39242, 2.63227, 2.90773");
-            values ( \
-              "0.0622032, 0.104909, 0.146431, 0.178222, 0.197083, 0.202344, 0.205342, 0.204428, 0.199485, 0.185073, 0.166111, 0.0793944, 0.0524168, 0.0320453, 0.0166391, 0.00810206, 0.00365864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.230371, 0.240468, 0.240933, 0.241862, 0.242791, 0.243721, 0.24465, 0.245776, 0.246901, 0.248027, 0.249206, 0.252506, 0.256193, 0.258151, 0.259229, 0.260308, 0.261386, 0.262465, 0.263961, 0.265457, 0.266953, 0.268449, 0.269947, 0.271445, 0.272943, 0.274441, 0.275633, 0.276541, 0.277807, 0.27877, 0.280922, 0.288395, 0.290617, 0.292098, 0.293579, 0.296542, 0.298023, 0.299504, 0.303072, 0.305429, 0.307207, 0.308985, 0.311315, 0.314969, 0.317613, 0.321001, 0.323742, 0.326966, 0.328578, 0.33118");
-            values ( \
-              "0.0610733, 0.0629445, 0.0643588, 0.0686148, 0.073761, 0.0797973, 0.0867238, 0.0859894, 0.0857086, 0.0858812, 0.0865482, 0.0937303, 0.100806, 0.104345, 0.105987, 0.107409, 0.108612, 0.109597, 0.109644, 0.109602, 0.109472, 0.109253, 0.108945, 0.108548, 0.108062, 0.107488, 0.105749, 0.104136, 0.101481, 0.0991656, 0.0930842, 0.0687693, 0.0618433, 0.0577276, 0.0538392, 0.0467965, 0.0435764, 0.0405572, 0.0338883, 0.0298595, 0.0272899, 0.0248873, 0.0220463, 0.0179485, 0.0153712, 0.0128044, 0.0110183, 0.00920413, 0.00840278, 0.00724971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.233285, 0.243033, 0.26055, 0.273884, 0.283994, 0.293342, 0.302487, 0.313105, 0.353284, 0.365245, 0.378807, 0.395176, 0.412714, 0.421564");
-            values ( \
-              "0.0118483, 0.0306564, 0.090269, 0.12471, 0.140015, 0.146732, 0.146661, 0.137869, 0.0505914, 0.034065, 0.0211289, 0.0115464, 0.00596976, 0.00495686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.263381, 0.274688, 0.293954, 0.299477, 0.310524, 0.319371, 0.336302, 0.352249, 0.368046, 0.383836, 0.392353, 0.401438, 0.430951, 0.453265, 0.463369, 0.47813, 0.490518, 0.500936, 0.512609, 0.529155, 0.546136, 0.559108, 0.585053, 0.624441");
-            values ( \
-              "0.0420412, 0.0548417, 0.106748, 0.119468, 0.140651, 0.153692, 0.169319, 0.174582, 0.172289, 0.16252, 0.152931, 0.139996, 0.0933729, 0.0639967, 0.0532126, 0.0400436, 0.0312166, 0.025199, 0.0197107, 0.0137978, 0.00954502, 0.00717022, 0.0039794, 0.00171135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.306869, 0.32787, 0.349045, 0.370945, 0.398873, 0.409223, 0.425942, 0.440283, 0.469865, 0.499439, 0.528988, 0.548073, 0.564661, 0.627202, 0.6687, 0.704008, 0.728741, 0.751049, 0.780792, 0.804843, 0.831247, 0.866452, 0.936863, 0.974326");
-            values ( \
-              "0.0459277, 0.0607033, 0.0978362, 0.131809, 0.163388, 0.171594, 0.181774, 0.187127, 0.191428, 0.187345, 0.175687, 0.162821, 0.149193, 0.0942795, 0.0643241, 0.0449508, 0.0344875, 0.0269856, 0.0192554, 0.0145971, 0.0107385, 0.00706517, 0.00291034, 0.00207036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.379237, 0.432174, 0.474181, 0.505216, 0.551763, 0.590681, 0.651016, 0.708485, 0.769854, 0.799028, 0.826618, 0.881799, 0.996726, 1.03217, 1.09063, 1.15107, 1.1996, 1.22945, 1.26266, 1.30403, 1.36141, 1.38504, 1.4323, 1.52683, 1.62699, 1.72715, 1.92747");
-            values ( \
-              "0.0255536, 0.0608912, 0.102463, 0.130101, 0.16292, 0.181741, 0.197483, 0.20072, 0.195041, 0.189237, 0.18169, 0.160781, 0.107315, 0.0920703, 0.0696815, 0.0511747, 0.0393211, 0.0333216, 0.0276197, 0.0217661, 0.0155091, 0.0134936, 0.010158, 0.0056678, 0.00301809, 0.00161068, 0.000455901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.50768, 0.644386, 0.790088, 0.88258, 0.959637, 1.0598, 1.11834, 1.19371, 1.29387, 1.30891, 1.39913, 1.43027, 1.49253, 1.56581, 1.86013, 1.96029, 2.02592, 2.13211, 2.27137, 2.34524, 2.4454, 2.52169, 2.68245, 2.78261, 2.88277, 3.08309, 3.28341, 3.5839");
-            values ( \
-              "0.00709513, 0.0613333, 0.134058, 0.168041, 0.187112, 0.201114, 0.204555, 0.205205, 0.200789, 0.199588, 0.189747, 0.185112, 0.1739, 0.158173, 0.0902648, 0.0710169, 0.0601768, 0.0454401, 0.0308898, 0.0249912, 0.0186693, 0.0148893, 0.00921905, 0.00679136, 0.00501758, 0.00271861, 0.00147044, 0.000571639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.385585, 0.396185, 0.399944, 0.40474, 0.424227, 0.432138, 0.439059, 0.445569, 0.451998, 0.458422, 0.469589, 0.478832, 0.485504, 0.490927, 0.496647, 0.500687, 0.507465, 0.514597, 0.520162, 0.531291, 0.538909");
-            values ( \
-              "0.00577704, 0.0141633, 0.0202441, 0.0299954, 0.0753491, 0.0900905, 0.0988055, 0.102647, 0.10144, 0.09139, 0.0586031, 0.0379501, 0.0272854, 0.0205451, 0.0151384, 0.012132, 0.00831093, 0.00559016, 0.0040877, 0.00216776, 0.00157644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.410761, 0.421011, 0.448002, 0.455834, 0.467102, 0.477201, 0.486883, 0.496563, 0.501575, 0.525232, 0.538928, 0.550337, 0.563166, 0.578331, 0.59696, 0.612002, 0.620837");
-            values ( \
-              "0.0237922, 0.028495, 0.0929258, 0.109784, 0.128032, 0.137195, 0.139622, 0.134924, 0.128243, 0.0752021, 0.0499819, 0.0344946, 0.0221607, 0.0128342, 0.00644858, 0.00362041, 0.00283242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.442098, 0.459481, 0.490138, 0.512113, 0.522009, 0.529988, 0.546561, 0.550213, 0.560959, 0.56628, 0.572662, 0.579348, 0.592719, 0.624378, 0.646535, 0.666391, 0.680209, 0.692696, 0.710583, 0.725873, 0.743959, 0.768075, 0.81296, 0.813048");
-            values ( \
-              "0.0237396, 0.0392801, 0.104774, 0.143233, 0.154736, 0.161783, 0.169047, 0.169684, 0.168815, 0.167446, 0.164532, 0.159764, 0.14471, 0.0957488, 0.0662335, 0.045927, 0.034964, 0.027138, 0.0186536, 0.0134096, 0.00904126, 0.00526302, 0.0017584, 0.00175622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.482765, 0.524941, 0.566014, 0.588377, 0.611025, 0.642492, 0.672174, 0.701862, 0.732673, 0.749785, 0.767088, 0.82709, 0.870574, 0.907144, 0.931485, 0.952926, 0.981514, 1.00674, 1.04055, 1.08562, 1.16948, 1.26743");
-            values ( \
-              "0.00216154, 0.0509198, 0.118115, 0.147914, 0.169442, 0.186308, 0.190811, 0.18715, 0.174719, 0.163273, 0.149138, 0.0963455, 0.0646745, 0.0446283, 0.0343739, 0.027156, 0.019648, 0.0146898, 0.00990388, 0.00575906, 0.00192514, 0.000506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.601308, 0.647568, 0.699909, 0.72506, 0.768303, 0.79497, 0.848303, 0.868978, 0.890951, 0.912596, 0.955885, 0.970216, 0.998878, 1.03069, 1.09227, 1.21626, 1.30108, 1.37247, 1.42007, 1.46205, 1.51801, 1.56724, 1.63322, 1.72119, 1.82136, 1.90423");
-            values ( \
-              "0.0582684, 0.0719467, 0.122128, 0.142384, 0.169842, 0.181759, 0.19639, 0.199025, 0.200347, 0.200486, 0.197349, 0.19543, 0.190047, 0.181625, 0.157956, 0.100569, 0.0676217, 0.0466737, 0.0359546, 0.028395, 0.0205206, 0.0153629, 0.0103677, 0.0060227, 0.00322476, 0.00196777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.742258, 0.848766, 0.993682, 1.08745, 1.16359, 1.26375, 1.32223, 1.39763, 1.51282, 1.60301, 1.63418, 1.69651, 1.76972, 2.06403, 2.1642, 2.22983, 2.33601, 2.47528, 2.54914, 2.6493, 2.72559, 2.88636, 2.98652, 3.08668, 3.287, 3.48733, 3.78781");
-            values ( \
-              "0.0261502, 0.0616646, 0.133988, 0.168381, 0.187166, 0.201139, 0.204568, 0.205225, 0.199602, 0.189762, 0.18511, 0.173894, 0.158169, 0.0902632, 0.0710192, 0.0601747, 0.0454377, 0.0308929, 0.0249884, 0.0186726, 0.0148862, 0.00922245, 0.00678764, 0.00502113, 0.00272228, 0.00147421, 0.000567736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.719012, 0.735509, 0.73879, 0.745051, 0.757623, 0.767025, 0.778936, 0.785337, 0.789627, 0.79739, 0.804816, 0.812227, 0.827287, 0.836298, 0.840267, 0.84556, 0.8504, 0.857635, 0.866804, 0.872261, 0.883175, 0.900764");
-            values ( \
-              "0.00483127, 0.0110682, 0.0138222, 0.0205915, 0.0396672, 0.0528717, 0.0688782, 0.0766744, 0.0812992, 0.0874467, 0.0894679, 0.0835262, 0.0480263, 0.0320311, 0.0265069, 0.0203674, 0.0159175, 0.0108815, 0.00664853, 0.00495216, 0.00272026, 0.0010448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.738074, 0.764999, 0.770341, 0.777718, 0.812528, 0.826368, 0.838238, 0.849166, 0.859873, 0.870561, 0.888584, 0.903226, 0.912477, 0.919894, 0.932058, 0.940622, 0.948049, 0.955574, 0.965608, 0.985675, 1.0048");
-            values ( \
-              "0.00236513, 0.0175424, 0.0230532, 0.0328879, 0.0871153, 0.106645, 0.119259, 0.12563, 0.125047, 0.113357, 0.0755195, 0.0497561, 0.0373039, 0.0291816, 0.0191939, 0.0141203, 0.0107831, 0.00818717, 0.00563241, 0.00258433, 0.00139333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.776087, 0.8037, 0.814125, 0.819412, 0.829986, 0.88735, 0.908067, 0.926338, 0.943726, 0.961114, 0.967739, 0.980099, 1.00718, 1.02913, 1.03924, 1.05021, 1.06483, 1.08179, 1.09318, 1.10896, 1.11543, 1.12838, 1.15428, 1.19103");
-            values ( \
-              "0.0043711, 0.014314, 0.0219056, 0.0268928, 0.0389592, 0.120746, 0.14386, 0.15576, 0.159248, 0.153854, 0.148583, 0.134095, 0.0945731, 0.0662454, 0.0553939, 0.0451664, 0.03393, 0.024057, 0.0189623, 0.0135285, 0.0117747, 0.00888098, 0.00496653, 0.00227934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.85963, 0.910432, 0.929498, 0.991881, 1.01606, 1.04763, 1.06603, 1.08151, 1.11224, 1.14297, 1.17093, 1.25025, 1.28513, 1.31175, 1.33533, 1.36752, 1.3888, 1.41828, 1.45485, 1.50362, 1.58795, 1.68728");
-            values ( \
-              "0.00762778, 0.0364445, 0.0562033, 0.129287, 0.152438, 0.173137, 0.179623, 0.182596, 0.181775, 0.172189, 0.153962, 0.0870759, 0.062998, 0.0483253, 0.0375868, 0.0264315, 0.0208938, 0.0147944, 0.00964078, 0.00542589, 0.00173318, 0.000507133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("0.984988, 1.06054, 1.16117, 1.21545, 1.24981, 1.27389, 1.29552, 1.3388, 1.35361, 1.3824, 1.41164, 1.46999, 1.50638, 1.5402, 1.65794, 1.74295, 1.81433, 1.86194, 1.90393, 1.95991, 2.00913, 2.07509, 2.16303, 2.26319, 2.36335, 2.56367");
-            values ( \
-              "0.0118172, 0.0487654, 0.132854, 0.167559, 0.182378, 0.189684, 0.19423, 0.198616, 0.198808, 0.197662, 0.194503, 0.18202, 0.169295, 0.154937, 0.100625, 0.0676165, 0.0466696, 0.035956, 0.0283935, 0.0205169, 0.0153622, 0.0103679, 0.00602512, 0.00322493, 0.00170696, 0.00047683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("1.2354, 1.32821, 1.39711, 1.42144, 1.4701, 1.52245, 1.57476, 1.61618, 1.6804, 1.73584, 1.836, 1.85061, 1.87983, 1.93827, 1.9658, 2.02086, 2.08699, 2.18715, 2.22293, 2.41867, 2.47125, 2.57141, 2.65042, 2.7356, 2.83577, 2.88248, 2.91483, 2.95796, 3.04121, 3.14137, 3.22975, 3.28108, 3.38124, 3.4814, 3.58156, 3.68173, 3.78189, 3.88205, 3.98221, 4.18253");
-            values ( \
-              "0.0667289, 0.07522, 0.110667, 0.12231, 0.143412, 0.162451, 0.177546, 0.186811, 0.197015, 0.202271, 0.205316, 0.20514, 0.204407, 0.201509, 0.199478, 0.194118, 0.185074, 0.166099, 0.158092, 0.111791, 0.100002, 0.0794043, 0.065359, 0.0524238, 0.0399728, 0.0350954, 0.0320538, 0.0283792, 0.0223077, 0.0166316, 0.0127924, 0.0109853, 0.00810949, 0.00598987, 0.00440279, 0.00324732, 0.00237887, 0.00175562, 0.00128139, 0.000688515" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0069985, 0.00701526, 0.00703356, 0.00704892, 0.00705932, 0.00706541", \
-            "0.0090191, 0.00903618, 0.00905797, 0.00907908, 0.00909495, 0.00910486", \
-            "0.0103026, 0.0103113, 0.0103263, 0.010345, 0.0103618, 0.0103735", \
-            "0.0110718, 0.0110607, 0.0110511, 0.0110467, 0.011049, 0.0110533", \
-            "0.0115362, 0.0115039, 0.0114604, 0.011416, 0.011383, 0.0113646", \
-            "0.0118244, 0.0117858, 0.0117319, 0.0116645, 0.0115923, 0.011535" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0108675, 0.0108694, 0.0108778, 0.0108896, 0.0109002, 0.0109072", \
-            "0.0114501, 0.0114116, 0.011374, 0.0113473, 0.0113325, 0.0113252", \
-            "0.0108936, 0.0108003, 0.0106844, 0.0105708, 0.0104836, 0.0104344", \
-            "0.0107042, 0.0105193, 0.0102935, 0.0100734, 0.00990125, 0.00978845", \
-            "0.0116955, 0.0112164, 0.0106704, 0.0102218, 0.00986709, 0.00962921", \
-            "0.0118132, 0.0118563, 0.0118546, 0.0111151, 0.0103346, 0.00984784" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0998732, 0.102665, 0.104901, 0.1093, 0.114456, 0.121612, 0.122291, 0.126362, 0.128799, 0.133672, 0.13664, 0.140133, 0.1454, 0.150642, 0.156235, 0.158353, 0.164781, 0.166818, 0.169469, 0.17184, 0.175, 0.177574, 0.178795, 0.181238, 0.186122, 0.188651");
-            values ( \
-              "-0.0275229, -0.0332742, -0.0368444, -0.0526465, -0.0742893, -0.0914335, -0.0940888, -0.102609, -0.10701, -0.115148, -0.119094, -0.122771, -0.12617, -0.124048, -0.109258, -0.0984367, -0.0601652, -0.0497585, -0.038168, -0.0297576, -0.0210075, -0.0156717, -0.01364, -0.0102546, -0.00571915, -0.00456642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.114758, 0.122019, 0.123128, 0.125346, 0.129877, 0.137878, 0.14946, 0.150063, 0.15368, 0.157108, 0.160138, 0.164956, 0.169193, 0.177668, 0.185836, 0.194, 0.20213, 0.202673, 0.20376, 0.205933, 0.209301, 0.213438, 0.214332, 0.216118, 0.219307, 0.223461, 0.224679, 0.227115, 0.231987, 0.23602, 0.237852, 0.241516, 0.248843, 0.252198");
-            values ( \
-              "-0.0310593, -0.0469603, -0.0492372, -0.0555913, -0.0704643, -0.0969877, -0.121643, -0.123833, -0.130659, -0.136621, -0.141579, -0.148564, -0.153942, -0.162133, -0.166155, -0.163045, -0.145118, -0.143403, -0.138841, -0.128764, -0.111382, -0.0905069, -0.0861762, -0.0780126, -0.0645889, -0.0497727, -0.0459614, -0.0391337, -0.0279471, -0.0210192, -0.0184143, -0.0141334, -0.00813954, -0.00677814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.136066, 0.156318, 0.182712, 0.20382, 0.221124, 0.236592, 0.251067, 0.265037, 0.278997, 0.29293, 0.327266, 0.337773, 0.351884, 0.361599, 0.374553, 0.384265");
-            values ( \
-              "-0.000768375, -0.0533673, -0.112442, -0.147317, -0.169466, -0.184481, -0.193774, -0.198634, -0.194265, -0.172724, -0.0646431, -0.0437306, -0.0250129, -0.0168183, -0.00968819, -0.00702597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.187253, 0.313302, 0.345162, 0.373558, 0.400072, 0.425739, 0.451375, 0.477034, 0.535256, 0.557189, 0.586094, 0.616854, 0.643523, 0.646611");
-            values ( \
-              "-0.0154444, -0.162809, -0.188686, -0.205397, -0.216233, -0.220492, -0.215978, -0.190454, -0.0790372, -0.0515508, -0.0281744, -0.0144365, -0.0078695, -0.00751742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.292491, 0.358141, 0.458729, 0.534839, 0.596347, 0.650971, 0.702017, 0.751491, 0.800906, 0.850461, 0.943612, 1.03148, 1.09728, 1.17278, 1.18338");
-            values ( \
-              "-0.0168579, -0.0528544, -0.128143, -0.172225, -0.200475, -0.218086, -0.229561, -0.233524, -0.228681, -0.200639, -0.100214, -0.0416762, -0.0204238, -0.00863941, -0.00794652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.574117, 0.73433, 0.899967, 0.98811, 1.0824, 1.16289, 1.21714, 1.31143, 1.36087, 1.41606, 1.51035, 1.53718, 1.56784, 1.61962, 1.79765, 1.89895, 1.94846, 2.01448, 2.09195, 2.14389, 2.23818, 2.33246, 2.39579");
-            values ( \
-              "-0.0528845, -0.0930604, -0.15255, -0.178194, -0.201427, -0.217128, -0.225436, -0.236224, -0.239336, -0.24096, -0.235715, -0.231379, -0.2236, -0.201702, -0.102398, -0.0626799, -0.0486082, -0.0341653, -0.0224109, -0.0167799, -0.00977822, -0.00565218, -0.00404721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.119364, 0.124379, 0.132091, 0.141761, 0.148291, 0.154153, 0.159624, 0.164897, 0.170139, 0.175732, 0.188967, 0.194496, 0.198293, 0.204377");
-            values ( \
-              "-0.0272908, -0.0370636, -0.0671711, -0.093592, -0.107244, -0.116338, -0.122944, -0.126018, -0.124187, -0.109285, -0.0381738, -0.0210073, -0.0136379, -0.00706279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.130754, 0.14179, 0.15683, 0.16909, 0.175619, 0.18637, 0.19677, 0.204946, 0.213122, 0.221259, 0.238445, 0.246248, 0.255157, 0.260664, 0.268007, 0.279944");
-            values ( \
-              "-0.00110615, -0.0474939, -0.0960052, -0.123501, -0.135449, -0.151428, -0.161786, -0.166017, -0.162819, -0.145067, -0.0645674, -0.0391103, -0.0209978, -0.0141019, -0.00814337, -0.00327218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.159189, 0.176103, 0.199004, 0.209581, 0.223638, 0.240987, 0.256478, 0.270937, 0.284912, 0.29887, 0.312804, 0.333973, 0.346892, 0.357912, 0.365737, 0.372349, 0.379001, 0.38787, 0.405609, 0.431231, 0.462766");
-            values ( \
-              "-0.0115717, -0.0532419, -0.105188, -0.124621, -0.146595, -0.169353, -0.184107, -0.194156, -0.198292, -0.194601, -0.172414, -0.101154, -0.0652134, -0.0432671, -0.0318563, -0.0244026, -0.0186108, -0.0128849, -0.00589873, -0.00178806, -0.000388705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.199781, 0.328554, 0.342474, 0.364974, 0.393341, 0.402778, 0.419862, 0.44551, 0.471155, 0.496819, 0.534966, 0.555072, 0.576956, 0.589013, 0.605876, 0.616647, 0.636674, 0.663377, 0.710982, 0.768417");
-            values ( \
-              "-0.00349217, -0.158791, -0.171206, -0.188562, -0.205383, -0.209864, -0.215976, -0.220595, -0.215761, -0.19059, -0.112928, -0.0789393, -0.0516118, -0.0402187, -0.0282178, -0.0223256, -0.0143965, -0.00788737, -0.00236466, -0.000562792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.282999, 0.365693, 0.461163, 0.554746, 0.616313, 0.671047, 0.72215, 0.771665, 0.821121, 0.8707, 0.964129, 1.05166, 1.10498, 1.18492");
-            values ( \
-              "-0.00563997, -0.0428474, -0.115323, -0.171856, -0.199906, -0.217949, -0.229192, -0.23351, -0.228424, -0.200701, -0.100008, -0.0416649, -0.0233397, -0.0100447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.555414, 0.659309, 0.858222, 0.928593, 1.0084, 1.10268, 1.18317, 1.23744, 1.33172, 1.38122, 1.43637, 1.53066, 1.55751, 1.5882, 1.63994, 1.81796, 1.91927, 1.96878, 2.03481, 2.11226, 2.16419, 2.25848, 2.35276, 2.41822");
-            values ( \
-              "-0.0354505, -0.0545917, -0.131789, -0.155082, -0.178207, -0.201357, -0.217064, -0.225459, -0.236169, -0.239362, -0.240914, -0.235744, -0.23137, -0.22358, -0.201699, -0.102399, -0.0626788, -0.0486056, -0.0341629, -0.0224108, -0.0167822, -0.00977863, -0.0056534, -0.00399342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.160295, 0.169031, 0.175212, 0.181535, 0.191687, 0.197553, 0.203026, 0.208301, 0.213544, 0.219138, 0.227686, 0.232374, 0.237904, 0.244145, 0.249033, 0.25791, 0.268128, 0.298435");
-            values ( \
-              "-0.000589178, -0.0411322, -0.0658003, -0.0849477, -0.107082, -0.11637, -0.122826, -0.126052, -0.1241, -0.109244, -0.0601395, -0.0381491, -0.0210251, -0.0102335, -0.00573295, -0.00181496, -0.000606458, -0.000159331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.178095, 0.20058, 0.212794, 0.222887, 0.231981, 0.240447, 0.248626, 0.256795, 0.264928, 0.282109, 0.289915, 0.298822, 0.304322, 0.311656, 0.324392, 0.327367");
-            values ( \
-              "-0.0223987, -0.096467, -0.123697, -0.141217, -0.153915, -0.161922, -0.166145, -0.162899, -0.145137, -0.0645883, -0.0391132, -0.0209994, -0.014109, -0.00815242, -0.00294853, -0.00255204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.202674, 0.209678, 0.217776, 0.224589, 0.246611, 0.265727, 0.26779, 0.279003, 0.297255, 0.307239, 0.315049, 0.329014, 0.342979, 0.356916, 0.360044, 0.378085, 0.383649, 0.391068, 0.396162, 0.401962, 0.407784, 0.415944, 0.419118, 0.425465, 0.438159, 0.449821");
-            values ( \
-              "-0.0248691, -0.0332694, -0.0476306, -0.0621828, -0.112171, -0.143111, -0.147042, -0.162053, -0.181503, -0.189323, -0.193775, -0.198482, -0.194289, -0.172613, -0.163396, -0.101154, -0.0843466, -0.0650649, -0.0540099, -0.0433831, -0.0345909, -0.0249322, -0.0219318, -0.0168968, -0.00986485, -0.00660365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.251025, 0.338222, 0.3773, 0.40908, 0.437434, 0.463976, 0.489634, 0.515272, 0.54093, 0.602621, 0.645794, 0.67446, 0.697531, 0.701999");
-            values ( \
-              "-0.00554785, -0.122833, -0.163351, -0.188803, -0.205704, -0.215909, -0.220789, -0.215674, -0.190746, -0.0739388, -0.0308375, -0.0166147, -0.00983766, -0.00919419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.333072, 0.418564, 0.504935, 0.598949, 0.660504, 0.71523, 0.766328, 0.81584, 0.865292, 0.914869, 1.00838, 1.0958, 1.14916, 1.23361");
-            values ( \
-              "-0.00617085, -0.0491615, -0.115066, -0.171919, -0.199928, -0.217987, -0.229199, -0.233535, -0.228423, -0.200718, -0.0999288, -0.0416809, -0.0233437, -0.00929454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.606647, 0.703326, 0.902302, 1.05243, 1.14672, 1.22731, 1.28151, 1.38286, 1.42528, 1.48044, 1.57472, 1.60158, 1.63227, 1.68401, 1.86203, 1.96333, 2.01285, 2.07888, 2.15633, 2.20826, 2.30254, 2.39683, 2.49112, 2.67969");
-            values ( \
-              "-0.0421509, -0.0545595, -0.131861, -0.178242, -0.201306, -0.217037, -0.225497, -0.236679, -0.239388, -0.240888, -0.23577, -0.231371, -0.223578, -0.201701, -0.1024, -0.0626775, -0.0486043, -0.034164, -0.0224097, -0.0167832, -0.00977757, -0.00565439, -0.00326128, -0.00107851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.253581, 0.271175, 0.281182, 0.287875, 0.293837, 0.299375, 0.3047, 0.309982, 0.315607, 0.328892, 0.334409, 0.340707, 0.34564, 0.34721");
-            values ( \
-              "-0.000318868, -0.0628861, -0.0908631, -0.105099, -0.114727, -0.121576, -0.124986, -0.123355, -0.108735, -0.038036, -0.0210182, -0.0101899, -0.00567401, -0.00499114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.26907, 0.31007, 0.319549, 0.328635, 0.33712, 0.345308, 0.353486, 0.361625, 0.378812, 0.386614, 0.395523, 0.401032, 0.408377, 0.422205, 0.423737");
-            values ( \
-              "-0.0105139, -0.124309, -0.14103, -0.153547, -0.161818, -0.165896, -0.162865, -0.144975, -0.0645477, -0.0391211, -0.0210082, -0.014109, -0.00813429, -0.00264689, -0.00254291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.302733, 0.316021, 0.343497, 0.364504, 0.381791, 0.39727, 0.411712, 0.425675, 0.439621, 0.453547, 0.480197, 0.492778, 0.50679, 0.519631, 0.545421, 0.548343");
-            values ( \
-              "-0.0287417, -0.0514599, -0.112335, -0.147176, -0.169554, -0.184467, -0.194244, -0.198539, -0.194617, -0.172578, -0.0845876, -0.0540389, -0.0314483, -0.0187026, -0.00616518, -0.00567405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.360077, 0.385192, 0.399896, 0.415051, 0.421256, 0.431839, 0.441523, 0.453835, 0.459991, 0.474439, 0.488886, 0.503334, 0.512101, 0.523791, 0.534608, 0.540017, 0.556243, 0.57095, 0.582797, 0.593121, 0.612247, 0.616392, 0.619462, 0.621918, 0.626136, 0.630354, 0.632714, 0.639793, 0.654228, 0.671398, 0.683545, 0.691569, 0.699315, 0.711487, 0.720339, 0.729191, 0.738043, 0.753561");
-            values ( \
-              "-0.0343908, -0.0547804, -0.0750427, -0.0972123, -0.105583, -0.118712, -0.13004, -0.143499, -0.149832, -0.163114, -0.175346, -0.18653, -0.192711, -0.200101, -0.205691, -0.208057, -0.214618, -0.220016, -0.21933, -0.218404, -0.215883, -0.213609, -0.211446, -0.209389, -0.205188, -0.200142, -0.196733, -0.185428, -0.157364, -0.121804, -0.0985252, -0.0854775, -0.0743106, -0.0579443, -0.0483305, -0.0405554, -0.0337822, -0.0240789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.441056, 0.521076, 0.620913, 0.696931, 0.758373, 0.812966, 0.863993, 0.913452, 0.962853, 1.0124, 1.10592, 1.14988, 1.19331, 1.25933, 1.33528, 1.37809");
-            values ( \
-              "-0.00333928, -0.0538528, -0.128404, -0.172479, -0.200564, -0.218236, -0.229588, -0.233627, -0.228675, -0.200708, -0.0998931, -0.0654487, -0.04172, -0.0203992, -0.00858165, -0.00579841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.722775, 0.843857, 0.968453, 1.06596, 1.15106, 1.24535, 1.32578, 1.38007, 1.47435, 1.52377, 1.57898, 1.67327, 1.73073, 1.78254, 1.96057, 2.06187, 2.11137, 2.17738, 2.25487, 2.30682, 2.4011, 2.49539, 2.54434");
-            values ( \
-              "-0.0491373, -0.0713019, -0.120474, -0.153486, -0.178262, -0.201402, -0.217091, -0.225487, -0.236191, -0.239374, -0.240933, -0.23575, -0.223609, -0.201705, -0.102399, -0.0626787, -0.0486079, -0.0341676, -0.0224094, -0.0167796, -0.00977659, -0.00565268, -0.00441129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.448767, 0.461657, 0.470709, 0.48037, 0.488074, 0.494839, 0.501048, 0.506933, 0.512716, 0.518792, 0.532824, 0.53755, 0.544693, 0.550231, 0.556591");
-            values ( \
-              "-0.00912368, -0.0315345, -0.0569165, -0.0781814, -0.0919602, -0.101955, -0.109149, -0.113507, -0.113444, -0.101477, -0.0363062, -0.0224262, -0.0102472, -0.00547785, -0.00326264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.463637, 0.482902, 0.508837, 0.523707, 0.534899, 0.544001, 0.550003, 0.556958, 0.561201, 0.567298, 0.570233, 0.590523, 0.603692, 0.609482, 0.623118, 0.630337");
-            values ( \
-              "-0.000312196, -0.03727, -0.0999865, -0.126665, -0.142291, -0.151697, -0.155834, -0.157688, -0.155511, -0.147043, -0.138611, -0.051812, -0.0213405, -0.0141037, -0.00501901, -0.00342263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.485315, 0.513722, 0.525649, 0.533437, 0.544306, 0.55611, 0.575696, 0.58723, 0.593652, 0.606496, 0.615608, 0.62425, 0.638394, 0.652476, 0.666554, 0.689745, 0.701592, 0.710857, 0.716969, 0.725424, 0.735814, 0.749668, 0.750278");
-            values ( \
-              "-0.00903468, -0.0250522, -0.0449849, -0.0601358, -0.0840908, -0.1071, -0.140001, -0.156286, -0.164044, -0.177896, -0.185552, -0.190959, -0.196334, -0.192733, -0.171615, -0.0946083, -0.0629561, -0.0446077, -0.0354349, -0.0250528, -0.016348, -0.009261, -0.00909934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.581536, 0.607664, 0.64939, 0.706868, 0.748894, 0.775369, 0.801042, 0.826686, 0.852346, 0.910588, 0.961405, 0.992185, 1.01887, 1.02049");
-            values ( \
-              "-0.0603871, -0.0657433, -0.122525, -0.178566, -0.205659, -0.215873, -0.220739, -0.215664, -0.190709, -0.0789516, -0.0282142, -0.0144098, -0.00788483, -0.0076968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.69107, 0.739031, 0.819871, 0.871763, 0.912628, 0.973879, 1.02844, 1.07943, 1.12886, 1.17824, 1.22777, 1.32072, 1.3651, 1.40884, 1.46197, 1.4996, 1.54976, 1.60141");
-            values ( \
-              "-0.0394003, -0.0560311, -0.117411, -0.150663, -0.172932, -0.200747, -0.218469, -0.229674, -0.233773, -0.228702, -0.200797, -0.100433, -0.0655569, -0.0416336, -0.0233759, -0.0153652, -0.00867829, -0.0052778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.868406, 1.01702, 1.21562, 1.28331, 1.36574, 1.48683, 1.59474, 1.68902, 1.73845, 1.79366, 1.88794, 1.91476, 1.94542, 1.99722, 2.17524, 2.27654, 2.32605, 2.39206, 2.46954, 2.52149, 2.61578, 2.71006, 2.80435, 2.99292");
-            values ( \
-              "-0.00815403, -0.0547905, -0.13187, -0.154317, -0.178258, -0.206934, -0.225484, -0.236187, -0.239373, -0.24093, -0.23575, -0.231384, -0.223605, -0.201705, -0.102399, -0.0626786, -0.0486075, -0.034167, -0.0224095, -0.0167799, -0.00977677, -0.00565281, -0.00326145, -0.00107901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.854625, 0.865592, 0.874676, 0.889908, 0.902894, 0.911103, 0.918524, 0.925479, 0.932232, 0.939197, 0.955538, 0.959688, 0.963456, 0.967792, 0.971202, 0.978021, 0.988909, 1.00206, 1.04329");
-            values ( \
-              "-0.016453, -0.0187549, -0.0309383, -0.057645, -0.0754267, -0.0847213, -0.0917691, -0.0964854, -0.0979669, -0.0897374, -0.0308978, -0.0208851, -0.0142997, -0.0091439, -0.00636961, -0.00300492, -0.000881562, -0.00031215, -0.000123796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.879762, 0.888858, 0.895243, 0.896703, 0.899622, 0.905434, 0.908626, 0.91501, 0.920975, 0.932083, 0.937795, 0.949217, 0.95096, 0.954446, 0.961418, 0.962386, 0.964322, 0.968194, 0.972794, 0.982624, 0.992186, 1.00175, 1.00216, 1.00298, 1.00461, 1.00788, 1.01373, 1.015, 1.0161, 1.01757, 1.02052, 1.02498, 1.02616, 1.02852, 1.03237, 1.03782, 1.03968, 1.04023, 1.04132, 1.04351, 1.04787, 1.05661, 1.06291");
-            values ( \
-              "-0.0113591, -0.0178588, -0.0238915, -0.0259299, -0.0293486, -0.0373537, -0.0425766, -0.054539, -0.0660965, -0.0841997, -0.0928187, -0.108333, -0.110596, -0.114808, -0.122706, -0.123712, -0.125698, -0.129416, -0.13336, -0.139601, -0.140463, -0.1281, -0.127231, -0.125095, -0.120061, -0.108047, -0.0843754, -0.0794144, -0.0751854, -0.069724, -0.0595067, -0.0460217, -0.0428793, -0.0371013, -0.0290705, -0.0202626, -0.0178817, -0.0172362, -0.0160076, -0.0137906, -0.0101845, -0.0054305, -0.00389758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.926787, 0.957727, 0.998718, 1.01511, 1.0353, 1.0529, 1.06906, 1.08438, 1.09948, 1.11457, 1.14565, 1.15928, 1.17464, 1.18614, 1.20149, 1.21603, 1.22038");
-            values ( \
-              "-0.00461063, -0.0403969, -0.102906, -0.124053, -0.1474, -0.163565, -0.175411, -0.181798, -0.181216, -0.162882, -0.0729417, -0.045069, -0.024986, -0.015767, -0.00826754, -0.00445953, -0.00401026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.953912, 1.0241, 1.05707, 1.12235, 1.14843, 1.18253, 1.20577, 1.22629, 1.25304, 1.26592, 1.29211, 1.31837, 1.36927, 1.39234, 1.4132, 1.43546, 1.44829, 1.47395, 1.50385");
-            values ( \
-              "-0.00383118, -0.0195109, -0.0510663, -0.12563, -0.15038, -0.177957, -0.192552, -0.203103, -0.21264, -0.214856, -0.211884, -0.187938, -0.0904291, -0.0584516, -0.0383489, -0.0239277, -0.0181024, -0.0101828, -0.00568044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("1.10733, 1.19242, 1.20545, 1.22657, 1.27573, 1.30977, 1.37786, 1.38667, 1.4043, 1.43957, 1.44829, 1.46574, 1.50316, 1.55432, 1.60388, 1.6534, 1.70302, 1.71538, 1.76483, 1.77789, 1.79669, 1.81585, 1.84056, 1.84724, 1.86059, 1.88393, 1.91674, 1.92784, 1.93101, 1.93735, 1.95004, 1.97541, 2.02615, 2.0857");
-            values ( \
-              "-0.00497802, -0.0391328, -0.0481095, -0.0633643, -0.10181, -0.125763, -0.166531, -0.171208, -0.179982, -0.195764, -0.199222, -0.205778, -0.217565, -0.2288, -0.233311, -0.228195, -0.200635, -0.187928, -0.131701, -0.117656, -0.0997836, -0.0831916, -0.0654559, -0.0610175, -0.0534247, -0.0416891, -0.0293952, -0.0258864, -0.025159, -0.0233361, -0.0204114, -0.0152604, -0.00858447, -0.00469543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("1.44148, 1.59051, 1.76155, 1.84358, 1.93786, 2.01796, 2.07241, 2.1667, 2.21585, 2.27127, 2.36555, 2.39225, 2.42275, 2.4748, 2.65282, 2.75411, 2.80358, 2.86955, 2.94714, 2.99916, 3.09344, 3.18773, 3.25604");
-            values ( \
-              "-0.0631996, -0.0937041, -0.154664, -0.178462, -0.20156, -0.217166, -0.225589, -0.236261, -0.23943, -0.240981, -0.235789, -0.231439, -0.223711, -0.201725, -0.102398, -0.0626798, -0.0486172, -0.0341832, -0.022406, -0.0167705, -0.00977084, -0.00564952, -0.00391786" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00655113, 0.00657304, 0.0065971, 0.00661766, 0.00663163, 0.00663987", \
-            "0.00771045, 0.0077237, 0.00774144, 0.00775937, 0.00777324, 0.0077822", \
-            "0.00841647, 0.00842122, 0.00842955, 0.00844068, 0.00845148, 0.00845933", \
-            "0.00883958, 0.00884068, 0.00884289, 0.00884709, 0.00885299, 0.00885865", \
-            "0.00906047, 0.00906105, 0.00906187, 0.00906306, 0.0090651, 0.00906802", \
-            "0.0091743, 0.00917398, 0.00917523, 0.00917677, 0.0091783, 0.00917986" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00910497, 0.0091191, 0.00913459, 0.00914679, 0.00915595, 0.00916289", \
-            "0.010125, 0.0101359, 0.0101463, 0.0101538, 0.0101575, 0.0101587", \
-            "0.0106497, 0.0106707, 0.0106901, 0.0107034, 0.0107105, 0.0107133", \
-            "0.0111455, 0.0110874, 0.0110285, 0.0109801, 0.0109472, 0.0109283", \
-            "0.0119583, 0.0117308, 0.0114856, 0.011283, 0.0111501, 0.01107", \
-            "0.0132031, 0.0128278, 0.0123017, 0.0118084, 0.0114471, 0.011234" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.156022, 0.191096, 0.261036, 0.402803, 0.691908, 1.28343", \
-            "0.162138, 0.197262, 0.267283, 0.409111, 0.698178, 1.28976", \
-            "0.176778, 0.211979, 0.282074, 0.424124, 0.713696, 1.30496", \
-            "0.207444, 0.242735, 0.313185, 0.455476, 0.745114, 1.3367", \
-            "0.258097, 0.293304, 0.36378, 0.506177, 0.796446, 1.38783", \
-            "0.338349, 0.374128, 0.444617, 0.587092, 0.876765, 1.46888" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0392687, 0.0619419, 0.10843, 0.203685, 0.398573, 0.79662", \
-            "0.039257, 0.0619386, 0.108423, 0.20368, 0.398499, 0.796608", \
-            "0.0392517, 0.0619401, 0.108359, 0.203625, 0.398716, 0.796606", \
-            "0.0392801, 0.0619699, 0.108434, 0.203522, 0.398582, 0.79656", \
-            "0.039734, 0.0619872, 0.108474, 0.203569, 0.398663, 0.79664", \
-            "0.0404924, 0.0627715, 0.108709, 0.203593, 0.398631, 0.796497" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.146839, 0.172802, 0.223999, 0.327041, 0.536647, 0.964672", \
-            "0.150555, 0.176531, 0.227825, 0.330874, 0.540569, 0.968716", \
-            "0.158, 0.18406, 0.235467, 0.338675, 0.548481, 0.976702", \
-            "0.171674, 0.198093, 0.249811, 0.353242, 0.563268, 0.991533", \
-            "0.188485, 0.214998, 0.266959, 0.370437, 0.580675, 1.00956", \
-            "0.198174, 0.225309, 0.278154, 0.3824, 0.592549, 1.02046" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0280146, 0.0435868, 0.075335, 0.140467, 0.273721, 0.54612", \
-            "0.0280141, 0.0435998, 0.0753838, 0.140496, 0.273757, 0.546149", \
-            "0.028036, 0.0436184, 0.0753994, 0.140516, 0.273645, 0.546167", \
-            "0.0281702, 0.0436401, 0.0754001, 0.140531, 0.273771, 0.546174", \
-            "0.0282446, 0.043637, 0.0752899, 0.140457, 0.273852, 0.545954", \
-            "0.029156, 0.0443925, 0.0757776, 0.140478, 0.273524, 0.546142" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.128094, 0.132057, 0.141259, 0.149013, 0.155601, 0.161681, 0.1676, 0.17351, 0.177818, 0.189814, 0.198827, 0.20831, 0.21717, 0.221754, 0.228331, 0.232793, 0.241307, 0.25266, 0.261602");
-            values ( \
-              "0.0279851, 0.0402396, 0.0742862, 0.0940113, 0.104968, 0.110414, 0.111434, 0.107637, 0.0994448, 0.061819, 0.0400374, 0.0246794, 0.0152979, 0.0118511, 0.00819024, 0.00637104, 0.00394877, 0.00207856, 0.00142614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.132437, 0.168954, 0.17366, 0.183873, 0.193357, 0.202653, 0.211939, 0.218222, 0.239456, 0.253694, 0.267219, 0.284359, 0.300662, 0.319164, 0.34031, 0.340754");
-            values ( \
-              "0.000789053, 0.115159, 0.124148, 0.138211, 0.144154, 0.144418, 0.137964, 0.128008, 0.0775882, 0.0505764, 0.0324391, 0.0177266, 0.00970088, 0.00485904, 0.00210387, 0.00208185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.152906, 0.211573, 0.22231, 0.239931, 0.25638, 0.272612, 0.292216, 0.303821, 0.343443, 0.360085, 0.382404, 0.407661, 0.43666, 0.454582, 0.478479, 0.51606");
-            values ( \
-              "0.00305388, 0.132468, 0.147448, 0.163398, 0.169392, 0.168291, 0.156423, 0.142364, 0.0827941, 0.0621271, 0.0409386, 0.0245975, 0.0132894, 0.00901484, 0.00528293, 0.0023725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.196673, 0.273772, 0.298345, 0.320507, 0.353252, 0.383974, 0.414432, 0.448093, 0.460965, 0.478128, 0.538869, 0.567952, 0.586093, 0.6202, 0.645439, 0.668743, 0.699815, 0.722694, 0.748019, 0.781786, 0.84932, 0.942304, 1.04649");
-            values ( \
-              "0.00434858, 0.11555, 0.143725, 0.162408, 0.179395, 0.184937, 0.182797, 0.170711, 0.162805, 0.149844, 0.0976621, 0.0756044, 0.063661, 0.0451291, 0.0344756, 0.0267083, 0.0187908, 0.0144453, 0.010772, 0.0072266, 0.0031082, 0.000890706, 0.000210801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.28059, 0.349478, 0.395184, 0.440923, 0.473955, 0.511706, 0.537969, 0.58393, 0.605814, 0.643806, 0.70338, 0.763076, 0.769968, 0.797534, 0.833939, 0.946697, 1.03485, 1.08244, 1.11612, 1.15955, 1.19418, 1.23774, 1.29892, 1.36323, 1.41259, 1.51132, 1.61551, 1.82388");
-            values ( \
-              "0.0107393, 0.0557036, 0.0943045, 0.128911, 0.149105, 0.167506, 0.176982, 0.187972, 0.190835, 0.193274, 0.190311, 0.179313, 0.17727, 0.168187, 0.153573, 0.102673, 0.0683734, 0.0536864, 0.0448999, 0.0353818, 0.0291406, 0.0226997, 0.0158384, 0.0108186, 0.00803533, 0.00434678, 0.00225579, 0.000602613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.458667, 0.58767, 0.746405, 0.846742, 0.928888, 1.03307, 1.10035, 1.17494, 1.29395, 1.41783, 1.51487, 1.97104, 2.17336, 2.29078, 2.47833, 2.71686, 2.92322");
-            values ( \
-              "0.0165156, 0.0559786, 0.12348, 0.156269, 0.175548, 0.190716, 0.195708, 0.197758, 0.194411, 0.181999, 0.165205, 0.0675816, 0.0396268, 0.0285315, 0.0165327, 0.00808963, 0.004814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.145666, 0.155129, 0.161291, 0.165945, 0.169032, 0.175616, 0.181694, 0.187611, 0.193519, 0.195845, 0.197836, 0.209822, 0.212826, 0.218835, 0.22262, 0.228317, 0.233065, 0.237177, 0.24176, 0.248338, 0.252799, 0.261313, 0.272665, 0.292278, 0.315919");
-            values ( \
-              "0.00220511, 0.0528401, 0.0744133, 0.0871082, 0.0940801, 0.10504, 0.110437, 0.111475, 0.10764, 0.103868, 0.0994267, 0.0618295, 0.0536991, 0.0400461, 0.0331856, 0.0246743, 0.0191441, 0.0153044, 0.0118457, 0.00819646, 0.00636584, 0.00394358, 0.00208423, 0.000642124, 0.000194295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.158934, 0.167561, 0.178377, 0.18174, 0.188466, 0.193753, 0.203951, 0.213447, 0.222743, 0.232028, 0.238322, 0.259562, 0.26471, 0.273793, 0.282107, 0.287282, 0.295505, 0.30449, 0.315591, 0.320752, 0.328644, 0.339167, 0.360213, 0.390589, 0.427978");
-            values ( \
-              "0.0102492, 0.0518966, 0.0878995, 0.0974778, 0.113835, 0.124256, 0.138127, 0.144244, 0.144347, 0.138041, 0.127989, 0.0775483, 0.0668318, 0.050558, 0.0386505, 0.032462, 0.0243929, 0.0176935, 0.0117395, 0.00970624, 0.00723971, 0.0048696, 0.00212564, 0.000598274, 0.000122269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.180737, 0.188316, 0.216509, 0.233216, 0.242814, 0.260432, 0.27688, 0.293111, 0.312717, 0.380595, 0.402862, 0.428177, 0.457176, 0.498864, 0.511365");
-            values ( \
-              "0.0237344, 0.0359922, 0.103341, 0.134339, 0.147441, 0.163452, 0.169368, 0.168335, 0.156424, 0.0621142, 0.04097, 0.0245877, 0.013284, 0.00529656, 0.00432582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.221413, 0.29332, 0.320574, 0.340933, 0.373682, 0.404399, 0.434857, 0.468517, 0.498558, 0.559463, 0.60663, 0.640464, 0.689289, 0.743234, 0.801753, 0.868632, 0.879209");
-            values ( \
-              "0.0121949, 0.114426, 0.145515, 0.162419, 0.179403, 0.184944, 0.182799, 0.170713, 0.14984, 0.0975233, 0.0635901, 0.0452023, 0.0266712, 0.0144251, 0.00726572, 0.00315434, 0.00289813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.306092, 0.369752, 0.415432, 0.465772, 0.510872, 0.540684, 0.600309, 0.628502, 0.660723, 0.670989, 0.684677, 0.723516, 0.746438, 0.785415, 0.848017, 0.972995, 1.05798, 1.12936, 1.17714, 1.21929, 1.27549, 1.32467, 1.39054, 1.47836, 1.58255, 1.68674, 1.89511");
-            values ( \
-              "0.0161524, 0.0557347, 0.0944167, 0.131893, 0.158019, 0.170896, 0.187483, 0.191316, 0.193288, 0.193381, 0.193127, 0.190313, 0.187118, 0.178509, 0.156276, 0.0999796, 0.0673652, 0.0466153, 0.0358692, 0.0283134, 0.0204818, 0.0153126, 0.0103414, 0.00604825, 0.00312646, 0.00163849, 0.00044645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.487512, 0.608963, 0.700859, 0.770516, 0.801261, 0.86275, 0.950336, 1.05452, 1.07694, 1.12176, 1.19637, 1.30056, 1.31538, 1.34503, 1.39074, 1.43926, 1.5363, 1.57689, 1.82534, 1.92906, 1.99247, 2.0906, 2.19479, 2.24968, 2.31221, 2.39559, 2.49978, 2.56071, 2.63679, 2.73824, 2.84243, 2.94661, 3.0508, 3.25917, 3.57172");
-            values ( \
-              "0.0211263, 0.0558915, 0.0965815, 0.124511, 0.13549, 0.15478, 0.175529, 0.190755, 0.192756, 0.195742, 0.197733, 0.195266, 0.194386, 0.192339, 0.18807, 0.182026, 0.16518, 0.156613, 0.099929, 0.0788557, 0.0675614, 0.0525064, 0.0396098, 0.0340161, 0.0285165, 0.0224323, 0.0165177, 0.0137962, 0.011, 0.00810284, 0.00590001, 0.00429545, 0.00311719, 0.00164067, 0.000628585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.191331, 0.200466, 0.204619, 0.211114, 0.214519, 0.221091, 0.227177, 0.233093, 0.239, 0.241332, 0.24332, 0.255303, 0.258307, 0.264316, 0.268101, 0.273798, 0.278546, 0.282658, 0.287241, 0.293819, 0.29828, 0.306794, 0.318147, 0.337709, 0.361287");
-            values ( \
-              "0.00275318, 0.0523243, 0.0676818, 0.0863827, 0.0941264, 0.105054, 0.110459, 0.111481, 0.107654, 0.103856, 0.0994279, 0.0618252, 0.0537044, 0.0400413, 0.0331901, 0.0246786, 0.0191399, 0.0153003, 0.0118496, 0.00819237, 0.00636945, 0.0039471, 0.00208048, 0.000648083, 0.000191969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.205414, 0.213132, 0.219524, 0.22731, 0.233275, 0.239324, 0.249538, 0.259019, 0.268315, 0.277601, 0.28389, 0.305128, 0.319361, 0.332865, 0.341079, 0.350045, 0.365006, 0.374231, 0.384775, 0.405861, 0.414695");
-            values ( \
-              "0.0176833, 0.0518334, 0.0740606, 0.0974132, 0.112183, 0.124206, 0.138179, 0.1442, 0.144384, 0.138002, 0.127995, 0.0775597, 0.0505605, 0.032447, 0.0243886, 0.0177013, 0.0101904, 0.00723898, 0.00485972, 0.00212234, 0.00167743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.237957, 0.254055, 0.2609, 0.264322, 0.271171, 0.274598, 0.278025, 0.281451, 0.286725, 0.288482, 0.291998, 0.295513, 0.299029, 0.302544, 0.306047, 0.30955, 0.313053, 0.317761, 0.322469, 0.326527, 0.330585, 0.334643, 0.338701, 0.342759, 0.346816, 0.350874, 0.354931, 0.356376, 0.358303, 0.362156, 0.366009, 0.371849, 0.373835, 0.401102, 0.407366, 0.413622, 0.41779, 0.426125, 0.438305, 0.439462, 0.444088, 0.448715, 0.458737, 0.465941, 0.469804, 0.473668, 0.481394, 0.48912, 0.499283, 0.508742");
-            values ( \
-              "0.0834896, 0.0866431, 0.101919, 0.109019, 0.122148, 0.128177, 0.133846, 0.139154, 0.145978, 0.148059, 0.151767, 0.155198, 0.15835, 0.161225, 0.163812, 0.165999, 0.167786, 0.168196, 0.168299, 0.168143, 0.167759, 0.167147, 0.166308, 0.165019, 0.163413, 0.161491, 0.159252, 0.158212, 0.156318, 0.152016, 0.147295, 0.139366, 0.136479, 0.0941598, 0.0853539, 0.0770965, 0.0718928, 0.0621992, 0.0494693, 0.0483265, 0.0443532, 0.0406172, 0.033428, 0.028615, 0.0263376, 0.0242413, 0.0207539, 0.0176676, 0.0142842, 0.0114086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.260057, 0.345989, 0.361003, 0.386557, 0.404272, 0.419199, 0.449975, 0.480437, 0.510897, 0.526893, 0.543955, 0.608998, 0.652469, 0.68487, 0.711109, 0.735522, 0.768074, 0.789434, 0.813318, 0.845164, 0.908856, 0.960911");
-            values ( \
-              "0.000656653, 0.123399, 0.140202, 0.162397, 0.173054, 0.17906, 0.184906, 0.182614, 0.172449, 0.16283, 0.149988, 0.0943976, 0.0634817, 0.0457757, 0.0346248, 0.0264965, 0.0183088, 0.0143308, 0.0108663, 0.00745874, 0.00338853, 0.00202943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.341771, 0.415518, 0.49633, 0.542165, 0.586547, 0.650237, 0.710172, 0.769765, 0.829338, 0.836185, 0.863571, 0.900157, 1.01296, 1.10107, 1.14852, 1.18224, 1.22571, 1.26042, 1.30396, 1.36512, 1.42938, 1.4787, 1.57733, 1.68152, 1.88989");
-            values ( \
-              "0.00640199, 0.0556916, 0.121422, 0.150445, 0.170887, 0.188274, 0.193489, 0.190605, 0.179299, 0.177377, 0.16833, 0.153612, 0.102655, 0.0683649, 0.053721, 0.0449168, 0.0353896, 0.0291338, 0.022694, 0.0158383, 0.0108223, 0.00803806, 0.00435311, 0.00225704, 0.000601973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.530563, 0.655053, 0.74692, 0.815451, 0.84731, 0.911028, 0.996367, 1.10055, 1.12297, 1.1678, 1.24241, 1.34659, 1.36142, 1.39106, 1.43677, 1.48529, 1.58233, 1.62292, 1.87137, 1.97509, 2.0385, 2.13663, 2.24082, 2.29571, 2.35825, 2.44162, 2.54581, 2.60674, 2.68282, 2.78426, 2.88844, 2.99263, 3.09681, 3.30518, 3.61774");
-            values ( \
-              "0.0192361, 0.0558973, 0.0965854, 0.1241, 0.135492, 0.155414, 0.175525, 0.190757, 0.192754, 0.195745, 0.197732, 0.195269, 0.194385, 0.192342, 0.188069, 0.182029, 0.165178, 0.156615, 0.0999275, 0.0788571, 0.0675599, 0.0525079, 0.039609, 0.0340152, 0.0285154, 0.0224331, 0.0165164, 0.0137951, 0.010999, 0.00810438, 0.00589906, 0.00429686, 0.00311609, 0.0016395, 0.000629876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.292399, 0.296653, 0.305607, 0.313413, 0.319999, 0.326079, 0.331999, 0.337911, 0.342225, 0.354219, 0.363234, 0.372721, 0.381582, 0.386166, 0.392746, 0.400049, 0.405729, 0.417087, 0.423564");
-            values ( \
-              "0.0278773, 0.0411375, 0.0740124, 0.0941493, 0.104825, 0.110498, 0.111284, 0.107713, 0.0994102, 0.0618007, 0.0400265, 0.0246758, 0.0152911, 0.0118513, 0.00818465, 0.00544229, 0.00394989, 0.00207425, 0.00160323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.300876, 0.315803, 0.323181, 0.332113, 0.338194, 0.34842, 0.357907, 0.367208, 0.376498, 0.37952, 0.382745, 0.403964, 0.409017, 0.418224, 0.426748, 0.433582, 0.44253, 0.447447, 0.45728, 0.465071, 0.477098, 0.493134, 0.521184, 0.554497");
-            values ( \
-              "0.0013381, 0.0648579, 0.088883, 0.111969, 0.124005, 0.138095, 0.144085, 0.144357, 0.137935, 0.133752, 0.128053, 0.0776943, 0.0671562, 0.0506153, 0.0384323, 0.0304736, 0.0222855, 0.0186936, 0.0130294, 0.0097764, 0.00624087, 0.0033717, 0.00105843, 0.000266158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.318814, 0.376516, 0.387403, 0.405025, 0.421474, 0.437707, 0.457311, 0.468912, 0.508531, 0.525175, 0.547518, 0.572749, 0.601749, 0.619696, 0.643626, 0.681031");
-            values ( \
-              "0.00484859, 0.132262, 0.147401, 0.163427, 0.169346, 0.168323, 0.156421, 0.142369, 0.0828038, 0.0621332, 0.0409238, 0.0246022, 0.0132922, 0.00901194, 0.00527625, 0.0023819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.38057, 0.407136, 0.447551, 0.473246, 0.486034, 0.518661, 0.53276, 0.549314, 0.579754, 0.610228, 0.626431, 0.643714, 0.709992, 0.741246, 0.761782, 0.790161, 0.830631, 0.85289, 0.884192, 0.916984, 0.942137, 0.992444, 1.08361, 1.1878");
-            values ( \
-              "0.0521776, 0.0692445, 0.126268, 0.152618, 0.162832, 0.179414, 0.182857, 0.184933, 0.182592, 0.17248, 0.162698, 0.149649, 0.0930279, 0.0701903, 0.0575125, 0.0430068, 0.027773, 0.0216464, 0.0151114, 0.0103399, 0.00769293, 0.00417586, 0.00124157, 0.000289991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.471122, 0.516401, 0.561553, 0.607717, 0.66399, 0.686294, 0.720716, 0.749772, 0.809795, 0.869404, 0.92901, 0.935819, 0.963053, 0.999827, 1.11265, 1.20076, 1.24817, 1.2819, 1.32539, 1.36012, 1.40366, 1.46481, 1.52905, 1.57836, 1.67696, 1.78114, 1.98952");
-            values ( \
-              "0.0442856, 0.0568195, 0.0950275, 0.129665, 0.161663, 0.170948, 0.181875, 0.187972, 0.193423, 0.190454, 0.179323, 0.177342, 0.168366, 0.153598, 0.102645, 0.0683613, 0.0537296, 0.0449222, 0.0353905, 0.0291304, 0.0226929, 0.0158372, 0.0108226, 0.00803973, 0.00435438, 0.00225824, 0.000602548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.665543, 0.755193, 0.846802, 0.94706, 1.01157, 1.09605, 1.20023, 1.22263, 1.26742, 1.34205, 1.44624, 1.46106, 1.49069, 1.53641, 1.58493, 1.68198, 1.72256, 1.971, 2.07473, 2.13814, 2.23627, 2.34045, 2.39535, 2.45788, 2.54126, 2.64545, 2.70637, 2.78245, 2.88388, 2.98807, 3.09226, 3.19644, 3.40481, 3.71737");
-            values ( \
-              "0.0481945, 0.0561521, 0.0967619, 0.135592, 0.155708, 0.175573, 0.19078, 0.192782, 0.195758, 0.197749, 0.195273, 0.194396, 0.192346, 0.188077, 0.182029, 0.165181, 0.156614, 0.0999289, 0.0788558, 0.067561, 0.0525076, 0.0396095, 0.0340152, 0.0285152, 0.0224332, 0.0165162, 0.0137947, 0.0109987, 0.00810497, 0.00589876, 0.00429737, 0.00311572, 0.00163909, 0.000630345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.49177, 0.497152, 0.510494, 0.520869, 0.527, 0.532958, 0.538974, 0.543107, 0.557718, 0.566344, 0.583638, 0.595102, 0.607451, 0.620614");
-            values ( \
-              "0.00855122, 0.0402275, 0.0842876, 0.104028, 0.109496, 0.110901, 0.106964, 0.099245, 0.0555515, 0.0365716, 0.0146344, 0.00771483, 0.00384715, 0.00186415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.498678, 0.534646, 0.539413, 0.549665, 0.555291, 0.559137, 0.563818, 0.568418, 0.577693, 0.581074, 0.58831, 0.605922, 0.6199, 0.631403, 0.645931, 0.652681, 0.6666, 0.675064, 0.691994, 0.709036");
-            values ( \
-              "0.00311112, 0.114392, 0.123482, 0.137871, 0.142256, 0.143998, 0.144764, 0.144124, 0.137881, 0.133098, 0.118389, 0.0760776, 0.0499588, 0.0342736, 0.0206346, 0.0161729, 0.00967829, 0.00705215, 0.00367298, 0.0021222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.520281, 0.567841, 0.577514, 0.588573, 0.60621, 0.62267, 0.63891, 0.65851, 0.670074, 0.709672, 0.72634, 0.748905, 0.77389, 0.802896, 0.821083, 0.845333, 0.882876");
-            values ( \
-              "0.00065333, 0.114523, 0.132011, 0.147207, 0.163419, 0.169163, 0.16836, 0.156397, 0.142416, 0.0829004, 0.062184, 0.0407887, 0.0246404, 0.0133121, 0.008978, 0.00521931, 0.00234447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.572986, 0.60119, 0.635075, 0.649055, 0.672894, 0.687489, 0.716678, 0.739168, 0.750802, 0.774071, 0.781177, 0.795387, 0.812703, 0.844642, 0.909078, 0.952034, 0.98828, 1.01286, 1.03469, 1.06378, 1.08868, 1.12202, 1.16648, 1.24897, 1.34702");
-            values ( \
-              "0.0212714, 0.0581336, 0.108429, 0.12632, 0.15109, 0.162724, 0.178122, 0.18376, 0.184797, 0.183792, 0.182531, 0.178922, 0.171676, 0.15024, 0.0949814, 0.0642329, 0.0445955, 0.0342723, 0.0269864, 0.0194571, 0.0145921, 0.00990137, 0.00583333, 0.00198453, 0.000539294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.664563, 0.718128, 0.796028, 0.847913, 0.888106, 0.951776, 1.01169, 1.07128, 1.13085, 1.1377, 1.16512, 1.20167, 1.31446, 1.34581, 1.40258, 1.45004, 1.48376, 1.52723, 1.56193, 1.60547, 1.66664, 1.7309, 1.78022, 1.87887, 1.98305, 2.19142");
-            values ( \
-              "0.0284105, 0.0570402, 0.120437, 0.152952, 0.171006, 0.188349, 0.193494, 0.190628, 0.179285, 0.177377, 0.168317, 0.15361, 0.102657, 0.0894935, 0.0683649, 0.0537179, 0.0449143, 0.0353893, 0.0291349, 0.0226939, 0.0158388, 0.0108223, 0.00803716, 0.0043529, 0.00225636, 0.000601528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.817195, 0.955922, 1.11295, 1.21759, 1.29682, 1.40101, 1.4683, 1.54289, 1.6619, 1.78579, 1.88281, 2.339, 2.54132, 2.65875, 2.8463, 3.08479, 3.29792");
-            values ( \
-              "0.0113012, 0.0561204, 0.12305, 0.157138, 0.175505, 0.190755, 0.195745, 0.197705, 0.194361, 0.182035, 0.165164, 0.0675485, 0.0395981, 0.0285062, 0.0165076, 0.00811209, 0.00469939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.898417, 0.923734, 0.933852, 0.940178, 0.946293, 0.952435, 0.956845, 0.972, 0.980938, 0.992701, 1.00165, 1.01648, 1.03538, 1.03891");
-            values ( \
-              "0.000635277, 0.0815824, 0.100423, 0.106483, 0.108363, 0.105065, 0.0973301, 0.0528318, 0.0343142, 0.0186059, 0.0114209, 0.00502749, 0.00175984, 0.00155019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.911284, 0.940064, 0.945625, 0.952569, 0.963051, 0.972704, 0.982128, 0.991539, 0.996888, 1.02091, 1.03422, 1.04567, 1.06042, 1.07775, 1.08893, 1.10385, 1.12965");
-            values ( \
-              "0.000689458, 0.0924392, 0.106425, 0.120326, 0.135341, 0.141895, 0.142839, 0.136743, 0.128884, 0.073489, 0.0491854, 0.0337899, 0.0201811, 0.0107029, 0.00706582, 0.00400467, 0.00144525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.931373, 0.995165, 1.00217, 1.01619, 1.01986, 1.02721, 1.03636, 1.05263, 1.06891, 1.07592, 1.08339, 1.11493, 1.13869, 1.14957, 1.16477, 1.17705, 1.18717, 1.19919, 1.21595, 1.23765, 1.25288, 1.27505, 1.31193, 1.31198");
-            values ( \
-              "0.00382619, 0.136799, 0.146461, 0.159828, 0.162545, 0.166069, 0.168782, 0.167736, 0.159387, 0.1523, 0.142855, 0.0946697, 0.0636849, 0.052306, 0.0390768, 0.0305542, 0.0248433, 0.0193148, 0.0134816, 0.00873701, 0.00627256, 0.00383092, 0.00161296, 0.0016118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.981115, 1.05355, 1.0808, 1.10118, 1.13392, 1.16467, 1.19513, 1.21593, 1.2266, 1.24265, 1.25906, 1.30481, 1.34047, 1.36351, 1.38759, 1.41945, 1.43941, 1.4723, 1.50149, 1.53701, 1.58438, 1.66834, 1.76752");
-            values ( \
-              "0.0104738, 0.114325, 0.145302, 0.162415, 0.179222, 0.185026, 0.182718, 0.17671, 0.171943, 0.16216, 0.149679, 0.109874, 0.0813254, 0.0656238, 0.0516629, 0.0369467, 0.0297333, 0.0205837, 0.0147581, 0.00977348, 0.00548798, 0.00187375, 0.000451508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("1.06428, 1.13198, 1.20026, 1.22694, 1.25766, 1.30146, 1.33597, 1.36493, 1.42496, 1.48459, 1.54421, 1.55101, 1.57822, 1.61503, 1.72786, 1.75924, 1.81598, 1.86339, 1.89712, 1.94061, 1.97534, 2.01888, 2.08004, 2.14428, 2.19359, 2.2922, 2.39639, 2.60476");
-            values ( \
-              "0.0103498, 0.0572408, 0.113578, 0.132566, 0.151103, 0.171125, 0.181785, 0.188062, 0.193263, 0.190524, 0.179182, 0.17732, 0.16836, 0.153579, 0.10264, 0.0894687, 0.0683587, 0.053727, 0.0449201, 0.0353894, 0.0291299, 0.0226921, 0.0158369, 0.0108221, 0.00803895, 0.00435394, 0.00225788, 0.000602419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("1.29721, 1.39396, 1.46364, 1.52068, 1.56333, 1.64864, 1.71203, 1.81622, 1.83858, 1.88329, 1.95799, 2.06217, 2.07699, 2.10662, 2.15234, 2.20087, 2.29792, 2.3385, 2.58695, 2.69067, 2.75409, 2.85222, 2.9564, 3.0113, 3.07383, 3.1572, 3.26139, 3.32232, 3.39841, 3.49986, 3.60405, 3.70824, 3.81242, 4.02079, 4.33335");
-            values ( \
-              "0.0563379, 0.0668513, 0.0975522, 0.120594, 0.136001, 0.161589, 0.175697, 0.190837, 0.19282, 0.195782, 0.197754, 0.195272, 0.194389, 0.192343, 0.18807, 0.182026, 0.165174, 0.156612, 0.0999282, 0.0788556, 0.067561, 0.0525056, 0.0396102, 0.0340168, 0.0285173, 0.0224314, 0.0165186, 0.013797, 0.0110007, 0.00810171, 0.00590071, 0.00429446, 0.00311797, 0.00164151, 0.000627711" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00693464, 0.00695099, 0.00696876, 0.00698323, 0.0069931, 0.00699892", \
-            "0.00895007, 0.00896097, 0.00897537, 0.00898992, 0.00900103, 0.00900805", \
-            "0.0103124, 0.0103154, 0.0103222, 0.0103315, 0.0103407, 0.0103474", \
-            "0.0110938, 0.0110937, 0.0110946, 0.0110975, 0.0111021, 0.011107", \
-            "0.0115706, 0.0115696, 0.0115684, 0.0115672, 0.0115672, 0.0115684", \
-            "0.0117944, 0.0117933, 0.0117916, 0.0117893, 0.0117869, 0.0117854" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0108084, 0.010822, 0.0108428, 0.0108657, 0.0108841, 0.010896", \
-            "0.0114056, 0.0114005, 0.0114009, 0.0114087, 0.0114195, 0.0114291", \
-            "0.0109546, 0.0109431, 0.0109274, 0.0109153, 0.0109108, 0.010911", \
-            "0.010741, 0.0107428, 0.0107372, 0.0107248, 0.0107119, 0.0107043", \
-            "0.00771111, 0.00817635, 0.00886753, 0.00961161, 0.0101986, 0.0105729", \
-            "0.00651945, 0.00652624, 0.00654721, 0.00671458, 0.00753694, 0.00874615" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.122771, 0.137386, 0.140166, 0.149126, 0.153888, 0.158351, 0.162686, 0.167015, 0.170105, 0.178486, 0.183038, 0.188624, 0.192821, 0.195373, 0.200478, 0.2094, 0.220099, 0.252977");
-            values ( \
-              "-0.00165082, -0.0880792, -0.101034, -0.132148, -0.143062, -0.150376, -0.15245, -0.146888, -0.135467, -0.0724826, -0.0445432, -0.0231782, -0.0138739, -0.010129, -0.00535546, -0.00173365, -0.00061156, -0.000137268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.135463, 0.140602, 0.151537, 0.161976, 0.170086, 0.177419, 0.184353, 0.19114, 0.198036, 0.201497, 0.20556, 0.218513, 0.225904, 0.234216, 0.238511, 0.24525, 0.254236, 0.259791");
-            values ( \
-              "-0.0443681, -0.0624758, -0.117674, -0.154709, -0.175919, -0.189395, -0.196781, -0.19844, -0.189966, -0.18047, -0.16098, -0.0800112, -0.0483717, -0.0263396, -0.0190967, -0.0114606, -0.00568854, -0.00420944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.142557, 0.183858, 0.19625, 0.210041, 0.216348, 0.223506, 0.235492, 0.247284, 0.259317, 0.266706, 0.272522, 0.295471, 0.30854, 0.314694, 0.322899, 0.330543, 0.342259, 0.357881, 0.370579");
-            values ( \
-              "-0.00184704, -0.15356, -0.184174, -0.209016, -0.217373, -0.224722, -0.23214, -0.232564, -0.22233, -0.206965, -0.186446, -0.0921079, -0.0560106, -0.0438065, -0.0312354, -0.0227044, -0.0138166, -0.00694602, -0.00456233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.181215, 0.227784, 0.255541, 0.267431, 0.292924, 0.316241, 0.33853, 0.360557, 0.382572, 0.390381, 0.398525, 0.407938, 0.439474, 0.451869, 0.474558, 0.497006, 0.518226, 0.53033, 0.554538, 0.60076");
-            values ( \
-              "-0.0379934, -0.142314, -0.190331, -0.206318, -0.23273, -0.247525, -0.25458, -0.253921, -0.242805, -0.234666, -0.222015, -0.201679, -0.12374, -0.0983843, -0.0625214, -0.0389182, -0.0244434, -0.0186718, -0.0107235, -0.00342901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.245453, 0.277951, 0.335875, 0.380676, 0.409855, 0.459235, 0.504475, 0.547952, 0.590924, 0.63388, 0.650145, 0.665657, 0.683653, 0.74542, 0.770229, 0.812031, 0.853977, 0.897292, 0.945355, 0.996624, 1.07254, 1.14845");
-            values ( \
-              "-0.0612764, -0.079568, -0.151953, -0.195399, -0.217474, -0.245236, -0.260229, -0.267021, -0.265663, -0.253879, -0.24435, -0.230957, -0.209836, -0.128765, -0.102008, -0.066891, -0.0427423, -0.026417, -0.0153353, -0.00839209, -0.00329208, -0.00127347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.375081, 0.435727, 0.504944, 0.55704, 0.63684, 0.701394, 0.777306, 0.84423, 0.88962, 0.965532, 0.997822, 1.06175, 1.10351, 1.14967, 1.22558, 1.35831, 1.42044, 1.5049, 1.54387, 1.58969, 1.65428, 1.69541, 1.77023, 1.84614, 1.92205, 1.99796, 2.2257");
-            values ( \
-              "-0.0768468, -0.0774013, -0.124948, -0.156595, -0.197397, -0.223315, -0.246422, -0.260389, -0.266779, -0.272912, -0.273633, -0.27167, -0.267371, -0.258818, -0.227947, -0.139152, -0.104322, -0.068219, -0.055606, -0.0434632, -0.0304054, -0.0241934, -0.0158547, -0.0102278, -0.00658164, -0.00422216, -0.00111325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.143599, 0.145378, 0.147362, 0.155207, 0.161584, 0.162061, 0.163693, 0.166957, 0.169489, 0.171719, 0.176192, 0.180525, 0.184858, 0.186389, 0.187932, 0.189676, 0.196332, 0.197836, 0.200844, 0.202423, 0.204484, 0.207232, 0.209604, 0.212226, 0.215723, 0.222717, 0.223546");
-            values ( \
-              "-0.0345658, -0.0400356, -0.0444259, -0.0898326, -0.113422, -0.116113, -0.121819, -0.131755, -0.138264, -0.143099, -0.150108, -0.152501, -0.146693, -0.14195, -0.135499, -0.125107, -0.0724721, -0.0621588, -0.0447521, -0.0373983, -0.0293988, -0.021108, -0.015798, -0.0114625, -0.00743996, -0.00308851, -0.00290986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.150732, 0.158229, 0.167712, 0.174214, 0.187713, 0.195049, 0.201985, 0.208773, 0.215555, 0.219153, 0.2232, 0.236149, 0.243543, 0.251873, 0.256153, 0.26287, 0.271826, 0.279998");
-            values ( \
-              "-0.00902434, -0.0625154, -0.111239, -0.136905, -0.17617, -0.189061, -0.196967, -0.198167, -0.190297, -0.180361, -0.160932, -0.0800102, -0.0483705, -0.0263014, -0.0190901, -0.0114757, -0.005714, -0.00351925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.166686, 0.178742, 0.187717, 0.19847, 0.208562, 0.214948, 0.228757, 0.241344, 0.253321, 0.265113, 0.277144, 0.284576, 0.290348, 0.313296, 0.326366, 0.332525, 0.340736, 0.34837, 0.360071, 0.375672, 0.385901");
-            values ( \
-              "-0.016366, -0.0745454, -0.109152, -0.144499, -0.171767, -0.186083, -0.210479, -0.224829, -0.232231, -0.23259, -0.222355, -0.206845, -0.186452, -0.0921146, -0.0560082, -0.0437957, -0.0312229, -0.0227056, -0.0138272, -0.00695505, -0.00502717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.184346, 0.269593, 0.285294, 0.310803, 0.334115, 0.356409, 0.378439, 0.400459, 0.40826, 0.416409, 0.425827, 0.457367, 0.469757, 0.492448, 0.51491, 0.536122, 0.548217, 0.572407, 0.612777");
-            values ( \
-              "-0.00619008, -0.18453, -0.20625, -0.232675, -0.247465, -0.254533, -0.253874, -0.242773, -0.234645, -0.221998, -0.20166, -0.123727, -0.0983859, -0.0625218, -0.0389087, -0.0244425, -0.0186748, -0.0107305, -0.00433939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.236419, 0.355473, 0.395737, 0.42796, 0.477364, 0.522601, 0.566083, 0.609061, 0.652021, 0.668273, 0.683793, 0.701798, 0.763571, 0.788364, 0.830178, 0.872133, 0.915445, 0.963499, 1.01476, 1.07307");
-            values ( \
-              "-0.0025735, -0.153466, -0.192687, -0.217483, -0.245138, -0.260248, -0.266932, -0.265689, -0.253803, -0.244344, -0.230944, -0.209827, -0.128759, -0.102018, -0.0668911, -0.0427389, -0.0264158, -0.0153363, -0.0083943, -0.00447542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.416556, 0.523048, 0.60168, 0.657125, 0.719453, 0.795365, 0.862181, 0.907645, 0.983557, 1.01586, 1.07978, 1.12151, 1.16771, 1.24362, 1.37638, 1.43846, 1.52295, 1.60775, 1.71346, 1.7898, 1.86571, 2.01754, 2.03105");
-            values ( \
-              "-0.0946484, -0.125056, -0.171175, -0.198417, -0.223344, -0.246415, -0.260357, -0.266782, -0.27289, -0.273613, -0.271651, -0.267374, -0.258801, -0.227876, -0.139205, -0.10439, -0.0682748, -0.0434091, -0.0241503, -0.0156744, -0.0101797, -0.00422625, -0.00403855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.178334, 0.200587, 0.205062, 0.209828, 0.214305, 0.218641, 0.222977, 0.226044, 0.23895, 0.242632, 0.247751, 0.253797, 0.260706, 0.263195");
-            values ( \
-              "-0.00874291, -0.117609, -0.131585, -0.142947, -0.150027, -0.152379, -0.146646, -0.135475, -0.0448299, -0.0293107, -0.0157554, -0.00748761, -0.00314721, -0.00259728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.189431, 0.196539, 0.205108, 0.214148, 0.226063, 0.233408, 0.240347, 0.247138, 0.253922, 0.257493, 0.261564, 0.274522, 0.281915, 0.290228, 0.294525, 0.301268, 0.31026, 0.318773");
-            values ( \
-              "-0.013001, -0.0623843, -0.106422, -0.142194, -0.175877, -0.18913, -0.196746, -0.198234, -0.190131, -0.180415, -0.160927, -0.0799894, -0.0483586, -0.0263342, -0.0190906, -0.0114546, -0.00568394, -0.00340346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.207079, 0.226159, 0.233003, 0.244756, 0.253407, 0.267226, 0.279808, 0.291789, 0.303582, 0.315615, 0.32304, 0.328821, 0.345605, 0.35177, 0.364841, 0.371, 0.379211, 0.386846, 0.398549, 0.414152, 0.433781");
-            values ( \
-              "-0.0340882, -0.109115, -0.13262, -0.166118, -0.185973, -0.210478, -0.22473, -0.232234, -0.232509, -0.222341, -0.206848, -0.186433, -0.114355, -0.0921065, -0.0560064, -0.043795, -0.0312199, -0.0227025, -0.013824, -0.00695519, -0.00324167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.227453, 0.273354, 0.286067, 0.307824, 0.323737, 0.34925, 0.372568, 0.394864, 0.416897, 0.43892, 0.446714, 0.454866, 0.464289, 0.495833, 0.508217, 0.530911, 0.553385, 0.574589, 0.586676, 0.610849, 0.657361, 0.711635");
-            values ( \
-              "-0.000772324, -0.119624, -0.146093, -0.184127, -0.206209, -0.232614, -0.247439, -0.25449, -0.253858, -0.242744, -0.234636, -0.221991, -0.201648, -0.123718, -0.0983886, -0.0625223, -0.038899, -0.0244406, -0.0186786, -0.0107358, -0.00334478, -0.000807804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.284116, 0.368375, 0.407648, 0.4469, 0.466729, 0.516084, 0.535483, 0.561281, 0.604712, 0.647702, 0.690679, 0.70686, 0.722414, 0.740458, 0.802254, 0.826967, 0.868831, 0.910823, 0.954121, 1.00212, 1.05333, 1.12924, 1.20515");
-            values ( \
-              "-0.0112591, -0.123823, -0.167621, -0.202891, -0.217588, -0.245055, -0.25257, -0.260148, -0.26676, -0.265659, -0.253697, -0.244356, -0.230946, -0.209808, -0.128736, -0.102075, -0.0668981, -0.0427295, -0.0264151, -0.0153452, -0.00840362, -0.00329764, -0.00127488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.396163, 0.612713, 0.697403, 0.758654, 0.834567, 0.901653, 0.946945, 1.02286, 1.05517, 1.11909, 1.16076, 1.20702, 1.28293, 1.47779, 1.56227, 1.64705, 1.75278, 1.82914, 1.90505, 1.9697");
-            values ( \
-              "-0.019139, -0.155593, -0.198775, -0.223343, -0.246312, -0.260315, -0.266812, -0.272824, -0.273554, -0.271597, -0.267411, -0.25875, -0.227986, -0.104286, -0.0681818, -0.0434941, -0.0242213, -0.0157403, -0.0101097, -0.00757576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.262925, 0.273418, 0.281466, 0.286829, 0.291624, 0.296111, 0.300466, 0.304815, 0.307764, 0.320508, 0.324871, 0.330594, 0.334616, 0.339979, 0.348338");
-            values ( \
-              "-0.0193898, -0.080455, -0.113519, -0.131057, -0.142261, -0.149568, -0.151879, -0.146355, -0.135789, -0.046347, -0.0280219, -0.0139692, -0.0085348, -0.00439188, -0.00169125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.267562, 0.296801, 0.300177, 0.308292, 0.315632, 0.322585, 0.329382, 0.336287, 0.339683, 0.34381, 0.358582, 0.365652, 0.370749, 0.375197, 0.380551, 0.387691, 0.396506");
-            values ( \
-              "-0.00647626, -0.142527, -0.154725, -0.175731, -0.189021, -0.196351, -0.198199, -0.189715, -0.18052, -0.160909, -0.0710017, -0.0434803, -0.0299938, -0.0215021, -0.0143628, -0.00827767, -0.00470137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.287863, 0.299756, 0.308697, 0.319439, 0.328608, 0.335917, 0.349733, 0.362318, 0.374298, 0.386092, 0.398126, 0.405552, 0.411332, 0.434282, 0.447354, 0.453514, 0.461726, 0.469362, 0.481065, 0.496671, 0.506995");
-            values ( \
-              "-0.0173903, -0.0748285, -0.109404, -0.144492, -0.169516, -0.186021, -0.210456, -0.224747, -0.232203, -0.23252, -0.222326, -0.206835, -0.186426, -0.0921025, -0.0560019, -0.0437905, -0.0312172, -0.0227004, -0.0138227, -0.00695347, -0.00499506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.306191, 0.3762, 0.394098, 0.406627, 0.432166, 0.45547, 0.477769, 0.499804, 0.521827, 0.529619, 0.537773, 0.547198, 0.578743, 0.591126, 0.61382, 0.636299, 0.657501, 0.669585, 0.693753, 0.734368");
-            values ( \
-              "-0.000427185, -0.159512, -0.189247, -0.206099, -0.23264, -0.247365, -0.25451, -0.253801, -0.242769, -0.234626, -0.221987, -0.20164, -0.123712, -0.0983877, -0.0625212, -0.0388954, -0.0244399, -0.0186793, -0.0107376, -0.00426312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.392105, 0.425731, 0.451408, 0.490546, 0.523136, 0.549599, 0.599013, 0.644244, 0.687729, 0.730709, 0.773672, 0.789926, 0.805446, 0.823451, 0.885226, 0.91002, 0.951835, 0.99379, 1.0371, 1.08516, 1.13642, 1.19745");
-            values ( \
-              "-0.0866158, -0.0900644, -0.123723, -0.167454, -0.197626, -0.217422, -0.245187, -0.260178, -0.266968, -0.265621, -0.253839, -0.244327, -0.230937, -0.209815, -0.128752, -0.102015, -0.0668896, -0.0427377, -0.026415, -0.0153358, -0.00839403, -0.00429264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.521931, 0.605157, 0.644748, 0.723439, 0.776075, 0.841195, 0.917107, 0.984087, 1.02945, 1.10536, 1.13768, 1.2016, 1.24326, 1.28953, 1.36544, 1.49819, 1.5603, 1.64478, 1.68375, 1.72956, 1.79416, 1.83529, 1.91165, 1.98756, 2.06347, 2.13938, 2.36712");
-            values ( \
-              "-0.0766089, -0.0982308, -0.124995, -0.171138, -0.197107, -0.223307, -0.246371, -0.260346, -0.266767, -0.272864, -0.273587, -0.271626, -0.267378, -0.258778, -0.227954, -0.139122, -0.104305, -0.0681984, -0.0555835, -0.0434803, -0.0303856, -0.0242117, -0.0157321, -0.0101185, -0.00654028, -0.00416641, -0.00112096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.430184, 0.434332, 0.44246, 0.448999, 0.454381, 0.459197, 0.463695, 0.468059, 0.472417, 0.475243, 0.488178, 0.492601, 0.497759, 0.502701, 0.508349, 0.509468");
-            values ( \
-              "-0.0311213, -0.0419, -0.0866582, -0.113123, -0.130377, -0.141978, -0.149124, -0.151746, -0.146056, -0.136156, -0.0458568, -0.0275003, -0.0146714, -0.00802104, -0.00398653, -0.00366433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.440505, 0.44844, 0.457364, 0.46762, 0.475757, 0.483101, 0.490053, 0.496843, 0.503742, 0.507105, 0.510693, 0.527078, 0.533826, 0.542339, 0.550139, 0.562574");
-            values ( \
-              "-0.0379269, -0.0718931, -0.117535, -0.153754, -0.175301, -0.188854, -0.196602, -0.19829, -0.189981, -0.180772, -0.164163, -0.0659754, -0.0411179, -0.0218608, -0.0121569, -0.00530446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.453694, 0.46754, 0.47658, 0.487336, 0.497407, 0.503789, 0.517568, 0.530124, 0.542069, 0.550184, 0.553809, 0.55968, 0.565782, 0.577893, 0.595122, 0.604409, 0.618791, 0.633198, 0.64344, 0.651577, 0.667853, 0.679746");
-            values ( \
-              "-0.0056403, -0.073511, -0.108918, -0.144662, -0.172024, -0.186444, -0.210957, -0.225433, -0.232873, -0.233909, -0.23327, -0.229536, -0.222796, -0.191281, -0.117155, -0.0844178, -0.0483653, -0.0266844, -0.0173644, -0.0122645, -0.0059785, -0.00406068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.4742, 0.550029, 0.563323, 0.584595, 0.599758, 0.623078, 0.645416, 0.667453, 0.689479, 0.69726, 0.70542, 0.71485, 0.746399, 0.758775, 0.781471, 0.803967, 0.825157, 0.837229, 0.861373, 0.907148, 0.960521");
-            values ( \
-              "-0.00218267, -0.170823, -0.191657, -0.218196, -0.23247, -0.247398, -0.254401, -0.253854, -0.242679, -0.234631, -0.221981, -0.201635, -0.123706, -0.0983977, -0.0625269, -0.0388851, -0.0244401, -0.0186826, -0.0107477, -0.00342507, -0.000855243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.561806, 0.601134, 0.658215, 0.685525, 0.717357, 0.766837, 0.812056, 0.855553, 0.898541, 0.941512, 0.957724, 0.973264, 0.991291, 1.05308, 1.07783, 1.11967, 1.16165, 1.20495, 1.25298, 1.30421, 1.38012, 1.45603");
-            values ( \
-              "-0.0898713, -0.0999273, -0.167244, -0.192682, -0.21739, -0.244915, -0.260252, -0.266759, -0.265733, -0.253668, -0.244349, -0.230933, -0.209815, -0.128747, -0.102048, -0.0668929, -0.0427335, -0.0264156, -0.0153416, -0.00839913, -0.00329597, -0.0012742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.686648, 0.775315, 0.891995, 0.945141, 1.00951, 1.08542, 1.1521, 1.19761, 1.27352, 1.30574, 1.34871, 1.36971, 1.41171, 1.45763, 1.53354, 1.66626, 1.7284, 1.81286, 1.89764, 1.96224, 2.02883, 2.07975, 2.15567, 2.30749, 2.53523");
-            values ( \
-              "-0.0661189, -0.099893, -0.171826, -0.19768, -0.223732, -0.246467, -0.260346, -0.266998, -0.272833, -0.273558, -0.272909, -0.271585, -0.267457, -0.25872, -0.228054, -0.139047, -0.104235, -0.068137, -0.0435365, -0.0303325, -0.0209115, -0.0157708, -0.0100763, -0.00412602, -0.0011593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.76729, 0.776602, 0.777303, 0.782456, 0.784023, 0.786375, 0.7891, 0.791041, 0.792458, 0.793875, 0.795291, 0.796708, 0.797959, 0.79921, 0.800461, 0.801712, 0.802872, 0.804032, 0.805193, 0.806353, 0.807473, 0.808593, 0.809713, 0.810833, 0.811952, 0.81307, 0.814189, 0.815307, 0.815383, 0.816504, 0.817298, 0.818459, 0.819331, 0.820204, 0.82282, 0.826203, 0.827632, 0.828501, 0.828935, 0.829804, 0.830822, 0.831989, 0.833581, 0.834589, 0.835713, 0.836836, 0.838471, 0.839288, 0.840105, 0.841652");
-            values ( \
-              "-7.02558e-05, -0.0430241, -0.0461184, -0.0729494, -0.0798305, -0.0897587, -0.100521, -0.10764, -0.112533, -0.117178, -0.121577, -0.125727, -0.129126, -0.132332, -0.135347, -0.13817, -0.140616, -0.142898, -0.145014, -0.146965, -0.146943, -0.146798, -0.14653, -0.14614, -0.145628, -0.144993, -0.144236, -0.143357, -0.143021, -0.139778, -0.136943, -0.131848, -0.126906, -0.121541, -0.102392, -0.0766753, -0.0665232, -0.0605878, -0.0579857, -0.0530041, -0.0476165, -0.0418559, -0.0345872, -0.0305398, -0.026881, -0.0235789, -0.0194819, -0.0176654, -0.0160038, -0.0132473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.775384, 0.806, 0.810347, 0.818721, 0.826234, 0.833296, 0.840181, 0.847059, 0.850048, 0.854758, 0.87071, 0.87731, 0.885879, 0.896179, 0.905231");
-            values ( \
-              "-3.9495e-05, -0.134805, -0.14863, -0.171388, -0.185307, -0.193815, -0.195795, -0.188412, -0.180878, -0.159672, -0.065402, -0.0412711, -0.021901, -0.0100818, -0.00501649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.796387, 0.809179, 0.831101, 0.847893, 0.861855, 0.874533, 0.886569, 0.8984, 0.910458, 0.917546, 0.946705, 0.959816, 0.974185, 0.994114, 1.00681");
-            values ( \
-              "-0.0314486, -0.0637354, -0.140816, -0.183516, -0.208684, -0.223497, -0.231342, -0.231948, -0.221955, -0.207523, -0.0917429, -0.0556787, -0.0310269, -0.0133986, -0.00803644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.829299, 0.877141, 0.907083, 0.919081, 0.944608, 0.967935, 0.990222, 1.01224, 1.03425, 1.04201, 1.05016, 1.0596, 1.09115, 1.10357, 1.12631, 1.1489, 1.17028, 1.18255, 1.20707, 1.25336, 1.25955");
-            values ( \
-              "-0.0253201, -0.13695, -0.189697, -0.205878, -0.232585, -0.247465, -0.25469, -0.254026, -0.242976, -0.234834, -0.222124, -0.201668, -0.123582, -0.0981985, -0.062301, -0.0386445, -0.024175, -0.018398, -0.0104805, -0.00389355, -0.00358493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("0.880557, 0.964539, 0.989339, 1.03228, 1.06263, 1.112, 1.15713, 1.20054, 1.21587, 1.24334, 1.25914, 1.28729, 1.32486, 1.39183, 1.42171, 1.46426, 1.50686, 1.55303, 1.59702, 1.6473, 1.72321, 1.79912");
-            values ( \
-              "-0.0125692, -0.123767, -0.152646, -0.194518, -0.217829, -0.245499, -0.260668, -0.267319, -0.267762, -0.265848, -0.263042, -0.253362, -0.223939, -0.136022, -0.10302, -0.0670621, -0.0426052, -0.0254637, -0.0155084, -0.00856137, -0.00338825, -0.00128144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("1.03192, 1.1002, 1.1574, 1.2262, 1.29855, 1.35327, 1.42918, 1.49638, 1.54159, 1.61751, 1.64981, 1.71374, 1.75544, 1.80166, 1.87758, 2.01032, 2.07244, 2.15691, 2.2417, 2.3063, 2.34742, 2.42379, 2.4997, 2.65152, 2.87926");
-            values ( \
-              "-0.0799029, -0.0856231, -0.125102, -0.166158, -0.201691, -0.223368, -0.246327, -0.260344, -0.266823, -0.272831, -0.27356, -0.271599, -0.267407, -0.258749, -0.227988, -0.139091, -0.104279, -0.0681749, -0.0435019, -0.030365, -0.0242286, -0.0157479, -0.0101024, -0.00415075, -0.00113608" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00603354, 0.006044, 0.00605592, 0.00606635, 0.00607362, 0.00607792", \
-            "0.00708699, 0.00709253, 0.00710061, 0.00710934, 0.00711644, 0.0071211", \
-            "0.00772231, 0.00772347, 0.00772633, 0.00773101, 0.00773616, 0.0077402", \
-            "0.00809984, 0.00809974, 0.00809972, 0.00810063, 0.00810267, 0.0081051", \
-            "0.00830121, 0.00830097, 0.00830058, 0.0083003, 0.0083003, 0.00830108", \
-            "0.00842802, 0.00842784, 0.00842756, 0.00842716, 0.0084267, 0.00842641" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00848153, 0.00848738, 0.00849724, 0.00850872, 0.00851831, 0.00852459", \
-            "0.00948979, 0.00948857, 0.00949012, 0.00949555, 0.00950268, 0.00950828", \
-            "0.0102718, 0.0102656, 0.0102644, 0.0102528, 0.0102517, 0.0102529", \
-            "0.0110153, 0.0110055, 0.0109924, 0.0109774, 0.0109651, 0.0109571", \
-            "0.0108844, 0.0109479, 0.0110025, 0.0110396, 0.0110596, 0.0110683", \
-            "0.00918171, 0.0092343, 0.00973353, 0.0105541, 0.0111185, 0.0114459" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CON";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0370953, 0.052073, 0.0820412, 0.142724, 0.266384, 0.518888", \
-            "0.0431279, 0.0581961, 0.0882872, 0.149121, 0.272895, 0.525466", \
-            "0.0582207, 0.073295, 0.103255, 0.164131, 0.288034, 0.540711", \
-            "0.0834493, 0.105258, 0.137988, 0.19839, 0.322137, 0.574822", \
-            "0.12261, 0.154984, 0.204418, 0.275504, 0.399049, 0.651134", \
-            "0.18985, 0.234543, 0.306443, 0.414959, 0.571167, 0.822323" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0311071, 0.0525422, 0.0965668, 0.186531, 0.370386, 0.745844", \
-            "0.0314629, 0.0526326, 0.0965637, 0.186528, 0.370386, 0.745845", \
-            "0.037171, 0.0548942, 0.0967305, 0.186527, 0.370387, 0.745846", \
-            "0.0567715, 0.072226, 0.105528, 0.187307, 0.370389, 0.745847", \
-            "0.0875737, 0.111625, 0.147339, 0.211382, 0.374396, 0.74585", \
-            "0.135638, 0.171677, 0.227047, 0.306123, 0.437394, 0.760219" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0256129, 0.0362171, 0.0574901, 0.100632, 0.188587, 0.36821", \
-            "0.0304617, 0.0410083, 0.0624789, 0.105693, 0.193693, 0.373356", \
-            "0.0387441, 0.0521002, 0.0738349, 0.117101, 0.205149, 0.384852", \
-            "0.0464132, 0.0661302, 0.0965341, 0.142751, 0.230359, 0.410211", \
-            "0.0486945, 0.0771249, 0.122019, 0.189604, 0.288802, 0.468052", \
-            "0.0357354, 0.0756238, 0.13937, 0.237762, 0.384189, 0.597138" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.019593, 0.0331621, 0.0610213, 0.11801, 0.234479, 0.472643", \
-            "0.0206709, 0.0333204, 0.0610344, 0.118014, 0.234777, 0.472534", \
-            "0.0290997, 0.039106, 0.0628416, 0.118018, 0.234436, 0.472536", \
-            "0.0445467, 0.0584419, 0.0800399, 0.125189, 0.234594, 0.472721", \
-            "0.0695341, 0.0894704, 0.120709, 0.167805, 0.256071, 0.47402", \
-            "0.112991, 0.140075, 0.184175, 0.25246, 0.353143, 0.530072" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.026619, 0.0295122, 0.0316447, 0.0337802, 0.0357337, 0.0358988, 0.03722, 0.0385109, 0.0418927, 0.0440707, 0.0496741, 0.0534366, 0.0584438, 0.0630724, 0.0698547, 0.0732368, 0.0778728, 0.0816414, 0.0866662, 0.0910344, 0.096648, 0.103522, 0.108296, 0.111499, 0.117904, 0.130714, 0.149346, 0.172164, 0.201264, 4.3509");
-            values ( \
-              "0.0768895, 0.149225, 0.15702, 0.160957, 0.160986, 0.161088, 0.160358, 0.159117, 0.154285, 0.150336, 0.137851, 0.126638, 0.106378, 0.0852548, 0.0604534, 0.0506508, 0.0395908, 0.0323115, 0.0244346, 0.0191091, 0.0138392, 0.00922369, 0.00695683, 0.00575525, 0.00390382, 0.00173971, 0.000480699, 9.34696e-05, 4.31168e-06, 7.55861e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.026609, 0.0315706, 0.0341172, 0.0350015, 0.0367701, 0.0403073, 0.0431257, 0.0475059, 0.0570641, 0.0652241, 0.0707716, 0.0739565, 0.0773803, 0.0895747, 0.0966758, 0.10527, 0.112273, 0.117486, 0.123158, 0.130694, 0.137517, 0.146615, 0.154049, 0.156776, 0.16223, 0.173139, 0.194955, 0.22347, 0.258677, 0.303126, 4.35089");
-            values ( \
-              "0.0789188, 0.190404, 0.197065, 0.19851, 0.199466, 0.198875, 0.19639, 0.191392, 0.178199, 0.165014, 0.153985, 0.146362, 0.137105, 0.1007, 0.0822617, 0.0632492, 0.0505021, 0.0424863, 0.0349898, 0.0268249, 0.0209846, 0.014976, 0.0113336, 0.0102229, 0.00831597, 0.00544834, 0.00223118, 0.000632674, 0.000125797, 5.55258e-06, 1.71009e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.0280872, 0.0330433, 0.0348214, 0.0379228, 0.0408763, 0.0523133, 0.0657624, 0.0926288, 0.10074, 0.124577, 0.158457, 0.181351, 0.200965, 0.214044, 0.225611, 0.241034, 0.254561, 0.272715, 0.29692, 0.342038, 0.394645, 0.460752, 0.546164, 4.35085");
-            values ( \
-              "0.175591, 0.217574, 0.22272, 0.226191, 0.2271, 0.22082, 0.209967, 0.186221, 0.177654, 0.143331, 0.0876773, 0.0588388, 0.0405392, 0.031199, 0.0246199, 0.0177869, 0.0133095, 0.00897945, 0.00522473, 0.00174859, 0.000459142, 6.83623e-05, 4.91212e-06, 2.29468e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.0299339, 0.0299539, 0.0757201, 0.126295, 0.15259, 0.168145, 0.183781, 0.214439, 0.261381, 0.297835, 0.328399, 0.359123, 0.391087, 0.405648, 0.434771, 0.460126, 0.471457, 0.494119, 0.539443, 0.624266, 0.711284, 0.798302, 0.972338, 1.14637, 4.35089");
-            values ( \
-              "1e-22, 0.255934, 0.231917, 0.209183, 0.196123, 0.187295, 0.176988, 0.151994, 0.109337, 0.080189, 0.0600787, 0.0440321, 0.0313348, 0.0267236, 0.0192914, 0.0144511, 0.012699, 0.00976881, 0.00570232, 0.00190869, 0.000622777, 0.000184406, 1.07417e-05, 1e-22, 4.68756e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.0321352, 0.0321552, 0.121528, 0.222244, 0.274606, 0.336665, 0.397655, 0.524917, 0.609926, 0.64551, 0.681949, 0.730373, 0.77324, 0.830396, 0.879983, 0.946441, 1.03346, 1.12048, 1.2075, 1.29451, 1.46855, 1.99066, 4.35086");
-            values ( \
-              "1e-22, 0.272468, 0.238105, 0.214706, 0.201352, 0.1819, 0.156678, 0.0984142, 0.0663983, 0.0555386, 0.0459341, 0.0353444, 0.0278675, 0.0201095, 0.0150712, 0.0101972, 0.00602222, 0.00353205, 0.00207194, 0.00120663, 0.000409393, 1.38334e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.0347754, 0.0347954, 0.214932, 0.364221, 0.505143, 0.580395, 0.648967, 0.728651, 1.02682, 1.10093, 1.22088, 1.35266, 1.43968, 1.52173, 1.60724, 1.69426, 1.76311, 1.88674, 2.06077, 2.14779, 2.32183, 2.58288, 2.93095, 4.35079");
-            values ( \
-              "1e-22, 0.276593, 0.241205, 0.223957, 0.206515, 0.19584, 0.184382, 0.168536, 0.100419, 0.0852207, 0.063945, 0.0455205, 0.0359746, 0.0286632, 0.0224928, 0.0175062, 0.0143273, 0.00996589, 0.00593287, 0.00456831, 0.00270331, 0.00122713, 0.00042672, 5.47807e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0439155, 0.0472882, 0.0477498, 0.0482115, 0.0486732, 0.0493089, 0.0498311, 0.0504647, 0.0509816, 0.0515024, 0.0526914, 0.0532837, 0.054201, 0.0553892, 0.0560521, 0.0567364, 0.0574207, 0.058105, 0.0597181, 0.0621378, 0.0629443, 0.0640493, 0.0662356, 0.0687708, 0.0698568, 0.0712143, 0.0734571, 0.0751888, 0.0767017, 0.080329, 0.0839562, 0.0870231, 0.0888339, 0.0902826, 0.0919699, 0.0936573, 0.096923, 0.0991375, 0.101389, 0.103168, 0.104946, 0.107326, 0.109706, 0.11116, 0.112613, 0.114066, 0.11552, 0.11988, 0.124625, 0.129002");
-            values ( \
-              "0.094721, 0.099115, 0.0993772, 0.100069, 0.101192, 0.10344, 0.105898, 0.110242, 0.120563, 0.123767, 0.134057, 0.137641, 0.142296, 0.147006, 0.149039, 0.150666, 0.151853, 0.152599, 0.152005, 0.150594, 0.149984, 0.148612, 0.14479, 0.139667, 0.136982, 0.133264, 0.126399, 0.119894, 0.113633, 0.0970123, 0.0814217, 0.0699321, 0.0634587, 0.0586977, 0.0539144, 0.0494348, 0.0416914, 0.0368717, 0.032468, 0.0295463, 0.0268285, 0.0235993, 0.0205576, 0.0187924, 0.0172356, 0.0158077, 0.0145951, 0.0113853, 0.00862128, 0.00641366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.0429654, 0.0491215, 0.050914, 0.0526479, 0.0546041, 0.056432, 0.0590598, 0.0616759, 0.062924, 0.0642124, 0.0663941, 0.0721307, 0.0831726, 0.0874941, 0.0918617, 0.100417, 0.113262, 0.119238, 0.124769, 0.132148, 0.137862, 0.14548, 0.152794, 0.162047, 0.172517, 0.179663, 0.184368, 0.193779, 0.212601, 0.241373, 0.276289, 0.320774, 0.383353, 4.35085");
-            values ( \
-              "0.0396404, 0.122634, 0.13862, 0.163464, 0.177939, 0.186374, 0.1924, 0.194076, 0.193862, 0.193272, 0.191624, 0.185086, 0.16871, 0.160888, 0.151622, 0.128575, 0.0910062, 0.0763147, 0.0643503, 0.0507826, 0.0420139, 0.0323006, 0.0249298, 0.0177999, 0.0120127, 0.0091752, 0.00767505, 0.00533444, 0.00250021, 0.000704685, 0.000138213, 1.07454e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.0457858, 0.0561264, 0.0586986, 0.060981, 0.0650467, 0.0732565, 0.0859547, 0.112858, 0.120885, 0.144761, 0.178644, 0.201537, 0.22115, 0.234228, 0.245794, 0.261215, 0.274741, 0.292888, 0.317085, 0.36218, 0.414756, 0.480816, 0.566155, 4.35084");
-            values ( \
-              "0.115416, 0.209017, 0.218242, 0.222011, 0.22392, 0.219948, 0.20998, 0.186219, 0.177712, 0.14334, 0.0876796, 0.0588413, 0.0405419, 0.0312018, 0.0246229, 0.0177898, 0.0133124, 0.00898263, 0.00522747, 0.0017508, 0.000460119, 6.87602e-05, 4.86923e-06, 2.20946e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.0491324, 0.0609155, 0.0648759, 0.0687046, 0.0797508, 0.133182, 0.17289, 0.188445, 0.204081, 0.234739, 0.281681, 0.318135, 0.348698, 0.379421, 0.411384, 0.425944, 0.455065, 0.491748, 0.514407, 0.559724, 0.644506, 0.731524, 0.818542, 1.16662, 4.35083");
-            values ( \
-              "0.235329, 0.238379, 0.242096, 0.242451, 0.238988, 0.21541, 0.196125, 0.187295, 0.176988, 0.151995, 0.109338, 0.0801901, 0.0600799, 0.0440334, 0.0313361, 0.0267251, 0.0192928, 0.0127004, 0.00977031, 0.00570365, 0.00191039, 0.000623302, 0.000184598, 1e-22, 4.65523e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.0531465, 0.0531665, 0.142001, 0.242721, 0.295083, 0.357142, 0.418132, 0.545394, 0.630402, 0.665986, 0.702424, 0.750848, 0.793714, 0.850868, 0.900454, 0.966908, 1.05393, 1.14094, 1.22796, 1.31498, 1.48902, 2.01113, 4.35088");
-            values ( \
-              "1e-22, 0.275478, 0.238162, 0.214703, 0.201355, 0.1819, 0.156679, 0.0984147, 0.0663989, 0.0555393, 0.0459346, 0.035345, 0.0278682, 0.0201101, 0.0150719, 0.0101979, 0.00602259, 0.00353234, 0.00207204, 0.00120676, 0.000409467, 1.38773e-05, 1.6687e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.0563956, 0.0564156, 0.235459, 0.438679, 0.525697, 0.60095, 0.669522, 0.749205, 1.04737, 1.12149, 1.24143, 1.37321, 1.46023, 1.54228, 1.62779, 1.71481, 1.78366, 1.90728, 2.08132, 2.16833, 2.34237, 2.60342, 2.9515, 4.35082");
-            values ( \
-              "1e-22, 0.279623, 0.241262, 0.217508, 0.206517, 0.19584, 0.184382, 0.168536, 0.100419, 0.0852209, 0.0639452, 0.0455208, 0.0359749, 0.0286635, 0.0224931, 0.0175065, 0.0143276, 0.00996626, 0.00593311, 0.00456846, 0.00270339, 0.0012272, 0.000426757, 5.83439e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0694672, 0.0781912, 0.0870015, 0.100963, 0.106209, 0.108954, 0.112575, 0.113536, 0.114416, 0.115455, 0.116562, 0.118777, 0.121245, 0.124536, 0.132905, 0.136874, 0.140166, 0.144388, 0.147542, 0.151156, 0.155966, 0.160443, 0.166413, 0.170996, 0.176094, 0.182891, 0.196487, 0.214621, 0.236955, 0.26515, 0.306084, 4.35084");
-            values ( \
-              "0.0161844, 0.0356571, 0.0610002, 0.0974568, 0.109267, 0.114572, 0.120205, 0.124118, 0.126037, 0.126727, 0.126717, 0.124306, 0.11814, 0.105895, 0.0713166, 0.0582174, 0.0490229, 0.0391724, 0.0330547, 0.0270866, 0.0206661, 0.0160133, 0.0112931, 0.00862672, 0.00637796, 0.00422708, 0.00178114, 0.000514294, 0.000104927, 6.45386e-06, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.0738407, 0.083388, 0.0996046, 0.112549, 0.114061, 0.117192, 0.119459, 0.121685, 0.12546, 0.13116, 0.136718, 0.143316, 0.1599, 0.171206, 0.183422, 0.189093, 0.196626, 0.203445, 0.212537, 0.219969, 0.228143, 0.239041, 0.260838, 0.28932, 0.324481, 0.368859, 4.35081");
-            values ( \
-              "0.0400667, 0.0608924, 0.118631, 0.160676, 0.169309, 0.175953, 0.177176, 0.176558, 0.173095, 0.16473, 0.153929, 0.137132, 0.0890913, 0.0632628, 0.0424945, 0.0349976, 0.0268335, 0.0209948, 0.0149862, 0.0113427, 0.00832498, 0.0054559, 0.00223667, 0.000635124, 0.000126966, 5.45475e-06, 1.97033e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.0774965, 0.0912173, 0.1151, 0.118683, 0.121077, 0.123284, 0.127511, 0.138265, 0.158704, 0.166727, 0.174789, 0.190605, 0.213814, 0.224488, 0.24738, 0.266993, 0.28007, 0.291634, 0.307053, 0.320578, 0.338721, 0.362912, 0.407989, 0.460544, 0.526572, 0.611863, 4.35088");
-            values ( \
-              "0.0569452, 0.0998384, 0.201197, 0.211219, 0.213191, 0.213662, 0.212385, 0.204566, 0.186224, 0.177719, 0.16776, 0.143343, 0.10382, 0.0876814, 0.0588431, 0.0405441, 0.0312038, 0.0246252, 0.0177922, 0.0133145, 0.00898498, 0.00522973, 0.0017524, 0.000460945, 6.89187e-05, 4.96068e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.0815842, 0.100884, 0.115904, 0.120464, 0.124659, 0.130064, 0.135952, 0.179123, 0.218831, 0.234387, 0.250023, 0.28068, 0.327623, 0.364076, 0.394639, 0.425361, 0.457323, 0.471883, 0.501002, 0.537683, 0.560338, 0.60565, 0.69041, 0.777428, 0.864446, 1.21252, 4.35093");
-            values ( \
-              "0.0817336, 0.150949, 0.224983, 0.234718, 0.237193, 0.236616, 0.234534, 0.215414, 0.196126, 0.187295, 0.176988, 0.151995, 0.109339, 0.0801908, 0.0600808, 0.0440344, 0.0313372, 0.0267263, 0.0192939, 0.0127015, 0.00977158, 0.0057047, 0.0019115, 0.000623591, 0.000184761, 1e-22, 4.52067e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.0862143, 0.118306, 0.12231, 0.128464, 0.141222, 0.288791, 0.37209, 0.403213, 0.464203, 0.563698, 0.628486, 0.712056, 0.748494, 0.796917, 0.839782, 0.896935, 0.94652, 1.01297, 1.09999, 1.18701, 1.27403, 1.36104, 1.53508, 2.05719, 4.35097");
-            values ( \
-              "0.117135, 0.241694, 0.248143, 0.250877, 0.248817, 0.214703, 0.192371, 0.1819, 0.156679, 0.110482, 0.0834782, 0.0555398, 0.045935, 0.0353455, 0.0278687, 0.0201106, 0.0150724, 0.0101985, 0.00602288, 0.00353257, 0.00207212, 0.00120685, 0.00040952, 1.3906e-05, 1.63555e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.092472, 0.120758, 0.135572, 0.484713, 0.607132, 0.70012, 0.79524, 1.0934, 1.28746, 1.41925, 1.50627, 1.67382, 1.82969, 1.95331, 2.12734, 2.3884, 2.78591");
-            values ( \
-              "0.202512, 0.253124, 0.258084, 0.217498, 0.201681, 0.187146, 0.168535, 0.10042, 0.0639453, 0.0455209, 0.0359751, 0.0224932, 0.0143277, 0.00996657, 0.00593332, 0.00270341, 0.00121772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.128935, 0.143019, 0.148408, 0.151173, 0.162572, 0.172771, 0.189017, 0.198879, 0.207802, 0.21185, 0.216162, 0.224435, 0.228756, 0.238026, 0.247766, 0.248934, 0.249252, 0.249887, 0.261963, 0.267176, 0.274125, 0.276776, 0.28317, 0.290478, 0.305094, 0.324266, 0.348105, 0.378607, 4.35089");
-            values ( \
-              "0.0114661, 0.0142821, 0.0188897, 0.0217979, 0.0357806, 0.0467421, 0.0620884, 0.0702716, 0.0763384, 0.0783298, 0.0796835, 0.0770243, 0.0695277, 0.0495984, 0.0326604, 0.0310004, 0.0314168, 0.0314044, 0.0188072, 0.0141287, 0.00945246, 0.00808494, 0.00554614, 0.00355015, 0.00139406, 0.000358342, 6.94659e-05, 1e-22, 6.0082e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.138895, 0.156119, 0.158948, 0.164607, 0.172834, 0.191751, 0.192037, 0.197748, 0.204267, 0.207897, 0.21296, 0.217137, 0.221313, 0.224097, 0.229665, 0.230501, 0.232173, 0.232842, 0.234179, 0.234848, 0.236186, 0.237523, 0.238861, 0.240198, 0.242403, 0.244608, 0.246813, 0.249018, 0.250997, 0.252975, 0.254953, 0.258777, 0.263029, 0.269254, 0.273412, 0.274798, 0.278385, 0.283782, 0.287402, 0.291615, 0.294889, 0.30106, 0.303854, 0.307207, 0.311677, 0.316147, 0.319939, 0.32543, 0.328825, 0.332221");
-            values ( \
-              "0.0315179, 0.0342133, 0.0381024, 0.0465367, 0.0580982, 0.0832519, 0.0835214, 0.0908991, 0.0991102, 0.103565, 0.109577, 0.11378, 0.117742, 0.120248, 0.124939, 0.125403, 0.126671, 0.126897, 0.127225, 0.127327, 0.127359, 0.127257, 0.127021, 0.12665, 0.125745, 0.124474, 0.122837, 0.120835, 0.118339, 0.114609, 0.110472, 0.101316, 0.0903094, 0.0750597, 0.0663112, 0.0635549, 0.0568824, 0.0475148, 0.0419093, 0.0364465, 0.032663, 0.026166, 0.0234932, 0.020704, 0.0176786, 0.0150103, 0.0131016, 0.0105277, 0.00907678, 0.0077957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.143729, 0.17074, 0.213365, 0.230052, 0.24107, 0.24889, 0.250722, 0.253349, 0.255562, 0.258867, 0.263908, 0.277659, 0.293383, 0.317969, 0.338394, 0.353521, 0.369426, 0.38275, 0.394864, 0.411017, 0.423793, 0.437859, 0.456614, 0.494125, 0.543885, 0.605116, 0.682411, 4.35084");
-            values ( \
-              "0.0389427, 0.0621528, 0.132363, 0.158176, 0.173253, 0.182388, 0.185911, 0.188318, 0.188411, 0.187293, 0.183343, 0.167735, 0.143488, 0.101786, 0.0727564, 0.0554204, 0.0408923, 0.031324, 0.0244412, 0.0173767, 0.0132145, 0.00975014, 0.00644236, 0.0026801, 0.000767213, 0.000150016, 1.04923e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.149485, 0.174602, 0.250875, 0.254862, 0.258807, 0.269083, 0.308861, 0.328852, 0.348766, 0.37236, 0.429504, 0.465135, 0.497077, 0.535496, 0.568985, 0.588778, 0.623791, 0.639766, 0.663545, 0.695251, 0.758662, 0.84568, 0.932699, 1.01972, 1.28077, 4.35102");
-            values ( \
-              "0.0576669, 0.0730637, 0.217532, 0.2226, 0.223865, 0.220971, 0.202502, 0.191995, 0.179622, 0.161338, 0.109831, 0.0812058, 0.0600844, 0.0406041, 0.0283404, 0.0227721, 0.0152851, 0.0127374, 0.00968486, 0.00667856, 0.00306391, 0.00098983, 0.000310449, 0.000102424, 3.67289e-07, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.162421, 0.200977, 0.252018, 0.261391, 0.270224, 0.390794, 0.45941, 0.505216, 0.566206, 0.693468, 0.778476, 0.814058, 0.898919, 0.941783, 0.998935, 1.04852, 1.11497, 1.20199, 1.289, 1.37602, 1.46304, 1.63708, 2.15919, 4.35072");
-            values ( \
-              "0.0949902, 0.128422, 0.236815, 0.243608, 0.242683, 0.214702, 0.196776, 0.1819, 0.156679, 0.0984151, 0.0663994, 0.05554, 0.0353457, 0.027869, 0.0201112, 0.0150727, 0.0101989, 0.00602328, 0.00353264, 0.00207233, 0.00120682, 0.000409444, 1.38149e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.173363, 0.226895, 0.251781, 0.256982, 0.26134, 0.270058, 0.586793, 0.709212, 0.749065, 0.802201, 0.848507, 0.89732, 0.954168, 1.11242, 1.19549, 1.2696, 1.35662, 1.38955, 1.4554, 1.52133, 1.60835, 1.69039, 1.7759, 1.86292, 1.93177, 1.98474, 2.05538, 2.1424, 2.22942, 2.31643, 2.40345, 2.49047, 2.57749, 2.75153, 3.0996, 3.53469, 4.35059");
-            values ( \
-              "0.127181, 0.189221, 0.245964, 0.252935, 0.254202, 0.254259, 0.217508, 0.201681, 0.19584, 0.187145, 0.178558, 0.168535, 0.155898, 0.118905, 0.100419, 0.0852211, 0.0693507, 0.0639455, 0.0541074, 0.0455211, 0.0359752, 0.0286639, 0.0224935, 0.0175068, 0.014328, 0.0122729, 0.00996673, 0.0076942, 0.00593339, 0.00456871, 0.00351676, 0.00270354, 0.00207904, 0.00122725, 0.000426767, 0.000113199, 9.26879e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.248612, 0.278518, 0.285106, 0.293368, 0.300362, 0.311418, 0.325785, 0.344799, 0.357569, 0.368011, 0.38343, 0.397534, 0.40071, 0.410811, 0.423768, 0.436721, 0.448007, 0.456905, 0.465746, 0.472837, 0.479289, 0.487891, 0.49572, 0.50227, 0.50645, 0.514808, 0.531525, 0.550193, 0.558303, 0.56985, 0.58092, 0.60306, 0.62196, 0.65976, 2.5457, 2.63271, 2.71973, 2.80675, 2.89377, 2.98079, 3.0678, 3.15482, 3.24184, 3.32886, 3.41588, 3.5029, 3.58991, 4.35091");
-            values ( \
-              "0.00402955, 0.0050818, 0.00611338, 0.00771135, 0.00943214, 0.0131234, 0.0202294, 0.0307022, 0.0360706, 0.0399133, 0.0446636, 0.0480945, 0.0487088, 0.0502696, 0.0506524, 0.0448147, 0.0325954, 0.0244843, 0.0179232, 0.0136682, 0.0105518, 0.00729175, 0.0051305, 0.00378715, 0.00311838, 0.00207179, 0.00088065, 0.00033524, 0.00136676, 0.00132142, 0.000616127, 0.000118134, 3.43911e-05, 1e-22, 7.01233e-06, 1e-22, 7.18171e-06, 1e-22, 7.3085e-06, 1e-22, 7.39761e-06, 1e-22, 7.45347e-06, 1e-22, 7.48003e-06, 1e-22, 7.48084e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.262006, 0.292834, 0.301612, 0.310885, 0.320358, 0.351022, 0.379875, 0.395572, 0.411956, 0.429798, 0.437776, 0.446458, 0.462785, 0.479108, 0.494923, 0.506292, 0.517574, 0.529643, 0.535251, 0.546466, 0.550116, 0.554641, 0.559699, 0.575386, 0.587072, 0.606847, 0.638795, 0.67834, 0.731006, 4.35083");
-            values ( \
-              "0.0110716, 0.0114367, 0.0142591, 0.0179428, 0.022744, 0.0425292, 0.0578666, 0.0650557, 0.071829, 0.0780669, 0.0802551, 0.0820353, 0.0816312, 0.068993, 0.0501925, 0.0384512, 0.0285063, 0.020003, 0.0167921, 0.0116054, 0.0102624, 0.0103258, 0.00950179, 0.00560523, 0.00352077, 0.00160033, 0.000349458, 6.78119e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.275152, 0.320678, 0.381962, 0.412214, 0.444581, 0.456116, 0.479155, 0.489924, 0.500715, 0.522049, 0.533391, 0.550113, 0.554065, 0.58322, 0.605359, 0.62082, 0.630009, 0.648386, 0.664258, 0.675009, 0.69651, 0.739513, 0.792742, 0.859676, 0.945501, 4.35083");
-            values ( \
-              "0.018258, 0.0282292, 0.0698823, 0.0886911, 0.107417, 0.11344, 0.123683, 0.127082, 0.128932, 0.122768, 0.111743, 0.0925055, 0.0896342, 0.0554167, 0.0361084, 0.0264115, 0.0218184, 0.014735, 0.01047, 0.00828473, 0.00512832, 0.00183181, 0.000459968, 7.54658e-05, 1e-22, 2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.298533, 0.343591, 0.356175, 0.370724, 0.447593, 0.459073, 0.470848, 0.49193, 0.496565, 0.501199, 0.506162, 0.511126, 0.516089, 0.521052, 0.528428, 0.535804, 0.54318, 0.550556, 0.551038, 0.552001, 0.553927, 0.555853, 0.557779, 0.560141, 0.562503, 0.570723, 0.580666, 0.588406, 0.596146, 0.605466, 0.623692, 0.650411, 0.676384, 0.690646, 0.695399, 0.707367, 0.725227, 0.737011, 0.746363, 0.755714, 0.768121, 0.787903, 0.79528, 0.802656, 0.817409, 0.832161, 0.844639, 0.862689, 0.873833, 0.884976");
-            values ( \
-              "0.0464369, 0.0482333, 0.0572871, 0.0681551, 0.126222, 0.134688, 0.143201, 0.158064, 0.161061, 0.163775, 0.168294, 0.173832, 0.18039, 0.187966, 0.1864, 0.18609, 0.187036, 0.189239, 0.189426, 0.190219, 0.190303, 0.1902, 0.189911, 0.189103, 0.188129, 0.183453, 0.176666, 0.170764, 0.164566, 0.156569, 0.14053, 0.116064, 0.093683, 0.0829115, 0.0794896, 0.0713307, 0.0598429, 0.0529881, 0.0483283, 0.0439669, 0.0387721, 0.0310339, 0.0285362, 0.0262373, 0.0224108, 0.0190312, 0.0166118, 0.0133577, 0.011525, 0.00989716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.310671, 0.374326, 0.523533, 0.554686, 0.563431, 0.61867, 0.67439, 0.699265, 0.725798, 0.772257, 0.885469, 0.949759, 1.00418, 1.04753, 1.08128, 1.12804, 1.16684, 1.21008, 1.27138, 1.29818, 1.33408, 1.38194, 1.46896, 1.55598, 1.643, 1.73002, 1.81703, 1.99107, 2.25213, 4.35103");
-            values ( \
-              "0.0569582, 0.074503, 0.201837, 0.22592, 0.227633, 0.214682, 0.200413, 0.193194, 0.184494, 0.16623, 0.114048, 0.0867644, 0.0670489, 0.0539265, 0.0451926, 0.0350854, 0.0282983, 0.0221439, 0.0155104, 0.0132675, 0.0107471, 0.00808542, 0.00476047, 0.00279029, 0.00163297, 0.000952924, 0.00055713, 0.000189962, 3.69989e-05, 4.19361e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.324121, 0.394331, 0.55046, 0.562972, 0.76042, 0.901332, 1.02972, 1.12484, 1.42301, 1.49712, 1.61707, 1.74885, 1.83587, 1.91791, 2.00342, 2.09044, 2.15929, 2.2829, 2.45693, 2.63097, 2.89202, 3.15308, 4.35117");
-            values ( \
-              "0.0758548, 0.093241, 0.239968, 0.246565, 0.223954, 0.206515, 0.187145, 0.168535, 0.10042, 0.085221, 0.0639454, 0.0455212, 0.0359753, 0.0286642, 0.0224936, 0.017507, 0.0143281, 0.00996702, 0.00593359, 0.00351692, 0.00159651, 0.000723937, 1.92722e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.531942, 0.608113, 0.6265, 0.638039, 0.661116, 0.67935, 0.728977, 0.744026, 0.764773, 0.775597, 0.802089, 0.817996, 0.838095, 0.858198, 0.896042, 0.908278, 0.924987, 0.934021, 0.947159, 0.964676, 0.995358, 1.03098, 1.07567, 1.21578, 1.23754, 1.27392, 1.30842, 1.36413, 1.42931, 1.51633, 1.60335, 1.69037, 1.77738, 4.35074");
-            values ( \
-              "0.00218684, 0.00321781, 0.00418635, 0.00501272, 0.0073539, 0.0103989, 0.0214832, 0.0243051, 0.0275297, 0.0289033, 0.0314273, 0.0323383, 0.0326912, 0.030773, 0.0140179, 0.00997052, 0.00594605, 0.00440295, 0.00279014, 0.00144959, 0.000385164, 9.35717e-05, 3.24436e-05, 9.95586e-06, 0.000416356, 0.000116242, 1e-22, 5.57216e-05, 1e-22, 3.07291e-05, 1e-22, 1.78324e-05, 1e-22, 1.17774e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.532663, 0.60817, 0.624241, 0.641772, 0.65065, 0.668408, 0.690758, 0.744965, 0.763559, 0.794637, 0.814366, 0.842076, 0.848308, 0.868172, 0.893572, 0.918968, 0.951906, 0.968587, 0.984243, 1.00514, 1.01669, 1.03298, 1.0547, 1.09362, 1.13863, 1.19496, 1.21584, 1.22628, 1.2463, 1.26305, 1.29655, 1.33079, 4.3508");
-            values ( \
-              "0.00404427, 0.00543609, 0.00669429, 0.00844952, 0.0095678, 0.0123372, 0.0173025, 0.0327401, 0.0371641, 0.0433352, 0.0464941, 0.0500229, 0.0506537, 0.0522632, 0.0529512, 0.0486297, 0.0305892, 0.0223684, 0.0160252, 0.00972536, 0.00722533, 0.0046582, 0.00247859, 0.000667559, 0.000141347, 3.4695e-05, 3.20525e-05, 0.000767946, 0.000754019, 0.000256639, 8.07138e-05, 3.68667e-06, 2.89724e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.559432, 0.633282, 0.659943, 0.672309, 0.69704, 0.742131, 0.791062, 0.82962, 0.851877, 0.877303, 0.913883, 0.930222, 0.948026, 0.981251, 1.01447, 1.06107, 1.08468, 1.10627, 1.13493, 1.15131, 1.17419, 1.2047, 1.21583, 1.22764, 1.24293, 1.25662, 1.28398, 1.31424, 1.37475, 1.46177, 4.35079");
-            values ( \
-              "0.0106492, 0.0112373, 0.0152892, 0.0177035, 0.0237512, 0.0383544, 0.0527056, 0.0619873, 0.0667467, 0.0717081, 0.0778227, 0.0800204, 0.0818974, 0.0824883, 0.0723739, 0.0450328, 0.0327451, 0.0235, 0.0144054, 0.0106755, 0.00689872, 0.00369066, 0.00293468, 0.00333175, 0.00286402, 0.00202885, 0.00109085, 0.000539585, 0.000105252, 2.27258e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.575804, 0.664631, 0.707267, 0.794686, 0.856752, 0.920059, 0.973887, 1.02229, 1.04427, 1.06739, 1.11198, 1.12727, 1.14279, 1.16335, 1.21587, 1.26241, 1.28497, 1.31662, 1.3552, 1.38608, 1.40801, 1.45186, 1.53888, 1.6259, 1.71292, 1.79994, 2.06099, 4.35083");
-            values ( \
-              "0.016989, 0.0208267, 0.031473, 0.0607112, 0.0792478, 0.0967685, 0.110307, 0.120483, 0.123986, 0.126382, 0.122623, 0.116992, 0.109773, 0.0986464, 0.0681293, 0.0445644, 0.0351268, 0.0248731, 0.0160448, 0.0112687, 0.00874347, 0.00518458, 0.00167998, 0.000541415, 0.000166916, 5.69873e-05, 1e-22, 1.25702e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("0.608186, 0.72331, 0.97665, 1.03639, 1.07551, 1.11356, 1.17827, 1.1928, 1.2083, 1.21586, 1.22774, 1.23981, 1.27623, 1.40093, 1.46889, 1.51424, 1.54618, 1.58355, 1.63281, 1.67754, 1.73718, 1.78423, 1.836, 1.90504, 1.99205, 2.07907, 2.16609, 2.25311, 2.42715, 2.6882, 4.35082");
-            values ( \
-              "0.0280384, 0.0405044, 0.127259, 0.146849, 0.158805, 0.169375, 0.183363, 0.18532, 0.186669, 0.186959, 0.185398, 0.181983, 0.167439, 0.11017, 0.0819972, 0.0660056, 0.0562335, 0.0462949, 0.0354717, 0.0276784, 0.0196768, 0.014969, 0.0110508, 0.00730981, 0.00429729, 0.00251988, 0.00147159, 0.000861267, 0.000294015, 5.68973e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("0.666062, 0.816303, 1.12324, 1.21419, 1.22075, 1.22949, 1.35442, 1.43097, 1.54902, 1.68589, 1.92725, 2.00136, 2.08838, 2.18716, 2.25309, 2.34011, 2.42215, 2.50766, 2.59467, 2.68118, 2.78713, 2.87415, 3.04819, 3.22222, 3.48328, 3.83135, 4.35134");
-            values ( \
-              "0.0548799, 0.0752607, 0.193384, 0.225323, 0.22736, 0.228034, 0.213093, 0.203074, 0.184448, 0.155908, 0.100419, 0.0852216, 0.0693509, 0.0541069, 0.0455213, 0.035975, 0.0286644, 0.0224941, 0.017507, 0.0136106, 0.00996692, 0.00769458, 0.00456899, 0.00270376, 0.00122719, 0.000426685, 8.79897e-05" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.017874, 0.026693, 0.0301217, 0.0340704, 0.037086, 0.0403249, 0.0437429, 0.0461613, 0.0548198, 0.0585072, 0.0620964, 0.0656086, 0.0716851, 0.0753792");
-            values ( \
-              "-0.0116018, -0.217123, -0.219957, -0.216448, -0.210937, -0.20009, -0.182566, -0.163657, -0.0705566, -0.0448101, -0.0281528, -0.0175694, -0.007541, -0.00498337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0074405");
-            index_3 ("0.0184628, 0.0270321, 0.0299288, 0.0349164, 0.0447627, 0.053, 0.0583242, 0.0626632, 0.0775782, 0.083828, 0.0901245, 0.0958758, 0.105589, 0.112741");
-            values ( \
-              "-0.021658, -0.265431, -0.269705, -0.268329, -0.257574, -0.24146, -0.223472, -0.200801, -0.0858799, -0.0544775, -0.0336089, -0.0212754, -0.0095744, -0.00584323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0152077");
-            index_3 ("0.0221703, 0.024203, 0.0248457, 0.0272289, 0.0288429, 0.0317612, 0.0365396, 0.0453268, 0.0640187, 0.068998, 0.0787095, 0.0880393, 0.0929159, 0.0994179, 0.115356, 0.124585, 0.131159, 0.136184, 0.142033, 0.149832, 0.157168, 0.168299, 0.183141, 0.207295, 0.236187");
-            values ( \
-              "-0.200454, -0.277994, -0.286273, -0.298861, -0.302187, -0.304156, -0.303238, -0.298384, -0.284426, -0.279612, -0.267487, -0.249997, -0.236705, -0.210608, -0.130211, -0.0928022, -0.0716989, -0.0585125, -0.0459225, -0.0329227, -0.0239739, -0.0146846, -0.00741983, -0.00221126, -0.00047273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0310833");
-            index_3 ("0.0224047, 0.0242072, 0.0255686, 0.027863, 0.0297432, 0.034036, 0.0452528, 0.0753347, 0.0934927, 0.111763, 0.131546, 0.146348, 0.152127, 0.162719, 0.17558, 0.194167, 0.206127, 0.218268, 0.234457, 0.24042, 0.251697, 0.262337, 0.283132, 0.294997, 0.318728, 0.362723, 0.413977");
-            values ( \
-              "-0.221847, -0.294971, -0.310505, -0.320137, -0.32338, -0.32535, -0.32277, -0.312023, -0.304412, -0.295289, -0.282018, -0.267916, -0.260653, -0.242507, -0.210406, -0.157502, -0.127123, -0.100726, -0.0723663, -0.0639054, -0.0502075, -0.0398132, -0.0250118, -0.0190933, -0.010981, -0.00357869, -0.0009215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0635317");
-            index_3 ("0.0224985, 0.0241728, 0.0257935, 0.0298158, 0.0321145, 0.0373223, 0.0916211, 0.12689, 0.162995, 0.199321, 0.224181, 0.23866, 0.267618, 0.279524, 0.300538, 0.326075, 0.36297, 0.386736, 0.410893, 0.443103, 0.454904, 0.477234, 0.498402, 0.527965, 0.53978, 0.563411, 0.610671, 0.676153, 0.741636, 0.807117");
-            values ( \
-              "-0.233632, -0.304159, -0.322365, -0.334503, -0.336498, -0.337225, -0.327864, -0.320861, -0.312881, -0.303468, -0.295553, -0.290093, -0.276128, -0.26843, -0.249774, -0.216578, -0.162097, -0.130785, -0.103582, -0.0743831, -0.0657099, -0.0516734, -0.0409622, -0.0293685, -0.02572, -0.0196256, -0.011273, -0.00506534, -0.00227503, -0.00100488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.129853");
-            index_3 ("0.0223501, 0.0255786, 0.0302956, 0.0365132, 0.09129, 0.231926, 0.304771, 0.367256, 0.404404, 0.457266, 0.522748, 0.53969, 0.573573, 0.633377, 0.696571, 0.756831, 0.80548, 0.841496, 0.887843, 0.925256, 0.97105, 1.03549, 1.07699, 1.15437, 1.21985, 1.28533, 1.35081, 1.48178, 1.61274");
-            values ( \
-              "-0.239784, -0.32755, -0.341114, -0.343583, -0.339117, -0.32539, -0.317185, -0.309166, -0.303644, -0.294219, -0.278084, -0.272394, -0.257898, -0.219809, -0.172557, -0.13194, -0.104494, -0.0872342, -0.0685894, -0.0562407, -0.0438581, -0.0306302, -0.0242827, -0.0156413, -0.0107154, -0.00732634, -0.00499932, -0.00232212, -0.00107619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0315391, 0.041318, 0.0527761, 0.055688, 0.0570942, 0.0598559, 0.0625167, 0.0652879, 0.0732926, 0.0769302, 0.0814022, 0.0857429, 0.0887703, 0.0948251, 0.0976766");
-            values ( \
-              "-0.00455519, -0.129564, -0.211434, -0.209382, -0.206165, -0.196248, -0.182342, -0.159988, -0.0731608, -0.046929, -0.0262529, -0.014625, -0.00962607, -0.0039514, -0.00294393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0074405");
-            index_3 ("0.0318119, 0.0433737, 0.0449258, 0.04803, 0.0508448, 0.0509185, 0.0510658, 0.0513603, 0.0519054, 0.0525251, 0.0536466, 0.0548529, 0.0560481, 0.0584383, 0.0611561, 0.0650722, 0.0663815, 0.0690001, 0.0718087, 0.0773019, 0.0776043, 0.0782092, 0.079419, 0.0816265, 0.0842494, 0.0894952, 0.0928567, 0.0939875, 0.0962491, 0.100772, 0.10249, 0.10388, 0.105732, 0.108706, 0.112868, 0.114517, 0.117814, 0.124409, 0.136688, 0.151003");
-            values ( \
-              "-0.00371681, -0.17833, -0.19259, -0.219694, -0.242344, -0.244974, -0.248991, -0.254124, -0.2593, -0.261554, -0.263587, -0.264012, -0.264021, -0.262622, -0.260052, -0.254704, -0.252521, -0.247415, -0.240496, -0.221996, -0.220852, -0.218179, -0.212174, -0.198648, -0.178268, -0.133608, -0.107935, -0.100115, -0.0857245, -0.0618147, -0.0544014, -0.0490029, -0.0425498, -0.0337718, -0.024272, -0.0212784, -0.0163122, -0.00942849, -0.0031152, -0.000798522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0152077");
-            index_3 ("0.0319492, 0.0481708, 0.0521519, 0.0538517, 0.0574369, 0.0632264, 0.0689445, 0.0877561, 0.0979876, 0.105082, 0.10864, 0.114115, 0.120794, 0.130424, 0.142881, 0.154342, 0.165698, 0.176434, 0.182551, 0.194785, 0.216003");
-            values ( \
-              "-0.0141578, -0.247898, -0.293867, -0.299007, -0.300906, -0.298881, -0.295327, -0.279888, -0.266852, -0.254225, -0.246065, -0.228959, -0.198758, -0.14885, -0.095292, -0.0604685, -0.03764, -0.0236629, -0.018085, -0.010411, -0.00385397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0310833");
-            index_3 ("0.0318415, 0.0485819, 0.0522523, 0.0541718, 0.0556359, 0.0592142, 0.0715939, 0.0943807, 0.112224, 0.13121, 0.150028, 0.158513, 0.167564, 0.178388, 0.19282, 0.22147, 0.237376, 0.246372, 0.258091, 0.26763, 0.279015, 0.295063, 0.311722, 0.324478, 0.34999, 0.387254");
-            values ( \
-              "-0.00553268, -0.268703, -0.314791, -0.320745, -0.32232, -0.323438, -0.320325, -0.312002, -0.304572, -0.295035, -0.282572, -0.275113, -0.265351, -0.249091, -0.215439, -0.136073, -0.100611, -0.0840004, -0.0658659, -0.0537822, -0.0419768, -0.0293468, -0.0201751, -0.0150692, -0.00825878, -0.00364189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0635317");
-            index_3 ("0.0387929, 0.0531425, 0.056683, 0.0603653, 0.0858699, 0.146123, 0.219356, 0.251436, 0.270834, 0.29871, 0.34013, 0.378991, 0.406724, 0.430265, 0.471646, 0.513313, 0.54519, 0.578236, 0.603533, 0.654126, 0.719508");
-            values ( \
-              "-0.193287, -0.330513, -0.335112, -0.336183, -0.332438, -0.320865, -0.30321, -0.292662, -0.284508, -0.268426, -0.224589, -0.167014, -0.130303, -0.103709, -0.0677268, -0.0431787, -0.0301376, -0.020735, -0.0155362, -0.00849456, -0.00385198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.129853");
-            index_3 ("0.0383673, 0.055655, 0.0629476, 0.23476, 0.323936, 0.389418, 0.476468, 0.537027, 0.558805, 0.601179, 0.652565, 0.764825, 0.818308, 0.854986, 0.904977, 0.947947, 0.992437, 1.05586, 1.08319, 1.13786, 1.20334, 1.3343, 1.46527, 1.59623");
-            values ( \
-              "-0.200604, -0.340536, -0.343104, -0.327096, -0.31719, -0.308742, -0.294238, -0.279528, -0.272425, -0.253434, -0.219739, -0.138895, -0.107767, -0.0897057, -0.0692957, -0.0551638, -0.043268, -0.0304288, -0.0260679, -0.0191562, -0.0131053, -0.00611364, -0.00283027, -0.00130048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0562853, 0.0627925, 0.0657941, 0.0696159, 0.0764755, 0.0903276, 0.0950143, 0.0994054, 0.103729, 0.108046, 0.112581, 0.118334, 0.122114, 0.124904, 0.129799, 0.133739, 0.141303, 0.150106");
-            values ( \
-              "-0.00216039, -0.0381367, -0.049115, -0.0679895, -0.0931622, -0.134169, -0.145507, -0.152745, -0.15094, -0.133084, -0.0938703, -0.0531986, -0.033148, -0.0229337, -0.01184, -0.00681198, -0.00212063, -0.000511971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0074405");
-            index_3 ("0.0562891, 0.0742001, 0.0778911, 0.0953628, 0.102184, 0.108378, 0.112585, 0.114194, 0.119276, 0.12147, 0.126659, 0.136401, 0.143148, 0.14819, 0.155287, 0.160213, 0.166781, 0.178128, 0.192128");
-            values ( \
-              "-0.000115447, -0.103579, -0.119568, -0.185492, -0.207756, -0.224734, -0.232182, -0.238359, -0.223793, -0.213975, -0.178458, -0.0999222, -0.061803, -0.0422864, -0.0241515, -0.0162358, -0.00955979, -0.00336173, -0.00101602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0152077");
-            index_3 ("0.0563036, 0.0866643, 0.103829, 0.114002, 0.116052, 0.120482, 0.123798, 0.133018, 0.138815, 0.142743, 0.150795, 0.156287, 0.162948, 0.172579, 0.178766, 0.185034, 0.19339, 0.196494, 0.20236, 0.207852, 0.215528, 0.218585, 0.224698, 0.236926, 0.259363, 0.285609");
-            values ( \
-              "-0.00554531, -0.174724, -0.246783, -0.286762, -0.290249, -0.288007, -0.285452, -0.276423, -0.268886, -0.262682, -0.246077, -0.22888, -0.198769, -0.148835, -0.120191, -0.095302, -0.0685333, -0.0604754, -0.0474567, -0.0376381, -0.0270122, -0.0236644, -0.0180926, -0.0104154, -0.00346537, -0.000899136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0310833");
-            index_3 ("0.0563097, 0.0946848, 0.114257, 0.11657, 0.123371, 0.145529, 0.173025, 0.192808, 0.207591, 0.213389, 0.223981, 0.236842, 0.255428, 0.27953, 0.301681, 0.312958, 0.323597, 0.344391, 0.356255, 0.379983, 0.42351, 0.474227");
-            values ( \
-              "-0.00735648, -0.225233, -0.313702, -0.318093, -0.316991, -0.30844, -0.29533, -0.282017, -0.267941, -0.260652, -0.24251, -0.210406, -0.157505, -0.100726, -0.0639054, -0.0502113, -0.0398131, -0.0250118, -0.0190974, -0.010981, -0.00363372, -0.000951025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0635317");
-            index_3 ("0.0676608, 0.113826, 0.115062, 0.121316, 0.188477, 0.224579, 0.261704, 0.299081, 0.316488, 0.335055, 0.353204, 0.377403, 0.440917, 0.473152, 0.490795, 0.513836, 0.532331, 0.555066, 0.587045, 0.62047, 0.646099, 0.697356, 0.762511");
-            values ( \
-              "-0.103041, -0.326817, -0.331426, -0.333253, -0.320861, -0.312873, -0.303212, -0.290622, -0.282904, -0.272537, -0.258614, -0.23105, -0.13998, -0.102831, -0.0860407, -0.0676264, -0.0554923, -0.0432636, -0.0302158, -0.0206998, -0.0154121, -0.00838827, -0.00378842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.129853");
-            index_3 ("0.0673482, 0.113866, 0.116199, 0.12186, 0.287884, 0.431739, 0.518789, 0.579349, 0.601126, 0.6435, 0.694885, 0.807146, 0.860628, 0.897307, 0.947298, 0.990267, 1.03476, 1.12551, 1.18018, 1.24566, 1.37662, 1.50759, 1.63855");
-            values ( \
-              "-0.09993, -0.337461, -0.340326, -0.341597, -0.325966, -0.308727, -0.294226, -0.279539, -0.272413, -0.253445, -0.219729, -0.138885, -0.10776, -0.0897126, -0.0692891, -0.055158, -0.0432744, -0.026074, -0.0191508, -0.0131111, -0.00611923, -0.00283567, -0.00130572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.107472, 0.117412, 0.123343, 0.128026, 0.139368, 0.146422, 0.15572, 0.163754, 0.17102, 0.177773, 0.184284, 0.190783, 0.191335, 0.193541, 0.200482, 0.205746, 0.208496, 0.212163, 0.216234, 0.218965, 0.224427, 0.234041, 0.247468");
-            values ( \
-              "-0.0107005, -0.0183956, -0.0255547, -0.0329327, -0.0539814, -0.0643419, -0.0767542, -0.0863015, -0.0940896, -0.0996935, -0.102048, -0.0941324, -0.0927716, -0.0854711, -0.0548579, -0.0347698, -0.0266755, -0.0182679, -0.0117694, -0.00867442, -0.00456585, -0.00130304, -0.000345081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0074405");
-            index_3 ("0.109627, 0.114582, 0.122382, 0.124787, 0.129581, 0.143279, 0.153166, 0.158672, 0.170855, 0.17755, 0.181377, 0.18903, 0.194574, 0.199709, 0.208243, 0.217115, 0.219169, 0.221907, 0.229956, 0.234445, 0.238007, 0.240348, 0.243469, 0.249202, 0.251404, 0.252131, 0.25649, 0.260659, 0.266877, 0.268857");
-            values ( \
-              "-0.0173656, -0.02196, -0.0312729, -0.0358032, -0.043585, -0.0733724, -0.0910478, -0.100358, -0.119564, -0.129462, -0.13488, -0.144894, -0.151198, -0.155887, -0.158275, -0.142822, -0.134734, -0.121638, -0.0812784, -0.0620206, -0.0491723, -0.0419447, -0.0336667, -0.0219937, -0.0209254, -0.0202999, -0.0149295, -0.0107357, -0.00641923, -0.00563055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0152077");
-            index_3 ("0.128945, 0.151342, 0.156406, 0.166536, 0.174741, 0.181637, 0.185798, 0.19412, 0.201275, 0.20843, 0.214885, 0.22134, 0.224348, 0.227356, 0.230364, 0.233372, 0.236312, 0.239252, 0.242192, 0.245132, 0.24719, 0.249248, 0.250701, 0.251186, 0.251936, 0.252687, 0.254189, 0.256696, 0.257532, 0.260851, 0.26417, 0.267375, 0.27058, 0.27692, 0.279283, 0.280071, 0.281646, 0.284797, 0.286373, 0.288582, 0.291057, 0.293986, 0.296117, 0.298247, 0.300378, 0.302508, 0.304639, 0.306769, 0.307921, 0.31295");
-            values ( \
-              "-0.0976757, -0.0996163, -0.109939, -0.129782, -0.145359, -0.158091, -0.165605, -0.180263, -0.192416, -0.204172, -0.214396, -0.224273, -0.227711, -0.230679, -0.233177, -0.235205, -0.235513, -0.234978, -0.2336, -0.231379, -0.229322, -0.226852, -0.224059, -0.222989, -0.221011, -0.218766, -0.213471, -0.201863, -0.197842, -0.180213, -0.163229, -0.147439, -0.132251, -0.104819, -0.0957072, -0.092841, -0.0875542, -0.0776274, -0.0729875, -0.0669514, -0.060439, -0.0530465, -0.0485265, -0.0443514, -0.0406855, -0.0372549, -0.0340597, -0.0310999, -0.0296605, -0.0236917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0310833");
-            index_3 ("0.132936, 0.16115, 0.176274, 0.196429, 0.214934, 0.224186, 0.228833, 0.231157, 0.23348, 0.238127, 0.2395, 0.240872, 0.242245, 0.243617, 0.245556, 0.248936, 0.249107, 0.25006, 0.250764, 0.252763, 0.25454, 0.258326, 0.261705, 0.266252, 0.271953, 0.276513, 0.279087, 0.286807, 0.296602, 0.30739, 0.309376, 0.312354, 0.315332, 0.321288, 0.328493, 0.335613, 0.349765, 0.355617, 0.365437, 0.371387, 0.377337, 0.381303, 0.38527, 0.389237, 0.398988, 0.405819, 0.410142, 0.417985, 0.428994, 0.438408");
-            values ( \
-              "-0.113799, -0.128651, -0.160681, -0.201736, -0.237676, -0.25495, -0.263181, -0.268243, -0.273936, -0.287214, -0.287545, -0.288136, -0.288989, -0.290104, -0.292124, -0.297215, -0.298781, -0.301012, -0.30164, -0.301455, -0.301075, -0.299629, -0.298032, -0.295595, -0.292196, -0.289217, -0.287315, -0.281027, -0.270814, -0.260213, -0.25772, -0.252681, -0.246983, -0.234042, -0.215491, -0.196411, -0.156263, -0.141343, -0.116851, -0.104248, -0.0928678, -0.0858537, -0.0792494, -0.0730548, -0.0593371, -0.0508603, -0.0463423, -0.0391572, -0.0308234, -0.0244229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0635317");
-            index_3 ("0.124069, 0.150918, 0.155386, 0.164324, 0.175676, 0.194199, 0.20445, 0.224951, 0.241417, 0.250329, 0.252372, 0.254521, 0.258818, 0.271414, 0.298968, 0.317319, 0.353645, 0.36568, 0.378516, 0.392985, 0.421921, 0.433849, 0.454862, 0.480399, 0.517294, 0.54106, 0.549113, 0.565217, 0.597426, 0.609227, 0.612417, 0.618797, 0.631557, 0.652725, 0.682286, 0.694101, 0.71773, 0.764988, 0.83047, 0.895952, 0.961434");
-            values ( \
-              "-0.0608429, -0.109642, -0.120076, -0.141542, -0.167178, -0.208029, -0.229874, -0.273129, -0.306432, -0.324134, -0.325867, -0.325646, -0.325331, -0.322893, -0.317086, -0.312862, -0.303487, -0.299811, -0.29555, -0.290093, -0.276141, -0.26843, -0.249776, -0.216579, -0.162098, -0.130786, -0.121157, -0.103583, -0.0743848, -0.0657106, -0.063497, -0.0593323, -0.0516748, -0.0409631, -0.0293698, -0.025721, -0.019627, -0.011274, -0.00506605, -0.0022751, -0.00100515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.129853");
-            index_3 ("0.12417, 0.204861, 0.248586, 0.253863, 0.277961, 0.386874, 0.459565, 0.534506, 0.597888, 0.640836, 0.694483, 0.759965, 0.901833, 0.952736, 0.98743, 1.03997, 1.08552, 1.14625, 1.19675, 1.26431, 1.32979, 1.39527, 1.52623, 1.6572, 1.78816");
-            values ( \
-              "-0.0532675, -0.236783, -0.331718, -0.338011, -0.336215, -0.325403, -0.31723, -0.307363, -0.297026, -0.28802, -0.272388, -0.239807, -0.1381, -0.108479, -0.0913458, -0.0695565, -0.054605, -0.039198, -0.0295319, -0.0201715, -0.0138973, -0.0094665, -0.00439839, -0.00202823, -0.00092617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.231779, 0.258246, 0.261705, 0.264916, 0.271558, 0.275543, 0.280351, 0.285158, 0.288894, 0.29263, 0.296365, 0.300101, 0.303272, 0.306443, 0.309614, 0.312785, 0.315622, 0.321295, 0.326753, 0.331997, 0.334619, 0.337128, 0.339638, 0.342148, 0.344658, 0.347164, 0.349669, 0.352175, 0.354681, 0.356567, 0.358454, 0.36034, 0.362227, 0.36315, 0.364074, 0.364998, 0.365921, 0.368208, 0.369732, 0.371256, 0.374293, 0.376442, 0.378147, 0.378715, 0.379852, 0.380989, 0.382125, 0.383262, 0.386064, 0.388777");
-            values ( \
-              "-0.0178869, -0.0204663, -0.0226692, -0.0250667, -0.0301519, -0.0331256, -0.0364169, -0.0395764, -0.0419195, -0.0441971, -0.046409, -0.0485554, -0.0503245, -0.0520472, -0.0537235, -0.0553535, -0.0567534, -0.0594797, -0.0620104, -0.0643563, -0.0654979, -0.0658165, -0.065897, -0.0657394, -0.0653436, -0.0647109, -0.0638409, -0.0627336, -0.0613889, -0.0596054, -0.0574929, -0.0550511, -0.0522801, -0.0507099, -0.0490403, -0.0472714, -0.0454032, -0.0402137, -0.0369559, -0.0338255, -0.028028, -0.0240135, -0.0211756, -0.0202977, -0.0187193, -0.0172269, -0.0158206, -0.0145004, -0.0116664, -0.00911805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0074405");
-            index_3 ("0.236719, 0.264481, 0.268453, 0.271961, 0.275567, 0.281615, 0.285647, 0.289679, 0.296183, 0.302687, 0.307444, 0.3122, 0.316957, 0.321713, 0.325782, 0.33392, 0.337989, 0.345292, 0.352595, 0.359356, 0.366118, 0.369361, 0.372603, 0.375846, 0.379088, 0.382325, 0.385562, 0.388798, 0.392035, 0.392432, 0.394021, 0.39561, 0.397199, 0.399318, 0.401986, 0.403594, 0.406811, 0.410305, 0.413395, 0.417066, 0.419706, 0.421884, 0.424062, 0.426283, 0.428503, 0.430079, 0.431655, 0.433231, 0.434807, 0.437251");
-            values ( \
-              "-0.0307604, -0.0311443, -0.0344806, -0.0375654, -0.0408422, -0.0465259, -0.0500995, -0.0535535, -0.0588213, -0.0638813, -0.0674184, -0.0708653, -0.0742221, -0.0774888, -0.0802118, -0.0854598, -0.0879849, -0.0922853, -0.0964904, -0.100299, -0.104026, -0.103982, -0.103794, -0.103462, -0.102986, -0.102367, -0.101604, -0.100698, -0.0996481, -0.0992512, -0.0971008, -0.0944801, -0.0914084, -0.0869687, -0.0809958, -0.0772081, -0.0692451, -0.0601901, -0.0528745, -0.0448362, -0.0393753, -0.0350861, -0.0311613, -0.0277715, -0.0246563, -0.0226285, -0.0207277, -0.018954, -0.0173072, -0.0149929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0152077");
-            index_3 ("0.20963, 0.224997, 0.244328, 0.259828, 0.275491, 0.312677, 0.355843, 0.377753, 0.397425, 0.415642, 0.433161, 0.450645, 0.451874, 0.49087, 0.503996, 0.51334, 0.52544, 0.541572, 0.550452, 0.556753");
-            values ( \
-              "-0.00831984, -0.0119373, -0.0223212, -0.033158, -0.0466279, -0.0824619, -0.117303, -0.132889, -0.145428, -0.154611, -0.158681, -0.147422, -0.145596, -0.0544419, -0.0341636, -0.0239193, -0.0147915, -0.00742631, -0.00508057, -0.00511516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0310833");
-            index_3 ("0.224695, 0.275425, 0.327164, 0.37238, 0.407601, 0.437791, 0.464964, 0.490138, 0.514542, 0.540156, 0.574149, 0.589763, 0.607279, 0.630957, 0.647322, 0.673725, 0.708928, 0.71893");
-            values ( \
-              "-0.011718, -0.0515842, -0.103772, -0.144304, -0.173803, -0.196737, -0.215654, -0.228258, -0.231466, -0.203062, -0.120345, -0.088647, -0.061611, -0.0367818, -0.0255164, -0.0139494, -0.0059263, -0.00503875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0635317");
-            index_3 ("0.22424, 0.286306, 0.370351, 0.433763, 0.483144, 0.52556, 0.550034, 0.55354, 0.560551, 0.602289, 0.612359, 0.632498, 0.643197, 0.664237, 0.689745, 0.726643, 0.750406, 0.774561, 0.806767, 0.81857, 0.840907, 0.862072, 0.891632, 0.903444, 0.927068, 0.974317, 1.0398, 1.10528, 1.17076");
-            values ( \
-              "-0.00750696, -0.0662251, -0.150772, -0.209977, -0.253052, -0.286833, -0.303951, -0.305184, -0.304354, -0.2901, -0.285845, -0.2754, -0.268441, -0.249732, -0.216589, -0.162085, -0.130794, -0.103591, -0.0743831, -0.0657168, -0.0516706, -0.0409637, -0.0293683, -0.0257229, -0.0196274, -0.0112773, -0.00506601, -0.00227653, -0.00100442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.129853");
-            index_3 ("0.274989, 0.35011, 0.433258, 0.523044, 0.54995, 0.561786, 0.578058, 0.668231, 0.724938, 0.779399, 0.820756, 0.886238, 0.903133, 0.936923, 0.99682, 1.06018, 1.12031, 1.16878, 1.20484, 1.25125, 1.28877, 1.33454, 1.39895, 1.44041, 1.51769, 1.58317, 1.64866, 1.71414, 1.8451, 1.97607");
-            values ( \
-              "-0.0978996, -0.134482, -0.217552, -0.302682, -0.326886, -0.328467, -0.3274, -0.317214, -0.309936, -0.301748, -0.294229, -0.27805, -0.272414, -0.257926, -0.219831, -0.172439, -0.131916, -0.104572, -0.0872757, -0.0686046, -0.0562212, -0.0438464, -0.0306278, -0.024284, -0.0156507, -0.0107243, -0.00733004, -0.0050043, -0.00232522, -0.00107841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.430534, 0.474829, 0.498253, 0.511902, 0.537346, 0.579975, 0.604796, 0.608349, 0.625423, 0.643829, 0.66077, 0.676957, 0.693012, 0.705044, 0.724239, 0.732728, 0.739817, 0.744916, 0.7511, 0.759345, 0.775834, 0.798025, 0.826237, 0.848048");
-            values ( \
-              "-0.00224476, -0.00367355, -0.00560676, -0.00727971, -0.0115015, -0.0234882, -0.0293435, -0.030404, -0.0340412, -0.0372716, -0.0399383, -0.0412124, -0.040507, -0.0343968, -0.0171248, -0.0112237, -0.00765422, -0.00578913, -0.00413345, -0.00265331, -0.00116118, -0.000517661, -0.000288832, -0.000190881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0074405");
-            index_3 ("0.429265, 0.485363, 0.500421, 0.525756, 0.538653, 0.56223, 0.595447, 0.626403, 0.649377, 0.683702, 0.704756, 0.724863, 0.744823, 0.759779, 0.783751, 0.798033, 0.808905, 0.814991, 0.827162, 0.850503, 0.867046");
-            values ( \
-              "-0.00263979, -0.00691506, -0.00893392, -0.0135069, -0.0165425, -0.0238105, -0.0360407, -0.0460523, -0.0528136, -0.0614688, -0.0654966, -0.0680312, -0.0663312, -0.0563307, -0.0290567, -0.0168762, -0.0106672, -0.00816925, -0.00466727, -0.00142742, -0.000794977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0152077");
-            index_3 ("0.428333, 0.502181, 0.540534, 0.562566, 0.644223, 0.683857, 0.71747, 0.747527, 0.775307, 0.80189, 0.828429, 0.848378, 0.871979, 0.891356, 0.902943, 0.922522, 0.935959, 0.962831, 0.979796");
-            values ( \
-              "-0.00221229, -0.0126229, -0.0219052, -0.0289926, -0.0620236, -0.0761874, -0.0869247, -0.0952227, -0.101488, -0.104942, -0.101204, -0.0840929, -0.0541627, -0.0336228, -0.0243816, -0.0136124, -0.00887635, -0.00346522, -0.00221693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0310833");
-            index_3 ("0.492964, 0.563141, 0.581622, 0.682209, 0.749999, 0.775482, 0.804329, 0.845637, 0.883755, 0.920312, 0.956798, 0.960499, 0.973186, 1.01403, 1.03931, 1.05569, 1.07441, 1.08534, 1.11075, 1.13978, 1.19237, 1.21574, 1.23288, 1.25515");
-            values ( \
-              "-0.0257466, -0.0341602, -0.0412467, -0.0851473, -0.1111, -0.120033, -0.129601, -0.141908, -0.151144, -0.155714, -0.146775, -0.144071, -0.131777, -0.0810097, -0.0544775, -0.041055, -0.0290571, -0.0235843, -0.0142499, -0.00769979, -0.0021438, -0.00127281, -0.00153648, -0.00101509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0635317");
-            index_3 ("0.492812, 0.564015, 0.60817, 0.674039, 0.7505, 0.861581, 0.934823, 0.984358, 1.00786, 1.04549, 1.05807, 1.07744, 1.09644, 1.13444, 1.1493, 1.21584, 1.25467, 1.27389, 1.30465, 1.34436, 1.37606, 1.39873, 1.44407, 1.50955, 1.57503, 1.64052");
-            values ( \
-              "-0.0259332, -0.0383811, -0.056455, -0.0868777, -0.11993, -0.16393, -0.190235, -0.206064, -0.212698, -0.221408, -0.223505, -0.225605, -0.225542, -0.213277, -0.200991, -0.12539, -0.0863322, -0.070618, -0.0507686, -0.0325667, -0.0227498, -0.017566, -0.0102924, -0.0046446, -0.00206156, -0.00093425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.129853");
-            index_3 ("0.55011, 0.650151, 0.763175, 0.854228, 0.984541, 1.12393, 1.18941, 1.21552, 1.22708, 1.24055, 1.28268, 1.31538, 1.36498, 1.43046, 1.57232, 1.62322, 1.65791, 1.71045, 1.756, 1.81674, 1.86723, 1.93478, 2.00026, 2.06574, 2.13122, 2.1967, 2.32767, 2.45863");
-            values ( \
-              "-0.0699026, -0.0783886, -0.130296, -0.169872, -0.223969, -0.276172, -0.297193, -0.304415, -0.30388, -0.301956, -0.294256, -0.286999, -0.272433, -0.239761, -0.138128, -0.108492, -0.0913409, -0.0695671, -0.0546119, -0.0391881, -0.029539, -0.0201796, -0.0138921, -0.00947347, -0.00650918, -0.00440466, -0.00203404, -0.000931635" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0109859, 0.0109329, 0.0108252, 0.0106889, 0.0105816, 0.0105485", \
-            "0.0109092, 0.0108667, 0.0107721, 0.0106461, 0.0105408, 0.0105044", \
-            "0.010781, 0.0107623, 0.0107058, 0.0106106, 0.0105188, 0.0104838", \
-            "0.0107268, 0.0107402, 0.0107369, 0.0106919, 0.0106177, 0.0105929", \
-            "0.010979, 0.0110003, 0.0110169, 0.0110166, 0.0109838, 0.0109604", \
-            "0.0118881, 0.011885, 0.011909, 0.0119262, 0.0118998, 0.0118967" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00640651, 0.00644041, 0.00643519, 0.00637997, 0.0062971, 0.00620351", \
-            "0.0063155, 0.00635708, 0.00635935, 0.00631065, 0.00623085, 0.00613647", \
-            "0.00614893, 0.00619357, 0.00621531, 0.00619221, 0.00612722, 0.00603753", \
-            "0.00598035, 0.00602177, 0.006072, 0.00609036, 0.00606913, 0.0059873", \
-            "0.00605097, 0.00608661, 0.00612972, 0.0061675, 0.00616595, 0.00609533", \
-            "0.00670461, 0.00668566, 0.00671532, 0.00675704, 0.0067782, 0.00674482" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.000359397, 0.000429777, 0.000497874, 0.000568276, 0.000632335, 0.000751329", \
-            "0.000278722, 0.000367237, 0.000462303, 0.000557425, 0.000638194, 0.000768358", \
-            "0.000145309, 0.00024945, 0.00036989, 0.000484189, 0.000629719, 0.000751602", \
-            "6.80744e-05, 0.000145234, 0.000240491, 0.000363064, 0.000541003, 0.000711071", \
-            "0.000135112, 0.000213003, 0.000247176, 0.000316182, 0.000470955, 0.000653953", \
-            "0.00105405, 0.000906523, 0.000747187, 0.000705682, 0.000639173, 0.000655592" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00999929, 0.0100741, 0.0101434, 0.0102084, 0.010269, 0.0103253", \
-            "0.00988648, 0.00999343, 0.0100794, 0.0101636, 0.0102654, 0.0103205", \
-            "0.00979661, 0.00986296, 0.00996572, 0.0101043, 0.0102324, 0.0103101", \
-            "0.0098148, 0.00990987, 0.00992741, 0.0100192, 0.0101589, 0.0102802", \
-            "0.0102498, 0.0101582, 0.0102374, 0.010202, 0.0101795, 0.0102717", \
-            "0.0114731, 0.011353, 0.0111697, 0.0109576, 0.0107005, 0.0105345" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.01152, 0.0115708, 0.0115838, 0.0115614, 0.0115421, 0.0115803", \
-            "0.0114619, 0.0115185, 0.0115426, 0.0115283, 0.0115103, 0.0115455", \
-            "0.0113788, 0.0114451, 0.0114962, 0.0115105, 0.0115083, 0.0115466", \
-            "0.0113859, 0.0114563, 0.0115376, 0.0116131, 0.011654, 0.0117025", \
-            "0.0116971, 0.0117448, 0.0118319, 0.0119445, 0.0120219, 0.0121015", \
-            "0.012648, 0.0126733, 0.0127351, 0.0128277, 0.0129359, 0.0130561" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0055576, 0.00559788, 0.00559675, 0.00554878, 0.00546981, 0.0053761", \
-            "0.00546829, 0.00551417, 0.00551751, 0.00547305, 0.0053998, 0.00530644", \
-            "0.00528092, 0.00532619, 0.0053477, 0.00532381, 0.0052611, 0.00517477", \
-            "0.00505653, 0.00510289, 0.00515177, 0.00516791, 0.00513343, 0.00505742", \
-            "0.00502006, 0.00506941, 0.00513021, 0.00517937, 0.00517486, 0.00510154", \
-            "0.0055648, 0.00562188, 0.00564293, 0.00569013, 0.00571743, 0.00566731" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.00158643, 0.00163205, 0.00167967, 0.00171715, 0.00177448, 0.00186518", \
-            "0.00150385, 0.00155437, 0.0016121, 0.00166587, 0.00172716, 0.0018257", \
-            "0.00136795, 0.00143773, 0.00151153, 0.00159137, 0.00167271, 0.00178525", \
-            "0.00128739, 0.00133795, 0.00138387, 0.00148723, 0.00160504, 0.00171517", \
-            "0.00135622, 0.00138804, 0.00141826, 0.00147173, 0.00153005, 0.00169344", \
-            "0.00200564, 0.00192997, 0.00186608, 0.00182569, 0.00176189, 0.00173895" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0074405, 0.0152077, 0.0310833, 0.0635317, 0.129853");
-          values ( \
-            "0.0114086, 0.0114756, 0.0115529, 0.011602, 0.0116772, 0.011711", \
-            "0.0112882, 0.0113772, 0.0114655, 0.0115413, 0.0116253, 0.011682", \
-            "0.0111523, 0.0112373, 0.0113462, 0.011454, 0.0115644, 0.0116512", \
-            "0.0110246, 0.0110922, 0.0111906, 0.0113196, 0.0114667, 0.0115968", \
-            "0.0110427, 0.0110643, 0.0111205, 0.0112186, 0.0113241, 0.0115006", \
-            "0.0115769, 0.011456, 0.0114344, 0.011465, 0.0114022, 0.0114793" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0102248;
-      rise_capacitance : 0.0102248;
-      rise_capacitance_range (0.00763304, 0.0102248);
-      fall_capacitance : 0.0101336;
-      fall_capacitance_range (0.00743196, 0.0101336);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0112368;
-      rise_capacitance : 0.0112368;
-      rise_capacitance_range (0.00892797, 0.0112368);
-      fall_capacitance : 0.0111496;
-      fall_capacitance_range (0.0074764, 0.0111496);
-    }
-  }
-  cell (AND2X1) {
-    area : 12.4542;
-    cell_footprint : "AND2";
-    cell_leakage_power : 0.341315;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.545035;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.274539;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.276139;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.269546;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.341315;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.214886;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0672995, 0.0794778, 0.103855, 0.156562, 0.275308, 0.543958", \
-            "0.0713748, 0.0835717, 0.108015, 0.160765, 0.279541, 0.548186", \
-            "0.0811246, 0.0933797, 0.117869, 0.170713, 0.289525, 0.558178", \
-            "0.0940464, 0.106737, 0.131929, 0.18512, 0.303957, 0.572599", \
-            "0.104954, 0.118494, 0.144278, 0.197824, 0.317411, 0.586225", \
-            "0.104939, 0.120988, 0.148944, 0.203384, 0.322478, 0.591935" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.025971, 0.0401954, 0.0728814, 0.149306, 0.324895, 0.722538", \
-            "0.0259438, 0.0401761, 0.0728604, 0.149304, 0.324894, 0.722537", \
-            "0.0263184, 0.0404142, 0.0729613, 0.149319, 0.324896, 0.722523", \
-            "0.0284242, 0.0424175, 0.074438, 0.149921, 0.324925, 0.722523", \
-            "0.0326498, 0.0456495, 0.0764795, 0.151615, 0.326125, 0.722673", \
-            "0.0415216, 0.053924, 0.0824874, 0.154154, 0.327427, 0.724167" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0591304, 0.0692398, 0.089114, 0.131079, 0.2245, 0.435354", \
-            "0.0651973, 0.0753062, 0.0952384, 0.137219, 0.230673, 0.441534", \
-            "0.0795211, 0.089626, 0.109613, 0.151671, 0.245189, 0.456071", \
-            "0.105101, 0.115869, 0.136598, 0.179042, 0.272904, 0.483995", \
-            "0.14376, 0.156081, 0.178177, 0.221669, 0.315688, 0.526788", \
-            "0.205012, 0.220378, 0.245811, 0.291153, 0.385173, 0.597093" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0185786, 0.0288047, 0.0518103, 0.105234, 0.228563, 0.507621", \
-            "0.0186221, 0.0288375, 0.0518351, 0.105217, 0.228559, 0.507621", \
-            "0.0190006, 0.0291313, 0.0520042, 0.105264, 0.228348, 0.507621", \
-            "0.0215588, 0.031462, 0.0536616, 0.105886, 0.228332, 0.507703", \
-            "0.0269018, 0.0361971, 0.0572521, 0.108405, 0.229567, 0.507702", \
-            "0.0350676, 0.0454349, 0.0651478, 0.112498, 0.231279, 0.509229" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0518607, 0.0607121, 0.0643774, 0.0667947, 0.0710132, 0.0749601, 0.0788402, 0.0827169, 0.085287, 0.0927646, 0.097347, 0.103517, 0.107632, 0.111961, 0.117448, 0.122589, 0.133854, 0.143817, 0.150818");
-            values ( \
-              "0.00461381, 0.11159, 0.13597, 0.147827, 0.162996, 0.168949, 0.169244, 0.161842, 0.150664, 0.0948372, 0.0680824, 0.0435794, 0.0321722, 0.0232914, 0.0154249, 0.0104857, 0.00462429, 0.00227601, 0.00186987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00822912");
-            index_3 ("0.0524739, 0.0616397, 0.0659479, 0.0694362, 0.072936, 0.079128, 0.085056, 0.0909838, 0.0951055, 0.0971932, 0.100365, 0.104128, 0.111369, 0.117231, 0.121251, 0.126436, 0.130497, 0.134647, 0.141945, 0.145388, 0.152275, 0.157966, 0.165877, 0.176426, 0.196515, 0.220118");
-            values ( \
-              "0.00872528, 0.152364, 0.19019, 0.213763, 0.230538, 0.248124, 0.251877, 0.246689, 0.23712, 0.230158, 0.216042, 0.193755, 0.14623, 0.114903, 0.0962764, 0.0761741, 0.0631334, 0.051819, 0.0363462, 0.0307008, 0.0217126, 0.0163407, 0.0109851, 0.00638133, 0.00216442, 0.00058478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186023");
-            index_3 ("0.0531394, 0.0606218, 0.0640167, 0.0696196, 0.0726344, 0.0786639, 0.0838784, 0.09358, 0.0986817, 0.10465, 0.115051, 0.120073, 0.126885, 0.134473, 0.150954, 0.16872, 0.180236, 0.19075, 0.197537, 0.212276, 0.220183, 0.234386, 0.250697, 0.263749, 0.289854, 0.308155");
-            values ( \
-              "0.0194096, 0.159699, 0.20091, 0.252593, 0.273021, 0.3023, 0.316519, 0.326254, 0.324611, 0.319648, 0.30456, 0.294533, 0.277463, 0.252819, 0.188349, 0.1283, 0.0974046, 0.0749112, 0.0628014, 0.0423094, 0.0340191, 0.0227609, 0.0143098, 0.00978432, 0.00442625, 0.00292639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0420514");
-            index_3 ("0.0535082, 0.0643496, 0.0729856, 0.0788603, 0.0844054, 0.0940654, 0.104787, 0.114824, 0.141857, 0.168024, 0.190468, 0.213995, 0.2609, 0.299117, 0.330215, 0.352114, 0.371337, 0.396967, 0.415059, 0.435141, 0.461916, 0.515467, 0.558959");
-            values ( \
-              "0.0598931, 0.219469, 0.300582, 0.335917, 0.355441, 0.375222, 0.379231, 0.377327, 0.356857, 0.329714, 0.302403, 0.262438, 0.172272, 0.11252, 0.0769824, 0.0574747, 0.0443128, 0.031197, 0.02392, 0.0179326, 0.0123298, 0.00525207, 0.00318418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0950592");
-            index_3 ("0.0611546, 0.0952451, 0.105225, 0.111766, 0.120488, 0.13461, 0.192618, 0.248646, 0.286837, 0.336191, 0.385368, 0.487361, 0.513287, 0.565138, 0.616204, 0.646879, 0.697763, 0.719757, 0.757889, 0.811779, 0.867309, 0.909765, 0.989297, 1.06883, 1.14836, 1.30743");
-            values ( \
-              "0.312114, 0.4014, 0.4105, 0.412314, 0.412303, 0.408865, 0.384924, 0.359563, 0.340827, 0.311914, 0.273785, 0.182672, 0.161307, 0.122857, 0.0920112, 0.0766674, 0.0559554, 0.0486445, 0.0380444, 0.0265959, 0.0183573, 0.013778, 0.00790277, 0.00453385, 0.00257395, 0.00082964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.214886");
-            index_3 ("0.0691107, 0.0691307, 0.227039, 0.492114, 0.555578, 0.635111, 0.733165, 0.805311, 1.00816, 1.08036, 1.15989, 1.22629, 1.28751, 1.3499, 1.42944, 1.53567, 1.6119, 1.69143, 1.76839, 1.85769, 2.01676, 2.17582, 2.33489, 2.49395, 2.73255");
-            values ( \
-              "1e-22, 0.452302, 0.414106, 0.360166, 0.345638, 0.32557, 0.294211, 0.266474, 0.184047, 0.157164, 0.12988, 0.109912, 0.0936371, 0.0792961, 0.063329, 0.0466524, 0.0374652, 0.0293634, 0.0232705, 0.0177148, 0.0108153, 0.006562, 0.00395793, 0.0023704, 0.00124713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0702804, 0.0769824, 0.080004, 0.0830252, 0.0848544, 0.088513, 0.0890609, 0.0901565, 0.0923478, 0.0930081, 0.0943287, 0.0968709, 0.100742, 0.102109, 0.105161, 0.111667, 0.115957, 0.119408, 0.124753, 0.128742, 0.132474, 0.137451, 0.141298, 0.147025, 0.154662, 0.168503, 0.169694");
-            values ( \
-              "0.00398343, 0.0938018, 0.12323, 0.136934, 0.150293, 0.159616, 0.163923, 0.164432, 0.169227, 0.168651, 0.170063, 0.168668, 0.162263, 0.156694, 0.138364, 0.0890476, 0.0653266, 0.0508681, 0.0344378, 0.0255479, 0.019339, 0.0132714, 0.00997356, 0.00655991, 0.00376357, 0.00138106, 0.00134137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00822912");
-            index_3 ("0.070176, 0.0797808, 0.0840659, 0.0886233, 0.0910236, 0.0958242, 0.0999451, 0.103114, 0.109008, 0.115257, 0.121039, 0.129321, 0.138288, 0.144207, 0.149525, 0.160029, 0.168025, 0.175306, 0.189444, 0.201786, 0.213521");
-            values ( \
-              "0.0004056, 0.153977, 0.191508, 0.220249, 0.230855, 0.245665, 0.251133, 0.251707, 0.246626, 0.230142, 0.201609, 0.147355, 0.100787, 0.0771687, 0.0603333, 0.0363592, 0.0244629, 0.016929, 0.00833573, 0.00441084, 0.00275908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186023");
-            index_3 ("0.0701437, 0.082233, 0.0878126, 0.0907979, 0.0967685, 0.102012, 0.111596, 0.114104, 0.117449, 0.122769, 0.133502, 0.143386, 0.148228, 0.154685, 0.173449, 0.183928, 0.195905, 0.203551, 0.214826, 0.226744, 0.240872, 0.253523, 0.266633, 0.276667, 0.296736, 0.334298, 0.378739");
-            values ( \
-              "0.00821371, 0.203454, 0.254258, 0.274195, 0.30287, 0.31697, 0.326444, 0.326044, 0.324328, 0.319712, 0.303989, 0.281987, 0.267735, 0.245118, 0.172234, 0.137115, 0.10333, 0.0856988, 0.0641693, 0.0466942, 0.0316559, 0.0221255, 0.0152406, 0.0114095, 0.00628217, 0.00184324, 0.000394337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0420514");
-            index_3 ("0.0771004, 0.0981535, 0.102739, 0.111909, 0.123109, 0.13301, 0.143237, 0.163509, 0.183852, 0.200335, 0.230362, 0.278254, 0.312535, 0.341251, 0.361243, 0.376595, 0.394501, 0.418377, 0.440567, 0.474251, 0.519162, 0.566463");
-            values ( \
-              "0.228279, 0.340849, 0.356513, 0.374633, 0.379829, 0.376888, 0.370306, 0.353479, 0.332839, 0.313431, 0.265909, 0.174213, 0.119475, 0.0843096, 0.0651201, 0.0531023, 0.0416228, 0.0297861, 0.0217443, 0.0133685, 0.00679642, 0.00373796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0950592");
-            index_3 ("0.0771235, 0.104363, 0.114196, 0.125745, 0.136891, 0.167517, 0.260041, 0.305148, 0.354502, 0.403678, 0.505672, 0.583444, 0.63452, 0.716074, 0.7762, 0.83009, 0.928083, 1.00761, 1.08715, 1.10507");
-            values ( \
-              "0.249677, 0.381746, 0.403274, 0.411906, 0.412783, 0.403711, 0.362794, 0.340819, 0.311921, 0.273791, 0.182668, 0.122856, 0.0920052, 0.0559582, 0.0380475, 0.0265929, 0.0137798, 0.00789996, 0.00453602, 0.00425744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.214886");
-            index_3 ("0.0842606, 0.129559, 0.142573, 0.158554, 0.174551, 0.443217, 0.57366, 0.653192, 0.751246, 0.823392, 1.02624, 1.09844, 1.17797, 1.24437, 1.30559, 1.37233, 1.48297, 1.54521, 1.62446, 1.70399, 1.81855, 1.96296, 2.12203, 2.28109, 2.51969, 2.75829");
-            values ( \
-              "0.425301, 0.428986, 0.431457, 0.430386, 0.42783, 0.374199, 0.345741, 0.325468, 0.29411, 0.266575, 0.184139, 0.157074, 0.129969, 0.109995, 0.0937181, 0.0782723, 0.0573801, 0.0479146, 0.0380013, 0.0299355, 0.0212204, 0.0136391, 0.00834033, 0.00508665, 0.00237933, 0.00115348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.113204, 0.118064, 0.11955, 0.120847, 0.12245, 0.123252, 0.124726, 0.126201, 0.127184, 0.128167, 0.129151, 0.130825, 0.13164, 0.132434, 0.133595, 0.134369, 0.135143, 0.135917, 0.136691, 0.137524, 0.138356, 0.139189, 0.140351, 0.141513, 0.142519, 0.143526, 0.144533, 0.14554, 0.145802, 0.148564, 0.150277, 0.152948, 0.153466, 0.153984, 0.155539, 0.156543, 0.157548, 0.158552, 0.159557, 0.162842, 0.165057, 0.167207, 0.169356, 0.172363, 0.174514, 0.176664, 0.17774, 0.178815, 0.180644, 0.183757");
-            values ( \
-              "0.0913271, 0.0951914, 0.106986, 0.116517, 0.127319, 0.132314, 0.139689, 0.146379, 0.150459, 0.154234, 0.157704, 0.16218, 0.16393, 0.165258, 0.166602, 0.166979, 0.1672, 0.167263, 0.16717, 0.166894, 0.166098, 0.164786, 0.161953, 0.158387, 0.154705, 0.150472, 0.145689, 0.140356, 0.138591, 0.116295, 0.103248, 0.0850218, 0.0816178, 0.0786884, 0.0704465, 0.0655977, 0.0610639, 0.0568452, 0.0529414, 0.0414453, 0.0353638, 0.0302162, 0.0257792, 0.0204267, 0.017275, 0.0148115, 0.0136924, 0.0126485, 0.0111048, 0.0087043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00822912");
-            index_3 ("0.111489, 0.119723, 0.127711, 0.131543, 0.137828, 0.143805, 0.149783, 0.155755, 0.162952, 0.170015, 0.180314, 0.186622, 0.193373, 0.204314, 0.216891, 0.231512, 0.246937");
-            values ( \
-              "0.0487716, 0.139476, 0.205172, 0.226686, 0.244872, 0.250966, 0.245168, 0.231331, 0.193611, 0.147213, 0.0952539, 0.0715644, 0.05207, 0.0305601, 0.0162665, 0.00775174, 0.00358086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186023");
-            index_3 ("0.11485, 0.120733, 0.128634, 0.136337, 0.142567, 0.151725, 0.15559, 0.160744, 0.168611, 0.174123, 0.184997, 0.193679, 0.215526, 0.229025, 0.248061, 0.267394, 0.28175, 0.294305, 0.310693, 0.332545, 0.360335");
-            values ( \
-              "0.16623, 0.172349, 0.250783, 0.295726, 0.314724, 0.32535, 0.324947, 0.321659, 0.312533, 0.303714, 0.279381, 0.251182, 0.167275, 0.123769, 0.0777568, 0.0466955, 0.0314567, 0.0220509, 0.0137958, 0.00718947, 0.00334635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0420514");
-            index_3 ("0.118054, 0.131878, 0.13869, 0.143374, 0.152742, 0.163807, 0.1736, 0.204225, 0.22447, 0.241144, 0.251058, 0.270884, 0.318969, 0.353268, 0.381942, 0.401906, 0.417305, 0.435267, 0.459218, 0.48129, 0.514801, 0.559483, 0.605742");
-            values ( \
-              "0.251057, 0.296893, 0.337947, 0.353993, 0.374194, 0.379679, 0.376971, 0.353685, 0.332955, 0.313292, 0.299569, 0.266247, 0.174219, 0.119457, 0.0843398, 0.0651553, 0.0530975, 0.041586, 0.029738, 0.0217467, 0.0134059, 0.00683284, 0.00382104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0950592");
-            index_3 ("0.120843, 0.138272, 0.145002, 0.15456, 0.166417, 0.179549, 0.208221, 0.300745, 0.345853, 0.395207, 0.444387, 0.546379, 0.624164, 0.675211, 0.705889, 0.756779, 0.816909, 0.870797, 0.926323, 0.968775, 1.04831, 1.12784, 1.20737, 1.36644");
-            values ( \
-              "0.346327, 0.352969, 0.379821, 0.401856, 0.411481, 0.412421, 0.40368, 0.362794, 0.34082, 0.311921, 0.273788, 0.182666, 0.122846, 0.0920127, 0.076674, 0.0559589, 0.038047, 0.026592, 0.0183545, 0.0137815, 0.00790009, 0.00453698, 0.0025711, 0.000826755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.214886");
-            index_3 ("0.130629, 0.130649, 0.275198, 0.453902, 0.586081, 0.670159, 0.731703, 0.791617, 0.864398, 1.06731, 1.21917, 1.34639, 1.4132, 1.49274, 1.58648, 1.66563, 1.74517, 1.81096, 1.92421, 2.08327, 2.24234, 2.48093, 2.79906");
-            values ( \
-              "1e-22, 0.467406, 0.416201, 0.380437, 0.352387, 0.332016, 0.31433, 0.294348, 0.266569, 0.184104, 0.1299, 0.0937614, 0.0783111, 0.0626469, 0.0478679, 0.0379926, 0.0299102, 0.0245899, 0.0173749, 0.0106287, 0.00647054, 0.00310972, 0.0011622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.18414, 0.189946, 0.20473, 0.209707, 0.214182, 0.218457, 0.222732, 0.227014, 0.234157, 0.241888, 0.254579, 0.263834, 0.278329, 0.280408");
-            values ( \
-              "0.0143246, 0.0347323, 0.121763, 0.141593, 0.151467, 0.155235, 0.151122, 0.13545, 0.0859184, 0.0499569, 0.0197181, 0.0101773, 0.00380798, 0.00345154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00822912");
-            index_3 ("0.192787, 0.201746, 0.204444, 0.207141, 0.209496, 0.210674, 0.211851, 0.213575, 0.2153, 0.217024, 0.218748, 0.220346, 0.221944, 0.223542, 0.22514, 0.226714, 0.228288, 0.229862, 0.231436, 0.233009, 0.234581, 0.236154, 0.237727, 0.238273, 0.239001, 0.240458, 0.242643, 0.244214, 0.2459, 0.24843, 0.249159, 0.250253, 0.251472, 0.257597, 0.261372, 0.264434, 0.267496, 0.27079, 0.2724, 0.274923, 0.276909, 0.278198, 0.282784, 0.284219, 0.286373, 0.290244, 0.293368, 0.297036, 0.29887, 0.301843");
-            values ( \
-              "0.134684, 0.138131, 0.159887, 0.179268, 0.193764, 0.200199, 0.206092, 0.213745, 0.220235, 0.225562, 0.229726, 0.231207, 0.232352, 0.233161, 0.233635, 0.233774, 0.233587, 0.233074, 0.232235, 0.230381, 0.228138, 0.225507, 0.222487, 0.221116, 0.218522, 0.21254, 0.202265, 0.193854, 0.184165, 0.168602, 0.158011, 0.154379, 0.148784, 0.115841, 0.0991797, 0.0870306, 0.0761026, 0.0654766, 0.0606002, 0.0540005, 0.0492821, 0.0464809, 0.0371791, 0.0345074, 0.0308461, 0.0256646, 0.0220774, 0.0184442, 0.0168393, 0.014523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186023");
-            index_3 ("0.189795, 0.19619, 0.208628, 0.21648, 0.223576, 0.233959, 0.238239, 0.24313, 0.248837, 0.258568, 0.267992, 0.277788, 0.301068, 0.319003, 0.330882, 0.349897, 0.364009, 0.376666, 0.399853, 0.419961, 0.443651");
-            values ( \
-              "0.103621, 0.106031, 0.222397, 0.270634, 0.296501, 0.312861, 0.313398, 0.311729, 0.306811, 0.293698, 0.274739, 0.24413, 0.156465, 0.103415, 0.0770833, 0.0466938, 0.0316726, 0.0221311, 0.0114021, 0.00626641, 0.00346146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0420514");
-            index_3 ("0.189887, 0.201758, 0.21198, 0.21968, 0.224428, 0.233922, 0.245691, 0.261547, 0.275612, 0.28731, 0.315078, 0.333526, 0.355537, 0.402425, 0.440668, 0.471563, 0.493573, 0.512914, 0.538702, 0.556631, 0.576563, 0.603138, 0.656288, 0.696881");
-            values ( \
-              "0.0832552, 0.171917, 0.26711, 0.315632, 0.334532, 0.359219, 0.369091, 0.367676, 0.360908, 0.35172, 0.323727, 0.299548, 0.262225, 0.172432, 0.112651, 0.0770003, 0.0576386, 0.0443881, 0.0309717, 0.0240131, 0.0180623, 0.0122716, 0.00541928, 0.00333505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0950592");
-            index_3 ("0.198867, 0.215519, 0.226231, 0.235518, 0.24847, 0.263423, 0.270934, 0.280804, 0.290749, 0.31064, 0.378094, 0.428409, 0.477773, 0.526801, 0.628864, 0.706223, 0.758291, 0.788781, 0.839343, 0.899306, 0.953263, 1.00905, 1.05172, 1.13126, 1.21079, 1.29032, 1.44939");
-            values ( \
-              "0.291919, 0.305079, 0.360184, 0.385655, 0.400609, 0.406477, 0.40712, 0.405641, 0.402674, 0.395028, 0.365199, 0.340827, 0.311907, 0.273904, 0.182733, 0.123189, 0.0917373, 0.0765151, 0.0559535, 0.038083, 0.0266135, 0.0183387, 0.0137406, 0.00788316, 0.00452048, 0.00256837, 0.00082871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.214886");
-            index_3 ("0.209224, 0.209244, 0.588844, 0.668376, 0.752455, 0.813999, 0.873912, 0.946694, 1.1496, 1.22193, 1.30146, 1.36768, 1.42869, 1.4955, 1.60628, 1.66877, 1.74793, 1.82746, 1.94178, 2.08603, 2.24509, 2.40416, 2.64276, 2.88135");
-            values ( \
-              "1e-22, 0.445381, 0.369546, 0.352347, 0.331977, 0.314369, 0.294309, 0.266606, 0.18414, 0.156983, 0.129935, 0.110016, 0.0937928, 0.0782801, 0.0573606, 0.0478982, 0.0379627, 0.0299401, 0.0212054, 0.0136297, 0.00832719, 0.0050712, 0.00240032, 0.00113531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.326925, 0.338005, 0.340489, 0.345866, 0.34893, 0.363493, 0.369792, 0.375203, 0.380166, 0.384996, 0.389816, 0.397555, 0.400338, 0.404846, 0.40809, 0.410356, 0.414887, 0.418651, 0.421369, 0.426804, 0.430669, 0.4368, 0.444976, 0.455227");
-            values ( \
-              "0.00337399, 0.0122501, 0.0161926, 0.0295013, 0.0399963, 0.0938458, 0.114324, 0.128117, 0.135557, 0.136413, 0.125599, 0.0802217, 0.066659, 0.0492437, 0.0396862, 0.0339686, 0.0246779, 0.0189542, 0.0156357, 0.0105945, 0.00810054, 0.00532951, 0.00308536, 0.00176766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00822912");
-            index_3 ("0.346806, 0.359989, 0.368452, 0.369262, 0.371692, 0.373313, 0.374933, 0.376553, 0.378972, 0.380568, 0.382165, 0.383951, 0.384938, 0.386914, 0.38862, 0.390327, 0.392033, 0.393739, 0.395445, 0.397151, 0.398857, 0.400562, 0.400661, 0.40184, 0.402626, 0.403674, 0.404722, 0.406354, 0.407986, 0.412882, 0.41991, 0.422468, 0.425026, 0.426731, 0.428437, 0.430142, 0.434376, 0.434776, 0.436377, 0.437979, 0.43958, 0.441182, 0.442783, 0.445377, 0.449601, 0.451447, 0.453293, 0.456985, 0.460677, 0.465442");
-            values ( \
-              "0.0970317, 0.108048, 0.152168, 0.156112, 0.16761, 0.174646, 0.181347, 0.187713, 0.196591, 0.201905, 0.206626, 0.211315, 0.21364, 0.217716, 0.217718, 0.217546, 0.217199, 0.216676, 0.215979, 0.215108, 0.214061, 0.21284, 0.212428, 0.209385, 0.206984, 0.203275, 0.199136, 0.191515, 0.183487, 0.15609, 0.118647, 0.106934, 0.096111, 0.0893908, 0.0830666, 0.0771383, 0.0635819, 0.0623214, 0.0580003, 0.0539216, 0.0501158, 0.0465318, 0.0431698, 0.0382267, 0.0308311, 0.0281851, 0.0257463, 0.0216176, 0.0180619, 0.0142592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186023");
-            index_3 ("0.326849, 0.343035, 0.347413, 0.357465, 0.377954, 0.385241, 0.397104, 0.408295, 0.419687, 0.431391, 0.437469, 0.464654, 0.476193, 0.493724, 0.503948, 0.51776, 0.52595, 0.539982, 0.554544, 0.569263, 0.599263");
-            values ( \
-              "0.00621557, 0.0359627, 0.0541433, 0.108887, 0.237122, 0.268718, 0.296481, 0.301804, 0.292817, 0.271116, 0.254049, 0.15574, 0.120374, 0.0787673, 0.060507, 0.0419039, 0.0334752, 0.0225743, 0.0144314, 0.0097029, 0.00438631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0420514");
-            index_3 ("0.34284, 0.358167, 0.37134, 0.385612, 0.396387, 0.408064, 0.41889, 0.429049, 0.450381, 0.472843, 0.495655, 0.514441, 0.559704, 0.588782, 0.623221, 0.63833, 0.664302, 0.700055, 0.71998, 0.746625, 0.782152, 0.850428, 0.92958");
-            values ( \
-              "0.0728878, 0.124673, 0.221092, 0.30491, 0.340984, 0.359072, 0.363204, 0.36104, 0.347249, 0.326342, 0.299062, 0.268954, 0.183457, 0.135818, 0.0902479, 0.0745496, 0.0528983, 0.0322909, 0.0243391, 0.0166154, 0.00983735, 0.0032803, 0.000872474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0950592");
-            index_3 ("0.342885, 0.368377, 0.38308, 0.395834, 0.408135, 0.415988, 0.426458, 0.44012, 0.475096, 0.573248, 0.611646, 0.644979, 0.698496, 0.80385, 0.883172, 0.952086, 1.00347, 1.06372, 1.11756, 1.1729, 1.2152, 1.29473, 1.37426, 1.40796");
-            values ( \
-              "0.0652529, 0.203391, 0.306383, 0.360983, 0.388199, 0.396167, 0.400702, 0.401082, 0.39038, 0.349476, 0.330397, 0.309678, 0.267416, 0.173618, 0.11482, 0.0768832, 0.0559385, 0.0380004, 0.0266007, 0.0183824, 0.0137947, 0.00792814, 0.00453292, 0.00401151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.214886");
-            index_3 ("0.342748, 0.384126, 0.39619, 0.415034, 0.429767, 0.444738, 0.461085, 0.48388, 0.55431, 0.576725, 0.610507, 0.798128, 0.861196, 0.940729, 1.03878, 1.11093, 1.31377, 1.38598, 1.46551, 1.5319, 1.59313, 1.65986, 1.77051, 1.83274, 1.91199, 1.99152, 2.10608, 2.25049, 2.40956, 2.56862, 2.80722, 3.04582");
-            values ( \
-              "0.0563889, 0.317686, 0.371873, 0.410903, 0.42094, 0.423544, 0.422503, 0.419328, 0.406243, 0.404453, 0.398446, 0.359955, 0.345763, 0.325447, 0.29409, 0.266596, 0.184158, 0.157055, 0.129989, 0.110013, 0.0937365, 0.078256, 0.0573647, 0.0479307, 0.0379859, 0.0299512, 0.0212047, 0.0136237, 0.00832487, 0.00507118, 0.00239494, 0.00113801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.632156, 0.657685, 0.663945, 0.67006, 0.695464, 0.706293, 0.71282, 0.718958, 0.725065, 0.744801, 0.75278, 0.759548, 0.772954, 0.784643");
-            values ( \
-              "0.00113178, 0.00937637, 0.0161281, 0.0254952, 0.0786241, 0.0963877, 0.104369, 0.109095, 0.1058, 0.0371661, 0.0222814, 0.0142904, 0.00597305, 0.00328728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00822912");
-            index_3 ("0.632979, 0.659069, 0.66531, 0.669158, 0.674234, 0.696755, 0.710225, 0.715172, 0.720875, 0.729091, 0.737022, 0.744937, 0.75758, 0.762343, 0.768932, 0.775338, 0.779329, 0.785726, 0.792851, 0.80149, 0.80457, 0.812124, 0.820757, 0.838022, 0.84139");
-            values ( \
-              "0.00191837, 0.0162292, 0.0259481, 0.0334905, 0.0455555, 0.111907, 0.149615, 0.162107, 0.174354, 0.186375, 0.18804, 0.172948, 0.115015, 0.0956481, 0.0730429, 0.0555236, 0.046456, 0.0346432, 0.0247916, 0.0163499, 0.0141188, 0.00985458, 0.00651237, 0.00279228, 0.00251817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186023");
-            index_3 ("0.659266, 0.680354, 0.717991, 0.732173, 0.74482, 0.757024, 0.769222, 0.777767, 0.805838, 0.824469, 0.841658, 0.85801, 0.880135, 0.90439, 0.930483");
-            values ( \
-              "0.0435619, 0.0749716, 0.215672, 0.256353, 0.273946, 0.275243, 0.261717, 0.241318, 0.146414, 0.0957204, 0.0623731, 0.0404727, 0.0219384, 0.0110482, 0.00692274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0420514");
-            index_3 ("0.659358, 0.681074, 0.718186, 0.742859, 0.752251, 0.762985, 0.773675, 0.78857, 0.810659, 0.832921, 0.945306, 1.0031, 1.03479, 1.08718, 1.12545, 1.13229");
-            values ( \
-              "0.0538525, 0.0855804, 0.246086, 0.324611, 0.337769, 0.344992, 0.345651, 0.340152, 0.324164, 0.299581, 0.110037, 0.0528494, 0.0342749, 0.0162772, 0.00924416, 0.00862877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0950592");
-            index_3 ("0.659458, 0.694391, 0.719471, 0.730933, 0.744541, 0.757361, 0.772013, 0.791171, 0.812435, 0.835481, 0.881658, 0.930531, 0.980194, 1.02121, 1.14304, 1.17559, 1.21592, 1.24254, 1.27947, 1.32507, 1.34959, 1.38805, 1.43933, 1.48007, 1.53972, 1.61926, 1.69879, 1.77832, 1.93739");
-            values ( \
-              "0.0520355, 0.143981, 0.266764, 0.315586, 0.355524, 0.376782, 0.38809, 0.391266, 0.387289, 0.379881, 0.361347, 0.338721, 0.310332, 0.279692, 0.172918, 0.147166, 0.118698, 0.102653, 0.0828823, 0.0626953, 0.0537726, 0.0420533, 0.0300147, 0.0228998, 0.0153219, 0.00881318, 0.00504494, 0.00287961, 0.000934456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.214886");
-            index_3 ("0.693699, 0.738352, 0.750409, 0.770341, 0.784832, 0.798043, 0.819369, 0.847636, 0.952779, 1.14345, 1.26568, 1.36994, 1.44948, 1.69029, 1.75849, 1.83803, 1.93814, 2.00067, 2.10922, 2.16725, 2.24679, 2.32632, 2.44642, 2.59467, 2.75373, 2.9128, 3.1514, 3.38999");
-            values ( \
-              "0.315258, 0.352822, 0.382724, 0.40841, 0.415038, 0.417277, 0.417086, 0.413896, 0.39528, 0.357032, 0.329231, 0.29678, 0.266717, 0.16969, 0.145196, 0.119513, 0.0921543, 0.0778085, 0.0573656, 0.0485121, 0.0384309, 0.0302993, 0.0211001, 0.0133958, 0.00818492, 0.00498583, 0.00235292, 0.00111909" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.00341325, 0.00341392, 0.00341466, 0.00341522, 0.00341555, 0.00341571", \
-            "0.00434325, 0.00434335, 0.00434367, 0.00434411, 0.00434445, 0.00434464", \
-            "0.00493722, 0.00493728, 0.00493737, 0.00493753, 0.00493773, 0.00493789", \
-            "0.0053402, 0.0053403, 0.00534047, 0.00534068, 0.00534088, 0.00534105", \
-            "0.00559363, 0.00559359, 0.00559359, 0.00559368, 0.00559383, 0.00559398", \
-            "0.0057761, 0.00577598, 0.0057758, 0.00577565, 0.00577563, 0.00577574" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0050425, 0.00504281, 0.00504366, 0.00504476, 0.00504553, 0.00504596", \
-            "0.005292, 0.00529213, 0.00529233, 0.0052928, 0.00529335, 0.00529373", \
-            "0.00524205, 0.00524093, 0.00524006, 0.00523948, 0.00523927, 0.00523926", \
-            "0.00567775, 0.00568223, 0.00568681, 0.00568608, 0.00568774, 0.00568829", \
-            "0.00614287, 0.00612342, 0.00616903, 0.00618874, 0.00619669, 0.00620126", \
-            "0.00595511, 0.00595487, 0.0059533, 0.00594034, 0.00595974, 0.00596525" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0506997, 0.0553834, 0.0566758, 0.0613218, 0.0646239, 0.0676894, 0.0706421, 0.0735542, 0.0764649, 0.0778033, 0.0859406, 0.0891226, 0.0933107, 0.0976369, 0.101187, 0.107632, 0.125149");
-            values ( \
-              "-0.00423236, -0.13587, -0.151272, -0.189483, -0.207627, -0.219328, -0.225315, -0.225128, -0.211891, -0.201119, -0.0836466, -0.0516907, -0.0262782, -0.0128856, -0.00709447, -0.00232069, -0.000239649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00822912");
-            index_3 ("0.0514445, 0.0580351, 0.0598135, 0.0639585, 0.0666475, 0.0715706, 0.0762237, 0.0807749, 0.0853231, 0.090097, 0.091319, 0.0954628, 0.105015, 0.11001, 0.115961, 0.121346, 0.124973, 0.132227, 0.134005");
-            values ( \
-              "-0.00861856, -0.216377, -0.237173, -0.273116, -0.290263, -0.312461, -0.324055, -0.327633, -0.321945, -0.299952, -0.291303, -0.245854, -0.119258, -0.075454, -0.0423097, -0.0246556, -0.0170096, -0.00785152, -0.00693501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186023");
-            index_3 ("0.0523163, 0.0610829, 0.0631822, 0.0688677, 0.072665, 0.0759843, 0.0826229, 0.0878262, 0.0924977, 0.100619, 0.107688, 0.111486, 0.117769, 0.120206, 0.125081, 0.13993, 0.145311, 0.154639, 0.159562, 0.166126, 0.171445, 0.177288, 0.185078, 0.20066, 0.211084");
-            values ( \
-              "-0.0405659, -0.294161, -0.315021, -0.358141, -0.377811, -0.39033, -0.406278, -0.411856, -0.413199, -0.40905, -0.397464, -0.387685, -0.364721, -0.352186, -0.31826, -0.185073, -0.145776, -0.0934347, -0.0731328, -0.0521878, -0.0395397, -0.0290645, -0.0190981, -0.0078525, -0.0050015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0420514");
-            index_3 ("0.0522326, 0.0613629, 0.0655879, 0.072101, 0.0769719, 0.0839441, 0.09214, 0.0967552, 0.102909, 0.109818, 0.122008, 0.1426, 0.159281, 0.162708, 0.174457, 0.178011, 0.185119, 0.19886, 0.214127, 0.226709, 0.236339, 0.243783, 0.255281, 0.264914, 0.274853, 0.289029, 0.295138, 0.307356, 0.331791, 0.37133, 0.418181");
-            values ( \
-              "-0.00932254, -0.322345, -0.365952, -0.41142, -0.433667, -0.453382, -0.465692, -0.468819, -0.470332, -0.470102, -0.46529, -0.45049, -0.431636, -0.426593, -0.405395, -0.397186, -0.376729, -0.319132, -0.244827, -0.190187, -0.154721, -0.131025, -0.100382, -0.0798419, -0.062687, -0.0440264, -0.0377787, -0.0277058, -0.0145526, -0.00473048, -0.00115941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0950592");
-            index_3 ("0.0521927, 0.0666484, 0.0698951, 0.0746354, 0.0862056, 0.0963557, 0.100762, 0.109575, 0.120058, 0.13046, 0.16485, 0.199928, 0.236063, 0.273516, 0.29131, 0.313244, 0.353728, 0.39162, 0.439405, 0.465573, 0.488651, 0.511339, 0.531013, 0.56944, 0.590392, 0.632296, 0.689726, 0.7536, 0.817475");
-            values ( \
-              "-0.0819163, -0.391728, -0.415503, -0.44425, -0.482416, -0.496624, -0.499383, -0.503011, -0.503236, -0.502251, -0.493332, -0.481571, -0.466738, -0.446441, -0.433795, -0.412174, -0.343075, -0.254728, -0.16214, -0.123818, -0.0967048, -0.0753789, -0.0606381, -0.03911, -0.0305371, -0.0187151, -0.0091554, -0.00426453, -0.00179534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.214886");
-            index_3 ("0.0606249, 0.100309, 0.12371, 0.158141, 0.288846, 0.447285, 0.530583, 0.618978, 0.664476, 0.881111, 0.986192, 1.08864, 1.1879, 1.2402, 1.35005, 1.45666");
-            values ( \
-              "-0.465916, -0.514151, -0.521603, -0.519424, -0.501833, -0.474665, -0.454324, -0.419826, -0.388937, -0.178141, -0.109766, -0.0662422, -0.0395953, -0.0301664, -0.0168559, -0.0109596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0714654, 0.0736293, 0.076372, 0.0796797, 0.0846184, 0.0876902, 0.0906497, 0.0935669, 0.0964824, 0.097803, 0.0999288, 0.105894, 0.10874, 0.111676, 0.113964, 0.116586, 0.122751, 0.126891");
-            values ( \
-              "-0.0185524, -0.1028, -0.146971, -0.177149, -0.207069, -0.218544, -0.225078, -0.224612, -0.211869, -0.2011, -0.175519, -0.0844457, -0.0550421, -0.0345259, -0.0236939, -0.0153997, -0.00548303, -0.00318876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00822912");
-            index_3 ("0.0713341, 0.0779755, 0.0797739, 0.0839433, 0.0866421, 0.0915785, 0.096239, 0.100795, 0.105348, 0.110123, 0.111335, 0.11549, 0.125045, 0.13004, 0.135985, 0.141378, 0.14501, 0.152275, 0.154051");
-            values ( \
-              "-0.00945728, -0.213809, -0.235254, -0.271895, -0.289273, -0.311867, -0.323615, -0.327372, -0.321733, -0.299836, -0.291287, -0.245803, -0.119238, -0.0754444, -0.0423323, -0.0246497, -0.016998, -0.00783672, -0.00692242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186023");
-            index_3 ("0.071139, 0.081789, 0.0869786, 0.0942222, 0.104409, 0.112561, 0.120712, 0.128855, 0.137831, 0.144526, 0.16544, 0.174751, 0.186054, 0.19742, 0.205341, 0.219522");
-            values ( \
-              "-0.0134, -0.301217, -0.344558, -0.385022, -0.408355, -0.413658, -0.40887, -0.395468, -0.364703, -0.323422, -0.145491, -0.0933183, -0.05262, -0.0289924, -0.0189122, -0.00882614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0420514");
-            index_3 ("0.0710504, 0.0852185, 0.087873, 0.0929619, 0.0970999, 0.104824, 0.113826, 0.121287, 0.12841, 0.139121, 0.14616, 0.160237, 0.16763, 0.177486, 0.184612, 0.198135, 0.206178, 0.218992, 0.23249, 0.246095, 0.25864, 0.266255, 0.282605, 0.291238, 0.307637, 0.326519, 0.34171, 0.372092, 0.394429");
-            values ( \
-              "-0.0331031, -0.361646, -0.382921, -0.41541, -0.433133, -0.455143, -0.466838, -0.470183, -0.470214, -0.466788, -0.462944, -0.452697, -0.445662, -0.434127, -0.423789, -0.397204, -0.37354, -0.319087, -0.253065, -0.19307, -0.147413, -0.124167, -0.0846519, -0.068711, -0.0456646, -0.0284032, -0.0192115, -0.00844395, -0.00533357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0950592");
-            index_3 ("0.0786407, 0.106769, 0.119623, 0.125878, 0.135432, 0.150615, 0.184989, 0.220065, 0.256202, 0.293654, 0.3121, 0.333382, 0.373892, 0.411727, 0.439041, 0.46084, 0.474968, 0.509186, 0.526483, 0.561077, 0.602902, 0.632518, 0.681308, 0.745182, 0.872931");
-            values ( \
-              "-0.395621, -0.483126, -0.498763, -0.501878, -0.503398, -0.502226, -0.493237, -0.481483, -0.466817, -0.446518, -0.433186, -0.412249, -0.342938, -0.25487, -0.198563, -0.160089, -0.138679, -0.0963667, -0.0796341, -0.0539363, -0.0333226, -0.0236396, -0.0130837, -0.00599594, -0.00125448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.214886");
-            index_3 ("0.0786794, 0.10315, 0.111466, 0.120271, 0.131104, 0.144028, 0.178398, 0.308807, 0.386928, 0.467245, 0.550544, 0.614418, 0.638939, 0.684437, 0.739983, 0.857408, 0.901073, 0.959625, 1.01445, 1.05965, 1.10841, 1.17229, 1.22323, 1.30344, 1.36731, 1.43119, 1.55894, 1.58182");
-            values ( \
-              "-0.393047, -0.486003, -0.503073, -0.513753, -0.518675, -0.5213, -0.519112, -0.501593, -0.489368, -0.474452, -0.45412, -0.431072, -0.41963, -0.389133, -0.336432, -0.215817, -0.178293, -0.136461, -0.1053, -0.084438, -0.0659086, -0.0477862, -0.0365454, -0.0240142, -0.0173267, -0.0121457, -0.00608489, -0.00567133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.114786, 0.119568, 0.121072, 0.124142, 0.129585, 0.13275, 0.135762, 0.138721, 0.141678, 0.143908, 0.14938, 0.152366, 0.155334, 0.159237, 0.16392, 0.168035, 0.174789, 0.193546");
-            values ( \
-              "-0.0182368, -0.113229, -0.133582, -0.16372, -0.20038, -0.213787, -0.221297, -0.222038, -0.209919, -0.190449, -0.10734, -0.0702553, -0.044465, -0.0235928, -0.0109255, -0.00547912, -0.00174032, -0.000184842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00822912");
-            index_3 ("0.115248, 0.121402, 0.125807, 0.131533, 0.136579, 0.141307, 0.145908, 0.150504, 0.155286, 0.156404, 0.160666, 0.170242, 0.175239, 0.181135, 0.186596, 0.190273, 0.197627, 0.201714");
-            values ( \
-              "-0.00859088, -0.176295, -0.23404, -0.280844, -0.306355, -0.320025, -0.324822, -0.320145, -0.298813, -0.291142, -0.245347, -0.119056, -0.0753481, -0.0425164, -0.0245983, -0.0168854, -0.00771105, -0.00563998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186023");
-            index_3 ("0.115342, 0.126519, 0.133337, 0.136172, 0.141141, 0.14554, 0.149582, 0.157775, 0.165941, 0.174109, 0.183083, 0.19023, 0.205268, 0.210674, 0.219997, 0.224895, 0.231427, 0.24265, 0.250479, 0.266138, 0.275657");
-            values ( \
-              "-0.0137537, -0.286032, -0.345418, -0.363327, -0.385618, -0.398272, -0.406105, -0.41191, -0.408458, -0.394764, -0.364518, -0.319769, -0.185215, -0.145694, -0.0934061, -0.0731998, -0.0523222, -0.0290496, -0.0190444, -0.00779361, -0.00520625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0420514");
-            index_3 ("0.119674, 0.12618, 0.132855, 0.138086, 0.142227, 0.14974, 0.157729, 0.161638, 0.166851, 0.175209, 0.191394, 0.207991, 0.224663, 0.228163, 0.240162, 0.243404, 0.249887, 0.262854, 0.285638, 0.298439, 0.305219, 0.318779, 0.329638, 0.337409, 0.35295, 0.358584, 0.371333, 0.385903, 0.415043, 0.458738, 0.511925");
-            values ( \
-              "-0.163371, -0.302655, -0.373978, -0.409152, -0.429097, -0.451821, -0.46452, -0.467493, -0.469423, -0.469709, -0.463025, -0.450445, -0.431663, -0.426493, -0.404713, -0.397186, -0.378779, -0.325822, -0.217114, -0.166214, -0.14321, -0.104854, -0.081086, -0.0671786, -0.0456198, -0.0396264, -0.0287369, -0.0197625, -0.00901806, -0.00250014, -0.000466533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0950592");
-            index_3 ("0.123674, 0.151819, 0.159555, 0.166653, 0.176116, 0.186125, 0.196051, 0.230442, 0.269076, 0.301658, 0.338021, 0.371759, 0.393059, 0.424269, 0.460289, 0.504255, 0.529036, 0.548551, 0.58465, 0.630548, 0.657034, 0.687303, 0.747841, 0.794215");
-            values ( \
-              "-0.388305, -0.480553, -0.492806, -0.498971, -0.502789, -0.503112, -0.502099, -0.49326, -0.48015, -0.466858, -0.447405, -0.420099, -0.393076, -0.330997, -0.247719, -0.163127, -0.126348, -0.102621, -0.069008, -0.0408663, -0.0301166, -0.0211099, -0.0100619, -0.0060586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.214886");
-            index_3 ("0.127893, 0.188845, 0.223555, 0.353981, 0.495976, 0.545306, 0.595718, 0.659592, 0.684113, 0.729611, 0.785157, 0.894351, 0.975649, 1.03015, 1.07385, 1.12492, 1.1888, 1.2354, 1.28192, 1.34395, 1.40782, 1.4717, 1.59945, 1.63685");
-            values ( \
-              "-0.512725, -0.520899, -0.518893, -0.501403, -0.477538, -0.467004, -0.453955, -0.431234, -0.419474, -0.389285, -0.336285, -0.223262, -0.156368, -0.121173, -0.0981735, -0.0763253, -0.0552167, -0.0434806, -0.034198, -0.0247036, -0.0176263, -0.0125531, -0.00634453, -0.00565139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.201283, 0.211126, 0.218249, 0.222465, 0.226174, 0.229593, 0.232889, 0.23618, 0.238566, 0.246856, 0.250282, 0.255058, 0.25925, 0.264041, 0.267594");
-            values ( \
-              "-0.0059342, -0.0915112, -0.142688, -0.168113, -0.185708, -0.19695, -0.201494, -0.194513, -0.177875, -0.0727819, -0.0435633, -0.0204634, -0.010545, -0.0049204, -0.00328922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00822912");
-            index_3 ("0.203166, 0.208855, 0.212783, 0.221351, 0.224361, 0.23012, 0.235345, 0.240315, 0.245213, 0.248913, 0.252808, 0.255822, 0.265253, 0.27024, 0.27639, 0.282391, 0.286601, 0.295021, 0.297495");
-            values ( \
-              "-0.0133794, -0.0909767, -0.136291, -0.218083, -0.240528, -0.274139, -0.293121, -0.302906, -0.302633, -0.291956, -0.269032, -0.238274, -0.120661, -0.0773743, -0.0430962, -0.023725, -0.015562, -0.00626738, -0.0052864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186023");
-            index_3 ("0.206265, 0.211573, 0.222254, 0.229503, 0.23505, 0.244085, 0.249062, 0.259343, 0.264935, 0.269707, 0.278254, 0.280805, 0.28372, 0.306951, 0.316447, 0.325961, 0.334771, 0.349734, 0.355051");
-            values ( \
-              "-0.0906305, -0.136412, -0.264796, -0.323208, -0.353034, -0.382644, -0.390763, -0.397752, -0.395412, -0.388566, -0.363378, -0.35157, -0.333938, -0.141069, -0.0894562, -0.0553431, -0.0349198, -0.0155612, -0.012594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0420514");
-            index_3 ("0.207111, 0.217689, 0.220213, 0.225248, 0.232844, 0.236174, 0.242834, 0.248902, 0.256992, 0.263037, 0.269601, 0.276141, 0.286881, 0.293411, 0.303508, 0.320207, 0.327533, 0.338939, 0.346124, 0.35979, 0.374903, 0.387601, 0.397393, 0.404984, 0.41628, 0.425706, 0.435693, 0.449906, 0.456061, 0.46837, 0.492989, 0.532439, 0.579225");
-            values ( \
-              "-0.0530722, -0.233427, -0.266153, -0.32038, -0.377495, -0.39535, -0.422212, -0.437893, -0.451772, -0.458962, -0.462936, -0.463749, -0.460844, -0.4573, -0.44976, -0.431556, -0.420234, -0.397147, -0.376457, -0.319122, -0.245538, -0.19033, -0.154291, -0.130216, -0.100221, -0.0801086, -0.0628258, -0.0440839, -0.0377847, -0.0276443, -0.0144445, -0.00470924, -0.0011575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0950592");
-            index_3 ("0.206401, 0.224843, 0.229056, 0.238347, 0.248849, 0.262952, 0.269471, 0.279501, 0.291727, 0.3082, 0.32615, 0.361277, 0.397365, 0.433715, 0.441428, 0.450242, 0.474567, 0.487955, 0.514733, 0.552681, 0.579957, 0.603378, 0.621113, 0.643918, 0.66256, 0.684841, 0.716258, 0.748945, 0.773984, 0.824062, 0.887936, 0.951811, 1.01569");
-            values ( \
-              "-0.0473806, -0.324047, -0.365166, -0.424207, -0.461517, -0.488185, -0.494888, -0.499708, -0.500621, -0.497929, -0.493107, -0.481435, -0.466865, -0.447407, -0.442143, -0.435562, -0.412305, -0.394325, -0.342865, -0.25489, -0.198364, -0.157502, -0.131242, -0.102956, -0.0840569, -0.0655967, -0.0458324, -0.031481, -0.0235034, -0.0128586, -0.00583109, -0.00262655, -0.00118592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.214886");
-            index_3 ("0.223866, 0.298825, 0.339351, 0.450118, 0.528249, 0.60855, 0.691882, 0.730797, 0.780199, 0.844074, 1.00012, 1.06351, 1.10386, 1.15618, 1.20039, 1.24938, 1.31325, 1.36481, 1.45877, 1.52264, 1.65039, 1.90589");
-            values ( \
-              "-0.512659, -0.519755, -0.516429, -0.50136, -0.489136, -0.474257, -0.453888, -0.441229, -0.419427, -0.373713, -0.214372, -0.162346, -0.134813, -0.104952, -0.0845491, -0.0662119, -0.0477301, -0.0366446, -0.0224174, -0.0159556, -0.00806822, -0.00203745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.381651, 0.385688, 0.388683, 0.391665, 0.396878, 0.404076, 0.414526, 0.418863, 0.422895, 0.426824, 0.430972, 0.437453, 0.440936, 0.445136, 0.448242, 0.454462, 0.461349, 0.464847");
-            values ( \
-              "-0.0224258, -0.0279448, -0.0369931, -0.0489174, -0.0768688, -0.106182, -0.144149, -0.158035, -0.166603, -0.167767, -0.147683, -0.0787406, -0.0497136, -0.0269478, -0.0168782, -0.00649384, -0.00238141, -0.00171598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00822912");
-            index_3 ("0.383576, 0.390244, 0.391318, 0.392391, 0.393536, 0.394681, 0.396881, 0.399081, 0.404153, 0.408663, 0.411942, 0.415222, 0.419584, 0.421307, 0.423232, 0.424366, 0.4255, 0.427768, 0.430035, 0.431842, 0.433648, 0.435944, 0.438068, 0.439428, 0.440787, 0.442146, 0.442945, 0.443584, 0.444222, 0.444648, 0.4455, 0.446161, 0.447484, 0.448145, 0.451656, 0.456463, 0.458201, 0.45907, 0.460808, 0.461677, 0.462583, 0.464936, 0.465901, 0.466866, 0.467831, 0.468796, 0.46976, 0.470725, 0.471266, 0.473643");
-            values ( \
-              "-0.0292505, -0.0596833, -0.0649703, -0.070553, -0.0769565, -0.0838078, -0.0987718, -0.113028, -0.142401, -0.167436, -0.184936, -0.201851, -0.223887, -0.232057, -0.240707, -0.245262, -0.249541, -0.257036, -0.263908, -0.268931, -0.273523, -0.272191, -0.270449, -0.269078, -0.267507, -0.265736, -0.262467, -0.259462, -0.255987, -0.253384, -0.247527, -0.241987, -0.230131, -0.223816, -0.184849, -0.130751, -0.113581, -0.105892, -0.0916118, -0.0850201, -0.0786469, -0.062888, -0.0575018, -0.0525289, -0.0481685, -0.0440886, -0.0402893, -0.0367705, -0.0350004, -0.0276362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186023");
-            index_3 ("0.385689, 0.395487, 0.399845, 0.403172, 0.415059, 0.418151, 0.421456, 0.424762, 0.427098, 0.429434, 0.431771, 0.434107, 0.435106, 0.437106, 0.439009, 0.440912, 0.441802, 0.443581, 0.44625, 0.448029, 0.449808, 0.451587, 0.453483, 0.455379, 0.457275, 0.459833, 0.462391, 0.466867, 0.471342, 0.472271, 0.4732, 0.475367, 0.477224, 0.479701, 0.480939, 0.482042, 0.488662, 0.493606, 0.49563, 0.498756, 0.501039, 0.50292, 0.504801, 0.508444, 0.512353, 0.513969, 0.517202, 0.521082, 0.524962, 0.528274");
-            values ( \
-              "-0.0322638, -0.104547, -0.13467, -0.159818, -0.243433, -0.264548, -0.283752, -0.301348, -0.312814, -0.323477, -0.333335, -0.34239, -0.345846, -0.352105, -0.357393, -0.362026, -0.363773, -0.366945, -0.370896, -0.372991, -0.374656, -0.37589, -0.376121, -0.37598, -0.375467, -0.373062, -0.369724, -0.360938, -0.350695, -0.347935, -0.344002, -0.332623, -0.321521, -0.304926, -0.29586, -0.287201, -0.228785, -0.188482, -0.172926, -0.150491, -0.135317, -0.124469, -0.114231, -0.0962975, -0.0785938, -0.0724426, -0.0617973, -0.0509568, -0.0417576, -0.0351224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0420514");
-            index_3 ("0.382498, 0.421488, 0.425771, 0.434338, 0.445442, 0.45486, 0.462885, 0.477094, 0.485289, 0.496802, 0.513844, 0.526385, 0.532757, 0.5455, 0.580712, 0.593419, 0.601108, 0.617635, 0.626401, 0.642677, 0.661336, 0.676308, 0.706251, 0.724588");
-            values ( \
-              "-0.00385494, -0.312802, -0.341216, -0.384149, -0.420069, -0.436733, -0.445048, -0.44911, -0.447337, -0.441543, -0.425198, -0.40591, -0.39261, -0.353259, -0.194056, -0.147946, -0.124416, -0.0845576, -0.0683622, -0.0456283, -0.0285545, -0.019375, -0.00867553, -0.00601985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0950592");
-            index_3 ("0.393607, 0.410757, 0.426001, 0.4303, 0.436033, 0.445956, 0.452183, 0.460485, 0.472623, 0.483969, 0.501546, 0.518955, 0.554546, 0.573505, 0.590757, 0.6081, 0.628268, 0.666109, 0.67958, 0.694975, 0.750263, 0.773134, 0.796572, 0.827823, 0.839928, 0.862852, 0.883443, 0.912308, 0.923699, 0.94648, 0.992043, 1.05592, 1.11979, 1.18367");
-            values ( \
-              "-0.156792, -0.242613, -0.359439, -0.384936, -0.412587, -0.446514, -0.460718, -0.474031, -0.484898, -0.489082, -0.489586, -0.486493, -0.476394, -0.472551, -0.466385, -0.458293, -0.446576, -0.414573, -0.397217, -0.370859, -0.245742, -0.198909, -0.157914, -0.113896, -0.100039, -0.077854, -0.0618506, -0.0444776, -0.0390422, -0.0299804, -0.0174253, -0.0079171, -0.00357621, -0.00160948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.214886");
-            index_3 ("0.392706, 0.424717, 0.445178, 0.464132, 0.47761, 0.490864, 0.508996, 0.549739, 0.575534, 0.610694, 0.721313, 0.785188, 0.834518, 0.88493, 0.948804, 0.973325, 1.01882, 1.07437, 1.18356, 1.26486, 1.31936, 1.36306, 1.41414, 1.47801, 1.52461, 1.57113, 1.63315, 1.69703, 1.7609, 1.88865, 2.14415");
-            values ( \
-              "-0.125796, -0.358039, -0.455986, -0.493493, -0.505643, -0.509474, -0.511894, -0.508201, -0.509232, -0.505939, -0.489143, -0.47758, -0.467044, -0.453916, -0.431273, -0.419435, -0.389324, -0.336247, -0.223261, -0.156369, -0.121176, -0.098174, -0.0763242, -0.0552155, -0.0434807, -0.0341988, -0.0247046, -0.0176274, -0.0125535, -0.00634462, -0.00160954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.75878, 0.766125, 0.772309, 0.775927, 0.793365, 0.807221, 0.818193, 0.823257, 0.828309, 0.829228, 0.83774, 0.842265, 0.847504, 0.851294, 0.856347, 0.864228, 0.886104, 0.923584");
-            values ( \
-              "-0.0115278, -0.0164796, -0.0250451, -0.0323555, -0.0792117, -0.109637, -0.128097, -0.131424, -0.121517, -0.116871, -0.0568001, -0.0327946, -0.016253, -0.00971039, -0.00490299, -0.00188304, -0.000485214, -0.000122333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00822912");
-            index_3 ("0.760943, 0.768724, 0.772448, 0.77488, 0.777659, 0.781738, 0.788307, 0.790949, 0.796234, 0.803458, 0.813057, 0.821252, 0.828583, 0.83538, 0.841976, 0.848877, 0.849517, 0.850796, 0.853355, 0.857125, 0.85799, 0.85972, 0.86318, 0.865445, 0.866038, 0.867222, 0.86959, 0.874293, 0.876523, 0.877705, 0.880069, 0.884798, 0.892459");
-            values ( \
-              "-0.0202006, -0.0312202, -0.0374554, -0.0431089, -0.0500845, -0.0627254, -0.0882935, -0.0972922, -0.115794, -0.139027, -0.169346, -0.192663, -0.211432, -0.223842, -0.22645, -0.201618, -0.197493, -0.187227, -0.164283, -0.129343, -0.121911, -0.107498, -0.0821886, -0.0681961, -0.0649243, -0.0586999, -0.0477861, -0.0311822, -0.0254369, -0.0228227, -0.0183376, -0.0117672, -0.00603574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186023");
-            index_3 ("0.76358, 0.778057, 0.784503, 0.832232, 0.843458, 0.8537, 0.863568, 0.873415, 0.87885, 0.903133, 0.91377, 0.925297, 0.940985, 0.953589, 0.973818, 0.997981");
-            values ( \
-              "-0.031789, -0.0656548, -0.0880036, -0.281513, -0.315643, -0.337007, -0.343372, -0.331081, -0.311806, -0.138099, -0.0843873, -0.0476281, -0.0211723, -0.0106685, -0.00324987, -0.000737142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0420514");
-            index_3 ("0.765293, 0.788353, 0.820364, 0.835823, 0.844264, 0.85981, 0.871411, 0.881611, 0.899193, 0.916773, 0.929082, 0.935585, 0.948592, 0.973167, 0.984178, 0.994998, 1.01378, 1.02251, 1.0327, 1.04709, 1.06191, 1.07324, 1.0959, 1.13775, 1.18713");
-            values ( \
-              "-0.0169436, -0.114376, -0.265267, -0.333092, -0.364961, -0.405681, -0.422251, -0.429943, -0.431597, -0.420262, -0.403352, -0.390636, -0.351447, -0.23815, -0.191418, -0.152064, -0.0992675, -0.080765, -0.0631225, -0.0441682, -0.0304874, -0.0228598, -0.0126198, -0.0037876, -0.000835378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0950592");
-            index_3 ("0.757543, 0.78139, 0.796777, 0.839653, 0.847802, 0.862838, 0.875739, 0.886443, 0.904775, 0.922144, 0.947101, 0.994234, 1.03831, 1.07198, 1.08869, 1.11763, 1.14867, 1.19232, 1.21694, 1.22924, 1.24843, 1.27707, 1.31259, 1.32469, 1.35313, 1.38565, 1.43401");
-            values ( \
-              "-0.0378913, -0.0878028, -0.153785, -0.365725, -0.398356, -0.439988, -0.461617, -0.472316, -0.481625, -0.482944, -0.479262, -0.462915, -0.439336, -0.409866, -0.387233, -0.329649, -0.258158, -0.171867, -0.133891, -0.118559, -0.0966949, -0.0706291, -0.0471114, -0.0410202, -0.0295499, -0.0201557, -0.0118443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.214886");
-            index_3 ("0.780715, 0.85173, 0.866856, 0.892962, 0.912388, 0.934316, 0.960625, 1.04627, 1.12486, 1.24412, 1.31357, 1.37735, 1.44123, 1.59724, 1.66062, 1.75329, 1.84649, 1.91037, 1.96192, 2.05587, 2.11974, 2.26476");
-            values ( \
-              "-0.125369, -0.426335, -0.464481, -0.497103, -0.506502, -0.509155, -0.508498, -0.498217, -0.486512, -0.465065, -0.446247, -0.419435, -0.373643, -0.214397, -0.16237, -0.104972, -0.0661948, -0.0477468, -0.0366311, -0.0224054, -0.0159706, -0.00823422" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0030963, 0.00309709, 0.0030979, 0.00309848, 0.00309882, 0.00309897", \
-            "0.00373786, 0.00373794, 0.00373818, 0.00373847, 0.00373868, 0.0037388", \
-            "0.00412159, 0.00412147, 0.00412133, 0.00412127, 0.00412127, 0.00412129", \
-            "0.00434787, 0.00434765, 0.00434755, 0.0043475, 0.00434746, 0.00434744", \
-            "0.00447051, 0.00447041, 0.00447032, 0.00447023, 0.00447016, 0.00447011", \
-            "0.00454287, 0.00454285, 0.00454281, 0.00454277, 0.00454271, 0.00454267" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.00464219, 0.00464185, 0.0046419, 0.00464213, 0.00464234, 0.00464248", \
-            "0.00510625, 0.00510484, 0.00510343, 0.00510246, 0.00510195, 0.00510174", \
-            "0.00536175, 0.00535986, 0.00535765, 0.00535577, 0.00535457, 0.00535393", \
-            "0.00568435, 0.00568519, 0.00568501, 0.00568378, 0.00568265, 0.00568194", \
-            "0.00632158, 0.0064043, 0.00645995, 0.00649003, 0.0065067, 0.00650372", \
-            "0.00704016, 0.00703928, 0.00702886, 0.00701927, 0.00707185, 0.00708782" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0713043, 0.0834265, 0.107616, 0.160005, 0.278488, 0.546972", \
-            "0.0747518, 0.0868779, 0.111113, 0.163607, 0.282118, 0.550609", \
-            "0.0823697, 0.0945549, 0.118918, 0.171553, 0.290157, 0.558667", \
-            "0.0939163, 0.10667, 0.131734, 0.184684, 0.303398, 0.571926", \
-            "0.103586, 0.117266, 0.143105, 0.196572, 0.315795, 0.584563", \
-            "0.101015, 0.116996, 0.144682, 0.199008, 0.317958, 0.586962" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0259835, 0.0402296, 0.0729185, 0.149327, 0.324896, 0.722538", \
-            "0.0259798, 0.0402266, 0.0729342, 0.149322, 0.324894, 0.722523", \
-            "0.0262856, 0.0404047, 0.0729854, 0.149329, 0.324896, 0.722523", \
-            "0.0282318, 0.0421472, 0.0741589, 0.149791, 0.324934, 0.722523", \
-            "0.0322911, 0.0455285, 0.0763511, 0.15114, 0.325718, 0.722622", \
-            "0.0403414, 0.0530031, 0.0817989, 0.153407, 0.326505, 0.72358" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0632997, 0.0731633, 0.092761, 0.134433, 0.227683, 0.438456", \
-            "0.069326, 0.0792589, 0.0989292, 0.140648, 0.233934, 0.444715", \
-            "0.0839595, 0.0939524, 0.113733, 0.155614, 0.248943, 0.459738", \
-            "0.111701, 0.122344, 0.142815, 0.184933, 0.278311, 0.48916", \
-            "0.154088, 0.166241, 0.188034, 0.231104, 0.325155, 0.535863", \
-            "0.220651, 0.235915, 0.260926, 0.305752, 0.399959, 0.611962" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0191331, 0.029383, 0.0523342, 0.105492, 0.228466, 0.507824", \
-            "0.0191382, 0.0293868, 0.052323, 0.105488, 0.228414, 0.507737", \
-            "0.0193765, 0.0295374, 0.0524007, 0.105499, 0.228446, 0.507739", \
-            "0.0218109, 0.0316763, 0.0537443, 0.106007, 0.228737, 0.507831", \
-            "0.0272355, 0.0364199, 0.0573179, 0.108619, 0.229898, 0.507835", \
-            "0.0358062, 0.0456262, 0.0648637, 0.112226, 0.231274, 0.509814" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0582856, 0.0646872, 0.0707845, 0.075008, 0.0789576, 0.0828397, 0.0868005, 0.0892709, 0.0967678, 0.101281, 0.107553, 0.115965, 0.121425, 0.126576, 0.137931, 0.14798, 0.151935");
-            values ( \
-              "0.0516001, 0.111231, 0.147535, 0.162927, 0.168781, 0.169252, 0.161552, 0.150734, 0.0948258, 0.068421, 0.0434698, 0.0232895, 0.0154544, 0.010499, 0.0045991, 0.00225098, 0.00202659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00822912");
-            index_3 ("0.0588028, 0.0616785, 0.0675807, 0.0699018, 0.0740274, 0.0769173, 0.0831202, 0.0890545, 0.0949882, 0.100944, 0.104876, 0.1147, 0.122636, 0.12889, 0.132821, 0.139018, 0.145963, 0.154152, 0.161355, 0.16901, 0.174905, 0.186695, 0.202647");
-            values ( \
-              "0.070564, 0.10699, 0.169937, 0.189245, 0.216382, 0.230118, 0.247686, 0.251811, 0.24646, 0.231388, 0.213359, 0.150577, 0.10815, 0.0816441, 0.0683059, 0.0509585, 0.0363675, 0.0242367, 0.0168323, 0.0114735, 0.00854433, 0.00465195, 0.00229727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186023");
-            index_3 ("0.0550773, 0.0670769, 0.0735687, 0.0762866, 0.0817225, 0.0875889, 0.0971583, 0.098045, 0.103365, 0.108393, 0.118811, 0.128801, 0.134296, 0.141623, 0.161532, 0.174975, 0.184686, 0.19223, 0.202289, 0.216214, 0.224475, 0.238321, 0.253984, 0.266405, 0.291248, 0.311801");
-            values ( \
-              "0.00916736, 0.190139, 0.251843, 0.27069, 0.298243, 0.31567, 0.325683, 0.325738, 0.323819, 0.319347, 0.304531, 0.282515, 0.266313, 0.24015, 0.163699, 0.12103, 0.0958379, 0.0793915, 0.0611281, 0.0420806, 0.0335011, 0.0226421, 0.0145003, 0.0101074, 0.00476777, 0.0029571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0420514");
-            index_3 ("0.0628312, 0.0761614, 0.0877282, 0.0972002, 0.108181, 0.113769, 0.128328, 0.149269, 0.171436, 0.193968, 0.216763, 0.263983, 0.301835, 0.335747, 0.361956, 0.397184, 0.417464, 0.444602, 0.480787, 0.551681, 0.63106");
-            values ( \
-              "0.273291, 0.294836, 0.353456, 0.373345, 0.379197, 0.378257, 0.370318, 0.352647, 0.330161, 0.301804, 0.263294, 0.17316, 0.11374, 0.0746901, 0.0528098, 0.0324463, 0.0244847, 0.0166217, 0.00960751, 0.00315071, 0.000728523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0950592");
-            index_3 ("0.0631043, 0.0792882, 0.0876343, 0.09777, 0.104365, 0.11054, 0.122889, 0.137525, 0.152371, 0.239708, 0.290003, 0.339356, 0.388534, 0.490527, 0.568302, 0.619371, 0.650045, 0.700928, 0.761053, 0.814942, 0.87047, 0.912924, 0.992304, 1.07168, 1.15106, 1.30982");
-            values ( \
-              "0.296726, 0.330849, 0.373007, 0.398767, 0.406579, 0.410571, 0.412082, 0.40904, 0.403378, 0.365283, 0.340829, 0.311912, 0.273783, 0.182674, 0.12286, 0.0920129, 0.0766658, 0.0559542, 0.0380434, 0.0265981, 0.0183593, 0.0137771, 0.00791345, 0.00454283, 0.00258432, 0.000835902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.214886");
-            index_3 ("0.0713064, 0.0713264, 0.251816, 0.495365, 0.558524, 0.637904, 0.736106, 0.808257, 1.01141, 1.08422, 1.1636, 1.22926, 1.28954, 1.35452, 1.4339, 1.53562, 1.61291, 1.69229, 1.7712, 1.86343, 2.02219, 2.18095, 2.33971, 2.49847, 2.73661");
-            values ( \
-              "1e-22, 0.448153, 0.40945, 0.360161, 0.345575, 0.325676, 0.294279, 0.266407, 0.183851, 0.156912, 0.129558, 0.109822, 0.0937806, 0.079018, 0.0629688, 0.0469754, 0.0377687, 0.0294603, 0.0231884, 0.0174707, 0.0106393, 0.0064244, 0.00384147, 0.00226528, 0.00133655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0746012, 0.0779401, 0.0817568, 0.0862041, 0.0881996, 0.092421, 0.0963706, 0.100252, 0.104213, 0.106685, 0.114179, 0.1187, 0.124961, 0.129092, 0.133376, 0.138839, 0.143989, 0.150315, 0.155335, 0.165374, 0.174395");
-            values ( \
-              "0.00559334, 0.0729848, 0.108616, 0.138263, 0.147592, 0.162968, 0.168814, 0.169261, 0.161541, 0.150731, 0.0948281, 0.0683854, 0.0434822, 0.032062, 0.02329, 0.0154514, 0.0104972, 0.00661996, 0.00460195, 0.0022532, 0.00138771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00822912");
-            index_3 ("0.0752695, 0.0795235, 0.0829218, 0.0872631, 0.0912133, 0.0942748, 0.100478, 0.10641, 0.112343, 0.118298, 0.122236, 0.125505, 0.132044, 0.136872, 0.14001, 0.146287, 0.15016, 0.156343, 0.163317, 0.166524, 0.171522, 0.178718, 0.186348, 0.192221, 0.203967, 0.225728, 0.251703");
-            values ( \
-              "0.0293585, 0.109954, 0.15057, 0.189401, 0.215501, 0.230184, 0.247729, 0.251827, 0.246484, 0.231383, 0.213333, 0.193718, 0.150638, 0.123722, 0.108058, 0.0814862, 0.068351, 0.0510294, 0.0363671, 0.0310348, 0.0242172, 0.0168252, 0.0114834, 0.00856026, 0.00467269, 0.00142953, 0.000329258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186023");
-            index_3 ("0.0758343, 0.0868838, 0.093707, 0.0991006, 0.104995, 0.114462, 0.121354, 0.125791, 0.134666, 0.140264, 0.148022, 0.159699, 0.176973, 0.191994, 0.209923, 0.220537, 0.233363, 0.240994, 0.255431, 0.272119, 0.285547, 0.312403, 0.328869");
-            values ( \
-              "0.0842839, 0.216397, 0.271049, 0.298653, 0.315706, 0.325932, 0.323349, 0.319484, 0.307041, 0.296432, 0.277624, 0.237864, 0.17067, 0.122165, 0.0788363, 0.0597876, 0.0423861, 0.0343495, 0.022826, 0.0141935, 0.00960328, 0.00422803, 0.00293816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0420514");
-            index_3 ("0.0758219, 0.0900246, 0.10069, 0.105457, 0.114991, 0.125898, 0.133751, 0.146041, 0.166969, 0.203771, 0.231937, 0.281081, 0.315472, 0.343924, 0.379389, 0.397654, 0.422009, 0.44344, 0.476019, 0.519458, 0.566152");
-            values ( \
-              "0.117458, 0.265296, 0.337354, 0.353554, 0.374419, 0.379737, 0.37785, 0.370615, 0.352905, 0.31265, 0.268201, 0.174164, 0.119278, 0.0844393, 0.053127, 0.0414385, 0.0294266, 0.0217118, 0.0135634, 0.00707946, 0.00392481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0950592");
-            index_3 ("0.0825334, 0.101188, 0.106707, 0.116667, 0.12819, 0.137764, 0.150529, 0.169987, 0.259052, 0.307617, 0.356972, 0.406141, 0.508138, 0.585889, 0.637014, 0.667678, 0.718543, 0.778659, 0.832552, 0.888094, 0.930561, 1.00994, 1.08932, 1.1687, 1.32746");
-            values ( \
-              "0.343908, 0.356422, 0.37833, 0.401427, 0.411046, 0.412399, 0.410214, 0.403595, 0.364384, 0.340825, 0.311916, 0.273793, 0.182675, 0.122876, 0.0919952, 0.0766594, 0.0559562, 0.0380476, 0.026597, 0.0183566, 0.0137767, 0.00791066, 0.00454373, 0.00258235, 0.000834211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.214886");
-            index_3 ("0.0900041, 0.0900241, 0.236917, 0.468086, 0.547465, 0.631693, 0.69324, 0.753154, 0.825936, 1.02915, 1.10209, 1.18147, 1.24695, 1.30702, 1.37416, 1.45353, 1.54946, 1.6281, 1.70748, 1.78872, 1.88456, 2.04332, 2.20208, 2.5196, 2.75774");
-            values ( \
-              "1e-22, 0.454348, 0.416189, 0.369479, 0.352449, 0.332045, 0.314302, 0.294376, 0.26654, 0.183956, 0.156718, 0.129627, 0.109946, 0.0939594, 0.0784671, 0.062747, 0.0476353, 0.0379191, 0.0298091, 0.0233228, 0.0174071, 0.010647, 0.00647623, 0.00236065, 0.001203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.112627, 0.115623, 0.120026, 0.123742, 0.126397, 0.13074, 0.134756, 0.138684, 0.142813, 0.144739, 0.153997, 0.159203, 0.16622, 0.17161, 0.17578, 0.180229, 0.18492, 0.192247, 0.202017, 0.214501");
-            values ( \
-              "0.0507016, 0.0591224, 0.102284, 0.128407, 0.142309, 0.159613, 0.16647, 0.167762, 0.160187, 0.152284, 0.0860597, 0.0590322, 0.0354962, 0.0237509, 0.0173967, 0.0124732, 0.00882518, 0.00518998, 0.00254574, 0.00118038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00822912");
-            index_3 ("0.11262, 0.120834, 0.125434, 0.132637, 0.138917, 0.144893, 0.150869, 0.15684, 0.160519, 0.164037, 0.171071, 0.181437, 0.187707, 0.194441, 0.200086, 0.205413, 0.212515, 0.217989, 0.224227, 0.232543, 0.249177, 0.259947");
-            values ( \
-              "0.0396643, 0.140083, 0.182814, 0.22708, 0.244799, 0.25121, 0.245041, 0.231515, 0.214321, 0.19363, 0.147389, 0.0950948, 0.0715643, 0.0521122, 0.039655, 0.0305385, 0.0213547, 0.0162551, 0.0118926, 0.00777269, 0.00325693, 0.00213311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186023");
-            index_3 ("0.114928, 0.122447, 0.125291, 0.132257, 0.137564, 0.143646, 0.152913, 0.156479, 0.164475, 0.172536, 0.180589, 0.186715, 0.198467, 0.215599, 0.230933, 0.24075, 0.248434, 0.258679, 0.272237, 0.280288, 0.294331, 0.310334, 0.323088, 0.348596, 0.36852");
-            values ( \
-              "0.120441, 0.178404, 0.209911, 0.267583, 0.295706, 0.314447, 0.32513, 0.324839, 0.319159, 0.30826, 0.292844, 0.27747, 0.237611, 0.170898, 0.121481, 0.095934, 0.0791921, 0.0606873, 0.0421698, 0.0337931, 0.0226913, 0.0143865, 0.00994933, 0.00457159, 0.00287965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0420514");
-            index_3 ("0.118397, 0.132606, 0.139532, 0.144174, 0.153458, 0.164643, 0.175323, 0.203719, 0.227899, 0.248035, 0.275288, 0.321073, 0.345066, 0.376797, 0.392127, 0.418447, 0.455106, 0.481489, 0.52394, 0.580541, 0.607933");
-            values ( \
-              "0.239277, 0.293866, 0.336405, 0.352867, 0.373405, 0.379374, 0.376397, 0.354865, 0.330316, 0.305038, 0.259625, 0.171922, 0.132612, 0.0910171, 0.0747941, 0.0528136, 0.0317963, 0.0218591, 0.0117736, 0.00499725, 0.00366461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0950592");
-            index_3 ("0.121667, 0.155304, 0.167221, 0.180486, 0.209038, 0.301561, 0.34667, 0.396023, 0.445205, 0.547196, 0.624984, 0.676022, 0.757595, 0.817725, 0.871612, 0.969581, 1.04896, 1.12834, 1.14117");
-            values ( \
-              "0.332901, 0.400895, 0.411124, 0.412282, 0.403681, 0.362795, 0.340819, 0.311922, 0.273788, 0.182665, 0.122843, 0.0920152, 0.0559601, 0.0380478, 0.0265919, 0.0137831, 0.00790916, 0.00454807, 0.00434779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.214886");
-            index_3 ("0.131072, 0.131092, 0.275427, 0.506971, 0.586351, 0.670579, 0.732126, 0.864822, 1.06804, 1.14097, 1.22035, 1.3459, 1.41304, 1.49242, 1.58834, 1.66699, 1.74637, 1.85955, 2.00282, 2.16158, 2.32034, 2.4791, 2.79662");
-            values ( \
-              "1e-22, 0.467654, 0.41614, 0.3696, 0.352327, 0.331924, 0.314423, 0.266661, 0.184076, 0.156599, 0.129747, 0.0940752, 0.0783515, 0.0628624, 0.0477503, 0.037805, 0.0299234, 0.0211769, 0.0136347, 0.00831891, 0.00505158, 0.0030539, 0.00109597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.183597, 0.187499, 0.193211, 0.194723, 0.19894, 0.205005, 0.209926, 0.214364, 0.218611, 0.22286, 0.227119, 0.231924, 0.234201, 0.238006, 0.241881, 0.245627, 0.248283, 0.250712, 0.254562, 0.256714, 0.26006, 0.264828, 0.270735, 0.27861, 0.281317");
-            values ( \
-              "0.0129473, 0.0212032, 0.0518622, 0.0638947, 0.0914759, 0.123956, 0.142517, 0.152795, 0.155855, 0.152239, 0.135872, 0.101043, 0.0864744, 0.0662146, 0.0504322, 0.0387021, 0.0320058, 0.0264842, 0.0198803, 0.0170303, 0.0134069, 0.00954862, 0.006332, 0.00366842, 0.00323095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00822912");
-            index_3 ("0.187297, 0.193209, 0.203593, 0.207468, 0.211719, 0.218542, 0.224884, 0.231136, 0.237382, 0.243065, 0.253315, 0.261526, 0.271977, 0.281349, 0.286258, 0.298483, 0.311895, 0.323549, 0.332687");
-            values ( \
-              "0.0301984, 0.0700887, 0.156996, 0.182353, 0.205608, 0.228787, 0.238222, 0.236227, 0.224651, 0.199785, 0.137135, 0.0974363, 0.0610656, 0.0391028, 0.0308039, 0.0167468, 0.00858414, 0.00472372, 0.00333968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186023");
-            index_3 ("0.187498, 0.203681, 0.211535, 0.217147, 0.223781, 0.234719, 0.245384, 0.249058, 0.258871, 0.266703, 0.275326, 0.292673, 0.308849, 0.328409, 0.343656, 0.349725, 0.365192, 0.37722, 0.392231, 0.412247, 0.433695");
-            values ( \
-              "0.0298752, 0.181327, 0.243117, 0.274036, 0.29847, 0.314793, 0.312859, 0.30889, 0.294864, 0.278777, 0.252789, 0.185988, 0.131384, 0.0819072, 0.0550542, 0.046797, 0.0305824, 0.0217494, 0.0141584, 0.00783407, 0.00458797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0420514");
-            index_3 ("0.187314, 0.206905, 0.219459, 0.224228, 0.233766, 0.244357, 0.248803, 0.264955, 0.278152, 0.304291, 0.318614, 0.333061, 0.357559, 0.395297, 0.423673, 0.459753, 0.480833, 0.506815, 0.522174, 0.550824, 0.583589, 0.609875, 0.662447, 0.698357");
-            values ( \
-              "0.0348108, 0.227661, 0.316126, 0.336261, 0.360806, 0.370838, 0.371572, 0.367609, 0.35913, 0.335107, 0.318975, 0.299581, 0.257587, 0.184537, 0.136626, 0.0889305, 0.0678645, 0.0479842, 0.0388751, 0.0259039, 0.0162213, 0.0110569, 0.0049244, 0.00327027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0950592");
-            index_3 ("0.198282, 0.226257, 0.237251, 0.248389, 0.264216, 0.272394, 0.290545, 0.333978, 0.428199, 0.475261, 0.514072, 0.633938, 0.707156, 0.755928, 0.787209, 0.839157, 0.899828, 0.953487, 1.00817, 1.04989, 1.12927, 1.20865, 1.24401");
-            values ( \
-              "0.260176, 0.361506, 0.390896, 0.403377, 0.407994, 0.407744, 0.40285, 0.384886, 0.340827, 0.313433, 0.28438, 0.178291, 0.122466, 0.0929311, 0.0771624, 0.055953, 0.0379075, 0.0265473, 0.0184276, 0.0138964, 0.00798507, 0.00458184, 0.00370304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.214886");
-            index_3 ("0.208436, 0.208456, 0.355634, 0.535799, 0.667789, 0.752017, 0.813564, 0.873478, 0.94626, 1.14947, 1.22241, 1.30179, 1.36727, 1.42734, 1.49448, 1.60583, 1.66978, 1.74843, 1.8278, 1.94099, 2.08426, 2.24302, 2.40178, 2.63992, 2.87806");
-            values ( \
-              "1e-22, 0.443313, 0.416443, 0.380389, 0.352382, 0.331978, 0.314369, 0.29431, 0.266606, 0.184021, 0.156654, 0.129692, 0.110009, 0.0940213, 0.0784052, 0.0573622, 0.0476972, 0.037858, 0.0298705, 0.0212284, 0.0136859, 0.00836977, 0.00510214, 0.00241872, 0.00114554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.331287, 0.338541, 0.341148, 0.342767, 0.346004, 0.348693, 0.352939, 0.357799, 0.36249, 0.368626, 0.373938, 0.378857, 0.383659, 0.388453, 0.394334, 0.395741, 0.397617, 0.401368, 0.405035, 0.406649, 0.409719, 0.413829, 0.415214, 0.417985, 0.423526, 0.427328, 0.428882, 0.431991, 0.43821, 0.450488");
-            values ( \
-              "0.00553129, 0.0146888, 0.0196982, 0.0236561, 0.0336545, 0.0435643, 0.0615931, 0.0802995, 0.0969929, 0.116998, 0.129549, 0.136648, 0.136789, 0.12605, 0.090508, 0.0827743, 0.0730786, 0.0568075, 0.0445865, 0.0399849, 0.0323425, 0.0242607, 0.0220122, 0.0181043, 0.0121582, 0.00932127, 0.00835442, 0.00675233, 0.00440277, 0.00194162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00822912");
-            index_3 ("0.329965, 0.345285, 0.349635, 0.364777, 0.370694, 0.378704, 0.385833, 0.392643, 0.399571, 0.401933, 0.404267, 0.415608, 0.420088, 0.424312, 0.429786, 0.434132, 0.439927, 0.444269, 0.44783, 0.452579, 0.460616, 0.464396, 0.470052, 0.477593, 0.489617");
-            values ( \
-              "0.00607817, 0.0403595, 0.0601444, 0.141558, 0.169829, 0.200158, 0.215285, 0.219957, 0.212559, 0.206104, 0.197364, 0.13576, 0.113401, 0.0949956, 0.074842, 0.0615917, 0.0470223, 0.0382749, 0.0322555, 0.025593, 0.0171615, 0.0142501, 0.0107808, 0.00741384, 0.00423297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186023");
-            index_3 ("0.330687, 0.344468, 0.34887, 0.354466, 0.372528, 0.377468, 0.384143, 0.395988, 0.407188, 0.418546, 0.425163, 0.430246, 0.440414, 0.45899, 0.463689, 0.469953, 0.482381, 0.492572, 0.499694, 0.509626, 0.518252, 0.526986, 0.539462, 0.556084, 0.568832, 0.581701, 0.595302, 0.59776");
-            values ( \
-              "0.00833939, 0.045328, 0.0666096, 0.09926, 0.215888, 0.24179, 0.269188, 0.296435, 0.301845, 0.293355, 0.282876, 0.271855, 0.241185, 0.171223, 0.155281, 0.13536, 0.101046, 0.0786839, 0.0655634, 0.0504095, 0.0399455, 0.0314114, 0.0220912, 0.0135235, 0.00948865, 0.00661144, 0.00449973, 0.00427037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0420514");
-            index_3 ("0.344117, 0.35758, 0.37034, 0.38452, 0.394329, 0.406983, 0.417847, 0.427906, 0.443801, 0.449199, 0.471578, 0.494304, 0.513039, 0.558183, 0.58302, 0.604286, 0.619656, 0.64931, 0.662534, 0.694202, 0.717791, 0.746352, 0.784434, 0.853174, 0.932554");
-            values ( \
-              "0.0940716, 0.130895, 0.224411, 0.305102, 0.338423, 0.359025, 0.363918, 0.361809, 0.35286, 0.348367, 0.327439, 0.300239, 0.26977, 0.183792, 0.142277, 0.111402, 0.0925171, 0.0632255, 0.0530264, 0.0343346, 0.0245807, 0.0163216, 0.0092749, 0.00306831, 0.000806006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0950592");
-            index_3 ("0.344228, 0.386375, 0.400189, 0.409745, 0.424717, 0.438794, 0.496299, 0.571637, 0.616057, 0.643476, 0.69727, 0.806063, 0.883138, 0.960126, 1.01774, 1.05175, 1.11185, 1.17997, 1.23428, 1.37378");
-            values ( \
-              "0.0772544, 0.330451, 0.376337, 0.392101, 0.40203, 0.402585, 0.382504, 0.349812, 0.32701, 0.309647, 0.267166, 0.170524, 0.113894, 0.0724997, 0.0506058, 0.040673, 0.0273089, 0.0173213, 0.0119556, 0.00490443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.214886");
-            index_3 ("0.367721, 0.443922, 0.459893, 0.622858, 0.831173, 0.915401, 0.976948, 1.03686, 1.10964, 1.31286, 1.38579, 1.46517, 1.53065, 1.59073, 1.65786, 1.76922, 1.83316, 1.91181, 1.99119, 2.10437, 2.24765, 2.40641, 2.56517, 2.8033, 3.04144");
-            values ( \
-              "0.41328, 0.424693, 0.424396, 0.395746, 0.352373, 0.331971, 0.314376, 0.294303, 0.266613, 0.184026, 0.156649, 0.129697, 0.110012, 0.0940235, 0.0784031, 0.0573605, 0.0476989, 0.0378564, 0.029872, 0.0212276, 0.0136851, 0.00836909, 0.00510153, 0.00241923, 0.00114511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.638094, 0.653032, 0.656713, 0.66346, 0.668453, 0.681494, 0.687617, 0.695784, 0.702775, 0.709094, 0.715066, 0.721041, 0.727014, 0.732019, 0.736328, 0.740187, 0.746465, 0.750256, 0.755029, 0.761393, 0.765905, 0.773609, 0.783881, 0.79624");
-            values ( \
-              "0.0015491, 0.0086353, 0.0115287, 0.0196492, 0.028618, 0.0598305, 0.0724545, 0.0880831, 0.0996187, 0.107762, 0.111707, 0.10781, 0.0849248, 0.0630078, 0.0483537, 0.0383361, 0.0256998, 0.0201085, 0.0146816, 0.00957523, 0.00715154, 0.00440012, 0.0023734, 0.00131648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00822912");
-            index_3 ("0.638323, 0.656287, 0.662815, 0.673307, 0.708044, 0.716851, 0.724902, 0.732732, 0.740544, 0.754751, 0.76494, 0.775465, 0.788623, 0.799995, 0.815219, 0.831458, 0.836751");
-            values ( \
-              "0.00246008, 0.0170293, 0.0277184, 0.0535631, 0.158539, 0.178197, 0.18922, 0.190113, 0.174164, 0.108643, 0.0719383, 0.0452973, 0.024542, 0.014188, 0.00683284, 0.00308309, 0.00279724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186023");
-            index_3 ("0.637836, 0.665903, 0.668193, 0.672773, 0.679775, 0.7142, 0.725259, 0.728148, 0.733924, 0.740631, 0.75276, 0.764884, 0.769063, 0.773521, 0.778806, 0.789374, 0.801537, 0.808923, 0.820007, 0.829552, 0.836709, 0.845936, 0.853495, 0.862567, 0.875363, 0.888679, 0.898878, 0.919275, 0.943358");
-            values ( \
-              "0.00219918, 0.0409443, 0.0470796, 0.0610907, 0.0854272, 0.219787, 0.252295, 0.258968, 0.268704, 0.275848, 0.276751, 0.263396, 0.254175, 0.242168, 0.225054, 0.187123, 0.146385, 0.124343, 0.0958668, 0.0758146, 0.063131, 0.0495224, 0.0404408, 0.0315404, 0.0220433, 0.0151572, 0.0113249, 0.00622007, 0.00341185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0420514");
-            index_3 ("0.666263, 0.688937, 0.714522, 0.725458, 0.740283, 0.761257, 0.765121, 0.780574, 0.791845, 0.80699, 0.829828, 0.848631, 0.893669, 0.920966, 0.942251, 0.959401, 0.972583, 0.998374, 1.02712, 1.05206, 1.08415, 1.12695, 1.19618, 1.27208");
-            values ( \
-              "0.102623, 0.132573, 0.250416, 0.292452, 0.329504, 0.349149, 0.34937, 0.34503, 0.338045, 0.32527, 0.299322, 0.269285, 0.184151, 0.138249, 0.108099, 0.087738, 0.0742447, 0.0528692, 0.0356847, 0.0250935, 0.015844, 0.00835751, 0.00273734, 0.000910143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0950592");
-            index_3 ("0.666346, 0.692261, 0.718254, 0.735481, 0.742641, 0.75696, 0.772746, 0.787102, 0.808207, 0.831128, 0.877114, 0.925852, 0.975391, 1.01642, 1.1381, 1.21576, 1.24499, 1.29119, 1.35298, 1.38573, 1.44712, 1.51759, 1.57419, 1.65357, 1.73295, 1.75568");
-            values ( \
-              "0.109525, 0.154697, 0.283045, 0.345665, 0.362513, 0.38371, 0.392977, 0.394132, 0.389868, 0.381862, 0.362505, 0.339486, 0.310936, 0.280085, 0.173073, 0.115622, 0.0981735, 0.0747145, 0.0508503, 0.0412024, 0.0274363, 0.0171291, 0.0116289, 0.00667981, 0.00382034, 0.0035234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.214886");
-            index_3 ("0.687363, 0.72705, 0.739097, 0.762127, 0.778845, 0.794043, 0.816134, 0.842811, 0.984286, 1.13197, 1.27251, 1.36191, 1.75655, 1.83593, 1.92727, 1.99203, 2.10413, 2.24769, 2.32707, 2.43889, 2.58123, 2.73999, 2.89875, 3.01283");
-            values ( \
-              "0.287118, 0.32971, 0.36886, 0.407531, 0.417498, 0.420386, 0.41955, 0.415924, 0.389034, 0.359061, 0.325995, 0.297858, 0.144117, 0.118639, 0.0936127, 0.0785704, 0.0573646, 0.0377504, 0.0297839, 0.0212547, 0.0137427, 0.00840481, 0.00512356, 0.00417186" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.00333931, 0.00334029, 0.00334144, 0.00334232, 0.00334283, 0.00334309", \
-            "0.00431678, 0.00431718, 0.00431788, 0.00431866, 0.00431925, 0.00431958", \
-            "0.00498379, 0.00498429, 0.00498487, 0.00498549, 0.00498604, 0.00498642", \
-            "0.00542098, 0.00542114, 0.00542158, 0.00542221, 0.00542281, 0.00542324", \
-            "0.00577097, 0.00577101, 0.00577115, 0.00577139, 0.00577173, 0.00577207", \
-            "0.00595658, 0.00595643, 0.00595621, 0.00595602, 0.00595601, 0.00595615" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.00530001, 0.00530109, 0.00530434, 0.00530718, 0.00530902, 0.00530999", \
-            "0.00571689, 0.00571813, 0.00572058, 0.00572354, 0.00572592, 0.00572737", \
-            "0.00577262, 0.00577075, 0.00576921, 0.00576876, 0.00576909, 0.00576955", \
-            "0.0061532, 0.00616505, 0.00616852, 0.00616751, 0.0061686, 0.00616919", \
-            "0.00609638, 0.00610722, 0.00621566, 0.00628799, 0.00632423, 0.00634111", \
-            "0.00587142, 0.0058716, 0.0058716, 0.00589375, 0.00600208, 0.00605799" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0538375, 0.0578709, 0.0611451, 0.0652226, 0.0687086, 0.0718988, 0.074933, 0.0779132, 0.080891, 0.0820267, 0.0915466, 0.0945716, 0.0984387, 0.103044, 0.107087, 0.107584");
-            values ( \
-              "-0.0552681, -0.0923668, -0.141952, -0.177337, -0.198833, -0.212086, -0.21976, -0.220524, -0.208814, -0.200186, -0.0709601, -0.0444968, -0.0236733, -0.0110455, -0.0055795, -0.005297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00822912");
-            index_3 ("0.0504198, 0.0668763, 0.0701648, 0.0752863, 0.0800644, 0.0847068, 0.0893444, 0.0939696, 0.0952112, 0.099548, 0.109122, 0.114114, 0.120044, 0.125432, 0.129061, 0.136318, 0.13801");
-            values ( \
-              "-0.00280972, -0.251997, -0.275703, -0.303041, -0.316493, -0.322645, -0.317632, -0.298459, -0.290204, -0.244748, -0.119281, -0.0755386, -0.0424402, -0.0246895, -0.017027, -0.00783424, -0.00696213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186023");
-            index_3 ("0.0502281, 0.0698186, 0.0752609, 0.0792793, 0.0863343, 0.0908734, 0.0960951, 0.104317, 0.112533, 0.12152, 0.129632, 0.142811, 0.150418, 0.160007, 0.171346, 0.177354, 0.186481, 0.198651, 0.210765");
-            values ( \
-              "-0.0188762, -0.323176, -0.360731, -0.378974, -0.399588, -0.406092, -0.40885, -0.406425, -0.393204, -0.363586, -0.311614, -0.19281, -0.13752, -0.0866427, -0.0485752, -0.0354634, -0.021803, -0.0110764, -0.00635888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0420514");
-            index_3 ("0.0574623, 0.0654263, 0.0700625, 0.0797567, 0.0863396, 0.0941229, 0.10214, 0.113054, 0.126063, 0.145937, 0.162628, 0.170175, 0.181389, 0.187719, 0.200379, 0.228419, 0.238047, 0.261028, 0.27239, 0.284508, 0.304453, 0.317517, 0.343644, 0.368566");
-            values ( \
-              "-0.266172, -0.301686, -0.356239, -0.41995, -0.442593, -0.458867, -0.465879, -0.467721, -0.463833, -0.449649, -0.431292, -0.419573, -0.396882, -0.379068, -0.327996, -0.196909, -0.160478, -0.0948699, -0.072273, -0.0536258, -0.0325293, -0.0232954, -0.0116242, -0.00676074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0950592");
-            index_3 ("0.0576453, 0.076782, 0.0888088, 0.096924, 0.104092, 0.113649, 0.127962, 0.144871, 0.168017, 0.202551, 0.239295, 0.283163, 0.308758, 0.316525, 0.33206, 0.361949, 0.395276, 0.422689, 0.443711, 0.459966, 0.485062, 0.506109, 0.527815, 0.558779, 0.572126, 0.59882, 0.652207, 0.716473, 0.780739, 0.845005");
-            values ( \
-              "-0.274685, -0.422433, -0.472553, -0.488591, -0.495997, -0.500529, -0.501833, -0.498977, -0.492633, -0.481447, -0.466608, -0.442119, -0.420647, -0.412185, -0.390905, -0.330951, -0.253645, -0.197018, -0.160219, -0.13565, -0.10391, -0.0826272, -0.0648702, -0.0455573, -0.0390919, -0.028669, -0.0150614, -0.00679978, -0.00303863, -0.00137772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.214886");
-            index_3 ("0.0637809, 0.111103, 0.13478, 0.208038, 0.29145, 0.449926, 0.533275, 0.571441, 0.621605, 0.685871, 0.841958, 0.904515, 0.996749, 1.09172, 1.15599, 1.20553, 1.28783, 1.35209, 1.53486");
-            values ( \
-              "-0.486137, -0.515249, -0.520036, -0.512727, -0.501275, -0.474255, -0.453908, -0.441341, -0.419475, -0.373256, -0.214099, -0.162752, -0.105467, -0.0658033, -0.0474877, -0.0366764, -0.0238432, -0.0170955, -0.00658926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0724348, 0.0800412, 0.0827509, 0.0895476, 0.092751, 0.094766, 0.0962691, 0.0977446, 0.100718, 0.101921, 0.104196, 0.111431, 0.114378, 0.118265, 0.122937, 0.127042, 0.128732");
-            values ( \
-              "-0.0031731, -0.134349, -0.159956, -0.203609, -0.215228, -0.219617, -0.220538, -0.220315, -0.2086, -0.199561, -0.173812, -0.0704482, -0.0447002, -0.0237061, -0.0109519, -0.00546292, -0.00452748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00822912");
-            index_3 ("0.0730009, 0.0785128, 0.0825343, 0.0843357, 0.0901945, 0.0953242, 0.100097, 0.10474, 0.109378, 0.114181, 0.115244, 0.119582, 0.129156, 0.134148, 0.140078, 0.145467, 0.149096, 0.156355, 0.158852");
-            values ( \
-              "-0.00225591, -0.141721, -0.206234, -0.226715, -0.275951, -0.302619, -0.316848, -0.322206, -0.318026, -0.297286, -0.290194, -0.244734, -0.11927, -0.0755294, -0.0424341, -0.0246965, -0.0170174, -0.00784046, -0.00654727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186023");
-            index_3 ("0.0726757, 0.0824775, 0.084266, 0.0899292, 0.0945451, 0.0993841, 0.10794, 0.116185, 0.124405, 0.132621, 0.141608, 0.149653, 0.162982, 0.170383, 0.180082, 0.19218, 0.205619, 0.216446, 0.230134");
-            values ( \
-              "-0.0163036, -0.23449, -0.264678, -0.324378, -0.355901, -0.379812, -0.402445, -0.409368, -0.406037, -0.39366, -0.363589, -0.312183, -0.192112, -0.13829, -0.0867065, -0.0466884, -0.0229385, -0.012639, -0.0065131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0420514");
-            index_3 ("0.0785302, 0.0896728, 0.0940055, 0.0989572, 0.106026, 0.114035, 0.116984, 0.122882, 0.133241, 0.149507, 0.16992, 0.183311, 0.19698, 0.201577, 0.210772, 0.222454, 0.245347, 0.255945, 0.271905, 0.281811, 0.293375, 0.308795, 0.31841, 0.333153, 0.35281, 0.392124, 0.438867");
-            values ( \
-              "-0.288843, -0.351488, -0.386199, -0.415224, -0.441642, -0.458189, -0.461708, -0.466026, -0.467857, -0.461943, -0.446114, -0.43036, -0.407201, -0.396933, -0.369299, -0.31901, -0.210589, -0.168718, -0.118063, -0.09366, -0.0709559, -0.0483841, -0.0380441, -0.0261877, -0.0156907, -0.00514105, -0.0012617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0950592");
-            index_3 ("0.0784531, 0.0886967, 0.0978472, 0.108233, 0.116429, 0.12533, 0.135842, 0.149988, 0.188104, 0.223258, 0.259367, 0.299062, 0.312417, 0.330222, 0.349361, 0.37488, 0.426402, 0.459371, 0.478789, 0.504214, 0.527757, 0.559147, 0.590497, 0.607413, 0.641244, 0.70551, 0.769776, 0.834041");
-            values ( \
-              "-0.314997, -0.353757, -0.428346, -0.470624, -0.488406, -0.497212, -0.500854, -0.501901, -0.49292, -0.481326, -0.466831, -0.444967, -0.43529, -0.419212, -0.395193, -0.347068, -0.229556, -0.167385, -0.137309, -0.104865, -0.081137, -0.0569676, -0.0398156, -0.0327657, -0.022008, -0.00998195, -0.00447571, -0.00201369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.214886");
-            index_3 ("0.0853392, 0.130438, 0.138345, 0.15318, 0.199425, 0.311834, 0.389979, 0.470317, 0.553631, 0.617897, 0.642042, 0.687554, 0.743092, 0.852155, 0.933685, 0.988344, 1.03177, 1.0825, 1.14677, 1.19324, 1.23981, 1.30189, 1.36616, 1.43042, 1.55896, 1.58999");
-            values ( \
-              "-0.511102, -0.51481, -0.517761, -0.520053, -0.516135, -0.501289, -0.489122, -0.474249, -0.453949, -0.430939, -0.419495, -0.389181, -0.336361, -0.223399, -0.156304, -0.12103, -0.0981865, -0.0764605, -0.0551989, -0.0434959, -0.0342012, -0.0246947, -0.0175837, -0.012493, -0.00628558, -0.00571752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.117826, 0.122985, 0.125798, 0.130382, 0.133952, 0.137203, 0.140274, 0.143282, 0.146287, 0.148419, 0.155662, 0.159049, 0.162907, 0.168, 0.172167, 0.177559");
-            values ( \
-              "-0.0397817, -0.083703, -0.129458, -0.171845, -0.194643, -0.209021, -0.2175, -0.218811, -0.207708, -0.189922, -0.0856664, -0.0515363, -0.0276981, -0.0119404, -0.00590005, -0.00261193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00822912");
-            index_3 ("0.118877, 0.127682, 0.13269, 0.135612, 0.140791, 0.14561, 0.150276, 0.15492, 0.159741, 0.160804, 0.16515, 0.174729, 0.179724, 0.185668, 0.191044, 0.194664, 0.201904, 0.204292");
-            values ( \
-              "-0.0498521, -0.196044, -0.249646, -0.271678, -0.29994, -0.315013, -0.321023, -0.317211, -0.2968, -0.289765, -0.244498, -0.119227, -0.0755055, -0.0423691, -0.0246981, -0.0170378, -0.00786894, -0.00662761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186023");
-            index_3 ("0.122225, 0.13323, 0.140242, 0.145091, 0.152479, 0.156105, 0.16397, 0.170149, 0.176333, 0.187372, 0.196376, 0.21557, 0.224468, 0.233742, 0.243453, 0.261055, 0.264415");
-            values ( \
-              "-0.187294, -0.298867, -0.353932, -0.377397, -0.399327, -0.404584, -0.40882, -0.405842, -0.397255, -0.363473, -0.304062, -0.142317, -0.0930325, -0.0581468, -0.0349374, -0.0133067, -0.0117282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0420514");
-            index_3 ("0.121264, 0.128739, 0.133302, 0.136054, 0.141558, 0.14577, 0.153071, 0.160675, 0.167116, 0.179088, 0.185857, 0.195358, 0.211969, 0.215998, 0.229159, 0.247423, 0.252712, 0.259764, 0.285174, 0.29565, 0.306343, 0.320601, 0.326191, 0.336754, 0.346147, 0.35932, 0.3645, 0.37486, 0.395579, 0.434555, 0.479868");
-            values ( \
-              "-0.142781, -0.262384, -0.32429, -0.354676, -0.395952, -0.419319, -0.444257, -0.459397, -0.465204, -0.467795, -0.46599, -0.461902, -0.449707, -0.445874, -0.43037, -0.396989, -0.382461, -0.357408, -0.237183, -0.192101, -0.152711, -0.110318, -0.0967971, -0.0752044, -0.0597885, -0.043024, -0.0377948, -0.0290619, -0.0169407, -0.0056157, -0.00146665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0950592");
-            index_3 ("0.125409, 0.139299, 0.147198, 0.152792, 0.165286, 0.176262, 0.18803, 0.216653, 0.234007, 0.268584, 0.305272, 0.341652, 0.358023, 0.375486, 0.396547, 0.424629, 0.47803, 0.513768, 0.53851, 0.557665, 0.580023, 0.609832, 0.637119, 0.67859, 0.733885, 0.79815, 0.808781");
-            values ( \
-              "-0.352756, -0.398662, -0.446385, -0.466905, -0.491388, -0.499478, -0.501959, -0.497627, -0.492596, -0.481435, -0.466611, -0.447223, -0.435519, -0.419882, -0.393245, -0.33848, -0.217839, -0.153723, -0.118778, -0.0967215, -0.0756792, -0.0540538, -0.0395699, -0.0244322, -0.0124884, -0.00563514, -0.00526362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.214886");
-            index_3 ("0.119661, 0.130069, 0.144648, 0.159015, 0.168614, 0.17871, 0.191301, 0.225865, 0.3574, 0.435545, 0.515883, 0.599198, 0.663463, 0.687609, 0.733121, 0.788658, 0.897728, 0.979246, 1.0339, 1.07733, 1.12808, 1.19235, 1.23881, 1.28537, 1.34744, 1.4117, 1.47597, 1.6045, 1.86156");
-            values ( \
-              "-0.105568, -0.305995, -0.443739, -0.494494, -0.509513, -0.515788, -0.519761, -0.518691, -0.501421, -0.489245, -0.47436, -0.454052, -0.430838, -0.41959, -0.389089, -0.336449, -0.223389, -0.156305, -0.121041, -0.0981894, -0.0764571, -0.0551921, -0.0434968, -0.0342044, -0.0246955, -0.0175879, -0.0124925, -0.00628438, -0.001578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.205304, 0.21284, 0.221095, 0.228986, 0.232747, 0.236195, 0.239509, 0.242816, 0.244508, 0.255329, 0.257601, 0.261481, 0.264544, 0.268628, 0.268694");
-            values ( \
-              "-0.0183325, -0.0445046, -0.111441, -0.164795, -0.183624, -0.195745, -0.200723, -0.194184, -0.183346, -0.0571861, -0.0406515, -0.022004, -0.0134792, -0.00693297, -0.00688705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00822912");
-            index_3 ("0.207202, 0.216575, 0.221325, 0.230641, 0.236499, 0.241767, 0.24676, 0.248884, 0.251662, 0.256702, 0.262333, 0.272805, 0.277185, 0.281995, 0.28689, 0.29554, 0.303231");
-            values ( \
-              "-0.0313073, -0.0921598, -0.14957, -0.234729, -0.271244, -0.291314, -0.301938, -0.302999, -0.301447, -0.286058, -0.239196, -0.110302, -0.0741817, -0.04684, -0.0288211, -0.0118589, -0.00572399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186023");
-            index_3 ("0.211349, 0.216999, 0.230455, 0.234766, 0.241061, 0.248926, 0.252801, 0.25885, 0.267295, 0.275785, 0.284702, 0.286892, 0.289811, 0.313025, 0.322513, 0.332034, 0.340812, 0.355693, 0.366532");
-            values ( \
-              "-0.116983, -0.120141, -0.276563, -0.312193, -0.349197, -0.377673, -0.386072, -0.395368, -0.398378, -0.388723, -0.361604, -0.351207, -0.333646, -0.141271, -0.0896055, -0.0553856, -0.0349814, -0.0156368, -0.00954864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0420514");
-            index_3 ("0.212108, 0.222123, 0.229015, 0.231104, 0.235282, 0.241876, 0.248921, 0.259785, 0.266829, 0.274811, 0.279552, 0.285873, 0.292747, 0.306497, 0.315158, 0.326621, 0.34489, 0.350508, 0.357491, 0.382493, 0.393088, 0.403883, 0.418277, 0.423709, 0.433985, 0.443455, 0.456706, 0.461965, 0.472483, 0.49352, 0.532436, 0.577753");
-            values ( \
-              "-0.10741, -0.19519, -0.286264, -0.306952, -0.346267, -0.388436, -0.419631, -0.446311, -0.456663, -0.462134, -0.463015, -0.462415, -0.460061, -0.451572, -0.443761, -0.4302, -0.396927, -0.38141, -0.356337, -0.237881, -0.192234, -0.152481, -0.109788, -0.0966844, -0.0756401, -0.0600256, -0.0431128, -0.0377973, -0.028946, -0.0167257, -0.005555, -0.00145041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0950592");
-            index_3 ("0.221676, 0.239733, 0.248816, 0.259344, 0.268318, 0.278341, 0.291951, 0.307148, 0.34235, 0.381196, 0.406866, 0.431738, 0.457116, 0.47994, 0.520406, 0.558356, 0.585627, 0.609026, 0.649564, 0.668204, 0.690474, 0.721877, 0.754539, 0.779557, 0.829595, 0.89386, 1.02239");
-            values ( \
-              "-0.388482, -0.394529, -0.440517, -0.471459, -0.487447, -0.496275, -0.499865, -0.498454, -0.489221, -0.475603, -0.464691, -0.451657, -0.434217, -0.412168, -0.343016, -0.254793, -0.198539, -0.15752, -0.10295, -0.0840455, -0.0656651, -0.0458131, -0.0314707, -0.0235502, -0.012842, -0.00583347, -0.00119681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.214886");
-            index_3 ("0.222577, 0.26625, 0.284835, 0.303957, 0.365947, 0.455343, 0.533502, 0.613823, 0.697172, 0.735339, 0.785503, 0.849768, 1.00586, 1.06841, 1.1089, 1.16136, 1.20585, 1.25476, 1.31903, 1.36985, 1.46251, 1.52677, 1.6553, 1.91237");
-            values ( \
-              "-0.392333, -0.498385, -0.515561, -0.518978, -0.513369, -0.50117, -0.489, -0.474154, -0.453809, -0.441436, -0.419376, -0.373354, -0.214, -0.162656, -0.134997, -0.105017, -0.0844796, -0.0661876, -0.047605, -0.0366937, -0.0226026, -0.0160466, -0.00807699, -0.00201866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.3861, 0.388943, 0.39219, 0.39418, 0.39816, 0.40041, 0.409498, 0.424885, 0.429256, 0.433288, 0.437204, 0.441773, 0.447769, 0.451211, 0.455322, 0.458394, 0.460434, 0.464513, 0.471216, 0.471937");
-            values ( \
-              "-0.0125372, -0.0161663, -0.0221232, -0.0235786, -0.033435, -0.0404929, -0.0822921, -0.142452, -0.157296, -0.167201, -0.168472, -0.144609, -0.0793744, -0.0502407, -0.0275712, -0.0172931, -0.0126479, -0.00670995, -0.00239786, -0.00226508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00822912");
-            index_3 ("0.385859, 0.396987, 0.401471, 0.404711, 0.429351, 0.435682, 0.441422, 0.446886, 0.452352, 0.45783, 0.465311, 0.469624, 0.475223, 0.479119, 0.482473, 0.486359, 0.49154, 0.501057");
-            values ( \
-              "-0.0175045, -0.044091, -0.0609106, -0.0773241, -0.219891, -0.248607, -0.267525, -0.274795, -0.266685, -0.23186, -0.145924, -0.103437, -0.0628732, -0.043637, -0.0316493, -0.0216835, -0.012839, -0.00491539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186023");
-            index_3 ("0.387109, 0.398297, 0.402427, 0.405943, 0.409709, 0.43018, 0.434606, 0.443356, 0.44831, 0.454383, 0.463382, 0.472322, 0.481259, 0.483451, 0.485957, 0.490969, 0.504742, 0.509133, 0.512076, 0.517964, 0.521729, 0.52778, 0.535176, 0.539781, 0.548649, 0.553977, 0.562372, 0.563285");
-            values ( \
-              "-0.024676, -0.0621741, -0.0796289, -0.0983411, -0.122862, -0.270194, -0.297429, -0.339121, -0.354743, -0.367858, -0.376876, -0.372767, -0.351461, -0.343036, -0.330607, -0.29582, -0.179109, -0.148018, -0.129533, -0.0980392, -0.0816232, -0.0603096, -0.041129, -0.0323653, -0.0202397, -0.015125, -0.00958181, -0.00920583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0420514");
-            index_3 ("0.385402, 0.408274, 0.442914, 0.458752, 0.472127, 0.485057, 0.506075, 0.523149, 0.542049, 0.555969, 0.598995, 0.619394, 0.644117, 0.682411, 0.708559");
-            values ( \
-              "-0.0245805, -0.126439, -0.377905, -0.427124, -0.444268, -0.448817, -0.441052, -0.424992, -0.392429, -0.349163, -0.160878, -0.101201, -0.0555947, -0.0210772, -0.0110185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0950592");
-            index_3 ("0.40503, 0.423739, 0.436636, 0.445221, 0.457405, 0.471437, 0.482727, 0.493369, 0.510951, 0.572345, 0.600228, 0.637718, 0.656319, 0.677468, 0.718003, 0.755808, 0.806651, 0.847121, 0.88798, 0.919395, 0.952102, 0.977161, 1.02728, 1.09154, 1.09188");
-            values ( \
-              "-0.223159, -0.26006, -0.361999, -0.409774, -0.451024, -0.475421, -0.485055, -0.488618, -0.489327, -0.475683, -0.466125, -0.446242, -0.432803, -0.412094, -0.342856, -0.254954, -0.157369, -0.102922, -0.0656864, -0.045821, -0.0314599, -0.0235312, -0.0128183, -0.00582279, -0.00581056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.214886");
-            index_3 ("0.410278, 0.433533, 0.448293, 0.465532, 0.483601, 0.49995, 0.518408, 0.549848, 0.575839, 0.611479, 0.691216, 0.794738, 0.842969, 0.894133, 0.958399, 0.982546, 1.02806, 1.0836, 1.19265, 1.2742, 1.32887, 1.37227, 1.42299, 1.48725, 1.53374, 1.58032, 1.64243, 1.7067, 1.77096, 1.89949, 2.15656");
-            values ( \
-              "-0.316066, -0.34961, -0.433777, -0.48191, -0.502702, -0.509592, -0.511052, -0.509053, -0.509714, -0.506646, -0.495264, -0.477374, -0.467106, -0.453825, -0.43104, -0.419378, -0.389285, -0.33625, -0.223407, -0.156299, -0.121018, -0.0981848, -0.0764669, -0.0552056, -0.0434966, -0.0341985, -0.0246917, -0.0175797, -0.012492, -0.00628559, -0.00158042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.77303, 0.782457, 0.784616, 0.786466, 0.788316, 0.789572, 0.790827, 0.792083, 0.794129, 0.796176, 0.798126, 0.801051, 0.802026, 0.806908, 0.808535, 0.812439, 0.816344, 0.819638, 0.822933, 0.828762, 0.834091, 0.835362, 0.836633, 0.837904, 0.839176, 0.840443, 0.841711, 0.842979, 0.844247, 0.844351, 0.844558, 0.844868, 0.845593, 0.846214, 0.847042, 0.848871, 0.851756, 0.852637, 0.853225, 0.8544, 0.855673, 0.85655, 0.857456, 0.857909, 0.859267, 0.860096, 0.860925, 0.861754, 0.862583, 0.864239");
-            values ( \
-              "-0.0175007, -0.0180235, -0.0207024, -0.0232135, -0.0259232, -0.028146, -0.0308527, -0.0337527, -0.0389362, -0.0445508, -0.0503019, -0.0578822, -0.0603207, -0.0717401, -0.0754678, -0.0842005, -0.0928078, -0.0999743, -0.107051, -0.11926, -0.130568, -0.130296, -0.129775, -0.129005, -0.127985, -0.126721, -0.125209, -0.123449, -0.121441, -0.121155, -0.120293, -0.118744, -0.114683, -0.110704, -0.105075, -0.0918089, -0.0695617, -0.0633679, -0.0594773, -0.05213, -0.0448808, -0.0400817, -0.035314, -0.0333599, -0.0279924, -0.0251535, -0.0225431, -0.0201614, -0.0180082, -0.0143213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00822912");
-            index_3 ("0.774165, 0.784329, 0.788843, 0.794868, 0.828106, 0.843733, 0.850481, 0.857011, 0.863837, 0.878046, 0.888863, 0.894801, 0.899656, 0.909366, 0.912274");
-            values ( \
-              "-0.0270561, -0.0312911, -0.0397171, -0.05522, -0.165924, -0.212272, -0.226029, -0.228969, -0.203749, -0.0827413, -0.0319529, -0.0184186, -0.0116208, -0.00445779, -0.00404383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186023");
-            index_3 ("0.772736, 0.791073, 0.798538, 0.847635, 0.858793, 0.868949, 0.878746, 0.888521, 0.894876, 0.918102, 0.928728, 0.940456, 0.955504, 0.967595, 0.97551");
-            values ( \
-              "-0.0164319, -0.0566422, -0.0794349, -0.282564, -0.318268, -0.33982, -0.345549, -0.332792, -0.308406, -0.138798, -0.084731, -0.0472495, -0.0216615, -0.0112184, -0.00814716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0420514");
-            index_3 ("0.772708, 0.800223, 0.806286, 0.844222, 0.861074, 0.872084, 0.878391, 0.891005, 0.9051, 0.913813, 0.931319, 0.939201, 0.950188, 0.955889, 0.967291, 0.994682, 1.01112, 1.03104, 1.05318, 1.07433, 1.08848, 1.11677, 1.13236");
-            values ( \
-              "-0.0142278, -0.0959258, -0.119592, -0.303979, -0.372548, -0.402056, -0.413622, -0.427926, -0.433064, -0.431895, -0.420453, -0.410451, -0.390672, -0.376095, -0.334427, -0.207963, -0.146995, -0.0931857, -0.0545344, -0.0321667, -0.0224147, -0.0105249, -0.00777717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0950592");
-            index_3 ("0.796965, 0.828729, 0.850974, 0.861727, 0.875522, 0.888981, 0.900179, 0.918177, 0.935834, 0.971495, 1.00794, 1.05202, 1.0773, 1.08571, 1.10254, 1.13136, 1.16212, 1.19163, 1.2165, 1.24193, 1.25878, 1.27838, 1.29989, 1.34202, 1.36673, 1.41614, 1.48041, 1.54467, 1.60894");
-            values ( \
-              "-0.163746, -0.239653, -0.356131, -0.400752, -0.440099, -0.462476, -0.473763, -0.481912, -0.48326, -0.476107, -0.462875, -0.439201, -0.418676, -0.409794, -0.387008, -0.329697, -0.258844, -0.197743, -0.15473, -0.119101, -0.0995438, -0.0803888, -0.0632405, -0.0390717, -0.0293333, -0.0162262, -0.00732941, -0.00327933, -0.00148449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.214886");
-            index_3 ("0.803773, 0.832784, 0.853619, 0.879809, 0.906748, 0.933535, 0.958463, 1.06006, 1.24498, 1.28451, 1.34027, 1.39164, 1.45591, 1.61201, 1.67457, 1.76751, 1.86092, 1.92518, 1.976, 2.06866, 2.13292, 2.26146, 2.31958");
-            values ( \
-              "-0.264746, -0.268848, -0.379896, -0.464543, -0.49832, -0.508056, -0.509035, -0.498051, -0.468105, -0.458924, -0.441778, -0.419343, -0.373326, -0.214005, -0.162659, -0.105022, -0.0661826, -0.0476101, -0.0366896, -0.022599, -0.0160515, -0.00808156, -0.00671166" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.00317039, 0.00317191, 0.00317341, 0.00317444, 0.00317502, 0.0031753", \
-            "0.00379503, 0.00379587, 0.00379706, 0.00379814, 0.00379883, 0.0037992", \
-            "0.00417131, 0.0041715, 0.00417192, 0.00417251, 0.00417303, 0.00417337", \
-            "0.00439436, 0.00439431, 0.00439442, 0.00439464, 0.00439496, 0.00439521", \
-            "0.00451352, 0.00451346, 0.0045135, 0.00451365, 0.00451382, 0.004514", \
-            "0.00458218, 0.00458222, 0.00458231, 0.00458249, 0.00458272, 0.00458294" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.00455729, 0.00455839, 0.00456167, 0.00456444, 0.00456611, 0.00456697", \
-            "0.0050358, 0.00503591, 0.00503741, 0.00503916, 0.00504063, 0.00504152", \
-            "0.00536738, 0.0053644, 0.0053614, 0.00535951, 0.0053588, 0.00535864", \
-            "0.0057469, 0.00574431, 0.00573908, 0.00573397, 0.00573074, 0.00572903", \
-            "0.00619843, 0.00627615, 0.00633361, 0.00636631, 0.00638466, 0.00639263", \
-            "0.00700373, 0.00700285, 0.00698723, 0.00701382, 0.00710792, 0.0071501" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.00573903, 0.00578921, 0.00578458, 0.00572707, 0.00566639, 0.00562564", \
-            "0.00550702, 0.00556602, 0.00558388, 0.00554608, 0.00549197, 0.00545134", \
-            "0.0052744, 0.00532662, 0.00536239, 0.00535656, 0.00531967, 0.00528226", \
-            "0.00534073, 0.00537052, 0.00540454, 0.00542075, 0.00539745, 0.00535664", \
-            "0.00601654, 0.0060135, 0.00604296, 0.0060445, 0.00598697, 0.00593102", \
-            "0.00880421, 0.00872993, 0.00866142, 0.00861982, 0.00859908, 0.00845591" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0150736, 0.0152986, 0.0154929, 0.0156036, 0.0156523, 0.015672", \
-            "0.0148592, 0.0150832, 0.0152975, 0.0154299, 0.0154921, 0.0155181", \
-            "0.0146711, 0.0148751, 0.0151122, 0.0152957, 0.0153968, 0.0154431", \
-            "0.0149135, 0.0150701, 0.0152844, 0.0155218, 0.0156713, 0.0157561", \
-            "0.0160194, 0.0160975, 0.0163005, 0.0165183, 0.0167424, 0.0168776", \
-            "0.0191328, 0.0191438, 0.0192175, 0.0194288, 0.0196344, 0.0197933" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.00583058, 0.00586379, 0.00578176, 0.00560613, 0.00544311, 0.00533997", \
-            "0.00555668, 0.00558589, 0.00552878, 0.00538602, 0.00523909, 0.00514044", \
-            "0.00523857, 0.0052696, 0.00525707, 0.00517109, 0.00505641, 0.00496624", \
-            "0.00512218, 0.00516353, 0.00517209, 0.00513807, 0.00506058, 0.00497669", \
-            "0.00549078, 0.00548123, 0.0055014, 0.00549668, 0.00542256, 0.00545832", \
-            "0.00755869, 0.00745023, 0.00729922, 0.00718029, 0.00711663, 0.00698671" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00822912, 0.0186023, 0.0420514, 0.0950592, 0.214886");
-          values ( \
-            "0.0167626, 0.0168149, 0.0168012, 0.0167419, 0.0166861, 0.0166525", \
-            "0.0165524, 0.0166142, 0.0166292, 0.0165942, 0.0165486, 0.0165178", \
-            "0.0164254, 0.0165045, 0.0165709, 0.0165797, 0.0165613, 0.0165402", \
-            "0.0167956, 0.0168375, 0.0168959, 0.0169678, 0.0169704, 0.0169413", \
-            "0.0179174, 0.0179514, 0.0180009, 0.0180466, 0.0180609, 0.0180431", \
-            "0.0210842, 0.0210314, 0.0209822, 0.020967, 0.0209204, 0.020864" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00553219;
-      rise_capacitance : 0.00553219;
-      rise_capacitance_range (0.00394088, 0.00553219);
-      fall_capacitance : 0.00551057;
-      fall_capacitance_range (0.00332289, 0.00551057);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00333198, 0.00422085, 0.0047121, 0.00494233, 0.00504059, 0.005085" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00468193, 0.00458593, 0.00417446, 0.003904, 0.00380235, 0.00375935" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00254694, 0.00294351, 0.00318006, 0.00333246, 0.00341272, 0.00345384" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00376029, 0.00433325, 0.00472348, 0.00490954, 0.00497377, 0.00499672" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00586229, -0.00586177, -0.0058579, -0.00584965, -0.00587308, -0.00585559" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00586229, 0.00586177, 0.00587492, 0.00589434, 0.00587308, 0.00585559" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00565021;
-      rise_capacitance : 0.00565021;
-      rise_capacitance_range (0.00435766, 0.00565021);
-      fall_capacitance : 0.00555196;
-      fall_capacitance_range (0.00383967, 0.00555196);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00340366, 0.00440031, 0.00499758, 0.0052963, 0.00542517, 0.00548072" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0053169, 0.00547498, 0.00507315, 0.00478457, 0.0046566, 0.00460651" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00310908, 0.00365597, 0.00397359, 0.0041621, 0.00426253, 0.0043138" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00434469, 0.00488492, 0.00526041, 0.00545192, 0.00552151, 0.00555304" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00552537, -0.00553013, -0.00552555, -0.00554859, -0.0055402, -0.00553117" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00554969, 0.00553013, 0.00552555, 0.0055629, 0.00554449, 0.00553559" \
-          );
-        }
-      }
-    }
-  }
-  cell (AND2X2) {
-    area : 15.3846;
-    cell_footprint : "AND2";
-    cell_leakage_power : 0.544796;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.55227;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.543435;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.545035;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.538442;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.544796;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.404942;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0757982, 0.0866042, 0.108157, 0.154583, 0.267621, 0.556552", \
-            "0.0799853, 0.0907895, 0.112355, 0.158817, 0.271863, 0.560802", \
-            "0.0901453, 0.100913, 0.12245, 0.168924, 0.282014, 0.570964", \
-            "0.107965, 0.119159, 0.141187, 0.18804, 0.301382, 0.590376", \
-            "0.126085, 0.138608, 0.16199, 0.209783, 0.323605, 0.612954", \
-            "0.134634, 0.14968, 0.176461, 0.226402, 0.340497, 0.629995" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0223298, 0.0323395, 0.0564858, 0.119129, 0.286223, 0.720419", \
-            "0.0222983, 0.0323141, 0.0564642, 0.119113, 0.286144, 0.720418", \
-            "0.0223466, 0.0323666, 0.0564945, 0.11911, 0.286217, 0.720418", \
-            "0.0246709, 0.0344696, 0.0579725, 0.119812, 0.286293, 0.720419", \
-            "0.0298748, 0.0393679, 0.061878, 0.122417, 0.287427, 0.720477", \
-            "0.038264, 0.0489216, 0.0705727, 0.127394, 0.289303, 0.721769" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0657073, 0.0743899, 0.0916096, 0.127911, 0.214351, 0.434194", \
-            "0.0718437, 0.0805056, 0.0977505, 0.134045, 0.220531, 0.440337", \
-            "0.0866659, 0.0952573, 0.112477, 0.148845, 0.235375, 0.455221", \
-            "0.116693, 0.125804, 0.143457, 0.179838, 0.266595, 0.48654", \
-            "0.162759, 0.173715, 0.19382, 0.232215, 0.319767, 0.539502", \
-            "0.232539, 0.246065, 0.270877, 0.313945, 0.403121, 0.623479" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0161007, 0.023208, 0.0398732, 0.0823559, 0.195868, 0.491957", \
-            "0.0161139, 0.0232234, 0.0398902, 0.0823551, 0.195863, 0.491957", \
-            "0.0161882, 0.0233297, 0.0399724, 0.0823949, 0.195864, 0.491957", \
-            "0.0183523, 0.0253463, 0.0413642, 0.0830367, 0.195962, 0.491948", \
-            "0.0239299, 0.0315237, 0.0470198, 0.0870242, 0.197409, 0.492006", \
-            "0.0319803, 0.0412078, 0.0580844, 0.094915, 0.200658, 0.493316" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0619366, 0.0662492, 0.0762628, 0.0802506, 0.083859, 0.0872926, 0.090691, 0.0940874, 0.100624, 0.105499, 0.110803, 0.114948, 0.12035, 0.128609, 0.141517, 0.159674");
-            values ( \
-              "0.0356361, 0.0638493, 0.153472, 0.175398, 0.187845, 0.193862, 0.192154, 0.177953, 0.0984013, 0.0606491, 0.0367956, 0.0249185, 0.0151049, 0.00736105, 0.0029282, 0.00164339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00934093");
-            index_3 ("0.0646293, 0.0761263, 0.0827089, 0.088239, 0.0932969, 0.0981908, 0.103076, 0.106066, 0.117077, 0.124669, 0.134355, 0.14084, 0.153123, 0.172182, 0.174276");
-            values ( \
-              "0.0740881, 0.22255, 0.286159, 0.322269, 0.341552, 0.34714, 0.338242, 0.319329, 0.18385, 0.115367, 0.0621627, 0.0406035, 0.0180855, 0.00562369, 0.00546141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0239685");
-            index_3 ("0.0663013, 0.0720947, 0.0773782, 0.08113, 0.0838885, 0.0894056, 0.0941107, 0.102984, 0.111371, 0.119713, 0.128052, 0.132858, 0.138074, 0.148209, 0.155991, 0.161624, 0.168843, 0.174512, 0.18207, 0.188153, 0.193156, 0.199826, 0.210421, 0.215458, 0.222907, 0.23284, 0.252705, 0.28344, 0.321107");
-            values ( \
-              "0.0940299, 0.203854, 0.286846, 0.339879, 0.372567, 0.429491, 0.464871, 0.508057, 0.521035, 0.514149, 0.482684, 0.449507, 0.40319, 0.307239, 0.245063, 0.205428, 0.162314, 0.134133, 0.103092, 0.083087, 0.0694287, 0.0544433, 0.0367566, 0.0305439, 0.023217, 0.0160557, 0.00753842, 0.002211, 0.000506388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0615024");
-            index_3 ("0.0672414, 0.0774255, 0.0825847, 0.0861691, 0.0927773, 0.0971478, 0.105889, 0.115275, 0.129743, 0.132058, 0.136689, 0.14595, 0.154347, 0.166144, 0.183684, 0.187459, 0.202557, 0.230579, 0.242403, 0.255965, 0.273631, 0.289526, 0.301261, 0.320562, 0.328855, 0.34383, 0.364969, 0.374386, 0.387151, 0.404171, 0.438212, 0.50126, 0.575998");
-            values ( \
-              "0.122588, 0.31249, 0.393859, 0.44405, 0.519086, 0.558649, 0.614979, 0.650083, 0.668335, 0.668532, 0.666512, 0.65774, 0.644432, 0.618948, 0.56461, 0.549357, 0.481196, 0.349431, 0.300202, 0.249604, 0.193265, 0.152089, 0.12662, 0.0928343, 0.0810364, 0.0631592, 0.0440651, 0.0375325, 0.030154, 0.0224571, 0.0122047, 0.00354022, 0.000729302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.157813");
-            index_3 ("0.0677034, 0.0859302, 0.101355, 0.112188, 0.121972, 0.137263, 0.153075, 0.159606, 0.17267, 0.197419, 0.24813, 0.270391, 0.296443, 0.324928, 0.371813, 0.445799, 0.495922, 0.540266, 0.56372, 0.606849, 0.652705, 0.672246, 0.708217, 0.75891, 0.791793, 0.853263, 0.933434, 1.0136, 1.09378, 1.25412");
-            values ( \
-              "0.160463, 0.462988, 0.630984, 0.698127, 0.732862, 0.759399, 0.764229, 0.763009, 0.756142, 0.737396, 0.686661, 0.661814, 0.628491, 0.584575, 0.497515, 0.353418, 0.269242, 0.206575, 0.1786, 0.135199, 0.0993935, 0.0871073, 0.0677107, 0.0473523, 0.0373473, 0.0239376, 0.0132523, 0.0071636, 0.00400452, 0.00123211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.404942");
-            index_3 ("0.0788458, 0.129038, 0.143369, 0.158575, 0.176123, 0.23447, 0.409813, 0.541649, 0.620226, 0.683694, 0.763865, 0.968251, 1.10573, 1.1859, 1.27328, 1.38543, 1.4656, 1.58239, 1.74242, 1.85928, 2.00406, 2.1644, 2.40492, 2.88594");
-            values ( \
-              "0.597772, 0.784338, 0.806394, 0.815569, 0.817203, 0.800417, 0.731052, 0.673923, 0.633465, 0.594188, 0.536732, 0.376773, 0.280728, 0.232485, 0.187595, 0.140487, 0.113316, 0.0823321, 0.0525372, 0.0377676, 0.0249093, 0.0156533, 0.00767538, 0.00183346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.080224, 0.0837629, 0.0918805, 0.0944367, 0.0984119, 0.102014, 0.105442, 0.108837, 0.112231, 0.118758, 0.123637, 0.128947, 0.133085, 0.138483, 0.143573, 0.146744, 0.152281, 0.159663, 0.17389, 0.19045");
-            values ( \
-              "0.0135003, 0.0606781, 0.136725, 0.154231, 0.175652, 0.188284, 0.193962, 0.192424, 0.177962, 0.0985109, 0.0606795, 0.0367855, 0.0249239, 0.0151124, 0.00955998, 0.00736286, 0.00482517, 0.0029256, 0.00134439, 0.000701032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00934093");
-            index_3 ("0.081016, 0.0864864, 0.0927464, 0.0973015, 0.100826, 0.106347, 0.1114, 0.11629, 0.121172, 0.124274, 0.135349, 0.14288, 0.150489, 0.157634, 0.16183, 0.167931, 0.176154, 0.18281, 0.196122, 0.20573");
-            values ( \
-              "0.00203156, 0.121056, 0.205769, 0.255498, 0.286612, 0.322847, 0.341701, 0.347478, 0.338261, 0.318455, 0.181888, 0.114631, 0.0707436, 0.044257, 0.0334865, 0.0223201, 0.0132224, 0.00876674, 0.00399417, 0.0032299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0239685");
-            index_3 ("0.0820581, 0.0903745, 0.0956053, 0.102084, 0.107805, 0.112284, 0.121144, 0.12953, 0.137868, 0.146204, 0.151037, 0.156227, 0.166363, 0.174139, 0.179773, 0.186993, 0.192665, 0.200227, 0.206305, 0.211305, 0.217971, 0.22857, 0.233609, 0.241063, 0.251003, 0.270882, 0.301368, 0.338724");
-            values ( \
-              "0.000756806, 0.2069, 0.290452, 0.374974, 0.431199, 0.466481, 0.50752, 0.522127, 0.513476, 0.483541, 0.449291, 0.403274, 0.307179, 0.245107, 0.205445, 0.162327, 0.13413, 0.103069, 0.0830863, 0.0694355, 0.0544539, 0.0367609, 0.0305448, 0.023213, 0.0160473, 0.00753101, 0.00223588, 0.000520728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0615024");
-            index_3 ("0.0830811, 0.095703, 0.104388, 0.111193, 0.115341, 0.123636, 0.133449, 0.14764, 0.150224, 0.155393, 0.16573, 0.174142, 0.184308, 0.201889, 0.205533, 0.22011, 0.249917, 0.269297, 0.289494, 0.304615, 0.315073, 0.333254, 0.338649, 0.34944, 0.371022, 0.391493, 0.402613, 0.424854, 0.469335, 0.532431, 0.609805");
-            values ( \
-              "0.035802, 0.315357, 0.445906, 0.522351, 0.559689, 0.613276, 0.650527, 0.668361, 0.668689, 0.666199, 0.65568, 0.641281, 0.619067, 0.564315, 0.549639, 0.484044, 0.344377, 0.266863, 0.199885, 0.159409, 0.135526, 0.101355, 0.0928347, 0.077803, 0.0540574, 0.0381536, 0.0315652, 0.0213825, 0.00949656, 0.00270251, 0.000551572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.157813");
-            index_3 ("0.094828, 0.119695, 0.131665, 0.140318, 0.155448, 0.170881, 0.177944, 0.192071, 0.215754, 0.255336, 0.297405, 0.33042, 0.343316, 0.367204, 0.451661, 0.490659, 0.520791, 0.560427, 0.591098, 0.631993, 0.661824, 0.68578, 0.717721, 0.773766, 0.837682, 0.888659, 0.96883, 1.049, 1.20934");
-            values ( \
-              "0.51103, 0.631544, 0.703525, 0.733279, 0.759178, 0.764457, 0.762867, 0.755633, 0.73722, 0.698697, 0.6511, 0.605547, 0.584504, 0.54186, 0.376133, 0.306992, 0.25909, 0.204343, 0.168658, 0.129196, 0.105765, 0.0898622, 0.072069, 0.0483636, 0.0306213, 0.0211457, 0.0115859, 0.00636575, 0.00190793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.404942");
-            index_3 ("0.0944146, 0.138552, 0.165591, 0.180284, 0.1944, 0.251818, 0.428083, 0.559927, 0.638503, 0.701972, 0.782143, 0.986529, 1.12401, 1.20418, 1.27114, 1.40371, 1.48388, 1.60066, 1.68052, 1.7607, 1.94217, 2.10251, 2.26286, 2.58354, 2.90422");
-            values ( \
-              "0.511882, 0.758916, 0.810268, 0.816357, 0.817276, 0.800899, 0.731185, 0.674057, 0.633593, 0.59406, 0.536859, 0.376645, 0.280855, 0.232358, 0.19718, 0.140607, 0.113198, 0.0822154, 0.0661159, 0.0524213, 0.0311672, 0.0195374, 0.0121871, 0.00466882, 0.00172608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.119203, 0.133207, 0.139397, 0.14301, 0.146445, 0.149846, 0.153244, 0.159791, 0.16466, 0.169956, 0.179514, 0.187771, 0.200665, 0.211489");
-            values ( \
-              "0.00316195, 0.138374, 0.175009, 0.187831, 0.19361, 0.192183, 0.177791, 0.098289, 0.0606222, 0.0368118, 0.015097, 0.00736094, 0.00293025, 0.00215623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00934093");
-            index_3 ("0.123539, 0.135104, 0.141709, 0.147249, 0.152313, 0.15721, 0.162099, 0.164958, 0.167377, 0.175888, 0.183104, 0.190725, 0.194992, 0.199114, 0.204611, 0.212148, 0.217374, 0.227826, 0.244047, 0.247998");
-            values ( \
-              "0.068321, 0.221583, 0.285601, 0.321733, 0.341331, 0.346839, 0.338172, 0.320325, 0.295642, 0.18622, 0.119675, 0.074006, 0.0559543, 0.0426598, 0.0295644, 0.0180696, 0.01295, 0.00676509, 0.00268384, 0.002485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0239685");
-            index_3 ("0.123537, 0.13113, 0.136435, 0.142964, 0.147658, 0.153196, 0.162073, 0.170462, 0.178805, 0.187145, 0.191955, 0.197168, 0.207303, 0.215084, 0.220718, 0.227937, 0.233606, 0.241165, 0.247247, 0.25225, 0.258919, 0.269514, 0.274551, 0.282001, 0.291934, 0.3118, 0.342072, 0.379155");
-            values ( \
-              "0.0249054, 0.202569, 0.287659, 0.373205, 0.420532, 0.465564, 0.50699, 0.521797, 0.51328, 0.483423, 0.449394, 0.403236, 0.30717, 0.245071, 0.205424, 0.162318, 0.134135, 0.103086, 0.0830896, 0.0694319, 0.0544444, 0.0367595, 0.0305457, 0.0232181, 0.0160554, 0.00753878, 0.00226234, 0.000533599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0615024");
-            index_3 ("0.127315, 0.13663, 0.14533, 0.151902, 0.156286, 0.165054, 0.1744, 0.188649, 0.191176, 0.196231, 0.206342, 0.210957, 0.21711, 0.225266, 0.241577, 0.248963, 0.256584, 0.283749, 0.296415, 0.31362, 0.332538, 0.339476, 0.349701, 0.361059, 0.375792, 0.3858, 0.399144, 0.422588, 0.433358, 0.449423, 0.470843, 0.513683, 0.578278, 0.657011");
-            values ( \
-              "0.160557, 0.315077, 0.445494, 0.519754, 0.559428, 0.615556, 0.650404, 0.668334, 0.668639, 0.666253, 0.656119, 0.648892, 0.637292, 0.618986, 0.568949, 0.53923, 0.505116, 0.375835, 0.32102, 0.254696, 0.193877, 0.174841, 0.149597, 0.125242, 0.0988551, 0.0839822, 0.0673105, 0.0451604, 0.0375949, 0.0285347, 0.0196282, 0.00897948, 0.00248188, 0.000463627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.157813");
-            index_3 ("0.133707, 0.17255, 0.181259, 0.196301, 0.211681, 0.218881, 0.233282, 0.25669, 0.327584, 0.359869, 0.384209, 0.431316, 0.50506, 0.555931, 0.622699, 0.665676, 0.71197, 0.767703, 0.818305, 0.850993, 0.912003, 0.992174, 1.07235, 1.09713");
-            values ( \
-              "0.436902, 0.704073, 0.732925, 0.759656, 0.764023, 0.76331, 0.75506, 0.737613, 0.664463, 0.622842, 0.584332, 0.497248, 0.353301, 0.268211, 0.178866, 0.135533, 0.0993369, 0.0675517, 0.0473658, 0.0373198, 0.0239863, 0.0133485, 0.00714793, 0.00654325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.404942");
-            index_3 ("0.141085, 0.174142, 0.1961, 0.207444, 0.22164, 0.235463, 0.293741, 0.469138, 0.600992, 0.679568, 0.743037, 0.823208, 1.02759, 1.16507, 1.24524, 1.33262, 1.44478, 1.52495, 1.64173, 1.80176, 1.91863, 2.06341, 2.22375, 2.46426, 2.56982");
-            values ( \
-              "0.715003, 0.737667, 0.798266, 0.810473, 0.816416, 0.817056, 0.800439, 0.731058, 0.673927, 0.633466, 0.594187, 0.536734, 0.376767, 0.280737, 0.232476, 0.187608, 0.1405, 0.113304, 0.0823203, 0.0525255, 0.0377796, 0.024921, 0.0156655, 0.00766255, 0.00638054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.193369, 0.202066, 0.203723, 0.207081, 0.209606, 0.216361, 0.220062, 0.224751, 0.228853, 0.232668, 0.236384, 0.240095, 0.241495, 0.245163, 0.247764, 0.249176, 0.252947, 0.255137, 0.259444, 0.263016, 0.265429, 0.270254, 0.274096, 0.280791, 0.289719, 0.290936");
-            values ( \
-              "0.00763483, 0.0178699, 0.0242852, 0.0392578, 0.0558298, 0.105586, 0.127954, 0.15197, 0.167374, 0.175866, 0.177429, 0.167167, 0.155374, 0.112941, 0.0868747, 0.075949, 0.0533737, 0.0438607, 0.0293049, 0.0214368, 0.0173172, 0.0113568, 0.00829521, 0.00502972, 0.00279951, 0.00267478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00934093");
-            index_3 ("0.205706, 0.212439, 0.215883, 0.21822, 0.221582, 0.224164, 0.226811, 0.228135, 0.232332, 0.233458, 0.234809, 0.235739, 0.236669, 0.237599, 0.23853, 0.241145, 0.242452, 0.243759, 0.245056, 0.247649, 0.248946, 0.249066, 0.249548, 0.249931, 0.250643, 0.251498, 0.252637, 0.253777, 0.254747, 0.255718, 0.260871, 0.263481, 0.264728, 0.267163, 0.269284, 0.271406, 0.273774, 0.275289, 0.276803, 0.278318, 0.280475, 0.282633, 0.283971, 0.285309, 0.286647, 0.289728, 0.292277, 0.295322, 0.296844, 0.29975");
-            values ( \
-              "0.108661, 0.109549, 0.147638, 0.172158, 0.205218, 0.228852, 0.25047, 0.259885, 0.288361, 0.295553, 0.303464, 0.308293, 0.312641, 0.316507, 0.319891, 0.321928, 0.322571, 0.322966, 0.32311, 0.322663, 0.322071, 0.321264, 0.319732, 0.318155, 0.314485, 0.309244, 0.301193, 0.291925, 0.282064, 0.27083, 0.207238, 0.177329, 0.164941, 0.143383, 0.126521, 0.111424, 0.0961443, 0.0869587, 0.0793021, 0.0721734, 0.0631136, 0.0547586, 0.0499304, 0.0455184, 0.0415226, 0.0341781, 0.029075, 0.0239275, 0.0216953, 0.0180101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0239685");
-            index_3 ("0.201868, 0.234771, 0.238903, 0.247168, 0.256173, 0.262305, 0.265657, 0.272363, 0.278725, 0.2992, 0.315173, 0.328462, 0.339521, 0.356806, 0.369341, 0.379319, 0.399274, 0.403836");
-            values ( \
-              "0.0227483, 0.398392, 0.43105, 0.477582, 0.500594, 0.504287, 0.501487, 0.484025, 0.447333, 0.267935, 0.161967, 0.102813, 0.0693652, 0.0367351, 0.0231753, 0.0160091, 0.00749258, 0.00670236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0615024");
-            index_3 ("0.201809, 0.21939, 0.229898, 0.235211, 0.241979, 0.248821, 0.260942, 0.268623, 0.276344, 0.281566, 0.288229, 0.294963, 0.30843, 0.320423, 0.331193, 0.350388, 0.379198, 0.390891, 0.403911, 0.420559, 0.433976, 0.451865, 0.463377, 0.472684, 0.485093, 0.509372, 0.520505, 0.537616, 0.56043, 0.606058, 0.671191, 0.75108");
-            values ( \
-              "0.0124311, 0.23967, 0.389629, 0.453987, 0.520754, 0.571997, 0.62777, 0.648183, 0.657435, 0.658691, 0.65603, 0.649212, 0.626429, 0.595749, 0.558832, 0.474015, 0.339796, 0.291814, 0.244021, 0.191675, 0.156564, 0.118225, 0.098231, 0.084408, 0.0687495, 0.0454528, 0.0376046, 0.0280281, 0.0188167, 0.00811481, 0.00221933, 0.000390606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.157813");
-            index_3 ("0.201806, 0.235164, 0.240537, 0.248777, 0.256728, 0.262054, 0.268212, 0.27887, 0.293019, 0.306216, 0.324933, 0.344114, 0.383703, 0.425769, 0.46847, 0.478364, 0.491556, 0.589058, 0.613223, 0.640839, 0.686516, 0.711121, 0.727284, 0.754624, 0.790043, 0.799402, 0.81812, 0.854379, 0.905292, 0.928216, 0.959507, 1.00123, 1.0814, 1.16157, 1.24174, 1.40208");
-            values ( \
-              "0.00333151, 0.471913, 0.534306, 0.609862, 0.662488, 0.690867, 0.715815, 0.743193, 0.758523, 0.759947, 0.751555, 0.736684, 0.698573, 0.651145, 0.590235, 0.573275, 0.549334, 0.359804, 0.316964, 0.271881, 0.20723, 0.177871, 0.160487, 0.134309, 0.10597, 0.0994621, 0.0875307, 0.0680305, 0.0473862, 0.0402548, 0.0321743, 0.023771, 0.013071, 0.00715198, 0.00391062, 0.00116516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.404942");
-            index_3 ("0.222161, 0.246475, 0.260721, 0.280536, 0.299031, 0.322842, 0.346327, 0.377641, 0.556557, 0.688391, 0.766968, 0.830436, 0.910607, 1.11499, 1.25247, 1.33264, 1.42002, 1.53217, 1.61235, 1.72913, 1.88916, 2.00602, 2.1508, 2.31114, 2.47148, 2.71199, 3.03268");
-            values ( \
-              "0.595019, 0.604753, 0.707857, 0.782227, 0.808019, 0.815572, 0.811639, 0.801546, 0.730973, 0.673851, 0.633391, 0.594261, 0.53666, 0.376842, 0.280665, 0.232548, 0.18754, 0.140433, 0.113371, 0.0823868, 0.052591, 0.0377179, 0.0248601, 0.0156047, 0.00977109, 0.00482483, 0.00187884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.354796, 0.364041, 0.367593, 0.371869, 0.381436, 0.391287, 0.396448, 0.401162, 0.405639, 0.410101, 0.414561, 0.419945, 0.424724, 0.431999, 0.437192, 0.440713, 0.449178, 0.460996, 0.474501, 0.48259");
-            values ( \
-              "0.0098361, 0.0185309, 0.0265394, 0.0401349, 0.0851679, 0.119823, 0.134002, 0.144018, 0.14877, 0.145178, 0.116483, 0.0704981, 0.0465532, 0.0249085, 0.0160395, 0.0119766, 0.00618963, 0.00298729, 0.00155002, 0.0012331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00934093");
-            index_3 ("0.355471, 0.367343, 0.373205, 0.393343, 0.401026, 0.407621, 0.413656, 0.419497, 0.425324, 0.436796, 0.443918, 0.452515, 0.460959, 0.47125, 0.482451, 0.495252, 0.509973");
-            values ( \
-              "0.0144055, 0.0422854, 0.0689612, 0.197902, 0.240164, 0.269742, 0.286494, 0.290798, 0.270408, 0.155085, 0.10322, 0.0612845, 0.0361315, 0.0188717, 0.00966691, 0.00470266, 0.00249442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0239685");
-            index_3 ("0.353977, 0.368112, 0.373406, 0.380897, 0.403114, 0.407727, 0.416952, 0.421549, 0.42809, 0.437297, 0.446508, 0.449392, 0.452368, 0.465474, 0.473262, 0.478124, 0.487342, 0.496794, 0.504459, 0.511623, 0.515582, 0.522237, 0.531611, 0.543342, 0.550381, 0.563954, 0.579932");
-            values ( \
-              "0.0181472, 0.0601842, 0.0891643, 0.143094, 0.332818, 0.367923, 0.42519, 0.445323, 0.464399, 0.47272, 0.454991, 0.441328, 0.423185, 0.314377, 0.253584, 0.21939, 0.164008, 0.12005, 0.0921203, 0.0716268, 0.0622012, 0.0489337, 0.0347434, 0.0226552, 0.0175387, 0.0105221, 0.00649971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0615024");
-            index_3 ("0.3546, 0.377532, 0.410949, 0.421232, 0.432003, 0.450132, 0.467628, 0.485112, 0.504499, 0.554594, 0.579767, 0.605668, 0.618551, 0.640773, 0.672374, 0.693547, 0.72791, 0.766897");
-            values ( \
-              "0.0239957, 0.12775, 0.451004, 0.530972, 0.588132, 0.633303, 0.633499, 0.607962, 0.552594, 0.329842, 0.236283, 0.161683, 0.132507, 0.0928243, 0.0548167, 0.0382505, 0.0210806, 0.0108412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.157813");
-            index_3 ("0.376979, 0.395478, 0.415446, 0.425598, 0.438677, 0.456625, 0.474838, 0.478036, 0.484432, 0.497223, 0.516452, 0.566732, 0.578496, 0.598686, 0.639067, 0.655882, 0.675, 0.742909, 0.784868, 0.810668, 0.858513, 0.88545, 0.903544, 0.939731, 0.971621, 1.00771, 1.05582, 1.09964, 1.12191, 1.16644, 1.24661, 1.32678, 1.40695, 1.56729");
-            values ( \
-              "0.297411, 0.317226, 0.529565, 0.609595, 0.679276, 0.729202, 0.744767, 0.745441, 0.744905, 0.74079, 0.728303, 0.683764, 0.672587, 0.650307, 0.593967, 0.565115, 0.529389, 0.395313, 0.319117, 0.276729, 0.208348, 0.176269, 0.157054, 0.123821, 0.0999211, 0.078008, 0.0555907, 0.0407124, 0.0347117, 0.0251397, 0.0138244, 0.00757267, 0.00413486, 0.00122931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.404942");
-            index_3 ("0.37677, 0.431703, 0.446844, 0.461118, 0.474015, 0.495349, 0.519125, 0.557584, 0.578255, 0.604019, 0.761887, 0.821059, 0.887903, 0.968074, 1.0039, 1.06413, 1.28726, 1.34947, 1.42964, 1.50981, 1.59205, 1.62998, 1.70282, 1.78299, 1.82458, 1.90475, 1.98381, 2.06398, 2.17876, 2.24195, 2.32212, 2.48247, 2.64281, 2.88332, 3.20401");
-            values ( \
-              "0.213468, 0.667129, 0.738458, 0.775388, 0.792865, 0.804325, 0.803273, 0.792515, 0.788512, 0.780367, 0.717794, 0.692411, 0.661186, 0.61713, 0.594168, 0.551606, 0.37765, 0.332165, 0.278254, 0.230409, 0.188182, 0.170893, 0.141448, 0.114195, 0.10207, 0.0818586, 0.0656822, 0.0523639, 0.037791, 0.0315077, 0.0250126, 0.0157032, 0.00983502, 0.00484815, 0.00188493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.667085, 0.686825, 0.693104, 0.700862, 0.709896, 0.722129, 0.729824, 0.736506, 0.748473, 0.754216, 0.759953, 0.766824, 0.774963, 0.783262, 0.792084, 0.800434, 0.820305, 0.834558");
-            values ( \
-              "0.00169013, 0.00844625, 0.0129964, 0.0216372, 0.0416364, 0.0775181, 0.0928894, 0.103421, 0.114506, 0.11401, 0.098959, 0.0572524, 0.0306663, 0.0161732, 0.00824475, 0.00472091, 0.00184977, 0.0016037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00934093");
-            index_3 ("0.668315, 0.689046, 0.700071, 0.705426, 0.710934, 0.728158, 0.737193, 0.749987, 0.757715, 0.764982, 0.772189, 0.779387, 0.78764, 0.795588, 0.804353, 0.809453, 0.816252, 0.821629, 0.830804, 0.843038, 0.861632, 0.864007");
-            values ( \
-              "0.00397066, 0.0185916, 0.0363621, 0.0494874, 0.0683734, 0.140841, 0.171572, 0.209034, 0.226083, 0.236554, 0.230724, 0.185994, 0.123102, 0.0807222, 0.0489907, 0.0363224, 0.0241474, 0.0175933, 0.0104838, 0.0054996, 0.00241858, 0.00233057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239685");
-            index_3 ("0.670943, 0.694053, 0.70482, 0.716479, 0.762072, 0.773678, 0.784309, 0.794699, 0.805067, 0.82277, 0.837274, 0.855203, 0.865914, 0.88676, 0.911843, 0.932031");
-            values ( \
-              "0.00798296, 0.0391519, 0.0686342, 0.118174, 0.350188, 0.394001, 0.416715, 0.41572, 0.374733, 0.245838, 0.160083, 0.0889839, 0.0614571, 0.0292198, 0.0117694, 0.00623283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0615024");
-            index_3 ("0.671683, 0.709903, 0.727705, 0.776783, 0.792629, 0.797091, 0.806014, 0.815791, 0.834407, 0.844045, 0.853859, 0.873487, 0.904153, 0.925704, 0.94381, 0.959473, 0.971348, 0.990875, 1.01431, 1.03552, 1.05766, 1.07462, 1.10856, 1.17025, 1.21593");
-            values ( \
-              "0.0116713, 0.102559, 0.198095, 0.506309, 0.568188, 0.579289, 0.594259, 0.600604, 0.589248, 0.571269, 0.54431, 0.466823, 0.330259, 0.24844, 0.191728, 0.15168, 0.126175, 0.0923686, 0.0628103, 0.0438704, 0.0301102, 0.0224665, 0.0122895, 0.00370354, 0.0015967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.157813");
-            index_3 ("0.712098, 0.756799, 0.776882, 0.78447, 0.799646, 0.820726, 0.826679, 0.838585, 0.86085, 0.876093, 0.896417, 0.906125, 0.925542, 0.948556, 0.991664, 1.00114, 1.03903, 1.10216, 1.12564, 1.16339, 1.21021, 1.24017, 1.28262, 1.32237, 1.36371, 1.41883, 1.45151, 1.50186, 1.569, 1.64917, 1.72934, 1.88968");
-            values ( \
-              "0.217138, 0.418502, 0.558528, 0.602209, 0.665639, 0.713405, 0.719016, 0.726012, 0.723477, 0.71508, 0.699995, 0.690954, 0.672335, 0.646491, 0.58727, 0.571333, 0.500984, 0.37769, 0.335308, 0.272836, 0.206906, 0.171763, 0.130713, 0.100141, 0.0753855, 0.0510163, 0.0404382, 0.0281576, 0.017163, 0.0094131, 0.0051441, 0.00153039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.404942");
-            index_3 ("0.711151, 0.755963, 0.782403, 0.794812, 0.817691, 0.843631, 0.85244, 0.864187, 0.88768, 0.924384, 0.993832, 1.1054, 1.18256, 1.28202, 1.35402, 1.41419, 1.63737, 1.77976, 1.85993, 1.94216, 2.05293, 2.1331, 2.17469, 2.25486, 2.33392, 2.41409, 2.52886, 2.67223, 2.83257, 2.99291, 3.23343, 3.55411");
-            values ( \
-              "0.228078, 0.423018, 0.61706, 0.681055, 0.755763, 0.789592, 0.793721, 0.796148, 0.795638, 0.7861, 0.761225, 0.717473, 0.684794, 0.637968, 0.594169, 0.551629, 0.377661, 0.278243, 0.230414, 0.188177, 0.141445, 0.114202, 0.102065, 0.081864, 0.0656773, 0.0523692, 0.0377864, 0.025008, 0.0156985, 0.00983032, 0.00485295, 0.00188959" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.00344692, 0.00344743, 0.00344814, 0.0034487, 0.003449, 0.00344913", \
-            "0.00438272, 0.00438271, 0.0043829, 0.0043833, 0.00438361, 0.00438378", \
-            "0.00495336, 0.00495336, 0.00495333, 0.00495336, 0.00495348, 0.00495359", \
-            "0.00531833, 0.00531832, 0.00531832, 0.00531834, 0.00531837, 0.00531843", \
-            "0.00555611, 0.00555614, 0.00555621, 0.00555621, 0.00555619, 0.00555621", \
-            "0.00571358, 0.00571352, 0.00571342, 0.00571329, 0.0057132, 0.00571317" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.00509516, 0.00509471, 0.00509501, 0.00509575, 0.00509636, 0.00509667", \
-            "0.00521552, 0.00521522, 0.00521468, 0.00521437, 0.00521442, 0.00521453", \
-            "0.00504284, 0.00504237, 0.00504159, 0.00504073, 0.00504016, 0.00503992", \
-            "0.00524831, 0.00524935, 0.0052496, 0.00524894, 0.00524814, 0.00524764", \
-            "0.00592221, 0.00596454, 0.00605154, 0.00608889, 0.00610558, 0.00610645", \
-            "0.00605669, 0.00605644, 0.00605259, 0.00602486, 0.0060784, 0.00609502" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0578616, 0.0598611, 0.0622602, 0.0654372, 0.0704432, 0.0746078, 0.0796523, 0.0821204, 0.0848337, 0.0920697, 0.0945604, 0.0972506, 0.101446, 0.104032");
-            values ( \
-              "-0.064867, -0.071904, -0.0917798, -0.156559, -0.213192, -0.246877, -0.269617, -0.262642, -0.220299, -0.0637068, -0.037545, -0.0209447, -0.00877257, -0.00594794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00934093");
-            index_3 ("0.0584595, 0.0626963, 0.0706871, 0.078281, 0.0821932, 0.0858733, 0.0894591, 0.0930407, 0.0950894, 0.106957, 0.110326, 0.11486, 0.119959, 0.125113");
-            values ( \
-              "-0.000416826, -0.15856, -0.318628, -0.413243, -0.446457, -0.466756, -0.472542, -0.449693, -0.418557, -0.129986, -0.0839972, -0.0454885, -0.022683, -0.0115306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0239685");
-            index_3 ("0.0588471, 0.0751552, 0.0772517, 0.0834655, 0.0904075, 0.0968779, 0.103123, 0.10937, 0.116416, 0.11967, 0.137199, 0.144414, 0.151535, 0.158505, 0.170686, 0.17527");
-            values ( \
-              "-0.0347378, -0.47711, -0.509101, -0.589443, -0.650517, -0.684503, -0.697488, -0.686644, -0.630278, -0.5808, -0.237493, -0.149926, -0.0933503, -0.0578798, -0.0245117, -0.0193193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0615024");
-            index_3 ("0.0595588, 0.0757192, 0.081897, 0.0863969, 0.0946295, 0.100833, 0.112165, 0.117599, 0.126703, 0.137863, 0.147485, 0.152571, 0.162743, 0.166615, 0.173894, 0.190094, 0.206392, 0.220396, 0.229868, 0.238387, 0.249745, 0.25938, 0.272381, 0.289716, 0.319722, 0.358034");
-            values ( \
-              "-0.00519384, -0.538324, -0.643532, -0.701786, -0.780953, -0.819373, -0.861089, -0.869719, -0.873707, -0.863634, -0.84118, -0.824091, -0.774041, -0.747926, -0.680872, -0.494036, -0.331589, -0.228396, -0.175045, -0.137285, -0.0986574, -0.0739678, -0.0501273, -0.0295861, -0.0109525, -0.0030183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.157813");
-            index_3 ("0.0601128, 0.0779589, 0.0900584, 0.100691, 0.106673, 0.118638, 0.127949, 0.136603, 0.151263, 0.16578, 0.1893, 0.2258, 0.256416, 0.272936, 0.291637, 0.326605, 0.356065, 0.395133, 0.426603, 0.448548, 0.467007, 0.488109, 0.518044, 0.549213, 0.573094, 0.620855, 0.68414, 0.700891");
-            values ( \
-              "-0.028751, -0.60841, -0.786663, -0.87641, -0.908712, -0.94999, -0.965516, -0.973024, -0.975144, -0.970442, -0.954561, -0.918555, -0.873737, -0.839962, -0.786536, -0.629373, -0.483778, -0.325359, -0.23079, -0.179889, -0.145386, -0.113466, -0.0792493, -0.054483, -0.0407319, -0.02238, -0.00988465, -0.00882949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.404942");
-            index_3 ("0.0706986, 0.114459, 0.138683, 0.169643, 0.222077, 0.294652, 0.4344, 0.525493, 0.552691, 0.611302, 0.674588, 0.777476, 0.872493, 0.943939, 1.00529, 1.0586, 1.1105, 1.17378, 1.27192, 1.33521, 1.52506, 1.61191");
-            values ( \
-              "-0.685992, -0.971831, -1.01781, -1.02708, -1.0165, -0.994457, -0.941166, -0.888749, -0.866172, -0.802162, -0.690057, -0.484337, -0.329725, -0.242607, -0.184006, -0.144254, -0.113582, -0.0840444, -0.0527118, -0.0390459, -0.0153922, -0.0120996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0778137, 0.0818477, 0.0851826, 0.0876325, 0.0916043, 0.094851, 0.097422, 0.0998943, 0.102364, 0.105078, 0.109059, 0.112318, 0.1148, 0.117496, 0.121714, 0.124852");
-            values ( \
-              "-0.0630006, -0.0829303, -0.14943, -0.181825, -0.221203, -0.24652, -0.261658, -0.269303, -0.262685, -0.220371, -0.121015, -0.0637199, -0.0376332, -0.020988, -0.00877013, -0.00531753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00934093");
-            index_3 ("0.0802579, 0.0828269, 0.0856433, 0.0890172, 0.0941654, 0.0984953, 0.102408, 0.106096, 0.109684, 0.113334, 0.115289, 0.123236, 0.127191, 0.130556, 0.135092, 0.140201, 0.14604, 0.148146");
-            values ( \
-              "-0.132062, -0.152993, -0.204893, -0.293666, -0.365364, -0.41304, -0.446187, -0.465868, -0.472555, -0.448458, -0.418879, -0.209799, -0.12991, -0.0839925, -0.0454762, -0.02267, -0.0100329, -0.00833256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0239685");
-            index_3 ("0.0823428, 0.0866564, 0.0905527, 0.0955314, 0.100259, 0.103566, 0.110528, 0.116985, 0.123239, 0.129486, 0.136532, 0.139788, 0.149431, 0.157314, 0.164529, 0.17165, 0.178621, 0.190801, 0.204629");
-            values ( \
-              "-0.116888, -0.283523, -0.382963, -0.479331, -0.549969, -0.58889, -0.650996, -0.684343, -0.697729, -0.686527, -0.630293, -0.580754, -0.37537, -0.237498, -0.149927, -0.09335, -0.0578798, -0.024512, -0.00884059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0615024");
-            index_3 ("0.0821405, 0.0913705, 0.095451, 0.102737, 0.106495, 0.114013, 0.120926, 0.13236, 0.137499, 0.144352, 0.151698, 0.159549, 0.172341, 0.181915, 0.18671, 0.193962, 0.210206, 0.226491, 0.240486, 0.24996, 0.258485, 0.269852, 0.279478, 0.292468, 0.309786, 0.34266, 0.380951");
-            values ( \
-              "-0.0451006, -0.435502, -0.532616, -0.654735, -0.701735, -0.775522, -0.819339, -0.861358, -0.869415, -0.87362, -0.870988, -0.860807, -0.825782, -0.780016, -0.747699, -0.681418, -0.493989, -0.331694, -0.228307, -0.175174, -0.137381, -0.0985122, -0.0740692, -0.0502457, -0.0295031, -0.00988622, -0.00260687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.157813");
-            index_3 ("0.0819673, 0.0981841, 0.11029, 0.118722, 0.126901, 0.139163, 0.151407, 0.167682, 0.186022, 0.209302, 0.228244, 0.246023, 0.276646, 0.293067, 0.311852, 0.346819, 0.376327, 0.415358, 0.446774, 0.46875, 0.48727, 0.508358, 0.538282, 0.569409, 0.593253, 0.64094, 0.704226, 0.711977");
-            values ( \
-              "-0.0888152, -0.611971, -0.787708, -0.861592, -0.909973, -0.950469, -0.969895, -0.975894, -0.970164, -0.95518, -0.93803, -0.918214, -0.874061, -0.840165, -0.786577, -0.62941, -0.483602, -0.325353, -0.230924, -0.179933, -0.145318, -0.113431, -0.0792362, -0.0545017, -0.0407632, -0.0224203, -0.00990156, -0.00941242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.404942");
-            index_3 ("0.0912963, 0.134671, 0.147272, 0.159465, 0.170969, 0.189518, 0.211985, 0.278066, 0.410928, 0.487822, 0.532089, 0.572956, 0.631527, 0.694813, 0.802137, 0.888278, 0.956226, 1.01951, 1.10159, 1.16488, 1.23495, 1.33214, 1.39543, 1.58528, 1.62267");
-            values ( \
-              "-0.711812, -0.970261, -1.00194, -1.01717, -1.02345, -1.02615, -1.02304, -1.00508, -0.959217, -0.924043, -0.897606, -0.866595, -0.801709, -0.690467, -0.47589, -0.336042, -0.251031, -0.189208, -0.129982, -0.0966267, -0.0693625, -0.0435494, -0.0320688, -0.0127442, -0.0115589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.121085, 0.127317, 0.130625, 0.134454, 0.140384, 0.142974, 0.145454, 0.147933, 0.15065, 0.154639, 0.157908, 0.160268, 0.163057, 0.167586, 0.172418, 0.178852");
-            values ( \
-              "-0.00793655, -0.0828524, -0.146656, -0.193888, -0.245411, -0.260442, -0.268679, -0.261887, -0.219817, -0.120774, -0.063489, -0.038535, -0.0210603, -0.0082738, -0.00343745, -0.00292756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00934093");
-            index_3 ("0.125196, 0.127975, 0.130954, 0.134441, 0.139667, 0.144036, 0.147973, 0.151677, 0.155276, 0.158935, 0.160802, 0.16885, 0.172818, 0.176163, 0.180704, 0.185864, 0.19176, 0.194677");
-            values ( \
-              "-0.118631, -0.141903, -0.196282, -0.287156, -0.361221, -0.410173, -0.444007, -0.46409, -0.471332, -0.447604, -0.419863, -0.20964, -0.129645, -0.0840727, -0.0455045, -0.0225411, -0.00989475, -0.00757808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0239685");
-            index_3 ("0.126248, 0.131817, 0.135863, 0.142128, 0.149045, 0.156042, 0.162516, 0.168783, 0.175041, 0.18208, 0.185284, 0.201303, 0.209594, 0.216538, 0.221818, 0.227405, 0.2403, 0.244173");
-            values ( \
-              "-0.0402399, -0.270049, -0.374015, -0.492586, -0.585417, -0.648512, -0.682884, -0.696572, -0.685899, -0.630012, -0.581632, -0.261344, -0.155133, -0.097848, -0.0681436, -0.0464081, -0.0186104, -0.015367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0615024");
-            index_3 ("0.126059, 0.139754, 0.14338, 0.152043, 0.159584, 0.166507, 0.177659, 0.183675, 0.192396, 0.205106, 0.212805, 0.218283, 0.229239, 0.232333, 0.238521, 0.256535, 0.266613, 0.274262, 0.28276, 0.294091, 0.303371, 0.310047, 0.323399, 0.327854, 0.33799, 0.349573, 0.372741, 0.409315, 0.453523");
-            values ( \
-              "-0.024759, -0.500338, -0.572283, -0.69959, -0.773444, -0.818571, -0.859728, -0.869453, -0.873471, -0.861196, -0.842626, -0.824056, -0.769275, -0.747866, -0.692284, -0.486019, -0.381486, -0.313726, -0.250195, -0.18254, -0.140248, -0.11561, -0.0777984, -0.0681709, -0.0503821, -0.0354659, -0.0170834, -0.00486675, -0.000957117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.157813");
-            index_3 ("0.130676, 0.143815, 0.155949, 0.164582, 0.172575, 0.184883, 0.197083, 0.213373, 0.231704, 0.25541, 0.273078, 0.291707, 0.322316, 0.338942, 0.357552, 0.392521, 0.421931, 0.461037, 0.492564, 0.514477, 0.532871, 0.553988, 0.583935, 0.615147, 0.639066, 0.686904, 0.750189, 0.757342");
-            values ( \
-              "-0.255589, -0.609563, -0.786609, -0.862218, -0.909582, -0.950275, -0.969828, -0.975899, -0.970084, -0.954899, -0.938917, -0.918179, -0.874123, -0.839708, -0.786526, -0.629305, -0.483962, -0.325369, -0.230648, -0.179846, -0.14546, -0.113503, -0.0792656, -0.0544656, -0.0406983, -0.0223397, -0.00986573, -0.00941597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.404942");
-            index_3 ("0.13766, 0.155921, 0.172968, 0.193306, 0.21643, 0.234652, 0.257569, 0.323529, 0.45639, 0.533284, 0.57755, 0.618422, 0.67699, 0.740276, 0.847596, 0.93374, 1.0017, 1.06498, 1.14703, 1.21032, 1.28041, 1.37761, 1.4409, 1.63076, 1.68936");
-            values ( \
-              "-0.78906, -0.808494, -0.940135, -1.00291, -1.02353, -1.02597, -1.02315, -1.00523, -0.95935, -0.923918, -0.897731, -0.866709, -0.801598, -0.69057, -0.47581, -0.335958, -0.250949, -0.189273, -0.129934, -0.0967007, -0.0694248, -0.0436079, -0.0320065, -0.0128034, -0.0109233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.212081, 0.222459, 0.231131, 0.238145, 0.241094, 0.243859, 0.246574, 0.24887, 0.254426, 0.256898, 0.259619, 0.262988, 0.267925, 0.273213, 0.292458");
-            values ( \
-              "-0.000698846, -0.0635369, -0.158832, -0.213437, -0.231145, -0.242405, -0.241317, -0.21604, -0.101099, -0.0631454, -0.0365483, -0.0181011, -0.00716389, -0.00289906, -0.0016543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00934093");
-            index_3 ("0.215044, 0.221623, 0.224327, 0.233302, 0.241794, 0.248864, 0.252082, 0.254044, 0.257253, 0.259156, 0.262129, 0.271198, 0.276257, 0.280621, 0.287074, 0.293437");
-            values ( \
-              "-0.00549185, -0.0968819, -0.122342, -0.262957, -0.363719, -0.4226, -0.4381, -0.442518, -0.434164, -0.414246, -0.359986, -0.143235, -0.0753041, -0.041951, -0.0176557, -0.0077741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0239685");
-            index_3 ("0.217606, 0.226233, 0.228863, 0.237354, 0.244525, 0.2489, 0.253457, 0.256854, 0.261417, 0.267894, 0.274287, 0.280677, 0.281365, 0.284116, 0.297762, 0.301682, 0.304382, 0.308845, 0.312794, 0.318059, 0.321965, 0.326352, 0.332202, 0.343903, 0.359646, 0.379032");
-            values ( \
-              "-0.00937694, -0.190912, -0.232269, -0.395847, -0.500742, -0.55341, -0.597741, -0.625791, -0.654699, -0.677313, -0.673651, -0.630396, -0.623877, -0.586174, -0.310829, -0.24676, -0.209023, -0.157197, -0.121378, -0.0850553, -0.0651393, -0.048192, -0.0320138, -0.0135761, -0.00404728, -0.000917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0615024");
-            index_3 ("0.214412, 0.252317, 0.255009, 0.265093, 0.272335, 0.278567, 0.292385, 0.297802, 0.304305, 0.31717, 0.326493, 0.331527, 0.341594, 0.358926, 0.373056, 0.390013, 0.405998, 0.417325, 0.425439, 0.444791, 0.466908, 0.488021");
-            values ( \
-              "-0.00436782, -0.669049, -0.700696, -0.789231, -0.827962, -0.849137, -0.866606, -0.864697, -0.85718, -0.824016, -0.780423, -0.747027, -0.650559, -0.45147, -0.317086, -0.200434, -0.127238, -0.0912233, -0.0717248, -0.0401197, -0.0200538, -0.0116579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.157813");
-            index_3 ("0.21455, 0.255521, 0.258456, 0.264326, 0.271491, 0.283639, 0.296373, 0.301832, 0.31275, 0.334581, 0.355466, 0.371074, 0.391122, 0.421714, 0.438619, 0.456973, 0.491954, 0.521285, 0.546192, 0.56045, 0.575354, 0.592066, 0.613927, 0.63222, 0.65336, 0.683324, 0.714601, 0.738577, 0.786528, 0.849814, 0.913099, 0.976385");
-            values ( \
-              "-0.0025022, -0.743832, -0.777923, -0.834228, -0.884526, -0.936964, -0.962859, -0.968118, -0.972723, -0.967511, -0.95349, -0.93977, -0.918394, -0.87387, -0.839111, -0.786495, -0.629235, -0.484256, -0.377706, -0.325385, -0.277173, -0.230432, -0.179779, -0.145578, -0.113566, -0.0792927, -0.0544416, -0.0406521, -0.0222797, -0.0098395, -0.00432019, -0.00190263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.404942");
-            index_3 ("0.237128, 0.264169, 0.27941, 0.29223, 0.304565, 0.316005, 0.333383, 0.354433, 0.416967, 0.556059, 0.632658, 0.676416, 0.719566, 0.776677, 0.839963, 0.947074, 1.03333, 1.10168, 1.16497, 1.2456, 1.30888, 1.3799, 1.47769, 1.54098, 1.73083, 1.77675");
-            values ( \
-              "-0.816624, -0.855575, -0.95248, -0.993142, -1.01236, -1.0209, -1.02496, -1.02329, -1.007, -0.95928, -0.924102, -0.898187, -0.865336, -0.801545, -0.69038, -0.4761, -0.336038, -0.250576, -0.188929, -0.130594, -0.0971521, -0.0694378, -0.0434847, -0.0319592, -0.0127496, -0.0112868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.399266, 0.40556, 0.411975, 0.416374, 0.42452, 0.433933, 0.44141, 0.444873, 0.448328, 0.457495, 0.460145, 0.465104, 0.470753, 0.477384, 0.480796");
-            values ( \
-              "-0.0178065, -0.0239283, -0.041519, -0.0638669, -0.11657, -0.161336, -0.187714, -0.19169, -0.174556, -0.0508179, -0.0311273, -0.0123198, -0.00488667, -0.00223299, -0.00210722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00934093");
-            index_3 ("0.401478, 0.407824, 0.409661, 0.413337, 0.416262, 0.421155, 0.422979, 0.431641, 0.43827, 0.443928, 0.448997, 0.453707, 0.458296, 0.463157, 0.470849, 0.475026, 0.477217, 0.480138, 0.482609, 0.483873, 0.486401, 0.491456, 0.496338");
-            values ( \
-              "-0.0370896, -0.0559908, -0.0604045, -0.0772127, -0.0946998, -0.138779, -0.157614, -0.229192, -0.278308, -0.316775, -0.346607, -0.366578, -0.36806, -0.321021, -0.169098, -0.106153, -0.0817909, -0.0569998, -0.0416703, -0.0356036, -0.0257845, -0.0135473, -0.00836956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0239685");
-            index_3 ("0.405393, 0.415551, 0.42115, 0.439635, 0.453635, 0.46169, 0.469065, 0.476186, 0.483292, 0.486187, 0.505138, 0.512467, 0.521541, 0.527318, 0.536712, 0.547331");
-            values ( \
-              "-0.0711868, -0.125406, -0.171572, -0.373357, -0.507181, -0.566158, -0.601708, -0.613047, -0.585899, -0.559492, -0.239023, -0.152868, -0.0847979, -0.0576336, -0.0303852, -0.0164974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0615024");
-            index_3 ("0.404977, 0.410305, 0.422811, 0.455563, 0.465467, 0.477663, 0.485887, 0.494206, 0.507682, 0.521155, 0.535641, 0.582373, 0.599276, 0.619845, 0.639342, 0.652999, 0.663658");
-            values ( \
-              "-0.0870916, -0.107276, -0.214326, -0.607, -0.696628, -0.770424, -0.80076, -0.81884, -0.825254, -0.802019, -0.73434, -0.278797, -0.175341, -0.0968323, -0.0542428, -0.0358756, -0.0276864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.157813");
-            index_3 ("0.409406, 0.434446, 0.451778, 0.461731, 0.471726, 0.487041, 0.504141, 0.519799, 0.534242, 0.569053, 0.594862, 0.616029, 0.642758, 0.660727, 0.695733, 0.724964, 0.764204, 0.795935, 0.817731, 0.835895, 0.857065, 0.887052, 0.918418, 0.94247, 0.990576, 1.05386, 1.06428");
-            values ( \
-              "-0.0800004, -0.374116, -0.606874, -0.718982, -0.801217, -0.88457, -0.930738, -0.948313, -0.950528, -0.937755, -0.916357, -0.888932, -0.838072, -0.786432, -0.629192, -0.48463, -0.325395, -0.230155, -0.179685, -0.145718, -0.113642, -0.0793182, -0.0544015, -0.0405871, -0.0221949, -0.00980495, -0.00915435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.404942");
-            index_3 ("0.409077, 0.458839, 0.480095, 0.500217, 0.518444, 0.537643, 0.576968, 0.607563, 0.635197, 0.719644, 0.773799, 0.814297, 0.877583, 0.927485, 0.980088, 1.04337, 1.15043, 1.23671, 1.30517, 1.36846, 1.4487, 1.51199, 1.58326, 1.68121, 1.7445, 1.80778, 1.93435, 2.06092, 2.25078");
-            values ( \
-              "-0.0612309, -0.710124, -0.883208, -0.962564, -0.994773, -1.00772, -1.01384, -1.00965, -1.00228, -0.97442, -0.953388, -0.935152, -0.899715, -0.861339, -0.801508, -0.690347, -0.476164, -0.336045, -0.250461, -0.188844, -0.130765, -0.0972841, -0.069449, -0.0434586, -0.0319373, -0.0235667, -0.0127429, -0.00688518, -0.00266663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.779817, 0.795405, 0.804534, 0.80958, 0.82017, 0.826685, 0.835349, 0.845361, 0.849977, 0.854586, 0.862823, 0.867438, 0.871972, 0.876417, 0.880174, 0.887678, 0.924913");
-            values ( \
-              "-0.000576939, -0.016244, -0.0274573, -0.0383593, -0.0778425, -0.0975887, -0.120417, -0.140302, -0.144224, -0.136889, -0.0640384, -0.032648, -0.0155645, -0.00754359, -0.00417941, -0.00157844, -0.000949117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00934093");
-            index_3 ("0.782347, 0.806751, 0.809316, 0.814445, 0.827735, 0.836152, 0.847311, 0.853998, 0.86018, 0.866125, 0.872056, 0.883246, 0.888666, 0.894921, 0.899429, 0.90544, 0.914832, 0.926204, 0.940869, 0.986805");
-            values ( \
-              "-0.00117866, -0.055257, -0.0637321, -0.0843913, -0.15591, -0.194461, -0.239752, -0.262892, -0.28002, -0.287182, -0.264849, -0.122449, -0.0704278, -0.034818, -0.0207725, -0.0104368, -0.00397521, -0.00187113, -0.00108741, -0.000267556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239685");
-            index_3 ("0.795301, 0.806918, 0.814924, 0.839765, 0.859236, 0.869632, 0.878925, 0.887567, 0.896026, 0.904465, 0.916652, 0.922966, 0.931738, 0.941635, 0.94652, 0.953034, 0.966063, 0.971856");
-            values ( \
-              "-0.0642639, -0.0844164, -0.117299, -0.273812, -0.388086, -0.443069, -0.485344, -0.512206, -0.510177, -0.444895, -0.267618, -0.190103, -0.112942, -0.0602552, -0.0439298, -0.0285966, -0.0116535, -0.00890532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0615024");
-            index_3 ("0.794236, 0.817861, 0.829284, 0.850469, 0.873658, 0.889408, 0.895891, 0.904535, 0.912587, 0.921909, 0.936393, 0.950874, 0.952405, 0.958531, 0.966699, 0.980167, 0.991312, 0.9955, 1.00164, 1.00815, 1.01848, 1.02224, 1.02977, 1.04354, 1.04827, 1.05939, 1.0721, 1.09752, 1.13475, 1.18026");
-            values ( \
-              "-0.026564, -0.16094, -0.231994, -0.39189, -0.561759, -0.661262, -0.694482, -0.729163, -0.751771, -0.766668, -0.763027, -0.714501, -0.706353, -0.661389, -0.580531, -0.437622, -0.334412, -0.30071, -0.256201, -0.215096, -0.161593, -0.145346, -0.1172, -0.0782182, -0.0680582, -0.0489649, -0.0333683, -0.0149408, -0.00416415, -0.000779372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.157813");
-            index_3 ("0.802783, 0.833512, 0.881359, 0.896513, 0.912734, 0.928044, 0.949542, 0.973591, 0.993563, 1.0112, 1.04232, 1.05674, 1.07212, 1.08879, 1.13382, 1.1777, 1.21597, 1.24001, 1.26188, 1.28125, 1.31845, 1.33912, 1.38045, 1.44373, 1.4596");
-            values ( \
-              "-0.0892292, -0.280955, -0.671075, -0.771075, -0.847127, -0.890762, -0.921567, -0.928894, -0.920498, -0.906, -0.865822, -0.838009, -0.798985, -0.739523, -0.521419, -0.33708, -0.222365, -0.170311, -0.132395, -0.105425, -0.0675056, -0.0525732, -0.0315069, -0.0139469, -0.0119856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.404942");
-            index_3 ("0.810621, 0.905909, 0.932754, 0.956447, 0.980493, 1.00658, 1.037, 1.10651, 1.25443, 1.31135, 1.36311, 1.39733, 1.46062, 1.56062, 1.65232, 1.737, 1.79306, 1.84089, 1.89407, 1.95735, 2.02227, 2.1245, 2.31436, 2.38063");
-            values ( \
-              "-0.169643, -0.854805, -0.948011, -0.986289, -1.00047, -1.00316, -0.998321, -0.978798, -0.922954, -0.88825, -0.84335, -0.801449, -0.690127, -0.48909, -0.338305, -0.234815, -0.182659, -0.146867, -0.114654, -0.0851507, -0.0625468, -0.0382752, -0.0152737, -0.0127482" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.00311564, 0.0031165, 0.00311751, 0.00311825, 0.00311865, 0.00311881", \
-            "0.00375333, 0.00375343, 0.00375379, 0.00375426, 0.00375458, 0.00375474", \
-            "0.00412627, 0.00412615, 0.00412599, 0.00412595, 0.00412601, 0.00412607", \
-            "0.00434397, 0.00434389, 0.00434378, 0.00434362, 0.00434351, 0.00434346", \
-            "0.00446234, 0.00446221, 0.0044621, 0.00446203, 0.00446192, 0.00446184", \
-            "0.00453242, 0.0045324, 0.00453236, 0.00453229, 0.00453219, 0.00453212" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.00465833, 0.00465789, 0.00465795, 0.00465834, 0.00465865, 0.00465882", \
-            "0.00508197, 0.00508055, 0.00507886, 0.00507773, 0.00507724, 0.00507705", \
-            "0.00528005, 0.00527855, 0.00527636, 0.0052742, 0.00527283, 0.00527218", \
-            "0.00549377, 0.0054927, 0.00549054, 0.00548796, 0.00548582, 0.00548461", \
-            "0.00586864, 0.00589402, 0.00591485, 0.00592688, 0.00593226, 0.00593536", \
-            "0.00692368, 0.0069182, 0.00688967, 0.00688375, 0.00698761, 0.00702105" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0797997, 0.0905988, 0.112119, 0.158419, 0.27128, 0.560123", \
-            "0.0834048, 0.0942037, 0.11573, 0.162073, 0.27495, 0.563789", \
-            "0.091524, 0.102291, 0.123792, 0.17019, 0.283145, 0.571997", \
-            "0.106091, 0.117279, 0.139285, 0.186038, 0.29915, 0.588028", \
-            "0.121743, 0.134079, 0.157474, 0.205401, 0.319383, 0.607924", \
-            "0.127097, 0.141913, 0.168262, 0.218282, 0.331987, 0.621354" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0223122, 0.0323413, 0.0565004, 0.119159, 0.28623, 0.720418", \
-            "0.0223026, 0.0323228, 0.0564912, 0.119154, 0.286225, 0.720418", \
-            "0.0223343, 0.0323596, 0.0564247, 0.119132, 0.286222, 0.720418", \
-            "0.024149, 0.0341065, 0.0577538, 0.119635, 0.286272, 0.720398", \
-            "0.0286873, 0.0383995, 0.0613259, 0.121973, 0.287545, 0.720468", \
-            "0.0362161, 0.0468856, 0.0690302, 0.126551, 0.288734, 0.721228" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0700336, 0.0786365, 0.0956799, 0.131764, 0.218089, 0.437822", \
-            "0.0761507, 0.0847378, 0.101831, 0.137984, 0.224291, 0.444058", \
-            "0.0909681, 0.0995289, 0.116619, 0.152862, 0.239256, 0.459047", \
-            "0.122274, 0.131222, 0.148656, 0.185098, 0.271538, 0.491343", \
-            "0.17105, 0.182039, 0.201781, 0.240224, 0.327536, 0.54726", \
-            "0.24533, 0.25906, 0.283778, 0.326471, 0.4147, 0.635026" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0165555, 0.0236927, 0.040386, 0.0827501, 0.195852, 0.491957", \
-            "0.0165658, 0.023703, 0.0403841, 0.0828217, 0.196067, 0.491985", \
-            "0.0166343, 0.0237696, 0.0403893, 0.0827432, 0.196065, 0.491985", \
-            "0.0186161, 0.0255841, 0.0416037, 0.0832571, 0.195933, 0.491989", \
-            "0.0244596, 0.0318333, 0.0472771, 0.0871307, 0.19731, 0.492062", \
-            "0.0329014, 0.041991, 0.0582763, 0.0947587, 0.200822, 0.493488" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0653082, 0.0695855, 0.0743011, 0.0779731, 0.0842941, 0.0878988, 0.0913296, 0.0947263, 0.098121, 0.104654, 0.10953, 0.114836, 0.118978, 0.124379, 0.129469, 0.132639, 0.138172, 0.14555, 0.160027, 0.169919");
-            values ( \
-              "0.0019596, 0.0594494, 0.107135, 0.13805, 0.175498, 0.188119, 0.193897, 0.192316, 0.177952, 0.0984425, 0.0606616, 0.0367932, 0.0249205, 0.015107, 0.009558, 0.00736242, 0.00482638, 0.00292639, 0.00132683, 0.00095026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00934093");
-            index_3 ("0.0663269, 0.0756984, 0.0830788, 0.086574, 0.0921048, 0.097163, 0.102057, 0.106966, 0.109911, 0.11222, 0.12091, 0.128504, 0.133979, 0.138479, 0.142243, 0.148412, 0.154173, 0.161028, 0.166429, 0.17723, 0.195589, 0.202564");
-            values ( \
-              "0.00882584, 0.168008, 0.255003, 0.285958, 0.3224, 0.341388, 0.347267, 0.338028, 0.319496, 0.295665, 0.184218, 0.115576, 0.0819954, 0.0611064, 0.0477752, 0.0317525, 0.0216654, 0.0139964, 0.0100204, 0.00524046, 0.00192981, 0.00170536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0239685");
-            index_3 ("0.0671723, 0.0793952, 0.0877169, 0.0979559, 0.106841, 0.115226, 0.12357, 0.131911, 0.165484, 0.178372, 0.197016, 0.214281, 0.236698, 0.254146");
-            values ( \
-              "0.0172264, 0.256474, 0.372698, 0.465245, 0.507027, 0.52161, 0.513356, 0.483287, 0.20542, 0.134135, 0.0694302, 0.0367584, 0.0160568, 0.00857562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0615024");
-            index_3 ("0.0679616, 0.0809497, 0.0898388, 0.0966234, 0.100888, 0.109418, 0.119059, 0.133521, 0.135859, 0.140534, 0.149886, 0.157899, 0.169936, 0.178055, 0.188695, 0.207869, 0.225201, 0.236679, 0.247442, 0.259743, 0.277601, 0.293073, 0.304904, 0.32438, 0.332809, 0.347736, 0.368838, 0.390879, 0.407775, 0.441568, 0.5048, 0.580127");
-            values ( \
-              "0.0366678, 0.304467, 0.439731, 0.517328, 0.556417, 0.612255, 0.649291, 0.667879, 0.668225, 0.666167, 0.657435, 0.644806, 0.618953, 0.596373, 0.559404, 0.474173, 0.39115, 0.3398, 0.295511, 0.249714, 0.192917, 0.152705, 0.127012, 0.0928715, 0.0808225, 0.0631022, 0.0439954, 0.030168, 0.0225773, 0.0122749, 0.00358912, 0.00068419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.157813");
-            index_3 ("0.0799667, 0.0998492, 0.115044, 0.125616, 0.140972, 0.156962, 0.163294, 0.175957, 0.201111, 0.251772, 0.282767, 0.300437, 0.328621, 0.375522, 0.445907, 0.499665, 0.543971, 0.56739, 0.610509, 0.646613, 0.675961, 0.711922, 0.762609, 0.815956, 0.856914, 0.936868, 1.01682, 1.09678, 1.25668");
-            values ( \
-              "0.540162, 0.581547, 0.691437, 0.731474, 0.758482, 0.7641, 0.762572, 0.756455, 0.737178, 0.686899, 0.651136, 0.627901, 0.584742, 0.497311, 0.360048, 0.26902, 0.206702, 0.178736, 0.135337, 0.106244, 0.0869903, 0.0678076, 0.0472478, 0.0322685, 0.0240378, 0.0131864, 0.00728328, 0.00393429, 0.00115126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.404942");
-            index_3 ("0.0804008, 0.12032, 0.133878, 0.153151, 0.1669, 0.180348, 0.207245, 0.238588, 0.414039, 0.545664, 0.62489, 0.687927, 0.76788, 0.972252, 1.11133, 1.19128, 1.27707, 1.38878, 1.46873, 1.58869, 1.74777, 1.86298, 2.00637, 2.16628, 2.32619, 2.47188");
-            values ( \
-              "0.524065, 0.741723, 0.785058, 0.810502, 0.816323, 0.816819, 0.811326, 0.800361, 0.730967, 0.673943, 0.633135, 0.594272, 0.53681, 0.377033, 0.279765, 0.231924, 0.187721, 0.140736, 0.113733, 0.0819337, 0.0524457, 0.0377514, 0.0249754, 0.0156897, 0.00982933, 0.00683209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0835823, 0.0871584, 0.0953297, 0.0978486, 0.101826, 0.105428, 0.108857, 0.112253, 0.115647, 0.122176, 0.127054, 0.132363, 0.136502, 0.141901, 0.146991, 0.150161, 0.155697, 0.163078, 0.177404, 0.195148");
-            values ( \
-              "0.0131157, 0.0603026, 0.136887, 0.154169, 0.17558, 0.188255, 0.193922, 0.192409, 0.177941, 0.098487, 0.0606733, 0.0367885, 0.0249229, 0.0151107, 0.00955925, 0.00736265, 0.00482552, 0.00292597, 0.00133721, 0.000653699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00934093");
-            index_3 ("0.0843413, 0.0898581, 0.0961147, 0.100755, 0.10423, 0.109754, 0.114808, 0.1197, 0.124583, 0.12765, 0.12986, 0.13428, 0.138706, 0.141575, 0.146255, 0.15115, 0.155112, 0.158757, 0.163205, 0.169136, 0.172895, 0.177345, 0.183278, 0.195143, 0.21294, 0.220718");
-            values ( \
-              "0.00180631, 0.120259, 0.204869, 0.255635, 0.28648, 0.322625, 0.34167, 0.347344, 0.33827, 0.31873, 0.295671, 0.235917, 0.182497, 0.153425, 0.114859, 0.084503, 0.0653142, 0.051459, 0.0383599, 0.0258182, 0.020204, 0.0152056, 0.0104879, 0.00510802, 0.00195973, 0.00169988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0239685");
-            index_3 ("0.0851469, 0.0935834, 0.09944, 0.105388, 0.110509, 0.115616, 0.124491, 0.13288, 0.141222, 0.149562, 0.154368, 0.159585, 0.16972, 0.177501, 0.183135, 0.190353, 0.196022, 0.203581, 0.209664, 0.214667, 0.221337, 0.231932, 0.236968, 0.244418, 0.25435, 0.274215, 0.304912, 0.342526");
-            values ( \
-              "0.00139712, 0.203175, 0.295198, 0.372674, 0.425317, 0.465032, 0.507653, 0.521263, 0.513843, 0.48292, 0.449474, 0.403203, 0.307213, 0.245063, 0.205425, 0.162314, 0.134134, 0.103091, 0.0830878, 0.0694292, 0.0544429, 0.0367572, 0.0305443, 0.0232174, 0.016056, 0.00753879, 0.00221522, 0.000508763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0615024");
-            index_3 ("0.0859291, 0.0986691, 0.104909, 0.112641, 0.118511, 0.128969, 0.136673, 0.151456, 0.157479, 0.163767, 0.170181, 0.183008, 0.196435, 0.206297, 0.22551, 0.242759, 0.25595, 0.266032, 0.279028, 0.295653, 0.309029, 0.326862, 0.338437, 0.347792, 0.360265, 0.384484, 0.395591, 0.412624, 0.435336, 0.480759, 0.547004, 0.626958");
-            values ( \
-              "0.0407122, 0.307124, 0.405794, 0.50203, 0.557014, 0.622654, 0.649463, 0.66835, 0.666841, 0.661769, 0.653518, 0.629793, 0.594081, 0.559382, 0.474038, 0.39134, 0.332693, 0.291616, 0.243888, 0.191654, 0.156646, 0.118367, 0.0982783, 0.0843844, 0.068628, 0.045444, 0.0376171, 0.0280788, 0.0188599, 0.00819042, 0.0021563, 0.000396738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.157813");
-            index_3 ("0.0980633, 0.120532, 0.134985, 0.143264, 0.1588, 0.17486, 0.180933, 0.193078, 0.218737, 0.268894, 0.290017, 0.321186, 0.346268, 0.393334, 0.467122, 0.517854, 0.561744, 0.584811, 0.627815, 0.67403, 0.69385, 0.729722, 0.780341, 0.813064, 0.874162, 0.954115, 1.03407, 1.11402, 1.27393");
-            values ( \
-              "0.540092, 0.610805, 0.702527, 0.731296, 0.758771, 0.76397, 0.762695, 0.756661, 0.737319, 0.687364, 0.663747, 0.623636, 0.5846, 0.497073, 0.353494, 0.26825, 0.206452, 0.178911, 0.135561, 0.0994299, 0.0869087, 0.0676602, 0.0472862, 0.0373898, 0.024039, 0.0132924, 0.00723678, 0.00401341, 0.00122216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.404942");
-            index_3 ("0.098013, 0.152334, 0.16854, 0.183282, 0.197427, 0.254887, 0.431113, 0.562739, 0.641966, 0.705002, 0.784956, 0.989328, 1.12841, 1.20836, 1.29415, 1.40585, 1.48581, 1.60577, 1.68489, 1.76485, 1.9435, 2.10341, 2.26332, 2.58313, 2.90295");
-            values ( \
-              "0.550265, 0.786802, 0.80969, 0.816177, 0.817112, 0.800834, 0.731137, 0.674111, 0.633301, 0.594104, 0.536979, 0.376859, 0.279941, 0.231748, 0.187893, 0.140905, 0.113564, 0.081767, 0.0658382, 0.0522799, 0.0313461, 0.0196851, 0.0123051, 0.00474231, 0.00177404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.121153, 0.130345, 0.134996, 0.14081, 0.144422, 0.147857, 0.151256, 0.154653, 0.161194, 0.166066, 0.171366, 0.175513, 0.180918, 0.189176, 0.202076, 0.215568");
-            values ( \
-              "0.00262208, 0.10205, 0.141285, 0.175141, 0.187969, 0.193673, 0.192272, 0.177805, 0.0983511, 0.0606391, 0.0368051, 0.0249177, 0.0151018, 0.00736124, 0.0029295, 0.00196805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00934093");
-            index_3 ("0.124654, 0.128631, 0.134868, 0.139884, 0.143138, 0.148676, 0.153737, 0.158634, 0.163522, 0.166372, 0.1688, 0.173656, 0.177296, 0.179681, 0.184452, 0.186204, 0.192243, 0.196447, 0.200473, 0.204506, 0.210497, 0.218119, 0.224229, 0.23645, 0.254927, 0.256668");
-            values ( \
-              "0.0517967, 0.11732, 0.202226, 0.257045, 0.285373, 0.322243, 0.34107, 0.347223, 0.337919, 0.320426, 0.295668, 0.229875, 0.186388, 0.161475, 0.120233, 0.108124, 0.0735532, 0.0558371, 0.0428409, 0.0327897, 0.022018, 0.0135493, 0.00929323, 0.00448919, 0.00170699, 0.0016582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0239685");
-            index_3 ("0.124312, 0.132436, 0.137774, 0.144323, 0.154801, 0.157238, 0.159991, 0.163437, 0.170329, 0.171817, 0.174793, 0.180144, 0.188493, 0.193252, 0.198516, 0.208649, 0.216442, 0.222074, 0.22929, 0.234955, 0.242507, 0.248597, 0.254377, 0.261382, 0.271344, 0.275948, 0.282365, 0.290921, 0.308033, 0.337629, 0.373232");
-            values ( \
-              "0.0112535, 0.201235, 0.284427, 0.374166, 0.467268, 0.48183, 0.495094, 0.507552, 0.52034, 0.521006, 0.52059, 0.513376, 0.482765, 0.449697, 0.403127, 0.307201, 0.24499, 0.20538, 0.162295, 0.134143, 0.103124, 0.0830929, 0.0675087, 0.0522706, 0.0361425, 0.0305154, 0.0240968, 0.0175504, 0.00920691, 0.00283113, 0.000704995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0615024");
-            index_3 ("0.130018, 0.137716, 0.146494, 0.153271, 0.157496, 0.165945, 0.175634, 0.189883, 0.19242, 0.197496, 0.207647, 0.218016, 0.226515, 0.244141, 0.247633, 0.2616, 0.292314, 0.310353, 0.33088, 0.341938, 0.347908, 0.359847, 0.376144, 0.390364, 0.404923, 0.42574, 0.434746, 0.452758, 0.488782, 0.547336, 0.616905");
-            values ( \
-              "0.2657, 0.309645, 0.442722, 0.519902, 0.557975, 0.613127, 0.649806, 0.668215, 0.668351, 0.666166, 0.655871, 0.637976, 0.618915, 0.56416, 0.550079, 0.48747, 0.343586, 0.271131, 0.202261, 0.171659, 0.15674, 0.130174, 0.100246, 0.0794698, 0.0623605, 0.0437443, 0.037528, 0.0274822, 0.0144336, 0.00465697, 0.0011406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.157813");
-            index_3 ("0.137445, 0.156988, 0.171089, 0.182341, 0.197212, 0.213124, 0.220001, 0.233756, 0.257812, 0.292145, 0.328892, 0.36062, 0.38533, 0.432417, 0.502554, 0.556981, 0.600822, 0.623846, 0.666837, 0.703166, 0.732943, 0.768804, 0.819415, 0.872475, 0.913183, 0.993136, 1.07309, 1.15304, 1.31295");
-            values ( \
-              "0.573582, 0.587968, 0.689187, 0.732488, 0.75828, 0.764415, 0.762571, 0.755945, 0.73706, 0.704263, 0.663787, 0.62294, 0.584758, 0.49687, 0.360091, 0.268033, 0.206547, 0.17902, 0.135674, 0.106342, 0.0868183, 0.0677218, 0.0472114, 0.0323167, 0.0241074, 0.0132354, 0.00729971, 0.00395413, 0.00116253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.404942");
-            index_3 ("0.146127, 0.207489, 0.222372, 0.236652, 0.293979, 0.470335, 0.601964, 0.68119, 0.744227, 0.82418, 1.02855, 1.16763, 1.24758, 1.33337, 1.44508, 1.52503, 1.64499, 1.80407, 1.91929, 2.06268, 2.22259, 2.46245, 2.55474");
-            values ( \
-              "0.793108, 0.809431, 0.816232, 0.817064, 0.800829, 0.731083, 0.674057, 0.633246, 0.59416, 0.536923, 0.376919, 0.279876, 0.231812, 0.187827, 0.140839, 0.113629, 0.0818316, 0.0523448, 0.0378463, 0.0250692, 0.0157829, 0.00772071, 0.00658835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.198725, 0.202877, 0.206407, 0.214991, 0.21846, 0.222965, 0.226955, 0.230691, 0.234345, 0.237994, 0.245387, 0.248568, 0.250658, 0.254144, 0.257697, 0.261844, 0.264938, 0.270439, 0.273792, 0.279797, 0.287804, 0.302424");
-            values ( \
-              "0.015748, 0.0284862, 0.045928, 0.112782, 0.134044, 0.157021, 0.171368, 0.179229, 0.18003, 0.169158, 0.0895045, 0.065442, 0.053975, 0.0390156, 0.0281711, 0.0194658, 0.0147997, 0.00920111, 0.0070746, 0.00458251, 0.0027469, 0.00130728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00934093");
-            index_3 ("0.199828, 0.204745, 0.208391, 0.225044, 0.23111, 0.236548, 0.241714, 0.246847, 0.251046, 0.260558, 0.268437, 0.275851, 0.284654, 0.295213, 0.312952, 0.323878");
-            values ( \
-              "0.0314245, 0.0569702, 0.0875829, 0.256681, 0.297969, 0.320428, 0.330627, 0.32504, 0.302167, 0.18759, 0.117066, 0.0740047, 0.0418393, 0.0209537, 0.00697337, 0.00415119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0239685");
-            index_3 ("0.212851, 0.22614, 0.229604, 0.233067, 0.235183, 0.2373, 0.239416, 0.241532, 0.243648, 0.245765, 0.247097, 0.24843, 0.249056, 0.250309, 0.251117, 0.25535, 0.256266, 0.258099, 0.260231, 0.262663, 0.263879, 0.266017, 0.268156, 0.270294, 0.272695, 0.273484, 0.274798, 0.276375, 0.278477, 0.28058, 0.282494, 0.288188, 0.291916, 0.297737, 0.299056, 0.303013, 0.307547, 0.309814, 0.312082, 0.318563, 0.323042, 0.327451, 0.33186, 0.33559, 0.338631, 0.341672, 0.344804, 0.347935, 0.354529, 0.36014");
-            values ( \
-              "0.314767, 0.335001, 0.372463, 0.4055, 0.42158, 0.43637, 0.449871, 0.462082, 0.473004, 0.482635, 0.487347, 0.491542, 0.495122, 0.496575, 0.497907, 0.505809, 0.505969, 0.505681, 0.504315, 0.50143, 0.499462, 0.494825, 0.489324, 0.482956, 0.474775, 0.470638, 0.462146, 0.450925, 0.43475, 0.417234, 0.400117, 0.346915, 0.313419, 0.263234, 0.253238, 0.224828, 0.19518, 0.181522, 0.168639, 0.134804, 0.116044, 0.0996763, 0.0853329, 0.0745693, 0.0664096, 0.0591818, 0.0530046, 0.0473502, 0.0373456, 0.029747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0615024");
-            index_3 ("0.210269, 0.220119, 0.228512, 0.23607, 0.240353, 0.248919, 0.257658, 0.262256, 0.268387, 0.276217, 0.291878, 0.300361, 0.310519, 0.328146, 0.331723, 0.346034, 0.376253, 0.395027, 0.415375, 0.425792, 0.442472, 0.459813, 0.464914, 0.475116, 0.495519, 0.511633, 0.529445, 0.553194, 0.600693, 0.635727");
-            values ( \
-              "0.257102, 0.282789, 0.401522, 0.487994, 0.528266, 0.58871, 0.628054, 0.642139, 0.654201, 0.66048, 0.652439, 0.639249, 0.617815, 0.563868, 0.549524, 0.48545, 0.343983, 0.268784, 0.200948, 0.172145, 0.133112, 0.100856, 0.0928404, 0.0785503, 0.0557341, 0.0423939, 0.0312529, 0.0206189, 0.00858777, 0.0051838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.157813");
-            index_3 ("0.21082, 0.237975, 0.24903, 0.256223, 0.266307, 0.2734, 0.286693, 0.304257, 0.32299, 0.342139, 0.381724, 0.423793, 0.466592, 0.476181, 0.590714, 0.649631, 0.687625, 0.716125, 0.745891, 0.78551, 0.821092, 0.868534, 0.908543, 0.962365, 1.03413, 1.11408, 1.19404, 1.35394");
-            values ( \
-              "0.224231, 0.535238, 0.633005, 0.67495, 0.71858, 0.737769, 0.756608, 0.760633, 0.751806, 0.736791, 0.69857, 0.65113, 0.590076, 0.573638, 0.353166, 0.255511, 0.203458, 0.170243, 0.140423, 0.107804, 0.084587, 0.0606524, 0.045666, 0.0310483, 0.0182669, 0.0100505, 0.00549277, 0.0016356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.404942");
-            index_3 ("0.22371, 0.255835, 0.266683, 0.284727, 0.297156, 0.317421, 0.340494, 0.366864, 0.411416, 0.606102, 0.712435, 0.785767, 0.828365, 0.888392, 1.11151, 1.17441, 1.25436, 1.33431, 1.4165, 1.45435, 1.52724, 1.60719, 1.64906, 1.72901, 1.80815, 1.8881, 2.00335, 2.14677, 2.30668, 2.46658, 2.70645, 3.02626");
-            values ( \
-              "0.665971, 0.69832, 0.751116, 0.795986, 0.809271, 0.816034, 0.812705, 0.804632, 0.787918, 0.709485, 0.661143, 0.621237, 0.594174, 0.551767, 0.377819, 0.331838, 0.278107, 0.230394, 0.188201, 0.170934, 0.141476, 0.114279, 0.102075, 0.0819056, 0.0657126, 0.0524143, 0.0377823, 0.0250046, 0.0157195, 0.0098592, 0.00486423, 0.00189398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.345615, 0.361882, 0.36511, 0.368857, 0.377642, 0.382002, 0.38767, 0.39258, 0.397076, 0.401393, 0.405701, 0.41003, 0.413502, 0.416487, 0.419245, 0.423057, 0.427015, 0.433325, 0.438722, 0.442179, 0.446945, 0.4533, 0.463493");
-            values ( \
-              "0.00365133, 0.0172195, 0.0266359, 0.0406137, 0.0868445, 0.105692, 0.125972, 0.140826, 0.14999, 0.154381, 0.14904, 0.118929, 0.0852447, 0.0644399, 0.0508749, 0.0365117, 0.0258445, 0.0151001, 0.00956789, 0.00733349, 0.0052118, 0.0034431, 0.00210844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00934093");
-            index_3 ("0.347162, 0.36178, 0.364188, 0.371081, 0.396808, 0.403167, 0.409063, 0.41479, 0.420509, 0.430595, 0.438784, 0.444717, 0.455787, 0.467994, 0.483329, 0.500132, 0.506714");
-            values ( \
-              "0.00870567, 0.0313389, 0.0406555, 0.0782946, 0.250064, 0.27767, 0.292897, 0.295352, 0.27347, 0.167979, 0.105216, 0.0735921, 0.0367862, 0.0170367, 0.00683588, 0.00278923, 0.002462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0239685");
-            index_3 ("0.362211, 0.37126, 0.376807, 0.396073, 0.403416, 0.413951, 0.423436, 0.432566, 0.441694, 0.445402, 0.451914, 0.467025, 0.480492, 0.486869, 0.495722, 0.502796, 0.508678, 0.515733, 0.525761, 0.536734, 0.545233, 0.555489, 0.567967, 0.585266");
-            values ( \
-              "0.0909618, 0.0998263, 0.145218, 0.322287, 0.378974, 0.440405, 0.469328, 0.476363, 0.457013, 0.438519, 0.390907, 0.264375, 0.175038, 0.142369, 0.105482, 0.0823605, 0.0668622, 0.0518417, 0.0359113, 0.024095, 0.0176552, 0.0119021, 0.00765817, 0.00465296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0615024");
-            index_3 ("0.362594, 0.37982, 0.402322, 0.415147, 0.427265, 0.445249, 0.462684, 0.480095, 0.49151, 0.499443, 0.51531, 0.546909, 0.568598, 0.597851, 0.624143, 0.635403, 0.66247, 0.681882, 0.704949, 0.735705, 0.786283");
-            values ( \
-              "0.0671761, 0.190008, 0.426573, 0.530838, 0.594268, 0.636337, 0.635684, 0.609784, 0.580543, 0.554035, 0.487451, 0.341788, 0.25683, 0.168313, 0.11162, 0.093096, 0.059473, 0.0427397, 0.028765, 0.0166889, 0.00686632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.157813");
-            index_3 ("0.379367, 0.398847, 0.407482, 0.415058, 0.424148, 0.42957, 0.434992, 0.439699, 0.444406, 0.449114, 0.453821, 0.458361, 0.462902, 0.467443, 0.473646, 0.480849, 0.491931, 0.500796, 0.512513, 0.519798, 0.52344, 0.527082, 0.538826, 0.544698, 0.552735, 0.555983, 0.570852, 0.58525, 0.594961, 0.609526, 0.620996, 0.634226, 0.664344, 0.689385, 0.712291, 0.737198, 0.783354, 0.812221, 0.834246, 0.856271, 0.886966, 0.894458, 0.90849, 0.931578, 0.96368, 0.988333, 1.01526, 1.0369, 1.06222, 1.0949");
-            values ( \
-              "0.406304, 0.409008, 0.502929, 0.572832, 0.637635, 0.667509, 0.69188, 0.705002, 0.716359, 0.72595, 0.733775, 0.739387, 0.743531, 0.746209, 0.747477, 0.74662, 0.742649, 0.738087, 0.730304, 0.711788, 0.704588, 0.698761, 0.697651, 0.696196, 0.69323, 0.690717, 0.676634, 0.66146, 0.649936, 0.63077, 0.613772, 0.59276, 0.540766, 0.493769, 0.447817, 0.399416, 0.313503, 0.267808, 0.235899, 0.206548, 0.169629, 0.161334, 0.147781, 0.127526, 0.102449, 0.0855569, 0.0714253, 0.0616145, 0.0516429, 0.0409304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.404942");
-            index_3 ("0.384006, 0.411488, 0.424044, 0.44422, 0.462, 0.476851, 0.490548, 0.514235, 0.596126, 0.756652, 0.81649, 0.882891, 0.962844, 0.998888, 1.0591, 1.28203, 1.34489, 1.42485, 1.5048, 1.58702, 1.62489, 1.69776, 1.77771, 1.81957, 1.89952, 1.97865, 2.05861, 2.17386, 2.23732, 2.31728, 2.47718, 2.63709, 2.87695, 3.19677");
-            values ( \
-              "0.505763, 0.555662, 0.654514, 0.748567, 0.787642, 0.801655, 0.806399, 0.805717, 0.781532, 0.717877, 0.692212, 0.661188, 0.617259, 0.594171, 0.551609, 0.377817, 0.33186, 0.278115, 0.230412, 0.188193, 0.170928, 0.141466, 0.114278, 0.102071, 0.0819098, 0.0657086, 0.0524184, 0.0377787, 0.0314736, 0.0250011, 0.0157159, 0.00985567, 0.00486779, 0.00189744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.667725, 0.682965, 0.686592, 0.69237, 0.696292, 0.700347, 0.714922, 0.720415, 0.72803, 0.731746, 0.735065, 0.740583, 0.746024, 0.751461, 0.758911, 0.764835, 0.77201, 0.775757, 0.779797, 0.786097, 0.790233, 0.797694, 0.807641, 0.82607, 0.836668");
-            values ( \
-              "0.00224058, 0.00936948, 0.0120813, 0.0185544, 0.0244661, 0.0333967, 0.0804063, 0.0931225, 0.107227, 0.112654, 0.116684, 0.120933, 0.120191, 0.102557, 0.0551666, 0.0349306, 0.0199203, 0.0148753, 0.0108832, 0.00677056, 0.0051371, 0.00331142, 0.00207315, 0.00111597, 0.000890406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00934093");
-            index_3 ("0.652849, 0.688238, 0.689348, 0.696009, 0.700743, 0.702368, 0.705618, 0.716141, 0.721678, 0.730614, 0.73461, 0.742751, 0.750113, 0.757072, 0.764037, 0.770975, 0.776253, 0.780753, 0.784726, 0.789557, 0.795285, 0.798121, 0.80303, 0.809576, 0.813679, 0.818521, 0.824976, 0.837887, 0.848543");
-            values ( \
-              "0.00168227, 0.0190974, 0.0216259, 0.0380769, 0.052849, 0.058769, 0.0725079, 0.123284, 0.146634, 0.180416, 0.194109, 0.219576, 0.237033, 0.246137, 0.237946, 0.189546, 0.145519, 0.114722, 0.0929119, 0.0709611, 0.0508221, 0.043048, 0.0321201, 0.0216046, 0.016955, 0.0128313, 0.00894895, 0.0045556, 0.00314791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239685");
-            index_3 ("0.656734, 0.686509, 0.697191, 0.709142, 0.754547, 0.765777, 0.776164, 0.786381, 0.796583, 0.814036, 0.827957, 0.840493, 0.856737, 0.874465, 0.894471, 0.917334, 0.924583");
-            values ( \
-              "0.00446942, 0.0287739, 0.0615021, 0.115084, 0.364076, 0.405045, 0.425128, 0.420923, 0.377993, 0.24836, 0.164495, 0.10983, 0.0627789, 0.033277, 0.016187, 0.00692437, 0.00625054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0615024");
-            index_3 ("0.686904, 0.708673, 0.720544, 0.756228, 0.769317, 0.789265, 0.80781, 0.826294, 0.844774, 0.858476, 0.895881, 0.915526, 0.934965, 0.94568, 0.964035, 0.981881, 1.00138, 1.02524, 1.05261, 1.07456, 1.11845, 1.18298, 1.21594");
-            values ( \
-              "0.0739751, 0.129521, 0.201885, 0.449194, 0.521365, 0.5885, 0.606005, 0.59265, 0.549505, 0.497398, 0.330722, 0.255228, 0.193313, 0.164866, 0.124188, 0.0933448, 0.0677809, 0.0452402, 0.0283755, 0.0193724, 0.0086991, 0.0024241, 0.00135036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.157813");
-            index_3 ("0.68689, 0.724567, 0.761545, 0.776669, 0.798252, 0.818486, 0.83858, 0.857628, 0.885568, 0.918047, 0.940037, 0.983086, 0.992489, 1.10747, 1.13236, 1.18213, 1.21593, 1.24961, 1.26803, 1.31442, 1.33353, 1.36965, 1.42046, 1.47427, 1.51563, 1.59559, 1.67554, 1.7555, 1.9154");
-            values ( \
-              "0.0611128, 0.240707, 0.523682, 0.61314, 0.692128, 0.723034, 0.729943, 0.723393, 0.70409, 0.672825, 0.647773, 0.588136, 0.572232, 0.352702, 0.30888, 0.232647, 0.189167, 0.152889, 0.135681, 0.0994613, 0.0872894, 0.0679144, 0.047335, 0.0322348, 0.0238803, 0.0131531, 0.00721082, 0.0039486, 0.00118012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.404942");
-            index_3 ("0.720636, 0.765481, 0.78698, 0.804233, 0.826815, 0.852629, 0.878636, 0.913516, 0.954825, 1.12262, 1.25539, 1.30458, 1.39263, 1.6648, 1.81165, 1.8916, 1.96193, 2.03656, 2.11652, 2.2108, 2.34646, 2.48969, 2.6496, 2.80951, 2.96941, 3.28923, 3.52909");
-            values ( \
-              "0.446737, 0.570766, 0.69034, 0.747051, 0.784867, 0.798085, 0.797731, 0.788813, 0.774042, 0.707645, 0.647646, 0.620039, 0.561035, 0.350697, 0.25322, 0.208673, 0.174932, 0.144293, 0.11653, 0.0902038, 0.0618247, 0.0411648, 0.0259666, 0.016305, 0.0102043, 0.00396305, 0.00203673" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.00336475, 0.00336542, 0.0033664, 0.00336719, 0.00336763, 0.00336782", \
-            "0.00435187, 0.00435205, 0.00435248, 0.00435313, 0.00435363, 0.00435389", \
-            "0.00500703, 0.00500728, 0.00500761, 0.00500799, 0.0050084, 0.00500867", \
-            "0.00542686, 0.0054268, 0.00542684, 0.00542715, 0.00542747, 0.00542772", \
-            "0.00573821, 0.00573819, 0.00573814, 0.0057381, 0.00573818, 0.0057383", \
-            "0.00590965, 0.00590908, 0.00590868, 0.00590859, 0.00590845, 0.0059084" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.00537198, 0.00537321, 0.00537523, 0.00537736, 0.00537874, 0.00537941", \
-            "0.00573946, 0.00573921, 0.00573969, 0.00574094, 0.00574221, 0.00574298", \
-            "0.00562232, 0.00562117, 0.00561978, 0.00561856, 0.00561809, 0.00561803", \
-            "0.00572842, 0.00572933, 0.00572792, 0.0057261, 0.00572525, 0.00572438", \
-            "0.00599771, 0.00604074, 0.00619232, 0.00627505, 0.00631167, 0.00632688", \
-            "0.0059553, 0.00595634, 0.00595506, 0.00596437, 0.00611447, 0.00618402" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0576129, 0.0655018, 0.0694595, 0.0741013, 0.0789005, 0.0840755, 0.0865854, 0.0893396, 0.0934103, 0.0967951, 0.0995974, 0.102082, 0.107619, 0.112918, 0.120288");
-            values ( \
-              "-0.0036033, -0.0794626, -0.144883, -0.19895, -0.239373, -0.264114, -0.258604, -0.217812, -0.11916, -0.0613245, -0.0336589, -0.0196702, -0.00613263, -0.00263538, -0.00222916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00934093");
-            index_3 ("0.0608717, 0.0652051, 0.0685637, 0.0724096, 0.0778916, 0.0823851, 0.0864026, 0.0901568, 0.0938013, 0.0974409, 0.0991267, 0.107427, 0.111466, 0.114582, 0.119055, 0.12252, 0.127139, 0.134643, 0.155004");
-            values ( \
-              "-0.0267971, -0.128608, -0.173938, -0.262826, -0.347782, -0.400731, -0.436438, -0.458471, -0.465892, -0.444835, -0.42108, -0.209652, -0.12892, -0.0862281, -0.0471522, -0.029404, -0.0154855, -0.00546827, -0.000806035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0239685");
-            index_3 ("0.0635821, 0.0692265, 0.0788485, 0.0872603, 0.0944009, 0.100962, 0.107294, 0.113589, 0.120673, 0.123842, 0.139946, 0.148243, 0.155199, 0.160479, 0.16606, 0.178934, 0.179102");
-            values ( \
-              "-0.147267, -0.238364, -0.448639, -0.570722, -0.638298, -0.674913, -0.690526, -0.681362, -0.627108, -0.580474, -0.261163, -0.155098, -0.0977706, -0.0681034, -0.046409, -0.0186436, -0.0185027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0615024");
-            index_3 ("0.057758, 0.0895968, 0.0979507, 0.104385, 0.115739, 0.121577, 0.130498, 0.143301, 0.156156, 0.165554, 0.17053, 0.180482, 0.198097, 0.212618, 0.226324, 0.236387, 0.247293, 0.26588, 0.290227, 0.316196, 0.326464");
-            values ( \
-              "-0.0194098, -0.676406, -0.763091, -0.807103, -0.852806, -0.863555, -0.869031, -0.857649, -0.823767, -0.779565, -0.746527, -0.651613, -0.449708, -0.3126, -0.216177, -0.162959, -0.11909, -0.0686164, -0.0329265, -0.0143629, -0.0114975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.157813");
-            index_3 ("0.0677776, 0.0871071, 0.103046, 0.110143, 0.121614, 0.131342, 0.140295, 0.155024, 0.191556, 0.214135, 0.229638, 0.260326, 0.27613, 0.292988, 0.31029, 0.367572, 0.400983, 0.429581, 0.452034, 0.470963, 0.491951, 0.521796, 0.552633, 0.576223, 0.623403, 0.686977, 0.698196");
-            values ( \
-              "-0.357659, -0.675832, -0.85338, -0.898029, -0.940614, -0.960829, -0.968689, -0.973321, -0.955178, -0.935015, -0.917309, -0.873599, -0.841059, -0.7947, -0.727123, -0.448282, -0.31787, -0.232559, -0.180251, -0.144886, -0.113213, -0.0791645, -0.0546398, -0.0409905, -0.0227005, -0.00997943, -0.00926749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.404942");
-            index_3 ("0.0774405, 0.110702, 0.13029, 0.142729, 0.172265, 0.222625, 0.298375, 0.372681, 0.443662, 0.520567, 0.579183, 0.615166, 0.67874, 0.781896, 0.871002, 0.949179, 1.00914, 1.06201, 1.11402, 1.17759, 1.27556, 1.33913, 1.40271, 1.52986, 1.65701, 1.84773");
-            values ( \
-              "-0.857295, -0.927064, -0.994916, -1.01393, -1.02504, -1.01627, -0.993482, -0.967692, -0.937925, -0.89438, -0.844834, -0.801532, -0.68935, -0.482899, -0.337279, -0.240914, -0.183949, -0.144496, -0.113649, -0.0840432, -0.0527418, -0.0389899, -0.0285015, -0.0153158, -0.00819117, -0.00335211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0796267, 0.0827232, 0.0855495, 0.0887728, 0.0928432, 0.0989806, 0.101624, 0.104153, 0.106662, 0.109416, 0.113472, 0.116779, 0.119398, 0.123572, 0.129211, 0.135061, 0.136129");
-            values ( \
-              "-0.024118, -0.0606383, -0.0787325, -0.13316, -0.185102, -0.239143, -0.255556, -0.263976, -0.258777, -0.217876, -0.119544, -0.0625701, -0.0360096, -0.0147131, -0.00478648, -0.00197906, -0.0019377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00934093");
-            index_3 ("0.081905, 0.0853094, 0.0886818, 0.0925578, 0.098042, 0.102541, 0.106562, 0.110318, 0.113964, 0.117605, 0.119271, 0.127593, 0.131456, 0.134943, 0.139491, 0.14466, 0.150568, 0.155768");
-            values ( \
-              "-0.0952851, -0.126952, -0.171598, -0.264311, -0.348091, -0.399783, -0.436772, -0.457822, -0.466196, -0.444353, -0.421314, -0.209574, -0.131814, -0.0840322, -0.0454644, -0.022443, -0.00987184, -0.00572109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0239685");
-            index_3 ("0.080791, 0.0965719, 0.102235, 0.107254, 0.11439, 0.120954, 0.127286, 0.13358, 0.140665, 0.14377, 0.15994, 0.168237, 0.175187, 0.180468, 0.186055, 0.198948, 0.203909");
-            values ( \
-              "-0.010839, -0.409067, -0.50553, -0.570379, -0.638714, -0.674645, -0.690822, -0.681161, -0.62711, -0.581605, -0.261122, -0.155065, -0.0977972, -0.0681146, -0.0463947, -0.0186131, -0.0144539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0615024");
-            index_3 ("0.0815712, 0.0950053, 0.10063, 0.104981, 0.109734, 0.118348, 0.124521, 0.135632, 0.142185, 0.150627, 0.163407, 0.168857, 0.17435, 0.18101, 0.190643, 0.204949, 0.216719, 0.226647, 0.232775, 0.245808, 0.254519, 0.261635, 0.270243, 0.282396, 0.295195, 0.305024, 0.324682, 0.360128, 0.402291");
-            values ( \
-              "-0.0211438, -0.404941, -0.531923, -0.609065, -0.676781, -0.76536, -0.807331, -0.852174, -0.864173, -0.869116, -0.858373, -0.846454, -0.830239, -0.803698, -0.746608, -0.602612, -0.466774, -0.366498, -0.313173, -0.220621, -0.172875, -0.141158, -0.109931, -0.07668, -0.0523867, -0.0389305, -0.0211293, -0.00633289, -0.00138579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.157813");
-            index_3 ("0.0923272, 0.109722, 0.120425, 0.130309, 0.141741, 0.151473, 0.160433, 0.175162, 0.189701, 0.21268, 0.249776, 0.280437, 0.296625, 0.315627, 0.350607, 0.380178, 0.405285, 0.423998, 0.450515, 0.472526, 0.491117, 0.512184, 0.54209, 0.573155, 0.596945, 0.644526, 0.7081, 0.835249");
-            values ( \
-              "-0.616299, -0.714451, -0.832227, -0.897576, -0.941427, -0.960216, -0.969362, -0.972743, -0.968727, -0.953888, -0.917782, -0.873197, -0.840257, -0.786295, -0.629419, -0.483377, -0.376207, -0.309055, -0.231111, -0.180008, -0.145258, -0.113411, -0.0792359, -0.0545394, -0.0408183, -0.0224798, -0.00988631, -0.00189555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.404942");
-            index_3 ("0.101438, 0.150215, 0.162726, 0.174434, 0.192572, 0.245846, 0.318469, 0.456716, 0.494519, 0.54931, 0.612885, 0.635407, 0.680115, 0.78221, 0.882502, 0.969456, 1.02887, 1.08279, 1.13454, 1.19812, 1.25888, 1.35857, 1.42215, 1.5493, 1.67645, 1.86717");
-            values ( \
-              "-0.991307, -0.995384, -1.0133, -1.0209, -1.02452, -1.01495, -0.993219, -0.940966, -0.922153, -0.888064, -0.83026, -0.8013, -0.726372, -0.520159, -0.349556, -0.240572, -0.184367, -0.144163, -0.113287, -0.0839923, -0.0629312, -0.0389866, -0.0287144, -0.0154878, -0.0083408, -0.00323915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.122921, 0.130977, 0.136384, 0.138408, 0.144584, 0.147238, 0.149774, 0.15229, 0.155048, 0.159133, 0.162513, 0.165181, 0.168263, 0.171026, 0.17471, 0.180219, 0.192595");
-            values ( \
-              "-0.00185768, -0.0761981, -0.16148, -0.183576, -0.23819, -0.254587, -0.263364, -0.258195, -0.217502, -0.118864, -0.0612833, -0.0346763, -0.0178685, -0.0100509, -0.00503082, -0.00202512, -0.00150547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00934093");
-            index_3 ("0.126783, 0.130513, 0.134015, 0.137974, 0.143516, 0.148042, 0.152077, 0.155842, 0.159495, 0.163143, 0.164762, 0.176112, 0.179711, 0.184523, 0.190629, 0.196025, 0.20004");
-            values ( \
-              "-0.0586968, -0.121747, -0.166149, -0.259366, -0.345129, -0.398418, -0.43511, -0.457078, -0.465205, -0.444039, -0.421671, -0.147288, -0.0930555, -0.0486754, -0.0212442, -0.0100993, -0.00685636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0239685");
-            index_3 ("0.126534, 0.134198, 0.142532, 0.147905, 0.152946, 0.159443, 0.166667, 0.173006, 0.175777, 0.179277, 0.185842, 0.19109, 0.206697, 0.214293, 0.222117, 0.228593, 0.239259, 0.244539");
-            values ( \
-              "-0.00347762, -0.22203, -0.412813, -0.503273, -0.56857, -0.632692, -0.673991, -0.68929, -0.689021, -0.680026, -0.632708, -0.550894, -0.245022, -0.151652, -0.0901298, -0.0578283, -0.0273768, -0.0198575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0615024");
-            index_3 ("0.132412, 0.139019, 0.146416, 0.15139, 0.155507, 0.163741, 0.170285, 0.18163, 0.187484, 0.195289, 0.203014, 0.209195, 0.222048, 0.231458, 0.236423, 0.246353, 0.264022, 0.278512, 0.292197, 0.302279, 0.31322, 0.33178, 0.343109, 0.356055, 0.381948, 0.395465");
-            values ( \
-              "-0.308135, -0.362054, -0.533375, -0.619634, -0.677346, -0.762432, -0.807559, -0.852811, -0.863593, -0.869153, -0.865792, -0.857743, -0.823742, -0.77951, -0.746535, -0.651864, -0.44937, -0.312597, -0.216297, -0.162964, -0.11897, -0.0686027, -0.0489171, -0.0329925, -0.0144318, -0.010638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.157813");
-            index_3 ("0.132113, 0.149743, 0.159065, 0.166692, 0.176037, 0.187638, 0.197286, 0.206171, 0.220897, 0.235437, 0.258501, 0.295512, 0.32617, 0.3424, 0.361366, 0.396347, 0.425898, 0.450989, 0.469745, 0.496272, 0.518271, 0.536837, 0.557909, 0.58782, 0.618903, 0.642708, 0.690319, 0.753893, 0.881042");
-            values ( \
-              "-0.247027, -0.622691, -0.761161, -0.83747, -0.897922, -0.942131, -0.960467, -0.969467, -0.972782, -0.968754, -0.953823, -0.917789, -0.873198, -0.840168, -0.786284, -0.629399, -0.483449, -0.376333, -0.30902, -0.231056, -0.17999, -0.145286, -0.113425, -0.0792414, -0.0545318, -0.0408047, -0.0224632, -0.00987883, -0.00189402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.404942");
-            index_3 ("0.147251, 0.195888, 0.208486, 0.22028, 0.238581, 0.291692, 0.364313, 0.50256, 0.540364, 0.595155, 0.658729, 0.681251, 0.725959, 0.828054, 0.886688, 0.931057, 1.01116, 1.07305, 1.13175, 1.18239, 1.24597, 1.30377, 1.40143, 1.465, 1.59215, 1.7193, 1.91002");
-            values ( \
-              "-0.990055, -0.995177, -1.01343, -1.0209, -1.02462, -1.01501, -0.993273, -0.941009, -0.92219, -0.888028, -0.830292, -0.801271, -0.726397, -0.520171, -0.414426, -0.345627, -0.24499, -0.185724, -0.142062, -0.112212, -0.083178, -0.063215, -0.0395555, -0.0291163, -0.0157, -0.00844944, -0.00329909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.216371, 0.22274, 0.227049, 0.234233, 0.240462, 0.246765, 0.250733, 0.252271, 0.254648, 0.262682, 0.266809, 0.269504, 0.274925");
-            values ( \
-              "-0.0039747, -0.0372288, -0.0530144, -0.131344, -0.185187, -0.229084, -0.242427, -0.239343, -0.215139, -0.0624869, -0.0265178, -0.0154603, -0.00614971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00934093");
-            index_3 ("0.216024, 0.22994, 0.238028, 0.244917, 0.248864, 0.255618, 0.258973, 0.260512, 0.262563, 0.264801, 0.267542, 0.273539, 0.277512, 0.281038, 0.28564, 0.290662, 0.296401, 0.30458, 0.327572");
-            values ( \
-              "-0.00362873, -0.116061, -0.243121, -0.331958, -0.374681, -0.428184, -0.44186, -0.442086, -0.433789, -0.410819, -0.35957, -0.205987, -0.128668, -0.082061, -0.04439, -0.0225645, -0.0102977, -0.00355548, -0.000584591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0239685");
-            index_3 ("0.220672, 0.232965, 0.235861, 0.240689, 0.246672, 0.2545, 0.259234, 0.263021, 0.266625, 0.273131, 0.279547, 0.285959, 0.287552, 0.289372, 0.306627, 0.313252, 0.321182, 0.326664, 0.332318, 0.33671, 0.345495, 0.350102");
-            values ( \
-              "-0.0478872, -0.200748, -0.246336, -0.347735, -0.447062, -0.550578, -0.59963, -0.6301, -0.652045, -0.674858, -0.671334, -0.628606, -0.611308, -0.585317, -0.25214, -0.166629, -0.0988594, -0.0679494, -0.0461051, -0.0339751, -0.0181414, -0.0143829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0615024");
-            index_3 ("0.221822, 0.249111, 0.258404, 0.27039, 0.281762, 0.288328, 0.29688, 0.309765, 0.322649, 0.332025, 0.347048, 0.378593, 0.39525, 0.411833, 0.42359, 0.438979, 0.470092");
-            values ( \
-              "-0.0415981, -0.538453, -0.672843, -0.784893, -0.840176, -0.855533, -0.86368, -0.855555, -0.822461, -0.779071, -0.650755, -0.316981, -0.202087, -0.126124, -0.0892413, -0.0564977, -0.0216587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.157813");
-            index_3 ("0.233862, 0.248808, 0.255313, 0.264191, 0.276087, 0.286632, 0.297079, 0.306521, 0.321329, 0.335872, 0.357981, 0.38044, 0.395943, 0.426633, 0.442413, 0.461765, 0.476885, 0.533065, 0.567423, 0.597195, 0.618728, 0.636524, 0.657777, 0.687824, 0.719426, 0.743687, 0.792209, 0.855784, 0.919358, 0.982933");
-            values ( \
-              "-0.494937, -0.561791, -0.671825, -0.785285, -0.880333, -0.927956, -0.953909, -0.965418, -0.971221, -0.967661, -0.954457, -0.934593, -0.917565, -0.873282, -0.84112, -0.786416, -0.725824, -0.451877, -0.317405, -0.229171, -0.179465, -0.14616, -0.113862, -0.0794269, -0.0543174, -0.0404093, -0.0219799, -0.00965996, -0.00422744, -0.0018487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.404942");
-            index_3 ("0.244773, 0.284148, 0.296346, 0.309062, 0.320833, 0.338433, 0.387596, 0.457708, 0.539275, 0.602328, 0.695816, 0.75939, 0.781844, 0.826555, 0.928645, 1.03165, 1.11176, 1.17364, 1.23234, 1.28298, 1.34656, 1.40436, 1.50202, 1.56559, 1.69274, 1.81989, 2.01061");
-            values ( \
-              "-0.901458, -0.94981, -0.988995, -1.01019, -1.0191, -1.0238, -1.01613, -0.995501, -0.967424, -0.941322, -0.88804, -0.830149, -0.801332, -0.726333, -0.52013, -0.345586, -0.245022, -0.185689, -0.142033, -0.112245, -0.0831493, -0.0632385, -0.0395771, -0.0290953, -0.0156799, -0.00843018, -0.00331714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.402722, 0.418945, 0.423236, 0.429551, 0.437732, 0.446157, 0.449813, 0.453319, 0.456817, 0.465941, 0.468905, 0.473338, 0.477925, 0.484041, 0.486224");
-            values ( \
-              "-0.00432813, -0.0372653, -0.0542332, -0.0968519, -0.137532, -0.173026, -0.184691, -0.190163, -0.173392, -0.051323, -0.0296752, -0.0129421, -0.00596516, -0.00261351, -0.00251165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00934093");
-            index_3 ("0.404739, 0.417904, 0.423015, 0.437097, 0.452009, 0.45712, 0.461839, 0.466426, 0.471277, 0.478949, 0.483097, 0.488145, 0.491865, 0.499394, 0.507551, 0.514503");
-            values ( \
-              "-0.00945604, -0.0599262, -0.0848019, -0.204162, -0.313204, -0.344978, -0.36647, -0.368748, -0.321973, -0.16981, -0.106827, -0.0576267, -0.0359672, -0.0136801, -0.00494727, -0.00280413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0239685");
-            index_3 ("0.400181, 0.417754, 0.422821, 0.426016, 0.430079, 0.452378, 0.461867, 0.469966, 0.477359, 0.484487, 0.491599, 0.494315, 0.496784, 0.509832, 0.51421, 0.52102, 0.527953, 0.533401, 0.537009, 0.543818, 0.546947");
-            values ( \
-              "-0.0293672, -0.0825681, -0.111319, -0.133789, -0.168692, -0.408663, -0.501911, -0.563763, -0.600923, -0.612865, -0.58611, -0.561682, -0.529378, -0.293589, -0.22858, -0.150547, -0.0961961, -0.0668101, -0.0524496, -0.0330327, -0.0273521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0615024");
-            index_3 ("0.404447, 0.417842, 0.428986, 0.473345, 0.485144, 0.494567, 0.502081, 0.515554, 0.529025, 0.543519, 0.585954, 0.605755, 0.621912, 0.649486, 0.677765");
-            values ( \
-              "-0.0503368, -0.102161, -0.191261, -0.69561, -0.768495, -0.803285, -0.819167, -0.825233, -0.801882, -0.734088, -0.312654, -0.182626, -0.115096, -0.0507591, -0.0231432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.157813");
-            index_3 ("0.424669, 0.441185, 0.46785, 0.479387, 0.49121, 0.502162, 0.511759, 0.527227, 0.541896, 0.571929, 0.587019, 0.602523, 0.633305, 0.648328, 0.664352, 0.676719, 0.691041, 0.734733, 0.770316, 0.792751, 0.816682, 0.831039, 0.847199, 0.86767, 0.896106, 0.907958, 0.931661, 0.979068, 1.04264, 1.10622, 1.16979");
-            values ( \
-              "-0.341694, -0.346762, -0.699022, -0.799643, -0.868068, -0.907828, -0.92978, -0.94665, -0.950084, -0.940766, -0.930844, -0.915846, -0.872743, -0.842515, -0.799576, -0.754959, -0.69025, -0.474208, -0.330131, -0.259085, -0.198037, -0.16807, -0.139388, -0.109526, -0.0778952, -0.0675615, -0.0506912, -0.0280322, -0.0123502, -0.00538748, -0.0023863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.404942");
-            index_3 ("0.440418, 0.478454, 0.504448, 0.520558, 0.537535, 0.577128, 0.614032, 0.671182, 0.745432, 0.809486, 0.847155, 0.902032, 0.965606, 0.988136, 1.03284, 1.13494, 1.19357, 1.23794, 1.31805, 1.37994, 1.43864, 1.48928, 1.55285, 1.61065, 1.70831, 1.77189, 1.89904, 2.02618, 2.21691");
-            values ( \
-              "-0.680248, -0.8176, -0.952103, -0.987696, -1.00338, -1.01363, -1.00925, -0.993006, -0.96738, -0.940872, -0.922141, -0.88808, -0.830224, -0.80132, -0.726336, -0.52013, -0.414462, -0.345589, -0.245021, -0.185695, -0.142035, -0.112239, -0.0831525, -0.0632346, -0.0395739, -0.0290982, -0.0156828, -0.00843292, -0.00331452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.790646, 0.805253, 0.815519, 0.822929, 0.834816, 0.847958, 0.858255, 0.862977, 0.867691, 0.88009, 0.885093, 0.8896, 0.89334, 0.900818, 0.91619");
-            values ( \
-              "-0.006182, -0.0136784, -0.0247846, -0.0404316, -0.0823968, -0.116864, -0.136981, -0.141203, -0.134884, -0.0353336, -0.0156472, -0.00748819, -0.00415964, -0.00164317, -0.00136241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00934093");
-            index_3 ("0.799974, 0.810576, 0.813644, 0.816713, 0.81872, 0.820726, 0.8227, 0.824673, 0.826647, 0.828621, 0.830602, 0.832583, 0.836546, 0.838318, 0.841861, 0.843633, 0.848191, 0.852749, 0.858515, 0.860436, 0.86726, 0.873525, 0.875023, 0.876521, 0.87802, 0.879518, 0.881013, 0.882507, 0.884002, 0.885496, 0.885634, 0.886184, 0.887009, 0.887559, 0.888659, 0.890514, 0.894677, 0.895713, 0.89675, 0.897431, 0.898793, 0.900301, 0.901127, 0.901953, 0.90278, 0.904432, 0.905258, 0.906712, 0.908165, 0.909741");
-            values ( \
-              "-0.0346616, -0.0354647, -0.0416936, -0.0485525, -0.0540466, -0.06025, -0.0670555, -0.0745551, -0.0827487, -0.0916363, -0.102557, -0.113017, -0.132967, -0.141376, -0.157771, -0.165757, -0.185524, -0.20461, -0.227777, -0.235257, -0.260804, -0.284548, -0.283781, -0.28247, -0.280615, -0.278216, -0.275281, -0.271804, -0.267786, -0.263227, -0.262504, -0.257582, -0.248776, -0.242469, -0.228585, -0.203959, -0.146117, -0.133328, -0.121209, -0.113825, -0.0994877, -0.084289, -0.0768625, -0.0700493, -0.0642352, -0.0536749, -0.0489288, -0.0417307, -0.0350611, -0.0284286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239685");
-            index_3 ("0.796552, 0.816513, 0.826419, 0.829743, 0.859464, 0.882387, 0.891708, 0.900315, 0.908728, 0.91712, 0.929255, 0.935539, 0.944275, 0.94983, 0.954126, 0.95898, 0.965451, 0.978395, 0.995284, 1.01604");
-            values ( \
-              "-0.0139152, -0.0725501, -0.109925, -0.126097, -0.30889, -0.441597, -0.486382, -0.515058, -0.513041, -0.447216, -0.268728, -0.190949, -0.113426, -0.0798725, -0.0605479, -0.0441803, -0.0288361, -0.0117517, -0.00367217, -0.00102675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0615024");
-            index_3 ("0.804283, 0.827361, 0.837412, 0.862627, 0.886249, 0.904187, 0.91325, 0.919818, 0.932954, 0.937643, 0.94326, 0.948868, 0.960082, 0.963835, 0.971343, 0.995003, 1.00692, 1.02139, 1.03089, 1.04287, 1.05616, 1.06076, 1.07115, 1.08303, 1.10678, 1.12787");
-            values ( \
-              "-0.0522986, -0.139686, -0.195123, -0.377311, -0.557976, -0.674645, -0.716851, -0.739936, -0.767371, -0.770712, -0.770104, -0.76381, -0.731307, -0.712972, -0.658491, -0.414197, -0.308842, -0.210398, -0.161697, -0.114916, -0.0777489, -0.0679193, -0.0499233, -0.034914, -0.0165637, -0.00976043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.157813");
-            index_3 ("0.781825, 0.828104, 0.836453, 0.91223, 0.929718, 0.952959, 0.976964, 0.992205, 1.02793, 1.05524, 1.07757, 1.11382, 1.19223, 1.23816, 1.27896, 1.33004, 1.39121, 1.40564");
-            values ( \
-              "-0.0181496, -0.152971, -0.201222, -0.787007, -0.861646, -0.912655, -0.927985, -0.926219, -0.900998, -0.863383, -0.816689, -0.680477, -0.328485, -0.199829, -0.125005, -0.067817, -0.0321179, -0.0280411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.404942");
-            index_3 ("0.839005, 0.890499, 0.917653, 0.932435, 0.943972, 0.96634, 0.989872, 1.01784, 1.04797, 1.12389, 1.26092, 1.32287, 1.38625, 1.40889, 1.4536, 1.5557, 1.6587, 1.73882, 1.8007, 1.8594, 1.91004, 1.97361, 2.03142, 2.12908, 2.19265, 2.3198, 2.44695, 2.63768");
-            values ( \
-              "-0.43398, -0.665811, -0.854064, -0.914152, -0.947295, -0.983803, -0.999786, -1.0023, -0.998263, -0.976656, -0.924867, -0.887842, -0.830262, -0.80127, -0.726297, -0.52012, -0.345581, -0.245014, -0.185686, -0.142033, -0.112246, -0.0831483, -0.0632399, -0.0395787, -0.0290925, -0.0156772, -0.00842754, -0.00331974" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.00318274, 0.00318413, 0.00318576, 0.00318691, 0.00318751, 0.00318776", \
-            "0.00380502, 0.00380564, 0.00380677, 0.00380793, 0.00380867, 0.00380903", \
-            "0.00417511, 0.00417517, 0.00417539, 0.00417591, 0.00417644, 0.00417676", \
-            "0.00439073, 0.00439068, 0.00439111, 0.00439116, 0.00439134, 0.00439102", \
-            "0.00450796, 0.00450792, 0.00450787, 0.00450783, 0.00450785, 0.00450792", \
-            "0.00457558, 0.00457558, 0.00457557, 0.00457559, 0.00457564, 0.00457571" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.00457248, 0.00457414, 0.0045759, 0.00457859, 0.0045802, 0.00458096", \
-            "0.0050304, 0.00502949, 0.00502936, 0.00503041, 0.00503158, 0.00503226", \
-            "0.00530127, 0.00529861, 0.00529514, 0.00529238, 0.00529117, 0.00529083", \
-            "0.00558354, 0.00557957, 0.00557363, 0.00556722, 0.00556254, 0.00556059", \
-            "0.0059302, 0.00596673, 0.00599338, 0.00601056, 0.00601961, 0.00602441", \
-            "0.00683245, 0.00682637, 0.00679357, 0.00685623, 0.00699624, 0.00704972" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0118704, 0.012014, 0.0122142, 0.0123319, 0.0123481, 0.0123387", \
-            "0.0116446, 0.0117901, 0.0120028, 0.0121422, 0.0121739, 0.0121668", \
-            "0.0114798, 0.0115993, 0.0117909, 0.0119569, 0.0120191, 0.0120212", \
-            "0.011631, 0.011641, 0.0117248, 0.0117932, 0.0118984, 0.0118019", \
-            "0.0123133, 0.012212, 0.0122052, 0.0122278, 0.0123063, 0.0123243", \
-            "0.0150872, 0.014848, 0.0145876, 0.0144246, 0.0144025, 0.0143448" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.019392, 0.0197294, 0.0202425, 0.0206447, 0.0208603, 0.0209502", \
-            "0.0192158, 0.0195345, 0.0200512, 0.0204922, 0.0207201, 0.0208171", \
-            "0.01902, 0.0192747, 0.0197595, 0.0202612, 0.0205617, 0.0206951", \
-            "0.0192612, 0.0194237, 0.0198425, 0.0204149, 0.0207675, 0.0209754", \
-            "0.020398, 0.020376, 0.0205596, 0.0210897, 0.0215233, 0.0218013", \
-            "0.0237988, 0.0234661, 0.0233733, 0.023601, 0.0240004, 0.0243483" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0119746, 0.0121161, 0.0122929, 0.0123104, 0.0122084, 0.0121201", \
-            "0.0117383, 0.0118798, 0.0120589, 0.0121057, 0.0120236, 0.01194", \
-            "0.0114643, 0.0115842, 0.0117568, 0.0118539, 0.0118205, 0.0117536", \
-            "0.0113799, 0.0114357, 0.0115197, 0.011656, 0.0116557, 0.0116159", \
-            "0.0118488, 0.0118008, 0.0117946, 0.0118496, 0.0121172, 0.0118532", \
-            "0.0138926, 0.0139237, 0.0135622, 0.0133696, 0.0132648, 0.013192" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934093, 0.0239685, 0.0615024, 0.157813, 0.404942");
-          values ( \
-            "0.0211127, 0.0212776, 0.0214719, 0.0215494, 0.0215396, 0.0215217", \
-            "0.0209302, 0.0210748, 0.021302, 0.0213986, 0.0214144, 0.0214029", \
-            "0.0207445, 0.0208774, 0.0211063, 0.0212989, 0.0213678, 0.0213782", \
-            "0.020926, 0.0211774, 0.0213039, 0.0214934, 0.0216077, 0.0216351", \
-            "0.0222457, 0.022158, 0.0223185, 0.0224459, 0.0225828, 0.0226407", \
-            "0.0256596, 0.0253059, 0.0251684, 0.0251948, 0.0253046, 0.0252908" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00554016;
-      rise_capacitance : 0.00554016;
-      rise_capacitance_range (0.00395445, 0.00554016);
-      fall_capacitance : 0.00550839;
-      fall_capacitance_range (0.00333311, 0.00550839);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00334851, 0.0042336, 0.00471467, 0.0049426, 0.0050406, 0.00508498" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00470467, 0.00458241, 0.00416763, 0.00390294, 0.00380242, 0.00375945" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00255569, 0.00294923, 0.00318248, 0.00333351, 0.003413, 0.00345388" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00377048, 0.00434046, 0.00472556, 0.00490742, 0.00497312, 0.0049966" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00584721, -0.00586193, -0.00586557, -0.00585092, -0.00587309, -0.00585603" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00584721, 0.00586193, 0.00587397, 0.00589375, 0.00587309, 0.00585603" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00566475;
-      rise_capacitance : 0.00566475;
-      rise_capacitance_range (0.00438761, 0.00566475);
-      fall_capacitance : 0.00555239;
-      fall_capacitance_range (0.0038482, 0.00555239);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00341639, 0.00441135, 0.00500076, 0.00529754, 0.00542607, 0.00548157" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00533725, 0.00547283, 0.00507061, 0.00478466, 0.00465743, 0.00460729" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0031181, 0.00366178, 0.00397668, 0.00416385, 0.00426348, 0.00431451" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00435108, 0.00489123, 0.00526193, 0.00545045, 0.00552142, 0.00555353" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00552703, -0.00553218, -0.00552691, -0.00555003, -0.00554175, -0.00553286" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00555099, 0.00553218, 0.00552691, 0.00556392, 0.005546, 0.00553748" \
-          );
-        }
-      }
-    }
-  }
-  cell (AND2X4) {
-    area : 21.2454;
-    cell_footprint : "AND2";
-    cell_leakage_power : 0.951762;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.566743;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.08123;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.08283;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.07624;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.951762;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.73894;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.102111, 0.112275, 0.133914, 0.180041, 0.29174, 0.603575", \
-            "0.106465, 0.116601, 0.138229, 0.18436, 0.296063, 0.607904", \
-            "0.116693, 0.126831, 0.148501, 0.194628, 0.30636, 0.61824", \
-            "0.139483, 0.149624, 0.171182, 0.217181, 0.32904, 0.640912", \
-            "0.170596, 0.181762, 0.204862, 0.252398, 0.364796, 0.677069", \
-            "0.196389, 0.209038, 0.23572, 0.286841, 0.400982, 0.71274" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0267797, 0.0347048, 0.0542866, 0.106423, 0.260904, 0.72397", \
-            "0.0267364, 0.0346828, 0.0542821, 0.106416, 0.260907, 0.723971", \
-            "0.0267406, 0.0346899, 0.0542204, 0.106379, 0.260889, 0.723966", \
-            "0.0275532, 0.0354253, 0.0549039, 0.106761, 0.260983, 0.723969", \
-            "0.0330081, 0.0411112, 0.060061, 0.110401, 0.262266, 0.724032", \
-            "0.0418973, 0.0512697, 0.0710015, 0.118548, 0.266074, 0.725204" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0875139, 0.0950485, 0.111841, 0.147026, 0.228807, 0.452676", \
-            "0.0936973, 0.101222, 0.118029, 0.153202, 0.23502, 0.458872", \
-            "0.108501, 0.116023, 0.132776, 0.167967, 0.249795, 0.473685", \
-            "0.141776, 0.149174, 0.16586, 0.200969, 0.282473, 0.506322", \
-            "0.201998, 0.21058, 0.229044, 0.266246, 0.348963, 0.572848", \
-            "0.290711, 0.301126, 0.323728, 0.367085, 0.454414, 0.678933" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0195158, 0.0253705, 0.038869, 0.0726102, 0.171161, 0.470263", \
-            "0.0195175, 0.0253673, 0.0388848, 0.0726151, 0.171184, 0.470268", \
-            "0.0195277, 0.0253813, 0.0389239, 0.0726333, 0.171159, 0.470257", \
-            "0.0197823, 0.0256862, 0.0392669, 0.0728816, 0.171264, 0.470186", \
-            "0.0256149, 0.0316061, 0.045258, 0.0773489, 0.172892, 0.470365", \
-            "0.035482, 0.042412, 0.0578845, 0.0900682, 0.179854, 0.471884" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0859626, 0.0933147, 0.0938797, 0.0959025, 0.0969138, 0.0984558, 0.100048, 0.10217, 0.104292, 0.105421, 0.106549, 0.10804, 0.108786, 0.109532, 0.110592, 0.111652, 0.112712, 0.113772, 0.114809, 0.116883, 0.117921, 0.119994, 0.122128, 0.122494, 0.122982, 0.123714, 0.124446, 0.124934, 0.12591, 0.127303, 0.128697, 0.129596, 0.130495, 0.131395, 0.132294, 0.135478, 0.136692, 0.138045, 0.139397, 0.14037, 0.142315, 0.144294, 0.147283, 0.148799, 0.150316, 0.153349, 0.156382, 0.158822, 0.161262, 0.164971");
-            values ( \
-              "0.0787178, 0.0863502, 0.0903077, 0.102038, 0.107418, 0.11497, 0.122035, 0.130087, 0.137808, 0.141731, 0.145277, 0.149317, 0.151112, 0.152757, 0.154837, 0.156614, 0.158088, 0.159259, 0.158875, 0.157725, 0.156958, 0.155045, 0.152512, 0.151251, 0.149201, 0.145165, 0.140355, 0.136719, 0.128417, 0.112508, 0.09555, 0.0866103, 0.0785584, 0.0713945, 0.0651186, 0.0477858, 0.0422996, 0.0374662, 0.033136, 0.0304403, 0.025615, 0.0214923, 0.0161145, 0.0140367, 0.0122121, 0.00956151, 0.00744599, 0.00621796, 0.00516549, 0.00390174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.010535");
-            index_3 ("0.0792671, 0.0851074, 0.0872315, 0.0901531, 0.101763, 0.106372, 0.112822, 0.118589, 0.12402, 0.129339, 0.134652, 0.136448, 0.145082, 0.149666, 0.152491, 0.157264, 0.16152, 0.167028, 0.171319, 0.177783, 0.181799, 0.188767, 0.198058, 0.216639, 0.225521");
-            values ( \
-              "0.0384529, 0.0637686, 0.0788688, 0.106369, 0.234473, 0.272675, 0.315711, 0.341939, 0.356163, 0.357879, 0.340384, 0.322614, 0.190949, 0.137982, 0.114252, 0.0817943, 0.0604442, 0.0408813, 0.0301095, 0.0191317, 0.0147339, 0.00967636, 0.00592354, 0.00283298, 0.00237886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0304879");
-            index_3 ("0.0823317, 0.0899421, 0.118823, 0.128373, 0.137032, 0.145315, 0.153573, 0.16183, 0.179922, 0.193442, 0.207004, 0.221707, 0.23589, 0.265764, 0.274216");
-            values ( \
-              "0.0839154, 0.154484, 0.536247, 0.613733, 0.654068, 0.671367, 0.658577, 0.597484, 0.33459, 0.198066, 0.112172, 0.0592299, 0.0321402, 0.00937174, 0.00867724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.088231");
-            index_3 ("0.0866492, 0.0925668, 0.116146, 0.131358, 0.143631, 0.160318, 0.176118, 0.191865, 0.20761, 0.217163, 0.245474, 0.259989, 0.270479, 0.283859, 0.294206, 0.30461, 0.322763, 0.331196, 0.348062, 0.36246, 0.382318, 0.408795, 0.45206");
-            values ( \
-              "0.14279, 0.213999, 0.609205, 0.804189, 0.910566, 0.993655, 1.01735, 1.00098, 0.938821, 0.870943, 0.608374, 0.48705, 0.409326, 0.32421, 0.268954, 0.22161, 0.156394, 0.1326, 0.0946722, 0.0708172, 0.0473849, 0.027403, 0.0115071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.255338");
-            index_3 ("0.092687, 0.125229, 0.1446, 0.154393, 0.173979, 0.192515, 0.218095, 0.228561, 0.246474, 0.264984, 0.301759, 0.323268, 0.344566, 0.387002, 0.453399, 0.500262, 0.5392, 0.559496, 0.598222, 0.631398, 0.658899, 0.691199, 0.73686, 0.784401, 0.820825, 0.893671, 0.975917, 1.05816, 1.14041");
-            values ( \
-              "0.269588, 0.803858, 1.03636, 1.11617, 1.2215, 1.26793, 1.28446, 1.27922, 1.26345, 1.23752, 1.1697, 1.11616, 1.05176, 0.893222, 0.633435, 0.476708, 0.368698, 0.32077, 0.243459, 0.190552, 0.154938, 0.120893, 0.0844578, 0.0580243, 0.0433349, 0.0237447, 0.0119094, 0.00595315, 0.0029718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.73894");
-            index_3 ("0.111162, 0.147789, 0.169765, 0.18899, 0.218508, 0.229907, 0.252706, 0.293192, 0.348421, 0.508112, 0.614942, 0.731279, 0.79169, 1.07433, 1.15052, 1.23277, 1.29134, 1.36692, 1.43737, 1.55492, 1.62554, 1.70779, 1.79003, 1.91298, 2.06439, 2.22888, 2.39337, 2.72236, 2.96909");
-            values ( \
-              "1.06953, 1.11713, 1.28063, 1.36259, 1.42095, 1.42977, 1.43666, 1.42689, 1.39584, 1.28666, 1.20217, 1.08207, 1.00416, 0.608557, 0.514748, 0.425106, 0.368458, 0.304905, 0.253748, 0.185305, 0.153002, 0.12155, 0.0967042, 0.0680338, 0.0440155, 0.027296, 0.0168668, 0.00637315, 0.00328319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0976959, 0.103258, 0.106011, 0.112243, 0.118363, 0.123347, 0.127826, 0.132055, 0.136198, 0.140338, 0.142282, 0.150029, 0.153621, 0.157591, 0.161608, 0.168523, 0.174522, 0.183221, 0.205193, 0.233334");
-            values ( \
-              "0.0167131, 0.0331926, 0.0461635, 0.0906372, 0.122577, 0.140505, 0.152081, 0.157708, 0.159025, 0.152967, 0.144372, 0.06894, 0.0489685, 0.0337521, 0.0233418, 0.0127783, 0.00769158, 0.0041653, 0.00153724, 0.00119687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.010535");
-            index_3 ("0.0989833, 0.104797, 0.106056, 0.108573, 0.115341, 0.119488, 0.124655, 0.131098, 0.136859, 0.142287, 0.147603, 0.152915, 0.154722, 0.163309, 0.167852, 0.17078, 0.175584, 0.179809, 0.184313, 0.190318, 0.196022, 0.19898, 0.20649, 0.215073, 0.232239, 0.246196");
-            values ( \
-              "0.0413443, 0.075742, 0.0852271, 0.109034, 0.188901, 0.230187, 0.272892, 0.31626, 0.341969, 0.35654, 0.357829, 0.340647, 0.322556, 0.191449, 0.138731, 0.114049, 0.0814707, 0.0603285, 0.043793, 0.0284163, 0.0191407, 0.0157556, 0.00997472, 0.0062887, 0.00309747, 0.00227135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0304879");
-            index_3 ("0.10092, 0.108688, 0.13708, 0.146628, 0.155286, 0.163568, 0.171827, 0.180083, 0.198176, 0.211691, 0.225255, 0.239979, 0.254136, 0.283956, 0.294102");
-            values ( \
-              "0.085217, 0.161399, 0.536933, 0.613283, 0.654634, 0.670929, 0.659059, 0.597067, 0.334572, 0.198092, 0.112177, 0.0591777, 0.03215, 0.00939544, 0.00855326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.088231");
-            index_3 ("0.105132, 0.111263, 0.134914, 0.149243, 0.161902, 0.178587, 0.194385, 0.210132, 0.225875, 0.235435, 0.263727, 0.278276, 0.288759, 0.302129, 0.312461, 0.322868, 0.341027, 0.349466, 0.366343, 0.380729, 0.400572, 0.427028, 0.470916");
-            values ( \
-              "0.144835, 0.221695, 0.616954, 0.800374, 0.910804, 0.993805, 1.01743, 1.00103, 0.938846, 0.870914, 0.608484, 0.486876, 0.409228, 0.324182, 0.269007, 0.221637, 0.156395, 0.132586, 0.0946408, 0.0708102, 0.0473957, 0.0274213, 0.0112463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.255338");
-            index_3 ("0.111406, 0.147741, 0.163083, 0.172614, 0.191678, 0.210742, 0.236148, 0.246792, 0.264706, 0.283215, 0.319991, 0.341493, 0.362798, 0.405233, 0.471631, 0.518491, 0.557431, 0.577729, 0.616456, 0.64963, 0.677129, 0.70943, 0.755091, 0.802634, 0.839058, 0.911907, 0.994153, 1.0764, 1.15865");
-            values ( \
-              "0.287716, 0.863765, 1.03814, 1.11603, 1.219, 1.26802, 1.28421, 1.27935, 1.26321, 1.23769, 1.1695, 1.11618, 1.05175, 0.893233, 0.633427, 0.476725, 0.368692, 0.320762, 0.243451, 0.190556, 0.154943, 0.12089, 0.0844622, 0.0580272, 0.0433309, 0.0237471, 0.0119057, 0.00595618, 0.00296845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.73894");
-            index_3 ("0.130255, 0.166623, 0.188167, 0.207045, 0.236907, 0.249386, 0.274344, 0.3143, 0.330002, 0.425838, 0.526513, 0.633343, 0.74968, 0.810091, 1.09273, 1.16892, 1.25117, 1.30974, 1.38532, 1.45577, 1.57332, 1.64394, 1.72619, 1.80844, 1.93138, 2.08279, 2.24728, 2.41177, 2.74076, 2.98749");
-            values ( \
-              "1.10736, 1.12134, 1.28062, 1.36149, 1.42091, 1.43035, 1.43672, 1.4256, 1.41783, 1.3575, 1.28667, 1.20218, 1.08207, 1.00415, 0.608554, 0.514751, 0.425103, 0.368462, 0.304897, 0.253756, 0.185315, 0.152993, 0.12156, 0.0966946, 0.0680446, 0.0440263, 0.027307, 0.0168779, 0.00638471, 0.00327139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.138786, 0.143111, 0.1473, 0.153315, 0.159438, 0.164424, 0.168904, 0.173134, 0.177277, 0.181417, 0.183356, 0.191171, 0.194417, 0.200181, 0.204369, 0.211093, 0.217958, 0.221745, 0.236614, 0.27441");
-            values ( \
-              "0.0184931, 0.0288237, 0.047275, 0.0905851, 0.122511, 0.140489, 0.152031, 0.157714, 0.158982, 0.152986, 0.144398, 0.0684504, 0.0501772, 0.0291379, 0.020113, 0.0111668, 0.0064174, 0.00484797, 0.00224876, 0.00087361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.010535");
-            index_3 ("0.13993, 0.147026, 0.149147, 0.160846, 0.165662, 0.172106, 0.177869, 0.183297, 0.188615, 0.193927, 0.195728, 0.20434, 0.208905, 0.211778, 0.216565, 0.220806, 0.225355, 0.231419, 0.237074, 0.240015, 0.247404, 0.255849, 0.272739, 0.295112");
-            values ( \
-              "0.0444263, 0.0847989, 0.103345, 0.23285, 0.273099, 0.315826, 0.342203, 0.356185, 0.358066, 0.34035, 0.322606, 0.191189, 0.13833, 0.114162, 0.0816472, 0.0603888, 0.0436974, 0.0282333, 0.019089, 0.0157332, 0.0100308, 0.00636491, 0.0031516, 0.00222898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0304879");
-            index_3 ("0.144055, 0.178358, 0.18788, 0.196524, 0.204797, 0.213073, 0.221312, 0.23948, 0.250852, 0.264067, 0.27484, 0.290652, 0.31656, 0.330206");
-            values ( \
-              "0.099426, 0.539059, 0.614213, 0.655764, 0.671259, 0.659639, 0.596899, 0.333523, 0.215127, 0.124218, 0.0781763, 0.039231, 0.0133324, 0.00892345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.088231");
-            index_3 ("0.146442, 0.16073, 0.171109, 0.183533, 0.195032, 0.202773, 0.219439, 0.235231, 0.250973, 0.266711, 0.276304, 0.313513, 0.329675, 0.353237, 0.363667, 0.381862, 0.40727, 0.421594, 0.441348, 0.467685, 0.516323");
-            values ( \
-              "0.0740619, 0.37077, 0.548572, 0.726773, 0.849914, 0.913043, 0.993503, 1.0188, 1.00032, 0.939903, 0.870655, 0.532226, 0.408653, 0.269317, 0.221775, 0.156382, 0.0944481, 0.0707783, 0.0474637, 0.0275131, 0.00951817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.255338");
-            index_3 ("0.146173, 0.174975, 0.186076, 0.201416, 0.213853, 0.235444, 0.251956, 0.277416, 0.287987, 0.305898, 0.324409, 0.361181, 0.382706, 0.403989, 0.446425, 0.512822, 0.559692, 0.598624, 0.618916, 0.657641, 0.690819, 0.718325, 0.750624, 0.796284, 0.843823, 0.880244, 0.953086, 1.03533, 1.11758, 1.19983");
-            values ( \
-              "0.0636047, 0.651449, 0.82633, 1.01202, 1.11764, 1.22976, 1.2686, 1.2846, 1.2795, 1.26344, 1.23768, 1.16962, 1.11613, 1.05176, 0.893226, 0.633431, 0.476692, 0.368689, 0.320772, 0.243463, 0.190556, 0.154935, 0.120889, 0.0844586, 0.0580262, 0.0433343, 0.0237477, 0.0119086, 0.00595508, 0.00297044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.73894");
-            index_3 ("0.16399, 0.229765, 0.25401, 0.278472, 0.30618, 0.337555, 0.371519, 0.418197, 0.568026, 0.674856, 0.791193, 0.851605, 1.09615, 1.21043, 1.29268, 1.35125, 1.42683, 1.49728, 1.61484, 1.7677, 1.84995, 1.9729, 2.1243, 2.28879, 2.45328, 2.78227, 3.02901");
-            values ( \
-              "0.747748, 1.28326, 1.37983, 1.42186, 1.43758, 1.43273, 1.41819, 1.38946, 1.28691, 1.20242, 1.08231, 1.00391, 0.658236, 0.514503, 0.425351, 0.368214, 0.305138, 0.253515, 0.185075, 0.121321, 0.0969317, 0.0678133, 0.0437969, 0.0270783, 0.0166509, 0.00616092, 0.00349277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.223354, 0.235434, 0.245696, 0.249048, 0.255037, 0.259705, 0.261963, 0.264064, 0.268301, 0.272539, 0.27503, 0.280905, 0.285268, 0.287597, 0.290257, 0.295287, 0.300343, 0.305736, 0.311298, 0.315612, 0.322916, 0.332654, 0.378238, 0.381222");
-            values ( \
-              "0.00269798, 0.0346259, 0.0960089, 0.112014, 0.134068, 0.146658, 0.150723, 0.153769, 0.155672, 0.15091, 0.139337, 0.0795518, 0.0515329, 0.0415589, 0.0324198, 0.020667, 0.0133157, 0.00845703, 0.00552778, 0.00416982, 0.00279975, 0.00187526, 0.000622878, 0.000606898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.010535");
-            index_3 ("0.223817, 0.231347, 0.234123, 0.237194, 0.241448, 0.247638, 0.256051, 0.259412, 0.262756, 0.268703, 0.274243, 0.279642, 0.285034, 0.286528, 0.288122, 0.293831, 0.296829, 0.300836, 0.302582, 0.305215, 0.308192, 0.312213, 0.316213, 0.320648, 0.327544, 0.332244, 0.335173, 0.341031, 0.352748, 0.372095, 0.388309");
-            values ( \
-              "0.0206987, 0.0439021, 0.0581171, 0.0792465, 0.119299, 0.187117, 0.258695, 0.283398, 0.304779, 0.33411, 0.350045, 0.353586, 0.337242, 0.323443, 0.304294, 0.213936, 0.173146, 0.131268, 0.116689, 0.0972721, 0.0789576, 0.0593685, 0.0447217, 0.0325975, 0.0200341, 0.0147529, 0.0123074, 0.00874962, 0.00487054, 0.00250987, 0.00180523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0304879");
-            index_3 ("0.22383, 0.238097, 0.268784, 0.276404, 0.282908, 0.287364, 0.295749, 0.304077, 0.312401, 0.326686, 0.338525, 0.35485, 0.36711, 0.377012, 0.390101, 0.400912, 0.42032");
-            values ( \
-              "0.0382502, 0.124744, 0.51859, 0.584857, 0.625742, 0.645542, 0.664203, 0.654871, 0.594255, 0.383611, 0.245877, 0.126125, 0.074486, 0.0481642, 0.0276138, 0.0176463, 0.00853312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.088231");
-            index_3 ("0.246416, 0.263753, 0.26694, 0.27036, 0.27378, 0.276839, 0.279899, 0.282958, 0.286017, 0.287893, 0.293522, 0.297274, 0.301026, 0.304778, 0.308987, 0.312187, 0.315562, 0.320205, 0.326212, 0.329216, 0.335223, 0.338227, 0.341971, 0.345715, 0.349459, 0.353928, 0.358397, 0.362563, 0.366728, 0.370893, 0.375059, 0.376859, 0.38766, 0.395968, 0.403641, 0.41018, 0.415396, 0.420611, 0.427344, 0.437549, 0.444494, 0.45144, 0.458385, 0.469538, 0.478605, 0.487673, 0.495537, 0.50522, 0.510061, 0.518597");
-            values ( \
-              "0.554337, 0.555894, 0.606964, 0.657387, 0.70427, 0.743206, 0.779311, 0.812585, 0.843027, 0.858308, 0.899924, 0.923908, 0.945236, 0.963908, 0.981693, 0.99248, 1.00142, 1.01032, 1.00932, 1.00823, 1.00485, 1.00257, 0.995891, 0.985408, 0.971434, 0.949263, 0.923961, 0.897538, 0.868408, 0.836572, 0.802029, 0.786262, 0.683134, 0.606991, 0.538908, 0.484121, 0.445483, 0.408881, 0.365446, 0.303029, 0.266034, 0.234921, 0.206605, 0.166988, 0.137889, 0.115911, 0.0995052, 0.0821405, 0.0745242, 0.062683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.255338");
-            index_3 ("0.248811, 0.26966, 0.290133, 0.304359, 0.326421, 0.342676, 0.367719, 0.378722, 0.396675, 0.415211, 0.452, 0.473409, 0.494802, 0.537235, 0.600292, 0.650457, 0.689426, 0.709749, 0.748484, 0.781644, 0.809116, 0.841423, 0.887088, 0.934647, 0.971086, 1.04396, 1.12621, 1.2907");
-            values ( \
-              "0.630323, 0.698064, 0.977145, 1.10693, 1.22487, 1.26567, 1.28256, 1.27874, 1.26231, 1.23768, 1.16905, 1.11638, 1.05171, 0.89326, 0.645618, 0.476857, 0.368705, 0.320722, 0.243405, 0.190551, 0.154972, 0.120895, 0.0844741, 0.0580288, 0.0433178, 0.023742, 0.0118953, 0.00296201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.73894");
-            index_3 ("0.265518, 0.320156, 0.344241, 0.369028, 0.395971, 0.426995, 0.462119, 0.5088, 0.65863, 0.76546, 0.881797, 0.942208, 1.18676, 1.30104, 1.38328, 1.44185, 1.51744, 1.58788, 1.70544, 1.77606, 1.8583, 1.94055, 2.0635, 2.2149, 2.37939, 2.54388, 2.87287, 3.11961");
-            values ( \
-              "1.1606, 1.27521, 1.37491, 1.42005, 1.43637, 1.43284, 1.41795, 1.38956, 1.28678, 1.2023, 1.08219, 1.00403, 0.658349, 0.514613, 0.425242, 0.368322, 0.305039, 0.253614, 0.185173, 0.153135, 0.121418, 0.0968366, 0.0679057, 0.0438901, 0.0271717, 0.0167438, 0.00625219, 0.00340282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.386531, 0.403077, 0.410174, 0.41678, 0.425821, 0.431943, 0.440401, 0.445671, 0.450761, 0.455817, 0.460871, 0.467033, 0.474814, 0.483473, 0.493634, 0.503105, 0.527221, 0.54697");
-            values ( \
-              "0.00237882, 0.0134727, 0.0252202, 0.0455691, 0.0852236, 0.103488, 0.12082, 0.127206, 0.130729, 0.128709, 0.112751, 0.061921, 0.0310232, 0.0150803, 0.00671888, 0.0036766, 0.00145227, 0.000832039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.010535");
-            index_3 ("0.389046, 0.402739, 0.411838, 0.418265, 0.428719, 0.435215, 0.443393, 0.450478, 0.45697, 0.463194, 0.469406, 0.475582, 0.480933, 0.485785, 0.492345, 0.497571, 0.502036, 0.505391, 0.512102, 0.516809, 0.523649, 0.532769, 0.550306, 0.574692");
-            values ( \
-              "0.0114077, 0.029748, 0.0590322, 0.0948448, 0.172662, 0.211745, 0.252968, 0.281996, 0.300933, 0.309964, 0.30273, 0.249568, 0.176034, 0.127751, 0.0833897, 0.0584958, 0.0433116, 0.0344752, 0.0217877, 0.0161168, 0.0107318, 0.00661533, 0.00330509, 0.00229562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0304879");
-            index_3 ("0.384535, 0.409753, 0.410952, 0.418149, 0.423452, 0.440339, 0.44967, 0.461109, 0.47103, 0.480242, 0.489239, 0.498221, 0.512576, 0.518122, 0.525347, 0.529686, 0.537166, 0.543258, 0.550466, 0.558753, 0.562879, 0.56863, 0.577759, 0.588193, 0.60906, 0.61195");
-            values ( \
-              "0.0144706, 0.0791858, 0.0854455, 0.136428, 0.184993, 0.352138, 0.436352, 0.525065, 0.580519, 0.60987, 0.612479, 0.566739, 0.376494, 0.309788, 0.237052, 0.20072, 0.148789, 0.115621, 0.085407, 0.0601748, 0.0505879, 0.0397018, 0.0273093, 0.0179862, 0.00808865, 0.00760413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.088231");
-            index_3 ("0.420602, 0.444147, 0.457011, 0.461869, 0.467443, 0.470587, 0.473731, 0.476875, 0.481415, 0.485956, 0.490497, 0.495037, 0.499209, 0.503381, 0.507553, 0.511725, 0.515819, 0.519913, 0.524007, 0.528101, 0.53219, 0.536279, 0.540368, 0.544918, 0.546765, 0.547689, 0.549223, 0.550756, 0.552831, 0.554906, 0.556994, 0.559083, 0.563637, 0.587342, 0.595672, 0.604003, 0.612333, 0.624666, 0.63013, 0.638326, 0.644684, 0.651937, 0.657872, 0.66018, 0.664797, 0.669413, 0.67403, 0.683263, 0.691086, 0.705584");
-            values ( \
-              "0.412804, 0.466558, 0.630663, 0.688499, 0.749036, 0.779832, 0.808451, 0.834893, 0.868649, 0.897551, 0.921599, 0.940792, 0.948187, 0.954074, 0.958451, 0.961319, 0.962666, 0.96256, 0.961001, 0.957988, 0.950774, 0.941565, 0.930362, 0.915547, 0.906113, 0.900225, 0.877719, 0.860804, 0.853026, 0.843066, 0.830834, 0.816391, 0.776686, 0.562258, 0.493275, 0.432218, 0.37647, 0.302807, 0.272734, 0.235517, 0.209944, 0.183006, 0.162102, 0.154248, 0.140635, 0.128159, 0.1175, 0.0982405, 0.0843557, 0.062207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.255338");
-            index_3 ("0.410961, 0.439462, 0.462277, 0.487717, 0.505157, 0.527822, 0.538927, 0.550033, 0.56461, 0.573134, 0.587156, 0.601458, 0.630063, 0.659353, 0.681215, 0.723664, 0.786741, 0.836818, 0.875849, 0.909262, 0.934974, 0.977244, 0.995522, 1.02784, 1.07352, 1.12111, 1.15758, 1.23052, 1.31277, 1.47726");
-            values ( \
-              "0.199029, 0.446351, 0.761885, 1.03276, 1.14723, 1.23014, 1.24788, 1.2577, 1.25855, 1.25646, 1.24669, 1.23035, 1.1836, 1.11636, 1.05105, 0.893185, 0.645596, 0.477117, 0.368737, 0.29266, 0.243314, 0.177922, 0.155024, 0.120912, 0.0844914, 0.0580254, 0.043294, 0.0237249, 0.0118779, 0.00295335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.73894");
-            index_3 ("0.410898, 0.475908, 0.50451, 0.529815, 0.54991, 0.575478, 0.585816, 0.606491, 0.641525, 0.691494, 0.826796, 0.882203, 0.952095, 1.03434, 1.12884, 1.35434, 1.48767, 1.56992, 1.66088, 1.70407, 1.77452, 1.85676, 1.96269, 2.04494, 2.12719, 2.25013, 2.31929, 2.40154, 2.56603, 2.64828, 2.81277, 2.97726, 3.30625");
-            values ( \
-              "0.140931, 0.949785, 1.21078, 1.33574, 1.38543, 1.41778, 1.42366, 1.42752, 1.4186, 1.39153, 1.30006, 1.25899, 1.20193, 1.12159, 1.0044, 0.684112, 0.515004, 0.424853, 0.340149, 0.304658, 0.253994, 0.203831, 0.152762, 0.121791, 0.0964655, 0.0682644, 0.0558296, 0.0442442, 0.0275219, 0.0214974, 0.0132761, 0.00817635, 0.00306762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.731993, 0.748497, 0.759388, 0.765916, 0.782143, 0.79044, 0.797651, 0.804356, 0.810842, 0.817279, 0.823714, 0.83253, 0.843689, 0.854119, 0.862963, 0.871573, 0.892316, 0.929554");
-            values ( \
-              "0.00981233, 0.0105857, 0.0193249, 0.0293191, 0.0717244, 0.0865001, 0.095123, 0.100087, 0.102342, 0.101398, 0.0926195, 0.0472031, 0.0196584, 0.00904755, 0.0047458, 0.00293306, 0.0014544, 0.0011702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.010535");
-            index_3 ("0.711501, 0.736684, 0.747702, 0.758041, 0.763212, 0.773527, 0.788535, 0.799834, 0.808811, 0.817041, 0.824895, 0.832601, 0.840299, 0.851948, 0.859689, 0.864737, 0.871258, 0.876287, 0.883982, 0.889198, 0.898862, 0.911747, 0.934284, 0.955091");
-            values ( \
-              "0.00247112, 0.0136071, 0.0243693, 0.0396567, 0.052352, 0.0910672, 0.164054, 0.200921, 0.222078, 0.237945, 0.246027, 0.246835, 0.215623, 0.111801, 0.0706382, 0.0517837, 0.0345139, 0.0251144, 0.0154908, 0.0114822, 0.00699976, 0.00416002, 0.00235592, 0.00173435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0304879");
-            index_3 ("0.711118, 0.749808, 0.752969, 0.755642, 0.758697, 0.764807, 0.77006, 0.771843, 0.775411, 0.782546, 0.78717, 0.796418, 0.80615, 0.8205, 0.832784, 0.843898, 0.85442, 0.864947, 0.875521, 0.880146, 0.883548, 0.890352, 0.895875, 0.897859, 0.901827, 0.909762, 0.913251, 0.916004, 0.919675, 0.926133, 0.935474, 0.940101, 0.942373, 0.946917, 0.956006, 0.974183, 1.00302, 1.00315");
-            values ( \
-              "0.00518849, 0.0468006, 0.0549985, 0.0629651, 0.0726655, 0.0955842, 0.120154, 0.129842, 0.150927, 0.199343, 0.231487, 0.289367, 0.344808, 0.41961, 0.474901, 0.512782, 0.530472, 0.509665, 0.40753, 0.35521, 0.31857, 0.252986, 0.208276, 0.19367, 0.166961, 0.122468, 0.106683, 0.0955048, 0.0822554, 0.0630579, 0.0427292, 0.0353995, 0.0323192, 0.0269383, 0.0188839, 0.00958475, 0.00391223, 0.00390509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.088231");
-            index_3 ("0.713152, 0.754119, 0.761912, 0.78529, 0.849608, 0.858488, 0.877177, 0.894835, 0.912517, 0.917802, 0.931835, 0.961833, 0.985144, 1.01301, 1.03714, 1.06827, 1.10318, 1.13361, 1.15277");
-            values ( \
-              "0.0118694, 0.0869263, 0.120072, 0.267086, 0.766486, 0.817273, 0.887625, 0.909568, 0.879114, 0.855085, 0.759424, 0.51018, 0.349507, 0.212475, 0.1342, 0.0724171, 0.0360109, 0.0192743, 0.0145175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.255338");
-            index_3 ("0.754548, 0.789113, 0.849913, 0.872943, 0.894155, 0.926595, 0.944794, 0.970326, 1.00808, 1.02532, 1.06556, 1.15588, 1.21615, 1.28789, 1.35613, 1.40373, 1.44701, 1.50088, 1.57271, 1.63619");
-            values ( \
-              "0.20661, 0.325524, 0.893188, 1.05924, 1.15578, 1.22155, 1.22604, 1.21023, 1.15448, 1.11647, 0.995234, 0.647085, 0.449281, 0.276931, 0.167647, 0.116458, 0.0829012, 0.054063, 0.0299573, 0.0213007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.73894");
-            index_3 ("0.754318, 0.88991, 0.920772, 0.953907, 0.985814, 1.01633, 1.06091, 1.11278, 1.26358, 1.37571, 1.43709, 1.51933, 1.72431, 1.84917, 1.93142, 2.03227, 2.14866, 2.2309, 2.32092, 2.46698, 2.58085, 2.72633, 2.89082, 3.05531, 3.30205, 3.63103");
-            values ( \
-              "0.140694, 1.23538, 1.34769, 1.3975, 1.40887, 1.40295, 1.38255, 1.35075, 1.24744, 1.15029, 1.08209, 0.974409, 0.682622, 0.523366, 0.432428, 0.33779, 0.25032, 0.201015, 0.157386, 0.104828, 0.0759642, 0.0501003, 0.0311498, 0.0193123, 0.00940893, 0.0035956" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.00347363, 0.00347399, 0.00347468, 0.00347536, 0.00347574, 0.0034759", \
-            "0.00442324, 0.0044232, 0.00442328, 0.00442366, 0.00442404, 0.00442424", \
-            "0.00497189, 0.00497185, 0.00497177, 0.00497171, 0.0049718, 0.00497191", \
-            "0.00529404, 0.00529401, 0.00529386, 0.00529375, 0.0052937, 0.00529372", \
-            "0.00550934, 0.00550932, 0.00550925, 0.00550916, 0.0055091, 0.00550907", \
-            "0.00565255, 0.00565253, 0.00565248, 0.0056524, 0.0056523, 0.00565223" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0051489, 0.00514827, 0.00514807, 0.00514861, 0.00514922, 0.00514953", \
-            "0.0051582, 0.00515789, 0.00515714, 0.00515627, 0.00515592, 0.00515586", \
-            "0.00488811, 0.004888, 0.00488742, 0.00488705, 0.0048866, 0.00488627", \
-            "0.00493536, 0.0049351, 0.00493453, 0.00493344, 0.00493221, 0.0049314", \
-            "0.00535206, 0.00538467, 0.00541423, 0.00543258, 0.00544085, 0.00544767", \
-            "0.00605787, 0.00605231, 0.00602443, 0.00604417, 0.0061864, 0.00622007" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0691134, 0.0813022, 0.0868124, 0.0938704, 0.0990358, 0.102017, 0.10492, 0.107819, 0.116066, 0.118561, 0.120997, 0.124116, 0.131271");
-            values ( \
-              "-0.0118473, -0.0711341, -0.137528, -0.188504, -0.215328, -0.224971, -0.22731, -0.204649, -0.0536928, -0.0316305, -0.0186597, -0.0100664, -0.00353226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.010535");
-            index_3 ("0.0700817, 0.0767912, 0.0810626, 0.0829591, 0.0891635, 0.092977, 0.0980661, 0.102525, 0.106604, 0.110486, 0.114292, 0.118093, 0.124745, 0.13024, 0.135053, 0.138996, 0.144252, 0.151569, 0.159823");
-            values ( \
-              "-0.00423101, -0.108946, -0.141491, -0.165989, -0.286531, -0.341733, -0.403487, -0.447505, -0.479887, -0.499389, -0.498673, -0.439574, -0.22717, -0.104283, -0.0492895, -0.0268065, -0.012209, -0.00497108, -0.00367148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0304879");
-            index_3 ("0.0769402, 0.0820079, 0.0874621, 0.093835, 0.103075, 0.110582, 0.117248, 0.123469, 0.129415, 0.135377, 0.138725, 0.151268, 0.157359, 0.162939, 0.169982, 0.177243, 0.185542, 0.198191, 0.198288");
-            values ( \
-              "-0.205339, -0.256135, -0.347904, -0.51222, -0.676762, -0.784901, -0.86043, -0.909807, -0.932255, -0.901795, -0.843796, -0.432248, -0.272934, -0.17324, -0.0947216, -0.0503695, -0.0239553, -0.0078234, -0.00780482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.088231");
-            index_3 ("0.0707202, 0.115788, 0.122651, 0.135479, 0.147236, 0.158586, 0.169878, 0.182647, 0.188561, 0.217809, 0.233027, 0.2457, 0.255382, 0.265575, 0.273405, 0.289065, 0.293124");
-            values ( \
-              "-0.00722204, -1.06355, -1.16689, -1.31055, -1.38712, -1.41768, -1.39699, -1.28026, -1.17321, -0.523743, -0.311071, -0.196927, -0.137297, -0.0937706, -0.0696841, -0.0378302, -0.0340445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.255338");
-            index_3 ("0.0852656, 0.113886, 0.131769, 0.148639, 0.161082, 0.181166, 0.200954, 0.213963, 0.244571, 0.267691, 0.286391, 0.297082, 0.385525, 0.415748, 0.461241, 0.500779, 0.552243, 0.586849");
-            values ( \
-              "-0.493271, -1.1274, -1.42694, -1.60129, -1.67861, -1.74503, -1.76249, -1.75815, -1.7113, -1.63921, -1.54834, -1.47509, -0.615106, -0.420315, -0.229535, -0.13276, -0.064372, -0.0417383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.73894");
-            index_3 ("0.101659, 0.144147, 0.160769, 0.178484, 0.201396, 0.225116, 0.251231, 0.285677, 0.320538, 0.422196, 0.46426, 0.5272, 0.566865, 0.622534, 0.685474, 0.785461, 0.870429, 0.93751, 1.00045, 1.07027, 1.11783, 1.18077, 1.26649, 1.32943, 1.39237, 1.51825, 1.64412, 1.83294");
-            values ( \
-              "-1.49173, -1.63883, -1.77153, -1.85657, -1.90837, -1.93061, -1.93267, -1.92241, -1.90287, -1.83085, -1.79193, -1.71905, -1.6556, -1.52389, -1.29736, -0.903237, -0.633234, -0.470125, -0.35179, -0.252752, -0.201349, -0.147838, -0.0969267, -0.0711287, -0.0516998, -0.0274314, -0.0144939, -0.00577365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0890452, 0.0943959, 0.0986569, 0.101359, 0.107114, 0.11091, 0.116077, 0.122209, 0.125111, 0.128009, 0.133247, 0.136256, 0.138755, 0.14119, 0.144304, 0.146826, 0.151868, 0.15895, 0.171518");
-            values ( \
-              "-0.00934677, -0.0397087, -0.0540168, -0.0701068, -0.138838, -0.16807, -0.200318, -0.225687, -0.226678, -0.205248, -0.0973403, -0.0537, -0.0316125, -0.0186449, -0.010075, -0.00643688, -0.00315625, -0.00189098, -0.00158672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.010535");
-            index_3 ("0.0893208, 0.0980804, 0.10137, 0.104166, 0.110992, 0.117036, 0.126635, 0.130516, 0.134323, 0.138125, 0.144779, 0.150273, 0.155087, 0.159031, 0.16429, 0.171646, 0.190789");
-            values ( \
-              "-0.00260614, -0.116571, -0.145012, -0.183883, -0.316387, -0.392312, -0.479778, -0.49914, -0.498621, -0.439404, -0.227178, -0.104319, -0.0493284, -0.0268412, -0.0121619, -0.00498742, -0.00360685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0304879");
-            index_3 ("0.0966973, 0.102192, 0.104919, 0.107677, 0.114058, 0.118329, 0.123296, 0.130819, 0.137491, 0.143682, 0.149651, 0.155612, 0.158987, 0.162182, 0.171501, 0.17759, 0.183174, 0.187368, 0.190216, 0.197466, 0.205753, 0.20711");
-            values ( \
-              "-0.1993, -0.258175, -0.297029, -0.349289, -0.507251, -0.591588, -0.675102, -0.784953, -0.860094, -0.91048, -0.931933, -0.902397, -0.843307, -0.754499, -0.43229, -0.273019, -0.173229, -0.121101, -0.0947287, -0.050393, -0.0240213, -0.0222803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.088231");
-            index_3 ("0.101457, 0.126018, 0.136659, 0.142739, 0.155567, 0.167329, 0.178678, 0.189972, 0.201262, 0.20274, 0.208651, 0.226263, 0.237903, 0.246099, 0.253122, 0.258954, 0.265794, 0.275476, 0.28567, 0.2935, 0.30916, 0.337479, 0.371089");
-            values ( \
-              "-0.301503, -0.87976, -1.07582, -1.16694, -1.31007, -1.38726, -1.41738, -1.39714, -1.29965, -1.28026, -1.17329, -0.754026, -0.523738, -0.39766, -0.31107, -0.252651, -0.196928, -0.137298, -0.093771, -0.0696831, -0.0378301, -0.0114118, -0.0026304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.255338");
-            index_3 ("0.102183, 0.130373, 0.141074, 0.151837, 0.163624, 0.181153, 0.195373, 0.207868, 0.229444, 0.243202, 0.260427, 0.284806, 0.293512, 0.304773, 0.317142, 0.34188, 0.370337, 0.389952, 0.403556, 0.424141, 0.446358, 0.47159, 0.488734, 0.514021, 0.524599, 0.545755, 0.588068, 0.651008, 0.713947, 0.776887");
-            values ( \
-              "-0.273024, -1.06079, -1.2657, -1.4238, -1.55982, -1.67654, -1.73322, -1.75225, -1.76314, -1.75052, -1.71936, -1.65209, -1.6161, -1.55761, -1.47566, -1.23447, -0.928383, -0.742472, -0.631394, -0.488429, -0.366485, -0.262135, -0.207352, -0.146409, -0.12611, -0.0940661, -0.0507428, -0.0197287, -0.0073069, -0.00303234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.73894");
-            index_3 ("0.119385, 0.156302, 0.179884, 0.197807, 0.225496, 0.248686, 0.271233, 0.30567, 0.340543, 0.439422, 0.484267, 0.547207, 0.586875, 0.642542, 0.705482, 0.805467, 0.890437, 0.957521, 1.02046, 1.09027, 1.13783, 1.20077, 1.28651, 1.34945, 1.41239, 1.53827, 1.66415, 1.85296");
-            values ( \
-              "-1.37744, -1.54738, -1.76577, -1.8543, -1.91387, -1.93194, -1.93241, -1.92244, -1.90277, -1.83323, -1.79188, -1.71911, -1.65565, -1.52384, -1.2974, -0.903212, -0.633206, -0.470097, -0.351805, -0.252751, -0.201368, -0.147831, -0.0969088, -0.0711356, -0.0516851, -0.0274185, -0.0144821, -0.00578375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.132959, 0.141485, 0.14417, 0.148703, 0.151994, 0.158133, 0.164753, 0.167728, 0.17063, 0.173529, 0.178774, 0.181776, 0.184271, 0.186706, 0.189824, 0.192348, 0.197397, 0.204476, 0.235973");
-            values ( \
-              "-0.00215672, -0.0440382, -0.0535045, -0.0869203, -0.130692, -0.179151, -0.21528, -0.225039, -0.227255, -0.204703, -0.097202, -0.0536975, -0.0316318, -0.0186618, -0.0100706, -0.00643776, -0.00314855, -0.00189467, -0.0011315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.010535");
-            index_3 ("0.138492, 0.139784, 0.142491, 0.147062, 0.150873, 0.154893, 0.158727, 0.163812, 0.168281, 0.172373, 0.176246, 0.180052, 0.183854, 0.190507, 0.196003, 0.19863, 0.200816, 0.204757, 0.210013, 0.217205, 0.230128");
-            values ( \
-              "-0.0917834, -0.0991178, -0.10398, -0.144592, -0.200814, -0.28524, -0.341866, -0.402738, -0.447625, -0.479524, -0.499462, -0.498401, -0.43964, -0.227176, -0.10428, -0.0694388, -0.0492881, -0.0268053, -0.0122202, -0.00504413, -0.00295489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0304879");
-            index_3 ("0.140681, 0.147482, 0.151276, 0.159447, 0.168702, 0.175563, 0.182894, 0.189098, 0.195058, 0.197165, 0.201022, 0.207644, 0.22008, 0.227551, 0.23479, 0.24384, 0.251832, 0.254911");
-            values ( \
-              "-0.0685877, -0.254468, -0.311969, -0.506883, -0.674377, -0.775258, -0.859599, -0.909304, -0.93063, -0.928134, -0.899478, -0.754888, -0.343395, -0.189323, -0.10203, -0.0465153, -0.0228505, -0.019137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.088231");
-            index_3 ("0.14047, 0.163139, 0.169057, 0.181039, 0.188284, 0.201123, 0.212884, 0.224237, 0.235532, 0.246824, 0.248301, 0.254208, 0.271825, 0.283466, 0.291661, 0.298684, 0.311356, 0.321039, 0.331232, 0.339062, 0.354722, 0.383036, 0.416635");
-            values ( \
-              "-0.00541628, -0.688056, -0.826433, -1.05593, -1.16561, -1.30987, -1.3866, -1.41738, -1.39678, -1.29975, -1.28018, -1.17334, -0.75402, -0.523731, -0.397656, -0.311068, -0.196929, -0.137299, -0.0937727, -0.0696853, -0.037832, -0.0114159, -0.00263302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.255338");
-            index_3 ("0.151643, 0.165276, 0.179567, 0.197444, 0.214309, 0.226753, 0.24681, 0.253485, 0.266624, 0.279633, 0.305749, 0.310243, 0.324014, 0.333361, 0.352054, 0.362751, 0.384146, 0.421704, 0.451193, 0.467778, 0.48143, 0.503157, 0.52689, 0.55632, 0.566445, 0.590482, 0.617952, 0.672893, 0.735833, 0.798772");
-            values ( \
-              "-0.557765, -0.792124, -1.12777, -1.42701, -1.60163, -1.67849, -1.74523, -1.75459, -1.76271, -1.75797, -1.72194, -1.71129, -1.67267, -1.63922, -1.54837, -1.4751, -1.27109, -0.870541, -0.615119, -0.500512, -0.42025, -0.315889, -0.229599, -0.152756, -0.132766, -0.0950048, -0.0643354, -0.0284296, -0.0108424, -0.00417851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.73894");
-            index_3 ("0.151167, 0.182229, 0.209698, 0.226818, 0.252976, 0.264371, 0.28716, 0.316749, 0.350811, 0.386054, 0.439886, 0.490782, 0.529775, 0.592715, 0.632377, 0.688047, 0.750987, 0.850978, 0.935944, 1.00302, 1.06596, 1.13581, 1.18336, 1.2463, 1.33197, 1.39491, 1.45785, 1.58373, 1.70961, 1.89843");
-            values ( \
-              "-0.489758, -1.22411, -1.63947, -1.77574, -1.88226, -1.90484, -1.92876, -1.93314, -1.92243, -1.9031, -1.86782, -1.82807, -1.79211, -1.71887, -1.65544, -1.52403, -1.29723, -0.903311, -0.633312, -0.470194, -0.35175, -0.252753, -0.201307, -0.147854, -0.0969664, -0.0711148, -0.0517319, -0.0274588, -0.0145185, -0.00575345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.234399, 0.241276, 0.244574, 0.24848, 0.252867, 0.259462, 0.266173, 0.26917, 0.272089, 0.275004, 0.280302, 0.283271, 0.285758, 0.288204, 0.291354, 0.293908, 0.299017, 0.306099, 0.338843");
-            values ( \
-              "-0.018231, -0.0364683, -0.0474256, -0.0703152, -0.121389, -0.175315, -0.213223, -0.223789, -0.225969, -0.204123, -0.096216, -0.0535488, -0.0316441, -0.0186461, -0.0100206, -0.00638139, -0.00311847, -0.00188719, -0.00109555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.010535");
-            index_3 ("0.236233, 0.247289, 0.251541, 0.25522, 0.257986, 0.26264, 0.269409, 0.273543, 0.277446, 0.281277, 0.285103, 0.29178, 0.295307, 0.299895, 0.302099, 0.306075, 0.311378, 0.318348, 0.339253, 0.380669");
-            values ( \
-              "-0.0455939, -0.127371, -0.181231, -0.260997, -0.306879, -0.369745, -0.442319, -0.474971, -0.496181, -0.495504, -0.438109, -0.2261, -0.139041, -0.0695871, -0.0490397, -0.026505, -0.0122323, -0.00503184, -0.00152837, -0.000280134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0304879");
-            index_3 ("0.238339, 0.246976, 0.251218, 0.260151, 0.2697, 0.277336, 0.284096, 0.290333, 0.296337, 0.302332, 0.308984, 0.322281, 0.32898, 0.33659, 0.34154, 0.348141, 0.354263");
-            values ( \
-              "-0.0411643, -0.213064, -0.27179, -0.484099, -0.661863, -0.773923, -0.853125, -0.90395, -0.927946, -0.898812, -0.754102, -0.321701, -0.188176, -0.0983105, -0.0640136, -0.0355262, -0.0234024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.088231");
-            index_3 ("0.243407, 0.254271, 0.27403, 0.289529, 0.302478, 0.314294, 0.325683, 0.337, 0.34977, 0.355594, 0.373311, 0.384968, 0.400187, 0.412856, 0.42254, 0.432736, 0.440567, 0.45623, 0.46402");
-            values ( \
-              "-0.232081, -0.411685, -0.883985, -1.15239, -1.30218, -1.38113, -1.41424, -1.39443, -1.27925, -1.17476, -0.754025, -0.52359, -0.311017, -0.196934, -0.137304, -0.0937734, -0.0696829, -0.0378291, -0.0305574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.255338");
-            index_3 ("0.253, 0.274506, 0.289335, 0.29798, 0.315145, 0.327547, 0.348042, 0.36701, 0.380551, 0.406689, 0.415528, 0.434292, 0.453572, 0.463689, 0.478923, 0.514219, 0.549455, 0.576179, 0.597798, 0.618293, 0.64562, 0.663204, 0.683044, 0.709498, 0.762405, 0.825344, 0.888284");
-            values ( \
-              "-0.672077, -0.973596, -1.2739, -1.40473, -1.59172, -1.67012, -1.7428, -1.76103, -1.75627, -1.72173, -1.69993, -1.639, -1.54476, -1.47503, -1.33572, -0.954528, -0.635448, -0.455044, -0.34299, -0.260957, -0.17938, -0.140607, -0.106712, -0.0734649, -0.0335589, -0.0128649, -0.00490421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.73894");
-            index_3 ("0.263884, 0.294712, 0.310483, 0.323531, 0.341942, 0.360842, 0.382265, 0.409013, 0.435376, 0.487093, 0.557885, 0.620825, 0.68971, 0.741405, 0.789045, 0.851985, 0.951863, 1.03689, 1.10418, 1.16712, 1.22691, 1.27533, 1.33827, 1.42181, 1.48374, 1.54668, 1.67256, 1.79844, 1.98726");
-            values ( \
-              "-1.33976, -1.41514, -1.62411, -1.73949, -1.83957, -1.89394, -1.92358, -1.93299, -1.92803, -1.90289, -1.85488, -1.80222, -1.72395, -1.63987, -1.52425, -1.29675, -0.90379, -0.633564, -0.469923, -0.351265, -0.264945, -0.209973, -0.154553, -0.102667, -0.0754616, -0.0551454, -0.0293414, -0.0155773, -0.00599287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.431748, 0.439807, 0.44416, 0.4475, 0.451227, 0.454066, 0.460864, 0.467832, 0.473056, 0.481051, 0.484742, 0.488427, 0.494781, 0.498121, 0.501312, 0.503171, 0.506124");
-            values ( \
-              "-0.012606, -0.0199412, -0.026274, -0.0323771, -0.0429898, -0.0546332, -0.0955229, -0.12954, -0.151192, -0.179534, -0.176316, -0.167772, -0.0792092, -0.0444347, -0.024117, -0.0171647, -0.0102976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.010535");
-            index_3 ("0.43415, 0.442547, 0.448694, 0.452785, 0.456878, 0.46571, 0.47432, 0.480752, 0.490599, 0.495158, 0.499853, 0.507342, 0.510517, 0.514232, 0.519816");
-            values ( \
-              "-0.0359287, -0.0544796, -0.0774384, -0.100242, -0.135527, -0.22724, -0.304743, -0.355506, -0.423356, -0.410489, -0.382155, -0.197218, -0.133248, -0.0782801, -0.0337397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0304879");
-            index_3 ("0.430448, 0.436354, 0.444167, 0.452459, 0.457052, 0.464462, 0.479598, 0.489037, 0.497074, 0.504302, 0.511072, 0.517723, 0.524362, 0.53465, 0.538841, 0.54245, 0.545814, 0.550556, 0.555386, 0.559706, 0.566668, 0.576738, 0.580318");
-            values ( \
-              "-0.0494818, -0.0597902, -0.103556, -0.163828, -0.206727, -0.305439, -0.523558, -0.639756, -0.727705, -0.790786, -0.82949, -0.823795, -0.718845, -0.414401, -0.308792, -0.235021, -0.180292, -0.121943, -0.0810698, -0.056168, -0.0310703, -0.0134416, -0.0112244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.088231");
-            index_3 ("0.436686, 0.461441, 0.494736, 0.501959, 0.516512, 0.529371, 0.541433, 0.550264, 0.559152, 0.565372, 0.577692, 0.589242, 0.602109, 0.617367, 0.629974, 0.639712, 0.650021, 0.657948, 0.673802, 0.686096");
-            values ( \
-              "-0.0279159, -0.338239, -0.893024, -1.0023, -1.18184, -1.28863, -1.34366, -1.35022, -1.31651, -1.26349, -1.04205, -0.772488, -0.519769, -0.309432, -0.196723, -0.137096, -0.0933465, -0.0691386, -0.0373275, -0.0260227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.255338");
-            index_3 ("0.437003, 0.525669, 0.543267, 0.555917, 0.570877, 0.584379, 0.597434, 0.623887, 0.634298, 0.651438, 0.66536, 0.680843, 0.711032, 0.736983, 0.768481, 0.79715, 0.816473, 0.833089, 0.85121, 0.87701, 0.903549, 0.923833, 0.964401, 1.02734, 1.09028");
-            values ( \
-              "-0.0241723, -1.44649, -1.59638, -1.66146, -1.71358, -1.73605, -1.74057, -1.71446, -1.6908, -1.63637, -1.57373, -1.47415, -1.17587, -0.899495, -0.622434, -0.434186, -0.337129, -0.270194, -0.211272, -0.147836, -0.102268, -0.0768613, -0.0427573, -0.0164116, -0.00623728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.73894");
-            index_3 ("0.470874, 0.511233, 0.524885, 0.555558, 0.569959, 0.592545, 0.613951, 0.63455, 0.666575, 0.703953, 0.810486, 0.847684, 0.910624, 0.950285, 1.00596, 1.0689, 1.16889, 1.25385, 1.32092, 1.38386, 1.45372, 1.50126, 1.5642, 1.64987, 1.71281, 1.77575, 1.90163, 2.02751, 2.21633");
-            values ( \
-              "-1.01479, -1.3237, -1.51356, -1.76961, -1.83806, -1.8973, -1.92088, -1.92695, -1.92095, -1.90264, -1.82639, -1.79223, -1.71874, -1.65533, -1.52415, -1.29711, -0.903401, -0.6334, -0.470267, -0.351684, -0.252811, -0.201251, -0.147912, -0.0970278, -0.0710616, -0.0517908, -0.0275163, -0.0145751, -0.00569832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.835217, 0.848688, 0.856421, 0.863205, 0.867484, 0.877957, 0.886731, 0.897471, 0.904243, 0.905525, 0.909373, 0.914495, 0.927642, 0.931981, 0.938606");
-            values ( \
-              "-0.0132194, -0.0151654, -0.0212594, -0.0304408, -0.0396688, -0.0730019, -0.0957395, -0.117431, -0.128495, -0.128505, -0.127514, -0.12382, -0.0310735, -0.0162876, -0.00717448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.010535");
-            index_3 ("0.838093, 0.851805, 0.859163, 0.864216, 0.868258, 0.871343, 0.885514, 0.896688, 0.902481, 0.912539, 0.915716, 0.917237, 0.921801, 0.927875, 0.930839, 0.937936, 0.942583, 0.94708, 0.951909");
-            values ( \
-              "-0.0379408, -0.0413666, -0.0558678, -0.0705111, -0.0855867, -0.100969, -0.18322, -0.238766, -0.263835, -0.30233, -0.313741, -0.313756, -0.311032, -0.300967, -0.265193, -0.152116, -0.0918068, -0.053621, -0.029343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0304879");
-            index_3 ("0.839098, 0.854155, 0.855838, 0.859205, 0.860888, 0.862786, 0.866581, 0.868478, 0.871887, 0.875296, 0.878705, 0.882114, 0.884012, 0.88705, 0.890847, 0.893885, 0.902333, 0.907965, 0.911081, 0.91655, 0.91872, 0.921535, 0.928102, 0.935582, 0.938042, 0.940503, 0.94258, 0.944657, 0.946734, 0.948811, 0.950887, 0.952964, 0.95504, 0.957116, 0.957404, 0.957979, 0.959129, 0.961429, 0.968132, 0.970865, 0.973725, 0.976491, 0.978443, 0.980503, 0.983131, 0.985268, 0.986528, 0.987788, 0.989048, 0.991212");
-            values ( \
-              "-0.057625, -0.0882704, -0.0938775, -0.106345, -0.113204, -0.122079, -0.141518, -0.152082, -0.172478, -0.194693, -0.218726, -0.244579, -0.262964, -0.290242, -0.323156, -0.348638, -0.415241, -0.457846, -0.480796, -0.519423, -0.534147, -0.55237, -0.592152, -0.636003, -0.653037, -0.672169, -0.668619, -0.663741, -0.657536, -0.650003, -0.641147, -0.630965, -0.619456, -0.606622, -0.604047, -0.596314, -0.575582, -0.528413, -0.380226, -0.321363, -0.268798, -0.223692, -0.193846, -0.165554, -0.137074, -0.117137, -0.106585, -0.0968281, -0.0878678, -0.0742387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.088231");
-            index_3 ("0.819259, 0.851783, 0.859195, 0.863926, 0.873387, 0.881131, 0.889004, 0.912681, 0.927529, 0.94603, 0.961718, 0.975867, 0.989272, 1.00268, 1.01611, 1.02683, 1.03643, 1.04164, 1.04507, 1.05034, 1.05718, 1.06167, 1.06766, 1.07899, 1.08422, 1.0869, 1.09224, 1.10292, 1.10975");
-            values ( \
-              "-0.0446665, -0.104515, -0.143344, -0.172078, -0.233501, -0.294233, -0.369427, -0.619002, -0.769215, -0.948355, -1.07903, -1.16597, -1.204, -1.16391, -0.983586, -0.767102, -0.584415, -0.498986, -0.447851, -0.377163, -0.299619, -0.256718, -0.207999, -0.137903, -0.114018, -0.103394, -0.0849798, -0.0570055, -0.046692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.255338");
-            index_3 ("0.851585, 0.884624, 0.95898, 0.976337, 0.993694, 1.00687, 1.03522, 1.06286, 1.09182, 1.11784, 1.13784, 1.20476, 1.23974, 1.2748, 1.29896, 1.31982, 1.34795, 1.38546, 1.40656");
-            values ( \
-              "-0.172437, -0.384167, -1.24214, -1.40653, -1.52463, -1.58835, -1.66032, -1.66437, -1.6046, -1.47906, -1.30836, -0.650842, -0.422502, -0.266367, -0.191615, -0.143652, -0.0970637, -0.0566727, -0.0449806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.73894");
-            index_3 ("0.851662, 0.98762, 1.02179, 1.04891, 1.07265, 1.10712, 1.17824, 1.28744, 1.36356, 1.4265, 1.4845, 1.59152, 1.68921, 1.8093, 1.88289, 1.99609, 2.13639, 2.31423");
-            values ( \
-              "-0.10695, -1.59559, -1.7832, -1.85555, -1.88444, -1.89554, -1.86848, -1.79094, -1.6993, -1.57695, -1.39154, -0.965819, -0.64532, -0.375573, -0.26578, -0.153636, -0.0770061, -0.0326561" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.00312883, 0.00312959, 0.00313087, 0.003132, 0.00313254, 0.00313275", \
-            "0.00376641, 0.0037665, 0.0037669, 0.00376759, 0.00376811, 0.00376834", \
-            "0.0041332, 0.00413309, 0.00413293, 0.00413294, 0.00413311, 0.00413324", \
-            "0.00434059, 0.0043405, 0.00434034, 0.00434011, 0.00433996, 0.00433991", \
-            "0.00445303, 0.00445298, 0.00445285, 0.00445265, 0.00445244, 0.00445231", \
-            "0.00451956, 0.00451954, 0.00451949, 0.00451938, 0.0045192, 0.00451906" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.00467168, 0.00467114, 0.00467085, 0.0046712, 0.0046717, 0.00467192", \
-            "0.00506839, 0.00506716, 0.00506527, 0.00506374, 0.0050631, 0.00506294", \
-            "0.00522061, 0.00521946, 0.00521725, 0.00521456, 0.00521272, 0.00521187", \
-            "0.00534422, 0.00534353, 0.0053418, 0.00533882, 0.00533585, 0.00533415", \
-            "0.00558509, 0.00558928, 0.00559207, 0.00559689, 0.00559842, 0.00559831", \
-            "0.0060505, 0.0060458, 0.00605935, 0.00618423, 0.00625575, 0.00629142" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.106099, 0.11626, 0.13791, 0.183984, 0.295562, 0.607311", \
-            "0.109871, 0.119995, 0.141682, 0.187763, 0.299319, 0.611097", \
-            "0.118297, 0.128475, 0.150119, 0.196221, 0.307848, 0.619639", \
-            "0.136297, 0.146465, 0.168094, 0.214139, 0.325952, 0.637749", \
-            "0.161784, 0.172822, 0.195778, 0.243252, 0.355757, 0.667805", \
-            "0.183499, 0.19572, 0.221816, 0.272451, 0.386539, 0.698636" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0267515, 0.0346667, 0.0542734, 0.106461, 0.260914, 0.723967", \
-            "0.0267699, 0.0346874, 0.0542807, 0.106449, 0.260852, 0.723967", \
-            "0.0267498, 0.0346515, 0.0542223, 0.106392, 0.260896, 0.723966", \
-            "0.0274288, 0.0353694, 0.0547889, 0.106791, 0.260978, 0.723969", \
-            "0.0314126, 0.039546, 0.0588715, 0.109859, 0.262104, 0.724033", \
-            "0.0389351, 0.0480825, 0.0677633, 0.116807, 0.26553, 0.724918" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0917296, 0.0992988, 0.116029, 0.150997, 0.232623, 0.456448", \
-            "0.0978792, 0.105468, 0.122222, 0.157243, 0.238893, 0.462672", \
-            "0.112634, 0.120194, 0.136925, 0.171961, 0.253665, 0.477448", \
-            "0.14609, 0.15364, 0.170263, 0.205005, 0.286741, 0.510485", \
-            "0.208301, 0.216981, 0.235465, 0.272405, 0.354929, 0.578752", \
-            "0.300484, 0.311086, 0.333938, 0.377143, 0.463794, 0.688373" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0200765, 0.0259409, 0.0393894, 0.0730764, 0.171493, 0.47046", \
-            "0.0200822, 0.0259433, 0.03948, 0.0731207, 0.171463, 0.470461", \
-            "0.0200756, 0.025934, 0.0394985, 0.073122, 0.171457, 0.470465", \
-            "0.0203328, 0.0261504, 0.0397594, 0.0734209, 0.171564, 0.47047", \
-            "0.0261937, 0.0322161, 0.0455498, 0.0774026, 0.17305, 0.47057", \
-            "0.0364565, 0.0433645, 0.0586745, 0.0900301, 0.179933, 0.472052" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.08281, 0.0876414, 0.0890765, 0.0919466, 0.0979509, 0.104084, 0.109074, 0.113557, 0.117788, 0.121933, 0.126074, 0.128007, 0.130002, 0.132532, 0.135904, 0.139075, 0.140948, 0.144695, 0.149026, 0.151698, 0.155817, 0.162676, 0.166439, 0.172743, 0.181149, 0.197961, 0.221213, 0.222787");
-            values ( \
-              "0.0173114, 0.028178, 0.0334171, 0.0471682, 0.090363, 0.122385, 0.140385, 0.151981, 0.157643, 0.158969, 0.152932, 0.144412, 0.128687, 0.100142, 0.0678501, 0.0501712, 0.0421513, 0.0295308, 0.020112, 0.015991, 0.0111043, 0.00639163, 0.00483494, 0.00330681, 0.00226262, 0.00124072, 0.000798777, 0.000776927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.010535");
-            index_3 ("0.0849518, 0.0952855, 0.102249, 0.110178, 0.116614, 0.120237, 0.126631, 0.130135, 0.133112, 0.138409, 0.140399, 0.15259, 0.158899, 0.166746, 0.171179, 0.177088, 0.185656, 0.192349, 0.205736, 0.224374, 0.230118");
-            values ( \
-              "0.028765, 0.120201, 0.204035, 0.273894, 0.316164, 0.333895, 0.354186, 0.358507, 0.357441, 0.340505, 0.320439, 0.14651, 0.0951144, 0.0545556, 0.0397768, 0.0260509, 0.0146522, 0.00971683, 0.00481108, 0.00255292, 0.00230551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0304879");
-            index_3 ("0.0857073, 0.0966627, 0.110521, 0.122929, 0.132468, 0.141123, 0.149404, 0.157662, 0.165917, 0.18401, 0.191798, 0.197526, 0.201525, 0.211089, 0.218209, 0.225804, 0.232512, 0.239972, 0.249919, 0.269814, 0.297207, 0.302829");
-            values ( \
-              "0.00995068, 0.190705, 0.395307, 0.537593, 0.613148, 0.655046, 0.670749, 0.659365, 0.596868, 0.334583, 0.248273, 0.198087, 0.16816, 0.112176, 0.0825559, 0.0591994, 0.0442137, 0.0321461, 0.0211436, 0.00938567, 0.00365389, 0.00343801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.088231");
-            index_3 ("0.0859105, 0.126102, 0.138962, 0.147243, 0.163954, 0.179759, 0.195513, 0.211898, 0.220784, 0.255166, 0.274055, 0.297916, 0.311825, 0.332002, 0.344067, 0.363453, 0.385967, 0.403847, 0.439608, 0.468355");
-            values ( \
-              "0.029114, 0.696599, 0.842832, 0.908991, 0.993192, 1.01667, 1.00097, 0.934879, 0.871128, 0.556694, 0.409858, 0.26867, 0.207033, 0.140233, 0.110466, 0.0746432, 0.0474075, 0.0329199, 0.0154992, 0.00982505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.255338");
-            index_3 ("0.102527, 0.124619, 0.135538, 0.155384, 0.164329, 0.176255, 0.196455, 0.221874, 0.232508, 0.250422, 0.268932, 0.305708, 0.327203, 0.348515, 0.39095, 0.428556, 0.457348, 0.47743, 0.504205, 0.543147, 0.563447, 0.602174, 0.635348, 0.662844, 0.695145, 0.740805, 0.788348, 0.824771, 0.897619, 0.979548, 1.06148, 1.14341");
-            values ( \
-              "0.549206, 0.731236, 0.889327, 1.09506, 1.15574, 1.21381, 1.26782, 1.284, 1.27938, 1.26306, 1.23777, 1.16938, 1.1162, 1.05175, 0.893236, 0.742423, 0.633425, 0.562862, 0.476738, 0.368692, 0.320759, 0.243448, 0.190558, 0.154948, 0.12089, 0.084466, 0.0580305, 0.0433307, 0.0237498, 0.0119389, 0.00599264, 0.00299293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.73894");
-            index_3 ("0.102394, 0.138644, 0.15642, 0.173655, 0.197257, 0.222413, 0.250088, 0.282091, 0.362158, 0.493248, 0.618813, 0.700742, 0.735148, 0.795549, 1.02074, 1.15629, 1.23822, 1.32699, 1.44, 1.52192, 1.63245, 1.71421, 1.79613, 1.91584, 2.06463, 2.22849, 2.39234, 2.63813, 2.96585");
-            values ( \
-              "0.50086, 0.967255, 1.15937, 1.28135, 1.37593, 1.42187, 1.43671, 1.43302, 1.38997, 1.30027, 1.20196, 1.12191, 1.08187, 1.00436, 0.684634, 0.512664, 0.423359, 0.340519, 0.254665, 0.20484, 0.151636, 0.120766, 0.0960138, 0.0682989, 0.0445483, 0.0277036, 0.0171755, 0.00847915, 0.0032935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0996877, 0.106401, 0.109298, 0.12156, 0.131044, 0.135278, 0.139425, 0.143629, 0.145471, 0.156572, 0.164798, 0.171758, 0.182699, 0.19398, 0.209523");
-            values ( \
-              "0.0165603, 0.0322056, 0.0454631, 0.122527, 0.152193, 0.15725, 0.159191, 0.152548, 0.144545, 0.0501693, 0.0235247, 0.0127545, 0.00532056, 0.00272376, 0.00191859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.010535");
-            index_3 ("0.110385, 0.119975, 0.122523, 0.123796, 0.12507, 0.126497, 0.127924, 0.130779, 0.132206, 0.134368, 0.135837, 0.137515, 0.138587, 0.140128, 0.142181, 0.143208, 0.145229, 0.14556, 0.146223, 0.147549, 0.148878, 0.15021, 0.150875, 0.1522, 0.153524, 0.154849, 0.156173, 0.156574, 0.157375, 0.158176, 0.159651, 0.161802, 0.164669, 0.166212, 0.16724, 0.169297, 0.170325, 0.174361, 0.176706, 0.179051, 0.181395, 0.183801, 0.185958, 0.187396, 0.188834, 0.19171, 0.194586, 0.198619, 0.199963, 0.202993");
-            values ( \
-              "0.192354, 0.203468, 0.228439, 0.239976, 0.250882, 0.262202, 0.273025, 0.293181, 0.302513, 0.315637, 0.323563, 0.331817, 0.336491, 0.342275, 0.348499, 0.351226, 0.355846, 0.356509, 0.357061, 0.357518, 0.35711, 0.35583, 0.354864, 0.352267, 0.348791, 0.344436, 0.339202, 0.336462, 0.328444, 0.319147, 0.298898, 0.265473, 0.217049, 0.194296, 0.181166, 0.157394, 0.146752, 0.11057, 0.0937683, 0.0798945, 0.0678263, 0.0573201, 0.0484432, 0.043437, 0.0389498, 0.0320109, 0.0261903, 0.0200241, 0.0183069, 0.0150206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0304879");
-            index_3 ("0.102583, 0.12644, 0.140562, 0.150108, 0.158766, 0.167048, 0.175306, 0.183562, 0.201655, 0.215173, 0.228735, 0.243442, 0.257621, 0.287484, 0.295348");
-            values ( \
-              "0.00346419, 0.371696, 0.536815, 0.613478, 0.654502, 0.67109, 0.658932, 0.597205, 0.334588, 0.198073, 0.112174, 0.05922, 0.0321424, 0.00937642, 0.0087285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.088231");
-            index_3 ("0.102705, 0.145707, 0.157386, 0.16503, 0.181729, 0.197538, 0.21329, 0.229038, 0.238574, 0.27575, 0.291867, 0.315664, 0.344192, 0.369443, 0.383873, 0.403775, 0.430312, 0.468935");
-            values ( \
-              "0.0174238, 0.721707, 0.848093, 0.910432, 0.992363, 1.01781, 1.00007, 0.939442, 0.870964, 0.532964, 0.409588, 0.268819, 0.156384, 0.0947537, 0.0708508, 0.0473671, 0.0273433, 0.013185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.255338");
-            index_3 ("0.119193, 0.1349, 0.153196, 0.173891, 0.180329, 0.188914, 0.202206, 0.21409, 0.232421, 0.250083, 0.282574, 0.309193, 0.324795, 0.355997, 0.366185, 0.386562, 0.463525, 0.499605, 0.527266, 0.56233, 0.589346, 0.625369, 0.660964, 0.705941, 0.755591, 0.811564, 0.855877, 0.937806, 1.01974, 1.18359");
-            values ( \
-              "0.563966, 0.607523, 0.889714, 1.10192, 1.14608, 1.1927, 1.24157, 1.26713, 1.28248, 1.27876, 1.24425, 1.1984, 1.16566, 1.08429, 1.05143, 0.979167, 0.674986, 0.547309, 0.460262, 0.364765, 0.302631, 0.233552, 0.179408, 0.12739, 0.0862323, 0.0554068, 0.0387917, 0.0195689, 0.00984504, 0.00247508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.73894");
-            index_3 ("0.138111, 0.191349, 0.215171, 0.240139, 0.268018, 0.299604, 0.379897, 0.510988, 0.636553, 0.718482, 0.813289, 1.03848, 1.17403, 1.34473, 1.45774, 1.53966, 1.65019, 1.81387, 1.93358, 2.08237, 2.41008, 2.50087");
-            values ( \
-              "1.22032, 1.27948, 1.37575, 1.42145, 1.43658, 1.43301, 1.38998, 1.30029, 1.20191, 1.12196, 1.00442, 0.684554, 0.512763, 0.340631, 0.254779, 0.204724, 0.15152, 0.0958969, 0.0684172, 0.0446671, 0.0172942, 0.0150593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.139821, 0.145353, 0.147325, 0.14939, 0.152633, 0.154929, 0.161067, 0.166052, 0.170525, 0.174768, 0.178914, 0.183057, 0.184975, 0.186954, 0.189579, 0.19308, 0.196061, 0.197815, 0.200473, 0.204327, 0.205634, 0.20818, 0.211091, 0.216913, 0.222022, 0.225935, 0.232037, 0.240174, 0.256446, 0.280964, 0.282483");
-            values ( \
-              "0.0177847, 0.0306559, 0.0391331, 0.049439, 0.0724718, 0.090661, 0.122423, 0.140645, 0.151851, 0.157562, 0.158901, 0.152893, 0.144464, 0.128983, 0.0994179, 0.0663782, 0.0501638, 0.0426279, 0.0331336, 0.023445, 0.0208097, 0.0166931, 0.0129306, 0.00792506, 0.00538512, 0.00417121, 0.00296873, 0.00208282, 0.00122693, 0.000730755, 0.000713007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.010535");
-            index_3 ("0.142624, 0.147479, 0.151489, 0.159329, 0.167229, 0.173658, 0.179414, 0.184836, 0.19015, 0.195458, 0.197269, 0.20584, 0.21333, 0.222357, 0.232805, 0.241504, 0.249084, 0.257747, 0.275073, 0.287496");
-            values ( \
-              "0.0465687, 0.0752841, 0.111922, 0.204761, 0.274428, 0.31588, 0.343099, 0.356038, 0.358727, 0.340097, 0.322625, 0.191631, 0.114001, 0.0602968, 0.0285288, 0.0157733, 0.00993964, 0.00624806, 0.00306352, 0.00279499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0304879");
-            index_3 ("0.146338, 0.165769, 0.174093, 0.180079, 0.189603, 0.198247, 0.206521, 0.214797, 0.223036, 0.233806, 0.241203, 0.252576, 0.258241, 0.265794, 0.272041, 0.276555, 0.285585, 0.292375, 0.303506, 0.318348, 0.344081, 0.348899");
-            values ( \
-              "0.0865163, 0.371674, 0.476934, 0.538217, 0.614926, 0.655017, 0.671932, 0.658963, 0.597523, 0.433969, 0.33354, 0.215128, 0.170882, 0.124198, 0.0951125, 0.0782077, 0.0525727, 0.0392327, 0.0245, 0.0132991, 0.00502035, 0.0047428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.088231");
-            index_3 ("0.148383, 0.162235, 0.172657, 0.185104, 0.196618, 0.204359, 0.221032, 0.236827, 0.25257, 0.26831, 0.277894, 0.315097, 0.331252, 0.354853, 0.365277, 0.383461, 0.391922, 0.408844, 0.423185, 0.442964, 0.469335, 0.518482, 0.576017");
-            values ( \
-              "0.0902112, 0.368649, 0.547281, 0.726023, 0.849223, 0.912726, 0.993126, 1.01877, 1.00011, 0.939969, 0.870693, 0.532347, 0.408808, 0.269235, 0.221729, 0.156381, 0.132543, 0.0944978, 0.0707927, 0.0474493, 0.0274828, 0.00935028, 0.0026436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.255338");
-            index_3 ("0.155318, 0.192782, 0.206603, 0.215471, 0.233207, 0.253576, 0.27861, 0.28962, 0.307531, 0.326041, 0.362815, 0.384328, 0.405623, 0.448059, 0.485659, 0.514455, 0.53454, 0.56132, 0.600256, 0.620552, 0.659278, 0.692454, 0.719956, 0.752256, 0.797916, 0.845457, 0.881879, 0.954724, 1.03665, 1.11858, 1.20051");
-            values ( \
-              "0.335397, 0.893465, 1.04576, 1.11711, 1.21435, 1.26838, 1.28444, 1.27942, 1.2633, 1.2377, 1.16954, 1.11616, 1.05176, 0.893228, 0.742445, 0.63343, 0.562855, 0.47671, 0.368691, 0.320767, 0.243457, 0.190556, 0.15494, 0.12089, 0.0844611, 0.0580276, 0.0433328, 0.0237482, 0.0119414, 0.00599066, 0.00299511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.73894");
-            index_3 ("0.168104, 0.196006, 0.213383, 0.230925, 0.255, 0.279655, 0.30701, 0.338474, 0.372713, 0.419392, 0.569221, 0.676051, 0.792386, 0.852789, 1.09734, 1.21353, 1.29546, 1.38423, 1.49724, 1.616, 1.68968, 1.77144, 1.85337, 1.97308, 2.12186, 2.28571, 2.44957, 2.77729, 3.02307");
-            values ( \
-              "0.938387, 0.970203, 1.15956, 1.2817, 1.3788, 1.42137, 1.4375, 1.43262, 1.4183, 1.38932, 1.28701, 1.2025, 1.08239, 1.00386, 0.658203, 0.512263, 0.423765, 0.340185, 0.254344, 0.185096, 0.15194, 0.120465, 0.0963104, 0.0680294, 0.0442841, 0.0274437, 0.0169201, 0.0063057, 0.0035325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.230177, 0.237131, 0.240208, 0.242769, 0.243747, 0.245704, 0.246682, 0.249033, 0.251164, 0.253761, 0.25492, 0.256511, 0.259421, 0.261115, 0.261839, 0.262247, 0.263064, 0.263877, 0.26509, 0.265898, 0.266706, 0.267514, 0.268323, 0.269349, 0.269862, 0.270888, 0.271401, 0.27412, 0.275789, 0.276651, 0.277513, 0.278374, 0.279236, 0.280098, 0.280959, 0.281789, 0.283315, 0.284252, 0.286127, 0.28774, 0.288728, 0.290704, 0.292944, 0.294619, 0.296852, 0.297597, 0.300575, 0.303553, 0.307333, 0.311947");
-            values ( \
-              "0.0604888, 0.0633501, 0.0850099, 0.099254, 0.104209, 0.113307, 0.117451, 0.125881, 0.132938, 0.140742, 0.143796, 0.14731, 0.15205, 0.154416, 0.15507, 0.15526, 0.155504, 0.155567, 0.155328, 0.154894, 0.154247, 0.153387, 0.152314, 0.14986, 0.147718, 0.142564, 0.139552, 0.118802, 0.0983128, 0.0892859, 0.0810993, 0.0738309, 0.0673511, 0.0616598, 0.0567571, 0.0529952, 0.0454145, 0.0412449, 0.0348791, 0.0302096, 0.0276691, 0.0232268, 0.0190215, 0.0161179, 0.0131418, 0.0122752, 0.00967023, 0.00760005, 0.00580447, 0.00426569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.010535");
-            index_3 ("0.221981, 0.230253, 0.232241, 0.237214, 0.249028, 0.259565, 0.265499, 0.271024, 0.276419, 0.281806, 0.28332, 0.293579, 0.299354, 0.304979, 0.308988, 0.312961, 0.3174, 0.324298, 0.329005, 0.337811, 0.349553, 0.368957, 0.390035");
-            values ( \
-              "0.024618, 0.0543227, 0.0662846, 0.109576, 0.230473, 0.305794, 0.33451, 0.350565, 0.353708, 0.337571, 0.323388, 0.173412, 0.11668, 0.0788628, 0.0593424, 0.0447868, 0.032631, 0.0200502, 0.0147566, 0.00874125, 0.00486044, 0.00250241, 0.00159052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0304879");
-            index_3 ("0.223643, 0.233485, 0.236583, 0.265511, 0.279874, 0.284017, 0.292387, 0.300701, 0.309014, 0.327267, 0.340451, 0.354707, 0.363325, 0.373173, 0.387431, 0.399258, 0.422913, 0.441032");
-            values ( \
-              "0.0515928, 0.113996, 0.148688, 0.521508, 0.629392, 0.646753, 0.665527, 0.655315, 0.594923, 0.33231, 0.19953, 0.109884, 0.0757611, 0.0491004, 0.026782, 0.0164244, 0.0064824, 0.00554665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.088231");
-            index_3 ("0.233934, 0.24541, 0.261894, 0.270273, 0.277092, 0.290043, 0.306903, 0.322788, 0.338588, 0.354382, 0.358707, 0.36365, 0.373368, 0.392542, 0.406195, 0.416815, 0.430493, 0.441289, 0.455682, 0.466269, 0.474906, 0.486423, 0.50632, 0.515662, 0.529737, 0.548503, 0.586036, 0.64138, 0.709362");
-            values ( \
-              "0.246639, 0.307083, 0.577724, 0.697415, 0.779106, 0.898749, 0.986073, 1.0139, 0.998046, 0.938065, 0.909621, 0.872353, 0.786574, 0.60546, 0.491487, 0.412339, 0.32504, 0.267467, 0.204146, 0.166628, 0.140864, 0.112184, 0.0750328, 0.0621796, 0.0467948, 0.0318875, 0.0144259, 0.00421842, 0.000936446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.255338");
-            index_3 ("0.248928, 0.273762, 0.287586, 0.301409, 0.322495, 0.339707, 0.364568, 0.375751, 0.393704, 0.412239, 0.449027, 0.470444, 0.49183, 0.534263, 0.59732, 0.647489, 0.686455, 0.706776, 0.74551, 0.778672, 0.806146, 0.838452, 0.884117, 0.931675, 0.968113, 1.04099, 1.12292, 1.14895");
-            values ( \
-              "0.730865, 0.808942, 0.983217, 1.10705, 1.22212, 1.2652, 1.28319, 1.27827, 1.26285, 1.23719, 1.16956, 1.11634, 1.05174, 0.89323, 0.645592, 0.476825, 0.368724, 0.320738, 0.243421, 0.190539, 0.154958, 0.120906, 0.0844619, 0.0580194, 0.0433279, 0.0237332, 0.0119395, 0.0105188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.73894");
-            index_3 ("0.261961, 0.316932, 0.340255, 0.36584, 0.393151, 0.424306, 0.458935, 0.50562, 0.655447, 0.762277, 0.844206, 0.878612, 0.939014, 1.16421, 1.29975, 1.38168, 1.47045, 1.58346, 1.66539, 1.77591, 1.85767, 1.9396, 2.0593, 2.20809, 2.37195, 2.5358, 2.78159, 3.10931");
-            values ( \
-              "1.1471, 1.27385, 1.37158, 1.41998, 1.43608, 1.43287, 1.41768, 1.38977, 1.28656, 1.20207, 1.1218, 1.08197, 1.00427, 0.684699, 0.512632, 0.423394, 0.340519, 0.25467, 0.204831, 0.151623, 0.12078, 0.0959977, 0.068325, 0.0445767, 0.0277334, 0.0172067, 0.00844615, 0.00325752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.385878, 0.401815, 0.407095, 0.418508, 0.423966, 0.431987, 0.441839, 0.446682, 0.451525, 0.458186, 0.462025, 0.469154, 0.478167, 0.48983, 0.506932, 0.524482");
-            values ( \
-              "0.00329603, 0.023292, 0.0390483, 0.0928582, 0.110224, 0.127957, 0.137204, 0.133556, 0.116361, 0.059436, 0.0420014, 0.0224446, 0.0107225, 0.00452216, 0.00195726, 0.00148163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.010535");
-            index_3 ("0.384309, 0.396003, 0.404408, 0.409639, 0.427244, 0.434976, 0.441741, 0.447981, 0.454002, 0.460013, 0.462979, 0.471839, 0.479649, 0.489031, 0.498299, 0.505151, 0.509502, 0.517229, 0.527531, 0.554503, 0.563751");
-            values ( \
-              "0.0108374, 0.030186, 0.0602631, 0.0919933, 0.225199, 0.265885, 0.295169, 0.311716, 0.319561, 0.3093, 0.288082, 0.172106, 0.103015, 0.0546598, 0.0289385, 0.0181932, 0.0138607, 0.00889827, 0.00536744, 0.00194327, 0.00178949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0304879");
-            index_3 ("0.386909, 0.401912, 0.406796, 0.413149, 0.433426, 0.441424, 0.452387, 0.462037, 0.471074, 0.479942, 0.488799, 0.504738, 0.518042, 0.528639, 0.533712, 0.543954, 0.550378, 0.558557, 0.569285, 0.581545, 0.599063");
-            values ( \
-              "0.0243421, 0.0788459, 0.111287, 0.167753, 0.384657, 0.458707, 0.543082, 0.593928, 0.620137, 0.619471, 0.571376, 0.35756, 0.21885, 0.142994, 0.115875, 0.0750521, 0.0570592, 0.040095, 0.0258338, 0.0158437, 0.00898665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.088231");
-            index_3 ("0.389264, 0.415077, 0.446019, 0.467798, 0.485766, 0.502351, 0.518656, 0.53542, 0.609301, 0.629111, 0.656434, 0.680059, 0.720485, 0.737688");
-            values ( \
-              "0.0418689, 0.219485, 0.614892, 0.831772, 0.936941, 0.977301, 0.973736, 0.918872, 0.336345, 0.235132, 0.139253, 0.0870637, 0.0384629, 0.0284027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.255338");
-            index_3 ("0.414492, 0.437604, 0.454283, 0.467384, 0.479217, 0.502432, 0.519052, 0.547779, 0.568327, 0.578605, 0.592559, 0.620467, 0.650478, 0.672283, 0.71473, 0.777806, 0.827882, 0.866914, 0.900327, 0.926039, 0.968309, 0.986586, 1.01891, 1.06458, 1.11218, 1.14865, 1.22159, 1.30352, 1.46737");
-            values ( \
-              "0.469218, 0.552577, 0.784666, 0.936563, 1.04403, 1.18368, 1.23451, 1.26486, 1.25684, 1.24817, 1.23152, 1.1854, 1.11641, 1.05114, 0.893206, 0.645589, 0.477108, 0.368749, 0.292652, 0.24332, 0.177929, 0.155018, 0.120918, 0.0844852, 0.0580201, 0.0432991, 0.0237195, 0.0119173, 0.00298437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.73894");
-            index_3 ("0.413811, 0.465735, 0.495555, 0.522794, 0.545695, 0.567839, 0.592325, 0.615782, 0.639368, 0.686227, 0.835942, 0.942772, 1.0247, 1.05911, 1.11951, 1.3447, 1.48025, 1.56218, 1.65095, 1.76396, 1.84588, 1.95641, 2.03816, 2.12009, 2.2398, 2.38858, 2.55244, 2.7163, 2.96209, 3.2898");
-            values ( \
-              "0.350579, 0.95598, 1.22263, 1.34946, 1.39945, 1.42056, 1.42807, 1.4246, 1.41528, 1.38918, 1.28652, 1.20203, 1.12184, 1.08192, 1.00431, 0.68465, 0.512686, 0.423341, 0.340574, 0.254725, 0.204777, 0.15157, 0.120835, 0.0959442, 0.068378, 0.0446291, 0.0277854, 0.0172581, 0.00839545, 0.00320767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.726412, 0.74736, 0.750678, 0.757313, 0.766924, 0.770884, 0.778532, 0.78523, 0.791461, 0.79749, 0.80348, 0.809469, 0.818079, 0.823295, 0.827669, 0.830994, 0.835862, 0.842353, 0.849153, 0.855327, 0.867026, 0.882626, 0.944823, 0.947426");
-            values ( \
-              "0.00675856, 0.0181116, 0.0224294, 0.0361544, 0.0676968, 0.077903, 0.0934814, 0.102276, 0.107797, 0.109957, 0.108972, 0.0982669, 0.0484689, 0.0316612, 0.0222972, 0.0173454, 0.0119477, 0.00730264, 0.00457204, 0.00320328, 0.00193138, 0.0012599, 0.000445499, 0.000435009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.010535");
-            index_3 ("0.724763, 0.741091, 0.747563, 0.753663, 0.778109, 0.787723, 0.796089, 0.803774, 0.811123, 0.818361, 0.825591, 0.834798, 0.842543, 0.848322, 0.854854, 0.859843, 0.867444, 0.872712, 0.882636, 0.895868, 0.930192");
-            values ( \
-              "0.0178866, 0.0285889, 0.0411023, 0.0577865, 0.180219, 0.21472, 0.238383, 0.254008, 0.263273, 0.261419, 0.225614, 0.130762, 0.0821444, 0.0569581, 0.0377369, 0.0273647, 0.0167548, 0.0122502, 0.00719494, 0.00409265, 0.00228232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0304879");
-            index_3 ("0.715926, 0.738855, 0.747752, 0.7534, 0.763017, 0.776684, 0.794308, 0.807753, 0.819323, 0.829859, 0.839984, 0.850085, 0.854235, 0.868635, 0.876924, 0.884807, 0.88994, 0.898786, 0.909499, 0.919188, 0.932101, 0.942563, 0.963486, 0.992462");
-            values ( \
-              "0.00497914, 0.0458327, 0.0728583, 0.0959118, 0.149952, 0.253323, 0.36963, 0.447219, 0.502458, 0.53696, 0.550152, 0.522728, 0.485343, 0.319295, 0.239971, 0.17979, 0.147512, 0.103621, 0.0666414, 0.044357, 0.0262263, 0.0173448, 0.00795414, 0.00340296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.088231");
-            index_3 ("0.717335, 0.751076, 0.758909, 0.768563, 0.824403, 0.844704, 0.862959, 0.880384, 0.897996, 0.903249, 0.917147, 0.947603, 0.973052, 0.99365, 1.01667, 1.03915, 1.05539, 1.07431, 1.09953, 1.14829, 1.2052");
-            values ( \
-              "0.0127102, 0.116728, 0.163006, 0.233832, 0.717244, 0.841687, 0.90243, 0.919923, 0.883925, 0.859004, 0.761823, 0.507006, 0.333989, 0.231277, 0.149585, 0.096387, 0.069666, 0.0477021, 0.0285036, 0.00992488, 0.0029362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.255338");
-            index_3 ("0.718972, 0.76239, 0.788178, 0.827672, 0.854453, 0.880087, 0.915616, 0.923967, 0.935102, 0.955947, 0.993623, 1.01088, 1.05086, 1.14171, 1.19807, 1.22681, 1.26517, 1.29079, 1.33345, 1.38419, 1.42981, 1.4772, 1.51349, 1.58607, 1.6649");
-            values ( \
-              "0.0209209, 0.207129, 0.436596, 0.841042, 1.04918, 1.16672, 1.22891, 1.23063, 1.22846, 1.2126, 1.15617, 1.11786, 0.996937, 0.646044, 0.459466, 0.381221, 0.292913, 0.243849, 0.177892, 0.120799, 0.0844241, 0.0580732, 0.0434195, 0.023849, 0.0124443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.73894");
-            index_3 ("0.762123, 0.810114, 0.850027, 0.875009, 0.899468, 0.921084, 0.949906, 0.987422, 1.03106, 1.08575, 1.17402, 1.29524, 1.37187, 1.42254, 1.50447, 1.70939, 1.83658, 1.91851, 2.01703, 2.13273, 2.21466, 2.30959, 2.45458, 2.56665, 2.70885, 2.87271, 3.03657, 3.28236, 3.61007");
-            values ( \
-              "0.413856, 0.695245, 1.08897, 1.24371, 1.33492, 1.37879, 1.40559, 1.40927, 1.39238, 1.36077, 1.30138, 1.21106, 1.1392, 1.08199, 0.974811, 0.683063, 0.521026, 0.430697, 0.338347, 0.251203, 0.201917, 0.155979, 0.104189, 0.0758834, 0.0505228, 0.0314744, 0.0195525, 0.00954708, 0.00365943" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.00338627, 0.00338668, 0.00338753, 0.0033884, 0.0033889, 0.00338911", \
-            "0.00438762, 0.0043877, 0.00438797, 0.00438856, 0.0043891, 0.00438938", \
-            "0.00503782, 0.00503795, 0.00503816, 0.00503844, 0.00503881, 0.00503908", \
-            "0.00543798, 0.00543788, 0.00543782, 0.00543794, 0.00543815, 0.00543833", \
-            "0.00570202, 0.00570199, 0.00570195, 0.00570184, 0.00570176, 0.00570178", \
-            "0.00586584, 0.00586533, 0.00586597, 0.00586587, 0.00586574, 0.00586538" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.00543718, 0.00543776, 0.00543901, 0.00544087, 0.00544224, 0.00544289", \
-            "0.00576905, 0.00576839, 0.00576785, 0.00576804, 0.00576874, 0.00576926", \
-            "0.00549523, 0.00549528, 0.00549344, 0.00549161, 0.00548993, 0.00548982", \
-            "0.00540774, 0.00540735, 0.0054063, 0.00540427, 0.00540215, 0.00540092", \
-            "0.00570594, 0.00577335, 0.00583948, 0.00587532, 0.00589189, 0.00588793", \
-            "0.00598109, 0.0059788, 0.005962, 0.00600841, 0.00624917, 0.00632518" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0722347, 0.0766311, 0.0813635, 0.08373, 0.0859028, 0.0909247, 0.0946803, 0.100024, 0.103273, 0.106322, 0.109289, 0.112252, 0.117689, 0.120549, 0.122982, 0.125425, 0.128596, 0.131173, 0.136325, 0.143385, 0.161309");
-            values ( \
-              "-0.028893, -0.0343701, -0.0472345, -0.0580866, -0.0746483, -0.132867, -0.161295, -0.19399, -0.209212, -0.220151, -0.222389, -0.201978, -0.0940303, -0.0536536, -0.0321048, -0.0189077, -0.0100705, -0.00635885, -0.00307408, -0.00186713, -0.0014373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.010535");
-            index_3 ("0.0743278, 0.0841569, 0.0873615, 0.0943517, 0.102066, 0.11083, 0.11477, 0.118634, 0.122724, 0.132894, 0.135823, 0.141924, 0.148745, 0.151329");
-            values ( \
-              "-0.0653463, -0.128303, -0.163823, -0.297592, -0.392626, -0.471454, -0.490716, -0.492526, -0.430177, -0.135679, -0.0876374, -0.0339379, -0.0123498, -0.00982092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0304879");
-            index_3 ("0.075161, 0.0838487, 0.090101, 0.0971523, 0.106808, 0.114511, 0.121289, 0.127568, 0.130423, 0.133581, 0.139558, 0.143369, 0.155555, 0.161592, 0.167331, 0.17437, 0.181353, 0.189334, 0.201859, 0.236395");
-            values ( \
-              "-0.0310456, -0.221711, -0.308829, -0.477422, -0.655075, -0.769247, -0.847558, -0.899222, -0.91479, -0.922533, -0.896389, -0.829584, -0.431002, -0.273812, -0.17177, -0.0940072, -0.0512654, -0.0251613, -0.00828359, -0.00121793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.088231");
-            index_3 ("0.0760504, 0.0909502, 0.107359, 0.117469, 0.126388, 0.137393, 0.143372, 0.151263, 0.161597, 0.164858, 0.169206, 0.173964, 0.185826, 0.190522, 0.211057, 0.223481, 0.236906, 0.243854, 0.253119, 0.268956, 0.280057, 0.296963, 0.308612");
-            values ( \
-              "-0.0113218, -0.415448, -0.806501, -1.00477, -1.14302, -1.27821, -1.32812, -1.37477, -1.40793, -1.40932, -1.40435, -1.38943, -1.28959, -1.21346, -0.738943, -0.499226, -0.314842, -0.245713, -0.175115, -0.0967359, -0.0635368, -0.0325321, -0.0233507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.255338");
-            index_3 ("0.0758181, 0.101243, 0.112694, 0.124432, 0.135001, 0.142446, 0.152372, 0.164609, 0.184351, 0.191478, 0.204675, 0.217714, 0.243894, 0.252524, 0.261433, 0.271522, 0.291699, 0.300953, 0.316226, 0.351467, 0.37176, 0.386732, 0.398245, 0.413595, 0.435132, 0.455537, 0.482744, 0.500452, 0.52041, 0.54702, 0.600241, 0.663381, 0.726521");
-            values ( \
-              "-0.0160857, -0.707274, -0.991577, -1.23408, -1.40109, -1.49253, -1.58646, -1.66612, -1.73653, -1.748, -1.75753, -1.75394, -1.71912, -1.69845, -1.67283, -1.63728, -1.53803, -1.47389, -1.3346, -0.954626, -0.75975, -0.635478, -0.55162, -0.454326, -0.342824, -0.261156, -0.179842, -0.14072, -0.106623, -0.0732454, -0.0332776, -0.0127177, -0.0048239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.73894");
-            index_3 ("0.0934306, 0.147875, 0.169468, 0.185723, 0.206696, 0.228333, 0.255327, 0.287082, 0.324704, 0.429761, 0.531637, 0.570784, 0.62677, 0.68991, 0.78018, 0.868436, 0.946294, 1.00609, 1.06286, 1.11198, 1.21324, 1.2614, 1.37943, 1.54582");
-            values ( \
-              "-0.856215, -1.62088, -1.78917, -1.86152, -1.90616, -1.92852, -1.93004, -1.92287, -1.90094, -1.82789, -1.71885, -1.65629, -1.52315, -1.29714, -0.937771, -0.650746, -0.461516, -0.349053, -0.266996, -0.211775, -0.128572, -0.101446, -0.0562401, -0.0263664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0913627, 0.10443, 0.110792, 0.115823, 0.123434, 0.126492, 0.12946, 0.132424, 0.140722, 0.143147, 0.145591, 0.148774, 0.153201");
-            values ( \
-              "-0.0130135, -0.060838, -0.131703, -0.168731, -0.209671, -0.219581, -0.222791, -0.201542, -0.0536452, -0.0321584, -0.0189334, -0.0100596, -0.00606709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.010535");
-            index_3 ("0.0910808, 0.0991083, 0.104218, 0.108105, 0.113705, 0.122135, 0.130895, 0.134836, 0.138699, 0.14279, 0.153439, 0.157343, 0.163542, 0.168853, 0.172673");
-            values ( \
-              "-0.00113286, -0.0935094, -0.12806, -0.173947, -0.285294, -0.392024, -0.471049, -0.49097, -0.492275, -0.430177, -0.126644, -0.069876, -0.0266879, -0.0120565, -0.00831593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0304879");
-            index_3 ("0.0973363, 0.104039, 0.110286, 0.122065, 0.134707, 0.141493, 0.147762, 0.153794, 0.159816, 0.166487, 0.179619, 0.186535, 0.19578, 0.205553, 0.20861");
-            values ( \
-              "-0.15009, -0.220279, -0.307842, -0.569721, -0.769163, -0.847996, -0.899893, -0.923671, -0.895735, -0.752125, -0.325971, -0.187658, -0.0849737, -0.0358796, -0.0296018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.088231");
-            index_3 ("0.100512, 0.113417, 0.130919, 0.146563, 0.159578, 0.171441, 0.182869, 0.194219, 0.20701, 0.212783, 0.242258, 0.257488, 0.270163, 0.279852, 0.290052, 0.297887, 0.313524");
-            values ( \
-              "-0.23147, -0.463119, -0.872099, -1.14523, -1.29643, -1.3761, -1.40989, -1.3907, -1.27675, -1.17428, -0.523251, -0.310855, -0.19684, -0.137237, -0.0937261, -0.0696482, -0.0378759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.255338");
-            index_3 ("0.105962, 0.12147, 0.136826, 0.146224, 0.155215, 0.1721, 0.184853, 0.204622, 0.211715, 0.224906, 0.237943, 0.264118, 0.272833, 0.291748, 0.311584, 0.321176, 0.336438, 0.371699, 0.406959, 0.433793, 0.455348, 0.475773, 0.503006, 0.520687, 0.540619, 0.567195, 0.620347, 0.683487, 0.746627");
-            values ( \
-              "-0.47913, -0.706719, -1.081, -1.26209, -1.40201, -1.58421, -1.66787, -1.73717, -1.74885, -1.75773, -1.75442, -1.71904, -1.69831, -1.63732, -1.54014, -1.4739, -1.33473, -0.954549, -0.635451, -0.454473, -0.342858, -0.261114, -0.179742, -0.140699, -0.106645, -0.0732937, -0.033341, -0.0127388, -0.00483508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.73894");
-            index_3 ("0.105915, 0.143918, 0.167354, 0.187728, 0.205214, 0.225758, 0.247838, 0.274837, 0.306801, 0.344198, 0.44907, 0.487992, 0.551132, 0.590279, 0.646265, 0.709405, 0.809068, 0.89423, 0.961459, 1.0246, 1.08397, 1.13248, 1.19562, 1.24819, 1.3412, 1.40434, 1.53062, 1.6569, 1.84632");
-            values ( \
-              "-0.428954, -1.26926, -1.6184, -1.78329, -1.85983, -1.90672, -1.92723, -1.9312, -1.92164, -1.90203, -1.82708, -1.79149, -1.71794, -1.65549, -1.52391, -1.29641, -0.904047, -0.633379, -0.469924, -0.351145, -0.265426, -0.210055, -0.154652, -0.119409, -0.0753208, -0.0551494, -0.0293256, -0.0155788, -0.00585039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.133104, 0.147045, 0.149413, 0.151584, 0.156604, 0.16036, 0.165703, 0.168952, 0.172001, 0.174968, 0.177931, 0.183365, 0.186227, 0.188662, 0.191104, 0.194274, 0.196848, 0.201997, 0.209048, 0.229439");
-            values ( \
-              "-0.000182717, -0.0468593, -0.0584298, -0.0743977, -0.133012, -0.161411, -0.194087, -0.209139, -0.220232, -0.222328, -0.202043, -0.0940812, -0.0536574, -0.0321011, -0.0189057, -0.0100744, -0.00636168, -0.00307737, -0.0018678, -0.00137791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.010535");
-            index_3 ("0.139221, 0.144686, 0.149812, 0.153217, 0.160085, 0.167232, 0.170649, 0.178179, 0.18044, 0.184302, 0.188395, 0.194893, 0.198402, 0.202914, 0.205152, 0.208374, 0.210882, 0.215896, 0.224003, 0.24468");
-            values ( \
-              "-0.0439178, -0.094985, -0.127655, -0.166267, -0.29822, -0.386807, -0.421098, -0.480648, -0.490983, -0.491847, -0.430188, -0.224807, -0.138915, -0.0702879, -0.0494633, -0.0301591, -0.0208112, -0.0100854, -0.00412549, -0.00298021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0304879");
-            index_3 ("0.139151, 0.155691, 0.162781, 0.17246, 0.180184, 0.186974, 0.193246, 0.19928, 0.205304, 0.211975, 0.225236, 0.232008, 0.239625, 0.244561, 0.251142, 0.257367");
-            values ( \
-              "-0.0261255, -0.30639, -0.474359, -0.653714, -0.768798, -0.847404, -0.899723, -0.923274, -0.895723, -0.752237, -0.322913, -0.187758, -0.0980068, -0.0638654, -0.0356987, -0.0230545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.088231");
-            index_3 ("0.139088, 0.183032, 0.192005, 0.205016, 0.216884, 0.228312, 0.239662, 0.252453, 0.258228, 0.287701, 0.302931, 0.315606, 0.325295, 0.335495, 0.34333, 0.359, 0.363514");
-            values ( \
-              "-0.00471977, -1.00329, -1.14477, -1.29669, -1.37579, -1.41014, -1.39047, -1.27673, -1.17424, -0.523252, -0.310856, -0.196839, -0.137237, -0.0937263, -0.0696488, -0.0378088, -0.033599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.255338");
-            index_3 ("0.149315, 0.182574, 0.200941, 0.216826, 0.230555, 0.250112, 0.257417, 0.27061, 0.283644, 0.309819, 0.318539, 0.337449, 0.357267, 0.366876, 0.382138, 0.4174, 0.45266, 0.479492, 0.501048, 0.521475, 0.54871, 0.566389, 0.586319, 0.612893, 0.666042, 0.70422");
-            values ( \
-              "-0.312325, -1.08404, -1.40365, -1.57591, -1.66854, -1.73648, -1.74917, -1.75756, -1.75463, -1.71887, -1.69832, -1.63734, -1.54025, -1.47391, -1.33473, -0.954541, -0.635446, -0.454481, -0.342858, -0.26111, -0.179734, -0.140696, -0.106646, -0.0732947, -0.0333444, -0.0208852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.73894");
-            index_3 ("0.163875, 0.197244, 0.225839, 0.239236, 0.250756, 0.271898, 0.294732, 0.320394, 0.352409, 0.389758, 0.493668, 0.533552, 0.596692, 0.635841, 0.691826, 0.754966, 0.84882, 0.938057, 1.0214, 1.07512, 1.12031, 1.17213, 1.23527, 1.29661, 1.39681, 1.45995, 1.58623, 1.71251, 1.90193");
-            values ( \
-              "-1.14954, -1.40952, -1.7337, -1.81234, -1.85855, -1.90639, -1.92789, -1.93062, -1.92188, -1.90168, -1.82818, -1.79121, -1.71821, -1.65572, -1.5237, -1.29661, -0.924974, -0.638152, -0.440088, -0.343437, -0.277623, -0.216205, -0.159351, -0.117766, -0.0716337, -0.0525438, -0.0279591, -0.014876, -0.00551228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.235644, 0.238907, 0.241871, 0.247757, 0.251319, 0.259041, 0.263642, 0.270565, 0.273639, 0.276626, 0.279607, 0.28789, 0.291703, 0.295503, 0.299603, 0.303447");
-            values ( \
-              "-0.0180445, -0.0242833, -0.0262936, -0.042086, -0.056083, -0.136921, -0.169546, -0.207588, -0.218333, -0.221406, -0.200942, -0.0538752, -0.0239556, -0.0110648, -0.00542853, -0.00433612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.010535");
-            index_3 ("0.238086, 0.244104, 0.250549, 0.252701, 0.254898, 0.260649, 0.269307, 0.273934, 0.278143, 0.282103, 0.285983, 0.290085, 0.296578, 0.300104, 0.304653, 0.306878, 0.310074, 0.312554, 0.317515, 0.325286, 0.335307, 0.346835");
-            values ( \
-              "-0.0491017, -0.0733476, -0.112914, -0.134913, -0.165121, -0.275196, -0.387255, -0.433065, -0.468171, -0.488903, -0.490461, -0.429034, -0.224815, -0.138669, -0.0700307, -0.0491675, -0.0300833, -0.0210552, -0.0101085, -0.00444701, -0.00216157, -0.00183211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0304879");
-            index_3 ("0.238946, 0.25055, 0.251838, 0.254111, 0.256561, 0.26146, 0.264134, 0.269482, 0.274076, 0.281904, 0.288752, 0.29506, 0.301122, 0.307173, 0.313233, 0.313851, 0.321194, 0.323806, 0.325078, 0.327265, 0.330789, 0.331799, 0.333819, 0.337859, 0.34144, 0.343176, 0.346646, 0.353586, 0.356349");
-            values ( \
-              "-0.0849739, -0.194119, -0.209978, -0.241612, -0.277559, -0.384915, -0.455551, -0.562332, -0.643098, -0.760614, -0.841819, -0.894824, -0.920089, -0.893024, -0.766137, -0.75074, -0.493489, -0.41218, -0.376243, -0.319088, -0.24209, -0.222888, -0.189182, -0.134242, -0.0988905, -0.0848936, -0.0630178, -0.0338084, -0.0286446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.088231");
-            index_3 ("0.243445, 0.255397, 0.273673, 0.284004, 0.293146, 0.304457, 0.309953, 0.318257, 0.324113, 0.329676, 0.341044, 0.353872, 0.359609, 0.377479, 0.389154, 0.404388, 0.417066, 0.426757, 0.436956, 0.444791, 0.46046, 0.470428");
-            values ( \
-              "-0.193549, -0.338431, -0.772448, -0.98037, -1.12645, -1.26896, -1.31643, -1.36913, -1.39232, -1.40323, -1.38801, -1.27502, -1.17413, -0.753161, -0.523086, -0.310785, -0.196803, -0.137216, -0.0937205, -0.0696484, -0.0378151, -0.0285124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.255338");
-            index_3 ("0.252708, 0.267047, 0.283112, 0.294292, 0.301822, 0.316881, 0.331648, 0.351513, 0.358542, 0.37175, 0.384795, 0.410985, 0.41941, 0.43861, 0.459619, 0.46805, 0.483348, 0.518542, 0.553816, 0.580754, 0.602243, 0.622601, 0.649744, 0.667516, 0.687537, 0.714232, 0.767621, 0.830761, 0.893901");
-            values ( \
-              "-0.625724, -0.657589, -1.05375, -1.26977, -1.38828, -1.559, -1.66313, -1.73451, -1.74691, -1.75623, -1.75363, -1.71852, -1.69877, -1.63718, -1.53283, -1.47387, -1.3343, -0.954825, -0.635556, -0.453964, -0.342748, -0.261267, -0.18009, -0.14078, -0.106574, -0.0731275, -0.0331279, -0.0126637, -0.00479993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.73894");
-            index_3 ("0.271446, 0.314065, 0.328004, 0.352036, 0.372657, 0.39537, 0.42172, 0.49109, 0.596895, 0.634884, 0.698024, 0.737171, 0.793158, 0.856298, 0.955962, 1.04112, 1.10835, 1.17149, 1.23086, 1.27938, 1.34252, 1.39508, 1.48808, 1.55122, 1.67749, 1.80377, 1.99319");
-            values ( \
-              "-1.51309, -1.60841, -1.7335, -1.855, -1.90444, -1.92627, -1.93078, -1.9021, -1.82615, -1.79162, -1.7178, -1.65536, -1.52403, -1.2963, -0.904136, -0.633469, -0.470008, -0.351076, -0.265344, -0.210125, -0.154577, -0.119478, -0.0753928, -0.0550878, -0.0292626, -0.0155154, -0.00591457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.431271, 0.449395, 0.452254, 0.457973, 0.465316, 0.474308, 0.483333, 0.487254, 0.49101, 0.494759, 0.501083, 0.504902, 0.508521, 0.510789, 0.513583, 0.515615, 0.519679, 0.527457, 0.557738, 0.609825");
-            values ( \
-              "-0.00294513, -0.0242509, -0.0292556, -0.0428893, -0.0853651, -0.127993, -0.161445, -0.172181, -0.177594, -0.167183, -0.0805418, -0.0415743, -0.0209828, -0.0137777, -0.008601, -0.0063027, -0.00371712, -0.00197166, -0.000604158, -7.52665e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.010535");
-            index_3 ("0.42299, 0.442814, 0.44495, 0.449765, 0.454901, 0.456502, 0.458635, 0.462624, 0.468582, 0.474419, 0.48142, 0.487291, 0.492503, 0.497304, 0.501913, 0.506512, 0.508467, 0.515358, 0.517601, 0.51983, 0.522802, 0.525117, 0.526564, 0.529458, 0.533558");
-            values ( \
-              "-0.0128273, -0.0323784, -0.0380898, -0.0529296, -0.0723687, -0.0799422, -0.0913907, -0.120669, -0.185416, -0.241425, -0.300654, -0.346376, -0.381162, -0.407254, -0.417855, -0.384486, -0.343036, -0.17151, -0.128403, -0.0947058, -0.0616228, -0.0439026, -0.0356007, -0.0234401, -0.0142877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0304879");
-            index_3 ("0.444186, 0.456471, 0.45825, 0.460259, 0.462268, 0.464242, 0.466216, 0.46819, 0.470163, 0.472938, 0.477101, 0.482189, 0.48589, 0.49069, 0.49549, 0.497518, 0.501574, 0.503601, 0.505417, 0.507232, 0.510862, 0.512558, 0.514255, 0.515951, 0.517647, 0.519311, 0.520976, 0.522641, 0.524306, 0.525967, 0.527628, 0.52929, 0.530951, 0.53146, 0.532478, 0.537177, 0.541948, 0.542668, 0.544044, 0.54632, 0.548121, 0.550138, 0.550476, 0.551154, 0.551493, 0.553986, 0.556071, 0.556766, 0.55867, 0.561743");
-            values ( \
-              "-0.143302, -0.14628, -0.159218, -0.17671, -0.195807, -0.216791, -0.239759, -0.264712, -0.291648, -0.33474, -0.394536, -0.465028, -0.51456, -0.576649, -0.6363, -0.660287, -0.706455, -0.728636, -0.747388, -0.76544, -0.799447, -0.807351, -0.812934, -0.816198, -0.817142, -0.815813, -0.812249, -0.806451, -0.798419, -0.784151, -0.766051, -0.744119, -0.718355, -0.707874, -0.679942, -0.53454, -0.393089, -0.373143, -0.339406, -0.288296, -0.252018, -0.215901, -0.202546, -0.195707, -0.191322, -0.156352, -0.132527, -0.125246, -0.107554, -0.0818578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.088231");
-            index_3 ("0.443255, 0.461199, 0.469574, 0.497392, 0.508088, 0.521617, 0.529086, 0.535535, 0.547619, 0.554865, 0.559408, 0.564254, 0.571553, 0.583342, 0.598588, 0.609423, 0.623068, 0.630203, 0.639717, 0.649736, 0.666007, 0.687703, 0.692486");
-            values ( \
-              "-0.0981561, -0.256077, -0.354537, -0.82738, -0.994359, -1.16826, -1.2386, -1.28691, -1.34222, -1.34973, -1.34253, -1.32358, -1.26212, -1.05409, -0.703255, -0.501056, -0.314684, -0.244225, -0.17228, -0.118799, -0.0641644, -0.0269322, -0.0237773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.255338");
-            index_3 ("0.452363, 0.476138, 0.510296, 0.517305, 0.531259, 0.548444, 0.558427, 0.564169, 0.574205, 0.581584, 0.591424, 0.603225, 0.626826, 0.635887, 0.646365, 0.657296, 0.679158, 0.686777, 0.702016, 0.737306, 0.757606, 0.772573, 0.784079, 0.79942, 0.820968, 0.841384, 0.868605, 0.886298, 0.906241, 0.932832, 0.986013, 1.04915, 1.11229");
-            values ( \
-              "-0.259273, -0.514314, -1.14851, -1.26214, -1.44037, -1.58282, -1.64243, -1.66992, -1.70502, -1.72155, -1.73421, -1.73786, -1.71676, -1.6987, -1.6712, -1.63449, -1.52627, -1.47303, -1.33443, -0.954429, -0.759671, -0.635382, -0.551598, -0.454438, -0.342802, -0.2611, -0.179818, -0.14068, -0.106612, -0.0732991, -0.0332905, -0.012755, -0.00480633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.73894");
-            index_3 ("0.469732, 0.498827, 0.527808, 0.546537, 0.559565, 0.58066, 0.598673, 0.619906, 0.640265, 0.671789, 0.70972, 0.816316, 0.853527, 0.916667, 0.955816, 1.0118, 1.07494, 1.1746, 1.25977, 1.327, 1.39014, 1.4495, 1.49802, 1.56116, 1.61373, 1.70674, 1.76988, 1.89616, 2.02244, 2.21186");
-            values ( \
-              "-0.849832, -0.979251, -1.47302, -1.66896, -1.75922, -1.8547, -1.89693, -1.91954, -1.92536, -1.91967, -1.90136, -1.82555, -1.79146, -1.7179, -1.65545, -1.52392, -1.29639, -0.904074, -0.633406, -0.469949, -0.351117, -0.265401, -0.210083, -0.154627, -0.119435, -0.075346, -0.0551227, -0.0292992, -0.0155527, -0.00587611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.84089, 0.855676, 0.864157, 0.87543, 0.885441, 0.895852, 0.90247, 0.908386, 0.913862, 0.919119, 0.924368, 0.926023, 0.933761, 0.938208, 0.942072, 0.945894, 0.948793, 0.954549, 0.962224, 0.974763, 1.03462, 1.10389");
-            values ( \
-              "-0.0117603, -0.0136448, -0.0193485, -0.0342562, -0.0661273, -0.092227, -0.105835, -0.115866, -0.12305, -0.126798, -0.121837, -0.113413, -0.0533969, -0.0287978, -0.0165601, -0.00982287, -0.00705592, -0.00406323, -0.00220783, -0.00106932, -0.000257119, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.010535");
-            index_3 ("0.829876, 0.854085, 0.862661, 0.867887, 0.877696, 0.894486, 0.911937, 0.925459, 0.931652, 0.937832, 0.952205, 0.957491, 0.964779, 0.968295, 0.975326, 0.985876, 1.07919");
-            values ( \
-              "-0.00106457, -0.0302816, -0.0435017, -0.0532256, -0.081944, -0.176834, -0.256762, -0.302006, -0.312016, -0.298699, -0.0970034, -0.0514962, -0.0207446, -0.0134991, -0.0060333, -0.0028509, -0.000398919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0304879");
-            index_3 ("0.809856, 0.849533, 0.854909, 0.86668, 0.875389, 0.880884, 0.887164, 0.909362, 0.922164, 0.932881, 0.942369, 0.951081, 0.95944, 0.967777, 0.96858, 0.98202, 0.988988, 0.992633, 0.997493, 1.00432, 1.00914, 1.01878, 1.01919");
-            values ( \
-              "-0.0108345, -0.0362331, -0.0514899, -0.0904011, -0.12568, -0.154427, -0.197656, -0.38083, -0.476772, -0.549153, -0.607516, -0.649578, -0.667476, -0.60849, -0.597396, -0.313559, -0.19658, -0.150966, -0.104309, -0.0613414, -0.041898, -0.0192599, -0.0188951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.088231");
-            index_3 ("0.849167, 0.871488, 0.88254, 0.892006, 0.95532, 0.971002, 0.985117, 0.998488, 1.01186, 1.02526, 1.05411, 1.07082, 1.08813, 1.11179, 1.12431");
-            values ( \
-              "-0.118895, -0.165833, -0.233675, -0.306442, -0.946995, -1.08136, -1.16882, -1.20717, -1.16599, -0.985237, -0.449549, -0.2567, -0.137893, -0.0575453, -0.0383863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.255338");
-            index_3 ("0.849492, 0.891718, 0.903064, 0.964751, 0.985624, 1.00539, 1.01613, 1.03762, 1.04438, 1.0579, 1.07202, 1.09962, 1.11519, 1.12997, 1.15952, 1.18929, 1.21612, 1.24719, 1.26431, 1.28682, 1.31684, 1.33166, 1.34874, 1.3715, 1.41703, 1.45818");
-            values ( \
-              "-0.0798293, -0.355777, -0.460543, -1.20152, -1.40612, -1.53927, -1.58892, -1.65035, -1.65975, -1.66843, -1.66231, -1.60826, -1.54612, -1.45768, -1.17837, -0.868568, -0.635412, -0.431808, -0.345583, -0.256122, -0.169449, -0.138065, -0.108925, -0.0790715, -0.0407279, -0.0243271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.73894");
-            index_3 ("0.849366, 0.922409, 0.963114, 0.984921, 1.00528, 1.02441, 1.045, 1.0764, 1.09208, 1.11298, 1.15199, 1.20019, 1.25187, 1.29649, 1.33345, 1.37265, 1.43579, 1.49329, 1.60088, 1.69848, 1.75497, 1.81811, 1.89258, 1.94266, 2.0058, 2.10436, 2.1675, 2.23064, 2.35692, 2.43074");
-            values ( \
-              "-0.0185579, -0.710106, -1.25089, -1.49502, -1.6544, -1.75727, -1.82651, -1.88027, -1.89013, -1.89391, -1.88574, -1.85951, -1.82726, -1.7901, -1.75084, -1.69853, -1.57597, -1.39253, -0.964912, -0.644975, -0.502732, -0.376166, -0.265109, -0.208452, -0.153216, -0.094302, -0.0688972, -0.0502421, -0.0266563, -0.019322" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.00319172, 0.00319288, 0.00319473, 0.00319628, 0.00319704, 0.00319735", \
-            "0.00381411, 0.00381452, 0.00381556, 0.00381697, 0.00381794, 0.00381838", \
-            "0.00418025, 0.00418027, 0.00418042, 0.00418096, 0.00418164, 0.00418205", \
-            "0.00438996, 0.00438991, 0.00438985, 0.00438981, 0.00438995, 0.00439016", \
-            "0.00450178, 0.00450173, 0.00450163, 0.0045015, 0.0045014, 0.00450143", \
-            "0.00456646, 0.00456644, 0.0045664, 0.00456633, 0.00456624, 0.0045662" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.00458864, 0.00458782, 0.00459025, 0.00459335, 0.00459529, 0.00459612", \
-            "0.00502694, 0.00502604, 0.00502552, 0.00502638, 0.0050277, 0.0050285", \
-            "0.00524784, 0.0052459, 0.00524255, 0.00523912, 0.00523746, 0.00523703", \
-            "0.00543246, 0.0054306, 0.0054264, 0.00542007, 0.00541458, 0.00541175", \
-            "0.00571826, 0.00571971, 0.00572702, 0.00573173, 0.00573132, 0.00572951", \
-            "0.00602605, 0.00602463, 0.00606767, 0.00620556, 0.00628719, 0.00631954" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0253346, 0.0253671, 0.0256088, 0.0261161, 0.0265063, 0.0266677", \
-            "0.025234, 0.025246, 0.0254641, 0.0259767, 0.0263792, 0.0265423", \
-            "0.0249403, 0.0249533, 0.0252253, 0.025752, 0.0261914, 0.0263877", \
-            "0.0253108, 0.0252471, 0.025263, 0.0254752, 0.0258868, 0.0260908", \
-            "0.0266961, 0.0263812, 0.0262271, 0.026136, 0.0262378, 0.0264366", \
-            "0.0304575, 0.0297553, 0.0291193, 0.0283473, 0.0281299, 0.027807" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0312476, 0.0310897, 0.0315243, 0.0325263, 0.0333224, 0.0336936", \
-            "0.0311334, 0.0309633, 0.0313716, 0.0324002, 0.0332055, 0.033601", \
-            "0.0309686, 0.0307766, 0.0311362, 0.0321324, 0.033046, 0.0334914", \
-            "0.0310212, 0.0307591, 0.0309475, 0.0318802, 0.0330414, 0.0335639", \
-            "0.0328921, 0.0321829, 0.032278, 0.0325622, 0.033373, 0.0341136", \
-            "0.0377812, 0.0363428, 0.0350265, 0.0353111, 0.0356088, 0.0362298" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.0254078, 0.0255426, 0.0257759, 0.0261923, 0.026447, 0.0264833", \
-            "0.0252443, 0.0252703, 0.0256193, 0.0260455, 0.0263133, 0.0263561", \
-            "0.0249697, 0.0250106, 0.0252567, 0.0257414, 0.0260749, 0.0261665", \
-            "0.0251005, 0.025037, 0.0251017, 0.0251432, 0.0256565, 0.0257876", \
-            "0.0259337, 0.0257533, 0.025592, 0.0255614, 0.0258616, 0.0260326", \
-            "0.0290865, 0.028212, 0.0279066, 0.027381, 0.0272419, 0.0271367" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.010535, 0.0304879, 0.088231, 0.255338, 0.73894");
-          values ( \
-            "0.032791, 0.0325446, 0.0326498, 0.0329851, 0.03319, 0.0332538", \
-            "0.0326534, 0.0323989, 0.0324889, 0.0328772, 0.0330913, 0.0331645", \
-            "0.0325063, 0.0322306, 0.0322779, 0.0327065, 0.033004, 0.0331278", \
-            "0.0326431, 0.0323274, 0.0323456, 0.032954, 0.0332622, 0.0334099", \
-            "0.0345383, 0.0341976, 0.0335916, 0.0335477, 0.0338459, 0.0340574", \
-            "0.0395832, 0.0381999, 0.0374553, 0.036582, 0.0363304, 0.0363526" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00555836;
-      rise_capacitance : 0.00555836;
-      rise_capacitance_range (0.00396905, 0.00555836);
-      fall_capacitance : 0.00550258;
-      fall_capacitance_range (0.00334432, 0.00550258);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00336317, 0.00424989, 0.00472083, 0.00494329, 0.00504068, 0.00508498" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00473141, 0.00458335, 0.00416041, 0.00390049, 0.00380208, 0.00375945" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00256217, 0.00295551, 0.00318663, 0.00333527, 0.00341356, 0.00345399" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00378411, 0.00435333, 0.00472867, 0.00490511, 0.0049719, 0.00499636" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00584913, -0.00586158, -0.00585707, -0.00585316, -0.00587304, -0.00585604" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00584913, 0.00586158, 0.00587374, 0.00589307, 0.00587304, 0.00585604" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00567599;
-      rise_capacitance : 0.00567599;
-      rise_capacitance_range (0.00440065, 0.00567599);
-      fall_capacitance : 0.00555001;
-      fall_capacitance_range (0.00385712, 0.00555001);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0034284, 0.00442543, 0.00500714, 0.00529919, 0.00542712, 0.00548253" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0053591, 0.0054723, 0.00506406, 0.00478371, 0.00465812, 0.00460821" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00312422, 0.0036675, 0.00398087, 0.00416633, 0.00426491, 0.0043155" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00436053, 0.00490277, 0.00526644, 0.00544946, 0.00552116, 0.00555416" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00552703, -0.00553298, -0.00551869, -0.00554976, -0.00554169, -0.00553246" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00555084, 0.00553298, 0.00552751, 0.0055636, 0.00554625, 0.00553761" \
-          );
-        }
-      }
-    }
-  }
-  cell (AND2X6) {
-    area : 27.1062;
-    cell_footprint : "AND2";
-    cell_leakage_power : 1.35873;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.581215;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.61903;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.62063;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.61404;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.35873;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 1.02063;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.128281, 0.137552, 0.15929, 0.206718, 0.31906, 0.639023", \
-            "0.132669, 0.141968, 0.163708, 0.211051, 0.323468, 0.643452", \
-            "0.142953, 0.152214, 0.173981, 0.221364, 0.333817, 0.653856", \
-            "0.166738, 0.176009, 0.197658, 0.244875, 0.357282, 0.677276", \
-            "0.208399, 0.218013, 0.240559, 0.288766, 0.401309, 0.721613", \
-            "0.250919, 0.261334, 0.286605, 0.338912, 0.454061, 0.774393" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.0313175, 0.0387422, 0.0572485, 0.105083, 0.250175, 0.718224", \
-            "0.0313101, 0.0387173, 0.0571916, 0.105171, 0.250115, 0.71822", \
-            "0.0313246, 0.0387062, 0.057175, 0.105098, 0.250128, 0.71822", \
-            "0.03133, 0.0387728, 0.0572599, 0.105204, 0.250177, 0.718221", \
-            "0.0358261, 0.0432614, 0.0616177, 0.108313, 0.251356, 0.718297", \
-            "0.0457761, 0.053678, 0.0731113, 0.11847, 0.256973, 0.719566" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.113207, 0.120083, 0.136697, 0.172613, 0.252825, 0.470766", \
-            "0.119425, 0.126295, 0.142919, 0.178859, 0.259079, 0.476986", \
-            "0.134174, 0.141058, 0.157668, 0.193614, 0.273891, 0.491745", \
-            "0.167542, 0.174373, 0.190866, 0.2266, 0.306932, 0.52475", \
-            "0.236741, 0.244085, 0.261511, 0.298009, 0.378734, 0.59673", \
-            "0.341883, 0.350646, 0.371506, 0.414988, 0.501505, 0.721066" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.0232144, 0.0283118, 0.0410432, 0.0714327, 0.159104, 0.442898", \
-            "0.0232072, 0.0283061, 0.0410266, 0.0714161, 0.159097, 0.442897", \
-            "0.0232058, 0.0283184, 0.0410163, 0.0714159, 0.159093, 0.442897", \
-            "0.0232407, 0.0283778, 0.0412229, 0.0716708, 0.159238, 0.442906", \
-            "0.0275676, 0.0326036, 0.0450125, 0.0746985, 0.160324, 0.443187", \
-            "0.0387674, 0.044423, 0.0584333, 0.0888751, 0.169543, 0.445343" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0913874, 0.100927, 0.103129, 0.10782, 0.110388, 0.115186, 0.116556, 0.123978, 0.129797, 0.135037, 0.140017, 0.144921, 0.149823, 0.150043, 0.150924, 0.15445, 0.160423, 0.163991, 0.166813, 0.169666, 0.173691, 0.180317, 0.18504, 0.192922, 0.197419, 0.205229, 0.215642, 0.23647, 0.266202, 0.278952");
-            values ( \
-              "0.0075555, 0.0162328, 0.0192328, 0.0298239, 0.0384977, 0.0606179, 0.071003, 0.105333, 0.119839, 0.130305, 0.132854, 0.134646, 0.128894, 0.129153, 0.12733, 0.114457, 0.0655334, 0.0464863, 0.0360774, 0.0281606, 0.0200791, 0.0117891, 0.00811908, 0.00455698, 0.00347135, 0.0023711, 0.00163719, 0.00100247, 0.000638057, 0.000584643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0112379");
-            index_3 ("0.0914802, 0.104531, 0.105412, 0.107173, 0.110695, 0.113176, 0.118137, 0.120304, 0.124636, 0.12945, 0.136736, 0.14326, 0.149412, 0.155427, 0.161436, 0.1615, 0.161627, 0.162391, 0.163409, 0.165446, 0.168192, 0.173517, 0.177326, 0.178806, 0.179727, 0.180955, 0.183412, 0.188324, 0.190571, 0.191241, 0.192582, 0.195263, 0.200098, 0.207722, 0.211612, 0.213587, 0.217538, 0.225441, 0.241245, 0.265117, 0.266398");
-            values ( \
-              "0.0197635, 0.051398, 0.0546702, 0.0647605, 0.0852467, 0.106332, 0.154801, 0.181946, 0.220455, 0.258437, 0.297155, 0.322935, 0.334425, 0.3391, 0.327348, 0.327325, 0.32698, 0.323256, 0.317353, 0.30024, 0.263971, 0.180151, 0.139686, 0.125204, 0.117674, 0.108122, 0.0911394, 0.0645577, 0.055359, 0.0528395, 0.0481102, 0.0398908, 0.0284047, 0.0168169, 0.0131738, 0.0117346, 0.00938506, 0.0063394, 0.00353058, 0.00220046, 0.00216606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0346921");
-            index_3 ("0.0933626, 0.108181, 0.110219, 0.116561, 0.135143, 0.142224, 0.152809, 0.162273, 0.171196, 0.179951, 0.188698, 0.192394, 0.207357, 0.215901, 0.221943, 0.228389, 0.234985, 0.244775, 0.253618, 0.265588, 0.275311, 0.294757, 0.299594");
-            values ( \
-              "0.0482414, 0.12453, 0.143482, 0.21889, 0.473963, 0.546806, 0.633931, 0.685713, 0.713439, 0.715051, 0.674173, 0.62536, 0.371348, 0.264503, 0.204907, 0.154192, 0.114665, 0.0731163, 0.0485161, 0.0285947, 0.019017, 0.00910525, 0.00828015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.107097");
-            index_3 ("0.0981273, 0.111804, 0.147901, 0.169209, 0.18686, 0.203094, 0.218929, 0.23474, 0.242345, 0.280934, 0.304698, 0.3274, 0.348205, 0.37763, 0.413749, 0.43459");
-            values ( \
-              "0.10086, 0.211502, 0.781444, 1.02919, 1.15766, 1.21468, 1.22472, 1.17722, 1.1233, 0.665404, 0.431433, 0.274573, 0.1773, 0.0933425, 0.0425051, 0.0284659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.330615");
-            index_3 ("0.112321, 0.135839, 0.167491, 0.18199, 0.203891, 0.221929, 0.253073, 0.268321, 0.293749, 0.303945, 0.324337, 0.342651, 0.369576, 0.409434, 0.472114, 0.515433, 0.55274, 0.572502, 0.609259, 0.648709, 0.696309, 0.739607, 0.767662, 0.820089, 0.889993, 0.973199, 1.13961");
-            values ( \
-              "0.348082, 0.664286, 1.17246, 1.33919, 1.51875, 1.60548, 1.67483, 1.67851, 1.6583, 1.64137, 1.6003, 1.54852, 1.44288, 1.23133, 0.870671, 0.65889, 0.506321, 0.438474, 0.331994, 0.243477, 0.165593, 0.115953, 0.0913565, 0.0585977, 0.0321567, 0.0150127, 0.00322581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.02063");
-            index_3 ("0.135992, 0.175061, 0.201924, 0.227691, 0.253825, 0.288512, 0.319248, 0.348861, 0.39593, 0.444593, 0.544627, 0.650464, 0.73367, 0.765691, 0.825513, 1.05047, 1.10215, 1.17105, 1.25425, 1.33111, 1.40617, 1.4723, 1.5555, 1.6361, 1.71931, 1.78362, 1.89948, 2.04797, 2.21439, 2.3808, 2.63041, 2.96324");
-            values ( \
-              "1.32909, 1.33432, 1.61868, 1.78667, 1.88318, 1.94129, 1.95433, 1.94847, 1.92212, 1.88325, 1.79065, 1.6764, 1.56296, 1.51029, 1.40175, 0.950015, 0.853392, 0.733595, 0.603602, 0.49981, 0.4128, 0.347268, 0.277424, 0.22247, 0.176566, 0.147115, 0.105727, 0.0688744, 0.0423961, 0.0260107, 0.0126202, 0.0047836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.111319, 0.1191, 0.124687, 0.130316, 0.14207, 0.14789, 0.153128, 0.158107, 0.16301, 0.16791, 0.170237, 0.17264, 0.180742, 0.18683, 0.191377, 0.196372, 0.200286, 0.208115, 0.218575, 0.22843, 0.246377, 0.307122, 0.318983");
-            values ( \
-              "0.00857128, 0.0164208, 0.0265938, 0.0459334, 0.104198, 0.121049, 0.129221, 0.133956, 0.133627, 0.129906, 0.124185, 0.113875, 0.0523606, 0.0304403, 0.0206893, 0.013855, 0.0101247, 0.00552301, 0.00294975, 0.00191821, 0.0011747, 0.000437659, 0.000404678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0112379");
-            index_3 ("0.110328, 0.115879, 0.121421, 0.129254, 0.143714, 0.147692, 0.154969, 0.161489, 0.167638, 0.17365, 0.17985, 0.183706, 0.191706, 0.201676, 0.206642, 0.213351, 0.218216, 0.225859, 0.235799, 0.24382, 0.259862, 0.290521");
-            values ( \
-              "0.0192773, 0.0263691, 0.046515, 0.0879956, 0.227748, 0.258889, 0.297425, 0.323175, 0.334561, 0.339248, 0.327069, 0.299885, 0.18063, 0.0908543, 0.0641032, 0.0402542, 0.028597, 0.0168984, 0.00936227, 0.006289, 0.00348977, 0.00230836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0346921");
-            index_3 ("0.116188, 0.128922, 0.135178, 0.147181, 0.160234, 0.170794, 0.180251, 0.189166, 0.197915, 0.206656, 0.207399, 0.210369, 0.225294, 0.233853, 0.239917, 0.246385, 0.25292, 0.262688, 0.271544, 0.276242, 0.28358, 0.293363, 0.31293, 0.341591, 0.378458, 0.486406");
-            values ( \
-              "0.0406458, 0.155585, 0.227584, 0.404253, 0.549539, 0.63369, 0.687654, 0.712833, 0.716564, 0.67335, 0.665073, 0.625363, 0.371629, 0.264516, 0.204746, 0.153915, 0.114758, 0.0732453, 0.0485763, 0.039344, 0.0285459, 0.0189347, 0.00903531, 0.0041868, 0.00231514, 0.000464519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.107097");
-            index_3 ("0.116548, 0.13921, 0.161953, 0.172722, 0.186759, 0.204436, 0.220694, 0.236542, 0.252607, 0.259839, 0.267554, 0.291968, 0.302857, 0.315302, 0.32432, 0.339974, 0.35476, 0.36499, 0.372186, 0.386578, 0.398765, 0.411226, 0.427842, 0.461073, 0.511014, 0.572084");
-            values ( \
-              "0.0105, 0.357667, 0.729704, 0.875896, 1.02606, 1.15615, 1.21325, 1.22425, 1.17561, 1.12408, 1.04655, 0.742487, 0.617896, 0.493078, 0.415692, 0.30461, 0.223989, 0.180453, 0.154664, 0.113051, 0.0866064, 0.066021, 0.0459015, 0.0219311, 0.00728681, 0.00222233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.330615");
-            index_3 ("0.140072, 0.169215, 0.18814, 0.20053, 0.22396, 0.240468, 0.273467, 0.283165, 0.296095, 0.312307, 0.34473, 0.372209, 0.388181, 0.420124, 0.485908, 0.52042, 0.559863, 0.589016, 0.636288, 0.660496, 0.701931, 0.749285, 0.77679, 0.819198, 0.875742, 0.958948, 1.12536");
-            values ( \
-              "0.696599, 0.924858, 1.20048, 1.34052, 1.52854, 1.60646, 1.67643, 1.67908, 1.67413, 1.65808, 1.59566, 1.51002, 1.44271, 1.27595, 0.895875, 0.720691, 0.549284, 0.44528, 0.310938, 0.256833, 0.184384, 0.124262, 0.0988323, 0.0691657, 0.0426752, 0.020218, 0.00448995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.02063");
-            index_3 ("0.140041, 0.204022, 0.22055, 0.250359, 0.272424, 0.307177, 0.337854, 0.367424, 0.41449, 0.463156, 0.56319, 0.669027, 0.752232, 0.784253, 0.844075, 1.08626, 1.18961, 1.27281, 1.34967, 1.42473, 1.49086, 1.57407, 1.65466, 1.73787, 1.80218, 1.91804, 2.06653, 2.23294, 2.39936, 2.64897, 2.9818");
-            values ( \
-              "0.616929, 1.46148, 1.62127, 1.80794, 1.88414, 1.94188, 1.95448, 1.9487, 1.92205, 1.8834, 1.79081, 1.67658, 1.56279, 1.51047, 1.40158, 0.917486, 0.73343, 0.60377, 0.499972, 0.41296, 0.34711, 0.277582, 0.222627, 0.176411, 0.147271, 0.105881, 0.0690277, 0.0425484, 0.0261609, 0.0124718, 0.00463685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.151936, 0.162739, 0.167439, 0.169677, 0.183292, 0.189117, 0.194357, 0.199338, 0.204243, 0.210256, 0.213811, 0.219521, 0.226485, 0.233147, 0.239121, 0.243942, 0.251871, 0.256506, 0.264774, 0.275797, 0.327718, 0.339623");
-            values ( \
-              "0.00844058, 0.0197582, 0.030946, 0.037881, 0.10462, 0.12041, 0.129688, 0.133398, 0.134088, 0.127297, 0.114233, 0.0672707, 0.0349739, 0.0198601, 0.0122924, 0.00838019, 0.00466671, 0.00351543, 0.00234698, 0.00159483, 0.00061652, 0.000568456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0112379");
-            index_3 ("0.153329, 0.163584, 0.165017, 0.167882, 0.171388, 0.172586, 0.174982, 0.179673, 0.186184, 0.188801, 0.19287, 0.19605, 0.202588, 0.208733, 0.214747, 0.220756, 0.220947, 0.221713, 0.222734, 0.224776, 0.227511, 0.232776, 0.236627, 0.238118, 0.240313, 0.242821, 0.247839, 0.250567, 0.251821, 0.254327, 0.259223, 0.266885, 0.270842, 0.272876, 0.276945, 0.285083, 0.301359, 0.325114, 0.349474");
-            values ( \
-              "0.0243286, 0.0514965, 0.0575158, 0.0732457, 0.0965225, 0.107252, 0.12925, 0.181531, 0.24012, 0.257295, 0.282903, 0.297479, 0.322748, 0.334777, 0.338847, 0.327681, 0.326993, 0.323272, 0.317345, 0.300154, 0.263975, 0.181041, 0.1398, 0.125276, 0.107834, 0.0905625, 0.0636633, 0.0528001, 0.04837, 0.0406055, 0.0287757, 0.0169788, 0.013238, 0.0117501, 0.00933546, 0.00624338, 0.0034475, 0.00218089, 0.00153056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0346921");
-            index_3 ("0.156423, 0.16537, 0.169441, 0.175606, 0.194184, 0.201557, 0.212112, 0.221559, 0.230472, 0.239219, 0.247958, 0.251684, 0.266583, 0.275153, 0.281234, 0.28772, 0.294209, 0.30396, 0.312826, 0.324913, 0.334744, 0.354406, 0.382357");
-            values ( \
-              "0.0626285, 0.111343, 0.146496, 0.217824, 0.472661, 0.54898, 0.635061, 0.686894, 0.713961, 0.715758, 0.674331, 0.625174, 0.371894, 0.264578, 0.204623, 0.15368, 0.114829, 0.073345, 0.048612, 0.0285014, 0.0188737, 0.00897702, 0.00428916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.107097");
-            index_3 ("0.161867, 0.16845, 0.179016, 0.20704, 0.217057, 0.228157, 0.245803, 0.262043, 0.27788, 0.293938, 0.301273, 0.309097, 0.339821, 0.367827, 0.386458, 0.400536, 0.416248, 0.4354, 0.444756, 0.459511, 0.479185, 0.515949");
-            values ( \
-              "0.130518, 0.186953, 0.334798, 0.783606, 0.914695, 1.02838, 1.15766, 1.21414, 1.2249, 1.17596, 1.12344, 1.0443, 0.666139, 0.398167, 0.273983, 0.204081, 0.145792, 0.0956755, 0.0780474, 0.0566133, 0.0368195, 0.016745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.330615");
-            index_3 ("0.168436, 0.216575, 0.241534, 0.260377, 0.281467, 0.313114, 0.326709, 0.344835, 0.353205, 0.369944, 0.389914, 0.426964, 0.456826, 0.531592, 0.577124, 0.612754, 0.642668, 0.665821, 0.708145, 0.726697, 0.756804, 0.799663, 0.843548, 0.87706, 0.944085, 1.02729, 1.1937");
-            values ( \
-              "0.198518, 1.02662, 1.34195, 1.50017, 1.6068, 1.67572, 1.67896, 1.66739, 1.65828, 1.62982, 1.58451, 1.45355, 1.29981, 0.870923, 0.648492, 0.504771, 0.405167, 0.339808, 0.243771, 0.210109, 0.164448, 0.115119, 0.0797903, 0.0600886, 0.0335105, 0.0159903, 0.00361761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.02063");
-            index_3 ("0.194129, 0.231655, 0.261614, 0.291745, 0.313471, 0.347885, 0.378727, 0.408461, 0.455524, 0.50419, 0.604224, 0.710061, 0.793266, 0.825287, 0.88511, 1.11007, 1.16174, 1.23064, 1.31385, 1.39071, 1.46576, 1.5319, 1.6151, 1.6957, 1.7789, 1.84322, 1.95907, 2.10757, 2.27398, 2.44039, 2.69001, 3.02283");
-            values ( \
-              "1.25526, 1.29755, 1.62225, 1.8103, 1.88459, 1.94176, 1.95462, 1.9487, 1.92215, 1.88332, 1.79069, 1.67644, 1.56292, 1.51033, 1.40171, 0.950057, 0.853434, 0.733553, 0.603643, 0.49985, 0.412841, 0.347227, 0.277464, 0.222509, 0.176525, 0.147155, 0.105767, 0.0689141, 0.0424359, 0.0260505, 0.0125804, 0.00474391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.23932, 0.24911, 0.2538, 0.255918, 0.261671, 0.275326, 0.281154, 0.286399, 0.29138, 0.296286, 0.302284, 0.305788, 0.311958, 0.317932, 0.320658, 0.326736, 0.3324, 0.338873, 0.345553, 0.351673, 0.363086, 0.378303, 0.422779");
-            values ( \
-              "0.00517516, 0.0112022, 0.0168533, 0.0215503, 0.0377056, 0.105075, 0.119873, 0.130129, 0.132938, 0.134489, 0.127317, 0.114595, 0.0642662, 0.0368856, 0.0290702, 0.0175497, 0.0111264, 0.0066903, 0.00422961, 0.00299989, 0.00186466, 0.00122302, 0.000668964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0112379");
-            index_3 ("0.241316, 0.24905, 0.254257, 0.259694, 0.264974, 0.274872, 0.280611, 0.287906, 0.294436, 0.300593, 0.30661, 0.312623, 0.316622, 0.324624, 0.334723, 0.339777, 0.346112, 0.351005, 0.358696, 0.368858, 0.3771, 0.393583, 0.436494");
-            values ( \
-              "0.0159086, 0.0279327, 0.0420578, 0.0702291, 0.110344, 0.212845, 0.257057, 0.297618, 0.321882, 0.334986, 0.33823, 0.327934, 0.30029, 0.181311, 0.0903537, 0.0633522, 0.040848, 0.0289529, 0.0170424, 0.00931414, 0.00620182, 0.00341309, 0.00180631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0346921");
-            index_3 ("0.24896, 0.26108, 0.268038, 0.280045, 0.288521, 0.293191, 0.302532, 0.306263, 0.311237, 0.317235, 0.322162, 0.330921, 0.339678, 0.341386, 0.346497, 0.358381, 0.364812, 0.36969, 0.372887, 0.379282, 0.383059, 0.389949, 0.397824, 0.405255, 0.409348, 0.417535, 0.433907, 0.458599, 0.489268, 0.585327");
-            values ( \
-              "0.0653495, 0.140824, 0.223841, 0.39901, 0.498909, 0.546198, 0.623976, 0.648575, 0.675993, 0.699966, 0.712037, 0.714784, 0.673291, 0.652581, 0.573423, 0.370643, 0.288613, 0.235305, 0.205259, 0.154836, 0.130811, 0.0956201, 0.0663463, 0.0471407, 0.0392232, 0.0273456, 0.0137924, 0.00604985, 0.00326139, 0.000761199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.107097");
-            index_3 ("0.254973, 0.270854, 0.298258, 0.31162, 0.319496, 0.335249, 0.340998, 0.353416, 0.3655, 0.376751, 0.385276, 0.40147, 0.430355, 0.455166, 0.477422, 0.498904, 0.510962, 0.528155, 0.548049, 0.563655, 0.594867, 0.631387");
-            values ( \
-              "0.149002, 0.336877, 0.777934, 0.946049, 1.02573, 1.14331, 1.17226, 1.2124, 1.22384, 1.20816, 1.17408, 1.035, 0.676426, 0.431036, 0.276783, 0.176141, 0.135837, 0.0930701, 0.060364, 0.043013, 0.0215741, 0.01113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.330615");
-            index_3 ("0.272453, 0.302592, 0.31968, 0.333333, 0.356935, 0.37329, 0.406, 0.416574, 0.430673, 0.445135, 0.474059, 0.497331, 0.521, 0.560972, 0.620321, 0.667453, 0.704261, 0.735997, 0.760215, 0.800063, 0.847862, 0.891078, 0.936296, 0.970977, 1.04034, 1.12354, 1.13813");
-            values ( \
-              "0.673658, 0.932695, 1.18301, 1.33934, 1.52901, 1.60598, 1.67605, 1.67887, 1.67272, 1.65796, 1.60391, 1.5371, 1.44334, 1.22957, 0.887831, 0.655343, 0.506326, 0.400755, 0.333387, 0.243825, 0.16566, 0.115516, 0.079166, 0.0591129, 0.0321731, 0.0154278, 0.0143848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.02063");
-            index_3 ("0.286186, 0.33781, 0.353354, 0.383062, 0.405232, 0.440099, 0.47072, 0.500233, 0.547299, 0.595966, 0.696, 0.801837, 0.917063, 0.976886, 1.21907, 1.32242, 1.40562, 1.46997, 1.55754, 1.62367, 1.70688, 1.78747, 1.87068, 1.93499, 2.05085, 2.19934, 2.36576, 2.61537, 2.75331");
-            values ( \
-              "1.15639, 1.473, 1.62034, 1.8079, 1.88368, 1.94236, 1.95414, 1.949, 1.92177, 1.88366, 1.79102, 1.67675, 1.51063, 1.40142, 0.917366, 0.733324, 0.603878, 0.515672, 0.413041, 0.347029, 0.27766, 0.2227, 0.17634, 0.147341, 0.105947, 0.0690913, 0.0426103, 0.0203258, 0.0159753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.407106, 0.428857, 0.440236, 0.445779, 0.451727, 0.464895, 0.471814, 0.477922, 0.483633, 0.489194, 0.494749, 0.500331, 0.506394, 0.514917, 0.52473, 0.528692, 0.536617, 0.542775, 0.554156, 0.580594, 0.596687");
-            values ( \
-              "0.001737, 0.00690146, 0.0159227, 0.0232386, 0.037424, 0.0861417, 0.103058, 0.111912, 0.117407, 0.118609, 0.11666, 0.104114, 0.061626, 0.0288581, 0.0133276, 0.00976404, 0.00545214, 0.00366456, 0.00204952, 0.00116957, 0.000967782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0112379");
-            index_3 ("0.406068, 0.433185, 0.446441, 0.454792, 0.475077, 0.486127, 0.493014, 0.499678, 0.506334, 0.512965, 0.521594, 0.52963, 0.540639, 0.547496, 0.555286, 0.56539, 0.586778, 0.626557");
-            values ( \
-              "0.00446911, 0.0231962, 0.0592341, 0.104164, 0.244323, 0.285687, 0.300381, 0.307807, 0.301897, 0.262391, 0.146465, 0.086036, 0.0409349, 0.02577, 0.0161461, 0.00906873, 0.00392313, 0.00216927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0346921");
-            index_3 ("0.407032, 0.43806, 0.443422, 0.451682, 0.456391, 0.474147, 0.483989, 0.495913, 0.50632, 0.515957, 0.525292, 0.534613, 0.536609, 0.550487, 0.560292, 0.56895, 0.582046, 0.591904, 0.600981, 0.61359, 0.623881, 0.644463, 0.645851");
-            values ( \
-              "0.0114538, 0.0637574, 0.0906954, 0.145609, 0.18776, 0.386078, 0.479066, 0.569145, 0.630324, 0.664082, 0.6765, 0.64517, 0.625499, 0.411527, 0.284334, 0.200266, 0.113047, 0.072394, 0.0478296, 0.027673, 0.0181437, 0.00853936, 0.00832712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.107097");
-            index_3 ("0.437901, 0.457338, 0.488134, 0.511769, 0.522318, 0.530579, 0.547654, 0.55023, 0.555384, 0.563943, 0.568377, 0.577243, 0.586348, 0.59799, 0.616137, 0.628373, 0.637774, 0.651239, 0.662298, 0.670852, 0.684117, 0.695748, 0.707397, 0.724299, 0.742863, 0.757281, 0.786119, 0.83606, 0.896208");
-            values ( \
-              "0.221361, 0.27058, 0.678895, 0.948835, 1.03591, 1.09517, 1.16741, 1.17661, 1.18355, 1.18942, 1.1871, 1.16772, 1.11923, 1.00887, 0.783814, 0.6445, 0.54638, 0.425147, 0.342737, 0.288461, 0.218947, 0.171186, 0.133191, 0.0919671, 0.0614599, 0.0449231, 0.0238591, 0.00786318, 0.00241099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.330615");
-            index_3 ("0.437891, 0.472712, 0.497842, 0.516151, 0.525259, 0.543474, 0.5501, 0.564325, 0.581902, 0.60157, 0.616213, 0.632947, 0.63955, 0.652756, 0.676394, 0.713504, 0.743457, 0.81819, 0.863868, 0.899395, 0.929145, 0.952149, 0.994748, 1.01344, 1.0435, 1.08632, 1.13006, 1.16345, 1.23022, 1.31343, 1.39663, 1.47984");
-            values ( \
-              "0.163829, 0.520022, 0.902722, 1.15163, 1.25749, 1.42422, 1.47208, 1.55001, 1.61643, 1.65349, 1.6608, 1.65422, 1.64768, 1.62943, 1.57983, 1.45241, 1.29877, 0.870809, 0.647847, 0.504618, 0.405562, 0.340525, 0.243767, 0.209884, 0.164324, 0.115081, 0.0798608, 0.060197, 0.033658, 0.0160528, 0.00762821, 0.00362756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.02063");
-            index_3 ("0.43763, 0.530841, 0.545954, 0.560847, 0.588752, 0.599122, 0.619861, 0.655253, 0.694691, 0.741951, 0.790554, 0.89059, 0.939554, 0.996507, 1.07971, 1.11165, 1.17147, 1.39643, 1.4481, 1.517, 1.60021, 1.66455, 1.70208, 1.75212, 1.81825, 1.90146, 1.98205, 2.06526, 2.12958, 2.24543, 2.31072, 2.39393, 2.47713, 2.56034, 2.72675, 2.97637, 3.30919");
-            values ( \
-              "0.0941808, 1.39285, 1.54845, 1.66572, 1.81856, 1.85517, 1.90562, 1.94395, 1.94523, 1.92075, 1.88294, 1.79065, 1.74055, 1.67633, 1.56274, 1.51037, 1.40167, 0.950088, 0.853462, 0.733531, 0.603672, 0.515874, 0.469475, 0.412861, 0.347208, 0.277484, 0.22253, 0.17651, 0.147174, 0.105785, 0.0877744, 0.0689308, 0.054198, 0.0424518, 0.0260658, 0.0125662, 0.00473052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.765974, 0.790371, 0.800134, 0.809976, 0.819429, 0.834712, 0.843749, 0.85161, 0.866027, 0.873119, 0.880177, 0.896434, 0.907286, 0.920457, 0.933957, 0.961279, 0.962945");
-            values ( \
-              "0.00360272, 0.0071467, 0.0108178, 0.0173264, 0.030109, 0.0659063, 0.0791841, 0.0876785, 0.0936379, 0.0918265, 0.0854457, 0.026223, 0.0121119, 0.00478888, 0.00229138, 0.00107167, 0.0010645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0112379");
-            index_3 ("0.765849, 0.792659, 0.798353, 0.808056, 0.811339, 0.817905, 0.825005, 0.837079, 0.846872, 0.851333, 0.860668, 0.869255, 0.877542, 0.885733, 0.893919, 0.905211, 0.911423, 0.916567, 0.926235, 0.933704, 0.940893, 0.950531, 0.957754, 0.972198, 1.03184, 1.07021");
-            values ( \
-              "0.0087979, 0.0214038, 0.026971, 0.0402775, 0.0470942, 0.0645552, 0.0946492, 0.155531, 0.193284, 0.206585, 0.228426, 0.241248, 0.247851, 0.246547, 0.223776, 0.118939, 0.0821548, 0.0598297, 0.0329505, 0.0204599, 0.0131894, 0.00784823, 0.00565937, 0.00347839, 0.00150664, 0.00118516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0346921");
-            index_3 ("0.780539, 0.808131, 0.817675, 0.8637, 0.882716, 0.894351, 0.905387, 0.916315, 0.927231, 0.954077, 0.968074, 0.988366, 1.00008, 1.01571, 1.02517");
-            values ( \
-              "0.0548186, 0.0870891, 0.12448, 0.433368, 0.51842, 0.554471, 0.577053, 0.567282, 0.474984, 0.180745, 0.101002, 0.0416925, 0.0254937, 0.013817, 0.0110175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.107097");
-            index_3 ("0.780777, 0.811981, 0.816499, 0.831713, 0.878536, 0.905024, 0.918467, 0.928751, 0.946753, 0.964452, 0.982134, 1.01971, 1.04124, 1.05526, 1.07034, 1.0869, 1.09797, 1.11988, 1.13255, 1.15788, 1.21578, 1.22611");
-            values ( \
-              "0.0854089, 0.161824, 0.186242, 0.292883, 0.713824, 0.920284, 1.00111, 1.04845, 1.09447, 1.08669, 0.982594, 0.575688, 0.390306, 0.297367, 0.218723, 0.154835, 0.122315, 0.0762907, 0.0580904, 0.0335189, 0.00970922, 0.00894985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.330615");
-            index_3 ("0.767464, 0.824924, 0.835907, 0.848542, 0.865388, 0.901314, 0.926939, 0.948171, 0.982033, 0.987392, 0.998111, 1.01955, 1.02465, 1.03484, 1.05524, 1.06216, 1.076, 1.10203, 1.14244, 1.17743, 1.20536, 1.23196, 1.25788, 1.28072, 1.29446, 1.30793, 1.32332, 1.3541, 1.38169, 1.39211, 1.41295, 1.45463, 1.48197, 1.4913, 1.50997, 1.54731, 1.62198, 1.70518, 1.78839, 1.8716");
-            values ( \
-              "0.0344907, 0.287203, 0.379149, 0.500537, 0.67666, 1.06842, 1.30341, 1.44415, 1.57257, 1.58278, 1.59751, 1.60538, 1.6036, 1.59606, 1.56783, 1.55384, 1.51974, 1.4281, 1.22208, 1.02036, 0.866956, 0.733678, 0.617496, 0.526532, 0.476993, 0.432215, 0.385299, 0.304148, 0.244804, 0.225309, 0.190478, 0.135082, 0.10762, 0.0995776, 0.0851703, 0.0620805, 0.0322569, 0.0153815, 0.00730982, 0.0034739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.02063");
-            index_3 ("0.820942, 0.873693, 0.923628, 0.954136, 0.98113, 1.02323, 1.06396, 1.07922, 1.10973, 1.16278, 1.26025, 1.36037, 1.42623, 1.49731, 1.58051, 1.79945, 1.96007, 2.04328, 2.10574, 2.18201, 2.30605, 2.4204, 2.49503, 2.63683, 2.80324, 2.96965, 3.13606, 3.2895");
-            values ( \
-              "0.58282, 0.811048, 1.38242, 1.63123, 1.77091, 1.88644, 1.91948, 1.92169, 1.91342, 1.8833, 1.80214, 1.70151, 1.61975, 1.51073, 1.35629, 0.917474, 0.642371, 0.524933, 0.448561, 0.367896, 0.263675, 0.19234, 0.15598, 0.104169, 0.0644238, 0.0396742, 0.0243702, 0.0165691" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.00348641, 0.00348662, 0.00348713, 0.00348773, 0.00348808, 0.00348822", \
-            "0.00445349, 0.00445346, 0.00445352, 0.00445383, 0.00445419, 0.00445438", \
-            "0.00500202, 0.00500198, 0.00500191, 0.00500184, 0.00500192, 0.00500204", \
-            "0.00530434, 0.00530432, 0.00530427, 0.00530418, 0.0053041, 0.0053041", \
-            "0.00550619, 0.00550618, 0.00550615, 0.00550609, 0.00550601, 0.00550595", \
-            "0.00564275, 0.00564274, 0.00564271, 0.00564266, 0.00564258, 0.00564251" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.00519536, 0.00519489, 0.00519446, 0.00519478, 0.0051953, 0.00519558", \
-            "0.0051673, 0.00516712, 0.00516657, 0.00516572, 0.0051653, 0.00516521", \
-            "0.00484912, 0.00484911, 0.00484897, 0.00484862, 0.004848, 0.00484758", \
-            "0.00480819, 0.0048081, 0.00480784, 0.00480724, 0.00480633, 0.00480563", \
-            "0.00512158, 0.00512355, 0.00512602, 0.00513057, 0.00513331, 0.00513405", \
-            "0.00582405, 0.00581705, 0.00581263, 0.00604833, 0.00615414, 0.0061944" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0883149, 0.0935576, 0.0941183, 0.097483, 0.0997967, 0.10406, 0.109006, 0.112192, 0.116978, 0.121103, 0.124866, 0.128408, 0.131856, 0.1353, 0.140756, 0.144885, 0.14894, 0.151246, 0.154015, 0.160029, 0.168046, 0.17835, 0.180343");
-            values ( \
-              "-0.0230554, -0.0298542, -0.0289673, -0.0352215, -0.0416345, -0.0599244, -0.102832, -0.124374, -0.149602, -0.167969, -0.180417, -0.189298, -0.191392, -0.178233, -0.0920308, -0.0438148, -0.020081, -0.0131794, -0.00841157, -0.00378873, -0.00184746, -0.00118135, -0.00116159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0112379");
-            index_3 ("0.0864554, 0.103954, 0.116241, 0.12205, 0.127074, 0.131662, 0.135984, 0.140196, 0.144403, 0.152661, 0.156798, 0.161831, 0.165718, 0.168837, 0.175075, 0.183845, 0.201715");
-            values ( \
-              "-0.00732719, -0.137687, -0.317285, -0.380287, -0.424859, -0.457131, -0.478355, -0.48369, -0.444576, -0.195557, -0.107325, -0.0483467, -0.0264055, -0.0165522, -0.00715492, -0.00350988, -0.00290089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0346921");
-            index_3 ("0.095226, 0.101716, 0.108419, 0.119848, 0.126328, 0.134526, 0.141707, 0.148301, 0.154593, 0.16078, 0.166961, 0.177671, 0.183297, 0.190153, 0.193428, 0.198483, 0.205223, 0.216282, 0.225156");
-            values ( \
-              "-0.180867, -0.232988, -0.325768, -0.583237, -0.700159, -0.825004, -0.913624, -0.976373, -1.01327, -1.00806, -0.891198, -0.470401, -0.295624, -0.158066, -0.115791, -0.0712106, -0.0366035, -0.0124878, -0.00729441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.107097");
-            index_3 ("0.101162, 0.111146, 0.118078, 0.131385, 0.141271, 0.147714, 0.161097, 0.173102, 0.184382, 0.195397, 0.206401, 0.209686, 0.21319, 0.219151, 0.229131, 0.235395, 0.241693, 0.25009, 0.253465, 0.259878, 0.265436, 0.276418, 0.282697, 0.295253, 0.311952");
-            values ( \
-              "-0.349929, -0.515343, -0.675205, -1.01263, -1.22039, -1.3392, -1.54247, -1.67143, -1.7449, -1.76048, -1.67624, -1.62148, -1.54233, -1.36116, -1.01923, -0.825223, -0.657093, -0.475042, -0.415351, -0.320015, -0.253878, -0.158998, -0.12127, -0.0695631, -0.0361574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.330615");
-            index_3 ("0.0888666, 0.176738, 0.188736, 0.212731, 0.228361, 0.239801, 0.264393, 0.289004, 0.303684, 0.31611, 0.340963, 0.374258, 0.396559, 0.423908, 0.44191, 0.464737, 0.49865, 0.518409, 0.54099, 0.586153, 0.616287");
-            values ( \
-              "-0.0943135, -2.04059, -2.17479, -2.34953, -2.40385, -2.42397, -2.41583, -2.33384, -2.23726, -2.11515, -1.73636, -1.1806, -0.878969, -0.597195, -0.45838, -0.324967, -0.191997, -0.14104, -0.0985452, -0.0467089, -0.0316902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.02063");
-            index_3 ("0.109621, 0.163079, 0.173857, 0.195412, 0.212742, 0.241756, 0.2622, 0.280881, 0.314082, 0.346823, 0.397406, 0.445721, 0.482027, 0.543946, 0.572827, 0.611335, 0.630782, 0.669678, 0.766596, 0.823208, 0.859455, 0.924136, 0.985976, 1.01294, 1.05628, 1.09996, 1.17932, 1.21606, 1.27798, 1.3399, 1.40181, 1.52565, 1.64949, 1.77333");
-            values ( \
-              "-0.637559, -1.93973, -2.12729, -2.4088, -2.55499, -2.70396, -2.75486, -2.78127, -2.79354, -2.78333, -2.74192, -2.68658, -2.63623, -2.52075, -2.44837, -2.32096, -2.23632, -2.02537, -1.42341, -1.11684, -0.948801, -0.700041, -0.5172, -0.452177, -0.363571, -0.291073, -0.192963, -0.159196, -0.115029, -0.0827298, -0.0596685, -0.0308577, -0.0159464, -0.00825054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.108524, 0.111451, 0.114223, 0.119881, 0.124609, 0.132257, 0.141168, 0.148471, 0.151918, 0.155361, 0.164947, 0.169016, 0.17407, 0.180044, 0.182434");
-            values ( \
-              "-0.0236384, -0.0276136, -0.0271599, -0.0422157, -0.0626462, -0.125428, -0.168683, -0.189811, -0.190992, -0.178627, -0.0438103, -0.0200255, -0.00841837, -0.00380761, -0.00346401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0112379");
-            index_3 ("0.110906, 0.112271, 0.116522, 0.122462, 0.125478, 0.13434, 0.139762, 0.147271, 0.15618, 0.160393, 0.1646, 0.175644, 0.179743, 0.182046, 0.185875, 0.188936, 0.195057, 0.200144");
-            values ( \
-              "-0.0710496, -0.0761844, -0.0821335, -0.121724, -0.152655, -0.294476, -0.355941, -0.425449, -0.47881, -0.483149, -0.44495, -0.13155, -0.0696329, -0.0482096, -0.0265742, -0.0167951, -0.00734655, -0.00513731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0346921");
-            index_3 ("0.113579, 0.121791, 0.128491, 0.143538, 0.150962, 0.161714, 0.168305, 0.174597, 0.180785, 0.186966, 0.203302, 0.210158, 0.218488, 0.225228, 0.234508");
-            values ( \
-              "-0.0673617, -0.236832, -0.327765, -0.653586, -0.774157, -0.913701, -0.976219, -1.01331, -1.00795, -0.891259, -0.295579, -0.158107, -0.0711776, -0.0366379, -0.0162714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.107097");
-            index_3 ("0.115353, 0.14045, 0.147394, 0.159364, 0.167702, 0.181084, 0.193077, 0.204354, 0.215368, 0.22637, 0.229662, 0.233174, 0.249101, 0.255363, 0.261661, 0.273432, 0.279848, 0.285405, 0.296386, 0.302663, 0.315218, 0.33834, 0.365341, 0.399402");
-            values ( \
-              "-0.0285676, -0.741331, -0.922054, -1.18413, -1.33998, -1.5433, -1.67178, -1.74529, -1.76064, -1.67646, -1.62147, -1.54204, -1.01921, -0.825238, -0.657118, -0.415363, -0.319997, -0.253867, -0.158998, -0.121277, -0.0695721, -0.0233258, -0.00669537, -0.00161325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.330615");
-            index_3 ("0.11114, 0.178909, 0.196945, 0.208949, 0.232958, 0.248472, 0.26001, 0.284602, 0.309211, 0.323883, 0.336317, 0.361184, 0.394441, 0.416765, 0.444156, 0.462119, 0.4849, 0.51885, 0.538651, 0.561281, 0.606541, 0.636461");
-            values ( \
-              "-0.00311497, -1.75439, -2.04107, -2.17514, -2.34989, -2.40373, -2.42413, -2.41586, -2.3339, -2.23734, -2.11516, -1.73612, -1.18095, -0.878969, -0.596835, -0.45836, -0.325182, -0.192015, -0.140959, -0.0984101, -0.0465625, -0.0316966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.02063");
-            index_3 ("0.131009, 0.185355, 0.21135, 0.232894, 0.260894, 0.281863, 0.301022, 0.334228, 0.366963, 0.4176, 0.465754, 0.502167, 0.564086, 0.592967, 0.631475, 0.650923, 0.689819, 0.786736, 0.879595, 0.944279, 1.00612, 1.07642, 1.1201, 1.19946, 1.29812, 1.36004, 1.42196, 1.54579, 1.79347");
-            values ( \
-              "-0.711555, -1.98116, -2.36325, -2.55559, -2.70049, -2.754, -2.78133, -2.79355, -2.78336, -2.74184, -2.68669, -2.63626, -2.52072, -2.44834, -2.32098, -2.2363, -2.02537, -1.42341, -0.948803, -0.700035, -0.517196, -0.363582, -0.291071, -0.192957, -0.115023, -0.0827355, -0.0596619, -0.0308511, -0.00824384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.150459, 0.164918, 0.166629, 0.16891, 0.175896, 0.181817, 0.19053, 0.194082, 0.197531, 0.200976, 0.210561, 0.214606, 0.219697, 0.225741, 0.227872");
-            values ( \
-              "-0.00331424, -0.0388541, -0.0454222, -0.0561804, -0.113333, -0.146699, -0.180911, -0.188724, -0.191848, -0.177751, -0.0438108, -0.0201259, -0.00840993, -0.00377835, -0.00326404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0112379");
-            index_3 ("0.156249, 0.16218, 0.167403, 0.169387, 0.172033, 0.179302, 0.187897, 0.192927, 0.197517, 0.201839, 0.206052, 0.21026, 0.212537, 0.218576, 0.220643, 0.222625, 0.225268, 0.22765, 0.229233, 0.231671, 0.234922, 0.241424, 0.250286, 0.255259");
-            values ( \
-              "-0.0680494, -0.0893947, -0.113411, -0.133026, -0.165136, -0.284093, -0.381267, -0.423852, -0.457814, -0.477686, -0.484154, -0.444109, -0.382353, -0.194006, -0.144884, -0.10783, -0.0711682, -0.0486436, -0.0379299, -0.0260229, -0.0160089, -0.00674787, -0.00340932, -0.00305934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0346921");
-            index_3 ("0.161087, 0.16742, 0.174155, 0.188601, 0.198879, 0.207375, 0.213981, 0.220269, 0.226456, 0.232636, 0.248973, 0.255827, 0.264158, 0.270897, 0.276202");
-            values ( \
-              "-0.184997, -0.231422, -0.328671, -0.64183, -0.807076, -0.913538, -0.976467, -1.01328, -1.00808, -0.891237, -0.295498, -0.158198, -0.0711073, -0.0367123, -0.0249654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.107097");
-            index_3 ("0.164099, 0.176795, 0.196954, 0.21327, 0.226653, 0.238646, 0.249923, 0.260937, 0.271939, 0.275235, 0.27875, 0.307229, 0.319001, 0.330975, 0.341954, 0.348231, 0.360784, 0.376414");
-            values ( \
-              "-0.0867017, -0.521612, -1.01291, -1.33961, -1.54362, -1.67152, -1.74552, -1.76044, -1.67662, -1.62141, -1.54186, -0.657132, -0.415372, -0.253864, -0.159003, -0.121283, -0.069584, -0.0383067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.330615");
-            index_3 ("0.165053, 0.189562, 0.205327, 0.224483, 0.242511, 0.254518, 0.278533, 0.293994, 0.305576, 0.330168, 0.354777, 0.369444, 0.381882, 0.406757, 0.43998, 0.46233, 0.489758, 0.507686, 0.530426, 0.564408, 0.584248, 0.606921, 0.652269, 0.688877");
-            values ( \
-              "-0.0504991, -0.921581, -1.3379, -1.75499, -2.04122, -2.17547, -2.34995, -2.40379, -2.42412, -2.41597, -2.33386, -2.23738, -2.11517, -1.73599, -1.18134, -0.878968, -0.596514, -0.458343, -0.325376, -0.192032, -0.140887, -0.0982895, -0.0464313, -0.0282933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.02063");
-            index_3 ("0.188433, 0.220734, 0.246025, 0.278665, 0.30563, 0.32714, 0.346787, 0.379999, 0.412729, 0.463675, 0.510901, 0.547933, 0.609852, 0.638733, 0.677242, 0.696688, 0.735582, 0.832503, 0.925362, 0.990038, 1.05188, 1.1222, 1.16587, 1.24523, 1.34388, 1.4058, 1.46772, 1.59156, 1.61924");
-            values ( \
-              "-1.60104, -1.77324, -2.22372, -2.55622, -2.69643, -2.75338, -2.78107, -2.79382, -2.78312, -2.74178, -2.68768, -2.63608, -2.5209, -2.44848, -2.32085, -2.23642, -2.02527, -1.42332, -0.948727, -0.700113, -0.517144, -0.363597, -0.291026, -0.192923, -0.114992, -0.0827671, -0.0596315, -0.030821, -0.0282943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.254293, 0.259237, 0.266656, 0.271142, 0.279171, 0.283975, 0.291873, 0.295418, 0.298868, 0.302314, 0.311901, 0.315956, 0.321031, 0.327042, 0.3408");
-            values ( \
-              "-0.015646, -0.022347, -0.0408199, -0.0599219, -0.124312, -0.149041, -0.180039, -0.189359, -0.191124, -0.178307, -0.0438074, -0.0200837, -0.00841511, -0.00379179, -0.00182756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0112379");
-            index_3 ("0.25527, 0.269142, 0.272756, 0.281207, 0.286732, 0.294256, 0.303181, 0.3074, 0.311613, 0.319935, 0.323985, 0.329013, 0.333037, 0.33629, 0.342796, 0.351622, 0.379687");
-            values ( \
-              "-0.0298601, -0.11707, -0.154316, -0.290779, -0.354253, -0.424272, -0.47804, -0.482612, -0.444525, -0.193882, -0.107783, -0.0486279, -0.0260153, -0.0160008, -0.00674832, -0.00341785, -0.00248654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0346921");
-            index_3 ("0.255724, 0.267472, 0.274799, 0.287354, 0.301445, 0.308666, 0.315285, 0.321596, 0.327798, 0.333993, 0.344717, 0.350343, 0.357189, 0.365542, 0.372299, 0.383158, 0.403089");
-            values ( \
-              "-0.00428851, -0.208622, -0.30924, -0.587645, -0.819628, -0.909498, -0.972952, -1.01066, -1.00598, -0.889978, -0.469723, -0.295303, -0.158217, -0.0710621, -0.0365787, -0.0126935, -0.00591087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.107097");
-            index_3 ("0.265537, 0.274517, 0.280579, 0.29781, 0.314411, 0.327877, 0.333806, 0.33992, 0.351253, 0.362296, 0.373329, 0.376477, 0.379835, 0.386083, 0.396049, 0.402336, 0.40865, 0.417069, 0.420423, 0.426799, 0.432369, 0.440223, 0.443374, 0.449675, 0.462276, 0.483326");
-            values ( \
-              "-0.276652, -0.4297, -0.555487, -0.997089, -1.32934, -1.53409, -1.60202, -1.66483, -1.73823, -1.75752, -1.67275, -1.6219, -1.54785, -1.36015, -1.01949, -0.824972, -0.65662, -0.474389, -0.415116, -0.320375, -0.254061, -0.181854, -0.158979, -0.121147, -0.0693588, -0.0273454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.330615");
-            index_3 ("0.278296, 0.296677, 0.306033, 0.325431, 0.339267, 0.355618, 0.381923, 0.406817, 0.431425, 0.456022, 0.470545, 0.48312, 0.508271, 0.54027, 0.563564, 0.592325, 0.608996, 0.630217, 0.656389, 0.665378, 0.686625, 0.710906, 0.759469, 0.790166");
-            values ( \
-              "-0.955537, -1.06951, -1.31602, -1.74166, -1.97152, -2.16978, -2.35693, -2.42472, -2.41643, -2.33398, -2.23864, -2.11528, -1.73128, -1.19571, -0.879009, -0.584995, -0.457803, -0.332626, -0.2216, -0.192736, -0.138315, -0.0939832, -0.041839, -0.0281226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.02063");
-            index_3 ("0.278043, 0.331822, 0.359003, 0.379826, 0.408084, 0.428944, 0.447989, 0.481201, 0.513939, 0.564726, 0.612428, 0.649143, 0.711062, 0.739943, 0.778452, 0.797898, 0.836791, 0.933716, 1.02657, 1.09124, 1.15309, 1.22342, 1.26709, 1.34644, 1.44509, 1.507, 1.56892, 1.69276, 1.7199");
-            values ( \
-              "-0.752724, -1.96458, -2.36638, -2.55407, -2.69898, -2.75443, -2.77984, -2.79423, -2.78233, -2.74255, -2.68789, -2.63546, -2.5215, -2.44901, -2.32034, -2.2369, -2.02483, -1.42295, -0.948378, -0.700442, -0.516856, -0.363772, -0.290822, -0.192739, -0.114817, -0.0829475, -0.0594582, -0.0306502, -0.0281736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.461214, 0.47591, 0.479804, 0.484591, 0.487159, 0.501013, 0.507477, 0.516099, 0.5201, 0.524095, 0.531166, 0.534996, 0.538628, 0.54087, 0.54423");
-            values ( \
-              "-0.00872792, -0.0231798, -0.0296665, -0.0416117, -0.050918, -0.117999, -0.141052, -0.165339, -0.162889, -0.156279, -0.0698733, -0.036141, -0.0191167, -0.0131454, -0.00800802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0112379");
-            index_3 ("0.463116, 0.473542, 0.478996, 0.485906, 0.489207, 0.498643, 0.506474, 0.514129, 0.524316, 0.529036, 0.53384, 0.54186, 0.545509, 0.550412, 0.554063");
-            values ( \
-              "-0.0252653, -0.0523724, -0.0701325, -0.106473, -0.131053, -0.234729, -0.307377, -0.367613, -0.433758, -0.425413, -0.403865, -0.198262, -0.121288, -0.0522778, -0.0350769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0346921");
-            index_3 ("0.463519, 0.488903, 0.494919, 0.507601, 0.523449, 0.529269, 0.536532, 0.543334, 0.54672, 0.549107, 0.551363, 0.555302, 0.559774, 0.567751, 0.573301, 0.578959, 0.583196, 0.588486, 0.592721, 0.601191, 0.613748, 0.629299, 0.68045");
-            values ( \
-              "-0.0164197, -0.230834, -0.312519, -0.532035, -0.754831, -0.822989, -0.894579, -0.94181, -0.952166, -0.950911, -0.937485, -0.879302, -0.745141, -0.44744, -0.28625, -0.173647, -0.116951, -0.0712101, -0.0477054, -0.0212909, -0.00729445, -0.00336502, -0.00104527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.107097");
-            index_3 ("0.459846, 0.475175, 0.481566, 0.487157, 0.497938, 0.501308, 0.508049, 0.515496, 0.528333, 0.534499, 0.546831, 0.549179, 0.550762, 0.553929, 0.55749, 0.561243, 0.561929, 0.5633, 0.566043, 0.571528, 0.573702, 0.57805, 0.585034, 0.596322, 0.597608, 0.599078, 0.602016, 0.607894, 0.609232, 0.611908, 0.61726, 0.625435, 0.627301, 0.628375, 0.629808, 0.632672, 0.637264, 0.643206, 0.644526, 0.647168, 0.65245, 0.662128, 0.665493, 0.666647, 0.668955, 0.673571, 0.682803, 0.691235");
-            values ( \
-              "-0.1077, -0.165476, -0.233453, -0.3034, -0.461399, -0.528144, -0.671699, -0.830962, -1.0813, -1.19518, -1.3942, -1.4285, -1.45, -1.49017, -1.53489, -1.57712, -1.58433, -1.59841, -1.62423, -1.66751, -1.68109, -1.70233, -1.71479, -1.64761, -1.6297, -1.60664, -1.54965, -1.39015, -1.34743, -1.25825, -1.07822, -0.824044, -0.772, -0.743079, -0.705737, -0.635273, -0.533817, -0.422706, -0.400999, -0.360541, -0.290276, -0.192632, -0.166956, -0.158977, -0.144055, -0.118161, -0.0790111, -0.0585126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.330615");
-            index_3 ("0.475146, 0.503511, 0.53395, 0.545233, 0.561949, 0.576913, 0.59005, 0.603767, 0.629001, 0.653782, 0.678549, 0.690751, 0.703347, 0.709991, 0.71885, 0.749747, 0.763649, 0.785979, 0.795078, 0.813274, 0.831331, 0.838961, 0.854222, 0.879353, 0.888086, 0.907784, 0.930296, 0.97532, 1.03724, 1.09916");
-            values ( \
-              "-0.166833, -0.672591, -1.36431, -1.60326, -1.89636, -2.09748, -2.22468, -2.31656, -2.40295, -2.40472, -2.32745, -2.252, -2.1383, -2.05826, -1.92902, -1.40073, -1.18063, -0.878798, -0.775066, -0.597613, -0.458357, -0.409092, -0.324645, -0.219863, -0.191956, -0.141167, -0.0987353, -0.0469305, -0.015914, -0.00544926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.02063");
-            index_3 ("0.475444, 0.549892, 0.581807, 0.601554, 0.61187, 0.632502, 0.661432, 0.670352, 0.688193, 0.723874, 0.76146, 0.803138, 0.865057, 0.884653, 0.910782, 0.943169, 1.00509, 1.05147, 1.16198, 1.2239, 1.25163, 1.29553, 1.34571, 1.39446, 1.45228, 1.5142, 1.57144, 1.65474, 1.71666, 1.77857, 1.90241, 2.02625, 2.15009");
-            values ( \
-              "-0.105573, -1.78184, -2.30768, -2.50485, -2.57813, -2.68133, -2.75799, -2.76999, -2.78413, -2.78575, -2.76352, -2.72457, -2.64612, -2.61517, -2.5682, -2.4985, -2.30455, -2.07221, -1.39056, -1.06377, -0.937902, -0.764326, -0.59972, -0.471421, -0.352375, -0.256392, -0.190647, -0.123274, -0.0887233, -0.0639698, -0.0330811, -0.0170875, -0.00883106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.879703, 0.895214, 0.903356, 0.91019, 0.916243, 0.927429, 0.936911, 0.945439, 0.955997, 0.961656, 0.967308, 0.977566, 0.982422, 0.987648, 0.996626");
-            values ( \
-              "-0.0123899, -0.0135037, -0.0184545, -0.0258709, -0.0360411, -0.0676367, -0.0886289, -0.10313, -0.116555, -0.115442, -0.111976, -0.0465429, -0.0244317, -0.0124951, -0.00570381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0112379");
-            index_3 ("0.881893, 0.896788, 0.899197, 0.901607, 0.904017, 0.906426, 0.909343, 0.912261, 0.915178, 0.918095, 0.91999, 0.925536, 0.930809, 0.934018, 0.938319, 0.94073, 0.943659, 0.947694, 0.949711, 0.951728, 0.957139, 0.960612, 0.96562, 0.967222, 0.968823, 0.970425, 0.972027, 0.973626, 0.975225, 0.976824, 0.978423, 0.978632, 0.979346, 0.980299, 0.982203, 0.98475, 0.987625, 0.989156, 0.990353, 0.991728, 0.993808, 0.9952, 0.996129, 0.997058, 0.997986, 0.998915, 0.999758, 1.00144, 1.00229, 1.0046");
-            values ( \
-              "-0.0380756, -0.038616, -0.0426571, -0.047109, -0.0519718, -0.0572455, -0.0647311, -0.073075, -0.0822771, -0.0923374, -0.101845, -0.136354, -0.167944, -0.185552, -0.208359, -0.220673, -0.235021, -0.252832, -0.261226, -0.269278, -0.289012, -0.300947, -0.317153, -0.317323, -0.317142, -0.316609, -0.315724, -0.314489, -0.312904, -0.310969, -0.308682, -0.305591, -0.299271, -0.289132, -0.26316, -0.223331, -0.173478, -0.149669, -0.132706, -0.114423, -0.0888175, -0.0746961, -0.0672359, -0.0603727, -0.0541066, -0.0484375, -0.0440156, -0.0362291, -0.0328644, -0.025253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0346921");
-            index_3 ("0.886451, 0.903494, 0.909673, 0.917695, 0.92834, 0.943684, 0.95961, 0.97026, 0.988486, 0.996882, 1.00541, 1.01912, 1.02485, 1.03056, 1.038");
-            values ( \
-              "-0.0979641, -0.108082, -0.135107, -0.180001, -0.255951, -0.409447, -0.549996, -0.630723, -0.752129, -0.739187, -0.704399, -0.367542, -0.245863, -0.154894, -0.0809942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.107097");
-            index_3 ("0.880974, 0.895133, 0.905143, 0.911415, 0.923959, 0.930694, 0.944166, 0.974795, 0.993418, 1.0092, 1.02335, 1.03659, 1.04963, 1.06263, 1.08321, 1.09148, 1.10094, 1.10538, 1.11425, 1.12326, 1.12819, 1.13805, 1.15777, 1.15819");
-            values ( \
-              "-0.0871595, -0.133294, -0.186057, -0.227305, -0.31862, -0.382661, -0.545477, -0.929015, -1.14212, -1.30386, -1.4208, -1.4893, -1.47482, -1.27915, -0.72829, -0.546387, -0.383307, -0.322903, -0.225551, -0.155783, -0.127066, -0.0835434, -0.0349023, -0.0345154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.330615");
-            index_3 ("0.879543, 0.917806, 0.931764, 0.951299, 1.01201, 1.02664, 1.05379, 1.06372, 1.08443, 1.10438, 1.11061, 1.12306, 1.1367, 1.1629, 1.18113, 1.21701, 1.24593, 1.27666, 1.3106, 1.33598, 1.3508, 1.37365, 1.40336");
-            values ( \
-              "-0.124167, -0.334922, -0.473154, -0.712301, -1.61421, -1.80326, -2.07254, -2.14256, -2.24506, -2.29214, -2.29619, -2.28969, -2.25529, -2.08827, -1.85056, -1.26081, -0.875774, -0.567919, -0.343131, -0.231813, -0.184242, -0.128853, -0.0803033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.02063");
-            index_3 ("0.916591, 0.964395, 1.02917, 1.05244, 1.08216, 1.12557, 1.16098, 1.19302, 1.21572, 1.26028, 1.30183, 1.32898, 1.38328, 1.43502, 1.47773, 1.53965, 1.62747, 1.71097, 1.77966, 1.83624, 1.88651, 1.93358, 1.9955, 2.04538, 2.13522, 2.19714, 2.32098, 2.44481, 2.63057");
-            values ( \
-              "-0.563093, -0.975114, -2.00589, -2.27368, -2.50834, -2.68572, -2.73858, -2.74652, -2.74007, -2.71305, -2.66997, -2.63318, -2.53704, -2.40308, -2.23677, -1.88369, -1.34451, -0.929562, -0.672366, -0.509486, -0.396298, -0.311683, -0.226511, -0.1747, -0.108955, -0.0786482, -0.0407182, -0.0210499, -0.00765779" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.00314315, 0.00314391, 0.00314545, 0.00314693, 0.00314765, 0.00314793", \
-            "0.00378708, 0.00378715, 0.00378758, 0.00378847, 0.00378918, 0.0037895", \
-            "0.00415438, 0.00415428, 0.00415411, 0.00415413, 0.0041544, 0.00415461", \
-            "0.00435828, 0.00435819, 0.00435802, 0.00435774, 0.00435755, 0.00435752", \
-            "0.00446766, 0.00446761, 0.00446747, 0.00446721, 0.00446693, 0.00446677", \
-            "0.00453214, 0.00453211, 0.00453205, 0.00453191, 0.00453168, 0.00453147" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.00469503, 0.00469443, 0.00469385, 0.00469423, 0.00469486, 0.00469514", \
-            "0.00508194, 0.00508078, 0.00507867, 0.00507676, 0.00507596, 0.0050758", \
-            "0.00521448, 0.00521345, 0.00521117, 0.00520799, 0.00520568, 0.00520463", \
-            "0.00530379, 0.00530328, 0.00530182, 0.0052988, 0.00529535, 0.0052933", \
-            "0.00550161, 0.0055018, 0.0055019, 0.00550154, 0.00549891, 0.00549637", \
-            "0.00578301, 0.00580681, 0.00584648, 0.00588155, 0.00590098, 0.00591054" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.132174, 0.141457, 0.163183, 0.210556, 0.322873, 0.642797", \
-            "0.136033, 0.14532, 0.167055, 0.214352, 0.326756, 0.646695", \
-            "0.144624, 0.153912, 0.175633, 0.223051, 0.335441, 0.655396", \
-            "0.163752, 0.173016, 0.194717, 0.241992, 0.354335, 0.67425", \
-            "0.196621, 0.206214, 0.228679, 0.277018, 0.389859, 0.710099", \
-            "0.232741, 0.243025, 0.267644, 0.319162, 0.434689, 0.754777" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.0313022, 0.0387261, 0.0572629, 0.10517, 0.250161, 0.718223", \
-            "0.0312988, 0.0387203, 0.0572394, 0.105142, 0.250084, 0.718223", \
-            "0.0313276, 0.0387176, 0.0571502, 0.105097, 0.250088, 0.718223", \
-            "0.0313412, 0.0387584, 0.0573153, 0.105221, 0.25013, 0.718221", \
-            "0.0344411, 0.0420113, 0.0605489, 0.107944, 0.25111, 0.718306", \
-            "0.0421321, 0.0500972, 0.0695914, 0.116202, 0.256089, 0.719397" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.117076, 0.123985, 0.140632, 0.176455, 0.256508, 0.474298", \
-            "0.123263, 0.130213, 0.146841, 0.182701, 0.262797, 0.480508", \
-            "0.137951, 0.144884, 0.161548, 0.197396, 0.2775, 0.495327", \
-            "0.171501, 0.178422, 0.194957, 0.230659, 0.310859, 0.528627", \
-            "0.242025, 0.249406, 0.266806, 0.303373, 0.383929, 0.601754", \
-            "0.349983, 0.35886, 0.379864, 0.422993, 0.509357, 0.728651" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.0238356, 0.0289905, 0.0416793, 0.0720593, 0.159546, 0.443171", \
-            "0.0238244, 0.0289623, 0.041668, 0.0720405, 0.159599, 0.443181", \
-            "0.0238376, 0.0289806, 0.0416869, 0.0720276, 0.159522, 0.443167", \
-            "0.0238581, 0.0290355, 0.0418433, 0.0721806, 0.159646, 0.443172", \
-            "0.0282031, 0.0332839, 0.0456358, 0.0748245, 0.160542, 0.443318", \
-            "0.0398129, 0.0454484, 0.0593077, 0.0894537, 0.169718, 0.445364" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0953464, 0.104473, 0.106923, 0.109848, 0.112799, 0.117228, 0.125849, 0.131115, 0.138911, 0.143888, 0.148793, 0.153915, 0.154793, 0.158303, 0.164417, 0.167878, 0.170508, 0.173313, 0.177467, 0.181555, 0.184633, 0.189272, 0.197111, 0.201489, 0.208916, 0.218818, 0.238623, 0.268096, 0.290336");
-            values ( \
-              "0.007642, 0.0157008, 0.0192497, 0.0253136, 0.0333405, 0.0511846, 0.0976604, 0.115101, 0.130087, 0.13301, 0.134433, 0.129099, 0.127317, 0.114554, 0.0646211, 0.0464189, 0.0366592, 0.0287038, 0.0202302, 0.0145564, 0.0113748, 0.00790608, 0.00446504, 0.00343765, 0.00239403, 0.00167222, 0.00103818, 0.000655446, 0.000563703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0112379");
-            index_3 ("0.0958571, 0.107679, 0.109402, 0.112847, 0.115989, 0.119207, 0.127547, 0.133309, 0.140587, 0.147107, 0.153257, 0.159269, 0.165277, 0.167286, 0.16936, 0.177379, 0.182648, 0.187219, 0.192095, 0.196448, 0.19919, 0.204002, 0.211612, 0.215479, 0.221344, 0.229165, 0.244805, 0.268744, 0.285776");
-            values ( \
-              "0.0204743, 0.0491711, 0.0560789, 0.0756586, 0.096899, 0.126153, 0.213859, 0.257731, 0.298335, 0.322146, 0.335423, 0.338337, 0.328212, 0.317171, 0.299508, 0.179868, 0.12519, 0.0913438, 0.0648813, 0.0480203, 0.0396527, 0.0282754, 0.0167667, 0.0131489, 0.00939918, 0.00637724, 0.0035565, 0.00220982, 0.00174789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0346921");
-            index_3 ("0.0974655, 0.113209, 0.114306, 0.120888, 0.133088, 0.140698, 0.146211, 0.1568, 0.166272, 0.175197, 0.183954, 0.192702, 0.193439, 0.211372, 0.219907, 0.225936, 0.232367, 0.239001, 0.248804, 0.257639, 0.269568, 0.279252, 0.298621, 0.304088");
-            values ( \
-              "0.0481957, 0.133598, 0.144042, 0.221691, 0.400386, 0.490089, 0.547128, 0.63291, 0.686212, 0.712623, 0.715594, 0.673478, 0.664785, 0.371132, 0.264453, 0.205007, 0.154385, 0.114606, 0.073034, 0.0484873, 0.0286314, 0.0190662, 0.00915394, 0.00821506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.107097");
-            index_3 ("0.101735, 0.116468, 0.14805, 0.172827, 0.190528, 0.206783, 0.22263, 0.238454, 0.245932, 0.289036, 0.310452, 0.325805, 0.351211, 0.373351, 0.413083, 0.443765");
-            values ( \
-              "0.0989724, 0.223424, 0.729193, 1.02642, 1.15566, 1.21377, 1.22376, 1.17698, 1.12408, 0.616914, 0.415336, 0.306223, 0.179926, 0.111348, 0.0467618, 0.0239795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.330615");
-            index_3 ("0.117331, 0.139637, 0.160758, 0.17654, 0.204335, 0.225794, 0.257453, 0.271068, 0.289222, 0.314234, 0.334272, 0.371324, 0.401187, 0.475953, 0.521486, 0.557115, 0.587027, 0.610178, 0.652505, 0.701165, 0.744023, 0.787906, 0.821416, 0.888434, 0.971201, 1.13673");
-            values ( \
-              "0.403768, 0.663968, 1.02211, 1.23653, 1.49464, 1.60677, 1.67406, 1.67757, 1.66796, 1.63063, 1.58356, 1.45434, 1.29975, 0.870888, 0.648514, 0.50474, 0.40519, 0.339801, 0.243756, 0.164432, 0.115135, 0.0798052, 0.0600792, 0.0335262, 0.016048, 0.00365256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.02063");
-            index_3 ("0.141479, 0.187375, 0.205813, 0.235915, 0.257752, 0.292879, 0.323385, 0.352775, 0.399846, 0.448511, 0.548545, 0.654447, 0.737213, 0.769605, 0.829417, 1.05395, 1.10694, 1.1776, 1.26037, 1.33511, 1.40729, 1.47443, 1.5572, 1.6444, 1.72716, 1.79048, 1.90393, 2.04807, 2.2136, 2.37914, 2.62743, 2.9585");
-            values ( \
-              "1.34358, 1.43533, 1.61736, 1.80754, 1.88296, 1.94183, 1.9542, 1.94859, 1.92199, 1.88339, 1.79078, 1.67645, 1.56339, 1.51043, 1.40163, 0.951015, 0.851949, 0.729059, 0.600574, 0.499857, 0.41595, 0.348759, 0.279257, 0.219931, 0.174476, 0.146044, 0.105709, 0.0697887, 0.0431274, 0.0265831, 0.0127426, 0.0047726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.11446, 0.122172, 0.1248, 0.130157, 0.135789, 0.14299, 0.145594, 0.150804, 0.156638, 0.160509, 0.163838, 0.166519, 0.171414, 0.173946, 0.176559, 0.181197, 0.184429, 0.18961, 0.193995, 0.196908, 0.201285, 0.20603, 0.214032, 0.218695, 0.227146, 0.238415, 0.260952, 0.291305, 0.316948");
-            values ( \
-              "0.00806092, 0.0161799, 0.0197578, 0.0321752, 0.0553205, 0.0946005, 0.10429, 0.11952, 0.129424, 0.132922, 0.134079, 0.133734, 0.12962, 0.123516, 0.111375, 0.0723845, 0.0515115, 0.0324775, 0.022253, 0.0176305, 0.0123712, 0.00851628, 0.00468078, 0.00354601, 0.00234949, 0.00156553, 0.000963853, 0.000591294, 0.000495563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0112379");
-            index_3 ("0.115307, 0.125111, 0.127326, 0.131757, 0.134838, 0.145414, 0.151042, 0.158316, 0.164836, 0.170985, 0.176997, 0.183197, 0.187061, 0.195076, 0.200371, 0.204989, 0.209914, 0.216796, 0.221637, 0.229265, 0.233164, 0.239112, 0.247043, 0.262905, 0.317216");
-            values ( \
-              "0.0222923, 0.0492221, 0.0581508, 0.0835054, 0.106752, 0.214446, 0.258508, 0.297763, 0.3228, 0.334891, 0.338901, 0.327062, 0.299795, 0.18029, 0.125227, 0.0910731, 0.0644492, 0.0399849, 0.0284535, 0.0168395, 0.0131826, 0.00937907, 0.00632834, 0.00351945, 0.00140233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0346921");
-            index_3 ("0.116821, 0.132162, 0.136791, 0.156037, 0.163887, 0.174466, 0.183928, 0.19285, 0.201604, 0.210596, 0.229007, 0.237552, 0.243595, 0.250042, 0.256635, 0.266423, 0.275267, 0.28724, 0.296966, 0.316417, 0.345162, 0.346062");
-            values ( \
-              "0.0524636, 0.14766, 0.199548, 0.465024, 0.547541, 0.633649, 0.686272, 0.713112, 0.715517, 0.670852, 0.37136, 0.264499, 0.204901, 0.154182, 0.114672, 0.0731249, 0.0485216, 0.028594, 0.0190139, 0.00910256, 0.00419632, 0.00415047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.107097");
-            index_3 ("0.140819, 0.161424, 0.167323, 0.17262, 0.175949, 0.182609, 0.186534, 0.190459, 0.194385, 0.19831, 0.202236, 0.208101, 0.211982, 0.216739, 0.219828, 0.224338, 0.227344, 0.230351, 0.233358, 0.236364, 0.240162, 0.242062, 0.243961, 0.24586, 0.247759, 0.251971, 0.256183, 0.260231, 0.264278, 0.268326, 0.272374, 0.273741, 0.290147, 0.301265, 0.30937, 0.31557, 0.322568, 0.326067, 0.332133, 0.339287, 0.343802, 0.348317, 0.354196, 0.363318, 0.369805, 0.378848, 0.38616, 0.394755, 0.399053, 0.406267");
-            values ( \
-              "0.648805, 0.671314, 0.759878, 0.833217, 0.876335, 0.955643, 0.993935, 1.02953, 1.06243, 1.09263, 1.12013, 1.15615, 1.17551, 1.19532, 1.20531, 1.21552, 1.21886, 1.22108, 1.22218, 1.22215, 1.22052, 1.21803, 1.21442, 1.2097, 1.20387, 1.18495, 1.16172, 1.13531, 1.10492, 1.07053, 1.03216, 1.01746, 0.809517, 0.671471, 0.585197, 0.524217, 0.460627, 0.43091, 0.382691, 0.329881, 0.301989, 0.275862, 0.245127, 0.200811, 0.173103, 0.143374, 0.122849, 0.102118, 0.0929875, 0.0794221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.330615");
-            index_3 ("0.136574, 0.157648, 0.178694, 0.203729, 0.222137, 0.243702, 0.274599, 0.279886, 0.290459, 0.311606, 0.323252, 0.352164, 0.381686, 0.410847, 0.493963, 0.543034, 0.601715, 0.635858, 0.669308, 0.711663, 0.758721, 0.790882, 0.854246, 0.937012, 1.01428");
-            values ( \
-              "0.462766, 0.667375, 1.02387, 1.34119, 1.49425, 1.60735, 1.67324, 1.67776, 1.67707, 1.66343, 1.64673, 1.58449, 1.48484, 1.34464, 0.869565, 0.631931, 0.415128, 0.31932, 0.245467, 0.174358, 0.118291, 0.0900841, 0.052562, 0.0255475, 0.0128165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.02063");
-            index_3 ("0.162015, 0.223681, 0.25379, 0.275614, 0.310393, 0.341076, 0.370638, 0.417707, 0.46637, 0.566404, 0.672307, 0.787465, 0.847276, 1.08947, 1.19546, 1.27823, 1.34094, 1.42515, 1.49229, 1.57506, 1.66226, 1.74502, 1.80834, 1.92179, 2.06593, 2.23146, 2.47976, 2.97636");
-            values ( \
-              "1.36336, 1.61763, 1.80781, 1.88301, 1.94158, 1.95414, 1.94866, 1.92194, 1.88344, 1.79084, 1.6765, 1.51049, 1.40157, 0.91745, 0.729003, 0.600629, 0.515003, 0.416007, 0.348703, 0.279313, 0.219988, 0.174419, 0.146101, 0.105767, 0.0698465, 0.0431854, 0.020707, 0.00471334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.153364, 0.163881, 0.167465, 0.17143, 0.17656, 0.183007, 0.188302, 0.196105, 0.201085, 0.205993, 0.211115, 0.21198, 0.215443, 0.222002, 0.22725, 0.231226, 0.23614, 0.240871, 0.245693, 0.253626, 0.258262, 0.266537, 0.27757, 0.329607, 0.345457");
-            values ( \
-              "0.00826717, 0.0187727, 0.0257651, 0.0381406, 0.0621809, 0.0975008, 0.11505, 0.13006, 0.132861, 0.134434, 0.129058, 0.127315, 0.114889, 0.0617987, 0.0382931, 0.0267204, 0.0178803, 0.0122588, 0.00840946, 0.0046359, 0.00354316, 0.00237158, 0.00156911, 0.000590336, 0.000532334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0112379");
-            index_3 ("0.154741, 0.16498, 0.166682, 0.170085, 0.173196, 0.176377, 0.184732, 0.190472, 0.197746, 0.204264, 0.210413, 0.216425, 0.222431, 0.224445, 0.226524, 0.23448, 0.239796, 0.244452, 0.249418, 0.252238, 0.256123, 0.260989, 0.268633, 0.272557, 0.278575, 0.286598, 0.302646, 0.326401, 0.358735, 0.36306");
-            values ( \
-              "0.0239139, 0.0502973, 0.0574023, 0.0764404, 0.097684, 0.126536, 0.214231, 0.257892, 0.298437, 0.322217, 0.335487, 0.338367, 0.328258, 0.31716, 0.299433, 0.180647, 0.125257, 0.0908457, 0.0640971, 0.0528234, 0.0402635, 0.0285976, 0.0169025, 0.0132069, 0.00935896, 0.00629056, 0.00348625, 0.00219347, 0.00132426, 0.00126187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0346921");
-            index_3 ("0.158028, 0.166763, 0.171011, 0.174316, 0.178723, 0.190309, 0.198706, 0.203346, 0.212625, 0.216409, 0.221454, 0.227058, 0.232232, 0.24098, 0.249725, 0.253432, 0.260504, 0.268375, 0.276927, 0.282982, 0.28944, 0.293124, 0.296003, 0.299841, 0.305781, 0.314632, 0.319321, 0.326639, 0.336396, 0.35591, 0.378674");
-            values ( \
-              "0.0617949, 0.108025, 0.14417, 0.179616, 0.23575, 0.404213, 0.502313, 0.549207, 0.625831, 0.650648, 0.678196, 0.700441, 0.713125, 0.715562, 0.673811, 0.625287, 0.502055, 0.371497, 0.264509, 0.204812, 0.15403, 0.130662, 0.114712, 0.0962639, 0.0731849, 0.0485448, 0.0393353, 0.0285647, 0.0189713, 0.00906478, 0.00519614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.107097");
-            index_3 ("0.168566, 0.181675, 0.208464, 0.217402, 0.229587, 0.24723, 0.26347, 0.279306, 0.29512, 0.302711, 0.310546, 0.341277, 0.365057, 0.387839, 0.408542, 0.420717, 0.437994, 0.458264, 0.474205, 0.506088, 0.54007");
-            values ( \
-              "0.231537, 0.357202, 0.784649, 0.900764, 1.02947, 1.1567, 1.21513, 1.22397, 1.17775, 1.1234, 1.04399, 0.665826, 0.431614, 0.274248, 0.177462, 0.136449, 0.0933744, 0.060076, 0.0424362, 0.021009, 0.0115676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.330615");
-            index_3 ("0.16822, 0.197504, 0.218456, 0.234188, 0.243423, 0.261894, 0.283367, 0.314522, 0.319543, 0.329586, 0.349672, 0.366039, 0.391754, 0.414604, 0.431011, 0.463824, 0.500089, 0.543524, 0.563216, 0.602599, 0.623976, 0.647729, 0.679399, 0.703403, 0.721008, 0.744482, 0.79143, 0.819599, 0.863006, 0.920882, 1.00365, 1.08641, 1.16918");
-            values ( \
-              "0.139737, 0.673547, 1.02761, 1.23937, 1.34312, 1.49563, 1.60822, 1.6736, 1.67826, 1.67732, 1.66551, 1.64162, 1.58482, 1.51175, 1.44217, 1.27131, 1.05833, 0.817683, 0.721158, 0.549438, 0.471225, 0.39512, 0.310486, 0.256502, 0.222955, 0.184996, 0.124824, 0.0987081, 0.0684504, 0.04198, 0.0197411, 0.00981457, 0.00430291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.02063");
-            index_3 ("0.191339, 0.22382, 0.258549, 0.289108, 0.314741, 0.348785, 0.379849, 0.409776, 0.456843, 0.505502, 0.605536, 0.711439, 0.794205, 0.826597, 0.886408, 1.1286, 1.23459, 1.31736, 1.38007, 1.46429, 1.53142, 1.61419, 1.70139, 1.78416, 1.84747, 1.96092, 2.10506, 2.2706, 2.51889, 2.68443, 3.01549");
-            values ( \
-              "1.10163, 1.16223, 1.58403, 1.78926, 1.88265, 1.94085, 1.95395, 1.94872, 1.92183, 1.88353, 1.79092, 1.67658, 1.56327, 1.51055, 1.40151, 0.917401, 0.72896, 0.600675, 0.51496, 0.41604, 0.34867, 0.279345, 0.220019, 0.174389, 0.14613, 0.105795, 0.0698745, 0.0432134, 0.0206794, 0.0126574, 0.00468853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.235936, 0.250564, 0.252072, 0.257134, 0.261209, 0.272214, 0.278028, 0.283291, 0.288273, 0.293182, 0.298088, 0.300344, 0.30357, 0.308957, 0.317265, 0.32631, 0.329878, 0.337015, 0.348689, 0.370628, 0.406075");
-            values ( \
-              "0.00519068, 0.0161307, 0.0194058, 0.0321768, 0.048469, 0.10417, 0.120473, 0.129146, 0.133643, 0.133606, 0.129726, 0.124335, 0.108421, 0.063538, 0.0298161, 0.0141406, 0.0106196, 0.00608896, 0.00297664, 0.00137041, 0.000851531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0112379");
-            index_3 ("0.237783, 0.249212, 0.252756, 0.253558, 0.255162, 0.258208, 0.261446, 0.271968, 0.277788, 0.285077, 0.291606, 0.297761, 0.303777, 0.309788, 0.311749, 0.313774, 0.316544, 0.321803, 0.327151, 0.331865, 0.336894, 0.340847, 0.343336, 0.348239, 0.355905, 0.359869, 0.36599, 0.374152, 0.390476, 0.414231, 0.421242");
-            values ( \
-              "0.0157725, 0.0374266, 0.0484549, 0.0547422, 0.0613027, 0.0800721, 0.10426, 0.21198, 0.257743, 0.297381, 0.322374, 0.334746, 0.3386, 0.327714, 0.317402, 0.300432, 0.263986, 0.181089, 0.125251, 0.0904808, 0.0635807, 0.0483813, 0.040691, 0.0288007, 0.0170079, 0.0132323, 0.0093187, 0.0062461, 0.00342757, 0.00219055, 0.00199837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0346921");
-            index_3 ("0.24232, 0.249031, 0.254956, 0.25796, 0.263967, 0.277082, 0.282646, 0.290265, 0.300875, 0.310353, 0.319286, 0.328048, 0.336802, 0.337535, 0.340468, 0.355497, 0.364012, 0.370014, 0.376417, 0.383126, 0.392956, 0.401776, 0.413621, 0.423229, 0.442444, 0.470827, 0.485158");
-            values ( \
-              "0.046681, 0.0720825, 0.109418, 0.137598, 0.206626, 0.397503, 0.465225, 0.545657, 0.632137, 0.685395, 0.712249, 0.715105, 0.673361, 0.664581, 0.625634, 0.370754, 0.264407, 0.205214, 0.154748, 0.114496, 0.0728773, 0.0484184, 0.0286963, 0.0191721, 0.00924446, 0.00427339, 0.00352094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.107097");
-            index_3 ("0.25491, 0.267896, 0.295329, 0.305246, 0.316577, 0.334275, 0.350542, 0.366396, 0.382227, 0.38964, 0.397293, 0.431542, 0.453324, 0.472356, 0.485433, 0.497619, 0.513866, 0.527176, 0.546269, 0.571725, 0.617551, 0.634487");
-            values ( \
-              "0.257106, 0.336951, 0.777827, 0.905693, 1.02578, 1.15385, 1.21385, 1.22261, 1.1774, 1.12447, 1.04776, 0.63069, 0.422489, 0.289329, 0.220318, 0.170123, 0.119446, 0.0892788, 0.0588497, 0.0335294, 0.0118925, 0.00939771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.330615");
-            index_3 ("0.264075, 0.284187, 0.305274, 0.318516, 0.330326, 0.353719, 0.370291, 0.403297, 0.413003, 0.425945, 0.442143, 0.474539, 0.502078, 0.51802, 0.549903, 0.615746, 0.650262, 0.689708, 0.718853, 0.76611, 0.790331, 0.831789, 0.879169, 0.906631, 0.948974, 1.00543, 1.0882, 1.17096, 1.25373");
-            values ( \
-              "0.519872, 0.664081, 1.0212, 1.2058, 1.33917, 1.52716, 1.60603, 1.67599, 1.67872, 1.67409, 1.65784, 1.5958, 1.50977, 1.44278, 1.27619, 0.895965, 0.720604, 0.549332, 0.44523, 0.310924, 0.256895, 0.184312, 0.124259, 0.0988693, 0.069239, 0.0426951, 0.0203678, 0.00983514, 0.00459097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.02063");
-            index_3 ("0.286822, 0.335238, 0.350322, 0.380002, 0.402217, 0.437132, 0.467733, 0.497224, 0.544292, 0.592958, 0.692992, 0.798895, 0.914053, 0.973864, 1.21606, 1.32204, 1.40481, 1.46753, 1.55174, 1.61888, 1.73071, 1.87161, 2.01769, 2.10975, 2.27528, 2.44082, 2.60635, 2.77188, 3.10295");
-            values ( \
-              "1.35512, 1.47697, 1.61924, 1.80739, 1.88322, 1.94242, 1.95391, 1.94912, 1.9216, 1.88381, 1.79117, 1.67682, 1.51078, 1.40129, 0.91721, 0.728781, 0.600857, 0.514785, 0.416196, 0.348515, 0.257721, 0.174242, 0.115058, 0.0883231, 0.0545661, 0.03355, 0.0205432, 0.0125228, 0.00455675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.416212, 0.42748, 0.430161, 0.437313, 0.45397, 0.460536, 0.466359, 0.471845, 0.477212, 0.482575, 0.485343, 0.488405, 0.495979, 0.502203, 0.506983, 0.512327, 0.516546, 0.524983, 0.535392, 0.555692, 0.593611");
-            values ( \
-              "0.00948275, 0.0137942, 0.0159943, 0.0280891, 0.0916152, 0.108047, 0.117052, 0.121742, 0.122746, 0.11975, 0.114785, 0.10335, 0.0512839, 0.0298302, 0.0200895, 0.0132642, 0.00955422, 0.00505755, 0.00278707, 0.00129007, 0.00106081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0112379");
-            index_3 ("0.409804, 0.427327, 0.429594, 0.434129, 0.439463, 0.441471, 0.456837, 0.464101, 0.474762, 0.481445, 0.487943, 0.494433, 0.500946, 0.505293, 0.510261, 0.51609, 0.520536, 0.527894, 0.533047, 0.541216, 0.545643, 0.550321, 0.559047, 0.572817, 0.583575, 0.622512");
-            values ( \
-              "0.00676344, 0.0337009, 0.0388146, 0.0528529, 0.0769922, 0.0890643, 0.214291, 0.255714, 0.295881, 0.308421, 0.315233, 0.306944, 0.266282, 0.200147, 0.140437, 0.0956551, 0.0705232, 0.0429624, 0.0300595, 0.0172943, 0.0130933, 0.0100472, 0.00644851, 0.00411263, 0.00318815, 0.00190826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0346921");
-            index_3 ("0.425099, 0.444002, 0.458027, 0.467837, 0.47267, 0.484181, 0.494336, 0.50383, 0.513049, 0.522258, 0.524705, 0.542379, 0.554517, 0.567518, 0.572486, 0.582422, 0.589124, 0.601337, 0.617619, 0.642699, 0.669887");
-            values ( \
-              "0.0891461, 0.180156, 0.352253, 0.452848, 0.498796, 0.586333, 0.642377, 0.67354, 0.683226, 0.649855, 0.624284, 0.351333, 0.214828, 0.122288, 0.0978912, 0.0620165, 0.0458728, 0.0269655, 0.0138481, 0.00609638, 0.0036432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.107097");
-            index_3 ("0.412448, 0.442873, 0.477491, 0.500637, 0.51933, 0.536254, 0.550554, 0.562585, 0.568851, 0.577106, 0.616558, 0.639553, 0.660572, 0.683579, 0.712515, 0.7468, 0.776863, 0.784346");
-            values ( \
-              "0.0375838, 0.222754, 0.695773, 0.959033, 1.10424, 1.17407, 1.19594, 1.17985, 1.1569, 1.10365, 0.648016, 0.426499, 0.281209, 0.173591, 0.0924784, 0.0438969, 0.0226762, 0.0204111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.330615");
-            index_3 ("0.442624, 0.470049, 0.49904, 0.514061, 0.539209, 0.56162, 0.576133, 0.59212, 0.610194, 0.627973, 0.663952, 0.690279, 0.704105, 0.731758, 0.803303, 0.852092, 0.887723, 0.917632, 0.940779, 0.983113, 1.03177, 1.07463, 1.11851, 1.15201, 1.21902, 1.30179, 1.46732");
-            values ( \
-              "0.510051, 0.664094, 1.09509, 1.27191, 1.48586, 1.59486, 1.63582, 1.6579, 1.66299, 1.64895, 1.5842, 1.49979, 1.44157, 1.2995, 0.888429, 0.648436, 0.504777, 0.405174, 0.339836, 0.243776, 0.16445, 0.115118, 0.0797952, 0.0600999, 0.033518, 0.0160656, 0.00366772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.02063");
-            index_3 ("0.442671, 0.521209, 0.549883, 0.579075, 0.604815, 0.633579, 0.673995, 0.701928, 0.739172, 0.779149, 0.859102, 0.919379, 0.985104, 1.06787, 1.16006, 1.38459, 1.50825, 1.59101, 1.68982, 1.73794, 1.80508, 1.88785, 1.97504, 2.05781, 2.12112, 2.23458, 2.37872, 2.54425, 2.70978, 2.95808, 3.28914");
-            values ( \
-              "0.397642, 1.42681, 1.67927, 1.827, 1.8995, 1.93769, 1.94757, 1.93726, 1.91419, 1.88261, 1.81037, 1.74983, 1.67635, 1.56345, 1.4017, 0.95093, 0.729156, 0.600481, 0.47052, 0.415853, 0.348857, 0.27916, 0.219838, 0.174572, 0.14595, 0.105618, 0.0696986, 0.0430383, 0.0264952, 0.0128293, 0.00485677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.728687, 0.774749, 0.789709, 0.793999, 0.798389, 0.804243, 0.81862, 0.826882, 0.834077, 0.840803, 0.847342, 0.853875, 0.860407, 0.869386, 0.875237, 0.880216, 0.887828, 0.89312, 0.901951, 0.910655, 0.931112, 0.989354, 1.02429");
-            values ( \
-              "0.00057809, 0.00447482, 0.0136125, 0.0171357, 0.0222287, 0.0327833, 0.0720806, 0.0868638, 0.0951464, 0.0995302, 0.101193, 0.0995297, 0.0911658, 0.046196, 0.0289475, 0.0197399, 0.0114481, 0.00783719, 0.00421942, 0.0025968, 0.00124928, 0.000555009, 0.000448898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0112379");
-            index_3 ("0.727469, 0.780164, 0.789517, 0.798405, 0.809512, 0.820593, 0.832697, 0.838169, 0.851181, 0.858916, 0.866588, 0.874257, 0.88544, 0.893838, 0.903662, 0.917624, 0.930006, 0.940368, 0.961093, 0.981269");
-            values ( \
-              "0.00151058, 0.0156413, 0.0332964, 0.0532017, 0.0991035, 0.166844, 0.218011, 0.234049, 0.259456, 0.264349, 0.263421, 0.234699, 0.121278, 0.0719727, 0.03871, 0.0157009, 0.00780817, 0.00492118, 0.00265727, 0.0023791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0346921");
-            index_3 ("0.729019, 0.780753, 0.789995, 0.796547, 0.804879, 0.807636, 0.811312, 0.8275, 0.839118, 0.852918, 0.864895, 0.875887, 0.88643, 0.896953, 0.907434, 0.91648, 0.924302, 0.932635, 0.939385, 0.944494, 0.950807, 0.959226, 0.967064, 0.971491, 0.980344, 0.99805, 1.02412, 1.03978");
-            values ( \
-              "0.00444714, 0.0388662, 0.0703418, 0.0965726, 0.139446, 0.157799, 0.185697, 0.327943, 0.412771, 0.493149, 0.548929, 0.584963, 0.602607, 0.587356, 0.485227, 0.356819, 0.267685, 0.19366, 0.146613, 0.118204, 0.0899815, 0.0619201, 0.0438613, 0.0361916, 0.0249183, 0.0122847, 0.00563908, 0.00448085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.107097");
-            index_3 ("0.733257, 0.780867, 0.79381, 0.799641, 0.811304, 0.819275, 0.837075, 0.853522, 0.869924, 0.891203, 0.909916, 0.927541, 0.944999, 0.962444, 0.988029, 0.999353, 1.01445, 1.02019, 1.02885, 1.03862, 1.05136, 1.06272, 1.07462, 1.09182, 1.0998, 1.111, 1.12594, 1.15581, 1.17808");
-            values ( \
-              "0.0122866, 0.0724168, 0.136987, 0.170382, 0.25793, 0.33242, 0.519799, 0.682028, 0.829446, 0.985375, 1.07414, 1.11328, 1.09769, 0.989686, 0.702297, 0.583399, 0.445631, 0.400758, 0.33936, 0.279565, 0.215404, 0.169953, 0.131929, 0.0909001, 0.0766022, 0.0602405, 0.0437084, 0.0229138, 0.0162087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.330615");
-            index_3 ("0.780561, 0.821784, 0.883727, 0.903778, 0.929194, 0.968039, 1.00517, 1.04229, 1.06827, 1.11056, 1.19191, 1.24075, 1.3058, 1.36831, 1.40458, 1.45212, 1.54216, 1.62173, 1.6469");
-            values ( \
-              "0.162954, 0.414741, 1.12075, 1.3039, 1.46898, 1.59615, 1.6117, 1.56032, 1.48567, 1.29073, 0.834134, 0.606997, 0.378972, 0.232487, 0.173477, 0.116808, 0.0547291, 0.02712, 0.0228156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.02063");
-            index_3 ("0.780372, 0.857296, 0.893797, 0.928044, 0.961985, 1.00334, 1.04558, 1.05975, 1.08809, 1.14243, 1.18394, 1.29918, 1.3989, 1.47754, 1.5603, 1.77981, 1.94221, 2.08346, 2.16074, 2.28598, 2.40595, 2.47893, 2.61731, 2.78284, 2.94837, 3.1139, 3.24813");
-            values ( \
-              "0.0962875, 0.859202, 1.30168, 1.60254, 1.78312, 1.89099, 1.92344, 1.92473, 1.91719, 1.88619, 1.85274, 1.7461, 1.63011, 1.51101, 1.3574, 0.917467, 0.639695, 0.451588, 0.369479, 0.263988, 0.18957, 0.154421, 0.104131, 0.0645639, 0.0398619, 0.0245473, 0.0176673" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.00338822, 0.00338846, 0.00338906, 0.00338982, 0.00339029, 0.00339048", \
-            "0.00439919, 0.00439925, 0.00439943, 0.00439991, 0.0044004, 0.00440066", \
-            "0.00505108, 0.00505116, 0.00505131, 0.00505152, 0.00505184, 0.00505209", \
-            "0.00544279, 0.00544271, 0.00544263, 0.00544268, 0.00544283, 0.00544299", \
-            "0.00567917, 0.00567915, 0.00567911, 0.00567898, 0.00567886, 0.00567884", \
-            "0.00583594, 0.00583593, 0.00583591, 0.00583585, 0.00583573, 0.00583564" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.00546086, 0.00546124, 0.00546208, 0.00546355, 0.00546475, 0.00546531", \
-            "0.00578294, 0.00578245, 0.00578183, 0.00578165, 0.00578205, 0.00578241", \
-            "0.00543704, 0.00543673, 0.00543595, 0.00543466, 0.0054337, 0.0054334", \
-            "0.00525895, 0.00525885, 0.0052585, 0.00525743, 0.00525587, 0.00525483", \
-            "0.00548408, 0.00549113, 0.0055021, 0.00550611, 0.00551185, 0.00551354", \
-            "0.00585849, 0.00585237, 0.00583702, 0.00606951, 0.0062759, 0.00634568" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0861231, 0.1021, 0.106511, 0.112842, 0.120393, 0.128506, 0.132136, 0.135664, 0.139188, 0.148817, 0.152172, 0.158164, 0.165954, 0.174409, 0.179861");
-            values ( \
-              "-0.00168924, -0.036997, -0.0531344, -0.104278, -0.145907, -0.176462, -0.184314, -0.18775, -0.174758, -0.0440111, -0.0231764, -0.00810095, -0.00300452, -0.00162971, -0.00154487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0112379");
-            index_3 ("0.09107, 0.104614, 0.111073, 0.114571, 0.119625, 0.125606, 0.13079, 0.135486, 0.139899, 0.144191, 0.148477, 0.157153, 0.160716, 0.165609, 0.170922, 0.175492, 0.183353, 0.193074, 0.22461");
-            values ( \
-              "-0.0394461, -0.109523, -0.175495, -0.239596, -0.305374, -0.368982, -0.413979, -0.447067, -0.46887, -0.475448, -0.438533, -0.185341, -0.11094, -0.0513008, -0.0224929, -0.0115354, -0.00472077, -0.00280795, -0.0020047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0346921");
-            index_3 ("0.0926908, 0.1032, 0.110711, 0.123518, 0.13515, 0.143998, 0.148286, 0.152133, 0.158494, 0.164747, 0.170995, 0.181769, 0.1874, 0.194228, 0.197538, 0.202647, 0.209459, 0.220505, 0.233653, 0.307143");
-            values ( \
-              "-0.0309839, -0.206962, -0.294575, -0.567151, -0.764232, -0.881629, -0.927952, -0.962701, -1.0014, -0.998324, -0.884685, -0.467784, -0.294522, -0.158267, -0.115629, -0.0708073, -0.0361711, -0.0123956, -0.00481001, -0.000746729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.107097");
-            index_3 ("0.0941958, 0.130257, 0.151001, 0.164607, 0.176741, 0.188112, 0.1992, 0.210275, 0.213359, 0.223055, 0.245657, 0.257443, 0.269399, 0.280418, 0.286729, 0.299349, 0.310094");
-            values ( \
-              "-0.00980014, -0.88011, -1.31082, -1.52376, -1.65505, -1.73316, -1.7497, -1.66944, -1.61878, -1.35757, -0.655844, -0.414667, -0.253801, -0.158783, -0.120979, -0.0692264, -0.0478521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.330615");
-            index_3 ("0.0938939, 0.154303, 0.176006, 0.192001, 0.218359, 0.23551, 0.255703, 0.267967, 0.292628, 0.307737, 0.319793, 0.408876, 0.440723, 0.479097, 0.505462, 0.554509, 0.567467");
-            values ( \
-              "-0.00788002, -1.56993, -1.96151, -2.15646, -2.34864, -2.40388, -2.42124, -2.40918, -2.32934, -2.23039, -2.11221, -0.780511, -0.49302, -0.275796, -0.183234, -0.0838266, -0.0722861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.02063");
-            index_3 ("0.11584, 0.146026, 0.176897, 0.197774, 0.216128, 0.243813, 0.265098, 0.284464, 0.317736, 0.350499, 0.400795, 0.450093, 0.485786, 0.547864, 0.576381, 0.614403, 0.634609, 0.67494, 0.769425, 0.859928, 0.938728, 0.993195, 1.04293, 1.09012, 1.15219, 1.23226, 1.29239, 1.35447, 1.47862, 1.55586");
-            values ( \
-              "-0.99439, -1.44108, -2.09761, -2.37888, -2.54267, -2.68972, -2.74851, -2.77591, -2.79105, -2.78006, -2.74094, -2.68474, -2.63411, -2.51984, -2.44848, -2.3225, -2.23601, -2.01582, -1.4289, -0.96337, -0.665079, -0.508726, -0.396753, -0.3123, -0.226346, -0.149309, -0.108917, -0.0782236, -0.040341, -0.0281442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.107366, 0.120802, 0.12237, 0.125504, 0.128032, 0.134055, 0.138573, 0.14491, 0.14877, 0.152401, 0.155929, 0.159453, 0.166321, 0.169084, 0.172444, 0.175154, 0.178428, 0.181018, 0.186198, 0.194644, 0.22112");
-            values ( \
-              "-0.00534839, -0.0356403, -0.036928, -0.0477879, -0.0616372, -0.110477, -0.135613, -0.163526, -0.175702, -0.184947, -0.187166, -0.175253, -0.0728549, -0.0439955, -0.0231504, -0.0138725, -0.00810502, -0.00557957, -0.0030129, -0.0016312, -0.00121818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0112379");
-            index_3 ("0.108417, 0.118323, 0.124841, 0.12812, 0.137511, 0.144535, 0.148429, 0.155679, 0.160101, 0.164391, 0.168676, 0.177347, 0.180915, 0.185806, 0.188831, 0.191114, 0.195679, 0.203547, 0.213261, 0.243597");
-            values ( \
-              "-0.00643757, -0.0754295, -0.109714, -0.137172, -0.278435, -0.357084, -0.393378, -0.447228, -0.469107, -0.475567, -0.438714, -0.185446, -0.110925, -0.0513085, -0.031953, -0.0225138, -0.0115436, -0.00472432, -0.00280256, -0.00202975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0346921");
-            index_3 ("0.116209, 0.122274, 0.130994, 0.143633, 0.149961, 0.158355, 0.16414, 0.168734, 0.172376, 0.178736, 0.184989, 0.191236, 0.20201, 0.207641, 0.210567, 0.214468, 0.217779, 0.222887, 0.229697, 0.240607, 0.253793, 0.270497, 0.327172");
-            values ( \
-              "-0.1479, -0.1945, -0.295623, -0.564923, -0.678397, -0.807029, -0.880731, -0.930198, -0.962727, -1.00158, -0.998301, -0.884824, -0.467727, -0.294453, -0.227294, -0.158349, -0.115556, -0.0707388, -0.0362549, -0.0125128, -0.00491232, -0.00255052, -0.000671259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.107097");
-            index_3 ("0.1185, 0.15422, 0.171039, 0.184643, 0.196767, 0.208136, 0.219223, 0.230296, 0.233387, 0.236684, 0.243075, 0.253052, 0.265675, 0.277462, 0.289419, 0.300437, 0.306746, 0.319365, 0.342436, 0.347752");
-            values ( \
-              "-0.11064, -0.968972, -1.3121, -1.52418, -1.65576, -1.73328, -1.75013, -1.66939, -1.61879, -1.54739, -1.35763, -1.0183, -0.655871, -0.414682, -0.253796, -0.158787, -0.120983, -0.0692383, -0.0233235, -0.0200536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.330615");
-            index_3 ("0.119166, 0.14492, 0.172085, 0.181763, 0.199439, 0.212226, 0.2378, 0.25099, 0.263574, 0.288246, 0.312916, 0.326915, 0.340041, 0.366295, 0.393445, 0.422404, 0.446123, 0.465908, 0.487808, 0.522521, 0.543242, 0.566924, 0.614286, 0.648117");
-            values ( \
-              "-0.101161, -0.823429, -1.51636, -1.717, -2.00724, -2.15643, -2.34484, -2.39234, -2.41625, -2.41053, -2.32942, -2.23928, -2.11246, -1.71141, -1.25359, -0.856518, -0.613108, -0.45856, -0.329791, -0.192467, -0.13925, -0.0955894, -0.0434976, -0.0278115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.02063");
-            index_3 ("0.140339, 0.166318, 0.179419, 0.201889, 0.224926, 0.236292, 0.259023, 0.282954, 0.304663, 0.337975, 0.370709, 0.420533, 0.471073, 0.505996, 0.577579, 0.59659, 0.634611, 0.654818, 0.695149, 0.789632, 0.843628, 0.882774, 0.952858, 1.01132, 1.067, 1.12908, 1.18391, 1.2422, 1.30427, 1.36635, 1.49051, 1.61467, 1.8009");
-            values ( \
-              "-1.38905, -1.44218, -1.75557, -2.17202, -2.44723, -2.53967, -2.66844, -2.74196, -2.77585, -2.79023, -2.78046, -2.74081, -2.68322, -2.6346, -2.49752, -2.44805, -2.3229, -2.23564, -2.01617, -1.42917, -1.13513, -0.95202, -0.684651, -0.514041, -0.389109, -0.283079, -0.213225, -0.157468, -0.113452, -0.0817416, -0.0422294, -0.0217702, -0.00797044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.157121, 0.162735, 0.163634, 0.165431, 0.166756, 0.168081, 0.169253, 0.170425, 0.171597, 0.172769, 0.174152, 0.175535, 0.1783, 0.17987, 0.18144, 0.183917, 0.185156, 0.187457, 0.188519, 0.190642, 0.192574, 0.194507, 0.196322, 0.198137, 0.199019, 0.199901, 0.200783, 0.201665, 0.202546, 0.203427, 0.204308, 0.205189, 0.205469, 0.206028, 0.206929, 0.207954, 0.210741, 0.21107, 0.212508, 0.213856, 0.215096, 0.215925, 0.216478, 0.217752, 0.218176, 0.218854, 0.219531, 0.220209, 0.220886, 0.222706");
-            values ( \
-              "-0.0276567, -0.02777, -0.0291979, -0.032414, -0.0353943, -0.0387982, -0.0425406, -0.0468466, -0.0517159, -0.0571488, -0.0673056, -0.0779672, -0.100804, -0.111139, -0.120739, -0.134113, -0.140325, -0.150975, -0.155529, -0.164036, -0.170978, -0.177468, -0.183154, -0.18844, -0.187768, -0.186742, -0.185364, -0.183633, -0.181553, -0.179121, -0.176337, -0.173201, -0.17039, -0.163539, -0.150911, -0.135154, -0.0899237, -0.0852946, -0.0669689, -0.0525572, -0.041419, -0.0349023, -0.0311436, -0.0245065, -0.0225872, -0.0199986, -0.0176691, -0.0155986, -0.0137872, -0.0100481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0112379");
-            index_3 ("0.157052, 0.160301, 0.163875, 0.170402, 0.176369, 0.183245, 0.191374, 0.196557, 0.20125, 0.205663, 0.209954, 0.214239, 0.222906, 0.226484, 0.231373, 0.236675, 0.241234, 0.249097, 0.258808, 0.274611");
-            values ( \
-              "-0.0565311, -0.0685911, -0.0743632, -0.11156, -0.167842, -0.280967, -0.368508, -0.414762, -0.446615, -0.469502, -0.475038, -0.439046, -0.185583, -0.110833, -0.0512824, -0.0225256, -0.011562, -0.00472917, -0.00280608, -0.00240286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0346921");
-            index_3 ("0.16137, 0.176436, 0.191257, 0.203816, 0.211133, 0.217841, 0.224194, 0.230445, 0.23669, 0.247461, 0.253092, 0.25992, 0.268334, 0.275141, 0.28608, 0.305041");
-            values ( \
-              "-0.120561, -0.296015, -0.606392, -0.807612, -0.898595, -0.963614, -1.00188, -0.998891, -0.884896, -0.467851, -0.294516, -0.158338, -0.070772, -0.0362657, -0.0124859, -0.00618887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.107097");
-            index_3 ("0.161535, 0.17789, 0.184793, 0.195043, 0.207907, 0.216653, 0.230252, 0.242373, 0.25374, 0.264825, 0.275897, 0.278992, 0.282294, 0.288676, 0.298653, 0.311276, 0.323062, 0.33502, 0.346037, 0.352346, 0.364963, 0.387698");
-            values ( \
-              "-0.0176571, -0.454135, -0.594046, -0.859294, -1.14718, -1.31293, -1.52447, -1.65623, -1.73336, -1.75042, -1.66939, -1.6188, -1.54726, -1.35767, -1.0183, -0.655888, -0.414691, -0.253795, -0.15879, -0.12099, -0.069245, -0.0239933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.330615");
-            index_3 ("0.161386, 0.207664, 0.227412, 0.241484, 0.257845, 0.28419, 0.301364, 0.309177, 0.321527, 0.33379, 0.358449, 0.373589, 0.385613, 0.409662, 0.444621, 0.461851, 0.488332, 0.506531, 0.523011, 0.544985, 0.563129, 0.583136, 0.609814, 0.663168, 0.725246, 0.787324");
-            values ( \
-              "-0.00780291, -1.27957, -1.72009, -1.95767, -2.15809, -2.34907, -2.4044, -2.4166, -2.42152, -2.40939, -2.32945, -2.23021, -2.11225, -1.74915, -1.16775, -0.9309, -0.643379, -0.493103, -0.385684, -0.275497, -0.207972, -0.152231, -0.099549, -0.0405052, -0.0136478, -0.00469493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.02063");
-            index_3 ("0.181773, 0.211979, 0.242788, 0.261758, 0.281982, 0.308453, 0.330363, 0.350297, 0.383573, 0.416328, 0.466189, 0.516693, 0.551616, 0.613694, 0.642209, 0.68023, 0.700438, 0.740769, 0.835253, 0.928622, 0.997074, 1.05656, 1.12225, 1.1671, 1.22918, 1.30195, 1.36403, 1.4261, 1.55026, 1.6207");
-            values ( \
-              "-0.985526, -1.4479, -2.10048, -2.36045, -2.5436, -2.68614, -2.74728, -2.7765, -2.7908, -2.78044, -2.74104, -2.68346, -2.63438, -2.51957, -2.44825, -2.32272, -2.2358, -2.01602, -1.42904, -0.950886, -0.689384, -0.514869, -0.370733, -0.294849, -0.213802, -0.146317, -0.105395, -0.0758914, -0.0391874, -0.0284115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.255453, 0.262011, 0.269451, 0.273028, 0.280781, 0.287333, 0.292171, 0.296037, 0.299675, 0.303206, 0.306734, 0.313608, 0.316368, 0.319724, 0.322436, 0.325718, 0.328315, 0.333509, 0.341936, 0.365765");
-            values ( \
-              "-0.0118363, -0.0219552, -0.036125, -0.0481888, -0.106344, -0.142635, -0.162978, -0.175799, -0.184411, -0.187282, -0.17487, -0.0727798, -0.0439867, -0.0231664, -0.0138807, -0.00810112, -0.00557401, -0.0030068, -0.00163284, -0.00103501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0112379");
-            index_3 ("0.256131, 0.271753, 0.275716, 0.284451, 0.292155, 0.298201, 0.30733, 0.311626, 0.315916, 0.327774, 0.332525, 0.336398, 0.339477, 0.345635, 0.352019");
-            values ( \
-              "-0.0238526, -0.105435, -0.139588, -0.27621, -0.361111, -0.413048, -0.468272, -0.475226, -0.438192, -0.117533, -0.0556949, -0.0303595, -0.0189614, -0.00796364, -0.00475533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0346921");
-            index_3 ("0.256569, 0.277345, 0.292099, 0.305234, 0.31259, 0.319321, 0.32569, 0.331954, 0.33821, 0.354623, 0.361445, 0.369878, 0.3767, 0.387571, 0.389033");
-            values ( \
-              "-0.0119855, -0.281219, -0.590033, -0.802953, -0.895192, -0.960734, -0.999727, -0.997153, -0.883874, -0.294295, -0.158405, -0.0706854, -0.0361939, -0.0125573, -0.0120672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.107097");
-            index_3 ("0.265425, 0.284827, 0.29711, 0.308849, 0.317985, 0.331642, 0.343802, 0.35519, 0.36629, 0.377377, 0.380412, 0.38365, 0.390158, 0.40013, 0.412769, 0.424556, 0.436504, 0.44753, 0.453847, 0.466482, 0.486985");
-            values ( \
-              "-0.169956, -0.555108, -0.869081, -1.13113, -1.30453, -1.5197, -1.65193, -1.73113, -1.74806, -1.66853, -1.61883, -1.54945, -1.35717, -1.01837, -0.655679, -0.414588, -0.253863, -0.158782, -0.120943, -0.0691656, -0.0283933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.330615");
-            index_3 ("0.274982, 0.291679, 0.314791, 0.328744, 0.340329, 0.359271, 0.385694, 0.410686, 0.435355, 0.460011, 0.474163, 0.487137, 0.513085, 0.541607, 0.569356, 0.592554, 0.609093, 0.639071, 0.662321, 0.686859, 0.705709, 0.74341, 0.790823");
-            values ( \
-              "-0.614088, -0.812666, -1.41254, -1.71317, -1.91366, -2.15573, -2.34744, -2.41836, -2.41113, -2.33033, -2.23845, -2.11267, -1.71671, -1.23696, -0.858211, -0.618997, -0.485819, -0.309372, -0.215702, -0.147202, -0.109306, -0.0591709, -0.0293179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.02063");
-            index_3 ("0.289149, 0.364337, 0.383856, 0.410747, 0.432452, 0.452193, 0.485471, 0.51823, 0.568195, 0.618482, 0.653517, 0.715595, 0.744111, 0.80234, 0.84267, 0.937152, 1.03052, 1.09898, 1.15846, 1.22414, 1.26899, 1.33107, 1.40386, 1.46594, 1.52802, 1.65218, 1.69663");
-            values ( \
-              "-1.26717, -2.3667, -2.54155, -2.6874, -2.74674, -2.77664, -2.79021, -2.7808, -2.74049, -2.68319, -2.63477, -2.5192, -2.44793, -2.23554, -2.01625, -1.42922, -0.951047, -0.68923, -0.514991, -0.370685, -0.294931, -0.213744, -0.146242, -0.10545, -0.0758234, -0.0391237, -0.0323245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.445459, 0.471346, 0.47265, 0.475257, 0.480471, 0.483615, 0.489904, 0.49288, 0.498832, 0.50175, 0.507837, 0.512952, 0.517523, 0.521763, 0.525839, 0.529908, 0.530052, 0.531389, 0.532918, 0.536, 0.537459, 0.539453, 0.541203, 0.542494, 0.545075, 0.547748, 0.54925, 0.550584, 0.552014, 0.554009, 0.556291, 0.560856, 0.562327");
-            values ( \
-              "-0.00356867, -0.0099616, -0.0114405, -0.014748, -0.0202719, -0.0249625, -0.0374037, -0.050971, -0.0824077, -0.0952942, -0.119863, -0.136806, -0.149854, -0.15879, -0.163426, -0.155077, -0.152673, -0.140943, -0.122638, -0.0822731, -0.0657873, -0.0471111, -0.0349321, -0.0278745, -0.0177194, -0.011467, -0.00920117, -0.00768803, -0.00644746, -0.0051145, -0.00402666, -0.00272556, -0.00252056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0112379");
-            index_3 ("0.447616, 0.471213, 0.480215, 0.486161, 0.495012, 0.501825, 0.506258, 0.513462, 0.519513, 0.524907, 0.529893, 0.534682, 0.539462, 0.548278, 0.551904, 0.555851, 0.558325, 0.56218, 0.565257, 0.571413, 0.573704");
-            values ( \
-              "-0.01092, -0.0266547, -0.0528045, -0.0725202, -0.126774, -0.206782, -0.248887, -0.312281, -0.357301, -0.392672, -0.417262, -0.429634, -0.403509, -0.183037, -0.112071, -0.0626204, -0.043132, -0.0246156, -0.0160534, -0.00751786, -0.00656874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0346921");
-            index_3 ("0.472377, 0.487338, 0.489667, 0.492377, 0.493732, 0.495086, 0.497796, 0.513405, 0.522549, 0.526563, 0.528617, 0.53067, 0.532723, 0.534776, 0.536614, 0.538452, 0.54029, 0.542127, 0.54384, 0.545553, 0.547266, 0.548978, 0.5504, 0.551712, 0.552368, 0.553667, 0.554954, 0.555597, 0.556421, 0.557245, 0.558068, 0.558892, 0.559799, 0.560705, 0.562518, 0.56519, 0.567862, 0.572171, 0.573461, 0.576041, 0.576901, 0.578677, 0.581176, 0.582447, 0.583718, 0.584919, 0.586119, 0.58732, 0.588521, 0.590848");
-            values ( \
-              "-0.132758, -0.163163, -0.180604, -0.205243, -0.220416, -0.236783, -0.273096, -0.525636, -0.659612, -0.715702, -0.742721, -0.76861, -0.79337, -0.817, -0.836431, -0.854679, -0.871744, -0.887625, -0.90111, -0.913472, -0.924712, -0.93483, -0.948473, -0.948087, -0.947324, -0.944694, -0.940623, -0.93804, -0.932106, -0.924558, -0.915397, -0.904621, -0.887706, -0.868862, -0.825384, -0.743002, -0.652335, -0.491169, -0.445847, -0.366698, -0.342449, -0.296107, -0.23499, -0.210493, -0.188029, -0.169153, -0.151774, -0.135892, -0.121506, -0.0974466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.107097");
-            index_3 ("0.471443, 0.490773, 0.499124, 0.505412, 0.527973, 0.539169, 0.550146, 0.558187, 0.56666, 0.572831, 0.578448, 0.589813, 0.601176, 0.604946, 0.608838, 0.624185, 0.636632, 0.649455, 0.655249, 0.662976, 0.675403, 0.68382, 0.700653, 0.725581, 0.755787");
-            values ( \
-              "-0.104523, -0.294665, -0.404612, -0.508403, -0.977209, -1.18768, -1.37084, -1.48544, -1.58534, -1.64146, -1.68068, -1.71405, -1.64474, -1.58578, -1.49951, -1.00804, -0.655531, -0.398713, -0.315205, -0.228252, -0.134497, -0.0933871, -0.0437039, -0.0135209, -0.00351998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.330615");
-            index_3 ("0.481857, 0.507403, 0.550035, 0.568775, 0.579387, 0.587073, 0.597322, 0.608834, 0.634142, 0.658931, 0.683739, 0.695835, 0.708322, 0.715676, 0.725483, 0.768266, 0.791241, 0.800806, 0.819936, 0.836686, 0.857997, 0.884121, 0.893098, 0.914261, 0.938447, 0.986818, 1.0489, 1.11097");
-            values ( \
-              "-0.292555, -0.639717, -1.58706, -1.92005, -2.06366, -2.14781, -2.23767, -2.31198, -2.39884, -2.40096, -2.32423, -2.24996, -2.13848, -2.05003, -1.90498, -1.18967, -0.878506, -0.769831, -0.585429, -0.457686, -0.332133, -0.221495, -0.192661, -0.13847, -0.0942357, -0.0421169, -0.0142131, -0.00486786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.02063");
-            index_3 ("0.501197, 0.532845, 0.549816, 0.565889, 0.590796, 0.606252, 0.633158, 0.655316, 0.675172, 0.708081, 0.741361, 0.789993, 0.841709, 0.876639, 0.938717, 0.967238, 1.00527, 1.02546, 1.0658, 1.16028, 1.21552, 1.25365, 1.28299, 1.3221, 1.38159, 1.42054, 1.44727, 1.49212, 1.5542, 1.62698, 1.68905, 1.75113, 1.81321, 1.87529, 1.99944, 2.18568");
-            values ( \
-              "-1.05305, -1.26935, -1.67383, -1.99088, -2.35272, -2.50014, -2.66337, -2.73372, -2.76754, -2.78653, -2.7784, -2.74153, -2.68321, -2.63429, -2.51952, -2.4482, -2.32268, -2.23578, -2.01603, -1.42906, -1.12898, -0.9509, -0.830144, -0.689365, -0.514878, -0.424117, -0.370738, -0.294863, -0.213802, -0.146311, -0.1054, -0.0758852, -0.0544972, -0.0391814, -0.0201878, -0.00741008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.884698, 0.902538, 0.907837, 0.912349, 0.920586, 0.923295, 0.936154, 0.942566, 0.95188, 0.964328, 0.970128, 0.97592, 0.978888, 0.986673, 0.991301, 0.993589, 0.996203, 1.00012, 1.00531");
-            values ( \
-              "-0.0116658, -0.0132441, -0.0165165, -0.0201439, -0.0293113, -0.0344771, -0.0684879, -0.0819204, -0.0981598, -0.113651, -0.112745, -0.10993, -0.0961815, -0.0442239, -0.0239416, -0.0174293, -0.0127517, -0.00836424, -0.00551204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0112379");
-            index_3 ("0.886401, 0.904306, 0.906713, 0.90821, 0.909707, 0.912701, 0.915484, 0.918267, 0.921049, 0.923832, 0.925469, 0.927106, 0.930381, 0.933656, 0.937645, 0.941634, 0.946597, 0.949078, 0.953629, 0.955699, 0.95984, 0.965369, 0.968917, 0.972326, 0.974031, 0.975664, 0.977297, 0.97893, 0.980563, 0.982194, 0.983824, 0.985454, 0.987085, 0.988312, 0.989539, 0.990766, 0.991993, 0.993844, 0.99622, 0.998344, 0.999751, 1.00116, 1.00357, 1.00465, 1.00528, 1.00656, 1.00817, 1.00913, 1.01106, 1.01333");
-            values ( \
-              "-0.0340552, -0.0380632, -0.0413644, -0.0438507, -0.0464928, -0.0522442, -0.0586767, -0.0659828, -0.0741626, -0.0832159, -0.0902722, -0.0979698, -0.118032, -0.137398, -0.160047, -0.181664, -0.206214, -0.217827, -0.23798, -0.246656, -0.263084, -0.282535, -0.294416, -0.305391, -0.310716, -0.312386, -0.312834, -0.31206, -0.310064, -0.306852, -0.302423, -0.296775, -0.28991, -0.28073, -0.269843, -0.25725, -0.242951, -0.216679, -0.175831, -0.143344, -0.124402, -0.106813, -0.0801501, -0.0698659, -0.0649463, -0.0559405, -0.0464321, -0.041494, -0.0330708, -0.0254347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0346921");
-            index_3 ("0.873285, 0.888503, 0.901376, 0.914725, 0.920403, 0.93176, 0.954687, 0.967637, 0.978478, 0.988101, 0.996956, 1.00543, 1.01388, 1.03406, 1.03923, 1.04406, 1.05047, 1.05568, 1.0661, 1.07214");
-            values ( \
-              "-0.0256667, -0.0442464, -0.0749623, -0.118449, -0.141893, -0.210635, -0.428258, -0.536472, -0.617511, -0.680186, -0.727257, -0.750717, -0.705392, -0.235445, -0.156214, -0.104005, -0.0599912, -0.0381184, -0.0153681, -0.01126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.107097");
-            index_3 ("0.868558, 0.909549, 0.922004, 0.932494, 0.943232, 0.993593, 1.0014, 1.01733, 1.03154, 1.04482, 1.05787, 1.0709, 1.09146, 1.09978, 1.1092, 1.11361, 1.12243, 1.1315, 1.13646, 1.14638, 1.16028");
-            values ( \
-              "-0.0419061, -0.157888, -0.234638, -0.314303, -0.421879, -1.03922, -1.12883, -1.29519, -1.41609, -1.48623, -1.47358, -1.27817, -0.728297, -0.545523, -0.383372, -0.32332, -0.226291, -0.155883, -0.127033, -0.0832693, -0.0491839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.330615");
-            index_3 ("0.874046, 0.914608, 0.936264, 0.965641, 1.0339, 1.05809, 1.07695, 1.09174, 1.11796, 1.14419, 1.17031, 1.25197, 1.27345, 1.31139, 1.35151, 1.39414, 1.41412");
-            values ( \
-              "-0.0752631, -0.241066, -0.436858, -0.798119, -1.79688, -2.04649, -2.17708, -2.24374, -2.29714, -2.25576, -2.08819, -0.893943, -0.663709, -0.379985, -0.204927, -0.104824, -0.0809388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.02063");
-            index_3 ("0.927389, 0.970487, 1.03685, 1.05988, 1.08901, 1.13303, 1.1685, 1.20054, 1.26145, 1.30597, 1.33654, 1.39768, 1.42912, 1.47105, 1.48544, 1.51421, 1.62837, 1.71696, 1.75849, 1.7967, 1.84681, 1.88835, 1.93745, 1.99953, 2.05463, 2.1503, 2.21238, 2.33654, 2.46069, 2.64693");
-            values ( \
-              "-0.771581, -0.933599, -2.00018, -2.26933, -2.502, -2.6851, -2.73711, -2.74547, -2.71732, -2.6726, -2.63158, -2.52106, -2.44238, -2.29902, -2.23562, -2.08397, -1.38194, -0.93614, -0.771505, -0.642144, -0.502005, -0.407977, -0.317734, -0.230677, -0.173257, -0.104756, -0.0754761, -0.0389835, -0.0200995, -0.00733838" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.00319063, 0.00319169, 0.00319373, 0.00319562, 0.00319656, 0.00319693", \
-            "0.00381381, 0.00381414, 0.00381513, 0.00381676, 0.0038179, 0.00381841", \
-            "0.0041783, 0.00417831, 0.00417843, 0.00417901, 0.00417978, 0.00418027", \
-            "0.00438409, 0.00438405, 0.00438397, 0.00438388, 0.00438401, 0.00438426", \
-            "0.00449304, 0.00449299, 0.00449287, 0.00449268, 0.00449253, 0.00449253", \
-            "0.00455534, 0.00455532, 0.00455527, 0.00455516, 0.00455499, 0.00455489" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.0045931, 0.00459168, 0.00459381, 0.00459713, 0.00459935, 0.00460029", \
-            "0.00502197, 0.00502105, 0.00501948, 0.00501979, 0.00502097, 0.00502175", \
-            "0.00521654, 0.00521495, 0.00521175, 0.00520794, 0.00520595, 0.00520546", \
-            "0.00535761, 0.00535636, 0.00535314, 0.00534729, 0.00534153, 0.00533849", \
-            "0.00559926, 0.00559895, 0.00559766, 0.00559347, 0.00558653, 0.00558112", \
-            "0.00578832, 0.00584084, 0.00591389, 0.00597036, 0.00600088, 0.00601641" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.040366, 0.0399977, 0.0396978, 0.0399391, 0.0405472, 0.0409595", \
-            "0.0401818, 0.0399746, 0.0396481, 0.0397761, 0.0404361, 0.04086", \
-            "0.0399782, 0.0395696, 0.0392617, 0.0395295, 0.0402124, 0.040691", \
-            "0.0402942, 0.039831, 0.0393713, 0.0393529, 0.0398916, 0.0403699", \
-            "0.0428474, 0.0422411, 0.0414986, 0.0405633, 0.0403048, 0.0405746", \
-            "0.0485796, 0.047117, 0.0451813, 0.0432691, 0.0422452, 0.0419019" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.0433333, 0.0427064, 0.0426072, 0.0440952, 0.0458249, 0.0467445", \
-            "0.0432836, 0.042546, 0.0424592, 0.0439562, 0.0457345, 0.0466697", \
-            "0.0431647, 0.0424056, 0.0422682, 0.0437111, 0.0455093, 0.0465559", \
-            "0.0439083, 0.0431029, 0.0427088, 0.043698, 0.0454072, 0.0465522", \
-            "0.0454808, 0.0442353, 0.0431691, 0.0443584, 0.0456359, 0.0468636", \
-            "0.052834, 0.050611, 0.0475854, 0.0463899, 0.0472238, 0.0485107" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.0404865, 0.0401117, 0.0398027, 0.0400313, 0.0405695, 0.0408208", \
-            "0.0403586, 0.0399631, 0.0396468, 0.0398742, 0.0404297, 0.0407047", \
-            "0.0400409, 0.0396394, 0.0393317, 0.0395887, 0.040163, 0.0405246", \
-            "0.0402384, 0.0398016, 0.0393031, 0.0393092, 0.0398209, 0.0401815", \
-            "0.0417632, 0.0411877, 0.0403456, 0.0399375, 0.0400641, 0.0401614", \
-            "0.046628, 0.045735, 0.0441764, 0.042501, 0.0417336, 0.0412423" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0112379, 0.0346921, 0.107097, 0.330615, 1.02063");
-          values ( \
-            "0.0447079, 0.0440048, 0.0436313, 0.0442348, 0.0449265, 0.0452349", \
-            "0.0446399, 0.0438422, 0.0434758, 0.0441339, 0.044834, 0.0451596", \
-            "0.0445156, 0.0437089, 0.0432992, 0.0439303, 0.0447337, 0.0451165", \
-            "0.0450508, 0.0442173, 0.0436318, 0.0439507, 0.0447669, 0.0452691", \
-            "0.0477411, 0.0464607, 0.0451869, 0.0448021, 0.0452908, 0.0458516", \
-            "0.0543291, 0.0521021, 0.0490467, 0.0479054, 0.0476042, 0.047807" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00561137;
-      rise_capacitance : 0.00561137;
-      rise_capacitance_range (0.00399084, 0.00561137);
-      fall_capacitance : 0.00551659;
-      fall_capacitance_range (0.00336202, 0.00551659);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00337417, 0.00427079, 0.00474278, 0.00496306, 0.0050606, 0.00510524" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00476336, 0.004611, 0.00417971, 0.00392024, 0.00382292, 0.00378032" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00257673, 0.00297445, 0.00320747, 0.00335583, 0.00343393, 0.00347436" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0038033, 0.00437646, 0.00474948, 0.0049239, 0.0049912, 0.00501659" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00587761, -0.00588989, -0.00588481, -0.00588376, -0.00590061, -0.00588254" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00587761, 0.00588989, 0.00590193, 0.00592116, 0.00590124, 0.00588254" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00569928;
-      rise_capacitance : 0.00569928;
-      rise_capacitance_range (0.00440164, 0.00569928);
-      fall_capacitance : 0.00553073;
-      fall_capacitance_range (0.00385615, 0.00553073);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00342779, 0.00442793, 0.00500746, 0.00529499, 0.0054221, 0.00547745" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00536475, 0.0054684, 0.00505321, 0.00477719, 0.00465324, 0.00460357" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00312168, 0.00366529, 0.00397842, 0.00416294, 0.00426076, 0.00431099" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00435996, 0.00490458, 0.00526528, 0.00544434, 0.00551564, 0.00554929" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00552563, -0.00553254, -0.0055272, -0.00554806, -0.00554033, -0.00552992" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0055502, 0.00553254, 0.0055272, 0.00556286, 0.0055457, 0.00553549" \
-          );
-        }
-      }
-    }
-  }
-  cell (AND2X8) {
-    area : 32.967;
-    cell_footprint : "AND2";
-    cell_leakage_power : 1.76569;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.595688;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 2.15683;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 2.15842;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 2.15183;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.76569;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 1.28454;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.154788, 0.163296, 0.185148, 0.234414, 0.350019, 0.677221", \
-            "0.159249, 0.167769, 0.189623, 0.238855, 0.354346, 0.681725", \
-            "0.169567, 0.178063, 0.199942, 0.249284, 0.364845, 0.692083", \
-            "0.193327, 0.20155, 0.223407, 0.273041, 0.388543, 0.715782", \
-            "0.241628, 0.250205, 0.272305, 0.321708, 0.437021, 0.764554", \
-            "0.298356, 0.307439, 0.331487, 0.384944, 0.503248, 0.830886" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.0360029, 0.0428503, 0.0611483, 0.10775, 0.247347, 0.717766", \
-            "0.0360145, 0.0428657, 0.0611283, 0.107746, 0.247336, 0.717788", \
-            "0.0360143, 0.0428389, 0.0611255, 0.107783, 0.247293, 0.717771", \
-            "0.0360026, 0.0428668, 0.0611141, 0.107723, 0.247277, 0.717796", \
-            "0.0390233, 0.0458057, 0.0638561, 0.109717, 0.248222, 0.717934", \
-            "0.0498687, 0.0565842, 0.0755022, 0.120633, 0.25524, 0.719222" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.136802, 0.143041, 0.159166, 0.196224, 0.277675, 0.49229", \
-            "0.143041, 0.149281, 0.165427, 0.20245, 0.283856, 0.498611", \
-            "0.15777, 0.164022, 0.180158, 0.217221, 0.298623, 0.513418", \
-            "0.190959, 0.197188, 0.213318, 0.25033, 0.331835, 0.546512", \
-            "0.265034, 0.271444, 0.287717, 0.324333, 0.405838, 0.620793", \
-            "0.384642, 0.392172, 0.411427, 0.454257, 0.541867, 0.758665" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.0277052, 0.0322079, 0.0443079, 0.0738897, 0.154665, 0.424874", \
-            "0.0277047, 0.032206, 0.0443143, 0.0738741, 0.154672, 0.424878", \
-            "0.0277097, 0.0322051, 0.0442848, 0.0738735, 0.154624, 0.424873", \
-            "0.0277033, 0.0322042, 0.0442994, 0.0739471, 0.154689, 0.424876", \
-            "0.0301073, 0.0344064, 0.0461541, 0.0756602, 0.155654, 0.425048", \
-            "0.0422834, 0.0470392, 0.0596875, 0.0895769, 0.16527, 0.427372" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.10719, 0.121624, 0.130211, 0.133914, 0.148017, 0.154732, 0.160748, 0.166477, 0.172132, 0.17914, 0.183484, 0.191439, 0.200632, 0.208539, 0.213811, 0.22275, 0.233712, 0.264382, 0.315783");
-            values ( \
-              "0.00502102, 0.0142964, 0.026979, 0.0362324, 0.0905888, 0.104802, 0.113038, 0.115706, 0.116291, 0.110507, 0.100211, 0.0513589, 0.0236573, 0.0129169, 0.00871193, 0.00452448, 0.00243868, 0.00095458, 0.000524154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0117669");
-            index_3 ("0.107754, 0.120328, 0.123692, 0.127266, 0.131351, 0.139016, 0.149511, 0.152875, 0.159603, 0.163579, 0.168142, 0.174987, 0.18169, 0.188389, 0.192437, 0.195732, 0.201462, 0.205255, 0.211342, 0.216283, 0.221394, 0.224659, 0.229712, 0.237955, 0.242331, 0.249557, 0.259193, 0.278463, 0.344055, 0.34928");
-            values ( \
-              "0.0150446, 0.0357345, 0.0444698, 0.0568063, 0.0747601, 0.127127, 0.22103, 0.242312, 0.277292, 0.291667, 0.303723, 0.315452, 0.317189, 0.309423, 0.292201, 0.263172, 0.182656, 0.139522, 0.0919426, 0.0655427, 0.0465785, 0.0373069, 0.0264443, 0.0152014, 0.0116579, 0.00786439, 0.00508202, 0.00285157, 0.0012163, 0.00118539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0380349");
-            index_3 ("0.109085, 0.1275, 0.128926, 0.131779, 0.137484, 0.140366, 0.146129, 0.151169, 0.160747, 0.165572, 0.175221, 0.177069, 0.180764, 0.187339, 0.19702, 0.206455, 0.215882, 0.21774, 0.219721, 0.222899, 0.232585, 0.240003, 0.244868, 0.247588, 0.252252, 0.258503, 0.260543, 0.264623, 0.270985, 0.280762, 0.28511, 0.290692, 0.298134, 0.31302, 0.339937, 0.362134");
-            values ( \
-              "0.0391646, 0.116192, 0.126409, 0.150209, 0.205963, 0.24183, 0.320608, 0.394622, 0.504272, 0.551275, 0.625961, 0.638752, 0.659962, 0.692665, 0.722347, 0.730769, 0.703451, 0.68773, 0.666543, 0.620818, 0.442853, 0.328725, 0.269023, 0.239442, 0.195095, 0.147032, 0.133964, 0.110879, 0.0821452, 0.0514719, 0.0420966, 0.0327285, 0.0236563, 0.0129995, 0.00578861, 0.00427494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.122943");
-            index_3 ("0.140765, 0.166053, 0.170568, 0.174284, 0.178, 0.181716, 0.185432, 0.191646, 0.193718, 0.19786, 0.202003, 0.206146, 0.212642, 0.214996, 0.217349, 0.22441, 0.229796, 0.235859, 0.241047, 0.246236, 0.254422, 0.262679, 0.264828, 0.266548, 0.268267, 0.270559, 0.271705, 0.273798, 0.280077, 0.288449, 0.296821, 0.310764, 0.315426, 0.320089, 0.329008, 0.336512, 0.341042, 0.345763, 0.350485, 0.356822, 0.366146, 0.367142, 0.371125, 0.375108, 0.379091, 0.383075, 0.387058, 0.391041, 0.400906, 0.410776");
-            values ( \
-              "0.723682, 0.75157, 0.816558, 0.867605, 0.916444, 0.963074, 1.0075, 1.07186, 1.09203, 1.12967, 1.16529, 1.19892, 1.24762, 1.2618, 1.27505, 1.30845, 1.33162, 1.35463, 1.35294, 1.35007, 1.34099, 1.33328, 1.3229, 1.31281, 1.30082, 1.2823, 1.27204, 1.24962, 1.17709, 1.06221, 0.94511, 0.744875, 0.685953, 0.62997, 0.531606, 0.454941, 0.413053, 0.375428, 0.340348, 0.298407, 0.24086, 0.235008, 0.214241, 0.195258, 0.179217, 0.164191, 0.15018, 0.137183, 0.109937, 0.0854704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.397398");
-            index_3 ("0.13686, 0.157961, 0.181733, 0.199197, 0.229209, 0.251687, 0.289024, 0.325087, 0.361089, 0.386193, 0.399833, 0.427113, 0.49901, 0.544369, 0.577867, 0.605493, 0.626706, 0.670034, 0.689249, 0.72768, 0.761951, 0.808435, 0.870415, 0.954828, 1.03924, 1.12365");
-            values ( \
-              "0.658573, 0.698255, 1.10357, 1.36184, 1.6914, 1.84769, 1.9803, 1.99502, 1.93637, 1.84857, 1.78177, 1.60952, 1.08261, 0.798081, 0.625091, 0.506694, 0.42896, 0.301823, 0.257439, 0.185905, 0.138558, 0.0926888, 0.053369, 0.024688, 0.011399, 0.00530867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.28454");
-            index_3 ("0.139339, 0.214779, 0.23299, 0.265758, 0.28847, 0.33141, 0.372395, 0.386443, 0.414538, 0.470728, 0.544371, 0.660563, 0.742929, 0.803391, 0.887804, 1.00589, 1.13405, 1.26044, 1.34485, 1.4188, 1.49218, 1.5766, 1.70375, 1.781, 1.86541, 1.94137, 2.02791, 2.11233, 2.28115, 2.44998, 2.70321, 2.95645");
-            values ( \
-              "0.55281, 1.65964, 1.86988, 2.13824, 2.25416, 2.37552, 2.41291, 2.41512, 2.40926, 2.37411, 2.30083, 2.15754, 2.02788, 1.90825, 1.70795, 1.40211, 1.08685, 0.818142, 0.66663, 0.553271, 0.457307, 0.364576, 0.257089, 0.207344, 0.16297, 0.131207, 0.102317, 0.0803842, 0.0492093, 0.0300661, 0.0140723, 0.00687731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.121748, 0.131494, 0.138058, 0.146351, 0.149886, 0.156183, 0.157677, 0.160667, 0.166469, 0.173185, 0.179205, 0.184935, 0.190591, 0.196245, 0.196511, 0.197576, 0.198996, 0.201836, 0.203825, 0.210304, 0.21428, 0.217353, 0.221927, 0.224005, 0.22681, 0.23055, 0.23803, 0.245038, 0.249769, 0.251929, 0.256249, 0.264889, 0.282169, 0.312417, 0.348112");
-            values ( \
-              "0.00403133, 0.00661452, 0.0124061, 0.0220572, 0.0300237, 0.048761, 0.0582978, 0.0688973, 0.0915707, 0.103699, 0.114015, 0.114694, 0.117228, 0.1111, 0.112079, 0.11056, 0.108152, 0.100629, 0.0907667, 0.0495212, 0.0352302, 0.0272458, 0.0189567, 0.0162361, 0.013131, 0.00991869, 0.00569521, 0.00362618, 0.00277336, 0.00251022, 0.00206167, 0.00151893, 0.000994412, 0.00065254, 0.000423908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0117669");
-            index_3 ("0.123879, 0.131582, 0.142652, 0.148861, 0.158914, 0.17124, 0.179317, 0.18654, 0.193374, 0.200076, 0.206964, 0.21078, 0.223635, 0.229727, 0.23977, 0.248081, 0.256325, 0.267939, 0.277585, 0.296879, 0.362011, 0.382202");
-            values ( \
-              "0.0130435, 0.0189582, 0.0465831, 0.0699167, 0.140042, 0.244282, 0.280839, 0.305645, 0.313987, 0.318859, 0.308523, 0.292506, 0.139556, 0.0919244, 0.0465965, 0.0264652, 0.0152089, 0.00786454, 0.00507721, 0.00285046, 0.00122415, 0.00110308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0380349");
-            index_3 ("0.13179, 0.147611, 0.152904, 0.174762, 0.183767, 0.195266, 0.20554, 0.2152, 0.224629, 0.234049, 0.237947, 0.258167, 0.27041, 0.282782, 0.289143, 0.303264, 0.316285, 0.331165, 0.357887, 0.383542");
-            values ( \
-              "0.0394324, 0.133776, 0.179722, 0.460737, 0.552197, 0.639061, 0.693608, 0.723004, 0.73128, 0.703794, 0.666097, 0.328774, 0.195139, 0.110893, 0.0821531, 0.0421014, 0.0236649, 0.0130016, 0.00582382, 0.00517482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.122943");
-            index_3 ("0.131551, 0.157523, 0.188567, 0.211713, 0.228523, 0.234863, 0.247783, 0.260256, 0.264229, 0.272174, 0.280625, 0.297102, 0.33193, 0.351702, 0.369401, 0.381505, 0.403891, 0.420601, 0.440439, 0.456117, 0.487474, 0.53765, 0.546741");
-            values ( \
-              "0.014239, 0.326814, 0.811296, 1.0902, 1.2321, 1.27135, 1.32898, 1.3565, 1.35919, 1.35495, 1.33263, 1.20368, 0.707612, 0.484133, 0.335177, 0.257586, 0.155978, 0.106208, 0.0676922, 0.047475, 0.0234527, 0.00808058, 0.00763834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.397398");
-            index_3 ("0.131517, 0.253454, 0.270266, 0.303891, 0.314998, 0.329332, 0.343535, 0.371941, 0.379924, 0.395892, 0.418304, 0.457383, 0.489919, 0.524845, 0.564342, 0.596933, 0.622674, 0.656995, 0.681193, 0.700745, 0.726815, 0.773812, 0.806403, 0.828205, 0.87181, 0.956223, 1.04063, 1.20946");
-            values ( \
-              "0.113453, 1.73958, 1.84846, 1.97308, 1.98946, 1.99678, 1.99334, 1.95171, 1.93308, 1.88218, 1.78177, 1.52453, 1.28111, 1.03312, 0.78992, 0.623134, 0.512373, 0.389971, 0.320905, 0.273217, 0.219231, 0.146762, 0.110547, 0.0918815, 0.0623308, 0.0290943, 0.013173, 0.0026893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.28454");
-            index_3 ("0.160186, 0.236462, 0.281604, 0.306949, 0.34914, 0.390308, 0.404841, 0.433907, 0.492038, 0.557229, 0.685906, 0.749827, 0.821774, 0.906187, 1.1233, 1.27887, 1.36328, 1.43721, 1.51059, 1.59501, 1.72217, 1.79942, 1.88383, 1.95978, 2.13074, 2.29957, 2.46839, 2.72163, 2.97487");
-            values ( \
-              "0.63505, 1.70503, 2.12347, 2.25594, 2.37485, 2.41311, 2.41531, 2.40905, 2.37178, 2.30696, 2.14788, 2.0481, 1.90844, 1.70804, 1.15518, 0.818081, 0.66667, 0.55335, 0.457259, 0.364647, 0.257153, 0.207281, 0.163034, 0.131273, 0.0803193, 0.0491445, 0.0300016, 0.0141373, 0.00681235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.165056, 0.17166, 0.17908, 0.187409, 0.197198, 0.207492, 0.220227, 0.225957, 0.231614, 0.238597, 0.242852, 0.251338, 0.258308, 0.267888, 0.279337, 0.292981, 0.322084, 0.368408");
-            values ( \
-              "0.00467734, 0.00664367, 0.0123315, 0.0220324, 0.0486552, 0.0916215, 0.114059, 0.114653, 0.117267, 0.110562, 0.100652, 0.0494832, 0.0273953, 0.0130751, 0.0055777, 0.00250612, 0.0010163, 0.000835678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0117669");
-            index_3 ("0.171549, 0.183721, 0.190684, 0.198548, 0.201926, 0.208681, 0.212287, 0.220346, 0.227558, 0.232857, 0.237477, 0.241102, 0.247778, 0.252516, 0.255137, 0.264519, 0.271467, 0.276966, 0.282329, 0.286473, 0.294762, 0.300198, 0.304599, 0.313402, 0.331008, 0.387066, 0.427202");
-            values ( \
-              "0.0213831, 0.0482374, 0.0729651, 0.12701, 0.163118, 0.218169, 0.24325, 0.28252, 0.303627, 0.313646, 0.317346, 0.316954, 0.309419, 0.287623, 0.262673, 0.140297, 0.0874925, 0.0600105, 0.0419496, 0.0316283, 0.0179356, 0.0127717, 0.0098965, 0.00628749, 0.00329264, 0.00148632, 0.0011813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0380349");
-            index_3 ("0.171516, 0.188739, 0.194092, 0.216129, 0.224915, 0.236413, 0.246687, 0.256347, 0.265776, 0.275195, 0.279099, 0.299313, 0.311556, 0.323928, 0.330288, 0.34441, 0.35743, 0.37231, 0.39892, 0.426079");
-            values ( \
-              "0.0246686, 0.13443, 0.179155, 0.463501, 0.552027, 0.639324, 0.693431, 0.723227, 0.731109, 0.703987, 0.666034, 0.328772, 0.195144, 0.11089, 0.0821549, 0.0421034, 0.0236629, 0.0130037, 0.00584092, 0.00514868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.122943");
-            index_3 ("0.176502, 0.195748, 0.206821, 0.226278, 0.238257, 0.253393, 0.272329, 0.289497, 0.305945, 0.322396, 0.338811, 0.361189, 0.377947, 0.394637, 0.412515, 0.423753, 0.432994, 0.444845, 0.461825, 0.474521, 0.48293, 0.49975, 0.533388, 0.5549");
-            values ( \
-              "0.105597, 0.281548, 0.443407, 0.757078, 0.920719, 1.091, 1.24808, 1.33012, 1.36172, 1.33398, 1.2056, 0.878075, 0.652694, 0.471838, 0.324998, 0.254542, 0.207402, 0.158519, 0.107361, 0.0803297, 0.0664799, 0.0453655, 0.0213444, 0.0153968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.397398");
-            index_3 ("0.197051, 0.218056, 0.241599, 0.265956, 0.287479, 0.31139, 0.348774, 0.384778, 0.421172, 0.445978, 0.459514, 0.486588, 0.558695, 0.60337, 0.637378, 0.665762, 0.687658, 0.729724, 0.777332, 0.818852, 0.861307, 0.893726, 0.958565, 1.04298, 1.06718");
-            values ( \
-              "0.65383, 0.709222, 1.1097, 1.45599, 1.68009, 1.85034, 1.9804, 1.99639, 1.93496, 1.84833, 1.7818, 1.61108, 1.08262, 0.801867, 0.625901, 0.504335, 0.424649, 0.301838, 0.202717, 0.141876, 0.0984285, 0.0742173, 0.0415339, 0.0192171, 0.0170564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.28454");
-            index_3 ("0.196419, 0.275942, 0.29236, 0.324845, 0.347779, 0.390766, 0.431397, 0.445725, 0.474381, 0.531694, 0.601953, 0.642379, 0.72323, 0.797807, 0.862664, 0.947076, 1.16417, 1.25168, 1.31973, 1.40414, 1.47808, 1.55147, 1.63588, 1.76303, 1.84029, 1.9247, 2.00065, 2.0872, 2.25603, 2.42485, 2.59368, 2.7625, 3.01574");
-            values ( \
-              "0.458782, 1.68722, 1.87318, 2.13885, 2.25522, 2.37645, 2.41289, 2.41552, 2.40899, 2.37297, 2.30258, 2.25702, 2.15269, 2.03555, 1.90847, 1.70783, 1.15501, 0.956362, 0.818265, 0.666501, 0.553158, 0.457429, 0.364463, 0.256976, 0.207457, 0.162858, 0.131101, 0.102213, 0.0625292, 0.0380861, 0.0231139, 0.013974, 0.00697347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.261909, 0.273858, 0.282937, 0.299503, 0.306217, 0.312234, 0.317963, 0.323617, 0.330621, 0.334946, 0.343029, 0.350855, 0.362514, 0.367618, 0.376236, 0.390829, 0.428408, 0.454617");
-            values ( \
-              "0.0068662, 0.0150729, 0.029335, 0.0908362, 0.104562, 0.11327, 0.115483, 0.116507, 0.110522, 0.100305, 0.0508436, 0.0262793, 0.0107521, 0.0073503, 0.0040285, 0.00192292, 0.000794391, 0.000718313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0117669");
-            index_3 ("0.265389, 0.27549, 0.282081, 0.304066, 0.312138, 0.31936, 0.326195, 0.332896, 0.339786, 0.343642, 0.362544, 0.372594, 0.389152, 0.400754, 0.413251");
-            values ( \
-              "0.036709, 0.0472275, 0.072273, 0.243452, 0.281648, 0.304861, 0.314725, 0.318149, 0.30856, 0.292251, 0.091949, 0.0465842, 0.0152046, 0.00786499, 0.00569717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0380349");
-            index_3 ("0.26525, 0.280487, 0.283146, 0.291478, 0.302156, 0.307833, 0.3165, 0.327994, 0.338265, 0.347924, 0.357351, 0.36677, 0.370679, 0.373824, 0.383472, 0.390887, 0.395747, 0.403129, 0.40938, 0.415501, 0.421861, 0.431636, 0.435982, 0.441561, 0.449, 0.463878, 0.490398, 0.568259, 0.572455");
-            values ( \
-              "0.0438169, 0.136019, 0.157368, 0.245717, 0.396366, 0.464975, 0.552488, 0.639329, 0.693742, 0.723164, 0.731344, 0.703889, 0.665999, 0.620403, 0.442907, 0.328783, 0.269092, 0.195151, 0.147071, 0.110896, 0.0821572, 0.0514845, 0.0421049, 0.032737, 0.0236677, 0.0130043, 0.00585817, 0.00220053, 0.00214666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.122943");
-            index_3 ("0.279717, 0.298542, 0.317843, 0.34487, 0.363781, 0.380936, 0.397375, 0.413818, 0.430228, 0.469339, 0.486053, 0.515173, 0.53625, 0.553232, 0.57435, 0.624842, 0.64588");
-            values ( \
-              "0.322822, 0.451074, 0.761372, 1.09253, 1.24966, 1.33063, 1.36262, 1.33406, 1.20613, 0.653165, 0.471816, 0.254542, 0.158541, 0.107395, 0.0664772, 0.0213294, 0.0155066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.397398");
-            index_3 ("0.289997, 0.314064, 0.35098, 0.360756, 0.380309, 0.403147, 0.440449, 0.476495, 0.512481, 0.53771, 0.551228, 0.578265, 0.65041, 0.694963, 0.729061, 0.757581, 0.779599, 0.821439, 0.839887, 0.868971, 0.910522, 0.953094, 0.985615, 1.05066, 1.13507, 1.21948, 1.30389");
-            values ( \
-              "0.656497, 0.785677, 1.3704, 1.4928, 1.69105, 1.8507, 1.98093, 1.99621, 1.93613, 1.84827, 1.78185, 1.61132, 1.0826, 0.802569, 0.626018, 0.503929, 0.423865, 0.301827, 0.259066, 0.202837, 0.141937, 0.0983723, 0.0740957, 0.0413965, 0.0191435, 0.00884846, 0.00412232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.28454");
-            index_3 ("0.310221, 0.359725, 0.398569, 0.439558, 0.480735, 0.522801, 0.537502, 0.566904, 0.62478, 0.689449, 0.818573, 0.882496, 0.954442, 1.03885, 1.25597, 1.41154, 1.49595, 1.56988, 1.64326, 1.72767, 1.85484, 1.93209, 2.0165, 2.09245, 2.26341, 2.43224, 2.60106, 2.8543, 3.10754");
-            values ( \
-              "1.37107, 1.58424, 2.00793, 2.25456, 2.37235, 2.4128, 2.41503, 2.40886, 2.37155, 2.30751, 2.14797, 2.04819, 1.90835, 1.70812, 1.15524, 0.818023, 0.666722, 0.553401, 0.45721, 0.364695, 0.257199, 0.207235, 0.16308, 0.131315, 0.0802771, 0.0491029, 0.0299603, 0.014177, 0.0067728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.422245, 0.456995, 0.46059, 0.46333, 0.46881, 0.475389, 0.47839, 0.482391, 0.486408, 0.493869, 0.500953, 0.510754, 0.516969, 0.523058, 0.529141, 0.529261, 0.529421, 0.531663, 0.534226, 0.535675, 0.538573, 0.542828, 0.545479, 0.54991, 0.553549, 0.557935, 0.563241, 0.572154, 0.579088, 0.584355, 0.591403, 0.6008, 0.619595, 0.651231, 0.679282");
-            values ( \
-              "0.000953342, 0.0051423, 0.00808903, 0.00962121, 0.0135667, 0.0204366, 0.0252227, 0.0338978, 0.0467373, 0.0728211, 0.0897958, 0.103679, 0.106753, 0.108791, 0.105341, 0.105586, 0.105487, 0.10296, 0.0981155, 0.0933007, 0.0791179, 0.0525099, 0.0418894, 0.0287398, 0.0215996, 0.0156174, 0.0105824, 0.00553841, 0.0036241, 0.00271181, 0.00199679, 0.00147249, 0.000948423, 0.000630073, 0.000453464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0117669");
-            index_3 ("0.423131, 0.457009, 0.460839, 0.465149, 0.473769, 0.478429, 0.478843, 0.479671, 0.481326, 0.484637, 0.489708, 0.498502, 0.501581, 0.506649, 0.510415, 0.518255, 0.525554, 0.532664, 0.539766, 0.546883, 0.547407, 0.548456, 0.550437, 0.553483, 0.556921, 0.558672, 0.559073, 0.559875, 0.561478, 0.564684, 0.570004, 0.572161, 0.573102, 0.574985, 0.578751, 0.586282, 0.592726, 0.596548, 0.598021, 0.600967, 0.60686, 0.618645, 0.642216, 0.664726");
-            values ( \
-              "0.0030835, 0.0151531, 0.0225793, 0.0300038, 0.0491684, 0.0655042, 0.0669759, 0.0707139, 0.0783347, 0.0971819, 0.134594, 0.201271, 0.216763, 0.24484, 0.257891, 0.284106, 0.295066, 0.301693, 0.294215, 0.263873, 0.254874, 0.243553, 0.216758, 0.185404, 0.137253, 0.125329, 0.121261, 0.11485, 0.102983, 0.0831548, 0.0581925, 0.0506355, 0.0476267, 0.0420057, 0.0327044, 0.0197026, 0.0131935, 0.0105507, 0.00976828, 0.00835406, 0.00633944, 0.00404306, 0.00239836, 0.00184491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0380349");
-            index_3 ("0.425907, 0.457082, 0.467001, 0.470215, 0.476644, 0.48439, 0.485415, 0.487463, 0.49156, 0.49839, 0.509789, 0.514365, 0.521392, 0.526684, 0.537601, 0.547708, 0.550491, 0.556056, 0.56029, 0.565935, 0.567265, 0.569924, 0.575243, 0.584235, 0.591728, 0.596548, 0.599229, 0.603972, 0.610317, 0.612402, 0.616571, 0.622976, 0.632837, 0.637219, 0.642846, 0.65035, 0.665356, 0.692103, 0.725273, 0.725885");
-            values ( \
-              "0.00989269, 0.0381056, 0.0750341, 0.0892812, 0.123252, 0.181414, 0.190937, 0.211337, 0.257438, 0.343576, 0.467385, 0.507201, 0.564106, 0.598819, 0.658955, 0.692914, 0.699646, 0.705477, 0.703503, 0.69088, 0.683444, 0.664539, 0.596693, 0.436419, 0.324438, 0.266659, 0.238151, 0.193759, 0.145889, 0.132713, 0.109618, 0.081212, 0.0509116, 0.0416055, 0.0323544, 0.0234228, 0.0128782, 0.00585475, 0.00353937, 0.0035213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.122943");
-            index_3 ("0.456657, 0.480969, 0.489093, 0.521618, 0.542636, 0.55001, 0.562213, 0.569296, 0.579883, 0.593763, 0.596662, 0.602459, 0.613276, 0.629932, 0.665124, 0.679719, 0.6925, 0.701312, 0.714205, 0.726051, 0.741845, 0.75518, 0.775203, 0.8019, 0.846714, 0.900272, 0.968609");
-            values ( \
-              "0.122464, 0.241808, 0.332234, 0.790448, 1.03563, 1.1054, 1.20046, 1.24623, 1.2982, 1.33508, 1.33832, 1.33914, 1.31942, 1.19604, 0.70228, 0.532431, 0.411985, 0.342447, 0.258908, 0.199166, 0.139009, 0.102462, 0.0649574, 0.0352652, 0.0129316, 0.00488973, 0.00191447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.397398");
-            index_3 ("0.456776, 0.501011, 0.528518, 0.550029, 0.570082, 0.580325, 0.597969, 0.601549, 0.60871, 0.623031, 0.639274, 0.671762, 0.683186, 0.69743, 0.712041, 0.741264, 0.750541, 0.769097, 0.849943, 0.872004, 0.897216, 0.929368, 0.954404, 0.987784, 1.01305, 1.03341, 1.06055, 1.10654, 1.12777, 1.15919, 1.20108, 1.28487, 1.36928, 1.45369, 1.53811");
-            values ( \
-              "0.0858928, 0.561514, 1.00074, 1.31591, 1.55508, 1.65533, 1.79161, 1.81365, 1.85323, 1.91429, 1.95863, 1.98542, 1.97789, 1.95874, 1.92759, 1.82579, 1.77878, 1.66764, 1.0811, 0.935895, 0.786256, 0.621647, 0.513909, 0.394726, 0.321541, 0.271936, 0.216663, 0.145894, 0.121589, 0.0926744, 0.0642122, 0.0298482, 0.013826, 0.00638941, 0.00299027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.28454");
-            index_3 ("0.502096, 0.549433, 0.59644, 0.619792, 0.638225, 0.675093, 0.707165, 0.736635, 0.784771, 0.833352, 0.933463, 1.03912, 1.12353, 1.15386, 1.21351, 1.45524, 1.55111, 1.61605, 1.70046, 1.75875, 1.83611, 1.96172, 2.07869, 2.1526, 2.29293, 2.46176, 2.63058, 2.79941, 2.96823, 3.30588");
-            values ( \
-              "1.28581, 1.389, 1.95771, 2.13349, 2.23069, 2.35065, 2.39617, 2.40961, 2.39768, 2.36222, 2.25703, 2.11697, 1.97115, 1.90798, 1.76939, 1.15526, 0.938726, 0.807989, 0.658073, 0.568274, 0.465032, 0.331985, 0.24049, 0.195202, 0.130883, 0.0803441, 0.0490878, 0.0298945, 0.0181594, 0.00664637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.800523, 0.830454, 0.847472, 0.850978, 0.85799, 0.864887, 0.879978, 0.889772, 0.898311, 0.906289, 0.914047, 0.921797, 0.929527, 0.941921, 0.952311, 0.963019, 0.97239, 0.990303, 1.01421, 1.09527");
-            values ( \
-              "0.0025874, 0.00617536, 0.0119485, 0.0142475, 0.0199262, 0.0300881, 0.0604376, 0.0734493, 0.0801507, 0.0839793, 0.0852151, 0.0842562, 0.0781995, 0.0354735, 0.0167794, 0.00840698, 0.0045318, 0.00180751, 0.000925163, 0.000678256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0117669");
-            index_3 ("0.799827, 0.832614, 0.842349, 0.852103, 0.856863, 0.863209, 0.879581, 0.885467, 0.896717, 0.906478, 0.915534, 0.924289, 0.932973, 0.941653, 0.953012, 0.960145, 0.966178, 0.973154, 0.978569, 0.988161, 0.993405, 1.00314, 1.01611, 1.04014, 1.11625, 1.16236");
-            values ( \
-              "0.00624704, 0.0196057, 0.0280364, 0.040926, 0.0505761, 0.0685855, 0.145334, 0.16964, 0.206998, 0.227174, 0.240001, 0.24471, 0.243494, 0.223573, 0.122974, 0.0802346, 0.0553972, 0.0365111, 0.026146, 0.0143623, 0.0106657, 0.00649334, 0.00384571, 0.00229589, 0.00109171, 0.000855016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0380349");
-            index_3 ("0.815206, 0.842443, 0.858355, 0.8751, 0.899193, 0.914312, 0.927422, 0.939483, 0.951029, 0.962412, 0.973785, 0.988003, 1.00593, 1.01751, 1.02628, 1.03967, 1.05748, 1.07784, 1.10872, 1.12435");
-            values ( \
-              "0.0523831, 0.0691448, 0.119824, 0.223927, 0.412955, 0.494677, 0.549023, 0.583939, 0.604676, 0.599663, 0.519365, 0.318088, 0.155939, 0.0946517, 0.0638713, 0.0351102, 0.0169512, 0.00839469, 0.00458477, 0.0042891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.122943");
-            index_3 ("0.81444, 0.850831, 0.863148, 0.877589, 0.899668, 0.920698, 0.931253, 0.952364, 0.958391, 0.966427, 0.974403, 0.990356, 0.998272, 1.00883, 1.0154, 1.02226, 1.02962, 1.04435, 1.06538, 1.08079, 1.09207, 1.0998, 1.11452, 1.12425, 1.13112, 1.1419, 1.15724, 1.16371, 1.17665, 1.20253, 1.21598, 1.24493, 1.28425");
-            values ( \
-              "0.079892, 0.163498, 0.234657, 0.351136, 0.579402, 0.776772, 0.867907, 1.03161, 1.07149, 1.11879, 1.15892, 1.2148, 1.229, 1.23101, 1.21795, 1.18693, 1.12814, 0.950226, 0.680496, 0.512686, 0.411538, 0.35164, 0.257416, 0.208561, 0.179319, 0.141021, 0.0997058, 0.0862802, 0.0645393, 0.0361143, 0.0272121, 0.0154822, 0.00820025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.397398");
-            index_3 ("0.799148, 0.865415, 0.887596, 0.946554, 0.974914, 0.995892, 1.03265, 1.05877, 1.07409, 1.10472, 1.11155, 1.12522, 1.15068, 1.25131, 1.29917, 1.35522, 1.37977, 1.42234, 1.48119, 1.51467, 1.56004, 1.62053, 1.70495, 1.72835");
-            values ( \
-              "0.0342101, 0.317545, 0.527151, 1.2088, 1.49892, 1.66528, 1.85069, 1.90849, 1.91966, 1.90101, 1.88876, 1.85634, 1.75856, 1.0779, 0.782323, 0.516153, 0.426007, 0.301725, 0.184008, 0.138022, 0.0932485, 0.0545021, 0.0251773, 0.0224348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.28454");
-            index_3 ("0.860441, 0.918242, 0.97238, 1.00644, 1.03355, 1.08402, 1.13147, 1.15635, 1.20019, 1.24327, 1.29116, 1.4166, 1.4831, 1.55335, 1.63776, 1.85487, 2.01043, 2.09485, 2.16878, 2.24216, 2.36932, 2.45373, 2.53098, 2.6154, 2.72019, 2.8623, 3.03113, 3.19995, 3.45319, 3.70643");
-            values ( \
-              "0.663975, 0.937054, 1.61587, 1.9415, 2.11981, 2.3117, 2.37392, 2.38113, 2.36879, 2.33986, 2.29801, 2.14855, 2.04505, 1.90823, 1.70814, 1.15527, 0.817997, 0.666759, 0.553429, 0.457179, 0.324774, 0.257232, 0.207206, 0.163112, 0.120955, 0.08025, 0.0490749, 0.0299318, 0.0142068, 0.00674444" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.00348777, 0.00348791, 0.00348831, 0.00348886, 0.0034892, 0.00348934", \
-            "0.00445337, 0.00445335, 0.00445337, 0.00445361, 0.00445394, 0.00445412", \
-            "0.00499575, 0.00499571, 0.00499565, 0.00499557, 0.00499564, 0.00499574", \
-            "0.00528346, 0.00529139, 0.00529135, 0.00528332, 0.00528323, 0.00528322", \
-            "0.00546994, 0.00546994, 0.00546992, 0.00546986, 0.00546978, 0.00546971", \
-            "0.00559843, 0.00559843, 0.00559841, 0.00559838, 0.00559831, 0.00559825" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.00519073, 0.00519037, 0.00518999, 0.00519015, 0.0051906, 0.00519085", \
-            "0.00513151, 0.00513139, 0.00513096, 0.00513016, 0.00512968, 0.00512956", \
-            "0.00477979, 0.00477964, 0.00477942, 0.00477922, 0.00477871, 0.0047783", \
-            "0.00468668, 0.00467919, 0.00467906, 0.00468618, 0.00468553, 0.00468493", \
-            "0.00491787, 0.00491815, 0.00491877, 0.00491958, 0.00491985, 0.00491951", \
-            "0.00543792, 0.00545554, 0.00560872, 0.00576229, 0.00584615, 0.00587882" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.101975, 0.103818, 0.109065, 0.109773, 0.117254, 0.122865, 0.13324, 0.139012, 0.143944, 0.148423, 0.152642, 0.15675, 0.160852, 0.171863, 0.177741, 0.182562, 0.187295, 0.192705, 0.195567");
-            values ( \
-              "-0.0167178, -0.0181209, -0.0189714, -0.0216335, -0.031491, -0.0452376, -0.10226, -0.124902, -0.141082, -0.151556, -0.158872, -0.160705, -0.151276, -0.0367942, -0.0141841, -0.00770138, -0.00494606, -0.00321233, -0.00276863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0117669");
-            index_3 ("0.103295, 0.105934, 0.110941, 0.119419, 0.125323, 0.136958, 0.143687, 0.149431, 0.154646, 0.159546, 0.164306, 0.16906, 0.178016, 0.181955, 0.185208, 0.188347, 0.193892, 0.198628, 0.207694, 0.218968, 0.251612");
-            values ( \
-              "-0.0505944, -0.056343, -0.0620426, -0.0976607, -0.13794, -0.283775, -0.34676, -0.390749, -0.42151, -0.442365, -0.449145, -0.421507, -0.186443, -0.108788, -0.067712, -0.0425647, -0.0201849, -0.0114648, -0.00490142, -0.00275093, -0.00209085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0380349");
-            index_3 ("0.108524, 0.115497, 0.124589, 0.126917, 0.130022, 0.143219, 0.151878, 0.163583, 0.170745, 0.177508, 0.184112, 0.190708, 0.202024, 0.209368, 0.216395, 0.222044, 0.226648, 0.235854, 0.248287, 0.265558");
-            values ( \
-              "-0.149379, -0.185447, -0.27451, -0.305483, -0.356038, -0.630552, -0.770413, -0.921329, -0.98898, -1.03462, -1.04202, -0.952437, -0.493794, -0.262143, -0.13239, -0.0752541, -0.0472075, -0.0185372, -0.00670455, -0.00463705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.122943");
-            index_3 ("0.11004, 0.127432, 0.168874, 0.18327, 0.195968, 0.207726, 0.219052, 0.230361, 0.242795, 0.268373, 0.279321, 0.292828, 0.307987, 0.321209, 0.323653");
-            values ( \
-              "-0.00662792, -0.502856, -1.41706, -1.66042, -1.82497, -1.93375, -1.98224, -1.93024, -1.62692, -0.679232, -0.425721, -0.230085, -0.112971, -0.0593372, -0.0549833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.397398");
-            index_3 ("0.109468, 0.181765, 0.197993, 0.213567, 0.240408, 0.265185, 0.289268, 0.31332, 0.315998, 0.324292, 0.338683, 0.35555, 0.392284, 0.414752, 0.435819, 0.451285, 0.479174, 0.500468, 0.522711, 0.539765, 0.573873, 0.635293, 0.696714");
-            values ( \
-              "-0.0316451, -1.94844, -2.27306, -2.51939, -2.81346, -2.95481, -2.99642, -2.93346, -2.9176, -2.85768, -2.6975, -2.38449, -1.5422, -1.12034, -0.8132, -0.636337, -0.403362, -0.281644, -0.193161, -0.144054, -0.0787239, -0.0240848, -0.00740538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.28454");
-            index_3 ("0.137024, 0.166935, 0.199993, 0.22077, 0.240953, 0.279727, 0.309673, 0.342859, 0.37405, 0.422292, 0.438157, 0.503791, 0.565212, 0.612086, 0.645695, 0.707115, 0.784575, 0.866767, 0.905054, 0.941821, 0.989935, 1.03025, 1.0768, 1.13822, 1.21692, 1.27675, 1.33817, 1.46101, 1.58385, 1.70669");
-            values ( \
-              "-1.4056, -1.68947, -2.48338, -2.85164, -3.11433, -3.42717, -3.54638, -3.60702, -3.62102, -3.59601, -3.57861, -3.47371, -3.31905, -3.13875, -2.95165, -2.4653, -1.80606, -1.23586, -1.0251, -0.851808, -0.664641, -0.538018, -0.419466, -0.3006, -0.195619, -0.140277, -0.0997025, -0.0501172, -0.0251413, -0.0126089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.122326, 0.123812, 0.129239, 0.129967, 0.137436, 0.143024, 0.153416, 0.159188, 0.164121, 0.168599, 0.172818, 0.176926, 0.181028, 0.192039, 0.197916, 0.202737, 0.207473, 0.212885, 0.216711");
-            values ( \
-              "-0.0168778, -0.0180187, -0.0189848, -0.0217098, -0.0315264, -0.0451833, -0.102242, -0.124926, -0.141068, -0.151572, -0.158863, -0.160716, -0.151269, -0.0367937, -0.0141863, -0.0077012, -0.00494575, -0.00321086, -0.00261838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0117669");
-            index_3 ("0.123899, 0.125732, 0.1311, 0.139658, 0.145466, 0.152862, 0.157185, 0.163914, 0.169657, 0.174872, 0.179772, 0.184532, 0.189285, 0.198242, 0.20218, 0.205433, 0.208572, 0.210565, 0.214118, 0.218856, 0.22792, 0.239195, 0.277048, 0.279221");
-            values ( \
-              "-0.0515268, -0.0555588, -0.0618099, -0.0979373, -0.137214, -0.234995, -0.283638, -0.346947, -0.390651, -0.421631, -0.442295, -0.449231, -0.42145, -0.186434, -0.108796, -0.0677203, -0.0425683, -0.0322329, -0.0201826, -0.011462, -0.00490138, -0.00275081, -0.000938889, -0.000918478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0380349");
-            index_3 ("0.12517, 0.137027, 0.145532, 0.151811, 0.161158, 0.175854, 0.183704, 0.190872, 0.197633, 0.204236, 0.210831, 0.222152, 0.229485, 0.236513, 0.242167, 0.246773, 0.255987, 0.268422, 0.300608");
-            values ( \
-              "-0.0289234, -0.20242, -0.285699, -0.386336, -0.588014, -0.824853, -0.920517, -0.990231, -1.03405, -1.04293, -0.951937, -0.493545, -0.262252, -0.132422, -0.0752409, -0.0471798, -0.0185127, -0.00669718, -0.00496661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.122943");
-            index_3 ("0.135595, 0.146084, 0.148051, 0.151987, 0.158996, 0.171547, 0.184088, 0.189493, 0.197608, 0.203839, 0.216574, 0.228328, 0.239656, 0.250967, 0.262292, 0.263402, 0.265622, 0.270062, 0.276979, 0.280104, 0.280709, 0.281918, 0.284336, 0.288955, 0.294918, 0.296596, 0.29995, 0.305515, 0.313445, 0.316203, 0.317027, 0.318675, 0.321972, 0.328564, 0.341749, 0.346556");
-            values ( \
-              "-0.326173, -0.475778, -0.504736, -0.572003, -0.718257, -1.04682, -1.30776, -1.42033, -1.55858, -1.66043, -1.82345, -1.93416, -1.98109, -1.93073, -1.6604, -1.62706, -1.5361, -1.35662, -1.07512, -0.962401, -0.939413, -0.898212, -0.817835, -0.680047, -0.52901, -0.492543, -0.425217, -0.331723, -0.229893, -0.202517, -0.194714, -0.180507, -0.154527, -0.113243, -0.0594442, -0.0508869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.397398");
-            index_3 ("0.142537, 0.160808, 0.20216, 0.221804, 0.233952, 0.258249, 0.265769, 0.285517, 0.304956, 0.30959, 0.318857, 0.333663, 0.359028, 0.375242, 0.412593, 0.43516, 0.456269, 0.471704, 0.499547, 0.520852, 0.543135, 0.560224, 0.594403, 0.631166");
-            values ( \
-              "-0.517683, -0.908836, -1.94961, -2.33392, -2.51996, -2.79244, -2.84973, -2.953, -2.99304, -2.99333, -2.98299, -2.93026, -2.69769, -2.39969, -1.54392, -1.12012, -0.812551, -0.636115, -0.403539, -0.281706, -0.193074, -0.143905, -0.0785331, -0.0459101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.28454");
-            index_3 ("0.149811, 0.220232, 0.239361, 0.261225, 0.300177, 0.329951, 0.363133, 0.39433, 0.442793, 0.489735, 0.524073, 0.585493, 0.63237, 0.665977, 0.727397, 0.804858, 0.861829, 0.88705, 0.925333, 0.962101, 1.01022, 1.05053, 1.09709, 1.15851, 1.23721, 1.29703, 1.35845, 1.48129, 1.60413, 1.72697");
-            values ( \
-              "-0.787329, -2.48062, -2.82571, -3.11292, -3.42882, -3.54543, -3.60766, -3.62018, -3.5965, -3.5358, -3.4731, -3.31964, -3.13831, -2.95207, -2.46488, -1.80628, -1.39345, -1.23607, -1.02495, -0.851981, -0.664482, -0.537866, -0.419611, -0.300454, -0.1955, -0.140393, -0.0995855, -0.050003, -0.0250296, -0.0124997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.168435, 0.173695, 0.175486, 0.179068, 0.182878, 0.190498, 0.196989, 0.204587, 0.209526, 0.214004, 0.218225, 0.222335, 0.226439, 0.232911, 0.237452, 0.240187, 0.243312, 0.248148, 0.252917, 0.258368, 0.269269, 0.28441, 0.35903");
-            values ( \
-              "-0.0176383, -0.0182998, -0.0228121, -0.0255491, -0.0322007, -0.0523434, -0.0932539, -0.124936, -0.140889, -0.151522, -0.158759, -0.160668, -0.151209, -0.0763829, -0.0367882, -0.0234036, -0.0142287, -0.00770892, -0.00492983, -0.00319881, -0.00151687, -0.00076383, -0.000379932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0117669");
-            index_3 ("0.169442, 0.173313, 0.177467, 0.185208, 0.191667, 0.202755, 0.209473, 0.215217, 0.220432, 0.225332, 0.230091, 0.234844, 0.243795, 0.247744, 0.251001, 0.254137, 0.256125, 0.259665, 0.264385, 0.273436, 0.284699, 0.322475, 0.419067");
-            values ( \
-              "-0.0517334, -0.061169, -0.0658705, -0.098253, -0.14299, -0.285221, -0.345756, -0.391581, -0.420897, -0.4429, -0.448739, -0.42188, -0.186581, -0.108723, -0.0676382, -0.0425345, -0.0322318, -0.0202161, -0.0115, -0.00491704, -0.00275604, -0.000941007, -3.05188e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0380349");
-            index_3 ("0.174687, 0.18271, 0.191186, 0.196307, 0.208856, 0.219511, 0.22533, 0.236447, 0.243224, 0.249827, 0.256423, 0.267745, 0.275077, 0.282106, 0.28776, 0.292367, 0.301582, 0.314016, 0.342135");
-            values ( \
-              "-0.139073, -0.202494, -0.287099, -0.365725, -0.628696, -0.798555, -0.875188, -0.989996, -1.03396, -1.04289, -0.951864, -0.493497, -0.262257, -0.132421, -0.0752372, -0.047174, -0.0185097, -0.00669628, -0.00518429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.122943");
-            index_3 ("0.17648, 0.193323, 0.234673, 0.249063, 0.261757, 0.273512, 0.284837, 0.296144, 0.308577, 0.334159, 0.345099, 0.358608, 0.373773, 0.387002, 0.389515");
-            values ( \
-              "-0.0207249, -0.505868, -1.41783, -1.66101, -1.82539, -1.9341, -1.98247, -1.93044, -1.62703, -0.679129, -0.425797, -0.2301, -0.112952, -0.0592989, -0.0548243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.397398");
-            index_3 ("0.177636, 0.226472, 0.247394, 0.267648, 0.2793, 0.306102, 0.313097, 0.330875, 0.338452, 0.354911, 0.378895, 0.38966, 0.404353, 0.411869, 0.4269, 0.469048, 0.482528, 0.501663, 0.517074, 0.544803, 0.566135, 0.588519, 0.605698, 0.640056, 0.682612");
-            values ( \
-              "-0.0211803, -1.44372, -1.94886, -2.34057, -2.51955, -2.81125, -2.86218, -2.95238, -2.97493, -2.99306, -2.93273, -2.85889, -2.69665, -2.57411, -2.25507, -1.3219, -1.08665, -0.811603, -0.635577, -0.403966, -0.281864, -0.192856, -0.143515, -0.078058, -0.0405202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.28454");
-            index_3 ("0.200583, 0.232965, 0.260487, 0.277138, 0.30695, 0.332967, 0.365884, 0.395236, 0.440082, 0.479862, 0.504236, 0.552984, 0.602953, 0.638026, 0.699446, 0.736719, 0.829688, 0.887383, 0.927212, 0.961248, 1.00072, 1.05304, 1.10143, 1.16285, 1.21502, 1.28478, 1.3462, 1.40762, 1.53046, 1.6533, 1.77614");
-            values ( \
-              "-1.1711, -1.68522, -2.37616, -2.69774, -3.11584, -3.34197, -3.5157, -3.58805, -3.62114, -3.6028, -3.57613, -3.50662, -3.39922, -3.29525, -3.0288, -2.77266, -1.97411, -1.53087, -1.26827, -1.07491, -0.883245, -0.673504, -0.522396, -0.376299, -0.28251, -0.192657, -0.137773, -0.0970538, -0.0485466, -0.0241247, -0.0118781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.265341, 0.276846, 0.284284, 0.289908, 0.300258, 0.306029, 0.310962, 0.31544, 0.319659, 0.323766, 0.327869, 0.338879, 0.34476, 0.349577, 0.354301, 0.3597, 0.368043");
-            values ( \
-              "-0.00104387, -0.0217688, -0.0315789, -0.0453016, -0.102337, -0.12486, -0.14114, -0.151519, -0.158918, -0.160674, -0.151312, -0.0367994, -0.0141783, -0.00770257, -0.00495074, -0.00321707, -0.00192085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0117669");
-            index_3 ("0.265299, 0.286437, 0.293518, 0.301567, 0.307857, 0.316412, 0.326526, 0.331287, 0.336042, 0.348939, 0.355331, 0.360873, 0.365607, 0.374648, 0.384022");
-            values ( \
-              "-0.00220421, -0.0980544, -0.149648, -0.25917, -0.322713, -0.391067, -0.44266, -0.448637, -0.421789, -0.10877, -0.0425627, -0.0201955, -0.0114715, -0.00491807, -0.00311613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0380349");
-            index_3 ("0.274695, 0.292393, 0.297568, 0.310117, 0.320769, 0.326612, 0.337718, 0.344496, 0.351101, 0.357697, 0.369027, 0.376349, 0.383379, 0.389036, 0.393646, 0.402866, 0.415278, 0.452145");
-            values ( \
-              "-0.0986022, -0.285333, -0.365126, -0.628219, -0.798124, -0.875097, -0.989815, -1.03369, -1.04279, -0.95171, -0.493212, -0.26234, -0.132447, -0.0752325, -0.0471596, -0.0184951, -0.00670663, -0.00471798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.122943");
-            index_3 ("0.277172, 0.294121, 0.335905, 0.350318, 0.363036, 0.374802, 0.386134, 0.397449, 0.408776, 0.435464, 0.446415, 0.459922, 0.475078, 0.488298, 0.490627");
-            values ( \
-              "-0.0312996, -0.491457, -1.41488, -1.65769, -1.82397, -1.93209, -1.98178, -1.92925, -1.66118, -0.67922, -0.425688, -0.230075, -0.112998, -0.0593528, -0.0551962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.397398");
-            index_3 ("0.277018, 0.341258, 0.363871, 0.380615, 0.407461, 0.432241, 0.456325, 0.480378, 0.483041, 0.49129, 0.505741, 0.512647, 0.52646, 0.551699, 0.578758, 0.601328, 0.617837, 0.632209, 0.646904, 0.667919, 0.677017, 0.695213, 0.731606, 0.790044, 0.851465");
-            values ( \
-              "-0.0153389, -1.77615, -2.25065, -2.51905, -2.81275, -2.95487, -2.99598, -2.93364, -2.9176, -2.85812, -2.69746, -2.5857, -2.29757, -1.70843, -1.17225, -0.833425, -0.641646, -0.508574, -0.399006, -0.279884, -0.239968, -0.175706, -0.0921244, -0.0302696, -0.00930445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.28454");
-            index_3 ("0.307892, 0.343841, 0.3748, 0.407999, 0.443531, 0.476726, 0.509996, 0.541088, 0.588368, 0.605188, 0.670829, 0.732249, 0.779124, 0.812733, 0.874153, 0.951611, 1.0338, 1.07209, 1.10886, 1.15697, 1.19728, 1.24384, 1.30526, 1.35405, 1.44379, 1.50521, 1.62805, 1.75089, 1.87373");
-            values ( \
-              "-1.61015, -1.94967, -2.63532, -3.11493, -3.40741, -3.5468, -3.60656, -3.62144, -3.59639, -3.57895, -3.47405, -3.31868, -3.13902, -2.95139, -2.46555, -1.80591, -1.23571, -1.02521, -0.851681, -0.664758, -0.538132, -0.419358, -0.300708, -0.230237, -0.140186, -0.0997926, -0.0502058, -0.0252283, -0.0126944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.488079, 0.499429, 0.501672, 0.504313, 0.505633, 0.506953, 0.509593, 0.512048, 0.512866, 0.513703, 0.520679, 0.521383, 0.523497, 0.526316, 0.529134, 0.531607, 0.536329, 0.538229, 0.540248, 0.543276, 0.545564, 0.546243, 0.547091, 0.547515, 0.548347, 0.549179, 0.54974, 0.550863, 0.551424, 0.552546, 0.553611, 0.554676, 0.555741, 0.557705, 0.559118, 0.560246, 0.561536, 0.562759, 0.563982, 0.565368, 0.566461, 0.567434, 0.568406, 0.569378, 0.57035, 0.571322, 0.572295, 0.573609, 0.574924, 0.576933");
-            values ( \
-              "-0.0188334, -0.0199023, -0.0220158, -0.0252077, -0.0271767, -0.0293945, -0.0345763, -0.0409045, -0.0432924, -0.0463412, -0.07871, -0.0815521, -0.0898411, -0.100339, -0.110204, -0.118085, -0.131281, -0.136, -0.140233, -0.145698, -0.149103, -0.14991, -0.150639, -0.150901, -0.151073, -0.150885, -0.150422, -0.148909, -0.14786, -0.145175, -0.14054, -0.132369, -0.122938, -0.101081, -0.0869936, -0.0730529, -0.0604116, -0.0500922, -0.0413348, -0.0329278, -0.0269222, -0.0230124, -0.0196267, -0.0171175, -0.0148973, -0.012966, -0.0113237, -0.00971985, -0.00832949, -0.00661667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0117669");
-            index_3 ("0.48983, 0.501112, 0.505761, 0.506667, 0.508479, 0.510291, 0.512104, 0.512986, 0.51475, 0.515932, 0.516833, 0.520437, 0.524041, 0.527487, 0.531196, 0.53305, 0.534904, 0.536464, 0.539583, 0.542537, 0.545326, 0.546721, 0.548086, 0.549451, 0.551895, 0.552451, 0.553564, 0.554741, 0.555983, 0.556604, 0.557428, 0.557978, 0.558527, 0.559076, 0.559626, 0.560724, 0.561312, 0.5619, 0.563076, 0.564252, 0.56725, 0.569549, 0.571167, 0.573108, 0.575377, 0.577061, 0.578745, 0.580428, 0.581958, 0.583491");
-            values ( \
-              "-0.0592516, -0.061096, -0.0742812, -0.0773774, -0.0851399, -0.094402, -0.105164, -0.111029, -0.123923, -0.133647, -0.142201, -0.182505, -0.221239, -0.255506, -0.288997, -0.304583, -0.319397, -0.331151, -0.353233, -0.372393, -0.388916, -0.396607, -0.403432, -0.410086, -0.420367, -0.422225, -0.425395, -0.427959, -0.429781, -0.430352, -0.429867, -0.428747, -0.427031, -0.424719, -0.421812, -0.41421, -0.407783, -0.40009, -0.378126, -0.353464, -0.278404, -0.215506, -0.177091, -0.137712, -0.0988989, -0.0773811, -0.0613071, -0.0482032, -0.039111, -0.0310366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0380349");
-            index_3 ("0.487765, 0.493175, 0.5001, 0.501685, 0.504857, 0.509891, 0.512867, 0.518818, 0.524212, 0.534089, 0.537545, 0.543244, 0.550371, 0.555955, 0.558271, 0.560726, 0.563008, 0.566626, 0.569966, 0.576713, 0.58346, 0.585152, 0.587087, 0.593238, 0.596478, 0.599751, 0.60071, 0.602629, 0.606468, 0.609811, 0.611606, 0.615196, 0.622375, 0.633266, 0.639173");
-            values ( \
-              "-0.0803737, -0.103235, -0.133394, -0.145102, -0.165305, -0.201756, -0.227861, -0.297137, -0.392503, -0.574719, -0.626981, -0.717769, -0.816188, -0.88383, -0.9101, -0.935692, -0.957358, -0.986954, -1.00797, -1.02262, -0.937775, -0.88489, -0.81297, -0.55328, -0.430481, -0.325851, -0.299319, -0.251367, -0.174293, -0.125429, -0.105016, -0.0732215, -0.0350151, -0.0121002, -0.00904529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.122943");
-            index_3 ("0.477775, 0.497349, 0.505792, 0.509764, 0.517708, 0.52512, 0.550662, 0.564608, 0.57479, 0.586678, 0.59519, 0.599925, 0.609394, 0.611442, 0.615539, 0.62283, 0.634299, 0.649912, 0.659554, 0.668658, 0.672767, 0.680986, 0.688747, 0.693045, 0.701639, 0.714771");
-            values ( \
-              "-0.104611, -0.170623, -0.266035, -0.318189, -0.429874, -0.558703, -1.14427, -1.42192, -1.59617, -1.76259, -1.85524, -1.89599, -1.94913, -1.95329, -1.95369, -1.90743, -1.6519, -1.03761, -0.719177, -0.491262, -0.410537, -0.283129, -0.197949, -0.161869, -0.107699, -0.0603356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.397398");
-            index_3 ("0.497535, 0.527701, 0.57161, 0.580974, 0.599701, 0.614209, 0.631702, 0.656754, 0.680967, 0.705154, 0.730583, 0.737724, 0.747245, 0.784235, 0.806739, 0.827819, 0.84328, 0.856098, 0.871158, 0.892456, 0.914706, 0.931767, 0.965888, 1.02731, 1.08873");
-            values ( \
-              "-0.1886, -0.760004, -1.84511, -2.04917, -2.39246, -2.5968, -2.77882, -2.93475, -2.9833, -2.92617, -2.69495, -2.58041, -2.38872, -1.54228, -1.12008, -0.812964, -0.636222, -0.517187, -0.4034, -0.281654, -0.193153, -0.144039, -0.0786966, -0.024081, -0.0074022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.28454");
-            index_3 ("0.497824, 0.590199, 0.632102, 0.672114, 0.701328, 0.734629, 0.765824, 0.813508, 0.895616, 0.957036, 1.00394, 1.03753, 1.25766, 1.352, 1.44431, 1.56215, 1.70827, 1.76519");
-            values ( \
-              "-0.113897, -2.39145, -3.07405, -3.41465, -3.53494, -3.60186, -3.61681, -3.59581, -3.47266, -3.31961, -3.13808, -2.95209, -1.24186, -0.775377, -0.478228, -0.252342, -0.112584, -0.0901389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.88571, 0.915789, 0.929952, 0.940849, 0.953053, 0.961132, 0.969584, 0.98623, 0.999434, 1.00562, 1.0118, 1.01635, 1.02312, 1.0279, 1.0335, 1.03989, 1.04411, 1.05086, 1.05985, 1.06703");
-            values ( \
-              "-0.00244755, -0.00510995, -0.0106856, -0.0155866, -0.0254864, -0.0428382, -0.064632, -0.0930982, -0.105667, -0.106529, -0.10317, -0.0827038, -0.0413223, -0.0227874, -0.0122926, -0.00702879, -0.00557733, -0.00401906, -0.00258919, -0.00193426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0117669");
-            index_3 ("0.915687, 0.934961, 0.946991, 0.957997, 0.974099, 0.984357, 0.998573, 1.00754, 1.00924, 1.01433, 1.02114, 1.0381, 1.04333, 1.04702, 1.05095");
-            values ( \
-              "-0.0346649, -0.0378085, -0.0599597, -0.0889849, -0.183622, -0.233313, -0.286683, -0.313168, -0.313468, -0.311878, -0.304178, -0.0773558, -0.0429792, -0.0291125, -0.0200435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0380349");
-            index_3 ("0.896022, 0.915742, 0.928618, 0.932509, 0.940291, 0.947931, 0.95052, 0.955698, 0.963772, 0.967614, 0.986198, 0.999231, 1.01011, 1.01979, 1.02872, 1.03728, 1.04583, 1.04706, 1.04837, 1.051, 1.05827, 1.061, 1.06564, 1.06774, 1.07094, 1.07564, 1.0781, 1.08195, 1.08709, 1.09738, 1.10223");
-            values ( \
-              "-0.0254245, -0.0389989, -0.0719283, -0.0847137, -0.108339, -0.136525, -0.147531, -0.173656, -0.230885, -0.269632, -0.465435, -0.585886, -0.67445, -0.740192, -0.789524, -0.814229, -0.774435, -0.751063, -0.725565, -0.661514, -0.446291, -0.371318, -0.262537, -0.221819, -0.170098, -0.112693, -0.0907714, -0.0643994, -0.0405429, -0.0160533, -0.0126025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.122943");
-            index_3 ("0.915167, 0.948011, 0.966441, 0.976192, 1.0238, 1.0477, 1.06206, 1.07544, 1.08848, 1.10224, 1.12081, 1.1359, 1.1453, 1.15763, 1.1739, 1.19125, 1.21574, 1.26884");
-            values ( \
-              "-0.0545583, -0.255443, -0.405715, -0.520579, -1.19099, -1.47155, -1.60813, -1.69632, -1.70514, -1.49715, -0.889291, -0.501981, -0.338261, -0.195796, -0.0931896, -0.0405339, -0.0124679, -0.00275503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.397398");
-            index_3 ("0.923905, 0.966138, 0.981666, 0.997122, 1.06636, 1.0943, 1.11422, 1.12444, 1.14489, 1.15013, 1.16061, 1.17533, 1.20058, 1.20337, 1.21617, 1.24785, 1.26636, 1.28052, 1.29971, 1.3152, 1.32789, 1.34302, 1.36438, 1.38674, 1.4039, 1.43821, 1.47747");
-            values ( \
-              "-0.165156, -0.52721, -0.72221, -0.95918, -2.11957, -2.47982, -2.6597, -2.73052, -2.82147, -2.83481, -2.84561, -2.8245, -2.64733, -2.61319, -2.40084, -1.70233, -1.32748, -1.08285, -0.809811, -0.634185, -0.516997, -0.403109, -0.281385, -0.192736, -0.143558, -0.0782281, -0.0435437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.28454");
-            index_3 ("0.962922, 1.00508, 1.07214, 1.09654, 1.12784, 1.17012, 1.19295, 1.23608, 1.27395, 1.30081, 1.35453, 1.39041, 1.43493, 1.49635, 1.53371, 1.62631, 1.72393, 1.79793, 1.85011, 1.89814, 1.95956, 2.01186, 2.08191, 2.14333, 2.20475, 2.32759, 2.38405");
-            values ( \
-              "-1.01162, -1.18377, -2.43064, -2.79391, -3.13846, -3.41281, -3.49425, -3.56457, -3.57488, -3.55925, -3.49053, -3.41796, -3.29479, -3.02765, -2.77132, -1.97738, -1.27027, -0.881489, -0.673645, -0.523546, -0.376278, -0.283158, -0.192921, -0.13718, -0.0974005, -0.0489305, -0.0405065" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.00313836, 0.00313907, 0.00314087, 0.00314257, 0.00314344, 0.00314375", \
-            "0.00377719, 0.00377724, 0.00377765, 0.00377867, 0.00377951, 0.00377987", \
-            "0.00414033, 0.00414024, 0.00414007, 0.00414013, 0.00414045, 0.00414071", \
-            "0.00433947, 0.00433938, 0.00433921, 0.00433891, 0.00433871, 0.00433871", \
-            "0.00444505, 0.004445, 0.00444485, 0.00444457, 0.00444425, 0.00444407", \
-            "0.00450626, 0.00450624, 0.00450617, 0.00450601, 0.00450573, 0.00450547" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.00468381, 0.00468314, 0.00468231, 0.00468268, 0.00468332, 0.00468362", \
-            "0.00505957, 0.00505853, 0.0050564, 0.00505424, 0.00505337, 0.00505321", \
-            "0.00517807, 0.00517716, 0.00517495, 0.00517157, 0.00516894, 0.0051678", \
-            "0.00524467, 0.00524425, 0.00524296, 0.00523994, 0.00523619, 0.0052339", \
-            "0.00539341, 0.00539336, 0.0053928, 0.00539101, 0.00538729, 0.00538382", \
-            "0.00562567, 0.00563561, 0.00565103, 0.00566919, 0.00568758, 0.00569894" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.158759, 0.167246, 0.189141, 0.238373, 0.35384, 0.681135", \
-            "0.162656, 0.171196, 0.19305, 0.242376, 0.35788, 0.685021", \
-            "0.171381, 0.179877, 0.201738, 0.251092, 0.36656, 0.69383", \
-            "0.190767, 0.199287, 0.221149, 0.270488, 0.385986, 0.713206", \
-            "0.228211, 0.236819, 0.259139, 0.308857, 0.424539, 0.751882", \
-            "0.275801, 0.284795, 0.308387, 0.361215, 0.479901, 0.807497" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.0360031, 0.0428867, 0.0611116, 0.10778, 0.247362, 0.717796", \
-            "0.0360065, 0.0428695, 0.061178, 0.107709, 0.24735, 0.717788", \
-            "0.0360193, 0.0428485, 0.0611168, 0.107788, 0.247176, 0.71779", \
-            "0.036003, 0.0428437, 0.0611189, 0.10773, 0.247282, 0.717784", \
-            "0.0381615, 0.0449918, 0.0633655, 0.109528, 0.248053, 0.7179", \
-            "0.0458718, 0.0527591, 0.071854, 0.118088, 0.253849, 0.719092" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.140703, 0.147021, 0.163207, 0.200207, 0.28148, 0.495926", \
-            "0.146918, 0.153244, 0.169471, 0.206458, 0.287739, 0.502212", \
-            "0.161573, 0.167891, 0.184109, 0.221093, 0.302436, 0.517005", \
-            "0.194825, 0.201118, 0.217338, 0.254239, 0.335655, 0.550247", \
-            "0.269932, 0.276369, 0.292606, 0.329293, 0.410803, 0.625386", \
-            "0.39204, 0.399655, 0.418934, 0.461652, 0.548848, 0.765271" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.0284386, 0.0329786, 0.0451, 0.0745903, 0.155358, 0.425246", \
-            "0.0284436, 0.03298, 0.045082, 0.074572, 0.155345, 0.425226", \
-            "0.0284414, 0.0329764, 0.0450563, 0.0745752, 0.155321, 0.425218", \
-            "0.028422, 0.0329676, 0.0450843, 0.0746106, 0.155328, 0.425214", \
-            "0.0307458, 0.0350877, 0.0468949, 0.0760684, 0.155981, 0.425349", \
-            "0.04346, 0.0481089, 0.0607551, 0.0901523, 0.165568, 0.427647" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.110481, 0.126304, 0.131001, 0.135385, 0.151949, 0.158664, 0.16468, 0.170409, 0.176064, 0.183069, 0.187399, 0.195445, 0.20334, 0.207669, 0.214877, 0.219997, 0.228621, 0.243311, 0.281496, 0.330462");
-            values ( \
-              "0.00118583, 0.0153823, 0.0210855, 0.0295647, 0.0906519, 0.104738, 0.113094, 0.115654, 0.116337, 0.110521, 0.100281, 0.0509993, 0.026203, 0.0185537, 0.0108194, 0.00738702, 0.00404273, 0.00192086, 0.000787116, 0.000648761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0117669");
-            index_3 ("0.110601, 0.129575, 0.137732, 0.155896, 0.164732, 0.171965, 0.176066, 0.178801, 0.184271, 0.187967, 0.192255, 0.199046, 0.207361, 0.216128, 0.223147, 0.230722, 0.238803, 0.244435, 0.258159, 0.276361, 0.30048, 0.359348");
-            values ( \
-              "0.000821967, 0.0519306, 0.089055, 0.239011, 0.282277, 0.304038, 0.311635, 0.314836, 0.317567, 0.315552, 0.308578, 0.271873, 0.156653, 0.0859302, 0.0534454, 0.0318798, 0.0185713, 0.0127808, 0.00603352, 0.00335936, 0.0020321, 0.00145966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0380349");
-            index_3 ("0.115364, 0.129304, 0.132814, 0.135569, 0.138657, 0.144341, 0.155063, 0.165796, 0.175852, 0.180907, 0.191209, 0.200868, 0.2103, 0.219847, 0.221591, 0.223584, 0.226751, 0.236424, 0.24384, 0.248704, 0.251423, 0.256087, 0.262338, 0.264378, 0.268457, 0.274818, 0.284595, 0.288942, 0.294523, 0.301964, 0.316846, 0.343888, 0.377485, 0.395841");
-            values ( \
-              "0.0428785, 0.10568, 0.129752, 0.15201, 0.180019, 0.244127, 0.3943, 0.518947, 0.60532, 0.637621, 0.694188, 0.721971, 0.731875, 0.702425, 0.687832, 0.666445, 0.620745, 0.4429, 0.328763, 0.269056, 0.23948, 0.195119, 0.147053, 0.133976, 0.110892, 0.082151, 0.0514804, 0.0420992, 0.0327305, 0.0236629, 0.0129993, 0.00577019, 0.00349228, 0.0029568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.122943");
-            index_3 ("0.146686, 0.174461, 0.178184, 0.181908, 0.185631, 0.189355, 0.193492, 0.19763, 0.201768, 0.205905, 0.210043, 0.215372, 0.216562, 0.220135, 0.223708, 0.228472, 0.23372, 0.239454, 0.247486, 0.254259, 0.258354, 0.266543, 0.269137, 0.270734, 0.271798, 0.274991, 0.279498, 0.284005, 0.304963, 0.312622, 0.316707, 0.320792, 0.32792, 0.335049, 0.342706, 0.347762, 0.352134, 0.356505, 0.36221, 0.371106, 0.374297, 0.377487, 0.383376, 0.386321, 0.389265, 0.393497, 0.397729, 0.401962, 0.406194, 0.414085");
-            values ( \
-              "0.779577, 0.815516, 0.866691, 0.915653, 0.962402, 1.00694, 1.05047, 1.09146, 1.12906, 1.16468, 1.19831, 1.2387, 1.24727, 1.26837, 1.28651, 1.3086, 1.33128, 1.35394, 1.35117, 1.34666, 1.34296, 1.33339, 1.32073, 1.3111, 1.30352, 1.27735, 1.22852, 1.17577, 0.885414, 0.772904, 0.719728, 0.668871, 0.585676, 0.509541, 0.435344, 0.390326, 0.357071, 0.325917, 0.28919, 0.236011, 0.218682, 0.202527, 0.178233, 0.166991, 0.156352, 0.142457, 0.129581, 0.117725, 0.106889, 0.0891818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.397398");
-            index_3 ("0.144331, 0.178877, 0.212978, 0.238636, 0.255477, 0.289159, 0.314518, 0.328766, 0.365158, 0.403539, 0.549558, 0.607927, 0.685967, 0.759032, 0.857146, 0.919488");
-            values ( \
-              "0.675192, 0.995197, 1.48603, 1.73823, 1.8475, 1.97275, 1.99639, 1.9932, 1.93308, 1.78185, 0.789911, 0.512437, 0.273378, 0.14691, 0.062147, 0.0439516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.28454");
-            index_3 ("0.144819, 0.222266, 0.26616, 0.292421, 0.335516, 0.377263, 0.390357, 0.416546, 0.468923, 0.523011, 0.587009, 0.670913, 0.736391, 0.807302, 0.891205, 1.10882, 1.19957, 1.26642, 1.35032, 1.41974, 1.49427, 1.62323, 1.72118, 1.79861, 1.88251, 1.94623, 2.11404, 2.28185, 2.44965, 2.78526, 3.03697");
-            values ( \
-              "0.614532, 1.70458, 2.11458, 2.25419, 2.37546, 2.41343, 2.41492, 2.4102, 2.37843, 2.32776, 2.25701, 2.14863, 2.0463, 1.90845, 1.70928, 1.1551, 0.949512, 0.814284, 0.664069, 0.557506, 0.459603, 0.324624, 0.248163, 0.199338, 0.157455, 0.130895, 0.080559, 0.049335, 0.0301031, 0.0110937, 0.00557417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.128814, 0.140062, 0.143553, 0.15144, 0.156911, 0.167382, 0.17362, 0.176511, 0.182552, 0.188279, 0.193938, 0.200928, 0.205201, 0.213623, 0.220843, 0.225333, 0.230044, 0.233622, 0.240779, 0.248043, 0.255213, 0.26922, 0.287896, 0.363384, 0.378152");
-            values ( \
-              "0.00496845, 0.0114419, 0.014346, 0.0257039, 0.0397933, 0.082824, 0.0996223, 0.104672, 0.112823, 0.115745, 0.116101, 0.110495, 0.100525, 0.0495989, 0.0269441, 0.0188767, 0.0132442, 0.0101341, 0.00594725, 0.00370697, 0.0025234, 0.00147723, 0.000946676, 0.000351626, 0.000326498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0117669");
-            index_3 ("0.12947, 0.142569, 0.151612, 0.155731, 0.174718, 0.18279, 0.190014, 0.196849, 0.203551, 0.210439, 0.214263, 0.22711, 0.233202, 0.243246, 0.251558, 0.259802, 0.271413, 0.281057, 0.300346, 0.356149");
-            values ( \
-              "0.0149463, 0.0365596, 0.0669923, 0.0889957, 0.243977, 0.281081, 0.305353, 0.314228, 0.318598, 0.308522, 0.292452, 0.139553, 0.091928, 0.0465933, 0.0264613, 0.0152084, 0.00786432, 0.00507878, 0.00285029, 0.00223763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0380349");
-            index_3 ("0.130625, 0.149774, 0.155586, 0.162107, 0.17778, 0.187332, 0.198874, 0.209158, 0.218826, 0.228261, 0.237686, 0.241536, 0.261806, 0.274054, 0.286424, 0.292786, 0.302563, 0.30691, 0.319933, 0.334818, 0.361642, 0.365203");
-            values ( \
-              "0.0387529, 0.119087, 0.167169, 0.240405, 0.452223, 0.551209, 0.637757, 0.69342, 0.722113, 0.731336, 0.703158, 0.66649, 0.328739, 0.195108, 0.110884, 0.0821493, 0.0514746, 0.0420992, 0.0236584, 0.0130006, 0.00580433, 0.00564028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.122943");
-            index_3 ("0.134688, 0.155188, 0.163862, 0.192737, 0.215977, 0.229043, 0.234825, 0.243855, 0.251974, 0.268442, 0.284906, 0.301329, 0.325475, 0.336104, 0.350276, 0.355903, 0.364504, 0.373705, 0.38576, 0.396572, 0.408066, 0.42479, 0.432891, 0.444699, 0.460443, 0.49193, 0.502296");
-            values ( \
-              "0.0879512, 0.240468, 0.357368, 0.814129, 1.09329, 1.20648, 1.24606, 1.29692, 1.32913, 1.36035, 1.33375, 1.20478, 0.853319, 0.708508, 0.541244, 0.484483, 0.406376, 0.334661, 0.257423, 0.202534, 0.156163, 0.106278, 0.0883811, 0.0676201, 0.0473651, 0.0233162, 0.020167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.397398");
-            index_3 ("0.157892, 0.179734, 0.203538, 0.22118, 0.250678, 0.273506, 0.310844, 0.346908, 0.382911, 0.407998, 0.421656, 0.448971, 0.520831, 0.566308, 0.599718, 0.627215, 0.648312, 0.691854, 0.711181, 0.749834, 0.78388, 0.830048, 0.891607, 0.97551, 1.05941, 1.14332");
-            values ( \
-              "0.613667, 0.697086, 1.10302, 1.3637, 1.68809, 1.84759, 1.9802, 1.99503, 1.93632, 1.84864, 1.78176, 1.60928, 1.08262, 0.797421, 0.624965, 0.507091, 0.429704, 0.301826, 0.2572, 0.185373, 0.138426, 0.0928569, 0.05368, 0.0249576, 0.0115844, 0.00542003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.28454");
-            index_3 ("0.1598, 0.238309, 0.286165, 0.309759, 0.35293, 0.393982, 0.40775, 0.435286, 0.490358, 0.531931, 0.60442, 0.710046, 0.793949, 0.824825, 0.884457, 1.1262, 1.22514, 1.28893, 1.37284, 1.42694, 1.49907, 1.58298, 1.64028, 1.69711, 1.83936, 1.95777, 2.11068, 2.27849, 2.4463, 2.69801, 3.03362");
-            values ( \
-              "0.5275, 1.68697, 2.13175, 2.2535, 2.37566, 2.41286, 2.41507, 2.40938, 2.37547, 2.3367, 2.25691, 2.11711, 1.97217, 1.90804, 1.76937, 1.15521, 0.932198, 0.804391, 0.655725, 0.572264, 0.475017, 0.3796, 0.324968, 0.278022, 0.186637, 0.133167, 0.0856392, 0.0524874, 0.032054, 0.0155376, 0.00587957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.165053, 0.176493, 0.189954, 0.198495, 0.20939, 0.216105, 0.222124, 0.233512, 0.240506, 0.244798, 0.253124, 0.260513, 0.264962, 0.269515, 0.272957, 0.279841, 0.287316, 0.294718, 0.309625, 0.329501, 0.406343, 0.406536");
-            values ( \
-              "0.00433321, 0.00814726, 0.0231938, 0.0464152, 0.0909764, 0.104229, 0.113471, 0.116723, 0.110528, 0.100464, 0.0498964, 0.0267454, 0.0187912, 0.0133464, 0.0103154, 0.00617452, 0.00377188, 0.00253032, 0.00143893, 0.000913515, 0.000337746, 0.000337428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0117669");
-            index_3 ("0.171567, 0.185363, 0.192449, 0.199945, 0.210823, 0.220161, 0.229201, 0.236045, 0.242748, 0.249448, 0.253473, 0.256791, 0.266314, 0.272404, 0.277347, 0.282452, 0.290767, 0.299012, 0.31062, 0.320262, 0.339546, 0.404572, 0.470921");
-            values ( \
-              "0.0134695, 0.0479537, 0.0737681, 0.125034, 0.222119, 0.274511, 0.304073, 0.315041, 0.317536, 0.309048, 0.292328, 0.26318, 0.139526, 0.0919268, 0.0655198, 0.046583, 0.0264515, 0.0152043, 0.00786303, 0.00507991, 0.0028502, 0.00122462, 0.000826656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0380349");
-            index_3 ("0.170312, 0.184412, 0.189136, 0.193731, 0.199321, 0.201866, 0.206958, 0.212607, 0.222263, 0.226979, 0.236409, 0.238462, 0.242568, 0.248726, 0.258402, 0.267834, 0.277258, 0.27913, 0.281127, 0.284291, 0.293961, 0.301378, 0.306241, 0.30896, 0.313625, 0.319876, 0.321916, 0.325996, 0.332357, 0.342134, 0.346481, 0.348342, 0.352062, 0.359504, 0.374387, 0.401073, 0.423638");
-            values ( \
-              "0.0412358, 0.0891841, 0.119367, 0.156146, 0.213149, 0.243665, 0.314019, 0.395394, 0.506828, 0.55144, 0.62564, 0.638772, 0.663092, 0.69261, 0.722997, 0.730589, 0.703946, 0.687711, 0.666295, 0.620619, 0.442859, 0.328745, 0.269043, 0.239475, 0.195112, 0.147047, 0.133969, 0.110885, 0.0821457, 0.0514772, 0.0420965, 0.0386925, 0.0327287, 0.0236613, 0.0129989, 0.00583023, 0.00426466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.122943");
-            index_3 ("0.177472, 0.196103, 0.204737, 0.227051, 0.242409, 0.255281, 0.274215, 0.291385, 0.307833, 0.324285, 0.340701, 0.363078, 0.379838, 0.396527, 0.414405, 0.425642, 0.434884, 0.446735, 0.463715, 0.484819, 0.501638, 0.535274, 0.548462");
-            values ( \
-              "0.102169, 0.261994, 0.381385, 0.741139, 0.949447, 1.09046, 1.24839, 1.32965, 1.36206, 1.33358, 1.20593, 0.877926, 0.652813, 0.471777, 0.324973, 0.254567, 0.207417, 0.158502, 0.107375, 0.0664851, 0.0453641, 0.0213495, 0.017702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.397398");
-            index_3 ("0.200446, 0.229761, 0.243406, 0.270911, 0.298418, 0.313284, 0.343018, 0.365696, 0.386518, 0.422404, 0.439427, 0.456999, 0.485845, 0.560574, 0.596424, 0.63623, 0.660874, 0.711162, 0.738718, 0.782404, 0.822224, 0.872228, 0.938901, 1.0228, 1.06293");
-            values ( \
-              "0.635452, 0.879512, 1.11016, 1.4918, 1.75743, 1.85021, 1.96546, 1.99608, 1.99249, 1.93596, 1.88123, 1.80405, 1.62793, 1.0819, 0.852452, 0.639404, 0.530844, 0.356267, 0.284335, 0.197122, 0.140053, 0.0908526, 0.0500154, 0.0232746, 0.0189207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.28454");
-            index_3 ("0.203505, 0.279361, 0.324718, 0.349925, 0.391718, 0.432792, 0.447818, 0.47787, 0.536684, 0.590334, 0.728339, 0.793901, 0.864756, 0.94866, 1.16628, 1.32387, 1.40778, 1.47719, 1.55173, 1.67369, 1.77863, 1.85606, 2.00369, 2.17149, 2.3393, 2.50711, 2.64897");
-            values ( \
-              "0.650232, 1.7036, 2.12404, 2.25598, 2.37389, 2.41302, 2.41508, 2.40878, 2.3702, 2.31766, 2.14877, 2.04631, 1.90833, 1.7094, 1.15524, 0.814144, 0.664213, 0.55765, 0.459457, 0.331131, 0.248021, 0.199481, 0.131037, 0.0807006, 0.049476, 0.0302437, 0.0213793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.261591, 0.271082, 0.277889, 0.284605, 0.294518, 0.3005, 0.309448, 0.315176, 0.320834, 0.326577, 0.327824, 0.3321, 0.340513, 0.347749, 0.352234, 0.356928, 0.360493, 0.367622, 0.374907, 0.382101, 0.3962, 0.414998, 0.489958, 0.665592");
-            values ( \
-              "0.0121285, 0.0154965, 0.0244434, 0.0421507, 0.0837066, 0.0996457, 0.112875, 0.115711, 0.116148, 0.11207, 0.110499, 0.100517, 0.0496204, 0.0269234, 0.0188685, 0.0132555, 0.0101523, 0.00597108, 0.00371311, 0.00252356, 0.00147264, 0.000943798, 0.000353693, 5.04896e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0117669");
-            index_3 ("0.26164, 0.273085, 0.280487, 0.287102, 0.298765, 0.306183, 0.316924, 0.323754, 0.330457, 0.337345, 0.341147, 0.344497, 0.354018, 0.360112, 0.365059, 0.370153, 0.378462, 0.386707, 0.398324, 0.407974, 0.427274, 0.492062, 0.509672");
-            values ( \
-              "0.0268377, 0.0472197, 0.077143, 0.121692, 0.225226, 0.268027, 0.304953, 0.314523, 0.318199, 0.308472, 0.292569, 0.263239, 0.139552, 0.0919121, 0.0654901, 0.0465972, 0.0264681, 0.0152116, 0.00786321, 0.00507734, 0.00284896, 0.00122837, 0.00112204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0380349");
-            index_3 ("0.267652, 0.27821, 0.280708, 0.28923, 0.299919, 0.307192, 0.314256, 0.325756, 0.336029, 0.345688, 0.355117, 0.364536, 0.368439, 0.388653, 0.400896, 0.413267, 0.419628, 0.429403, 0.433749, 0.446768, 0.461647, 0.48823, 0.525421");
-            values ( \
-              "0.124144, 0.135427, 0.155917, 0.246172, 0.396962, 0.481963, 0.552679, 0.638885, 0.694019, 0.722774, 0.731642, 0.703533, 0.666049, 0.328774, 0.19515, 0.110892, 0.0821591, 0.0514809, 0.0421068, 0.0236643, 0.0130059, 0.00584493, 0.00410476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.122943");
-            index_3 ("0.278946, 0.297053, 0.319254, 0.342304, 0.361213, 0.37837, 0.394811, 0.411254, 0.427665, 0.466779, 0.48349, 0.51261, 0.533689, 0.55067, 0.571787, 0.622275, 0.645357");
-            values ( \
-              "0.390142, 0.468172, 0.816047, 1.09281, 1.24906, 1.33096, 1.36213, 1.33443, 1.20569, 0.652934, 0.471908, 0.25449, 0.158583, 0.107346, 0.0664437, 0.0212981, 0.0149486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.397398");
-            index_3 ("0.288408, 0.312623, 0.346785, 0.358208, 0.381055, 0.4006, 0.437924, 0.473952, 0.512784, 0.535172, 0.548687, 0.575718, 0.647869, 0.692402, 0.726515, 0.755057, 0.777096, 0.818898, 0.866418, 0.907974, 0.950565, 0.983103, 1.04818, 1.13208, 1.29989");
-            values ( \
-              "0.701423, 0.804691, 1.34827, 1.49227, 1.71908, 1.85026, 1.98112, 1.99593, 1.92828, 1.84822, 1.78186, 1.61134, 1.08258, 0.802692, 0.626027, 0.503867, 0.423732, 0.301821, 0.202852, 0.141951, 0.0983666, 0.0740723, 0.0413775, 0.0192261, 0.00417848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.28454");
-            index_3 ("0.307718, 0.358881, 0.382167, 0.415421, 0.437525, 0.478899, 0.519172, 0.535429, 0.567944, 0.631981, 0.732114, 0.837758, 0.921662, 0.952501, 1.01213, 1.25388, 1.35282, 1.41661, 1.55461, 1.62675, 1.75849, 1.82479, 1.90055, 1.96703, 2.08544, 2.23836, 2.40617, 2.57397, 2.7446");
-            values ( \
-              "1.33933, 1.6005, 1.87702, 2.14566, 2.257, 2.37381, 2.41283, 2.4152, 2.40794, 2.36362, 2.25713, 2.11683, 1.97237, 1.90779, 1.76963, 1.15545, 0.932427, 0.804162, 0.572481, 0.474802, 0.333564, 0.278215, 0.225215, 0.186828, 0.133353, 0.085822, 0.0526682, 0.0322327, 0.0207964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.429479, 0.442829, 0.451802, 0.464786, 0.469907, 0.483669, 0.490907, 0.497337, 0.503417, 0.509387, 0.515353, 0.519518, 0.529881, 0.536554, 0.547102, 0.560337, 0.57321, 0.601944, 0.662515");
-            values ( \
-              "0.00235273, 0.00615754, 0.01012, 0.0241168, 0.0358704, 0.0827439, 0.0983245, 0.10563, 0.109887, 0.109882, 0.107463, 0.101189, 0.0483792, 0.0278525, 0.0124605, 0.005092, 0.00247063, 0.00103598, 0.000792664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0117669");
-            index_3 ("0.429334, 0.44582, 0.450707, 0.464031, 0.473428, 0.488537, 0.49618, 0.502041, 0.510228, 0.515429, 0.518986, 0.52596, 0.529323, 0.532739, 0.543185, 0.550223, 0.563875, 0.572871, 0.578804, 0.584393, 0.593391, 0.605388, 0.629382, 0.655966");
-            values ( \
-              "0.00666785, 0.020209, 0.0268844, 0.0595884, 0.110499, 0.225888, 0.262019, 0.281562, 0.298372, 0.303191, 0.303618, 0.297699, 0.286639, 0.26441, 0.136473, 0.0856353, 0.0363281, 0.0194335, 0.0135767, 0.00981853, 0.0063107, 0.00399839, 0.00236559, 0.00187946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0380349");
-            index_3 ("0.428211, 0.455602, 0.460779, 0.473664, 0.501359, 0.513509, 0.524258, 0.534294, 0.544036, 0.550241, 0.558267, 0.575844, 0.589561, 0.601643, 0.611437, 0.620548, 0.628547, 0.645533, 0.668181, 0.712116");
-            values ( \
-              "0.0150159, 0.0753799, 0.102922, 0.205283, 0.520249, 0.607839, 0.665763, 0.697406, 0.710494, 0.701766, 0.647531, 0.356855, 0.201922, 0.116814, 0.0739146, 0.0483583, 0.0334154, 0.0163011, 0.00771315, 0.00613219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.122943");
-            index_3 ("0.431177, 0.461087, 0.467594, 0.477387, 0.506074, 0.522525, 0.530528, 0.546533, 0.555416, 0.565031, 0.573007, 0.584408, 0.601043, 0.617666, 0.641895, 0.65288, 0.667527, 0.680077, 0.688728, 0.701815, 0.713873, 0.729949, 0.742999, 0.749528, 0.762585, 0.7887, 0.827546");
-            values ( \
-              "0.0368642, 0.162476, 0.223192, 0.338492, 0.757707, 0.959582, 1.04564, 1.18425, 1.24252, 1.29181, 1.3203, 1.34118, 1.32195, 1.19749, 0.850161, 0.701963, 0.531474, 0.41311, 0.344561, 0.259395, 0.198584, 0.137669, 0.102136, 0.0880391, 0.065397, 0.0359915, 0.0161847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.397398");
-            index_3 ("0.460631, 0.49075, 0.51683, 0.545801, 0.568595, 0.589114, 0.608309, 0.626765, 0.663019, 0.70013, 0.717448, 0.73592, 0.764551, 0.83718, 0.880152, 0.915439, 0.945753, 0.969343, 1.00823, 1.05479, 1.09674, 1.14084, 1.1747, 1.24241, 1.32632, 1.49412");
-            values ( \
-              "0.338213, 0.612694, 1.03349, 1.43633, 1.67135, 1.82044, 1.91149, 1.96246, 1.98657, 1.92885, 1.8736, 1.79086, 1.61283, 1.08218, 0.811427, 0.627698, 0.498404, 0.413867, 0.301786, 0.204493, 0.14261, 0.0975467, 0.0725999, 0.0395449, 0.018377, 0.00399562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.28454");
-            index_3 ("0.460464, 0.54975, 0.573309, 0.59551, 0.626007, 0.665283, 0.708364, 0.724316, 0.75622, 0.813257, 0.86699, 0.921073, 1.00498, 1.07045, 1.14136, 1.22527, 1.44289, 1.53363, 1.60048, 1.68438, 1.7538, 1.82833, 1.9503, 2.05524, 2.13267, 2.21657, 2.36419, 2.4481, 2.6159, 2.78371, 3.03542, 3.37103");
-            values ( \
-              "0.233282, 1.58353, 1.85884, 2.0547, 2.23461, 2.35806, 2.40728, 2.41109, 2.40554, 2.36927, 2.31714, 2.25669, 2.14866, 2.04639, 1.90834, 1.70939, 1.15524, 0.949662, 0.814137, 0.664222, 0.55766, 0.459445, 0.331119, 0.248003, 0.199501, 0.157295, 0.103005, 0.0807208, 0.0494963, 0.0302642, 0.014496, 0.00541381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.760806, 0.814708, 0.831603, 0.83937, 0.846486, 0.859754, 0.868648, 0.8765, 0.883818, 0.890964, 0.898103, 0.905263, 0.914808, 0.92598, 0.934621, 0.949501, 0.965751, 1.00592, 1.0543");
-            values ( \
-              "0.000497661, 0.00473299, 0.0144259, 0.0220236, 0.0345648, 0.0665667, 0.0800971, 0.0873751, 0.0913029, 0.0923704, 0.0909364, 0.083693, 0.043964, 0.0188752, 0.0105359, 0.00390477, 0.00175728, 0.000737804, 0.000615631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0117669");
-            index_3 ("0.760852, 0.813904, 0.818167, 0.819929, 0.823452, 0.830498, 0.835258, 0.836867, 0.840083, 0.846516, 0.85084, 0.859489, 0.865129, 0.875501, 0.88455, 0.892982, 0.901159, 0.909327, 0.917458, 0.920389, 0.923738, 0.92859, 0.932165, 0.934706, 0.93979, 0.943981, 0.945934, 0.949839, 0.95765, 0.964796, 0.969151, 0.97104, 0.974818, 0.982374, 0.997485, 1.02371, 1.05873, 1.09708");
-            values ( \
-              "0.00157418, 0.0136263, 0.0204525, 0.0224767, 0.0272556, 0.0376341, 0.0473126, 0.0510357, 0.0600367, 0.0843775, 0.108312, 0.158296, 0.18496, 0.223616, 0.244543, 0.257334, 0.261602, 0.258887, 0.235382, 0.209407, 0.17371, 0.125947, 0.101254, 0.086272, 0.0626395, 0.04851, 0.0429694, 0.0336044, 0.02039, 0.013157, 0.0102423, 0.00924559, 0.00759996, 0.0053423, 0.00316312, 0.00203161, 0.00145324, 0.00101285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0380349");
-            index_3 ("0.760843, 0.811067, 0.823497, 0.833972, 0.842688, 0.848911, 0.878464, 0.892636, 0.905006, 0.916444, 0.927453, 0.938386, 0.949313, 0.964799, 0.977147, 0.990565, 0.999506, 1.01251, 1.02345, 1.03595, 1.06095, 1.09701");
-            values ( \
-              "0.0048435, 0.0319441, 0.0652669, 0.10071, 0.142881, 0.185042, 0.441981, 0.526013, 0.580389, 0.614756, 0.631688, 0.621793, 0.53198, 0.305752, 0.187095, 0.10474, 0.0697027, 0.0386735, 0.0241864, 0.0146568, 0.00657631, 0.00469637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.122943");
-            index_3 ("0.811126, 0.83588, 0.845026, 0.855209, 0.881126, 0.90069, 0.909549, 0.931629, 0.940353, 0.951033, 0.969225, 0.987033, 1.00482, 1.0459, 1.05861, 1.06863, 1.08199, 1.09429, 1.11038, 1.12921, 1.13881, 1.15427, 1.17488, 1.21595, 1.25816");
-            values ( \
-              "0.172117, 0.185551, 0.25095, 0.343617, 0.638177, 0.833778, 0.915618, 1.08598, 1.13788, 1.19048, 1.2436, 1.24516, 1.1475, 0.626636, 0.493673, 0.404979, 0.306851, 0.235737, 0.165341, 0.107816, 0.0869137, 0.0615252, 0.0388776, 0.0157521, 0.00936612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.397398");
-            index_3 ("0.812945, 0.859419, 0.92529, 0.944974, 0.973018, 1.013, 1.05053, 1.08793, 1.12533, 1.1498, 1.22696, 1.26535, 1.32897, 1.34778, 1.40265, 1.46774, 1.50421, 1.55794, 1.62958, 1.69567");
-            values ( \
-              "0.151563, 0.464401, 1.27191, 1.47727, 1.6983, 1.88133, 1.93097, 1.8967, 1.77078, 1.62911, 1.07785, 0.836347, 0.524295, 0.452925, 0.290502, 0.167896, 0.122784, 0.0769824, 0.0403968, 0.0233691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.28454");
-            index_3 ("0.813279, 0.898819, 0.947586, 0.989347, 1.0104, 1.05251, 1.09956, 1.13519, 1.17188, 1.25515, 1.36029, 1.41506, 1.49897, 1.52981, 1.58945, 1.83119, 1.93012, 1.99392, 2.13193, 2.20406, 2.3358, 2.40209, 2.47785, 2.54433, 2.66275, 2.81568, 2.98348, 3.15129, 3.403, 3.73861");
-            values ( \
-              "0.0750517, 1.00675, 1.63293, 2.00886, 2.13622, 2.29781, 2.37225, 2.38347, 2.37401, 2.31018, 2.19197, 2.11626, 1.97216, 1.90779, 1.76953, 1.15541, 0.932411, 0.804193, 0.57247, 0.474807, 0.33357, 0.278228, 0.225216, 0.186842, 0.133365, 0.0858317, 0.0526791, 0.0322447, 0.0153481, 0.00569292" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.00339712, 0.00339727, 0.00339775, 0.00339845, 0.00339891, 0.0033991", \
-            "0.00441259, 0.00441263, 0.00441275, 0.00441313, 0.00441359, 0.00441383", \
-            "0.00506691, 0.00506696, 0.00506707, 0.00506725, 0.00506753, 0.00506776", \
-            "0.00545414, 0.00545408, 0.00545399, 0.00545399, 0.0054541, 0.00545424", \
-            "0.00567426, 0.00567425, 0.00567422, 0.00567411, 0.00567398, 0.00567395", \
-            "0.00582515, 0.00582513, 0.0058251, 0.00582505, 0.00582496, 0.00582488" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.00547989, 0.00548015, 0.00548074, 0.00548193, 0.00548301, 0.00548353", \
-            "0.00579506, 0.00579466, 0.00579401, 0.00579362, 0.00579382, 0.0057941", \
-            "0.00540641, 0.00540785, 0.00540768, 0.00540631, 0.00540502, 0.00540337", \
-            "0.00518131, 0.00518127, 0.00518112, 0.00518044, 0.00517919, 0.00517824", \
-            "0.00532668, 0.00532643, 0.00532529, 0.00532599, 0.00532668, 0.00532624", \
-            "0.00565505, 0.00565287, 0.00573, 0.00603097, 0.00617683, 0.00623294" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.103334, 0.111351, 0.114092, 0.116833, 0.119648, 0.121055, 0.122462, 0.123869, 0.125276, 0.126749, 0.128222, 0.131169, 0.132642, 0.134115, 0.136138, 0.138161, 0.139509, 0.142206, 0.144933, 0.146443, 0.147825, 0.15174, 0.154881, 0.156754, 0.157805, 0.158857, 0.159908, 0.160959, 0.16201, 0.163061, 0.164112, 0.165163, 0.165231, 0.166524, 0.167613, 0.169096, 0.171882, 0.172529, 0.174279, 0.175381, 0.176531, 0.177387, 0.178908, 0.179668, 0.181189, 0.18195, 0.182717, 0.184251, 0.185471, 0.187367");
-            values ( \
-              "-0.0133101, -0.019413, -0.0221289, -0.0253218, -0.0293561, -0.031943, -0.0349099, -0.0382567, -0.0419833, -0.0475353, -0.0538298, -0.07124, -0.079114, -0.0864339, -0.095489, -0.104054, -0.109492, -0.119713, -0.128838, -0.133379, -0.137183, -0.146438, -0.153206, -0.157592, -0.157146, -0.156447, -0.155496, -0.154294, -0.152839, -0.151132, -0.149173, -0.146962, -0.146632, -0.136069, -0.124492, -0.107399, -0.0734608, -0.0667266, -0.0508515, -0.0425664, -0.035078, -0.0298749, -0.0227516, -0.0202671, -0.0160102, -0.0142378, -0.0128492, -0.0104789, -0.00902264, -0.007251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0117669");
-            index_3 ("0.107827, 0.117331, 0.119079, 0.121971, 0.123578, 0.125184, 0.12679, 0.128396, 0.129685, 0.130975, 0.132264, 0.134842, 0.137269, 0.139544, 0.140681, 0.142416, 0.145886, 0.149094, 0.150568, 0.153514, 0.156184, 0.158854, 0.161357, 0.163861, 0.165075, 0.166289, 0.167502, 0.168716, 0.169929, 0.171141, 0.172353, 0.173566, 0.173669, 0.174493, 0.175112, 0.176349, 0.178059, 0.18103, 0.182146, 0.183707, 0.185976, 0.186911, 0.187458, 0.188552, 0.1891, 0.189941, 0.190783, 0.191624, 0.192466, 0.194843");
-            values ( \
-              "-0.0708549, -0.0731141, -0.0795218, -0.0919408, -0.100445, -0.109996, -0.120594, -0.132239, -0.145617, -0.159956, -0.177719, -0.211198, -0.240082, -0.265091, -0.276935, -0.293766, -0.325121, -0.351338, -0.362501, -0.383237, -0.399881, -0.415415, -0.428969, -0.441548, -0.440315, -0.438385, -0.435758, -0.432435, -0.42842, -0.423711, -0.418307, -0.412208, -0.411058, -0.39577, -0.382909, -0.352755, -0.307588, -0.222695, -0.19509, -0.160391, -0.116223, -0.10051, -0.0931011, -0.079571, -0.0734501, -0.0652621, -0.0578308, -0.0511562, -0.0452384, -0.0319874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0380349");
-            index_3 ("0.107908, 0.116532, 0.127645, 0.137429, 0.150133, 0.157483, 0.167439, 0.174727, 0.181606, 0.188296, 0.194977, 0.206816, 0.214792, 0.223038, 0.232308, 0.243016, 0.255736, 0.267641");
-            values ( \
-              "-0.115446, -0.16292, -0.260444, -0.41446, -0.664675, -0.778103, -0.902942, -0.974064, -1.01978, -1.03055, -0.943121, -0.47388, -0.236676, -0.105651, -0.0410822, -0.0138648, -0.00573141, -0.00521819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.122943");
-            index_3 ("0.111842, 0.128156, 0.140338, 0.165088, 0.186857, 0.199717, 0.211577, 0.222986, 0.234376, 0.245756, 0.260207, 0.272619, 0.283362, 0.296953, 0.312335, 0.325765, 0.348708, 0.356467");
-            values ( \
-              "-0.10168, -0.441416, -0.659922, -1.24564, -1.63697, -1.80786, -1.91777, -1.97022, -1.91961, -1.65508, -1.08279, -0.674382, -0.426926, -0.230143, -0.111813, -0.0582537, -0.0178886, -0.0158934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.397398");
-            index_3 ("0.112889, 0.176783, 0.199898, 0.216804, 0.243837, 0.268755, 0.292927, 0.317064, 0.341301, 0.349869, 0.35966, 0.396262, 0.418707, 0.439766, 0.455253, 0.468117, 0.483171, 0.504467, 0.526694, 0.543733, 0.577811, 0.639383, 0.700955");
-            values ( \
-              "-0.0692489, -1.72464, -2.21855, -2.49384, -2.79674, -2.94266, -2.98734, -2.92633, -2.71081, -2.5758, -2.37743, -1.54037, -1.1198, -0.813165, -0.636173, -0.51681, -0.403176, -0.281533, -0.193166, -0.144115, -0.0788131, -0.0240381, -0.00736541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.28454");
-            index_3 ("0.141486, 0.181928, 0.213536, 0.243883, 0.275845, 0.309376, 0.334331, 0.356066, 0.377485, 0.420323, 0.441555, 0.507278, 0.56885, 0.615039, 0.649275, 0.710847, 0.787971, 0.870308, 0.909213, 0.9458, 0.993723, 1.03365, 1.0803, 1.14187, 1.19069, 1.2806, 1.34217, 1.46531, 1.58846, 1.7116");
-            values ( \
-              "-1.52344, -1.95713, -2.65044, -3.09014, -3.37018, -3.52607, -3.58341, -3.60733, -3.61476, -3.59602, -3.57458, -3.47092, -3.31643, -3.13986, -2.95018, -2.46352, -1.80745, -1.23625, -1.02247, -0.85026, -0.664219, -0.538802, -0.41977, -0.300683, -0.230241, -0.140068, -0.0995751, -0.0499973, -0.0250684, -0.0125815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.122204, 0.130955, 0.131474, 0.132511, 0.138738, 0.141685, 0.145616, 0.148294, 0.156885, 0.162842, 0.167908, 0.172507, 0.176831, 0.181037, 0.185237, 0.19324, 0.196697, 0.198988, 0.202043, 0.205098, 0.206902, 0.211878, 0.217565, 0.22894, 0.244221, 0.275354");
-            values ( \
-              "-0.0126685, -0.0198952, -0.0195433, -0.0204178, -0.0273958, -0.031853, -0.0410195, -0.0523938, -0.0983254, -0.121756, -0.136953, -0.14808, -0.154751, -0.157377, -0.14813, -0.061033, -0.034734, -0.0237797, -0.014539, -0.00955404, -0.00772761, -0.00483153, -0.00306859, -0.00145164, -0.000752558, -0.000433294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0117669");
-            index_3 ("0.125005, 0.13363, 0.133937, 0.134552, 0.13578, 0.137623, 0.139212, 0.140188, 0.142139, 0.143748, 0.145358, 0.146967, 0.148576, 0.151148, 0.152434, 0.155006, 0.157433, 0.159716, 0.162592, 0.166063, 0.169271, 0.172217, 0.173691, 0.176361, 0.179031, 0.181534, 0.184038, 0.185252, 0.186466, 0.187679, 0.188893, 0.190106, 0.191318, 0.19253, 0.193743, 0.193846, 0.195289, 0.196527, 0.198237, 0.201207, 0.202322, 0.203883, 0.206154, 0.207089, 0.207636, 0.20873, 0.210119, 0.210961, 0.212644, 0.21502");
-            values ( \
-              "-0.0350251, -0.0632993, -0.0634135, -0.0644677, -0.0677487, -0.0735098, -0.0792893, -0.0832069, -0.0918888, -0.100392, -0.109952, -0.120567, -0.132238, -0.159881, -0.17761, -0.211027, -0.23993, -0.265028, -0.293739, -0.325095, -0.351321, -0.373123, -0.383227, -0.399865, -0.415397, -0.428956, -0.441543, -0.440305, -0.438373, -0.435747, -0.432427, -0.428418, -0.423716, -0.418322, -0.412236, -0.411081, -0.382892, -0.352727, -0.307568, -0.222702, -0.195107, -0.160411, -0.116216, -0.1005, -0.0930917, -0.079563, -0.0652576, -0.0578281, -0.0452367, -0.0319866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0380349");
-            index_3 ("0.12722, 0.138658, 0.148023, 0.154803, 0.170536, 0.179824, 0.187848, 0.195136, 0.201992, 0.208678, 0.215355, 0.227147, 0.235267, 0.241951, 0.250189, 0.259604, 0.266825");
-            values ( \
-              "-0.111169, -0.179176, -0.260895, -0.357141, -0.665501, -0.806512, -0.903873, -0.975303, -1.02042, -1.03117, -0.943499, -0.475657, -0.234473, -0.12215, -0.0532145, -0.0201255, -0.0123852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.122943");
-            index_3 ("0.134942, 0.146921, 0.159894, 0.184129, 0.192745, 0.207379, 0.220221, 0.232078, 0.243485, 0.254874, 0.266252, 0.280676, 0.293129, 0.303847, 0.317444, 0.326122, 0.332846, 0.346296, 0.356704");
-            values ( \
-              "-0.258793, -0.412193, -0.638897, -1.21576, -1.38965, -1.63778, -1.80835, -1.91816, -1.97045, -1.91984, -1.65516, -1.0838, -0.674104, -0.427065, -0.230123, -0.153711, -0.111792, -0.0580904, -0.039888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.397398");
-            index_3 ("0.140707, 0.205036, 0.237335, 0.264365, 0.289277, 0.313446, 0.337582, 0.361818, 0.380191, 0.439224, 0.47577, 0.503689, 0.524985, 0.547211, 0.596002");
-            values ( \
-              "-0.354775, -1.90291, -2.49459, -2.79728, -2.94289, -2.98756, -2.9264, -2.71089, -2.37717, -1.11981, -0.636177, -0.403173, -0.281531, -0.193167, -0.0840165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.28454");
-            index_3 ("0.170057, 0.213675, 0.241519, 0.264424, 0.303561, 0.333423, 0.366697, 0.397908, 0.44602, 0.494215, 0.52777, 0.589342, 0.635508, 0.669763, 0.731335, 0.808463, 0.865185, 0.890797, 0.92969, 0.966281, 1.01421, 1.05414, 1.10079, 1.16236, 1.24115, 1.30108, 1.36265, 1.4858, 1.60894, 1.73208");
-            values ( \
-              "-2.0767, -2.23368, -2.78099, -3.09192, -3.41748, -3.53758, -3.60221, -3.61569, -3.59357, -3.53179, -3.4704, -3.31729, -3.13942, -2.95073, -2.46304, -1.8077, -1.39648, -1.23651, -1.0223, -0.850502, -0.664016, -0.538591, -0.419955, -0.300486, -0.195438, -0.140234, -0.0994171, -0.0498405, -0.0249136, -0.012429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.172454, 0.182714, 0.185401, 0.18695, 0.188498, 0.190047, 0.191595, 0.192783, 0.193971, 0.196346, 0.197534, 0.198722, 0.200643, 0.202565, 0.204054, 0.207032, 0.20979, 0.212327, 0.213595, 0.215894, 0.218192, 0.220355, 0.222517, 0.223569, 0.22462, 0.225671, 0.226722, 0.227772, 0.228822, 0.229872, 0.230922, 0.231564, 0.232115, 0.233032, 0.234873, 0.237617, 0.238569, 0.239445, 0.240562, 0.241121, 0.242195, 0.243173, 0.244347, 0.244738, 0.246303, 0.247085, 0.247867, 0.249362, 0.250857, 0.252969");
-            values ( \
-              "-0.0242424, -0.0255708, -0.0295348, -0.0324376, -0.0357539, -0.0394837, -0.043627, -0.0481719, -0.0533097, -0.0676254, -0.0743523, -0.080792, -0.0906011, -0.0996584, -0.105777, -0.117131, -0.126593, -0.134404, -0.13799, -0.143729, -0.149019, -0.153586, -0.157755, -0.157289, -0.156577, -0.155618, -0.154412, -0.152962, -0.151266, -0.149325, -0.147137, -0.142419, -0.137701, -0.128271, -0.107233, -0.073738, -0.0639499, -0.0558432, -0.046731, -0.0426864, -0.0356591, -0.0296915, -0.0240947, -0.0224733, -0.0176912, -0.0156712, -0.0138986, -0.0114009, -0.00940316, -0.00738657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0117669");
-            index_3 ("0.172936, 0.181291, 0.183425, 0.184482, 0.185538, 0.187651, 0.189266, 0.190881, 0.192497, 0.194112, 0.196651, 0.19919, 0.20046, 0.202886, 0.205199, 0.206355, 0.20809, 0.21156, 0.214768, 0.216241, 0.219187, 0.221857, 0.224527, 0.22703, 0.229533, 0.230747, 0.231961, 0.233175, 0.234389, 0.235601, 0.236813, 0.238025, 0.239238, 0.239341, 0.240785, 0.242022, 0.243732, 0.246701, 0.247816, 0.249377, 0.251648, 0.252584, 0.253131, 0.254225, 0.254772, 0.255614, 0.256455, 0.257297, 0.258138, 0.260514");
-            values ( \
-              "-0.0666031, -0.0681275, -0.0748818, -0.0787851, -0.0829911, -0.0923111, -0.100844, -0.110411, -0.121013, -0.13265, -0.159952, -0.194388, -0.210593, -0.23952, -0.264953, -0.276988, -0.293811, -0.325153, -0.351367, -0.36253, -0.383263, -0.399902, -0.415433, -0.428986, -0.441565, -0.440328, -0.438397, -0.435769, -0.432447, -0.428435, -0.42373, -0.418331, -0.412239, -0.41109, -0.382894, -0.352718, -0.307569, -0.222717, -0.195126, -0.160434, -0.116215, -0.100498, -0.0930896, -0.0795619, -0.0734423, -0.0652561, -0.0578264, -0.0511531, -0.0452362, -0.0319864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0380349");
-            index_3 ("0.173702, 0.183549, 0.194856, 0.197556, 0.215982, 0.225248, 0.233282, 0.240562, 0.247419, 0.254105, 0.260781, 0.272553, 0.278868, 0.287417, 0.295483, 0.3047, 0.316587, 0.317771");
-            values ( \
-              "-0.117872, -0.173371, -0.278084, -0.314505, -0.666536, -0.805862, -0.904453, -0.974845, -1.02073, -1.03088, -0.943717, -0.476417, -0.277611, -0.121665, -0.0539588, -0.020841, -0.00753689, -0.00736394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.122943");
-            index_3 ("0.179821, 0.194406, 0.201499, 0.206502, 0.228462, 0.238329, 0.252963, 0.265803, 0.277662, 0.289069, 0.300457, 0.311836, 0.326257, 0.338601, 0.349471, 0.355077, 0.363043, 0.371678, 0.377772, 0.389772, 0.399453");
-            values ( \
-              "-0.246992, -0.444888, -0.564122, -0.661352, -1.19063, -1.38854, -1.63863, -1.80749, -1.91881, -1.9698, -1.92039, -1.65462, -1.08384, -0.677182, -0.426302, -0.331947, -0.229964, -0.153906, -0.115389, -0.0646067, -0.0457512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.397398");
-            index_3 ("0.184187, 0.207225, 0.250658, 0.282924, 0.309972, 0.334853, 0.35902, 0.383152, 0.407387, 0.425775, 0.484793, 0.521339, 0.549258, 0.570553, 0.592779, 0.640243");
-            values ( \
-              "-0.358625, -0.818618, -1.90585, -2.49594, -2.79819, -2.94339, -2.98782, -2.92659, -2.71102, -2.37688, -1.11982, -0.636184, -0.403172, -0.281531, -0.193169, -0.0869845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.28454");
-            index_3 ("0.194954, 0.268967, 0.288711, 0.310388, 0.349624, 0.379373, 0.41264, 0.443853, 0.491494, 0.508023, 0.573712, 0.635284, 0.681447, 0.715704, 0.777276, 0.854401, 0.936737, 0.975639, 1.01223, 1.06015, 1.10008, 1.14673, 1.2083, 1.25712, 1.34702, 1.40859, 1.53174, 1.57378");
-            values ( \
-              "-0.712424, -2.44051, -2.8012, -3.09442, -3.41748, -3.53911, -3.6014, -3.61691, -3.59305, -3.57557, -3.47125, -3.31648, -3.14002, -2.95017, -2.46357, -1.80743, -1.23624, -1.02248, -0.850272, -0.664219, -0.538792, -0.419773, -0.300675, -0.230252, -0.140083, -0.0995663, -0.0499867, -0.0414761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.264642, 0.284509, 0.286554, 0.290643, 0.294735, 0.30369, 0.309642, 0.314702, 0.319297, 0.32362, 0.327824, 0.332022, 0.34349, 0.34899, 0.353734, 0.358415, 0.363766, 0.373283");
-            values ( \
-              "-0.000546945, -0.0272665, -0.0282998, -0.036632, -0.0497695, -0.0990668, -0.121396, -0.137489, -0.147768, -0.15517, -0.1571, -0.148474, -0.0346816, -0.014174, -0.00768872, -0.00493244, -0.00320756, -0.00174687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0117669");
-            index_3 ("0.271787, 0.273725, 0.279852, 0.288863, 0.293397, 0.297821, 0.304665, 0.313213, 0.316868, 0.325669, 0.330678, 0.335535, 0.340385, 0.350106, 0.353719, 0.355912, 0.359281, 0.3632, 0.366275, 0.372424, 0.381854, 0.39398, 0.436864, 0.531686");
-            values ( \
-              "-0.042613, -0.0480112, -0.0569955, -0.0901618, -0.114449, -0.155756, -0.247638, -0.328893, -0.357267, -0.412613, -0.432512, -0.441197, -0.414429, -0.169786, -0.103379, -0.0751856, -0.0455456, -0.0264502, -0.0177614, -0.00874118, -0.00415451, -0.00241205, -0.000783122, -5.33142e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0380349");
-            index_3 ("0.273546, 0.285316, 0.294705, 0.30184, 0.310891, 0.317228, 0.326525, 0.334537, 0.341825, 0.348682, 0.355368, 0.362044, 0.373826, 0.381981, 0.38866, 0.39681, 0.406124, 0.418014, 0.435322");
-            values ( \
-              "-0.0527105, -0.18014, -0.260952, -0.362998, -0.556033, -0.666021, -0.806173, -0.904185, -0.974986, -1.02061, -1.03097, -0.943648, -0.476051, -0.233967, -0.121918, -0.0536003, -0.0204906, -0.00745158, -0.00638491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.122943");
-            index_3 ("0.2758, 0.295043, 0.307267, 0.327606, 0.34745, 0.366679, 0.378542, 0.389957, 0.401353, 0.412736, 0.439595, 0.450348, 0.463938, 0.479053, 0.491914, 0.501397");
-            values ( \
-              "-0.0221032, -0.438438, -0.655829, -1.15013, -1.53415, -1.8059, -1.91697, -1.96892, -1.91935, -1.65434, -0.674638, -0.426668, -0.229963, -0.113405, -0.0606195, -0.043376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.397398");
-            index_3 ("0.292749, 0.310786, 0.351719, 0.366597, 0.384001, 0.411031, 0.435931, 0.460098, 0.484231, 0.508467, 0.517046, 0.526851, 0.563431, 0.585872, 0.60693, 0.622418, 0.635283, 0.650337, 0.671633, 0.693858, 0.710896, 0.744971, 0.806543, 0.868115");
-            values ( \
-              "-0.709165, -0.878977, -1.90454, -2.21154, -2.49552, -2.7979, -2.94323, -2.98776, -2.92653, -2.71098, -2.57571, -2.37696, -1.54032, -1.11981, -0.813191, -0.636184, -0.516804, -0.403174, -0.281534, -0.193172, -0.144122, -0.0788213, -0.0240403, -0.0073663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.28454");
-            index_3 ("0.305947, 0.336021, 0.369999, 0.388061, 0.411412, 0.450808, 0.480382, 0.513638, 0.544858, 0.593048, 0.641, 0.674717, 0.736289, 0.782451, 0.816708, 0.87828, 0.955408, 1.01213, 1.03774, 1.07664, 1.11323, 1.16115, 1.20108, 1.24773, 1.3093, 1.38809, 1.44803, 1.5096, 1.63275, 1.75589, 1.87903");
-            values ( \
-              "-1.46622, -1.61118, -2.441, -2.7772, -3.09437, -3.42039, -3.5383, -3.60264, -3.61592, -3.59362, -3.53209, -3.47043, -3.31731, -3.13943, -2.95076, -2.46299, -1.80775, -1.39646, -1.23655, -1.02225, -0.850521, -0.663986, -0.538571, -0.419983, -0.300463, -0.195415, -0.140251, -0.0993946, -0.0498196, -0.0248937, -0.0124097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.46323, 0.492407, 0.495732, 0.500048, 0.505172, 0.507699, 0.512752, 0.517706, 0.519433, 0.526199, 0.532198, 0.534888, 0.540444, 0.545427, 0.550057, 0.55058, 0.551624, 0.553713, 0.554518, 0.556129, 0.559005, 0.56241, 0.565757, 0.567318, 0.569508, 0.570801, 0.573136, 0.576249, 0.579483, 0.582122, 0.583621, 0.58662, 0.592619, 0.597651");
-            values ( \
-              "-0.00281833, -0.00759581, -0.0111159, -0.0150363, -0.0193192, -0.0221967, -0.0289251, -0.0412843, -0.04844, -0.0793324, -0.101448, -0.109839, -0.126077, -0.137173, -0.145451, -0.145762, -0.146822, -0.148454, -0.148698, -0.147969, -0.140957, -0.111276, -0.07318, -0.0581886, -0.0410643, -0.0334653, -0.0230294, -0.01425, -0.00928593, -0.00700855, -0.00609418, -0.00469462, -0.00298945, -0.00229876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0117669");
-            index_3 ("0.465271, 0.492199, 0.495923, 0.497713, 0.501294, 0.507275, 0.509927, 0.51523, 0.520511, 0.522065, 0.528281, 0.532193, 0.539822, 0.546194, 0.550593, 0.551865, 0.554411, 0.557151, 0.561528, 0.562208, 0.563569, 0.566291, 0.567286, 0.569276, 0.573479, 0.574095, 0.574916, 0.576559, 0.578815, 0.579564, 0.581063, 0.58406, 0.586934, 0.588456, 0.590222, 0.592576, 0.597284, 0.603236");
-            values ( \
-              "-0.00908677, -0.0219043, -0.0314766, -0.0364659, -0.0453238, -0.0607995, -0.0689443, -0.0900484, -0.126085, -0.142256, -0.208645, -0.24541, -0.309991, -0.355401, -0.382331, -0.388533, -0.402008, -0.413287, -0.424909, -0.424348, -0.423954, -0.410443, -0.398317, -0.364555, -0.257041, -0.239364, -0.218381, -0.178769, -0.133378, -0.120296, -0.0978799, -0.0632804, -0.0420726, -0.0339031, -0.0268006, -0.0200647, -0.0113937, -0.00727148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0380349");
-            index_3 ("0.494178, 0.509355, 0.511455, 0.513554, 0.515653, 0.518473, 0.521292, 0.524111, 0.52693, 0.531118, 0.533212, 0.534258, 0.538103, 0.541947, 0.544062, 0.550404, 0.553514, 0.555069, 0.559045, 0.56037, 0.567928, 0.569693, 0.571458, 0.573223, 0.574988, 0.576692, 0.578396, 0.5801, 0.581804, 0.583508, 0.585212, 0.586917, 0.588621, 0.588852, 0.589314, 0.590237, 0.592084, 0.599854, 0.602147, 0.603631, 0.605322, 0.606362, 0.60761, 0.609046, 0.610482, 0.611524, 0.612566, 0.613608, 0.61465, 0.616689");
-            values ( \
-              "-0.142405, -0.159779, -0.176744, -0.19496, -0.214427, -0.243217, -0.274606, -0.308595, -0.345181, -0.426556, -0.465583, -0.48447, -0.548783, -0.610379, -0.64232, -0.736322, -0.780854, -0.802033, -0.851914, -0.867783, -0.953963, -0.972453, -0.989815, -1.00605, -1.02116, -1.01575, -1.00845, -0.999251, -0.988154, -0.975157, -0.960262, -0.943468, -0.924776, -0.920855, -0.90913, -0.879345, -0.811334, -0.492747, -0.412176, -0.365233, -0.314392, -0.284807, -0.252239, -0.221606, -0.193909, -0.176003, -0.159405, -0.144116, -0.130134, -0.106226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.122943");
-            index_3 ("0.49218, 0.51286, 0.52121, 0.528576, 0.549982, 0.562064, 0.578916, 0.589608, 0.597124, 0.604203, 0.615752, 0.627253, 0.638749, 0.657216, 0.665875, 0.676279, 0.681963, 0.689996, 0.698844, 0.705718, 0.719465, 0.742811, 0.77069, 0.806461");
-            values ( \
-              "-0.113695, -0.314393, -0.425041, -0.541859, -1.02779, -1.28337, -1.5854, -1.73743, -1.82379, -1.88769, -1.94714, -1.90424, -1.64519, -0.935359, -0.667267, -0.428922, -0.333104, -0.230225, -0.152675, -0.110322, -0.0564982, -0.017088, -0.00490166, -0.00167783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.397398");
-            index_3 ("0.503932, 0.531243, 0.576363, 0.591928, 0.609468, 0.636815, 0.661851, 0.686111, 0.710332, 0.735794, 0.752449, 0.789495, 0.81201, 0.833096, 0.848566, 0.876457, 0.89776, 0.920011, 0.93707, 0.97119, 1.00903");
-            values ( \
-              "-0.321847, -0.726353, -1.83144, -2.16118, -2.45811, -2.77601, -2.93012, -2.97947, -2.92167, -2.69172, -2.38695, -1.54128, -1.11953, -0.812686, -0.636001, -0.403267, -0.281572, -0.19312, -0.144031, -0.0787087, -0.0450873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.28454");
-            index_3 ("0.524522, 0.558801, 0.594558, 0.614428, 0.636678, 0.676432, 0.706007, 0.739369, 0.770576, 0.818332, 0.83478, 0.867105, 0.900474, 0.962046, 1.00823, 1.04247, 1.10404, 1.18117, 1.23788, 1.2635, 1.30241, 1.33899, 1.38692, 1.42684, 1.47349, 1.53506, 1.61385, 1.67379, 1.73536, 1.8585, 1.98165, 2.10479");
-            values ( \
-              "-1.22525, -1.47422, -2.37442, -2.75332, -3.0653, -3.40628, -3.53069, -3.59754, -3.61385, -3.59233, -3.57434, -3.53052, -3.47065, -3.31674, -3.13968, -2.95037, -2.46334, -1.80756, -1.39671, -1.23635, -1.02239, -0.850352, -0.664141, -0.538726, -0.419848, -0.300609, -0.195538, -0.140139, -0.0995136, -0.0499349, -0.025006, -0.0125195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.918034, 0.937902, 0.940978, 0.946184, 0.948787, 0.95139, 0.953993, 0.956595, 0.959266, 0.961936, 0.976285, 0.980557, 0.985618, 0.987567, 0.991465, 0.993414, 0.995168, 0.996923, 1.00043, 1.00372, 1.007, 1.00858, 1.01017, 1.01176, 1.01335, 1.01493, 1.01652, 1.01811, 1.01969, 1.01987, 1.02084, 1.02157, 1.02351, 1.02754, 1.02937, 1.03133, 1.03212, 1.03371, 1.03451, 1.03666, 1.03806, 1.04016, 1.04086, 1.04226, 1.04366, 1.04507, 1.04647, 1.04771, 1.05143, 1.05485");
-            values ( \
-              "-0.0107897, -0.0114515, -0.0125821, -0.0151316, -0.0167774, -0.0186707, -0.0208113, -0.0231994, -0.0268326, -0.0310552, -0.0617406, -0.0699831, -0.0791373, -0.0821345, -0.0876506, -0.0901694, -0.0922455, -0.0942293, -0.0979203, -0.101037, -0.103832, -0.103771, -0.103587, -0.103282, -0.102856, -0.102308, -0.101639, -0.100849, -0.0999371, -0.0992037, -0.0965587, -0.0939793, -0.0848059, -0.061761, -0.0498032, -0.0397546, -0.0362053, -0.0299238, -0.0271917, -0.0208178, -0.0172282, -0.0135245, -0.0124852, -0.0110336, -0.00974996, -0.00863425, -0.00768648, -0.007123, -0.00568101, -0.00468438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0117669");
-            index_3 ("0.919119, 0.939599, 0.945823, 0.94863, 0.950034, 0.952841, 0.954244, 0.956248, 0.958251, 0.960255, 0.962258, 0.965024, 0.970555, 0.977855, 0.980869, 0.983882, 0.988013, 0.991369, 0.993548, 0.995727, 1.00009, 1.00399, 1.0097, 1.01511, 1.01685, 1.01858, 1.02031, 1.02205, 1.02378, 1.02551, 1.02724, 1.02897, 1.03028, 1.03158, 1.03288, 1.03418, 1.03613, 1.03865, 1.04092, 1.04245, 1.04398, 1.04654, 1.0484, 1.04984, 1.05128, 1.05243, 1.05357, 1.05471, 1.05586, 1.05878");
-            values ( \
-              "-0.0324532, -0.0353165, -0.0428266, -0.0468941, -0.049294, -0.0547873, -0.0578807, -0.0626972, -0.0679848, -0.0737434, -0.0799732, -0.0913603, -0.120658, -0.161657, -0.177228, -0.192298, -0.212101, -0.227296, -0.236292, -0.244907, -0.260991, -0.273874, -0.29134, -0.3064, -0.307994, -0.308419, -0.307674, -0.305761, -0.302684, -0.298441, -0.293034, -0.28646, -0.27744, -0.266714, -0.25428, -0.24014, -0.213594, -0.171441, -0.138022, -0.118296, -0.100521, -0.0747218, -0.0595397, -0.0508984, -0.0433931, -0.0385605, -0.0342298, -0.030401, -0.0270743, -0.0206052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0380349");
-            index_3 ("0.893463, 0.916506, 0.933055, 0.944064, 0.952898, 0.961892, 0.973763, 0.988086, 1.00097, 1.01744, 1.0273, 1.03637, 1.04504, 1.0537, 1.05558, 1.06941, 1.07358, 1.07865, 1.08603, 1.09016, 1.09568, 1.10672, 1.11453");
-            values ( \
-              "-0.0169666, -0.0288246, -0.0680945, -0.0984695, -0.128239, -0.167762, -0.254174, -0.40851, -0.528225, -0.662244, -0.726975, -0.779232, -0.804037, -0.768423, -0.733318, -0.357839, -0.262032, -0.173267, -0.0909133, -0.0628942, -0.038227, -0.0141887, -0.00949166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.122943");
-            index_3 ("0.916017, 0.949549, 0.958723, 0.971926, 0.978635, 1.03098, 1.05511, 1.06954, 1.08297, 1.09604, 1.10981, 1.1284, 1.13846, 1.14747, 1.16194, 1.17294, 1.1818, 1.19952, 1.21583, 1.26219");
-            values ( \
-              "-0.044788, -0.219294, -0.278896, -0.385543, -0.455429, -1.17503, -1.4619, -1.60161, -1.69189, -1.70234, -1.49574, -0.889067, -0.612891, -0.426016, -0.226705, -0.138202, -0.0918583, -0.0391488, -0.0186885, -0.00404485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.397398");
-            index_3 ("0.921885, 0.966311, 0.984228, 1.00276, 1.06207, 1.09085, 1.10404, 1.13147, 1.15715, 1.18246, 1.21036, 1.22713, 1.28395, 1.31337, 1.34145, 1.37561, 1.40889, 1.44692, 1.44727");
-            values ( \
-              "-0.10836, -0.448666, -0.652162, -0.921492, -1.93815, -2.35326, -2.50316, -2.72885, -2.83394, -2.8251, -2.61378, -2.32354, -1.14269, -0.730636, -0.465453, -0.262801, -0.148907, -0.075642, -0.0753461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.28454");
-            index_3 ("0.978975, 1.04454, 1.07526, 1.10011, 1.1201, 1.14294, 1.17651, 1.21154, 1.24264, 1.27486, 1.30739, 1.36896, 1.38184, 1.43335, 1.45777, 1.48514, 1.51531, 1.57566, 1.65589, 1.71479, 1.75162, 1.80904, 1.85858, 1.90179, 1.94767, 2.00924, 2.08456, 2.14164, 2.20322, 2.32636, 2.44951, 2.57265");
-            values ( \
-              "-1.16528, -1.77744, -2.35729, -2.74355, -2.99034, -3.20213, -3.40743, -3.51904, -3.56349, -3.57383, -3.55692, -3.47466, -3.44921, -3.31902, -3.23618, -3.1195, -2.94976, -2.47376, -1.79267, -1.36995, -1.14803, -0.862323, -0.667949, -0.53253, -0.41673, -0.298302, -0.197751, -0.144086, -0.10228, -0.0513167, -0.0256858, -0.0128464" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.00319706, 0.00319806, 0.00320026, 0.00320245, 0.00320357, 0.00320398", \
-            "0.00382035, 0.00382063, 0.00382158, 0.00382337, 0.00382472, 0.00382531", \
-            "0.00418447, 0.00418448, 0.00418458, 0.00418515, 0.00418608, 0.00418666", \
-            "0.00438841, 0.00438836, 0.00438829, 0.00438819, 0.00438834, 0.00438863", \
-            "0.00449556, 0.00449552, 0.0044954, 0.00449519, 0.00449501, 0.00449501", \
-            "0.00455576, 0.00455576, 0.00455569, 0.00455558, 0.00455538, 0.00455523" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.00460105, 0.0045992, 0.00460095, 0.00460447, 0.0046069, 0.00460791", \
-            "0.00502616, 0.00502545, 0.00502355, 0.00502337, 0.0050245, 0.00502531", \
-            "0.00520941, 0.00520808, 0.00520516, 0.00520125, 0.00519913, 0.00519867", \
-            "0.00532722, 0.00532626, 0.00532362, 0.0053182, 0.00531236, 0.00530925", \
-            "0.0055186, 0.00551814, 0.00551638, 0.00551163, 0.00550379, 0.00549756", \
-            "0.00573372, 0.00575062, 0.00577866, 0.00581594, 0.00584642, 0.00586244" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.0563757, 0.055438, 0.0543979, 0.0539229, 0.0544837, 0.0551355", \
-            "0.0564306, 0.0554744, 0.05436, 0.0537953, 0.054378, 0.0550586", \
-            "0.0561419, 0.0551798, 0.0540738, 0.0535698, 0.0542004, 0.0549114", \
-            "0.0559428, 0.055096, 0.0539659, 0.0533203, 0.0538793, 0.0546719", \
-            "0.0597245, 0.0585137, 0.0567331, 0.0550162, 0.0542389, 0.0546065", \
-            "0.0674552, 0.0652944, 0.0621102, 0.0589125, 0.0572933, 0.0560365" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.0584958, 0.0569449, 0.0551611, 0.0558244, 0.0580732, 0.0595626", \
-            "0.0584012, 0.0568426, 0.0550263, 0.0556715, 0.0580016, 0.0594889", \
-            "0.0581853, 0.0566218, 0.0547758, 0.055436, 0.0578173, 0.0594051", \
-            "0.0583601, 0.0567091, 0.0547404, 0.0550923, 0.0574498, 0.059298", \
-            "0.0601993, 0.0583494, 0.0558874, 0.0567375, 0.057939, 0.0595416", \
-            "0.0706034, 0.0677372, 0.0626779, 0.0591258, 0.0590433, 0.0607925" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.0565212, 0.0555583, 0.0544961, 0.0539734, 0.054487, 0.0550063", \
-            "0.0563683, 0.0554325, 0.0543868, 0.0539448, 0.0544186, 0.0549268", \
-            "0.0562564, 0.0552352, 0.0541606, 0.053647, 0.0542131, 0.0547842", \
-            "0.0559231, 0.0549605, 0.0538247, 0.0533072, 0.0538421, 0.0545086", \
-            "0.057979, 0.0569177, 0.0556172, 0.0544777, 0.0540333, 0.0541562", \
-            "0.0648573, 0.0630169, 0.0603231, 0.0576672, 0.0558677, 0.0552717" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0117669, 0.0380349, 0.122943, 0.397398, 1.28454");
-          values ( \
-            "0.059647, 0.0580652, 0.0560174, 0.055802, 0.0565487, 0.0570345", \
-            "0.0594983, 0.0578619, 0.0558353, 0.0556547, 0.0564877, 0.0569624", \
-            "0.0594002, 0.0577529, 0.0557002, 0.0554443, 0.0563638, 0.0568814", \
-            "0.0594622, 0.057783, 0.0556395, 0.0552371, 0.0562305, 0.0569757", \
-            "0.0626907, 0.0607901, 0.0580453, 0.0566307, 0.0568455, 0.0575162", \
-            "0.0719245, 0.0689983, 0.0637875, 0.0599488, 0.0589273, 0.0592082" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00560116;
-      rise_capacitance : 0.00560116;
-      rise_capacitance_range (0.00398051, 0.00560116);
-      fall_capacitance : 0.00543587;
-      fall_capacitance_range (0.00335246, 0.00543587);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00337142, 0.00426391, 0.00473028, 0.00494542, 0.00504092, 0.00508499" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00475322, 0.00458985, 0.0041507, 0.00389612, 0.00380123, 0.00375935" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00256615, 0.0029608, 0.00319155, 0.00333796, 0.00341452, 0.00345423" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00379405, 0.00436674, 0.00473499, 0.00490404, 0.00497014, 0.00499584" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00584998, -0.00586242, -0.00585633, -0.00585472, -0.00587205, -0.00585207" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00584998, 0.00586242, 0.00587422, 0.00589313, 0.00587352, 0.00585207" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00573088;
-      rise_capacitance : 0.00573088;
-      rise_capacitance_range (0.00440962, 0.00573088);
-      fall_capacitance : 0.00548386;
-      fall_capacitance_range (0.00386301, 0.00548386);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00343487, 0.0044366, 0.00501497, 0.00530069, 0.00542691, 0.00548214" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00537526, 0.00547476, 0.00505427, 0.00478004, 0.00465754, 0.00460815" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00312776, 0.00367141, 0.00398452, 0.00416857, 0.0042658, 0.00431574" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00436683, 0.00491318, 0.00527277, 0.0054491, 0.00551961, 0.00555368" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00552596, -0.00553357, -0.00552812, -0.0055481, -0.00554051, -0.00552848" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00555107, 0.00553357, 0.00552812, 0.00556344, 0.00554654, 0.00553349" \
-          );
-        }
-      }
-    }
-  }
-  cell (AND2XL) {
-    area : 12.4542;
-    cell_footprint : "AND2";
-    cell_leakage_power : 0.21889;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.348898;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.176843;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.178166;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.171652;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.21889;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.146981;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0749513, 0.0894558, 0.117287, 0.174053, 0.292869, 0.542026", \
-            "0.0791833, 0.0937254, 0.121619, 0.178424, 0.297271, 0.546423", \
-            "0.089192, 0.103807, 0.131788, 0.188671, 0.307541, 0.556695", \
-            "0.103321, 0.118485, 0.147143, 0.204187, 0.323119, 0.572268", \
-            "0.116485, 0.132269, 0.161114, 0.218724, 0.33833, 0.587465", \
-            "0.121529, 0.139128, 0.169546, 0.227736, 0.34686, 0.59666" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0325251, 0.0505724, 0.0891994, 0.172319, 0.348636, 0.718583", \
-            "0.0325066, 0.0505479, 0.0891814, 0.172308, 0.348634, 0.718583", \
-            "0.0327723, 0.0507046, 0.0892356, 0.172318, 0.348636, 0.718584", \
-            "0.0347553, 0.0525202, 0.0904354, 0.172737, 0.348656, 0.718583", \
-            "0.0382763, 0.0550855, 0.0923119, 0.174497, 0.34971, 0.718722", \
-            "0.046166, 0.0619574, 0.096673, 0.176304, 0.351027, 0.720265" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0648253, 0.0765405, 0.0984093, 0.141863, 0.23184, 0.420071", \
-            "0.0710331, 0.0827677, 0.104652, 0.148141, 0.238143, 0.426384", \
-            "0.0856402, 0.0974033, 0.119371, 0.162899, 0.252944, 0.441216", \
-            "0.112947, 0.125361, 0.147783, 0.19163, 0.281777, 0.470193", \
-            "0.154507, 0.16825, 0.192005, 0.236376, 0.327099, 0.515489", \
-            "0.219604, 0.236242, 0.26278, 0.30893, 0.399889, 0.588626" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0228247, 0.0351887, 0.0611211, 0.116935, 0.236002, 0.486476", \
-            "0.0228574, 0.0352171, 0.0611261, 0.116976, 0.236012, 0.486476", \
-            "0.0231257, 0.035363, 0.0612236, 0.116954, 0.236004, 0.486476", \
-            "0.0255381, 0.0375023, 0.0625205, 0.117442, 0.236008, 0.486595", \
-            "0.0307174, 0.0419933, 0.0660924, 0.120697, 0.237449, 0.486562", \
-            "0.0392612, 0.0509196, 0.0732346, 0.123806, 0.239022, 0.488179" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0548692, 0.0599756, 0.0620622, 0.0657214, 0.0691897, 0.0716228, 0.0767825, 0.0816533, 0.0864773, 0.0912989, 0.0959233, 0.103253, 0.110823, 0.115994, 0.121528, 0.126108, 0.130477, 0.136303, 0.140901, 0.147488, 0.15627, 0.17283, 0.179347");
-            values ( \
-              "0.00614947, 0.0637451, 0.0789312, 0.0991497, 0.113816, 0.121478, 0.132614, 0.136508, 0.135412, 0.12833, 0.112518, 0.0786531, 0.0522919, 0.0389758, 0.0281408, 0.0213401, 0.0163566, 0.0113896, 0.00858547, 0.00573703, 0.00333216, 0.00116499, 0.000894602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00762718");
-            index_3 ("0.0554923, 0.0608604, 0.0649479, 0.0680823, 0.0701589, 0.0743122, 0.0786361, 0.0862205, 0.093576, 0.100921, 0.1062, 0.108839, 0.112863, 0.126984, 0.134243, 0.139387, 0.145976, 0.151077, 0.156258, 0.163198, 0.16951, 0.177926, 0.185028, 0.194734, 0.207676, 0.232503, 0.261597");
-            values ( \
-              "0.0161349, 0.0862122, 0.116009, 0.1357, 0.145833, 0.163261, 0.175192, 0.186592, 0.187385, 0.182005, 0.173597, 0.16781, 0.156588, 0.106488, 0.0847137, 0.0711574, 0.0563663, 0.046811, 0.0385582, 0.0295071, 0.0230576, 0.0164712, 0.0123593, 0.00833673, 0.00487799, 0.00160206, 0.00042848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0159804");
-            index_3 ("0.0556301, 0.0631187, 0.069353, 0.0740788, 0.0772986, 0.0837382, 0.0906465, 0.101117, 0.103176, 0.107294, 0.115721, 0.128821, 0.135478, 0.142928, 0.157363, 0.169788, 0.178653, 0.187642, 0.197193, 0.209404, 0.219043, 0.231895, 0.240755, 0.247865, 0.257344, 0.274855, 0.282863, 0.294952, 0.311071, 0.343309, 0.391989, 0.451447");
-            values ( \
-              "0.0388497, 0.114886, 0.161041, 0.185675, 0.199173, 0.216999, 0.22774, 0.231912, 0.231874, 0.230357, 0.225613, 0.213372, 0.205122, 0.193733, 0.165099, 0.1375, 0.119045, 0.101833, 0.0854166, 0.0673398, 0.0553736, 0.0421796, 0.0347853, 0.0297307, 0.0240291, 0.0160214, 0.0133106, 0.0100346, 0.00684029, 0.00305635, 0.000807552, 0.000137066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0334822");
-            index_3 ("0.0556711, 0.0669466, 0.0734404, 0.0832385, 0.0896064, 0.10013, 0.11286, 0.124815, 0.159275, 0.18307, 0.2002, 0.213212, 0.239236, 0.279295, 0.316429, 0.341201, 0.362091, 0.378224, 0.406756, 0.419141, 0.439818, 0.469141, 0.499145, 0.522052, 0.567867, 0.647213, 0.726559");
-            values ( \
-              "0.0599242, 0.15394, 0.197276, 0.236203, 0.249571, 0.261563, 0.264037, 0.261228, 0.244737, 0.230059, 0.217754, 0.206656, 0.179424, 0.133052, 0.0953239, 0.0743274, 0.0596393, 0.0499623, 0.0361121, 0.031256, 0.0244634, 0.0171272, 0.0118663, 0.00893324, 0.00496763, 0.00166878, 0.000553147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0701517");
-            index_3 ("0.0635192, 0.0992641, 0.10988, 0.118228, 0.129357, 0.157445, 0.252701, 0.304589, 0.354019, 0.397829, 0.528227, 0.614768, 0.672253, 0.748909, 0.822466, 0.877683, 0.944969, 1.02431, 1.15888");
-            values ( \
-              "0.223436, 0.27619, 0.282586, 0.284021, 0.283744, 0.278123, 0.251679, 0.235395, 0.216312, 0.194419, 0.12023, 0.0792766, 0.0584723, 0.0379223, 0.0245836, 0.017572, 0.0116217, 0.0070443, 0.00323969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.146981");
-            index_3 ("0.0711303, 0.0711503, 0.217425, 0.446528, 0.553605, 0.608497, 0.669574, 0.74892, 1.00473, 1.15549, 1.2907, 1.35706, 1.43641, 1.52887, 1.60822, 1.68756, 1.80947, 1.95822, 2.11691, 2.2756, 2.41414");
-            values ( \
-              "1e-22, 0.311159, 0.286747, 0.25537, 0.238888, 0.229109, 0.216491, 0.196908, 0.125321, 0.0886513, 0.062807, 0.0525078, 0.0421907, 0.0324669, 0.0257583, 0.0204361, 0.0141831, 0.00904471, 0.00556669, 0.00341243, 0.00264268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0733122, 0.0774872, 0.083937, 0.0898201, 0.0949717, 0.0998381, 0.104659, 0.109477, 0.114165, 0.121057, 0.127232, 0.135991, 0.143347, 0.146625, 0.152104, 0.158385, 0.170906, 0.181963, 0.191031");
-            values ( \
-              "0.000887909, 0.0619928, 0.100167, 0.122134, 0.132384, 0.136942, 0.135167, 0.128644, 0.112275, 0.0802615, 0.0577122, 0.0350491, 0.0225656, 0.0185135, 0.0132275, 0.00894588, 0.00417182, 0.00210998, 0.00139471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00762718");
-            index_3 ("0.0730544, 0.0792246, 0.0832476, 0.0884185, 0.0968671, 0.10444, 0.11179, 0.119771, 0.124425, 0.127047, 0.131071, 0.145191, 0.157594, 0.169282, 0.174464, 0.181404, 0.187717, 0.196133, 0.203235, 0.212941, 0.225882, 0.250517, 0.279297");
-            values ( \
-              "0.000851678, 0.0882933, 0.1185, 0.147409, 0.176196, 0.186178, 0.188055, 0.181093, 0.173592, 0.167843, 0.156598, 0.106487, 0.0711524, 0.0468164, 0.0385545, 0.0295113, 0.0230611, 0.0164662, 0.0123628, 0.00834045, 0.00487399, 0.00162288, 0.000437012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0159804");
-            index_3 ("0.072678, 0.0816116, 0.0877406, 0.092127, 0.0956312, 0.10264, 0.108947, 0.119415, 0.125561, 0.134005, 0.146626, 0.153793, 0.161209, 0.175646, 0.196933, 0.215479, 0.227687, 0.237321, 0.250167, 0.26615, 0.275638, 0.293141, 0.313227, 0.329334, 0.361549, 0.380145");
-            values ( \
-              "0.0108356, 0.118034, 0.162681, 0.185476, 0.199976, 0.218787, 0.227992, 0.23209, 0.230493, 0.225617, 0.213913, 0.205085, 0.193739, 0.165096, 0.119046, 0.0854085, 0.0673375, 0.0553774, 0.0421883, 0.0297282, 0.0240225, 0.0160198, 0.0100362, 0.0068434, 0.00305965, 0.00219653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0334822");
-            index_3 ("0.0794622, 0.104105, 0.116002, 0.126766, 0.140346, 0.154422, 0.175955, 0.204008, 0.225056, 0.244942, 0.305916, 0.336476, 0.378632, 0.403026, 0.433629, 0.484977, 0.522965, 0.553394, 0.614252, 0.633262");
-            values ( \
-              "0.170328, 0.242301, 0.259445, 0.26378, 0.261947, 0.256592, 0.245509, 0.228353, 0.212601, 0.193492, 0.124168, 0.0938748, 0.0608276, 0.0465339, 0.0326825, 0.0176072, 0.0110395, 0.00762615, 0.00335831, 0.00299504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0701517");
-            index_3 ("0.0792212, 0.0920582, 0.101675, 0.107936, 0.118288, 0.130814, 0.144177, 0.175585, 0.27447, 0.322729, 0.375442, 0.429569, 0.539366, 0.569016, 0.627539, 0.673831, 0.705924, 0.767433, 0.795093, 0.850412, 0.897226, 0.959989, 1.03934, 1.11868, 1.19803, 1.43607");
-            values ( \
-              "0.186825, 0.206826, 0.248423, 0.26312, 0.277718, 0.283687, 0.284185, 0.278184, 0.25061, 0.235389, 0.214863, 0.186919, 0.123964, 0.108537, 0.0814649, 0.0639445, 0.0536757, 0.0378387, 0.0321838, 0.0231379, 0.0173956, 0.0118306, 0.00718306, 0.00431969, 0.00261251, 0.000554831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.146981");
-            index_3 ("0.0863345, 0.129292, 0.136336, 0.145729, 0.16108, 0.206771, 0.464942, 0.57196, 0.651307, 0.688042, 0.74811, 1.02509, 1.10045, 1.1798, 1.23421, 1.3024, 1.37117, 1.48554, 1.5556, 1.63358, 1.71293, 1.82488, 1.96678, 2.12547, 2.28416, 2.60155, 2.83958");
-            values ( \
-              "0.290995, 0.293118, 0.295136, 0.29606, 0.295948, 0.290719, 0.25543, 0.238961, 0.224259, 0.216527, 0.20186, 0.12485, 0.105473, 0.0874276, 0.0761823, 0.0639767, 0.0530721, 0.0386116, 0.0317416, 0.0251876, 0.0200642, 0.0142643, 0.00927454, 0.00569298, 0.00347388, 0.00126467, 0.000705494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.112719, 0.117074, 0.123216, 0.126789, 0.134903, 0.137633, 0.140737, 0.145596, 0.150598, 0.152524, 0.164749, 0.172954, 0.181031, 0.186763, 0.193554, 0.199524, 0.211225, 0.221456, 0.224457");
-            values ( \
-              "0.0113342, 0.0488774, 0.0889791, 0.10555, 0.129796, 0.133327, 0.134776, 0.134848, 0.127307, 0.12201, 0.0697039, 0.0441206, 0.0275942, 0.0195209, 0.0128692, 0.00889225, 0.00435258, 0.00232988, 0.00206433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00762718");
-            index_3 ("0.115016, 0.119042, 0.125827, 0.134103, 0.137586, 0.14524, 0.152619, 0.159995, 0.164836, 0.167908, 0.174054, 0.187291, 0.194599, 0.202951, 0.208117, 0.215954, 0.224388, 0.234398, 0.243325, 0.259936, 0.274368, 0.300769, 0.332147");
-            values ( \
-              "0.0606764, 0.0757939, 0.124617, 0.163449, 0.173436, 0.185426, 0.186977, 0.181552, 0.174225, 0.167683, 0.149669, 0.102781, 0.0811666, 0.0606813, 0.0504458, 0.0376504, 0.0271958, 0.0183053, 0.0127629, 0.00650174, 0.00356042, 0.00107401, 0.000250329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0159804");
-            index_3 ("0.115085, 0.128429, 0.136488, 0.144131, 0.149909, 0.160307, 0.162439, 0.166703, 0.175013, 0.188116, 0.194693, 0.202223, 0.216656, 0.229105, 0.239234, 0.246921, 0.256479, 0.268696, 0.278348, 0.291217, 0.300057, 0.307153, 0.316614, 0.334142, 0.342158, 0.35427, 0.370419, 0.402718, 0.450525, 0.508886");
-            values ( \
-              "0.0585028, 0.157724, 0.197553, 0.218311, 0.227277, 0.231518, 0.231736, 0.230031, 0.225605, 0.213325, 0.205214, 0.193718, 0.165107, 0.13745, 0.116485, 0.101863, 0.0854368, 0.0673431, 0.0553622, 0.0421591, 0.0347787, 0.0297343, 0.0240457, 0.0160231, 0.0133094, 0.010028, 0.00683312, 0.00304596, 0.000832138, 0.000147116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0334822");
-            index_3 ("0.11899, 0.13109, 0.136083, 0.143687, 0.149039, 0.159347, 0.169635, 0.177675, 0.18644, 0.195429, 0.219511, 0.245014, 0.276317, 0.297826, 0.352419, 0.367701, 0.396689, 0.418736, 0.433946, 0.466133, 0.480694, 0.509816, 0.53172, 0.555941, 0.588236, 0.652825, 0.732171, 0.811517");
-            values ( \
-              "0.149709, 0.185157, 0.211254, 0.237985, 0.249345, 0.260914, 0.263782, 0.262834, 0.260235, 0.256472, 0.244016, 0.228366, 0.203255, 0.180363, 0.118364, 0.103036, 0.0773766, 0.0614434, 0.0520673, 0.0361274, 0.0304637, 0.0215093, 0.0164665, 0.0122375, 0.00818707, 0.0034751, 0.00116885, 0.000381914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0701517");
-            index_3 ("0.122312, 0.141602, 0.158888, 0.171802, 0.187346, 0.216583, 0.322716, 0.363728, 0.416441, 0.470572, 0.580367, 0.668543, 0.746908, 0.808432, 0.891433, 0.938231, 1.00097, 1.08032, 1.23901, 1.24406");
-            values ( \
-              "0.220535, 0.243652, 0.277026, 0.283626, 0.284081, 0.278311, 0.248425, 0.235385, 0.214867, 0.18692, 0.123959, 0.0814596, 0.0536831, 0.0378417, 0.0231378, 0.017392, 0.0118288, 0.00718653, 0.00261555, 0.00257177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.146981");
-            index_3 ("0.132608, 0.132628, 0.276492, 0.506033, 0.585379, 0.668003, 0.729079, 0.808425, 1.06423, 1.215, 1.3502, 1.49591, 1.58838, 1.74707, 1.83428, 1.93838, 2.09707, 2.25576, 2.57315, 2.81119");
-            values ( \
-              "1e-22, 0.31926, 0.286923, 0.255257, 0.243476, 0.22922, 0.216381, 0.197017, 0.125219, 0.0885501, 0.0627137, 0.0420982, 0.0323752, 0.0203451, 0.0156894, 0.0114653, 0.00705904, 0.004321, 0.0015896, 0.000851208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.18548, 0.190314, 0.192913, 0.21144, 0.218631, 0.222628, 0.226507, 0.230394, 0.233062, 0.238369, 0.245317, 0.254782, 0.261236, 0.270338, 0.283306, 0.295361, 0.303463");
-            values ( \
-              "0.00892687, 0.0156283, 0.0250544, 0.104908, 0.121153, 0.12565, 0.127156, 0.125351, 0.121735, 0.106906, 0.0768275, 0.0464132, 0.0323875, 0.0191129, 0.0087563, 0.004267, 0.00326858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00762718");
-            index_3 ("0.190339, 0.197462, 0.209645, 0.215584, 0.219237, 0.227432, 0.23223, 0.235176, 0.241067, 0.242907, 0.246588, 0.250972, 0.259125, 0.273256, 0.28155, 0.294052, 0.301493, 0.31054, 0.326141, 0.338135, 0.347814, 0.367171, 0.374776");
-            values ( \
-              "0.0225987, 0.0545154, 0.124488, 0.148322, 0.160013, 0.175003, 0.178333, 0.178587, 0.176737, 0.175029, 0.17106, 0.163245, 0.140714, 0.0943393, 0.0718647, 0.046139, 0.034869, 0.0245966, 0.0131604, 0.00811238, 0.00545328, 0.00238048, 0.0019108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0159804");
-            index_3 ("0.19044, 0.201237, 0.209009, 0.218017, 0.224387, 0.232339, 0.243553, 0.245373, 0.248923, 0.258298, 0.264643, 0.271616, 0.285059, 0.295704, 0.322777, 0.338961, 0.352003, 0.362999, 0.371534, 0.386378, 0.40372, 0.419153, 0.435025, 0.447154, 0.471412, 0.506433");
-            values ( \
-              "0.0216549, 0.0849635, 0.136846, 0.181031, 0.201117, 0.216591, 0.223922, 0.224307, 0.223653, 0.220315, 0.216941, 0.211301, 0.194506, 0.174488, 0.11662, 0.0872792, 0.0677647, 0.0542099, 0.0452953, 0.0328003, 0.0222192, 0.015547, 0.0107482, 0.00807324, 0.00447399, 0.00204722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0334822");
-            index_3 ("0.200074, 0.21661, 0.223572, 0.231436, 0.242552, 0.248875, 0.259767, 0.268257, 0.285346, 0.313117, 0.328638, 0.3545, 0.382415, 0.428397, 0.467867, 0.50117, 0.519048, 0.54979, 0.564123, 0.592788, 0.615158, 0.63981, 0.67268, 0.73842, 0.817766, 0.897112");
-            values ( \
-              "0.152568, 0.189045, 0.216888, 0.237578, 0.252292, 0.255682, 0.257658, 0.257726, 0.252512, 0.238069, 0.228338, 0.208409, 0.179262, 0.126602, 0.0878106, 0.0622473, 0.0512314, 0.0361264, 0.0305451, 0.0216891, 0.0165121, 0.0122077, 0.00810314, 0.00338326, 0.00113443, 0.000373992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0701517");
-            index_3 ("0.199757, 0.214323, 0.221453, 0.235134, 0.242459, 0.248777, 0.259404, 0.267815, 0.275222, 0.287749, 0.300373, 0.32302, 0.393847, 0.447538, 0.500248, 0.554418, 0.664195, 0.693886, 0.752391, 0.798583, 0.830599, 0.89224, 0.919967, 0.975421, 1.0221, 1.08467, 1.16402, 1.24336, 1.32271, 1.40205, 1.56075");
-            values ( \
-              "0.163702, 0.183369, 0.21914, 0.256975, 0.267727, 0.272511, 0.277913, 0.28059, 0.281213, 0.280156, 0.277693, 0.27209, 0.25222, 0.235397, 0.214857, 0.18689, 0.123958, 0.108502, 0.0814523, 0.0639683, 0.0537117, 0.0378351, 0.0321752, 0.0231059, 0.0173925, 0.0118436, 0.00718519, 0.00432681, 0.00261103, 0.00156061, 0.000558836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.146981");
-            index_3 ("0.210709, 0.266809, 0.278288, 0.296692, 0.328308, 0.537622, 0.66906, 0.751682, 0.812759, 0.892105, 1.14791, 1.29868, 1.43388, 1.50024, 1.61041, 1.7514, 1.83075, 1.95266, 2.1014, 2.26009, 2.41879, 2.53831");
-            values ( \
-              "0.290803, 0.292159, 0.294533, 0.294497, 0.290987, 0.262756, 0.243386, 0.229131, 0.216469, 0.196931, 0.125297, 0.088627, 0.0627809, 0.052534, 0.0386796, 0.025785, 0.0204094, 0.0142103, 0.00907215, 0.00559429, 0.00344014, 0.00277607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.33645, 0.345206, 0.348289, 0.349947, 0.353262, 0.355971, 0.358707, 0.361865, 0.363714, 0.36741, 0.372828, 0.379844, 0.385968, 0.391718, 0.397416, 0.40311, 0.403497, 0.40891, 0.411119, 0.412612, 0.414601, 0.417985, 0.422355, 0.423495, 0.425774, 0.429292, 0.434032, 0.435525, 0.43851, 0.44448, 0.449351, 0.451696, 0.456385, 0.465763, 0.479415");
-            values ( \
-              "0.00403099, 0.0120011, 0.0169349, 0.0199974, 0.0277002, 0.0347842, 0.0429713, 0.0529619, 0.0583703, 0.0696241, 0.0850152, 0.102111, 0.111783, 0.116085, 0.11417, 0.102283, 0.100488, 0.0795169, 0.0715228, 0.0664002, 0.0600423, 0.0503255, 0.0398187, 0.0374186, 0.0329941, 0.0270049, 0.0205253, 0.0187938, 0.0157849, 0.0110144, 0.00826417, 0.00716822, 0.0054588, 0.00310675, 0.00151025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00762718");
-            index_3 ("0.336907, 0.348651, 0.352079, 0.360391, 0.378136, 0.381778, 0.389063, 0.394735, 0.399312, 0.407479, 0.415645, 0.418645, 0.441084, 0.453707, 0.464133, 0.477707, 0.486097, 0.493417, 0.503421, 0.51676, 0.533166");
-            values ( \
-              "0.00563333, 0.022388, 0.0309587, 0.0587121, 0.127331, 0.138906, 0.156172, 0.164467, 0.168132, 0.168289, 0.16007, 0.153859, 0.0856413, 0.0559571, 0.0383606, 0.022838, 0.0163972, 0.0122484, 0.00820524, 0.0047469, 0.00265408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0159804");
-            index_3 ("0.36103, 0.379878, 0.382217, 0.384555, 0.386894, 0.389233, 0.39256, 0.395887, 0.399215, 0.402542, 0.405869, 0.409196, 0.412544, 0.41637, 0.419633, 0.422895, 0.429531, 0.436472, 0.438923, 0.44198, 0.444417, 0.446946, 0.450877, 0.453498, 0.456119, 0.461361, 0.470218, 0.479076, 0.491025, 0.496514, 0.50124, 0.505965, 0.51202, 0.521191, 0.524307, 0.527424, 0.533656, 0.539889, 0.544604, 0.549319, 0.550428, 0.552145, 0.558448, 0.562751, 0.566088, 0.569895, 0.571799, 0.579413, 0.587027, 0.592373");
-            values ( \
-              "0.149307, 0.156152, 0.165418, 0.17389, 0.181567, 0.18845, 0.195251, 0.2012, 0.206297, 0.210541, 0.213932, 0.21647, 0.217705, 0.217324, 0.216522, 0.215425, 0.211832, 0.207731, 0.205436, 0.202078, 0.199127, 0.195716, 0.189792, 0.185456, 0.180896, 0.171103, 0.15285, 0.134036, 0.110059, 0.099643, 0.0916912, 0.084148, 0.0752406, 0.0624135, 0.0584872, 0.0548065, 0.0483849, 0.0425382, 0.0384973, 0.0347854, 0.0328546, 0.0320148, 0.0279894, 0.0253878, 0.0236104, 0.0217606, 0.0208781, 0.0177413, 0.014834, 0.0129299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0334822");
-            index_3 ("0.335675, 0.405434, 0.41893, 0.424282, 0.430398, 0.44352, 0.468026, 0.49384, 0.519955, 0.548185, 0.594281, 0.616931, 0.645006, 0.686376, 0.715719, 0.749253, 0.778375, 0.8161, 0.866399, 0.945745, 1.02509");
-            values ( \
-              "0.00375182, 0.24115, 0.252197, 0.253502, 0.253649, 0.251169, 0.240737, 0.225923, 0.206549, 0.177894, 0.126453, 0.103276, 0.0783913, 0.0504168, 0.0361103, 0.0243239, 0.0170777, 0.0107353, 0.0056299, 0.00189047, 0.000630327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0701517");
-            index_3 ("0.359064, 0.378048, 0.393598, 0.399752, 0.406786, 0.419233, 0.433763, 0.449573, 0.465125, 0.488055, 0.561501, 0.578415, 0.609896, 0.650124, 0.669585, 0.708507, 0.810764, 0.872793, 0.926262, 0.95417, 0.972299, 1.0049, 1.04696, 1.08066, 1.12284, 1.18212, 1.20858, 1.2445, 1.29239, 1.37174, 1.45109, 1.53043, 1.68912");
-            values ( \
-              "0.153184, 0.165159, 0.228805, 0.24464, 0.257743, 0.271022, 0.276611, 0.276682, 0.274274, 0.269059, 0.249471, 0.246361, 0.236636, 0.221729, 0.213105, 0.193469, 0.134533, 0.101974, 0.0782408, 0.0676366, 0.0612655, 0.0512905, 0.0402947, 0.0331318, 0.0258973, 0.0179775, 0.0152924, 0.0122573, 0.00919963, 0.00547113, 0.00338481, 0.00194529, 0.000664765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.146981");
-            index_3 ("0.372689, 0.420259, 0.435008, 0.450678, 0.489436, 0.587868, 0.632735, 0.835071, 0.917697, 0.978774, 1.05812, 1.31393, 1.46469, 1.5999, 1.66626, 1.77643, 1.91742, 1.99676, 2.11867, 2.26742, 2.42611, 2.5848, 2.68791");
-            values ( \
-              "0.274893, 0.281505, 0.288586, 0.290998, 0.288516, 0.278302, 0.272522, 0.243391, 0.229134, 0.216466, 0.196934, 0.125294, 0.0886246, 0.0627798, 0.0525349, 0.0386802, 0.0257854, 0.0204091, 0.01421, 0.00907169, 0.00559373, 0.00343949, 0.00286659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.648511, 0.66645, 0.670475, 0.676869, 0.683336, 0.705308, 0.714574, 0.722437, 0.72954, 0.736308, 0.743075, 0.757429, 0.765196, 0.771783, 0.776213, 0.784563, 0.793837, 0.799573, 0.806129, 0.81924, 0.826681");
-            values ( \
-              "0.00108219, 0.00762099, 0.010116, 0.016435, 0.025511, 0.0635565, 0.0779344, 0.0889486, 0.0955686, 0.0981672, 0.0915241, 0.0505861, 0.0343625, 0.0242159, 0.0189621, 0.0118376, 0.00696981, 0.0050602, 0.00351843, 0.00171294, 0.00129619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00762718");
-            index_3 ("0.648518, 0.675294, 0.675848, 0.679174, 0.683608, 0.689092, 0.701049, 0.716452, 0.727992, 0.737939, 0.747198, 0.756378, 0.765549, 0.775603, 0.778442, 0.78412, 0.79308, 0.79757, 0.799304, 0.802773, 0.80971, 0.814523, 0.818087, 0.822839, 0.832342, 0.837515, 0.842942, 0.850177, 0.864647, 0.886396");
-            values ( \
-              "0.00138297, 0.0192554, 0.0197822, 0.0248931, 0.0327034, 0.0438094, 0.0711475, 0.107038, 0.131025, 0.145268, 0.151217, 0.14828, 0.13203, 0.102668, 0.0948528, 0.0798718, 0.0597929, 0.0513535, 0.0483295, 0.0427591, 0.0331607, 0.0277316, 0.0242377, 0.0201785, 0.013922, 0.0113448, 0.00916334, 0.00690576, 0.0038241, 0.00168579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0159804");
-            index_3 ("0.648658, 0.676381, 0.681538, 0.695672, 0.727314, 0.733526, 0.745949, 0.755416, 0.763433, 0.777642, 0.791882, 0.800884, 0.825524, 0.849007, 0.863329, 0.878272, 0.890668, 0.901895, 0.916865, 0.928945, 0.942231, 0.959945, 0.995373, 1.00798");
-            values ( \
-              "0.00131144, 0.0250417, 0.0338355, 0.066699, 0.156651, 0.171464, 0.192888, 0.201254, 0.203824, 0.200668, 0.187749, 0.173655, 0.123928, 0.0825514, 0.0625685, 0.0460445, 0.0352884, 0.0275854, 0.0196721, 0.0149088, 0.0109667, 0.00722147, 0.00297292, 0.00239968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0334822");
-            index_3 ("0.676179, 0.702645, 0.731918, 0.746938, 0.759687, 0.778174, 0.796964, 0.809561, 0.834643, 0.848352, 0.863557, 0.892181, 0.936175, 0.96945, 1.01131, 1.03572, 1.05742, 1.08476, 1.11802, 1.15593, 1.18628, 1.25745, 1.28544");
-            values ( \
-              "0.0538195, 0.0932587, 0.186815, 0.220501, 0.23648, 0.244864, 0.242605, 0.238211, 0.225718, 0.216749, 0.2047, 0.175574, 0.125912, 0.0930625, 0.0606894, 0.0464032, 0.0362648, 0.0263438, 0.0176103, 0.0110846, 0.00759212, 0.00316334, 0.00260589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0701517");
-            index_3 ("0.676406, 0.706298, 0.731164, 0.744981, 0.75883, 0.778828, 0.797785, 0.806198, 0.823023, 0.860835, 0.902661, 0.952529, 0.986927, 1.01139, 1.06031, 1.17139, 1.22952, 1.28379, 1.3247, 1.40096, 1.45671, 1.52042, 1.59649, 1.6568, 1.73614, 1.81549, 1.83936");
-            values ( \
-              "0.0602187, 0.108851, 0.195365, 0.231779, 0.254052, 0.269088, 0.271808, 0.271541, 0.269099, 0.260904, 0.249782, 0.234705, 0.222486, 0.212166, 0.187319, 0.124174, 0.0952275, 0.0725945, 0.0583038, 0.0378601, 0.0273009, 0.0185441, 0.0116425, 0.00798531, 0.00482106, 0.00290213, 0.00267404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.146981");
-            index_3 ("0.676904, 0.745157, 0.759648, 0.778998, 0.793597, 0.80691, 0.828665, 0.856872, 0.946561, 1.1417, 1.25999, 1.32134, 1.3815, 1.65836, 1.81305, 1.93572, 2.00448, 2.11882, 2.18883, 2.26683, 2.34618, 2.45817, 2.6001, 2.75879, 2.91748, 3.04095");
-            values ( \
-              "0.0464346, 0.238286, 0.263976, 0.281236, 0.285985, 0.287698, 0.287499, 0.285301, 0.274546, 0.247835, 0.229109, 0.21646, 0.201898, 0.124782, 0.0873642, 0.0639002, 0.0531384, 0.0386813, 0.0316766, 0.0252596, 0.0199967, 0.0143327, 0.00934198, 0.00576065, 0.00354163, 0.00258684" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00273024, 0.0027309, 0.00273153, 0.00273198, 0.00273224, 0.00273239", \
-            "0.0033431, 0.00334328, 0.00334363, 0.00334401, 0.00334429, 0.00334446", \
-            "0.00371987, 0.00371994, 0.00372004, 0.0037202, 0.00372038, 0.00372052", \
-            "0.00398351, 0.00398364, 0.00398383, 0.00398405, 0.00398426, 0.00398442", \
-            "0.00418004, 0.00418015, 0.00418032, 0.00418053, 0.00418075, 0.00418093", \
-            "0.00433471, 0.00433461, 0.00433452, 0.00433451, 0.00433464, 0.00433483" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00380625, 0.00380676, 0.00380768, 0.0038086, 0.00380925, 0.00380962", \
-            "0.00395798, 0.00395777, 0.00395797, 0.00395847, 0.00395905, 0.00395948", \
-            "0.00404311, 0.00404252, 0.00404219, 0.00404216, 0.00404232, 0.00404251", \
-            "0.00451607, 0.00451909, 0.00451963, 0.00451978, 0.00452026, 0.00452051", \
-            "0.00494403, 0.00495477, 0.00498536, 0.00499703, 0.00500366, 0.00500646", \
-            "0.00480158, 0.0048014, 0.00479798, 0.00478751, 0.00480164, 0.00480546" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0517154, 0.0593379, 0.064987, 0.0689969, 0.0727285, 0.0763331, 0.0799058, 0.0836138, 0.0844335, 0.0878105, 0.0911298, 0.095424, 0.0994023, 0.103433, 0.107284, 0.109549, 0.114079, 0.122057, 0.131477, 0.143411");
-            values ( \
-              "-0.00967488, -0.124633, -0.156259, -0.170747, -0.180068, -0.18413, -0.182988, -0.170269, -0.165939, -0.138158, -0.10337, -0.0658723, -0.0413824, -0.0252461, -0.0155276, -0.0116396, -0.00647837, -0.0022024, -0.000679308, -0.000184602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00762718");
-            index_3 ("0.0521834, 0.0649298, 0.0668812, 0.0709335, 0.0740631, 0.0768514, 0.0824821, 0.0879961, 0.0935104, 0.0963608, 0.0993837, 0.10533, 0.110946, 0.117113, 0.121093, 0.124472, 0.130565, 0.135253, 0.140216, 0.144032, 0.151665, 0.165451, 0.181833");
-            values ( \
-              "-0.0341542, -0.195433, -0.205932, -0.223217, -0.233145, -0.239863, -0.248184, -0.249998, -0.244118, -0.236638, -0.225486, -0.18648, -0.138216, -0.0933349, -0.0708929, -0.0555523, -0.0352675, -0.0245626, -0.0167235, -0.0123975, -0.00668905, -0.00200288, -0.000454554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0159804");
-            index_3 ("0.0529375, 0.0638441, 0.0684089, 0.0748955, 0.0811209, 0.0890269, 0.0946155, 0.100402, 0.109898, 0.116421, 0.126375, 0.130147, 0.137691, 0.163819, 0.175402, 0.18988, 0.203599, 0.213197, 0.224768");
-            values ( \
-              "-0.0220762, -0.214987, -0.243413, -0.271364, -0.287726, -0.299552, -0.3029, -0.303217, -0.29956, -0.292422, -0.274063, -0.263553, -0.231847, -0.1011, -0.0646117, -0.0357592, -0.0200534, -0.0132545, -0.00858667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0334822");
-            index_3 ("0.0536839, 0.0634115, 0.0706053, 0.0808352, 0.0893166, 0.097859, 0.102229, 0.117126, 0.135016, 0.153346, 0.173561, 0.180817, 0.19049, 0.196714, 0.205013, 0.235274, 0.255486, 0.26971, 0.281958, 0.292097, 0.303959, 0.320725, 0.338148, 0.35149, 0.378176, 0.427222, 0.485238");
-            values ( \
-              "-0.0223024, -0.225776, -0.274002, -0.311985, -0.327772, -0.336001, -0.337985, -0.338965, -0.333869, -0.324607, -0.308512, -0.300562, -0.286835, -0.275081, -0.254805, -0.164159, -0.114262, -0.0869036, -0.0679986, -0.0552699, -0.0431408, -0.030148, -0.0207291, -0.0154922, -0.00850108, -0.00253803, -0.000555099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0701517");
-            index_3 ("0.0539767, 0.0686625, 0.0723268, 0.0811985, 0.0925558, 0.0996247, 0.110941, 0.122992, 0.13483, 0.170208, 0.209792, 0.243401, 0.284251, 0.298214, 0.316832, 0.335275, 0.359866, 0.426968, 0.449134, 0.479984, 0.504498, 0.524315, 0.548595, 0.582804, 0.618757, 0.646353, 0.701546, 0.763687, 0.776559");
-            values ( \
-              "-0.0712934, -0.272711, -0.293201, -0.326319, -0.347731, -0.353987, -0.359454, -0.360312, -0.359965, -0.354027, -0.344823, -0.335291, -0.319073, -0.311464, -0.298382, -0.280097, -0.246007, -0.145812, -0.119396, -0.0891116, -0.0699518, -0.0572992, -0.044638, -0.031146, -0.021291, -0.0158277, -0.00858891, -0.00426612, -0.00393239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.146981");
-            index_3 ("0.0614046, 0.100447, 0.111154, 0.123791, 0.136214, 0.180253, 0.26075, 0.417214, 0.460298, 0.510959, 0.5731, 0.595604, 0.639342, 0.775517, 0.84382, 0.926261, 0.982001, 1.05226, 1.15156, 1.18467, 1.29096, 1.41525, 1.47739, 1.72596");
-            values ( \
-              "-0.313493, -0.36404, -0.369587, -0.371903, -0.37238, -0.369505, -0.36182, -0.342922, -0.335911, -0.325351, -0.307419, -0.297882, -0.273486, -0.171058, -0.127785, -0.0874562, -0.0668885, -0.0472362, -0.0284739, -0.0240071, -0.0137552, -0.00708713, -0.00533339, -0.00152607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0704158, 0.0833139, 0.0851529, 0.0891782, 0.0929183, 0.0965279, 0.100104, 0.104628, 0.108014, 0.115629, 0.119609, 0.123639, 0.127491, 0.129757, 0.134289, 0.139907");
-            values ( \
-              "-0.0134823, -0.146665, -0.155576, -0.170435, -0.179662, -0.184039, -0.182706, -0.165893, -0.138112, -0.0658586, -0.0413757, -0.0252457, -0.0155271, -0.0116354, -0.00647792, -0.00346327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00762718");
-            index_3 ("0.0699755, 0.085573, 0.0911638, 0.0971132, 0.102732, 0.108251, 0.113812, 0.119638, 0.125585, 0.137369, 0.144729, 0.150825, 0.160473, 0.171916, 0.173992");
-            values ( \
-              "-0.00437807, -0.196957, -0.222621, -0.239924, -0.247933, -0.250084, -0.24395, -0.225486, -0.186486, -0.093339, -0.0555607, -0.0352591, -0.0167283, -0.00669612, -0.00598754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0159804");
-            index_3 ("0.0760837, 0.0803499, 0.0846553, 0.0907202, 0.096019, 0.101282, 0.109779, 0.118718, 0.124275, 0.130108, 0.139675, 0.146627, 0.150314, 0.157687, 0.169619, 0.181572, 0.194975, 0.204383, 0.21212, 0.22271, 0.229665, 0.243576, 0.263499");
-            values ( \
-              "-0.089889, -0.180067, -0.21846, -0.25365, -0.274216, -0.287703, -0.299888, -0.303413, -0.30244, -0.299199, -0.288041, -0.273886, -0.263513, -0.232732, -0.166895, -0.110484, -0.0661194, -0.0452648, -0.0328051, -0.0210006, -0.015593, -0.00843416, -0.00368405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0334822");
-            index_3 ("0.0756221, 0.0837117, 0.0908868, 0.0958806, 0.101119, 0.109534, 0.1196, 0.127145, 0.137401, 0.152951, 0.173592, 0.192631, 0.211821, 0.219454, 0.22717, 0.255441, 0.275872, 0.29015, 0.302326, 0.324238, 0.341025, 0.358525, 0.371936, 0.398758, 0.437755");
-            values ( \
-              "-0.0699167, -0.228349, -0.273698, -0.295893, -0.311661, -0.327969, -0.336749, -0.339009, -0.338645, -0.334795, -0.324536, -0.309811, -0.284939, -0.269763, -0.249689, -0.164604, -0.114138, -0.0867124, -0.0679451, -0.0431851, -0.0301661, -0.0207071, -0.0154515, -0.00845171, -0.00373872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0701517");
-            index_3 ("0.0753203, 0.0889412, 0.0948541, 0.101472, 0.109901, 0.119901, 0.128391, 0.137737, 0.155088, 0.190469, 0.225988, 0.263677, 0.30449, 0.318287, 0.336682, 0.356374, 0.382629, 0.443027, 0.471216, 0.487268, 0.519371, 0.544912, 0.563232, 0.599872, 0.613608, 0.644774, 0.680392, 0.742533, 0.804675, 0.80591");
-            values ( \
-              "-0.0991918, -0.271865, -0.304607, -0.325694, -0.34432, -0.354425, -0.35841, -0.360208, -0.359823, -0.353775, -0.345926, -0.335289, -0.319087, -0.31158, -0.298725, -0.279115, -0.242139, -0.151302, -0.117426, -0.100976, -0.0737474, -0.0570661, -0.0472966, -0.0321822, -0.0278416, -0.0200024, -0.0136019, -0.00678515, -0.0033616, -0.00333634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.146981");
-            index_3 ("0.0831071, 0.113611, 0.13211, 0.144419, 0.156422, 0.200959, 0.300829, 0.437423, 0.480507, 0.531168, 0.593309, 0.615813, 0.659551, 0.795729, 0.85029, 0.891507, 0.946464, 0.990498, 1.07248, 1.13462, 1.18281, 1.24901, 1.31115, 1.37329, 1.49757, 1.74614");
-            values ( \
-              "-0.344045, -0.357201, -0.369803, -0.371991, -0.37229, -0.369353, -0.359688, -0.342779, -0.335752, -0.325511, -0.307261, -0.298044, -0.273327, -0.171242, -0.135786, -0.112998, -0.0876572, -0.0708418, -0.0474458, -0.0344793, -0.02694, -0.0191221, -0.013985, -0.0099271, -0.00510323, -0.00129122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.115183, 0.125641, 0.130403, 0.134526, 0.137471, 0.140045, 0.141973, 0.14558, 0.15008, 0.153143, 0.158764, 0.161977, 0.165803, 0.170472, 0.172953, 0.176707, 0.181712, 0.190108, 0.200158");
-            values ( \
-              "-0.00111202, -0.124321, -0.150909, -0.167135, -0.17534, -0.180186, -0.182001, -0.181555, -0.165408, -0.141534, -0.0850825, -0.0599391, -0.0381826, -0.0214309, -0.0156865, -0.00974952, -0.00509529, -0.00165725, -0.000486868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00762718");
-            index_3 ("0.119289, 0.122576, 0.125634, 0.131342, 0.136476, 0.142488, 0.148169, 0.153718, 0.159297, 0.16324, 0.165137, 0.168139, 0.177153, 0.184204, 0.190617, 0.196917, 0.203087, 0.20667, 0.213837, 0.226646, 0.24158");
-            values ( \
-              "-0.0517692, -0.115409, -0.154092, -0.194212, -0.218843, -0.23744, -0.246484, -0.249022, -0.243337, -0.232518, -0.225143, -0.20864, -0.134033, -0.0850194, -0.0537846, -0.0335803, -0.0208615, -0.0157705, -0.00887378, -0.00294248, -0.000790395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0159804");
-            index_3 ("0.119315, 0.125306, 0.129867, 0.137278, 0.143421, 0.151877, 0.15657, 0.166122, 0.175674, 0.185237, 0.192303, 0.195891, 0.203067, 0.215451, 0.228698, 0.24232, 0.253839, 0.265065, 0.271545, 0.284506, 0.304988");
-            values ( \
-              "-0.0261915, -0.166027, -0.212804, -0.255043, -0.277396, -0.294753, -0.299583, -0.303044, -0.299064, -0.288057, -0.273611, -0.263496, -0.233718, -0.165529, -0.104364, -0.0616713, -0.0385852, -0.0240711, -0.0182633, -0.0103466, -0.0042586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0334822");
-            index_3 ("0.122929, 0.128871, 0.136242, 0.142034, 0.14659, 0.15488, 0.163347, 0.16866, 0.175744, 0.182961, 0.197396, 0.219195, 0.238197, 0.246424, 0.255826, 0.263759, 0.271968, 0.301249, 0.313491, 0.326323, 0.349356, 0.360715, 0.371956, 0.393985, 0.406695, 0.432116, 0.477816, 0.531263");
-            values ( \
-              "-0.189436, -0.216612, -0.269324, -0.295515, -0.309799, -0.326469, -0.335022, -0.337555, -0.338862, -0.338594, -0.334951, -0.324393, -0.309739, -0.300844, -0.287678, -0.272474, -0.251712, -0.163779, -0.132018, -0.103918, -0.06577, -0.0521044, -0.0411648, -0.0256902, -0.0195069, -0.0110538, -0.00366707, -0.00094181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0701517");
-            index_3 ("0.122833, 0.134205, 0.146873, 0.15869, 0.165357, 0.176711, 0.188762, 0.200585, 0.235962, 0.275542, 0.309155, 0.350006, 0.363976, 0.382602, 0.400998, 0.425527, 0.492842, 0.514833, 0.545627, 0.570207, 0.590151, 0.614401, 0.648587, 0.684453, 0.711973, 0.767013, 0.829155, 0.953438");
-            values ( \
-              "-0.176619, -0.267618, -0.324315, -0.347267, -0.353594, -0.359081, -0.360354, -0.359775, -0.353876, -0.344826, -0.335289, -0.319072, -0.311459, -0.29837, -0.280133, -0.24615, -0.145658, -0.119456, -0.089207, -0.0699821, -0.0572509, -0.0446136, -0.0311365, -0.0213041, -0.0158507, -0.00861704, -0.0042801, -0.00104742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.146981");
-            index_3 ("0.128745, 0.159142, 0.177094, 0.189549, 0.202255, 0.246006, 0.328117, 0.421115, 0.483257, 0.526341, 0.577002, 0.639143, 0.661647, 0.705385, 0.841564, 0.909863, 0.992294, 1.04804, 1.11831, 1.18046, 1.21761, 1.29484, 1.35698, 1.48127, 1.54341, 1.79197");
-            values ( \
-              "-0.341098, -0.356159, -0.369344, -0.371804, -0.372312, -0.36948, -0.361631, -0.351155, -0.342856, -0.335837, -0.325424, -0.30735, -0.297951, -0.273423, -0.171122, -0.127855, -0.0875317, -0.066963, -0.0473108, -0.0346151, -0.0285514, -0.0192648, -0.0138414, -0.00717356, -0.00524801, -0.00143844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.206471, 0.21149, 0.221997, 0.229287, 0.233607, 0.237641, 0.241564, 0.245482, 0.247773, 0.257542, 0.262355, 0.266591, 0.270181, 0.27708");
-            values ( \
-              "-0.0324876, -0.0489738, -0.113443, -0.145407, -0.158358, -0.166291, -0.168319, -0.160079, -0.148993, -0.0662013, -0.0383917, -0.0230646, -0.0148222, -0.00622704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00762718");
-            index_3 ("0.208314, 0.213756, 0.223473, 0.231412, 0.238106, 0.244241, 0.249247, 0.251974, 0.257921, 0.262024, 0.268133, 0.281391, 0.287424, 0.293538, 0.303243, 0.307926");
-            values ( \
-              "-0.050748, -0.0767308, -0.150598, -0.193238, -0.217014, -0.230014, -0.235321, -0.235648, -0.230672, -0.219334, -0.183527, -0.0844739, -0.0552205, -0.0351073, -0.0166472, -0.012583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0159804");
-            index_3 ("0.205834, 0.227714, 0.230418, 0.235824, 0.242213, 0.245569, 0.255449, 0.261102, 0.265384, 0.271094, 0.278303, 0.282148, 0.289838, 0.292617, 0.298017, 0.314563, 0.32297, 0.333144, 0.339776, 0.348127, 0.360687, 0.367998, 0.376354, 0.393066, 0.418879, 0.450169");
-            values ( \
-              "-0.00826708, -0.20276, -0.21868, -0.24398, -0.265447, -0.273503, -0.288956, -0.294128, -0.295825, -0.295407, -0.290286, -0.285392, -0.270357, -0.262695, -0.241843, -0.153146, -0.114291, -0.0779099, -0.0599729, -0.0427218, -0.025214, -0.0184958, -0.0129058, -0.0060849, -0.00172137, -0.000331584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0334822");
-            index_3 ("0.205138, 0.237327, 0.242125, 0.248869, 0.257224, 0.261555, 0.270219, 0.28226, 0.297714, 0.320266, 0.335063, 0.354277, 0.361782, 0.369547, 0.397995, 0.418264, 0.432518, 0.444734, 0.454835, 0.466705, 0.483477, 0.500922, 0.514284, 0.541009, 0.589918, 0.64778");
-            values ( \
-              "-0.0217123, -0.269854, -0.287711, -0.305655, -0.320359, -0.326188, -0.333298, -0.335819, -0.332761, -0.321512, -0.309629, -0.285032, -0.270008, -0.249858, -0.164278, -0.114235, -0.0868293, -0.0679835, -0.0553013, -0.0431578, -0.0301566, -0.0207253, -0.0154818, -0.00848811, -0.00254431, -0.000560306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0701517");
-            index_3 ("0.204709, 0.235478, 0.241896, 0.248792, 0.263205, 0.270505, 0.280239, 0.294067, 0.33298, 0.369097, 0.406181, 0.447095, 0.461493, 0.480691, 0.495434, 0.512521, 0.572362, 0.613152, 0.641837, 0.666888, 0.687681, 0.711732, 0.745765, 0.781055, 0.80807, 0.862101, 0.924242, 1.04853");
-            values ( \
-              "-0.00571301, -0.271929, -0.299345, -0.319489, -0.345678, -0.352554, -0.35726, -0.35901, -0.353747, -0.345677, -0.335278, -0.319036, -0.311158, -0.297477, -0.283093, -0.261118, -0.169287, -0.117977, -0.0898671, -0.0701854, -0.0569256, -0.0444456, -0.0310713, -0.0213897, -0.0160011, -0.00880518, -0.00437279, -0.00106955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.146981");
-            index_3 ("0.222503, 0.248503, 0.26985, 0.280342, 0.294208, 0.308695, 0.394555, 0.54213, 0.59437, 0.656511, 0.708448, 0.758368, 0.820509, 0.914968, 1.01652, 1.07683, 1.13102, 1.17802, 1.22673, 1.28887, 1.34236, 1.43669, 1.49883, 1.68525, 1.93382");
-            values ( \
-              "-0.313177, -0.326388, -0.361894, -0.368125, -0.371223, -0.371553, -0.364617, -0.348121, -0.340601, -0.329338, -0.316405, -0.297999, -0.260675, -0.187998, -0.122437, -0.0928547, -0.0717293, -0.057028, -0.0446765, -0.0326311, -0.0247772, -0.0151728, -0.010998, -0.0040725, -0.00106965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.388472, 0.396506, 0.40777, 0.419234, 0.429554, 0.434157, 0.43871, 0.443256, 0.453482, 0.458251, 0.463814, 0.467576, 0.472593, 0.480874, 0.482126");
-            values ( \
-              "-0.0163574, -0.0369711, -0.0784034, -0.113596, -0.139219, -0.145382, -0.142924, -0.123825, -0.0521696, -0.0308778, -0.0161007, -0.0103079, -0.00564276, -0.00207329, -0.00197542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00762718");
-            index_3 ("0.390487, 0.397248, 0.401055, 0.416, 0.429705, 0.43683, 0.443429, 0.449833, 0.456335, 0.459554, 0.462988, 0.47149, 0.476529, 0.482652, 0.488869, 0.493715, 0.498886, 0.502871, 0.51084, 0.516759");
-            values ( \
-              "-0.0335181, -0.0505208, -0.0652678, -0.132002, -0.183081, -0.202205, -0.213408, -0.216186, -0.206052, -0.194083, -0.173638, -0.11199, -0.0816653, -0.0537459, -0.0343165, -0.0238693, -0.0161719, -0.0119346, -0.00638558, -0.00452416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0159804");
-            index_3 ("0.392328, 0.402807, 0.406066, 0.411095, 0.420676, 0.424032, 0.427388, 0.431076, 0.434765, 0.437332, 0.4399, 0.442468, 0.445035, 0.447045, 0.451105, 0.453154, 0.455092, 0.457029, 0.458966, 0.460903, 0.46284, 0.464777, 0.468643, 0.472482, 0.476375, 0.477672, 0.482862, 0.48827, 0.489358, 0.491099, 0.494581, 0.503319, 0.510721, 0.517095, 0.519281, 0.520373, 0.523651, 0.525836, 0.530206, 0.532391, 0.537164, 0.538843, 0.541361, 0.544232, 0.547102, 0.548757, 0.550411, 0.552566, 0.554721, 0.558535");
-            values ( \
-              "-0.0262521, -0.0837373, -0.0997282, -0.126478, -0.174909, -0.191255, -0.20671, -0.220513, -0.232887, -0.240656, -0.247733, -0.25412, -0.259815, -0.263748, -0.270365, -0.273138, -0.275172, -0.276903, -0.278329, -0.279452, -0.280272, -0.280787, -0.280083, -0.278648, -0.275038, -0.273545, -0.26545, -0.255995, -0.253473, -0.247691, -0.233454, -0.189902, -0.151454, -0.122152, -0.112344, -0.108074, -0.0959946, -0.0886374, -0.0752041, -0.0691279, -0.0571337, -0.0532916, -0.0481735, -0.0430392, -0.0386521, -0.034318, -0.0292723, -0.0283745, -0.0270279, -0.023543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0334822");
-            index_3 ("0.389804, 0.396335, 0.429348, 0.443492, 0.46578, 0.474604, 0.490343, 0.520894, 0.550591, 0.617897, 0.641304, 0.669522, 0.696675, 0.727617");
-            values ( \
-              "-0.045381, -0.0606482, -0.229597, -0.281004, -0.317616, -0.322982, -0.325252, -0.313505, -0.283994, -0.109213, -0.068904, -0.0383163, -0.0213871, -0.0129255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0701517");
-            index_3 ("0.395508, 0.428247, 0.443688, 0.455464, 0.4694, 0.481464, 0.492502, 0.510067, 0.573545, 0.60225, 0.640769, 0.659748, 0.681799, 0.72373, 0.804836, 0.838619, 0.863416, 0.908049, 0.942132, 0.97761, 1.00479, 1.05915, 1.09511");
-            values ( \
-              "-0.0603372, -0.236613, -0.296841, -0.323037, -0.340741, -0.347727, -0.350745, -0.351119, -0.342232, -0.33511, -0.320163, -0.309828, -0.292991, -0.238723, -0.123204, -0.0896038, -0.0700805, -0.0445327, -0.0310608, -0.0213391, -0.015974, -0.00872071, -0.00620003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.146981");
-            index_3 ("0.395145, 0.429793, 0.449452, 0.470422, 0.482771, 0.494015, 0.5116, 0.532557, 0.549887, 0.577397, 0.615508, 0.713969, 0.77611, 0.819194, 0.869855, 0.931996, 0.9545, 0.998238, 1.13442, 1.20272, 1.28515, 1.34089, 1.41117, 1.47331, 1.52149, 1.58769, 1.64983, 1.71197, 1.83626, 2.08482");
-            values ( \
-              "-0.0559553, -0.249606, -0.319469, -0.351915, -0.359595, -0.363657, -0.365385, -0.365424, -0.364294, -0.36483, -0.362096, -0.351199, -0.342812, -0.335793, -0.325469, -0.307305, -0.297996, -0.273379, -0.171168, -0.1279, -0.087578, -0.0670095, -0.0473574, -0.0345689, -0.0270344, -0.0192185, -0.0138885, -0.0100243, -0.00520139, -0.00139157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.768594, 0.781309, 0.786918, 0.802351, 0.812747, 0.821153, 0.827526, 0.833421, 0.839124, 0.845599, 0.854524, 0.859167, 0.864028, 0.868949, 0.875446, 0.882376, 0.892985, 0.923135");
-            values ( \
-              "-0.00550603, -0.0223856, -0.0311005, -0.0650307, -0.0843765, -0.0983909, -0.107702, -0.114284, -0.116146, -0.101445, -0.0546113, -0.0352672, -0.0213767, -0.0125435, -0.00619521, -0.00292172, -0.00103324, -0.000245383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00762718");
-            index_3 ("0.777447, 0.792801, 0.807628, 0.826914, 0.844112, 0.851717, 0.859199, 0.866667, 0.885609, 0.891716, 0.899651, 0.905252, 0.912721, 0.920667");
-            values ( \
-              "-0.0343433, -0.055152, -0.0962083, -0.142263, -0.176056, -0.184742, -0.182944, -0.159143, -0.0580957, -0.0384068, -0.0217831, -0.0144794, -0.00828095, -0.00510036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0159804");
-            index_3 ("0.775969, 0.795309, 0.814701, 0.835622, 0.847037, 0.859356, 0.870797, 0.881961, 0.893109, 0.896922, 0.900989, 0.922731, 0.929129, 0.941149, 0.955235, 0.968262, 0.976978, 0.99441, 1.00277");
-            values ( \
-              "-0.022777, -0.0713918, -0.132323, -0.192366, -0.22154, -0.245113, -0.25734, -0.258907, -0.245777, -0.236604, -0.222327, -0.120443, -0.0960995, -0.0609365, -0.0346222, -0.0201693, -0.0139406, -0.0064262, -0.00493944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0334822");
-            index_3 ("0.782027, 0.79875, 0.836453, 0.85718, 0.868612, 0.878061, 0.896958, 0.907095, 0.9168, 0.936023, 0.947027, 0.956994, 0.976928, 1.01694, 1.04578, 1.0618, 1.07265, 1.09343, 1.12792, 1.15886");
-            values ( \
-              "-0.0545261, -0.089758, -0.215578, -0.271973, -0.29185, -0.302711, -0.313662, -0.314575, -0.313112, -0.303512, -0.293031, -0.279221, -0.231893, -0.121454, -0.0695382, -0.0501404, -0.0399757, -0.0256644, -0.0120443, -0.00658208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0701517");
-            index_3 ("0.789362, 0.810577, 0.832473, 0.849416, 0.857527, 0.873112, 0.892435, 0.897165, 0.906624, 0.925544, 0.933887, 0.950574, 0.970502, 1.00727, 1.01747, 1.04675, 1.08356, 1.09735, 1.11478, 1.1751, 1.21118, 1.23439, 1.26415, 1.27713, 1.30309, 1.34271, 1.36358, 1.39671, 1.44087, 1.50302, 1.54378");
-            values ( \
-              "-0.104663, -0.133606, -0.21271, -0.266974, -0.288536, -0.316984, -0.336714, -0.339328, -0.343353, -0.346586, -0.346659, -0.345229, -0.341924, -0.332763, -0.329492, -0.317953, -0.295307, -0.282201, -0.260409, -0.168867, -0.123051, -0.0994367, -0.0745799, -0.0655336, -0.0503016, -0.0331789, -0.0266293, -0.0187135, -0.0115524, -0.00575462, -0.00384865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.146981");
-            index_3 ("0.755649, 0.893889, 0.922976, 0.946222, 1.00443, 1.14476, 1.25029, 1.32541, 1.36067, 1.42281, 1.61881, 1.73334, 1.82892, 1.94465, 2.10119, 2.15164");
-            values ( \
-              "-0.0116791, -0.350156, -0.361733, -0.364116, -0.361079, -0.346205, -0.33074, -0.311782, -0.298011, -0.260603, -0.122442, -0.0716899, -0.0447112, -0.02479, -0.0109757, -0.00911151" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00249611, 0.00249669, 0.00249721, 0.00249757, 0.00249778, 0.00249788", \
-            "0.0029318, 0.00293192, 0.00293213, 0.00293234, 0.00293248, 0.00293256", \
-            "0.00318647, 0.00318637, 0.0031863, 0.00318627, 0.00318628, 0.0031863", \
-            "0.00333925, 0.00333917, 0.00333914, 0.00333913, 0.00333913, 0.00333912", \
-            "0.00342369, 0.00342364, 0.00342358, 0.00342353, 0.00342348, 0.00342345", \
-            "0.00347596, 0.00347595, 0.00347591, 0.00347588, 0.00347584, 0.00347581" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0035677, 0.00356766, 0.00356784, 0.00356808, 0.00356825, 0.00356835", \
-            "0.00387379, 0.00387275, 0.00387186, 0.00387132, 0.00387105, 0.00387093", \
-            "0.00409821, 0.00409675, 0.00409521, 0.00409397, 0.00409318, 0.00409274", \
-            "0.00442009, 0.00441933, 0.00441802, 0.00441653, 0.00441537, 0.00441466", \
-            "0.00501184, 0.00505678, 0.00508839, 0.00510575, 0.00511554, 0.00511442", \
-            "0.00561271, 0.0056099, 0.0055937, 0.00560941, 0.00564423, 0.00565711" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0790586, 0.0934563, 0.121002, 0.177402, 0.295913, 0.544874", \
-            "0.0825435, 0.096967, 0.124593, 0.18107, 0.299629, 0.548598", \
-            "0.0903333, 0.104838, 0.132634, 0.189282, 0.307899, 0.556903", \
-            "0.102778, 0.11788, 0.146306, 0.20322, 0.321945, 0.570951", \
-            "0.114347, 0.130245, 0.1593, 0.216765, 0.336043, 0.585015", \
-            "0.115881, 0.133598, 0.164011, 0.221978, 0.341131, 0.590621" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.032546, 0.050614, 0.089249, 0.172333, 0.348637, 0.718565", \
-            "0.0325219, 0.0506092, 0.0892368, 0.172329, 0.348636, 0.718565", \
-            "0.0327523, 0.0507143, 0.0892614, 0.172333, 0.348635, 0.718565", \
-            "0.0345783, 0.0523218, 0.0902653, 0.172677, 0.348649, 0.718565", \
-            "0.0381761, 0.0550606, 0.0921526, 0.174071, 0.34938, 0.718672", \
-            "0.0454757, 0.0614754, 0.096449, 0.175858, 0.350348, 0.719735" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0697305, 0.0812991, 0.102995, 0.146245, 0.236119, 0.424315", \
-            "0.0759156, 0.0875335, 0.109275, 0.152582, 0.242468, 0.43066", \
-            "0.090773, 0.10245, 0.124318, 0.167728, 0.257662, 0.445868", \
-            "0.120266, 0.132321, 0.154577, 0.198155, 0.288133, 0.476361", \
-            "0.165646, 0.179156, 0.202752, 0.247311, 0.33781, 0.525995", \
-            "0.236744, 0.253221, 0.27937, 0.324724, 0.41545, 0.604317" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0234328, 0.0357317, 0.0615794, 0.117221, 0.236149, 0.486596", \
-            "0.0234435, 0.0357037, 0.0616324, 0.117187, 0.236164, 0.486577", \
-            "0.0235847, 0.0358326, 0.0615936, 0.11723, 0.236165, 0.486596", \
-            "0.0256903, 0.0376241, 0.0626952, 0.117561, 0.236184, 0.486583", \
-            "0.0310135, 0.0421104, 0.0661576, 0.119957, 0.237305, 0.486813", \
-            "0.0397628, 0.0509448, 0.0730074, 0.123888, 0.239125, 0.488274" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0589693, 0.0632383, 0.0641066, 0.0658432, 0.069802, 0.0733049, 0.0757228, 0.0808909, 0.0857666, 0.0905936, 0.0954181, 0.10002, 0.10748, 0.113186, 0.118402, 0.121908, 0.129163, 0.132615, 0.138392, 0.144456, 0.148474, 0.156183, 0.166461, 0.184888, 0.20717");
-            values ( \
-              "0.00135417, 0.0601078, 0.0633054, 0.0768641, 0.0988591, 0.113405, 0.121351, 0.132327, 0.136522, 0.135237, 0.128391, 0.112592, 0.078196, 0.057625, 0.0429042, 0.0351079, 0.0227516, 0.0184505, 0.0129384, 0.00889162, 0.0069419, 0.00433743, 0.00232047, 0.000708087, 0.00019547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00762718");
-            index_3 ("0.0556417, 0.0740051, 0.0825296, 0.090132, 0.097498, 0.104853, 0.112769, 0.142641, 0.15564, 0.169241, 0.188277, 0.20439, 0.218357, 0.221291");
-            values ( \
-              "0.00489061, 0.144721, 0.174681, 0.18621, 0.187269, 0.181864, 0.167764, 0.0728112, 0.0457367, 0.0272082, 0.0127062, 0.0066184, 0.00368728, 0.00340458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0159804");
-            index_3 ("0.0591727, 0.0661937, 0.0727522, 0.080852, 0.087152, 0.0942914, 0.104863, 0.106834, 0.119403, 0.132515, 0.139185, 0.146623, 0.16106, 0.173476, 0.183633, 0.191345, 0.200893, 0.213101, 0.222735, 0.235581, 0.244447, 0.251562, 0.261048, 0.278551, 0.286556, 0.298634, 0.314739, 0.346948, 0.396203, 0.456374");
-            values ( \
-              "0.0578303, 0.106124, 0.156646, 0.19708, 0.214903, 0.227164, 0.231326, 0.231764, 0.225681, 0.213315, 0.205086, 0.193713, 0.165099, 0.137513, 0.116486, 0.101821, 0.0854125, 0.0673373, 0.0553774, 0.0421906, 0.0347874, 0.0297296, 0.0240255, 0.0160211, 0.0133119, 0.0100382, 0.00684502, 0.00306108, 0.000791905, 0.000129989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0334822");
-            index_3 ("0.0594106, 0.0696138, 0.0747118, 0.0798253, 0.0876088, 0.0929124, 0.103501, 0.113928, 0.116248, 0.130018, 0.158083, 0.174207, 0.18896, 0.214836, 0.242477, 0.283661, 0.318665, 0.344133, 0.358013, 0.383302, 0.404467, 0.421563, 0.442588, 0.472176, 0.503169, 0.526939, 0.574481, 0.653655, 0.73283");
-            values ( \
-              "0.094563, 0.141808, 0.179797, 0.207443, 0.236429, 0.247885, 0.26063, 0.263394, 0.263588, 0.26037, 0.247129, 0.237816, 0.228318, 0.208456, 0.179569, 0.131973, 0.0963854, 0.0746871, 0.0646569, 0.0490741, 0.0385076, 0.0315725, 0.0246963, 0.0171544, 0.0117165, 0.0088265, 0.00470202, 0.00166252, 0.000473504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0701517");
-            index_3 ("0.0672098, 0.092524, 0.102284, 0.115565, 0.120738, 0.131085, 0.145597, 0.16037, 0.206829, 0.266507, 0.307516, 0.360229, 0.414357, 0.524153, 0.553805, 0.612327, 0.658616, 0.690705, 0.752219, 0.779881, 0.835205, 0.882013, 0.944768, 1.02394, 1.10312, 1.18229, 1.26147, 1.41982");
-            values ( \
-              "0.23921, 0.259698, 0.275039, 0.282867, 0.283638, 0.283695, 0.2814, 0.278086, 0.265641, 0.248437, 0.235398, 0.214855, 0.186912, 0.123969, 0.108531, 0.0814702, 0.0639503, 0.0536727, 0.0378344, 0.0321881, 0.0231327, 0.0174, 0.0118355, 0.00718728, 0.00433412, 0.00261736, 0.00156732, 0.000562993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.146981");
-            index_3 ("0.075289, 0.075309, 0.220203, 0.44942, 0.528595, 0.611386, 0.672466, 0.731912, 1.00998, 1.16534, 1.28564, 1.35485, 1.43403, 1.54196, 1.61928, 1.69845, 1.77814, 1.87036, 2.02871, 2.18706, 2.50376, 2.82046");
-            values ( \
-              "1e-22, 0.316262, 0.28689, 0.255244, 0.243518, 0.229235, 0.216366, 0.20221, 0.124566, 0.087026, 0.0640184, 0.0533546, 0.042694, 0.0314025, 0.0252636, 0.0198361, 0.0156366, 0.0118389, 0.00728834, 0.00445589, 0.00162451, 0.000553964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0732514, 0.0909838, 0.0932047, 0.0976464, 0.0983601, 0.0997877, 0.102643, 0.103231, 0.104407, 0.108031, 0.111657, 0.113012, 0.11555, 0.118527, 0.124285, 0.132298, 0.139778, 0.147708, 0.152017, 0.157761, 0.16244, 0.169147, 0.178089, 0.193157");
-            values ( \
-              "0.00599231, 0.112413, 0.123029, 0.129867, 0.133237, 0.133471, 0.136777, 0.13609, 0.136742, 0.135276, 0.130539, 0.127776, 0.120214, 0.107785, 0.0806192, 0.0526388, 0.0342266, 0.0212581, 0.0163415, 0.0115333, 0.00855165, 0.00565295, 0.00333933, 0.0012883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00762718");
-            index_3 ("0.0733861, 0.0894839, 0.0915466, 0.0956721, 0.100065, 0.107666, 0.11503, 0.122384, 0.1303, 0.135709, 0.150671, 0.160117, 0.173221, 0.186773, 0.196917, 0.205788, 0.222006, 0.236071, 0.246523");
-            values ( \
-              "0.000651253, 0.134242, 0.144953, 0.162124, 0.174835, 0.186163, 0.187374, 0.181791, 0.167777, 0.152156, 0.099774, 0.0729567, 0.0456571, 0.0272025, 0.0182137, 0.0127217, 0.0065905, 0.00366326, 0.00265634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0159804");
-            index_3 ("0.0734233, 0.0849025, 0.0903432, 0.0952986, 0.0984112, 0.104636, 0.11183, 0.122106, 0.128879, 0.136938, 0.149574, 0.156581, 0.164149, 0.17858, 0.19988, 0.218398, 0.230619, 0.240277, 0.253154, 0.269073, 0.278524, 0.29606, 0.316202, 0.332364, 0.364689, 0.386859");
-            values ( \
-              "0.0027993, 0.116345, 0.1572, 0.184101, 0.197241, 0.215426, 0.227024, 0.231701, 0.230058, 0.225459, 0.213842, 0.205251, 0.19373, 0.1651, 0.119033, 0.0854427, 0.067351, 0.0553616, 0.0421449, 0.0297406, 0.0240519, 0.016028, 0.0100281, 0.00682841, 0.0030438, 0.00202357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0334822");
-            index_3 ("0.0803761, 0.0909161, 0.097469, 0.102955, 0.110533, 0.120614, 0.131485, 0.138564, 0.147651, 0.156966, 0.181049, 0.206551, 0.232433, 0.245292, 0.259988, 0.301736, 0.315469, 0.333779, 0.361104, 0.376603, 0.386835, 0.402412, 0.427065, 0.435925, 0.453647, 0.487307, 0.502694, 0.526111, 0.557334, 0.619779, 0.698954, 0.778129");
-            values ( \
-              "0.16322, 0.171469, 0.208582, 0.229875, 0.248398, 0.260286, 0.263611, 0.262867, 0.260291, 0.256453, 0.244024, 0.228376, 0.208394, 0.19573, 0.179647, 0.131441, 0.116785, 0.0987038, 0.0751432, 0.0639204, 0.0572533, 0.0481984, 0.0363952, 0.0328421, 0.0266477, 0.0177086, 0.0146836, 0.0110112, 0.00744508, 0.00327216, 0.00109128, 0.000373239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0701517");
-            index_3 ("0.080385, 0.0939953, 0.103178, 0.110236, 0.120437, 0.133225, 0.148044, 0.17802, 0.276906, 0.325166, 0.377878, 0.432011, 0.541805, 0.629982, 0.67626, 0.708342, 0.769868, 0.797537, 0.852874, 0.899669, 0.962406, 1.04158, 1.12075, 1.19993, 1.23706");
-            values ( \
-              "0.173768, 0.1975, 0.242, 0.260281, 0.276489, 0.283373, 0.284073, 0.278336, 0.250616, 0.235385, 0.214868, 0.18692, 0.123959, 0.0814595, 0.0639446, 0.053684, 0.0378417, 0.0321797, 0.0231371, 0.0173923, 0.0118295, 0.0071947, 0.0043276, 0.00262438, 0.00230109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.146981");
-            index_3 ("0.0885697, 0.133893, 0.148178, 0.163497, 0.205911, 0.366374, 0.467209, 0.546384, 0.629175, 0.690255, 0.749701, 1.02777, 1.10395, 1.18313, 1.23672, 1.30342, 1.37264, 1.4878, 1.55975, 1.63707, 1.71624, 1.82667, 1.96732, 2.12567, 2.28402, 2.60072, 2.83824");
-            values ( \
-              "0.290798, 0.293212, 0.296037, 0.295845, 0.291062, 0.269515, 0.255376, 0.243387, 0.229106, 0.216494, 0.202082, 0.124691, 0.105224, 0.0871501, 0.0761852, 0.0641371, 0.0532362, 0.0386624, 0.0315202, 0.0251463, 0.0199534, 0.0143327, 0.00937123, 0.00577692, 0.00354733, 0.00132414, 0.000666359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.115019, 0.124063, 0.128506, 0.131662, 0.136918, 0.141839, 0.146697, 0.151966, 0.1553, 0.163744, 0.168623, 0.177079, 0.182113, 0.187844, 0.194635, 0.200603, 0.212302, 0.22253, 0.230249");
-            values ( \
-              "0.0295537, 0.0867273, 0.107761, 0.118488, 0.130986, 0.135263, 0.134813, 0.126838, 0.115917, 0.0777745, 0.0598971, 0.0371394, 0.0276002, 0.0195243, 0.0128712, 0.00889289, 0.00435391, 0.00233023, 0.00164871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00762718");
-            index_3 ("0.115012, 0.124603, 0.130052, 0.134947, 0.138697, 0.146358, 0.153736, 0.16164, 0.169027, 0.195708, 0.209247, 0.225508, 0.235509, 0.244439, 0.261077, 0.275535, 0.282198");
-            values ( \
-              "0.0334155, 0.109925, 0.142319, 0.161795, 0.17382, 0.184872, 0.187402, 0.180868, 0.16768, 0.0811986, 0.0504289, 0.0271965, 0.0183132, 0.0127665, 0.00649635, 0.00355326, 0.00292834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0159804");
-            index_3 ("0.118491, 0.126576, 0.133971, 0.143638, 0.150658, 0.16083, 0.167957, 0.175786, 0.18843, 0.195368, 0.203, 0.217429, 0.238735, 0.257241, 0.269468, 0.279136, 0.292027, 0.307919, 0.317354, 0.334905, 0.355072, 0.371259, 0.403632, 0.428795");
-            values ( \
-              "0.12883, 0.137779, 0.182471, 0.215402, 0.226396, 0.231717, 0.230002, 0.225237, 0.213958, 0.205311, 0.193736, 0.165091, 0.11902, 0.0854523, 0.0673605, 0.055358, 0.0421221, 0.0297482, 0.0240609, 0.0160338, 0.0100261, 0.00681924, 0.00303863, 0.0018763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0334822");
-            index_3 ("0.118677, 0.144397, 0.14969, 0.159839, 0.170066, 0.178915, 0.190713, 0.206982, 0.244569, 0.26158, 0.297006, 0.35235, 0.393507, 0.423776, 0.466929, 0.499344, 0.528936, 0.583729, 0.6313, 0.669756");
-            values ( \
-              "0.13482, 0.236546, 0.248436, 0.260185, 0.263641, 0.262665, 0.258753, 0.251122, 0.229137, 0.216812, 0.182146, 0.119198, 0.0805365, 0.058654, 0.0361128, 0.0246529, 0.0172012, 0.00876443, 0.00475785, 0.00322489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0701517");
-            index_3 ("0.121901, 0.149307, 0.159518, 0.172329, 0.187206, 0.217132, 0.323582, 0.364276, 0.417014, 0.470756, 0.580733, 0.610025, 0.668608, 0.715974, 0.748877, 0.808996, 0.876542, 0.934604, 1.00937, 1.08854, 1.16772, 1.24689, 1.28872");
-            values ( \
-              "0.202951, 0.260107, 0.27583, 0.282992, 0.283794, 0.278131, 0.248338, 0.235393, 0.214847, 0.187119, 0.124064, 0.108805, 0.0816674, 0.063742, 0.0532558, 0.0378356, 0.0254136, 0.0178468, 0.0112651, 0.00684161, 0.00411991, 0.00249177, 0.00214679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.146981");
-            index_3 ("0.128412, 0.128432, 0.276657, 0.309533, 0.506277, 0.613354, 0.668243, 0.729323, 0.788769, 1.06684, 1.2222, 1.34249, 1.41171, 1.52686, 1.59882, 1.67614, 1.75531, 1.86574, 2.00639, 2.16474, 2.32309, 2.63979, 2.87731");
-            values ( \
-              "1e-22, 0.301233, 0.286806, 0.28247, 0.255378, 0.238895, 0.229104, 0.216496, 0.20208, 0.124693, 0.0871526, 0.0641403, 0.053233, 0.0386591, 0.0315235, 0.025143, 0.0199567, 0.0143292, 0.00936777, 0.00577349, 0.00354391, 0.00132065, 0.000669891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.187475, 0.190567, 0.197261, 0.204045, 0.211086, 0.2169, 0.222214, 0.227383, 0.232542, 0.234925, 0.246932, 0.254876, 0.262719, 0.271458, 0.283247, 0.298577, 0.302535");
-            values ( \
-              "0.0115216, 0.0169594, 0.044757, 0.0796855, 0.105853, 0.119632, 0.126657, 0.127454, 0.122906, 0.117136, 0.0692663, 0.0447502, 0.0287866, 0.0172126, 0.0084445, 0.00334366, 0.00282595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00762718");
-            index_3 ("0.190593, 0.209315, 0.213541, 0.218853, 0.227026, 0.234754, 0.242484, 0.249048, 0.253493, 0.271217, 0.277617, 0.29109, 0.298949, 0.307394, 0.317414, 0.329434, 0.342959, 0.372239");
-            values ( \
-              "0.0228367, 0.125652, 0.142855, 0.160767, 0.1753, 0.180128, 0.175951, 0.167703, 0.157155, 0.0984802, 0.0800978, 0.049975, 0.0372441, 0.0268362, 0.0179719, 0.0109481, 0.00620318, 0.00227693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0159804");
-            index_3 ("0.190596, 0.20072, 0.20843, 0.217407, 0.225081, 0.231677, 0.244696, 0.246675, 0.248956, 0.251356, 0.257549, 0.262004, 0.267945, 0.276564, 0.284985, 0.299436, 0.311985, 0.32077, 0.329651, 0.339238, 0.351486, 0.36119, 0.374128, 0.38289, 0.389926, 0.399308, 0.416909, 0.424959, 0.437164, 0.453438, 0.485986, 0.533766, 0.592169");
-            values ( \
-              "0.0266586, 0.0870192, 0.13782, 0.181708, 0.20464, 0.217592, 0.225688, 0.225063, 0.225073, 0.223578, 0.221206, 0.218774, 0.214291, 0.205265, 0.193201, 0.164966, 0.137234, 0.118978, 0.101995, 0.0854998, 0.0673708, 0.0553277, 0.0420592, 0.0347649, 0.0297615, 0.0241041, 0.0160413, 0.0133143, 0.0100101, 0.0067954, 0.00301195, 0.000820015, 0.000147932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0334822");
-            index_3 ("0.190762, 0.212592, 0.216885, 0.225183, 0.230746, 0.241873, 0.249013, 0.251878, 0.25807, 0.26473, 0.271345, 0.278143, 0.289906, 0.30228, 0.326694, 0.343031, 0.355467, 0.38034, 0.423821, 0.435074, 0.450079, 0.479189, 0.501914, 0.517648, 0.541886, 0.548937, 0.563038, 0.591239, 0.614082, 0.639172, 0.672626, 0.739534, 0.818709, 0.897884");
-            values ( \
-              "0.0353839, 0.170681, 0.192612, 0.224218, 0.237811, 0.253429, 0.257174, 0.257187, 0.258367, 0.258502, 0.257361, 0.255223, 0.250198, 0.243796, 0.229139, 0.217301, 0.206642, 0.180731, 0.130535, 0.118516, 0.103434, 0.0776147, 0.0611974, 0.0515381, 0.0391595, 0.0361182, 0.0306366, 0.0218672, 0.0165653, 0.012182, 0.00801507, 0.00329607, 0.0010997, 0.000371917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0701517");
-            index_3 ("0.201067, 0.230649, 0.242474, 0.257488, 0.270192, 0.280946, 0.299449, 0.392915, 0.446608, 0.499319, 0.553473, 0.663257, 0.751444, 0.797676, 0.829722, 0.89131, 0.91901, 0.974411, 1.02114, 1.08379, 1.16296, 1.24214, 1.32131, 1.34499");
-            values ( \
-              "0.181878, 0.249826, 0.269485, 0.278395, 0.281421, 0.281076, 0.277812, 0.252215, 0.235396, 0.214857, 0.1869, 0.123962, 0.0814592, 0.0639605, 0.0536962, 0.0378353, 0.0321801, 0.0231174, 0.0173952, 0.0118399, 0.00719132, 0.00433524, 0.00261937, 0.00241431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.146981");
-            index_3 ("0.21174, 0.21176, 0.35712, 0.53643, 0.667655, 0.750446, 0.811526, 0.870972, 1.14904, 1.22522, 1.3044, 1.42469, 1.49391, 1.60907, 1.68102, 1.75834, 1.83751, 1.94794, 2.08859, 2.24694, 2.40529, 2.64281, 2.95951");
-            values ( \
-              "1e-22, 0.305695, 0.287067, 0.262751, 0.243413, 0.229131, 0.216469, 0.202107, 0.124667, 0.105247, 0.0871268, 0.0641155, 0.0532577, 0.0386837, 0.0314989, 0.0251676, 0.0199321, 0.0143535, 0.00939211, 0.0057978, 0.00356821, 0.00171695, 0.000645623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.332118, 0.343409, 0.348412, 0.37749, 0.383597, 0.389342, 0.395041, 0.400736, 0.415591, 0.423392, 0.433094, 0.441947, 0.453732, 0.467404");
-            values ( \
-              "0.00288273, 0.0111641, 0.0207028, 0.102704, 0.112044, 0.116062, 0.114144, 0.102227, 0.050423, 0.0330635, 0.0189096, 0.0111534, 0.00555078, 0.00324261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00762718");
-            index_3 ("0.343306, 0.352215, 0.368317, 0.379681, 0.387761, 0.391018, 0.395361, 0.4009, 0.405365, 0.413518, 0.415053, 0.419689, 0.436378, 0.444864, 0.457032, 0.464146, 0.473977, 0.47984, 0.489662, 0.500875, 0.50978, 0.527589, 0.5365");
-            values ( \
-              "0.0242855, 0.0373602, 0.10053, 0.13945, 0.157851, 0.162567, 0.166755, 0.16887, 0.168041, 0.160008, 0.157042, 0.14575, 0.0930666, 0.0706876, 0.0461532, 0.0354277, 0.0243325, 0.0193379, 0.0130506, 0.00833621, 0.00580809, 0.00274936, 0.00228273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0159804");
-            index_3 ("0.343134, 0.356877, 0.377902, 0.387827, 0.393811, 0.405778, 0.411113, 0.418227, 0.425974, 0.434344, 0.447979, 0.502019, 0.526457, 0.552476, 0.570923, 0.602658, 0.628383, 0.648529");
-            values ( \
-              "0.0227029, 0.0610773, 0.156457, 0.188323, 0.201463, 0.215333, 0.217316, 0.217241, 0.214127, 0.208043, 0.192279, 0.0872904, 0.0539626, 0.0304647, 0.0202986, 0.00980213, 0.00525794, 0.00359014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0334822");
-            index_3 ("0.343076, 0.363558, 0.377232, 0.387002, 0.392691, 0.404069, 0.417845, 0.430051, 0.44165, 0.466094, 0.49183, 0.517975, 0.544389, 0.591978, 0.624454, 0.64678, 0.670212, 0.68662, 0.713467, 0.74588, 0.775472, 0.806482, 0.83027, 0.877845, 0.957019, 1.03619");
-            values ( \
-              "0.0203015, 0.0974424, 0.166062, 0.204013, 0.220173, 0.241508, 0.252512, 0.254108, 0.251738, 0.241418, 0.226586, 0.207108, 0.180225, 0.126518, 0.093996, 0.0752392, 0.0587278, 0.0490201, 0.0361065, 0.0246482, 0.0172067, 0.0117759, 0.0087614, 0.00476011, 0.00159893, 0.000535457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0701517");
-            index_3 ("0.358517, 0.382231, 0.392791, 0.407636, 0.417596, 0.433034, 0.448351, 0.46339, 0.510243, 0.576368, 0.633923, 0.667535, 0.696649, 0.813043, 0.875157, 0.950189, 0.996713, 1.05609, 1.12299, 1.18133, 1.25712, 1.3363, 1.49465, 1.50301");
-            values ( \
-              "0.148887, 0.19445, 0.231013, 0.261972, 0.270991, 0.277549, 0.277124, 0.275354, 0.263974, 0.24628, 0.227283, 0.213163, 0.198669, 0.132127, 0.0999033, 0.068228, 0.052962, 0.0377595, 0.0254361, 0.0179616, 0.011294, 0.00673549, 0.00240385, 0.00234059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.146981");
-            index_3 ("0.368727, 0.424129, 0.435782, 0.450086, 0.464092, 0.489309, 0.556437, 0.581944, 0.621977, 0.753343, 0.832517, 0.915308, 0.976388, 1.03583, 1.3139, 1.39009, 1.46926, 1.58956, 1.65878, 1.77393, 1.84588, 1.9232, 2.00238, 2.1128, 2.25346, 2.4118, 2.57015, 2.80768, 3.12438");
-            values ( \
-              "0.252922, 0.285517, 0.289852, 0.291587, 0.291302, 0.289091, 0.280678, 0.278742, 0.273647, 0.255344, 0.243418, 0.229135, 0.216466, 0.20211, 0.124664, 0.10525, 0.087124, 0.0641135, 0.0532597, 0.0386855, 0.0314971, 0.0251693, 0.0199305, 0.0143548, 0.00939335, 0.00579899, 0.00356935, 0.00171588, 0.000644648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.646431, 0.664467, 0.671385, 0.679065, 0.695276, 0.709252, 0.716934, 0.723905, 0.730614, 0.737304, 0.747796, 0.756157, 0.765411, 0.774452, 0.785606, 0.799322, 0.811394, 0.815799");
-            values ( \
-              "0.000610544, 0.00920349, 0.0156565, 0.0268655, 0.0567739, 0.0800786, 0.0905312, 0.0970104, 0.0989476, 0.0921394, 0.0607981, 0.0404903, 0.0250354, 0.0151632, 0.008006, 0.00371181, 0.00190626, 0.00162789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00762718");
-            index_3 ("0.656126, 0.673407, 0.675239, 0.685114, 0.711232, 0.722547, 0.73236, 0.741562, 0.750708, 0.759847, 0.770098, 0.778028, 0.787104, 0.797399, 0.804829, 0.809958, 0.816027, 0.824119, 0.830883, 0.837834, 0.847101, 0.865636, 0.892422, 0.925313");
-            values ( \
-              "0.00750402, 0.0242739, 0.027153, 0.0472592, 0.109974, 0.133197, 0.146411, 0.151964, 0.14859, 0.132311, 0.102236, 0.0809643, 0.0603817, 0.0422974, 0.0321974, 0.0265675, 0.0210712, 0.0153754, 0.011772, 0.00894889, 0.00620056, 0.00287386, 0.000917308, 0.000204318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0159804");
-            index_3 ("0.656983, 0.679379, 0.681345, 0.691197, 0.708568, 0.721822, 0.72802, 0.740417, 0.743538, 0.749781, 0.757847, 0.77204, 0.786256, 0.795081, 0.803418, 0.820094, 0.833663, 0.842885, 0.854607, 0.863315, 0.872558, 0.884832, 0.888495, 0.89582, 0.91047, 0.922751, 0.939141, 0.960994, 1.00296, 1.05173");
-            values ( \
-              "0.011087, 0.0403149, 0.044776, 0.0698426, 0.121339, 0.158251, 0.172711, 0.193305, 0.196672, 0.201431, 0.204109, 0.200996, 0.188221, 0.174329, 0.158188, 0.123645, 0.0985596, 0.0833025, 0.0664469, 0.0557886, 0.046046, 0.0353646, 0.0326636, 0.0277817, 0.0199513, 0.0150466, 0.0102872, 0.0061058, 0.00205293, 0.000548175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0334822");
-            index_3 ("0.657394, 0.688932, 0.720588, 0.738163, 0.754386, 0.774519, 0.788801, 0.804104, 0.82906, 0.84328, 0.857928, 0.886499, 0.930403, 0.963665, 1.00537, 1.02967, 1.06053, 1.07876, 1.11185, 1.14935, 1.1793, 1.25643");
-            values ( \
-              "0.0077821, 0.0686972, 0.171178, 0.215184, 0.236973, 0.245812, 0.244206, 0.239039, 0.226546, 0.217009, 0.205215, 0.175896, 0.126127, 0.0931804, 0.0608345, 0.0465592, 0.032722, 0.0264043, 0.0176841, 0.0111846, 0.00769373, 0.00308326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0701517");
-            index_3 ("0.680308, 0.712956, 0.725993, 0.740122, 0.753563, 0.775518, 0.794938, 0.81255, 0.847837, 0.871445, 0.949049, 0.980947, 1.00552, 1.05468, 1.16547, 1.23263, 1.27753, 1.3147, 1.36278, 1.39487, 1.43202, 1.47065, 1.52485, 1.58897, 1.66814, 1.74731, 1.82649, 1.84276");
-            values ( \
-              "0.0931807, 0.153582, 0.197438, 0.233003, 0.25462, 0.270311, 0.27301, 0.271116, 0.263563, 0.257265, 0.234403, 0.222901, 0.212478, 0.187399, 0.124246, 0.0912134, 0.0727252, 0.0596308, 0.0455986, 0.0379473, 0.0305481, 0.0242676, 0.017459, 0.0117774, 0.00714261, 0.00431572, 0.00259772, 0.00245849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.146981");
-            index_3 ("0.700315, 0.74259, 0.753936, 0.775637, 0.794668, 0.813925, 0.839599, 0.876919, 1.06915, 1.19853, 1.26809, 1.31447, 1.38862, 1.65061, 1.80291, 1.93292, 2.00029, 2.11222, 2.17772, 2.2569, 2.33607, 2.45297, 2.59804, 2.75639, 2.91474, 3.15226, 3.38978");
-            values ( \
-              "0.243175, 0.245961, 0.264545, 0.283218, 0.288336, 0.288928, 0.287292, 0.283068, 0.25765, 0.238266, 0.226306, 0.216512, 0.198325, 0.125073, 0.0881118, 0.0632513, 0.0527862, 0.0386791, 0.0320867, 0.0255061, 0.0202023, 0.0142717, 0.00921347, 0.00568687, 0.0034998, 0.00168315, 0.000809036" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00268001, 0.00268109, 0.00268217, 0.00268295, 0.00268341, 0.00268366", \
-            "0.00333197, 0.00333246, 0.00333318, 0.00333394, 0.00333443, 0.00333474", \
-            "0.00376015, 0.00376065, 0.00376119, 0.00376177, 0.00376228, 0.00376263", \
-            "0.00405186, 0.00405221, 0.00405279, 0.00405343, 0.00405398, 0.00405439", \
-            "0.00431026, 0.00431038, 0.00431058, 0.00431094, 0.00431139, 0.0043118", \
-            "0.00448819, 0.00448834, 0.00448822, 0.00448823, 0.00448841, 0.00448869" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00402866, 0.00403226, 0.00403538, 0.00403794, 0.00403959, 0.00404052", \
-            "0.0042864, 0.00428832, 0.00429111, 0.004294, 0.00429622, 0.0042976", \
-            "0.00445212, 0.00445095, 0.00445052, 0.00445094, 0.00445178, 0.00445251", \
-            "0.00493238, 0.00493685, 0.00493747, 0.00493392, 0.00493435, 0.00493488", \
-            "0.00498665, 0.00502409, 0.00510128, 0.00514672, 0.00517176, 0.00518442", \
-            "0.00477124, 0.00477033, 0.00476996, 0.00479318, 0.00486672, 0.00490749" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0562489, 0.0585483, 0.0615574, 0.0635927, 0.0668577, 0.073802, 0.0776646, 0.0813688, 0.0850161, 0.0895167, 0.0924508, 0.100532, 0.104314, 0.108261, 0.111161, 0.114305, 0.120662");
-            values ( \
-              "-0.048438, -0.0619039, -0.0861671, -0.112485, -0.133009, -0.164174, -0.1746, -0.179983, -0.179717, -0.164415, -0.142702, -0.0668103, -0.0430975, -0.0265793, -0.0183981, -0.0123533, -0.00578479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00762718");
-            index_3 ("0.053115, 0.0683317, 0.0715349, 0.0753366, 0.081472, 0.0872344, 0.0928455, 0.0984515, 0.0997357, 0.10365, 0.1057, 0.108136, 0.119883, 0.12337, 0.12979, 0.136228, 0.142261, 0.152614, 0.162801");
-            values ( \
-              "-0.00492032, -0.175641, -0.196095, -0.213349, -0.233713, -0.243277, -0.246768, -0.241501, -0.238701, -0.226793, -0.217583, -0.202594, -0.107866, -0.0854989, -0.05407, -0.0333793, -0.0209265, -0.00917279, -0.00426839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0159804");
-            index_3 ("0.0537764, 0.0659686, 0.0677937, 0.0743653, 0.0802085, 0.0853285, 0.0933928, 0.0990785, 0.104907, 0.1145, 0.12413, 0.131135, 0.134802, 0.142137, 0.154134, 0.166045, 0.179218, 0.189402, 0.20373, 0.212462, 0.224105, 0.243824");
-            values ( \
-              "-0.00431795, -0.176097, -0.195864, -0.23999, -0.265515, -0.280718, -0.294697, -0.299301, -0.300695, -0.297774, -0.286932, -0.27311, -0.262954, -0.232784, -0.166914, -0.110691, -0.0668422, -0.0443051, -0.0242952, -0.016746, -0.0100527, -0.00435478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0334822");
-            index_3 ("0.0543182, 0.0660092, 0.0716265, 0.0788416, 0.0847459, 0.0928421, 0.103531, 0.107946, 0.114755, 0.121485, 0.134945, 0.139422, 0.148376, 0.157799, 0.176825, 0.184584, 0.193452, 0.197112, 0.204431, 0.246419, 0.259912, 0.267117, 0.281526, 0.29287, 0.29923, 0.310519, 0.326237, 0.332649, 0.345472, 0.371119, 0.416825, 0.470441");
-            values ( \
-              "-0.0156169, -0.190719, -0.240782, -0.281377, -0.302554, -0.321563, -0.333301, -0.335459, -0.337195, -0.337213, -0.334469, -0.332861, -0.328986, -0.323906, -0.309448, -0.301141, -0.289119, -0.282954, -0.267729, -0.146506, -0.114573, -0.0999125, -0.0751566, -0.0597142, -0.0523721, -0.0413329, -0.029525, -0.0257283, -0.0194775, -0.0109738, -0.00363066, -0.000927597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0701517");
-            index_3 ("0.0616266, 0.0766625, 0.0848162, 0.0918855, 0.100666, 0.109456, 0.120306, 0.134835, 0.160731, 0.201769, 0.247662, 0.285042, 0.302335, 0.32078, 0.34023, 0.366162, 0.428638, 0.45461, 0.469347, 0.498822, 0.521365, 0.557089, 0.589179, 0.602365, 0.628737, 0.681481, 0.744029, 0.806576, 0.869123");
-            values ( \
-              "-0.232441, -0.282334, -0.316348, -0.334244, -0.347961, -0.354673, -0.358442, -0.359232, -0.356049, -0.347627, -0.335018, -0.320765, -0.311448, -0.29857, -0.279267, -0.242921, -0.149235, -0.118122, -0.102867, -0.0772002, -0.0616278, -0.0427151, -0.0304712, -0.0265055, -0.0200051, -0.0111901, -0.00554423, -0.00272748, -0.0013467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.146981");
-            index_3 ("0.0689156, 0.140285, 0.182711, 0.264466, 0.421834, 0.463764, 0.515212, 0.577759, 0.599873, 0.643626, 0.779765, 0.848068, 0.930506, 0.986217, 1.05644, 1.11899, 1.16677, 1.23253, 1.29508, 1.35763, 1.48272, 1.73291");
-            values ( \
-              "-0.370141, -0.371639, -0.369333, -0.361686, -0.342727, -0.3359, -0.325369, -0.30716, -0.297925, -0.273407, -0.171185, -0.127912, -0.0875788, -0.0670244, -0.0473793, -0.0345048, -0.0270297, -0.0192508, -0.0139056, -0.00998768, -0.00514938, -0.00134882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.076427, 0.078209, 0.0806948, 0.083677, 0.0871187, 0.0897403, 0.0939584, 0.0978306, 0.101528, 0.105177, 0.108823, 0.109673, 0.11259, 0.120643, 0.12507, 0.127482, 0.130698, 0.135604, 0.139621, 0.140185");
-            values ( \
-              "-0.0479425, -0.0583312, -0.0777847, -0.110806, -0.133463, -0.146705, -0.164173, -0.174377, -0.180092, -0.17947, -0.168468, -0.164402, -0.142888, -0.0671781, -0.0401169, -0.0298733, -0.0198919, -0.0106718, -0.00634842, -0.00605309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00762718");
-            index_3 ("0.0726186, 0.0954695, 0.101591, 0.107349, 0.10941, 0.112949, 0.118536, 0.121108, 0.123721, 0.125874, 0.128279, 0.139991, 0.143476, 0.149896, 0.156341, 0.16237, 0.165816, 0.172708, 0.185396, 0.200117");
-            values ( \
-              "-0.024248, -0.214532, -0.233672, -0.243403, -0.245328, -0.246346, -0.241761, -0.235454, -0.226871, -0.217183, -0.202331, -0.107836, -0.0854918, -0.0540593, -0.0333539, -0.0209144, -0.0159869, -0.00917257, -0.00307944, -0.000830106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0159804");
-            index_3 ("0.0728694, 0.0907812, 0.0946333, 0.100283, 0.105581, 0.113326, 0.119956, 0.125155, 0.134744, 0.141509, 0.149592, 0.155041, 0.165702, 0.187252, 0.200711, 0.211859, 0.220388, 0.229273, 0.249696, 0.253549");
-            values ( \
-              "-0.00596632, -0.21697, -0.240334, -0.265149, -0.280578, -0.294511, -0.299705, -0.300589, -0.297856, -0.290898, -0.277135, -0.262916, -0.215417, -0.107114, -0.0637962, -0.0405517, -0.0283192, -0.019444, -0.00793994, -0.00708076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0334822");
-            index_3 ("0.079805, 0.0945416, 0.105227, 0.121108, 0.129776, 0.141939, 0.159896, 0.178262, 0.196698, 0.214972, 0.231039, 0.280358, 0.306789, 0.328928, 0.362885, 0.399287");
-            values ( \
-              "-0.164909, -0.257829, -0.303666, -0.331526, -0.336238, -0.337377, -0.332809, -0.32389, -0.310037, -0.287417, -0.251796, -0.114478, -0.0681713, -0.0431091, -0.0208039, -0.00959268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0701517");
-            index_3 ("0.0795561, 0.0940204, 0.105067, 0.112811, 0.123839, 0.128762, 0.138608, 0.153279, 0.171091, 0.194643, 0.234467, 0.267907, 0.305298, 0.322413, 0.34067, 0.361181, 0.38853, 0.431607, 0.4747, 0.502194, 0.528318, 0.550195, 0.573976, 0.607791, 0.642322, 0.668675, 0.72138, 0.783928, 0.909022");
-            values ( \
-              "-0.160058, -0.264785, -0.31634, -0.336094, -0.350778, -0.354134, -0.358113, -0.359265, -0.357508, -0.353553, -0.344542, -0.335103, -0.320674, -0.311544, -0.298863, -0.278428, -0.23961, -0.173088, -0.118345, -0.0912034, -0.0704777, -0.0565346, -0.0442607, -0.0310012, -0.0215101, -0.0162097, -0.00905416, -0.00447642, -0.00108487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.146981");
-            index_3 ("0.0859981, 0.114555, 0.128563, 0.140819, 0.160727, 0.191846, 0.270103, 0.367779, 0.456169, 0.535627, 0.598175, 0.620282, 0.664036, 0.800172, 0.868477, 0.950921, 1.00663, 1.07684, 1.17622, 1.25297, 1.31552, 1.44061, 1.50316, 1.75335");
-            values ( \
-              "-0.32626, -0.347272, -0.36364, -0.369198, -0.371569, -0.370205, -0.36315, -0.352625, -0.340597, -0.32534, -0.307181, -0.297897, -0.273431, -0.171136, -0.127853, -0.0875129, -0.0669528, -0.0473058, -0.0285204, -0.0193367, -0.0138139, -0.00711281, -0.00524094, -0.00144462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.118568, 0.132326, 0.139455, 0.143354, 0.147072, 0.150736, 0.155222, 0.158052, 0.167038, 0.171044, 0.175899, 0.181615, 0.186207, 0.189841");
-            values ( \
-              "-0.00456975, -0.129358, -0.162593, -0.173208, -0.179239, -0.178895, -0.164174, -0.143736, -0.0613086, -0.03825, -0.0209218, -0.0101285, -0.00557639, -0.00392801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00762718");
-            index_3 ("0.121621, 0.12609, 0.132583, 0.137282, 0.141234, 0.147413, 0.153185, 0.158809, 0.164427, 0.170875, 0.174101, 0.189371, 0.195791, 0.202227, 0.208262, 0.218621, 0.222523");
-            values ( \
-              "-0.0278618, -0.0951629, -0.163309, -0.193312, -0.21179, -0.232759, -0.242692, -0.24632, -0.241299, -0.221562, -0.202761, -0.0854845, -0.0540631, -0.0333837, -0.0209268, -0.00916934, -0.00728845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0159804");
-            index_3 ("0.120473, 0.130642, 0.133833, 0.140431, 0.145631, 0.151421, 0.161378, 0.171, 0.180596, 0.190227, 0.197223, 0.200899, 0.20825, 0.220208, 0.232158, 0.245569, 0.25484, 0.262463, 0.273276, 0.280374, 0.294571, 0.313567");
-            values ( \
-              "-0.0110192, -0.160382, -0.195237, -0.239558, -0.262542, -0.280605, -0.296531, -0.300697, -0.297657, -0.286984, -0.273117, -0.262945, -0.232693, -0.167033, -0.110625, -0.0661661, -0.0455186, -0.0331406, -0.0209933, -0.015486, -0.00824597, -0.00380711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0334822");
-            index_3 ("0.124721, 0.140262, 0.146023, 0.150951, 0.158848, 0.167276, 0.174668, 0.184524, 0.194009, 0.205658, 0.223681, 0.228669, 0.243031, 0.261113, 0.267859, 0.276435, 0.306093, 0.326162, 0.340219, 0.3526, 0.362869, 0.374684, 0.391405, 0.408702, 0.421938, 0.448408, 0.497319, 0.555051");
-            values ( \
-              "-0.128114, -0.256734, -0.28539, -0.303079, -0.320999, -0.331565, -0.335734, -0.337435, -0.336335, -0.332816, -0.32422, -0.320933, -0.309357, -0.28678, -0.274054, -0.252904, -0.164028, -0.114434, -0.0873147, -0.0681403, -0.0552292, -0.0431343, -0.0301683, -0.0207909, -0.015566, -0.00858357, -0.00256565, -0.000568745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0701517");
-            index_3 ("0.129494, 0.147462, 0.158377, 0.167045, 0.17552, 0.186565, 0.200601, 0.233102, 0.2557, 0.313139, 0.337862, 0.35246, 0.381657, 0.39354, 0.414752, 0.480771, 0.513894, 0.553845, 0.580804, 0.614765, 0.651552, 0.664313, 0.692852, 0.725467, 0.788015, 0.850562, 0.975656");
-            values ( \
-              "-0.274564, -0.302961, -0.334901, -0.348184, -0.354652, -0.35843, -0.359298, -0.354918, -0.35034, -0.335405, -0.326493, -0.320056, -0.302769, -0.292945, -0.268879, -0.168651, -0.126042, -0.0863134, -0.066075, -0.0467323, -0.0317211, -0.0277364, -0.0204802, -0.014407, -0.00714381, -0.00352923, -0.000855429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.146981");
-            index_3 ("0.135507, 0.20677, 0.249074, 0.329809, 0.425773, 0.488321, 0.530251, 0.581698, 0.644245, 0.666359, 0.710113, 0.846248, 0.914555, 0.997001, 1.0527, 1.12292, 1.18546, 1.23326, 1.29905, 1.3616, 1.42415, 1.54924, 1.79943");
-            values ( \
-              "-0.371372, -0.371514, -0.369255, -0.361726, -0.351086, -0.342675, -0.335862, -0.325404, -0.307121, -0.297961, -0.273366, -0.171208, -0.127926, -0.0875857, -0.0670274, -0.0473813, -0.0345086, -0.0270379, -0.0192595, -0.0138908, -0.0099993, -0.0051635, -0.00136681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.211636, 0.215766, 0.218751, 0.22093, 0.224128, 0.22792, 0.231591, 0.236516, 0.240866, 0.244915, 0.248848, 0.251749, 0.252839, 0.254876, 0.257276, 0.26294, 0.265073, 0.267917, 0.269497, 0.271536, 0.274254, 0.276359, 0.278596, 0.281578, 0.286476");
-            values ( \
-              "-0.0236444, -0.0355719, -0.0453833, -0.0571534, -0.0810485, -0.103322, -0.122167, -0.143981, -0.157403, -0.165877, -0.167988, -0.162692, -0.159155, -0.14995, -0.132343, -0.080984, -0.0647944, -0.0470678, -0.0391541, -0.0306969, -0.0219732, -0.0169206, -0.012811, -0.00880458, -0.00493112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00762718");
-            index_3 ("0.213474, 0.218332, 0.219595, 0.229608, 0.237885, 0.2447, 0.248948, 0.252837, 0.256806, 0.259736, 0.262634, 0.268685, 0.26992, 0.27486, 0.287011, 0.292843, 0.30008, 0.304831, 0.309621, 0.320679, 0.324811");
-            values ( \
-              "-0.0534753, -0.0596805, -0.0651036, -0.14044, -0.188617, -0.214553, -0.224897, -0.2315, -0.235701, -0.235732, -0.234497, -0.219753, -0.214611, -0.182657, -0.0903085, -0.0600949, -0.0351866, -0.0244019, -0.0168434, -0.00696554, -0.00549424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0159804");
-            index_3 ("0.213967, 0.223041, 0.22909, 0.234121, 0.236884, 0.242408, 0.248893, 0.25672, 0.259341, 0.264434, 0.269248, 0.279017, 0.288786, 0.293641, 0.298653, 0.30387, 0.309832, 0.320628, 0.331466, 0.33834, 0.344907, 0.350392, 0.356345, 0.364807, 0.373438, 0.380023, 0.393193, 0.417872, 0.446964");
-            values ( \
-              "-0.0474645, -0.100901, -0.155263, -0.194071, -0.211201, -0.239341, -0.261483, -0.280251, -0.284888, -0.291356, -0.294607, -0.294593, -0.285336, -0.276761, -0.264538, -0.245906, -0.216104, -0.15698, -0.107501, -0.0829853, -0.0641151, -0.0514316, -0.0402735, -0.0282149, -0.0195842, -0.014763, -0.00825103, -0.00250362, -0.000565017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0334822");
-            index_3 ("0.214532, 0.236776, 0.243873, 0.248914, 0.254701, 0.2633, 0.268038, 0.277376, 0.286213, 0.300212, 0.312323, 0.322636, 0.341113, 0.349475, 0.358394, 0.369093, 0.383359, 0.399647, 0.415888, 0.423128, 0.434298, 0.449193, 0.456582, 0.468489, 0.484365, 0.497089, 0.515758, 0.540649, 0.587848, 0.643139");
-            values ( \
-              "-0.0451578, -0.226693, -0.264883, -0.284319, -0.301368, -0.319729, -0.326054, -0.333087, -0.335141, -0.333504, -0.329035, -0.323686, -0.309939, -0.30105, -0.288937, -0.268149, -0.228311, -0.178589, -0.134854, -0.118076, -0.095466, -0.0709795, -0.0610858, -0.0477492, -0.0340811, -0.0259499, -0.0173056, -0.00991254, -0.00313339, -0.000754071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0701517");
-            index_3 ("0.225084, 0.244636, 0.254497, 0.268147, 0.277152, 0.2892, 0.303817, 0.319817, 0.339287, 0.375436, 0.412544, 0.453393, 0.467282, 0.4858, 0.504844, 0.530235, 0.594576, 0.612167, 0.632659, 0.659983, 0.679811, 0.703048, 0.734031, 0.757821, 0.781987, 0.814209, 0.876756, 0.939303, 1.0644");
-            values ( \
-              "-0.248883, -0.279595, -0.314896, -0.343352, -0.352139, -0.357222, -0.358293, -0.356731, -0.353394, -0.345423, -0.335089, -0.318944, -0.311402, -0.298459, -0.279586, -0.244175, -0.147867, -0.126337, -0.104416, -0.0801122, -0.0657751, -0.0519622, -0.0376357, -0.029298, -0.0226806, -0.0160308, -0.007964, -0.00393042, -0.000949839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.146981");
-            index_3 ("0.232972, 0.284591, 0.295107, 0.305265, 0.322626, 0.449826, 0.524176, 0.594994, 0.635384, 0.680224, 0.742771, 0.764879, 0.808633, 0.944768, 1.01307, 1.09552, 1.15122, 1.22144, 1.28398, 1.33178, 1.39757, 1.46011, 1.52266, 1.64776, 1.89795");
-            values ( \
-              "-0.363627, -0.366349, -0.36957, -0.370758, -0.370789, -0.3595, -0.351095, -0.341416, -0.334654, -0.325412, -0.307107, -0.297971, -0.273356, -0.171212, -0.12793, -0.0875895, -0.06703, -0.0473834, -0.034507, -0.0270372, -0.0192592, -0.0138917, -0.00999898, -0.00516325, -0.0013668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.397411, 0.405827, 0.408586, 0.418666, 0.435746, 0.440671, 0.445271, 0.449812, 0.454345, 0.464604, 0.469143, 0.474446, 0.48069, 0.486166, 0.492952");
-            values ( \
-              "-0.0249036, -0.0305464, -0.0379394, -0.0741029, -0.127116, -0.138944, -0.145774, -0.143347, -0.124403, -0.05209, -0.0315261, -0.0168612, -0.00798861, -0.00412413, -0.00209564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00762718");
-            index_3 ("0.399295, 0.407608, 0.4113, 0.432135, 0.442652, 0.447581, 0.454174, 0.460571, 0.467063, 0.470159, 0.473461, 0.483457, 0.487139, 0.493263, 0.499444, 0.504247, 0.509334, 0.513247, 0.521073, 0.534978, 0.550108");
-            values ( \
-              "-0.0427228, -0.0462177, -0.0586343, -0.149849, -0.188691, -0.201931, -0.21343, -0.21657, -0.206357, -0.195029, -0.17577, -0.104092, -0.0823671, -0.0541365, -0.0345857, -0.024092, -0.0163914, -0.0121413, -0.00654969, -0.00202148, -0.000578394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0159804");
-            index_3 ("0.399783, 0.41084, 0.437402, 0.445336, 0.454589, 0.461812, 0.467664, 0.477993, 0.48832, 0.500086, 0.505741, 0.534213, 0.546023, 0.557378, 0.571921, 0.589202, 0.590603");
-            values ( \
-              "-0.0380249, -0.0660983, -0.198347, -0.230263, -0.257055, -0.269902, -0.276313, -0.281021, -0.275442, -0.253466, -0.232621, -0.0970736, -0.0615732, -0.0389342, -0.0213384, -0.010195, -0.00978543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0334822");
-            index_3 ("0.399535, 0.417652, 0.439766, 0.44455, 0.453822, 0.466007, 0.476285, 0.485091, 0.500333, 0.510417, 0.522411, 0.541203, 0.550153, 0.562188, 0.568677, 0.580454, 0.59718, 0.613806, 0.624497, 0.639609, 0.65176, 0.673564, 0.690353, 0.707898, 0.721349, 0.748251, 0.797047, 0.854832");
-            values ( \
-              "-0.028403, -0.104727, -0.22735, -0.249161, -0.280437, -0.306241, -0.317833, -0.32313, -0.325166, -0.323178, -0.318564, -0.305961, -0.296986, -0.28075, -0.268462, -0.237654, -0.186802, -0.140905, -0.115897, -0.0866833, -0.0679623, -0.0432725, -0.0302256, -0.0207197, -0.0154385, -0.00842541, -0.00252702, -0.000559255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0701517");
-            index_3 ("0.411807, 0.430456, 0.444752, 0.453281, 0.466108, 0.479795, 0.492002, 0.50317, 0.52064, 0.57571, 0.593408, 0.610507, 0.64655, 0.661057, 0.676151, 0.692502, 0.725203, 0.764838, 0.792574, 0.819721, 0.833851, 0.86211, 0.887556, 0.924161, 0.955486, 0.967865, 0.992625, 1.04214, 1.10469, 1.16724, 1.29233");
-            values ( \
-              "-0.149693, -0.183848, -0.261161, -0.292836, -0.322881, -0.340485, -0.347534, -0.350571, -0.350804, -0.343956, -0.340366, -0.335622, -0.322271, -0.315104, -0.305908, -0.292902, -0.252618, -0.190638, -0.151207, -0.118455, -0.103784, -0.0788529, -0.0611733, -0.0420102, -0.0301964, -0.0265044, -0.0203452, -0.011828, -0.00585278, -0.00289027, -0.000701511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.146981");
-            index_3 ("0.425163, 0.465895, 0.493104, 0.504442, 0.523097, 0.577017, 0.616117, 0.704576, 0.800989, 0.880427, 0.914209, 0.964987, 1.02753, 1.12101, 1.22322, 1.28416, 1.33779, 1.43305, 1.4956, 1.54884, 1.6435, 1.70605, 1.89369, 1.89588");
-            values ( \
-              "-0.30188, -0.331546, -0.35939, -0.363146, -0.365232, -0.365267, -0.362769, -0.353442, -0.340497, -0.325383, -0.316593, -0.297966, -0.260383, -0.188455, -0.122411, -0.0925601, -0.0716782, -0.0447468, -0.0326044, -0.024793, -0.0151553, -0.0109535, -0.00403336, -0.00400729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.779324, 0.793204, 0.802191, 0.807107, 0.818881, 0.838197, 0.844649, 0.85056, 0.856248, 0.86192, 0.862645, 0.871712, 0.876188, 0.880744, 0.885654, 0.888744, 0.892275, 0.899338, 0.909812, 0.939681");
-            values ( \
-              "-0.00250308, -0.0163874, -0.0278566, -0.037327, -0.0622865, -0.0966964, -0.106902, -0.114338, -0.116795, -0.104861, -0.102462, -0.0543521, -0.0354891, -0.0220868, -0.0128907, -0.00917453, -0.00620861, -0.0028436, -0.00100668, -0.00023196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00762718");
-            index_3 ("0.783382, 0.797681, 0.8055, 0.818983, 0.844058, 0.853165, 0.861263, 0.868807, 0.876223, 0.883624, 0.898218, 0.905212, 0.90867, 0.91328, 0.917943, 0.925127, 0.934707, 0.940107");
-            values ( \
-              "-0.00889482, -0.02954, -0.0434215, -0.0785722, -0.140548, -0.161521, -0.177152, -0.186548, -0.184455, -0.16048, -0.0768363, -0.0485927, -0.0382547, -0.0274877, -0.0195768, -0.0115049, -0.00552692, -0.00411919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0159804");
-            index_3 ("0.788726, 0.803835, 0.813721, 0.849544, 0.861797, 0.867583, 0.875995, 0.887361, 0.898471, 0.909568, 0.913523, 0.917668, 0.939017, 0.945522, 0.956778, 0.968106, 0.976734, 0.985637, 0.992445, 1.00606, 1.02218");
-            values ( \
-              "-0.0276261, -0.0485533, -0.0727189, -0.183088, -0.217318, -0.231059, -0.246521, -0.258652, -0.259981, -0.246558, -0.23686, -0.221982, -0.121217, -0.0963174, -0.062909, -0.0400252, -0.0280045, -0.0193183, -0.0144775, -0.00799185, -0.00440529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0334822");
-            index_3 ("0.770552, 0.808975, 0.873478, 0.885257, 0.894406, 0.912703, 0.921715, 0.935455, 0.949216, 0.959522, 0.973323, 1.0368, 1.06333, 1.0854, 1.10787, 1.13261, 1.15901, 1.16832");
-            values ( \
-              "-0.00761565, -0.0647955, -0.270198, -0.291632, -0.302441, -0.313562, -0.314627, -0.312409, -0.305526, -0.296979, -0.279164, -0.113973, -0.0679461, -0.0431131, -0.0267008, -0.0156268, -0.00865235, -0.00749661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0701517");
-            index_3 ("0.771054, 0.809236, 0.823545, 0.865032, 0.87352, 0.888153, 0.906625, 0.913275, 0.926574, 0.949993, 0.986649, 1.01575, 1.04085, 1.06293, 1.10212, 1.1331, 1.19119, 1.23245, 1.26153, 1.28616, 1.33049, 1.36462, 1.40032, 1.42769, 1.48244, 1.52352");
-            values ( \
-              "-0.00802298, -0.0694371, -0.112073, -0.261614, -0.285886, -0.314418, -0.335103, -0.339047, -0.344351, -0.346548, -0.341663, -0.334817, -0.326883, -0.3178, -0.293296, -0.257415, -0.169087, -0.117449, -0.0893109, -0.0700539, -0.0445952, -0.0311384, -0.0213399, -0.0158998, -0.00867152, -0.0057898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.146981");
-            index_3 ("0.818482, 0.888585, 0.914395, 0.940303, 0.963673, 1.06112, 1.16047, 1.26271, 1.33363, 1.37711, 1.43965, 1.63535, 1.74994, 1.84511, 1.96097, 2.11823, 2.14071");
-            values ( \
-              "-0.187496, -0.325703, -0.3528, -0.362042, -0.36372, -0.357196, -0.346004, -0.331577, -0.314322, -0.297937, -0.260328, -0.122408, -0.0716533, -0.0447671, -0.0247977, -0.0109415, -0.0101146" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00255214, 0.00255327, 0.00255425, 0.00255491, 0.00255529, 0.00255549", \
-            "0.00297992, 0.00298055, 0.00298131, 0.00298196, 0.00298238, 0.00298261", \
-            "0.00323045, 0.00323055, 0.0032308, 0.00323112, 0.0032314, 0.00323159", \
-            "0.0033813, 0.00338123, 0.00338127, 0.00338137, 0.00338152, 0.00338165", \
-            "0.00346374, 0.00346371, 0.00346372, 0.00346375, 0.00346381, 0.00346387", \
-            "0.00351424, 0.00351425, 0.00351428, 0.00351434, 0.0035144, 0.00351447" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00351476, 0.0035166, 0.00351854, 0.00352005, 0.003521, 0.00352151", \
-            "0.00382442, 0.00382439, 0.00382483, 0.00382569, 0.00382641, 0.00382686", \
-            "0.00409241, 0.00409047, 0.00408866, 0.00408754, 0.00408706, 0.0040869", \
-            "0.00445322, 0.00444972, 0.00444604, 0.00444274, 0.00444051, 0.00443932", \
-            "0.00496575, 0.00501214, 0.00504487, 0.00506398, 0.00507369, 0.00507782", \
-            "0.00562858, 0.00562364, 0.0056058, 0.00566867, 0.00574008, 0.00577494" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00420792, 0.00421418, 0.00417826, 0.00411792, 0.00406543, 0.00403112", \
-            "0.00404662, 0.00406376, 0.00404426, 0.0039958, 0.0039473, 0.0039126", \
-            "0.00386958, 0.0038911, 0.00388989, 0.00386002, 0.00381921, 0.00378466", \
-            "0.00388949, 0.00390895, 0.0039129, 0.00389587, 0.00386342, 0.00383551", \
-            "0.00424304, 0.00425761, 0.00425771, 0.00424748, 0.00421464, 0.00416063", \
-            "0.00592697, 0.00590459, 0.00587688, 0.00585374, 0.00582517, 0.00571913" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0116624, 0.0118042, 0.0119127, 0.0119729, 0.0120018, 0.0120151", \
-            "0.0114766, 0.0116181, 0.0117423, 0.0118167, 0.0118526, 0.0118691", \
-            "0.0113174, 0.0114591, 0.0116044, 0.011709, 0.0117676, 0.0117965", \
-            "0.0113786, 0.0115063, 0.0116819, 0.0118283, 0.0119045, 0.0119605", \
-            "0.0120357, 0.0121272, 0.012257, 0.0124262, 0.0125505, 0.0126226", \
-            "0.0139568, 0.0139892, 0.0140511, 0.0141949, 0.0143051, 0.0144166" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.00431409, 0.00429132, 0.00417907, 0.00402332, 0.00389347, 0.00380962", \
-            "0.00411831, 0.00409808, 0.00400701, 0.00387174, 0.00375159, 0.00367083", \
-            "0.00387623, 0.00387402, 0.00382502, 0.00373147, 0.00363126, 0.00358278", \
-            "0.00377367, 0.00378745, 0.00377142, 0.00371512, 0.00363887, 0.00356868", \
-            "0.00397139, 0.0039786, 0.00398441, 0.00394937, 0.00388827, 0.00381174", \
-            "0.00524196, 0.00517279, 0.00510029, 0.00504311, 0.00501698, 0.00488682" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00762718, 0.0159804, 0.0334822, 0.0701517, 0.146981");
-          values ( \
-            "0.0129393, 0.0129752, 0.0129734, 0.0129469, 0.01292, 0.0129023", \
-            "0.0127698, 0.0128174, 0.0128326, 0.0128176, 0.0127954, 0.0127793", \
-            "0.0126331, 0.012696, 0.012738, 0.0127541, 0.0127493, 0.0127403", \
-            "0.0127556, 0.0128571, 0.0129146, 0.0129482, 0.0129551, 0.0129662", \
-            "0.0134891, 0.0135218, 0.0135478, 0.0135819, 0.013617, 0.0136099", \
-            "0.0153699, 0.0153678, 0.0153708, 0.0154076, 0.0154022, 0.015396" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00427262;
-      rise_capacitance : 0.00427262;
-      rise_capacitance_range (0.00304988, 0.00427262);
-      fall_capacitance : 0.00426256;
-      fall_capacitance_range (0.00266236, 0.00426256);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00266001, 0.00324411, 0.00355167, 0.00370252, 0.00376764, 0.0037978" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00353958, 0.00345248, 0.00320751, 0.00306342, 0.00300153, 0.00297459" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00210049, 0.00238834, 0.0025562, 0.00266769, 0.00272495, 0.00275395" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00299132, 0.00337079, 0.00361082, 0.00370793, 0.00374011, 0.00375088" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00431068, -0.00431647, -0.00431302, -0.00433771, -0.00432499, -0.00431288" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00431068, 0.00431647, 0.00432827, 0.00434125, 0.00432499, 0.00431288" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00438693;
-      rise_capacitance : 0.00438693;
-      rise_capacitance_range (0.00337473, 0.00438693);
-      fall_capacitance : 0.00431909;
-      fall_capacitance_range (0.0030496, 0.00431909);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00273861, 0.00340656, 0.00378569, 0.00397992, 0.00406626, 0.0041038" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00404231, 0.00409938, 0.00387182, 0.00370129, 0.00362082, 0.00358932" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00252088, 0.00291192, 0.00313307, 0.00327244, 0.00334318, 0.00337902" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.003423, 0.00378103, 0.00402554, 0.00411614, 0.00415321, 0.00417123" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00407727, -0.00407617, -0.00409508, -0.0040926, -0.00408556, -0.00407835" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00409582, 0.00407617, 0.00410528, 0.00410311, 0.00408877, 0.00407835" \
-          );
-        }
-      }
-    }
-  }
-  cell (ANT) {
-    area : 6.5934;
-    cell_footprint : "ANT";
-    cell_leakage_power : 411233;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "A";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 6.80516e-05;
-      when : "A";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "!A";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 822466;
-      when : "!A";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 411233;
-      related_pg_pin : VDD;
-    }
-    pin (A) {
-      direction : input;
-      related_power_pin : VDD;
-      capacitance : 0.0706389;
-      rise_capacitance : 0.0633412;
-      rise_capacitance_range (0.000510788, 0.0633412);
-      fall_capacitance : 0.0706389;
-      fall_capacitance_range (0.0047636, 0.0706389);
-      receiver_capacitance () {
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "5.29449e-05, -0.00295063, -0.00996396, -0.0251786, -0.0588483, -0.133422" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00417272, 0.00418846, 0.00392407, 0.00382368, 0.00349423, 0.00259477" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0037721, 0.00409077, 0.0044058, 0.00454678, 0.00480633, 0.00536426" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00485673, 0.00651032, 0.0106688, 0.0184858, 0.0363622, 0.0762204" \
-          );
-        }
-      }
-      internal_power () {
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00209575, 0.000714878, 0.00665736, 0.0190324, 0.0465303, 0.107398" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.535335, 0.526607, 0.507322, 0.463568, 0.367126, 0.154203" \
-          );
-        }
-      }
-    }
-  }
-  cell (AOI21XL) {
-    area : 12.4542;
-    cell_footprint : "AOI21";
-    cell_leakage_power : 0.127973;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0046379;
-      when : "(A0 * A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * A1 * !B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.176642;
-      when : "(A0 * A1 * !B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * !A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.2689;
-      when : "(A0 * !A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * !A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0146669;
-      when : "(A0 * !A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.2689;
-      when : "(!A0 * A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0130668;
-      when : "(!A0 * A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * !A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.268901;
-      when : "(!A0 * !A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * !A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00807416;
-      when : "(!A0 * !A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.127973;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A0 * !B0) + (!A1 * !B0)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.098275;
-      timing () {
-        related_pin : "A0";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0757194, 0.0923231, 0.123941, 0.18455, 0.301285, 0.526625", \
-            "0.0820309, 0.0986891, 0.130405, 0.191121, 0.307941, 0.53334", \
-            "0.0958462, 0.11247, 0.144238, 0.205062, 0.32198, 0.547467", \
-            "0.123209, 0.14095, 0.172826, 0.233616, 0.350688, 0.576344", \
-            "0.167159, 0.190471, 0.22975, 0.295561, 0.412863, 0.638795", \
-            "0.238242, 0.271328, 0.325115, 0.410352, 0.547063, 0.776868" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0581277, 0.0814003, 0.126113, 0.21215, 0.378012, 0.698244", \
-            "0.0581589, 0.0813997, 0.126113, 0.212149, 0.378012, 0.698244", \
-            "0.0585347, 0.0815472, 0.126142, 0.212112, 0.37801, 0.698244", \
-            "0.0652884, 0.0862991, 0.128279, 0.212331, 0.378058, 0.698276", \
-            "0.0892741, 0.110204, 0.148195, 0.223928, 0.380668, 0.698254", \
-            "0.136479, 0.16067, 0.202915, 0.277883, 0.417225, 0.710824" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.046146, 0.0559666, 0.0740823, 0.10786, 0.171856, 0.294446", \
-            "0.0499245, 0.0598376, 0.0779719, 0.111796, 0.175781, 0.29845", \
-            "0.0585365, 0.0684201, 0.0867039, 0.120746, 0.184815, 0.307302", \
-            "0.0722925, 0.0845398, 0.105251, 0.140267, 0.204916, 0.327879", \
-            "0.0845354, 0.101685, 0.130024, 0.175406, 0.247901, 0.372714", \
-            "0.0822747, 0.106715, 0.147263, 0.211886, 0.311151, 0.462472" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0375469, 0.0490479, 0.0713777, 0.114973, 0.199626, 0.364245", \
-            "0.0372273, 0.0488119, 0.0713358, 0.114951, 0.199695, 0.364245", \
-            "0.0387934, 0.0496795, 0.0714339, 0.11486, 0.199628, 0.364179", \
-            "0.0498756, 0.0602897, 0.079509, 0.118754, 0.200181, 0.36411", \
-            "0.0726732, 0.085604, 0.107711, 0.145852, 0.217222, 0.369173", \
-            "0.111396, 0.129364, 0.158999, 0.206509, 0.284424, 0.42024" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0449806, 0.0478098, 0.0505019, 0.0546874, 0.0606809, 0.0666625, 0.0786941, 0.0872934, 0.0948463, 0.0988209, 0.11074, 0.11824, 0.126232, 0.132349, 0.143226, 0.155009, 0.164616, 0.175385, 0.193585, 0.206436, 0.226507, 0.253267, 0.292414, 0.340415");
-            values ( \
-              "0.0229094, 0.0700021, 0.0760344, 0.0803591, 0.0828797, 0.082384, 0.0792694, 0.0761531, 0.0722778, 0.0685711, 0.0488012, 0.0382409, 0.0306267, 0.025769, 0.019161, 0.0139898, 0.0108061, 0.00807385, 0.00490716, 0.00345449, 0.00198671, 0.000936195, 0.000281607, 7.55634e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0448846, 0.0488798, 0.0551742, 0.0581764, 0.0637834, 0.0692116, 0.0802293, 0.0955947, 0.103809, 0.115832, 0.125023, 0.141219, 0.161084, 0.183789, 0.194245, 0.214782, 0.237539, 0.253203, 0.272768, 0.298856, 0.334678");
-            values ( \
-              "0.0265967, 0.100413, 0.111982, 0.114053, 0.115301, 0.114753, 0.112107, 0.107064, 0.10386, 0.0969223, 0.0865301, 0.062725, 0.0423447, 0.0267222, 0.0215432, 0.0139665, 0.00857092, 0.00610452, 0.00398547, 0.00220905, 0.00106685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0448591, 0.0506155, 0.0560078, 0.061336, 0.0645215, 0.0708926, 0.0814784, 0.10391, 0.130972, 0.144431, 0.155272, 0.171418, 0.190053, 0.204872, 0.224765, 0.246925, 0.255719, 0.278874, 0.296801, 0.312791, 0.329007, 0.35234, 0.383219, 0.424391, 0.487533, 0.564888");
-            values ( \
-              "0.0398432, 0.130526, 0.141276, 0.144699, 0.145266, 0.145041, 0.14309, 0.13764, 0.129755, 0.124441, 0.118207, 0.104405, 0.0845693, 0.0704937, 0.0550726, 0.0406199, 0.0360357, 0.0260355, 0.0198698, 0.0156488, 0.0123482, 0.00851764, 0.00526157, 0.0028036, 0.000885762, 0.000298282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0484144, 0.0484344, 0.133467, 0.153287, 0.186878, 0.212223, 0.229789, 0.255592, 0.288343, 0.325596, 0.342614, 0.376651, 0.413574, 0.433853, 0.460498, 0.48246, 0.508833, 0.546172, 0.586017, 0.616707, 0.678088, 0.760708, 0.843328, 0.925947");
-            values ( \
-              "1e-22, 0.172825, 0.15887, 0.155235, 0.148297, 0.141316, 0.134402, 0.120552, 0.0990202, 0.0763502, 0.0671427, 0.0508258, 0.0369868, 0.0308722, 0.0241023, 0.019625, 0.0153055, 0.0106093, 0.00719662, 0.00534888, 0.0028405, 0.00122343, 0.000488571, 0.000238217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0482933, 0.0483133, 0.149166, 0.236828, 0.294722, 0.341641, 0.373143, 0.41803, 0.541647, 0.62639, 0.699125, 0.750081, 0.801853, 0.889532, 0.948915, 1.03953, 1.20477, 1.25835");
-            values ( \
-              "1e-22, 0.186006, 0.176911, 0.167465, 0.160204, 0.152412, 0.145023, 0.131183, 0.0850639, 0.0581299, 0.0405529, 0.0310714, 0.0235109, 0.0144515, 0.0103384, 0.00615068, 0.00233394, 0.00200881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0523232, 0.0523432, 0.186821, 0.36187, 0.49655, 0.620798, 0.707706, 1.07455, 1.21845, 1.28755, 1.40695, 1.48051, 1.63541, 1.72885, 1.86384, 2.02908, 2.19432, 2.245");
-            values ( \
-              "1e-22, 0.206121, 0.187366, 0.176943, 0.167708, 0.155529, 0.142051, 0.0684598, 0.0466734, 0.0383895, 0.0270672, 0.0216973, 0.013478, 0.0100765, 0.00658502, 0.00389349, 0.00229646, 0.00210984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0632489, 0.0701109, 0.0732035, 0.0748249, 0.0780678, 0.0829027, 0.0909211, 0.0990869, 0.107531, 0.115141, 0.118946, 0.124021, 0.131642, 0.139208, 0.149013, 0.155683, 0.166874, 0.171639, 0.179211, 0.189454, 0.19929, 0.212405, 0.226417, 0.234187, 0.249726, 0.280805, 0.302734");
-            values ( \
-              "0.0131139, 0.0729455, 0.0776699, 0.0792321, 0.0812977, 0.0822251, 0.0813946, 0.0790583, 0.0761552, 0.0722364, 0.0686981, 0.0609611, 0.0477364, 0.0374327, 0.0284807, 0.02371, 0.0175318, 0.0154176, 0.0125911, 0.00952786, 0.0073061, 0.00510848, 0.0034869, 0.00280952, 0.00183562, 0.000739726, 0.00046018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0632609, 0.0727781, 0.0785322, 0.0842871, 0.0895934, 0.100624, 0.116927, 0.124205, 0.136242, 0.145089, 0.158966, 0.168901, 0.184115, 0.19894, 0.206241, 0.218074, 0.236107, 0.248338, 0.259106, 0.274167, 0.292038, 0.315866, 0.360622, 0.413421, 0.479939");
-            values ( \
-              "0.0263142, 0.106911, 0.113311, 0.115255, 0.114536, 0.112225, 0.106722, 0.103857, 0.0969055, 0.086992, 0.0662975, 0.0542744, 0.0400982, 0.0296938, 0.0255992, 0.0200405, 0.0136792, 0.0105417, 0.00836857, 0.00601223, 0.0040701, 0.00240355, 0.000820105, 0.000238476, 3.80453e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0666845, 0.0709024, 0.0754575, 0.081639, 0.0849325, 0.0915196, 0.117273, 0.137213, 0.155883, 0.164886, 0.175697, 0.191804, 0.210475, 0.225297, 0.245191, 0.267351, 0.276143, 0.299293, 0.317223, 0.333216, 0.349431, 0.372762, 0.403637, 0.444803, 0.507311, 0.584527");
-            values ( \
-              "0.104859, 0.127586, 0.138892, 0.144124, 0.144903, 0.144937, 0.139482, 0.134177, 0.128202, 0.124382, 0.118251, 0.1044, 0.0845266, 0.0705399, 0.0550253, 0.0406661, 0.0360828, 0.0259908, 0.0199172, 0.0156954, 0.0123018, 0.00856462, 0.00530879, 0.00275763, 0.000944734, 0.000255542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0666021, 0.0737843, 0.0785037, 0.0825293, 0.0896846, 0.125173, 0.173924, 0.206909, 0.232652, 0.275933, 0.346031, 0.397094, 0.45428, 0.502898, 0.566606, 0.637126, 0.698493, 0.745282");
-            values ( \
-              "0.108114, 0.15577, 0.163948, 0.167017, 0.168441, 0.163702, 0.155193, 0.148386, 0.141314, 0.120604, 0.0763541, 0.0508262, 0.030871, 0.0196273, 0.0106123, 0.0053473, 0.00284373, 0.00192499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0706439, 0.0706639, 0.143274, 0.222383, 0.276787, 0.333484, 0.3623, 0.393804, 0.438644, 0.562308, 0.647055, 0.71978, 0.77073, 0.822518, 0.851752, 0.910222, 0.969577, 1.06015, 1.14277, 1.22539, 1.3059");
-            values ( \
-              "1e-22, 0.194528, 0.179483, 0.171391, 0.165184, 0.157514, 0.152414, 0.145021, 0.131201, 0.0850606, 0.0581256, 0.0405509, 0.0310765, 0.0235076, 0.0200576, 0.0144462, 0.0103355, 0.00614938, 0.00381717, 0.00233178, 0.00162261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0751737, 0.0751937, 0.207573, 0.353078, 0.477556, 0.558964, 0.641583, 0.728491, 0.796446, 0.979948, 1.09534, 1.17796, 1.23924, 1.30834, 1.42774, 1.50129, 1.57357, 1.65619, 1.802, 1.88462, 1.96724, 2.13248, 2.29772, 2.71082");
-            values ( \
-              "1e-22, 0.21227, 0.187358, 0.178775, 0.170632, 0.164299, 0.155506, 0.142025, 0.128875, 0.0902794, 0.0684964, 0.055135, 0.0466345, 0.0384281, 0.0271068, 0.0216579, 0.0174412, 0.013438, 0.00850598, 0.0066271, 0.00502065, 0.00294514, 0.00171671, 0.000513689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.106942, 0.113325, 0.118339, 0.121271, 0.125695, 0.130057, 0.135418, 0.143655, 0.150981, 0.154442, 0.161016, 0.164938, 0.175247, 0.179533, 0.187597, 0.198653, 0.208423, 0.218289, 0.225386, 0.23736, 0.252108, 0.265566, 0.280532, 0.292162, 0.315421, 0.355121, 0.402728");
-            values ( \
-              "0.04118, 0.0606996, 0.069636, 0.0732126, 0.0774278, 0.0793933, 0.0797975, 0.07884, 0.0764924, 0.0751472, 0.071223, 0.0669664, 0.0491666, 0.0430375, 0.0337682, 0.0247369, 0.0190191, 0.0145995, 0.0120739, 0.00874042, 0.00585506, 0.00403393, 0.00268348, 0.00195538, 0.00100985, 0.00031079, 7.03774e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.102413, 0.114885, 0.120238, 0.12663, 0.132903, 0.136268, 0.140754, 0.145322, 0.154458, 0.161621, 0.168783, 0.180824, 0.184929, 0.189621, 0.203541, 0.213478, 0.228695, 0.24352, 0.250816, 0.262641, 0.280681, 0.292919, 0.303683, 0.318738, 0.336593, 0.3604, 0.40514, 0.457917, 0.524408");
-            values ( \
-              "0.000492252, 0.0874759, 0.0996305, 0.108342, 0.111962, 0.11246, 0.112296, 0.111534, 0.109084, 0.106669, 0.103847, 0.0969105, 0.0930816, 0.0870602, 0.0663026, 0.0542778, 0.0400946, 0.0296962, 0.025599, 0.0200433, 0.0136787, 0.0105393, 0.00837092, 0.00601132, 0.00407034, 0.00240794, 0.000819401, 0.000240871, 3.6256e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.104056, 0.115126, 0.123457, 0.128538, 0.130877, 0.138108, 0.146557, 0.151545, 0.163947, 0.181888, 0.200543, 0.22038, 0.22653, 0.233444, 0.254966, 0.27282, 0.289992, 0.310695, 0.324542, 0.345127, 0.36727, 0.39364, 0.425335, 0.461378, 0.499824, 0.567823, 0.649848");
-            values ( \
-              "0.00513025, 0.110293, 0.131547, 0.138636, 0.140464, 0.142954, 0.142697, 0.141826, 0.139017, 0.134224, 0.128259, 0.118458, 0.113807, 0.10718, 0.0844966, 0.068105, 0.0547433, 0.0416366, 0.0341933, 0.0254318, 0.0183178, 0.0122744, 0.00749782, 0.00425136, 0.00245122, 0.000631341, 0.000267874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.109783, 0.117197, 0.126839, 0.133603, 0.141309, 0.153466, 0.210846, 0.229642, 0.260226, 0.276079, 0.292988, 0.306522, 0.318829, 0.38221, 0.407776, 0.441864, 0.465679, 0.498916, 0.525597, 0.54763, 0.573986, 0.61131, 0.651094, 0.681729, 0.743, 0.82562, 0.908239, 0.990859");
-            values ( \
-              "0.121341, 0.134227, 0.157783, 0.164398, 0.166778, 0.166257, 0.156653, 0.153067, 0.146327, 0.141728, 0.135295, 0.128728, 0.121638, 0.081272, 0.0670871, 0.0508217, 0.0415027, 0.0308602, 0.0241377, 0.0196443, 0.0152748, 0.0106351, 0.00722231, 0.00533283, 0.00286863, 0.00120469, 0.000511136, 0.000217421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.112559, 0.138587, 0.149729, 0.2147, 0.32166, 0.378357, 0.407165, 0.438677, 0.483418, 0.607182, 0.691936, 0.764641, 0.815577, 0.867395, 0.95515, 1.01445, 1.10494, 1.27018, 1.3183");
-            values ( \
-              "0.171091, 0.181823, 0.183045, 0.176907, 0.165185, 0.157516, 0.152414, 0.145023, 0.131234, 0.0850626, 0.0581256, 0.0405553, 0.0310789, 0.0235088, 0.0144434, 0.0103373, 0.00615414, 0.00233458, 0.00204234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.118612, 0.118632, 0.252547, 0.398041, 0.522519, 0.603926, 0.686546, 0.773454, 0.841409, 1.02491, 1.1403, 1.22292, 1.2842, 1.3533, 1.4727, 1.54625, 1.61853, 1.70115, 1.79459, 1.92958, 2.09482, 2.26006, 2.50792, 2.75578");
-            values ( \
-              "1e-22, 0.207729, 0.187345, 0.178782, 0.170629, 0.164294, 0.155512, 0.142036, 0.128863, 0.0902597, 0.0684754, 0.0551563, 0.0466585, 0.0384049, 0.0270825, 0.0216826, 0.0174162, 0.0134633, 0.0100913, 0.00659976, 0.00390805, 0.00231089, 0.00102049, 0.000485046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.184, 0.192101, 0.197768, 0.205196, 0.212625, 0.217293, 0.226631, 0.237933, 0.251407, 0.25737, 0.262023, 0.275809, 0.290819, 0.306939, 0.316315, 0.327031, 0.342803, 0.354382, 0.368053, 0.389312, 0.417656, 0.431153");
-            values ( \
-              "0.00248246, 0.0298964, 0.0395226, 0.0487318, 0.0556254, 0.0588813, 0.0637586, 0.0668066, 0.0678596, 0.0669646, 0.0636466, 0.0432361, 0.0282332, 0.0182138, 0.0141866, 0.0105984, 0.00692868, 0.00505138, 0.0034783, 0.0019384, 0.000856308, 0.000654685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.184016, 0.194373, 0.201419, 0.210739, 0.220068, 0.226113, 0.238203, 0.255587, 0.260574, 0.265474, 0.274057, 0.284744, 0.303374, 0.312504, 0.322507, 0.335844, 0.343642, 0.357039, 0.37235, 0.385451, 0.394994, 0.413247, 0.433281, 0.449223, 0.481106, 0.531473, 0.592471");
-            values ( \
-              "0.00236927, 0.0465979, 0.0610879, 0.0747932, 0.0844547, 0.0888267, 0.0949923, 0.100137, 0.101233, 0.101254, 0.0987434, 0.0894504, 0.0622689, 0.0519946, 0.0426168, 0.0325896, 0.0277963, 0.0211499, 0.0152392, 0.0115543, 0.00943818, 0.00630495, 0.00408026, 0.00288964, 0.00138605, 0.000420622, 8.31457e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.18146, 0.204579, 0.212642, 0.219866, 0.231977, 0.24114, 0.258326, 0.264342, 0.27137, 0.278594, 0.297297, 0.305809, 0.317109, 0.333057, 0.351876, 0.366707, 0.38661, 0.408767, 0.417553, 0.440677, 0.458623, 0.47463, 0.490839, 0.514162, 0.538334, 0.556854, 0.593894, 0.662378, 0.743028");
-            values ( \
-              "0.00347487, 0.0826565, 0.0964464, 0.105827, 0.117028, 0.122747, 0.131798, 0.133875, 0.134465, 0.133626, 0.128207, 0.124639, 0.118242, 0.104578, 0.0845572, 0.0705222, 0.0550495, 0.0406361, 0.0360516, 0.0260383, 0.0198855, 0.0156584, 0.0123402, 0.00852927, 0.00587951, 0.0044701, 0.00242161, 0.000788378, 0.000146344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.191403, 0.208439, 0.223853, 0.23499, 0.256426, 0.266493, 0.274208, 0.285088, 0.296025, 0.341783, 0.358037, 0.390051, 0.414738, 0.450124, 0.487555, 0.504628, 0.538773, 0.575302, 0.595654, 0.629746, 0.670823, 0.70813, 0.732561, 0.778431, 0.839589, 0.922209, 1.08745");
-            values ( \
-              "0.068684, 0.103526, 0.127382, 0.138638, 0.154833, 0.160124, 0.161249, 0.160529, 0.15872, 0.149885, 0.14601, 0.13532, 0.122197, 0.0990877, 0.0763687, 0.067063, 0.0507817, 0.0370887, 0.0308767, 0.0225382, 0.0152673, 0.0106374, 0.00837971, 0.00533586, 0.00287794, 0.00120479, 0.000212934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.202289, 0.247766, 0.260178, 0.265936, 0.274985, 0.287869, 0.323876, 0.405002, 0.44555, 0.475208, 0.532559, 0.576866, 0.704118, 0.789062, 0.861313, 0.911929, 0.964487, 0.994159, 1.0535, 1.11148, 1.20005, 1.28267, 1.36529, 1.45228");
-            values ( \
-              "0.148264, 0.162318, 0.173877, 0.177248, 0.179472, 0.179262, 0.175631, 0.166802, 0.161775, 0.157521, 0.145949, 0.132431, 0.0850611, 0.0580755, 0.0406196, 0.0311554, 0.0235015, 0.0199711, 0.0143413, 0.0103453, 0.00623461, 0.00384218, 0.00237503, 0.00160019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.201959, 0.239343, 0.261117, 0.271268, 0.279855, 0.397387, 0.595591, 0.678211, 0.745701, 0.812455, 0.892749, 1.17388, 1.32056, 1.44903, 1.56945, 1.64505, 1.79923, 1.94191, 2.02453, 2.18977, 2.35501, 2.46509");
-            values ( \
-              "0.155294, 0.164191, 0.184475, 0.189777, 0.190854, 0.184597, 0.172268, 0.1662, 0.159929, 0.151448, 0.137848, 0.0799401, 0.0550025, 0.0385416, 0.0271034, 0.0215308, 0.0133915, 0.00857181, 0.006648, 0.00394023, 0.00233319, 0.00174933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.331995, 0.385754, 0.39854, 0.414851, 0.429144, 0.442268, 0.455068, 0.467066, 0.479134, 0.487874, 0.4952, 0.515569, 0.532655, 0.548857, 0.585308, 0.598242, 0.615488, 0.64998, 0.693253, 0.747927");
-            values ( \
-              "0.000853338, 0.0316142, 0.0370295, 0.0433938, 0.0482785, 0.0514203, 0.0512756, 0.043805, 0.033807, 0.028363, 0.0245228, 0.0159449, 0.010926, 0.00738483, 0.00338143, 0.00238596, 0.00148963, 0.00054714, 0.000151746, 3.28997e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.332001, 0.387396, 0.39961, 0.431676, 0.449186, 0.465526, 0.481679, 0.496476, 0.515683, 0.522069, 0.550124, 0.584495, 0.598816, 0.608368, 0.626731, 0.646928, 0.663011, 0.695176, 0.721058");
-            values ( \
-              "0.000982256, 0.0447777, 0.0519903, 0.0689381, 0.0757315, 0.0792443, 0.0778541, 0.0682011, 0.0508664, 0.0461611, 0.0287519, 0.0155901, 0.0115315, 0.00940256, 0.00629621, 0.00406697, 0.00286028, 0.00137819, 0.000881489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.348828, 0.364406, 0.381187, 0.399226, 0.43347, 0.459275, 0.482554, 0.504819, 0.527158, 0.535986, 0.543193, 0.565528, 0.598784, 0.620151, 0.627987, 0.640501, 0.653789, 0.671164, 0.68634, 0.702746, 0.72622, 0.750954, 0.769961, 0.807975, 0.876621, 0.958373");
-            values ( \
-              "0.02648, 0.0364492, 0.0509174, 0.0649291, 0.0882636, 0.10166, 0.108748, 0.111291, 0.108067, 0.103841, 0.0989812, 0.0817324, 0.0548751, 0.0412507, 0.0370184, 0.031072, 0.0256427, 0.0198633, 0.0158445, 0.0123486, 0.0086104, 0.0058864, 0.00437285, 0.00238852, 0.000725873, 0.000174555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.348707, 0.373408, 0.412551, 0.448515, 0.467417, 0.5037, 0.5376, 0.569221, 0.588578, 0.604231, 0.730165, 0.786223, 0.84224, 0.884077, 0.921068, 0.989099, 1.04814, 1.08813");
-            values ( \
-              "0.0250737, 0.0516227, 0.0858415, 0.112936, 0.123726, 0.136912, 0.142685, 0.144714, 0.140585, 0.134401, 0.0604811, 0.0376073, 0.0225543, 0.0151719, 0.0105815, 0.00544555, 0.00298865, 0.00215494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.365608, 0.401362, 0.43881, 0.459114, 0.496157, 0.521593, 0.560315, 0.575187, 0.580073, 0.599615, 0.664016, 0.687899, 0.735665, 0.77355, 0.813074, 0.92872, 0.964041, 1.02093, 1.07122, 1.10598, 1.151, 1.18724, 1.2329, 1.29722, 1.36599, 1.41897, 1.50159, 1.58421, 1.66683, 1.74945, 1.91469");
-            values ( \
-              "0.0799492, 0.082843, 0.116069, 0.131059, 0.150542, 0.159173, 0.16855, 0.17061, 0.170661, 0.168909, 0.161001, 0.157513, 0.148292, 0.137699, 0.123887, 0.0809423, 0.0692206, 0.0529751, 0.0411564, 0.0344247, 0.0270289, 0.0222087, 0.0173109, 0.0119957, 0.00812218, 0.00603681, 0.00368529, 0.00231182, 0.00138217, 0.000891889, 0.000354857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.365506, 0.407616, 0.454121, 0.489703, 0.512334, 0.549896, 0.563505, 0.580602, 0.59566, 0.670678, 0.782488, 0.859868, 0.913841, 0.996461, 1.08338, 1.15133, 1.33483, 1.45022, 1.53284, 1.59412, 1.66322, 1.78262, 1.85617, 1.92845, 2.01107, 2.10449, 2.23948, 2.40472, 2.56996, 2.81782, 3.06568");
-            values ( \
-              "0.0752391, 0.0928641, 0.134371, 0.15694, 0.166812, 0.179266, 0.183041, 0.185819, 0.185673, 0.181073, 0.174061, 0.168655, 0.164275, 0.155531, 0.142046, 0.128854, 0.0902515, 0.0684674, 0.0551653, 0.0466674, 0.0383974, 0.0270752, 0.0216912, 0.0174091, 0.0134716, 0.0100849, 0.00659335, 0.00390152, 0.00230432, 0.00102722, 0.000478605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.649514, 0.686589, 0.711887, 0.726241, 0.776107, 0.803059, 0.825814, 0.846199, 0.865629, 0.883061, 0.924758, 0.950466, 0.952056, 0.955235, 0.961594, 0.971743, 0.984942, 0.988524, 0.995687, 1.00872, 1.02732, 1.03425, 1.03652, 1.04107, 1.05017, 1.06838, 1.10479, 1.15739, 1.2402, 1.27224, 1.30258");
-            values ( \
-              "0.000608897, 0.00646418, 0.00922325, 0.0115607, 0.0217762, 0.0268098, 0.0307128, 0.0337056, 0.033855, 0.0296393, 0.018192, 0.0122935, 0.0123108, 0.011365, 0.0105079, 0.00850863, 0.00692766, 0.00619964, 0.00564475, 0.00411488, 0.00299694, 0.00235602, 0.00246592, 0.00203819, 0.00184952, 0.00106938, 0.000581907, 3.25947e-05, 0.000242896, 0.000218789, 6.21943e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.656269, 0.693255, 0.711104, 0.743241, 0.795919, 0.854985, 0.879248, 0.902331, 0.926691, 0.965106, 0.996667, 1.02484, 1.04352, 1.06641, 1.10363, 1.13509, 1.17703, 1.21583, 1.26409, 1.33889");
-            values ( \
-              "0.0025376, 0.0111684, 0.0136426, 0.0210278, 0.0358298, 0.0499834, 0.0544213, 0.0554791, 0.0483831, 0.0329001, 0.021897, 0.0144511, 0.0106529, 0.00716143, 0.00360358, 0.0019562, 0.000861895, 0.000349171, 0.000563924, 0.000100953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.684409, 0.72844, 0.82867, 0.868586, 0.899347, 0.921663, 0.933227, 0.956355, 0.962605, 0.975105, 0.993595, 1.04875, 1.08549, 1.1065, 1.1305, 1.15397, 1.17087, 1.19827, 1.21601, 1.29124, 1.34137, 1.42399");
-            values ( \
-              "0.0220731, 0.0227939, 0.0553977, 0.0673912, 0.0758255, 0.0808619, 0.0828812, 0.083923, 0.0831379, 0.0804635, 0.073354, 0.0475474, 0.0324463, 0.0255379, 0.018706, 0.0137186, 0.0109784, 0.00731641, 0.00574964, 0.00221008, 0.000993837, 0.000231571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.683924, 0.73152, 0.751038, 0.878997, 0.93116, 0.966883, 0.976255, 0.995, 1.01809, 1.05949, 1.09186, 1.10343, 1.21561, 1.26196, 1.28965, 1.31111, 1.33549, 1.36801, 1.39578, 1.42419, 1.46207, 1.53783, 1.61464");
-            values ( \
-              "0.0250158, 0.0292853, 0.0352288, 0.0822629, 0.0992435, 0.108354, 0.110165, 0.11328, 0.115535, 0.113783, 0.104744, 0.100436, 0.0508071, 0.0353714, 0.0275231, 0.0225823, 0.0179619, 0.0131498, 0.0100492, 0.00762279, 0.0052436, 0.00239964, 0.00110916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.683978, 0.746288, 0.766119, 0.9242, 0.955112, 1.01694, 1.04762, 1.09235, 1.15498, 1.21573, 1.2323, 1.24609, 1.28643, 1.38674, 1.41495, 1.47137, 1.50602, 1.54437, 1.59551, 1.64685, 1.67583, 1.73379, 1.79392, 1.82448, 1.88561, 1.96823, 2.05085, 2.13347, 2.21609, 2.38133");
-            values ( \
-              "0.025063, 0.038056, 0.0450856, 0.106989, 0.117645, 0.133846, 0.139261, 0.144697, 0.147406, 0.142678, 0.140221, 0.136797, 0.122639, 0.0850935, 0.0754198, 0.0581898, 0.0491988, 0.0405469, 0.0310002, 0.0235445, 0.0200792, 0.0145399, 0.010362, 0.00869994, 0.00613269, 0.00377595, 0.00233696, 0.00142831, 0.000889794, 0.000342524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.71232, 0.823074, 0.941656, 1.00081, 1.06874, 1.15136, 1.17711, 1.23627, 1.25789, 1.37186, 1.49641, 1.62205, 1.92094, 2.06483, 2.13393, 2.25333, 2.32689, 2.48178, 2.62757, 2.71019, 2.87543, 3.04067, 3.09799");
-            values ( \
-              "0.0435265, 0.0710171, 0.119878, 0.139541, 0.155654, 0.167483, 0.170092, 0.173737, 0.173729, 0.16543, 0.151434, 0.128903, 0.0685009, 0.0466402, 0.0384262, 0.0271015, 0.0216654, 0.0134471, 0.00852179, 0.00661287, 0.00392043, 0.00232267, 0.00211139" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00352276, 0.00352515, 0.00352732, 0.00352891, 0.00353, 0.00353055", \
-            "0.00445137, 0.00445754, 0.00446397, 0.00446931, 0.00447304, 0.00447534", \
-            "0.00503452, 0.00504241, 0.00505227, 0.00506204, 0.00506977, 0.00507493", \
-            "0.00538482, 0.00538772, 0.00539319, 0.00540068, 0.00540823, 0.00541413", \
-            "0.00560535, 0.00558771, 0.00556745, 0.00555068, 0.00554024, 0.00553588", \
-            "0.00574954, 0.00573972, 0.00572299, 0.00569484, 0.0056587, 0.00562685" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00540917, 0.00542023, 0.00543112, 0.0054397, 0.00544555, 0.00544904", \
-            "0.00554138, 0.00554464, 0.00555172, 0.00556007, 0.00556694, 0.00557151", \
-            "0.00523797, 0.00519981, 0.00515657, 0.00512141, 0.00509138, 0.00507377", \
-            "0.00508088, 0.00500702, 0.0049225, 0.00483979, 0.00477431, 0.00472966", \
-            "0.00534602, 0.00529509, 0.00505447, 0.00488642, 0.00477585, 0.00468751", \
-            "0.00519483, 0.00520945, 0.00522973, 0.00517324, 0.00487846, 0.00475286" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0248223, 0.0266129, 0.0273204, 0.0370807, 0.0423094, 0.0501918, 0.0632233, 0.0689595, 0.0785557, 0.0891366, 0.0979779, 0.106507, 0.112155, 0.122399, 0.136058, 0.142945");
-            values ( \
-              "-0.0887768, -0.10649, -0.107115, -0.0939832, -0.0936184, -0.0990229, -0.123916, -0.111304, -0.0700572, -0.0353417, -0.0187816, -0.0100801, -0.00675814, -0.00337028, -0.00140365, -0.00108502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0248196, 0.0270707, 0.0289068, 0.0372685, 0.0415316, 0.0511523, 0.0596848, 0.0675671, 0.0748767, 0.082732, 0.0986005, 0.10924, 0.121977, 0.138517, 0.153038, 0.166701");
-            values ( \
-              "-0.0697378, -0.155529, -0.157972, -0.145209, -0.143075, -0.145187, -0.152324, -0.168842, -0.177903, -0.158884, -0.088418, -0.0529329, -0.0270202, -0.0109655, -0.00506896, -0.00264271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0259488, 0.0301335, 0.0378103, 0.0425086, 0.0500751, 0.0623365, 0.0717845, 0.074317, 0.0856808, 0.0965137, 0.107789, 0.132662, 0.146877, 0.162745, 0.172545, 0.18436, 0.200011, 0.212898, 0.238672, 0.243511");
-            values ( \
-              "-0.205002, -0.209821, -0.202273, -0.199489, -0.198709, -0.201434, -0.206074, -0.208389, -0.223735, -0.228965, -0.204311, -0.112529, -0.0721411, -0.041963, -0.0296571, -0.0192987, -0.0109796, -0.0068747, -0.00262667, -0.00236677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.026208, 0.0287898, 0.0325728, 0.051936, 0.0827247, 0.101345, 0.119449, 0.137219, 0.155989, 0.197715, 0.214298, 0.244479, 0.266862, 0.284123, 0.303587, 0.349166, 0.366786");
-            values ( \
-              "-0.218573, -0.247079, -0.255275, -0.251327, -0.252633, -0.256018, -0.266913, -0.266652, -0.235915, -0.129935, -0.096054, -0.0526945, -0.0329216, -0.0226652, -0.014882, -0.00540876, -0.00410903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0264249, 0.0289411, 0.0308921, 0.0360611, 0.0425585, 0.0581708, 0.120661, 0.15006, 0.183458, 0.214563, 0.235553, 0.248107, 0.31778, 0.351136, 0.385277, 0.406399, 0.445023, 0.475572, 0.509314, 0.535508, 0.587897, 0.642312, 0.651448");
-            values ( \
-              "-0.240078, -0.273526, -0.283711, -0.291338, -0.292863, -0.293402, -0.291132, -0.291048, -0.29595, -0.289564, -0.271104, -0.254955, -0.147464, -0.105456, -0.0727928, -0.0572574, -0.0364334, -0.025202, -0.016755, -0.0121511, -0.00627185, -0.00315756, -0.00289823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0265681, 0.0289313, 0.0333507, 0.0383603, 0.0432382, 0.0549388, 0.0665266, 0.109811, 0.249403, 0.288056, 0.306077, 0.334425, 0.363571, 0.41766, 0.442285, 0.550698, 0.603803, 0.624337, 0.655608, 0.697303, 0.741017, 0.764953, 0.801185, 0.852305, 0.872644, 0.91332, 0.967735, 1.02215, 1.07657, 1.1854, 1.29423, 1.40306");
-            values ( \
-              "-0.253141, -0.288276, -0.30965, -0.316581, -0.319629, -0.322275, -0.322734, -0.321079, -0.31305, -0.3122, -0.312349, -0.309086, -0.301205, -0.27172, -0.251724, -0.157104, -0.118401, -0.105486, -0.0880364, -0.0685655, -0.0523468, -0.0450592, -0.0357456, -0.0256634, -0.0224643, -0.0172156, -0.0119784, -0.00835064, -0.00578993, -0.00279843, -0.00135897, -0.000666265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.03936, 0.0420979, 0.0459829, 0.0525915, 0.0547201, 0.0559694, 0.0627554, 0.0652238, 0.0693902, 0.0754466, 0.0809283, 0.0866639, 0.0964215, 0.106686, 0.115822, 0.125285, 0.129986, 0.138638, 0.150174, 0.159789");
-            values ( \
-              "-0.0273611, -0.0684395, -0.078939, -0.0939895, -0.0965306, -0.0968983, -0.0966039, -0.0976795, -0.101777, -0.114915, -0.124048, -0.111194, -0.0694128, -0.0357358, -0.018601, -0.00931524, -0.00669016, -0.00371579, -0.00176533, -0.00116375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0393445, 0.0433529, 0.0515937, 0.0543596, 0.0581203, 0.0689881, 0.0774823, 0.0916977, 0.100082, 0.125414, 0.140636, 0.153456, 0.162128, 0.173924");
-            values ( \
-              "-0.0199887, -0.106617, -0.139677, -0.146881, -0.147716, -0.145626, -0.152795, -0.178315, -0.160509, -0.0569754, -0.0253976, -0.012448, -0.0077027, -0.00518738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0411504, 0.0450401, 0.0529449, 0.0558146, 0.0578868, 0.0679419, 0.0801545, 0.0921146, 0.103464, 0.114329, 0.1265, 0.150545, 0.164659, 0.180564, 0.190516, 0.202241, 0.21771, 0.230416, 0.255827, 0.264927");
-            values ( \
-              "-0.0987227, -0.153378, -0.196046, -0.203057, -0.203709, -0.200171, -0.201701, -0.207815, -0.223218, -0.228911, -0.201439, -0.112324, -0.0722377, -0.0419728, -0.0295025, -0.0192634, -0.0110321, -0.00695441, -0.00269674, -0.00219116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0437879, 0.0528158, 0.0575786, 0.0741801, 0.107684, 0.119241, 0.137335, 0.155103, 0.173872, 0.215602, 0.232179, 0.262358, 0.284744, 0.302003, 0.321465, 0.367035, 0.384833");
-            values ( \
-              "-0.233836, -0.241189, -0.254905, -0.251926, -0.253624, -0.256404, -0.266814, -0.266774, -0.235913, -0.129929, -0.0960557, -0.0526968, -0.0329212, -0.0226653, -0.0148831, -0.00541016, -0.00409182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0437276, 0.0509011, 0.0546735, 0.0593301, 0.153383, 0.173838, 0.201396, 0.212295, 0.232544, 0.262155, 0.334606, 0.37284, 0.40398, 0.429298, 0.457132, 0.50743, 0.565394, 0.619809, 0.660662");
-            values ( \
-              "-0.254483, -0.256154, -0.285483, -0.294735, -0.290845, -0.291518, -0.295881, -0.295915, -0.288751, -0.260324, -0.149062, -0.101384, -0.0722141, -0.0541198, -0.0390825, -0.0212901, -0.010472, -0.00524962, -0.0033053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0427118, 0.0468523, 0.0558029, 0.0595993, 0.0635708, 0.0728662, 0.100257, 0.127688, 0.267302, 0.305955, 0.323975, 0.352323, 0.381469, 0.434946, 0.46137, 0.554473, 0.586592, 0.637203, 0.656809, 0.690042, 0.734077, 0.774393, 0.828147, 0.87099, 0.918818, 0.973233, 1.02765, 1.08206, 1.13648, 1.19089, 1.29973, 1.40856");
-            values ( \
-              "-0.184962, -0.237886, -0.312508, -0.320359, -0.322359, -0.323028, -0.322394, -0.321098, -0.31305, -0.3122, -0.312349, -0.309086, -0.301205, -0.272168, -0.250688, -0.168579, -0.143225, -0.108629, -0.0970826, -0.0798708, -0.0610573, -0.0474983, -0.0336797, -0.0254826, -0.0186451, -0.0130186, -0.00903934, -0.00630596, -0.00436543, -0.00305772, -0.00149407, -0.000741466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0665985, 0.072698, 0.108878, 0.116503, 0.119583, 0.121872, 0.124923, 0.135447, 0.14616, 0.154841, 0.162892, 0.169459, 0.183232, 0.193386");
-            values ( \
-              "-0.00369802, -0.0393277, -0.0989664, -0.120807, -0.125176, -0.123661, -0.115861, -0.0705636, -0.0351565, -0.0188661, -0.0104712, -0.00655975, -0.0025691, -0.00157093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0694695, 0.0744702, 0.0916318, 0.124738, 0.128502, 0.131956, 0.138865, 0.158136, 0.168322, 0.181469, 0.195633, 0.213427, 0.225688");
-            values ( \
-              "-0.0381239, -0.0633239, -0.107652, -0.170947, -0.177097, -0.177617, -0.163043, -0.0788641, -0.0476404, -0.0236355, -0.0109445, -0.00421666, -0.00251675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0720908, 0.0790108, 0.0928946, 0.107304, 0.117328, 0.131637, 0.142974, 0.153793, 0.165069, 0.189891, 0.204188, 0.220026, 0.24159, 0.27042, 0.29424");
-            values ( \
-              "-0.0950156, -0.102036, -0.151431, -0.186869, -0.20245, -0.209248, -0.224, -0.229069, -0.204337, -0.112696, -0.0720624, -0.0419566, -0.0193299, -0.00681179, -0.00293739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0761155, 0.0899384, 0.101934, 0.115485, 0.120073, 0.140376, 0.155795, 0.16544, 0.177092, 0.194838, 0.213604, 0.255262, 0.271908, 0.289926, 0.302102, 0.32445, 0.341717, 0.36121, 0.376429, 0.406867, 0.447462");
-            values ( \
-              "-0.167141, -0.175581, -0.219369, -0.248577, -0.253346, -0.253017, -0.255459, -0.259604, -0.26738, -0.266626, -0.236002, -0.130084, -0.0960604, -0.0675534, -0.052682, -0.0329374, -0.0226728, -0.0148773, -0.0106687, -0.00539692, -0.00239059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0762416, 0.108566, 0.117784, 0.123162, 0.176862, 0.204031, 0.222362, 0.241351, 0.272467, 0.292528, 0.306003, 0.372766, 0.401536, 0.431101, 0.454991, 0.486355, 0.506881, 0.547713, 0.572036, 0.620683, 0.675098, 0.704964");
-            values ( \
-              "-0.16519, -0.272146, -0.2925, -0.29436, -0.291277, -0.29091, -0.292492, -0.295974, -0.289528, -0.272135, -0.254954, -0.151598, -0.11399, -0.0832146, -0.0636599, -0.0443239, -0.0347594, -0.0212337, -0.0157817, -0.00856929, -0.00430515, -0.0031405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.0811627, 0.106854, 0.11642, 0.122597, 0.306937, 0.368038, 0.39478, 0.421052, 0.469425, 0.510872, 0.597986, 0.649368, 0.676291, 0.70587, 0.736313, 0.776237, 0.808773, 0.848391, 0.902806, 0.941858, 1.01498, 1.06939, 1.12381, 1.23264, 1.4503");
-            values ( \
-              "-0.268619, -0.291985, -0.319119, -0.323072, -0.312985, -0.311901, -0.308459, -0.301091, -0.275946, -0.242052, -0.16537, -0.126281, -0.10877, -0.0917776, -0.076722, -0.0600401, -0.0490359, -0.0382042, -0.0267701, -0.0208311, -0.0128718, -0.00890442, -0.00624414, -0.00303725, -0.000749978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124548, 0.132954, 0.147785, 0.179323, 0.188972, 0.196796, 0.203427, 0.209929, 0.216416, 0.228525, 0.238648, 0.242552, 0.248964, 0.263173, 0.269348, 0.279626, 0.291776");
-            values ( \
-              "-0.0097744, -0.0214396, -0.030595, -0.0613942, -0.0744829, -0.0930837, -0.104746, -0.0971376, -0.0801126, -0.0416342, -0.0211711, -0.0160711, -0.0101477, -0.00430623, -0.00285578, -0.00152141, -0.000879562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.124513, 0.135805, 0.145265, 0.152647, 0.157378, 0.188277, 0.199575, 0.209032, 0.217258, 0.225479, 0.233684, 0.245939, 0.248929, 0.257108, 0.263355, 0.271254, 0.278698, 0.284737, 0.288876, 0.297153, 0.313706, 0.336368, 0.349185");
-            values ( \
-              "-0.00934986, -0.0355686, -0.0448778, -0.0535457, -0.0607938, -0.103004, -0.121794, -0.14621, -0.160957, -0.147876, -0.120564, -0.0739147, -0.064452, -0.0438944, -0.0314854, -0.0205095, -0.0136184, -0.00983264, -0.00788735, -0.00508074, -0.00213269, -0.000755253, -0.000638931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.132912, 0.14728, 0.151514, 0.177402, 0.21729, 0.229705, 0.241024, 0.248892, 0.252466, 0.27289, 0.282631, 0.292538, 0.305445, 0.312, 0.319326, 0.329934, 0.342639, 0.351416, 0.368732, 0.399744, 0.436273, 0.539519");
-            values ( \
-              "-0.0633319, -0.0662639, -0.0727274, -0.11999, -0.184311, -0.210306, -0.222399, -0.21148, -0.204187, -0.127928, -0.0956094, -0.0696831, -0.0448451, -0.0356157, -0.0275673, -0.0186108, -0.0117473, -0.00870314, -0.00450353, -0.0015288, -0.000384555, -1.53332e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.132866, 0.145901, 0.178579, 0.205237, 0.227071, 0.253271, 0.264622, 0.273269, 0.282252, 0.299955, 0.342257, 0.363261, 0.389694, 0.411791, 0.429117, 0.448849, 0.495142, 0.52652");
-            values ( \
-              "-0.0640914, -0.0813747, -0.151958, -0.201896, -0.231987, -0.259094, -0.267431, -0.269857, -0.26565, -0.238877, -0.131203, -0.089173, -0.0525825, -0.0330052, -0.0227381, -0.0148513, -0.00532336, -0.00299703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.140011, 0.165044, 0.189232, 0.198039, 0.215652, 0.234804, 0.24891, 0.254225, 0.260518, 0.27928, 0.29822, 0.313944, 0.329427, 0.360511, 0.372276, 0.38263, 0.394078, 0.454027, 0.479219, 0.494522, 0.518717, 0.546367, 0.571327, 0.58934, 0.621472, 0.655844, 0.682913, 0.73705, 0.791465, 0.84588, 0.954711");
-            values ( \
-              "-0.115288, -0.140207, -0.199742, -0.21803, -0.250312, -0.275066, -0.286999, -0.289943, -0.291737, -0.290866, -0.291231, -0.293019, -0.296034, -0.289507, -0.280419, -0.269831, -0.25495, -0.161428, -0.126697, -0.108343, -0.0836321, -0.0612618, -0.0459288, -0.0371402, -0.0251962, -0.0166234, -0.0119251, -0.00600839, -0.00302978, -0.00154602, -0.000432765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.148947, 0.186816, 0.207466, 0.22751, 0.248972, 0.263007, 0.385707, 0.452469, 0.506885, 0.516421, 0.549465, 0.572066, 0.703595, 0.763427, 0.825068, 0.865001, 0.897828, 0.937375, 0.99179, 1.03065, 1.10335, 1.15777, 1.21218, 1.31169");
-            values ( \
-              "-0.176582, -0.211074, -0.257811, -0.291913, -0.315195, -0.321195, -0.313343, -0.312226, -0.302867, -0.299093, -0.281975, -0.265375, -0.151866, -0.109858, -0.0767456, -0.0601121, -0.0490071, -0.0381489, -0.0267764, -0.0208203, -0.0128934, -0.00895294, -0.00624475, -0.00330362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243441, 0.255679, 0.269677, 0.275234, 0.290453, 0.315351, 0.333605, 0.348174, 0.359653, 0.36921, 0.378279, 0.387325, 0.405267, 0.415569, 0.424725, 0.429908, 0.438419, 0.449766, 0.467159, 0.489291, 0.511685");
-            values ( \
-              "-0.0106397, -0.0108091, -0.0136393, -0.0152395, -0.0208844, -0.0316534, -0.040158, -0.0497663, -0.0644396, -0.0728865, -0.0718616, -0.0630842, -0.0292256, -0.0161474, -0.00916849, -0.00661768, -0.00391063, -0.00197002, -0.000744028, -0.000338905, -0.000255703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.24942, 0.273394, 0.28221, 0.291541, 0.325826, 0.346455, 0.363205, 0.376788, 0.388306, 0.399365, 0.410373, 0.429514, 0.435832, 0.44436, 0.456414, 0.466584, 0.473798, 0.488225, 0.510513, 0.550173, 0.557231");
-            values ( \
-              "-0.0209866, -0.0234699, -0.0273953, -0.0327135, -0.0544867, -0.0683446, -0.0829827, -0.103616, -0.116554, -0.113158, -0.0975563, -0.0522907, -0.0402388, -0.027486, -0.015482, -0.00942046, -0.00663256, -0.00321001, -0.00110738, -0.000330003, -0.000645819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.249453, 0.266898, 0.275309, 0.287274, 0.357619, 0.367893, 0.388192, 0.40527, 0.420061, 0.434626, 0.449136, 0.462408, 0.472182, 0.486535, 0.494603, 0.501479, 0.509425, 0.520902, 0.526645, 0.535258, 0.546743, 0.55027, 0.554765, 0.576624, 0.588641, 0.600987");
-            values ( \
-              "-0.0261008, -0.0300906, -0.0347718, -0.0424608, -0.101151, -0.110334, -0.130744, -0.15702, -0.1731, -0.164221, -0.137762, -0.103575, -0.0809872, -0.053461, -0.0414855, -0.0332195, -0.0255576, -0.0171798, -0.0140942, -0.0104499, -0.0070351, -0.00616733, -0.00595758, -0.00298087, -0.00200473, -0.00146109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.26371, 0.295404, 0.33047, 0.422605, 0.438472, 0.450617, 0.471291, 0.492181, 0.495593, 0.507163, 0.535268, 0.550284, 0.561228, 0.57571, 0.584892, 0.596222, 0.60781, 0.624115, 0.631175, 0.645295, 0.673535, 0.720045, 0.77446, 0.828876");
-            values ( \
-              "-0.0610882, -0.0618763, -0.0978877, -0.188602, -0.206811, -0.222766, -0.236019, -0.217221, -0.211798, -0.189051, -0.128201, -0.0992906, -0.0817568, -0.0613227, -0.0508242, -0.04015, -0.0314045, -0.0220889, -0.0189728, -0.0139574, -0.00743676, -0.00250859, -0.000745919, -0.000274584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.263315, 0.290453, 0.393367, 0.4119, 0.453435, 0.476777, 0.515502, 0.522962, 0.537882, 0.550081, 0.55471, 0.56364, 0.571968, 0.592796, 0.662704, 0.698849, 0.724328, 0.746048, 0.764172, 0.785543, 0.815902, 0.84886, 0.87436, 0.925359, 0.979775, 1.03419, 1.14302");
-            values ( \
-              "-0.0667117, -0.0682138, -0.182435, -0.201575, -0.239133, -0.256609, -0.280849, -0.285185, -0.289645, -0.28886, -0.287632, -0.282323, -0.274683, -0.24807, -0.140576, -0.0972014, -0.0735757, -0.057497, -0.0466195, -0.0362176, -0.0251138, -0.0168577, -0.0123315, -0.00648787, -0.00326459, -0.00166774, -0.000465852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.274923, 0.330348, 0.412272, 0.433748, 0.474019, 0.528434, 0.549884, 0.560245, 0.597202, 0.647634, 0.685711, 0.705126, 0.743955, 0.767177, 0.896814, 0.958424, 1.02135, 1.06059, 1.09211, 1.13196, 1.18638, 1.22606, 1.30052, 1.35494, 1.40935, 1.51818, 1.73584");
-            values ( \
-              "-0.0882119, -0.122911, -0.220053, -0.241872, -0.276775, -0.305903, -0.312551, -0.314431, -0.312844, -0.312304, -0.307215, -0.301055, -0.28241, -0.265262, -0.15333, -0.109909, -0.0762285, -0.0599333, -0.0492638, -0.0382953, -0.0268633, -0.0207919, -0.0127283, -0.00882482, -0.00616842, -0.00299487, -0.000731861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.485718, 0.524296, 0.543322, 0.568313, 0.578506, 0.620826, 0.645822, 0.658479, 0.672793, 0.69033, 0.70478, 0.711553, 0.718396, 0.731747, 0.73502, 0.757185, 0.769153, 0.776739, 0.787381, 0.79514, 0.810659, 0.832451, 0.89416, 0.896985");
-            values ( \
-              "-0.00469819, -0.00582307, -0.00729604, -0.0100864, -0.0116603, -0.0194176, -0.0245392, -0.0277788, -0.0324711, -0.0421823, -0.0479542, -0.0488603, -0.0490333, -0.0455478, -0.0432363, -0.0210642, -0.0121137, -0.00828608, -0.0048117, -0.00323171, -0.00145793, -0.000545391, -0.000136864, -0.000136552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.485615, 0.517521, 0.540465, 0.552341, 0.576094, 0.589801, 0.635831, 0.668345, 0.694154, 0.714757, 0.732108, 0.74838, 0.764635, 0.796374, 0.810578, 0.827152, 0.839518, 0.849437, 0.869275, 0.898501, 0.94621");
-            values ( \
-              "-0.00707598, -0.00880918, -0.0115972, -0.0132889, -0.0177143, -0.0210619, -0.0339859, -0.0439411, -0.0542925, -0.068735, -0.0774521, -0.0783826, -0.0721173, -0.0326835, -0.0195353, -0.0101135, -0.00611986, -0.00407159, -0.00177205, -0.000575519, -0.000321108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.500192, 0.555073, 0.587702, 0.608918, 0.657667, 0.699107, 0.729994, 0.755423, 0.777282, 0.798082, 0.818845, 0.854367, 0.862193, 0.875488, 0.890512, 0.903555, 0.918276, 0.929807, 0.952869, 0.991171, 1.03691, 1.09132, 1.24965");
-            values ( \
-              "-0.0147328, -0.0203708, -0.0291163, -0.03671, -0.055444, -0.0720916, -0.0868343, -0.105934, -0.11771, -0.118053, -0.105431, -0.0560149, -0.0464497, -0.0330383, -0.0218752, -0.0150444, -0.0097637, -0.00690566, -0.00338967, -0.000980079, -0.000311435, -0.000137044, -0.000232342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.513588, 0.576568, 0.608366, 0.738677, 0.767136, 0.787085, 0.831512, 0.843734, 0.848977, 0.859463, 0.876987, 0.899837, 0.953217, 0.968235, 0.984833, 0.999068, 1.01805, 1.03274, 1.04951, 1.07187, 1.11659, 1.171, 1.29114");
-            values ( \
-              "-0.025407, -0.0343556, -0.0465385, -0.107188, -0.121308, -0.132193, -0.162895, -0.167988, -0.169235, -0.170344, -0.166925, -0.148664, -0.0785862, -0.0620695, -0.0468885, -0.0364373, -0.0255997, -0.0193435, -0.0139713, -0.00892858, -0.00342397, -0.0010453, -0.000267224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.527145, 0.596293, 0.868316, 0.93236, 0.959872, 0.999958, 1.00355, 1.02989, 1.1065, 1.1311, 1.17089, 1.21611, 1.26913, 1.29518, 1.34728, 1.39762");
-            values ( \
-              "-0.0390428, -0.0497822, -0.188275, -0.224877, -0.231861, -0.219062, -0.216617, -0.191735, -0.10694, -0.0844145, -0.0556463, -0.0334541, -0.0181621, -0.0132226, -0.00687417, -0.00372706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.553208, 0.637293, 0.794342, 0.934174, 1.00531, 1.06986, 1.12428, 1.14613, 1.16971, 1.19527, 1.23106, 1.33323, 1.38938, 1.4598, 1.49869, 1.54827, 1.60268, 1.64163, 1.69903, 1.75344, 1.80786, 1.91669, 1.95435");
-            values ( \
-              "-0.0679822, -0.0772993, -0.164273, -0.233987, -0.263123, -0.284586, -0.28926, -0.285153, -0.276385, -0.262211, -0.235009, -0.147366, -0.108593, -0.0717799, -0.0565537, -0.0414166, -0.0291408, -0.0226297, -0.0155284, -0.0108165, -0.00752272, -0.00363893, -0.0031594" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00322935, 0.00323229, 0.00323517, 0.00323743, 0.00323892, 0.00323982", \
-            "0.00377385, 0.00377584, 0.00377832, 0.00378069, 0.00378249, 0.00378365", \
-            "0.00408225, 0.00408293, 0.00408407, 0.00408557, 0.00408704, 0.00408815", \
-            "0.0042573, 0.00425752, 0.00425787, 0.00425842, 0.00425919, 0.00425998", \
-            "0.00435068, 0.00435081, 0.00435097, 0.00435118, 0.00435146, 0.00435184", \
-            "0.00441046, 0.00441069, 0.00441098, 0.0044113, 0.00441161, 0.00441188" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00458474, 0.00459006, 0.00459542, 0.00459972, 0.0046026, 0.00460432", \
-            "0.00492948, 0.00493367, 0.00493763, 0.00494073, 0.00494274, 0.00494389", \
-            "0.00506179, 0.00506874, 0.00507448, 0.00507831, 0.00508044, 0.00508149", \
-            "0.00517908, 0.00516309, 0.00514769, 0.00513447, 0.00512742, 0.005123", \
-            "0.00551788, 0.0054097, 0.00530812, 0.00523173, 0.00518072, 0.00514968", \
-            "0.00618056, 0.00590838, 0.00562796, 0.00542478, 0.00527794, 0.00518944" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A1";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0659478, 0.0815514, 0.110946, 0.166976, 0.274675, 0.482456", \
-            "0.0718016, 0.0874666, 0.117028, 0.17329, 0.281153, 0.489047", \
-            "0.0854439, 0.101057, 0.130597, 0.18701, 0.295107, 0.503188", \
-            "0.111951, 0.129717, 0.159979, 0.216319, 0.324569, 0.532931", \
-            "0.152552, 0.177158, 0.216557, 0.280288, 0.389139, 0.597914", \
-            "0.216536, 0.252503, 0.308985, 0.394925, 0.527267, 0.741802" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0457621, 0.0671252, 0.108245, 0.187325, 0.339753, 0.633941", \
-            "0.0458344, 0.0671525, 0.10825, 0.187334, 0.339753, 0.633941", \
-            "0.0466229, 0.0674919, 0.108289, 0.187305, 0.339753, 0.633941", \
-            "0.0555551, 0.0739348, 0.111462, 0.187715, 0.339752, 0.633977", \
-            "0.0809775, 0.10041, 0.135287, 0.201993, 0.343529, 0.633946", \
-            "0.129467, 0.152379, 0.19253, 0.261689, 0.386359, 0.649926" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0422584, 0.0520278, 0.0702524, 0.104049, 0.168064, 0.290681", \
-            "0.046513, 0.0564193, 0.0745673, 0.108411, 0.172458, 0.295114", \
-            "0.0570903, 0.0667189, 0.0848503, 0.11873, 0.182826, 0.305496", \
-            "0.0733396, 0.0863705, 0.107829, 0.142452, 0.206408, 0.32902", \
-            "0.0867954, 0.10559, 0.136568, 0.185471, 0.2599, 0.382415", \
-            "0.086331, 0.112752, 0.15672, 0.227142, 0.33517, 0.495209" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0375897, 0.0490774, 0.0713949, 0.114972, 0.199637, 0.364192", \
-            "0.0370015, 0.048804, 0.0713248, 0.114957, 0.199814, 0.364031", \
-            "0.0396974, 0.0501176, 0.0713783, 0.114839, 0.199624, 0.364178", \
-            "0.0547507, 0.0656092, 0.0837886, 0.120794, 0.200162, 0.364171", \
-            "0.0808851, 0.0959668, 0.120364, 0.159198, 0.225415, 0.370725", \
-            "0.123064, 0.144965, 0.179881, 0.233924, 0.315087, 0.443654" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0477362, 0.0509576, 0.0539408, 0.0583542, 0.0596862, 0.0623502, 0.0711635, 0.0774944, 0.0817841, 0.0842747, 0.0922518, 0.0956919, 0.0996236, 0.10551, 0.111265, 0.11746, 0.125775, 0.133496, 0.139518, 0.148409, 0.156287, 0.164339, 0.181045, 0.191274, 0.21173, 0.243997, 0.28247");
-            values ( \
-              "0.0954953, 0.107881, 0.111859, 0.115751, 0.114891, 0.115024, 0.109482, 0.102497, 0.0955333, 0.0894828, 0.0663009, 0.0578837, 0.0497847, 0.040503, 0.0335217, 0.0275561, 0.0213195, 0.0169276, 0.014108, 0.0107694, 0.00848448, 0.00662808, 0.00395684, 0.00288146, 0.00149397, 0.00049856, 0.000124828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0483849, 0.0504645, 0.0532215, 0.0575993, 0.0638314, 0.0703831, 0.0834581, 0.0971278, 0.12351, 0.142795, 0.161331, 0.18292, 0.201944, 0.215774, 0.248968, 0.275762, 0.285148");
-            values ( \
-              "0.11115, 0.133074, 0.14228, 0.147284, 0.149746, 0.147222, 0.139208, 0.126027, 0.0733071, 0.0473314, 0.0311227, 0.0188306, 0.0119717, 0.0085465, 0.00377306, 0.00188672, 0.0015999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0486146, 0.0523138, 0.0567139, 0.0637296, 0.0701382, 0.0917016, 0.106895, 0.122481, 0.130425, 0.139316, 0.164657, 0.173648, 0.184402, 0.195919, 0.208497, 0.229106, 0.237948, 0.255633, 0.266816, 0.288096, 0.312118, 0.340307, 0.363124, 0.40876, 0.437664");
-            values ( \
-              "0.103662, 0.161577, 0.17248, 0.176778, 0.176031, 0.168257, 0.16135, 0.15262, 0.146489, 0.136865, 0.101289, 0.0899207, 0.0777429, 0.0662805, 0.0552584, 0.0404766, 0.0352399, 0.0264952, 0.0220452, 0.0154381, 0.0101765, 0.00624176, 0.00416977, 0.00177768, 0.00119842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.048621, 0.0550344, 0.0589605, 0.0625033, 0.0686474, 0.0746633, 0.0992841, 0.141825, 0.169375, 0.178542, 0.192555, 0.208101, 0.266044, 0.284213, 0.319982, 0.34851, 0.363461, 0.391527, 0.415333, 0.434919, 0.458333, 0.491401, 0.526045, 0.55262, 0.605768, 0.683179, 0.76059");
-            values ( \
-              "0.11036, 0.185024, 0.191226, 0.194198, 0.195288, 0.194909, 0.189585, 0.178886, 0.170664, 0.167321, 0.161105, 0.151711, 0.10539, 0.0918066, 0.0682202, 0.0525989, 0.0456594, 0.0346113, 0.0271282, 0.0221214, 0.0172417, 0.0120354, 0.00824338, 0.0061384, 0.00334463, 0.00132481, 0.000524353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0524705, 0.0524905, 0.117378, 0.186143, 0.23569, 0.269612, 0.312035, 0.340375, 0.395679, 0.475882, 0.540171, 0.588526, 0.62829, 0.659056, 0.714741, 0.778808, 0.835361, 0.893158, 0.937273, 1.01468, 1.09209, 1.16951, 1.32433");
-            values ( \
-              "1e-22, 0.219941, 0.201898, 0.19267, 0.185279, 0.179524, 0.170106, 0.161095, 0.137752, 0.101403, 0.0751882, 0.058506, 0.0470495, 0.0394678, 0.0283984, 0.0191933, 0.0134477, 0.00932663, 0.00701965, 0.00422589, 0.00252919, 0.00151686, 0.0005427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.057358, 0.057378, 0.17574, 0.329161, 0.398988, 0.470095, 0.542367, 0.595592, 0.673003, 0.876649, 0.980789, 1.05694, 1.11023, 1.1729, 1.28063, 1.34128, 1.40777, 1.48518, 1.61562, 1.69303, 1.77045, 1.92527, 2.08009, 2.38973");
-            values ( \
-              "1e-22, 0.238174, 0.207283, 0.195998, 0.19034, 0.183771, 0.175265, 0.166651, 0.15011, 0.0996253, 0.0760018, 0.0610705, 0.0520499, 0.0428865, 0.0302602, 0.024657, 0.0197374, 0.0150539, 0.00954114, 0.00731119, 0.0054935, 0.00314195, 0.00178621, 0.000562401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0642542, 0.0704649, 0.0735626, 0.0780365, 0.079414, 0.0821691, 0.0909704, 0.0972921, 0.10204, 0.114031, 0.116934, 0.125296, 0.135897, 0.145155, 0.154877, 0.168736, 0.181404, 0.194561, 0.210144, 0.247774, 0.248453");
-            values ( \
-              "0.00272508, 0.102858, 0.108897, 0.114047, 0.113698, 0.114084, 0.109204, 0.102433, 0.0945864, 0.0612859, 0.0547166, 0.0405279, 0.0287492, 0.0215771, 0.0161301, 0.0105995, 0.00721649, 0.00478998, 0.00296496, 0.00087913, 0.000872084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0668917, 0.0699231, 0.0772718, 0.0835473, 0.0901534, 0.103245, 0.112879, 0.123097, 0.139076, 0.15113, 0.164973, 0.178089, 0.194172, 0.222197, 0.256087, 0.302241");
-            values ( \
-              "0.0408103, 0.126779, 0.14514, 0.148765, 0.146865, 0.139146, 0.130714, 0.115741, 0.0809976, 0.0611026, 0.0448347, 0.0332521, 0.0230317, 0.0118297, 0.00516014, 0.0015993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0678662, 0.0721538, 0.0766578, 0.0837345, 0.0910386, 0.11176, 0.126933, 0.142521, 0.15013, 0.159355, 0.184735, 0.193709, 0.204447, 0.215924, 0.228515, 0.249144, 0.258002, 0.27572, 0.286865, 0.308076, 0.332134, 0.360381, 0.383257, 0.42901, 0.457167");
-            values ( \
-              "0.0719029, 0.156836, 0.16995, 0.175923, 0.175578, 0.168294, 0.161351, 0.152617, 0.146791, 0.136876, 0.101239, 0.0898956, 0.0777381, 0.0663123, 0.0552773, 0.0404782, 0.0352311, 0.0264752, 0.0220411, 0.0154541, 0.0101801, 0.00623742, 0.00416309, 0.00176984, 0.00120817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0678848, 0.0751569, 0.0827032, 0.086349, 0.0936405, 0.110806, 0.136934, 0.171236, 0.197815, 0.212042, 0.228325, 0.286333, 0.304463, 0.340076, 0.368713, 0.383756, 0.411851, 0.435604, 0.45509, 0.478527, 0.511612, 0.546322, 0.572953, 0.626216, 0.703628, 0.781039");
-            values ( \
-              "0.0786343, 0.182037, 0.193302, 0.194565, 0.194907, 0.191496, 0.185352, 0.176339, 0.167787, 0.161443, 0.151752, 0.105362, 0.0917901, 0.0683059, 0.0526181, 0.0456354, 0.0345823, 0.0271183, 0.0221366, 0.0172508, 0.0120382, 0.00823924, 0.0061326, 0.00333566, 0.00132224, 0.000522326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0719436, 0.0719636, 0.137716, 0.22265, 0.289956, 0.332376, 0.360716, 0.416019, 0.496223, 0.560511, 0.608866, 0.64863, 0.679395, 0.735081, 0.799147, 0.8557, 0.913496, 0.957609, 1.03502, 1.11243, 1.34466");
-            values ( \
-              "1e-22, 0.214432, 0.201841, 0.190342, 0.17952, 0.170103, 0.161098, 0.137749, 0.101401, 0.0751868, 0.0585077, 0.0470487, 0.039469, 0.0283995, 0.0191944, 0.013447, 0.00932607, 0.00702062, 0.00422527, 0.00253002, 0.000541979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0766424, 0.0766624, 0.196541, 0.349734, 0.41956, 0.490668, 0.514758, 0.56294, 0.616165, 0.693576, 0.874748, 0.942168, 1.00136, 1.07751, 1.13081, 1.19347, 1.27088, 1.3012, 1.36185, 1.42834, 1.50575, 1.56524, 1.63619, 1.71361, 1.79102, 1.94584, 2.10066, 2.4103");
-            values ( \
-              "1e-22, 0.233341, 0.20727, 0.196002, 0.190344, 0.183767, 0.181291, 0.17526, 0.166657, 0.150103, 0.10503, 0.0889351, 0.0760138, 0.0610587, 0.0520371, 0.0428992, 0.0333394, 0.0302733, 0.024644, 0.0197507, 0.0150407, 0.012226, 0.00952763, 0.00732482, 0.00547996, 0.00312838, 0.00177262, 0.00054881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.104173, 0.11337, 0.115386, 0.118694, 0.122645, 0.126298, 0.129352, 0.135477, 0.141601, 0.144123, 0.14624, 0.148591, 0.156388, 0.16145, 0.167235, 0.17199, 0.174864, 0.180613, 0.189746, 0.199204, 0.205259, 0.215068, 0.226175, 0.239171, 0.254453, 0.266701, 0.291197, 0.311103");
-            values ( \
-              "0.000868373, 0.0824761, 0.0888123, 0.0965411, 0.103004, 0.106355, 0.107512, 0.106569, 0.101796, 0.0983761, 0.0946087, 0.0891824, 0.0666534, 0.0547073, 0.0441563, 0.0377159, 0.0343499, 0.0285945, 0.0215476, 0.0162381, 0.013529, 0.0100509, 0.00716459, 0.00478254, 0.00298833, 0.00203992, 0.000914917, 0.000552179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.104102, 0.117505, 0.125392, 0.129988, 0.138904, 0.147824, 0.154075, 0.163845, 0.188503, 0.196225, 0.205557, 0.224243, 0.244364, 0.255612, 0.270139, 0.28607, 0.304333, 0.347796, 0.356247");
-            values ( \
-              "0.00915219, 0.120507, 0.137328, 0.141574, 0.142649, 0.13843, 0.133694, 0.122465, 0.0719851, 0.0602544, 0.048923, 0.0320517, 0.0201189, 0.0154259, 0.0108779, 0.00734675, 0.00469416, 0.00154061, 0.0013322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.104132, 0.119296, 0.123648, 0.127677, 0.135129, 0.142084, 0.154246, 0.160952, 0.174207, 0.186935, 0.20089, 0.20963, 0.228654, 0.237834, 0.248777, 0.26075, 0.273187, 0.293546, 0.302196, 0.319497, 0.334262, 0.353164, 0.376767, 0.393162, 0.404197, 0.426267, 0.470406, 0.503088");
-            values ( \
-              "0.00312349, 0.146395, 0.158274, 0.165374, 0.171533, 0.172098, 0.168872, 0.16611, 0.159938, 0.152634, 0.140596, 0.129093, 0.101847, 0.0902618, 0.0777774, 0.065942, 0.0550352, 0.0404555, 0.0353728, 0.0267276, 0.0209679, 0.0152558, 0.0101636, 0.00763163, 0.00632142, 0.00425296, 0.00189504, 0.00118356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.114069, 0.133436, 0.139342, 0.150763, 0.164071, 0.211361, 0.243313, 0.271093, 0.347367, 0.376678, 0.408824, 0.425287, 0.451562, 0.486537, 0.502404, 0.534138, 0.559563, 0.587629, 0.62505, 0.699893, 0.777304, 0.854715");
-            values ( \
-              "0.183302, 0.189435, 0.192147, 0.192279, 0.189556, 0.177591, 0.167376, 0.153251, 0.0929106, 0.0731737, 0.0547892, 0.0469504, 0.0362795, 0.0253566, 0.0214879, 0.0152705, 0.0116006, 0.00853481, 0.00560419, 0.00232616, 0.000903264, 0.000373512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.118281, 0.118301, 0.180907, 0.252413, 0.300882, 0.351487, 0.377221, 0.405566, 0.460869, 0.541073, 0.605359, 0.653715, 0.678097, 0.724247, 0.767794, 0.804196, 0.843992, 0.900543, 0.958334, 1.00244, 1.07985, 1.15727, 1.23468, 1.3895");
-            values ( \
-              "1e-22, 0.224365, 0.20211, 0.192512, 0.185282, 0.176209, 0.170104, 0.161099, 0.137749, 0.1014, 0.0751873, 0.0585093, 0.0512528, 0.0394696, 0.0305371, 0.0245275, 0.0191957, 0.0134472, 0.00932644, 0.00702179, 0.00422534, 0.00253069, 0.00151594, 0.000541657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.122023, 0.122043, 0.241662, 0.394664, 0.46449, 0.535598, 0.60787, 0.661095, 0.738506, 0.942151, 1.04629, 1.12244, 1.17573, 1.2384, 1.34613, 1.40677, 1.47327, 1.55068, 1.63381, 1.75852, 1.91335, 2.06817, 2.22299, 2.45522");
-            values ( \
-              "1e-22, 0.234991, 0.207237, 0.195978, 0.190319, 0.183792, 0.175287, 0.16663, 0.150132, 0.0996042, 0.075981, 0.061092, 0.0520712, 0.0428658, 0.0302397, 0.0246783, 0.019717, 0.0150751, 0.0113128, 0.00729088, 0.00420158, 0.00241453, 0.00138673, 0.000582998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.18022, 0.208052, 0.212166, 0.220713, 0.228653, 0.236451, 0.244239, 0.245198, 0.248955, 0.251348, 0.253967, 0.258571, 0.26245, 0.267098, 0.268981, 0.271491, 0.276513, 0.286556, 0.289875, 0.292222, 0.295351, 0.301611, 0.310707, 0.313493, 0.319063, 0.330204, 0.339344, 0.342753, 0.349573, 0.363212, 0.390491, 0.405847");
-            values ( \
-              "0.00526216, 0.0680946, 0.073112, 0.0805049, 0.0842429, 0.0841004, 0.0775815, 0.075522, 0.0681216, 0.0650877, 0.0595188, 0.0518899, 0.0462102, 0.0402171, 0.0380482, 0.03531, 0.0303426, 0.0223584, 0.0202892, 0.0189139, 0.0172402, 0.0142645, 0.0108334, 0.00995141, 0.0084134, 0.00595709, 0.00450884, 0.00404771, 0.00329027, 0.00213411, 0.000876439, 0.000601076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.180202, 0.207842, 0.209192, 0.214589, 0.221326, 0.232802, 0.243618, 0.248941, 0.251599, 0.254206, 0.257907, 0.265048, 0.27269, 0.281069, 0.285565, 0.293887, 0.298219, 0.303994, 0.315544, 0.324905, 0.328906, 0.336906, 0.349582, 0.358196, 0.364184, 0.37349, 0.386488, 0.401296, 0.42104, 0.460517, 0.506217");
-            values ( \
-              "0.00206036, 0.086812, 0.0891194, 0.0974097, 0.105715, 0.11516, 0.119032, 0.11934, 0.120323, 0.120126, 0.118757, 0.112164, 0.0977164, 0.0809349, 0.0729631, 0.0602963, 0.0548244, 0.0481965, 0.0370868, 0.0300425, 0.0274193, 0.0227706, 0.0168654, 0.0137492, 0.0119094, 0.00950134, 0.00690664, 0.00479787, 0.00291575, 0.00099824, 0.000274483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.19009, 0.198444, 0.206743, 0.217916, 0.229074, 0.253125, 0.259339, 0.264138, 0.268805, 0.276538, 0.284501, 0.298348, 0.301384, 0.321322, 0.331658, 0.348061, 0.368112, 0.385796, 0.396187, 0.414059, 0.431744, 0.45136, 0.474557, 0.490615, 0.522737, 0.565566, 0.628668, 0.705438");
-            values ( \
-              "0.040651, 0.0766511, 0.100439, 0.119056, 0.13581, 0.153405, 0.156459, 0.157738, 0.15848, 0.155999, 0.152655, 0.14037, 0.137109, 0.108441, 0.0947214, 0.0759434, 0.0571332, 0.0439698, 0.0373618, 0.0280736, 0.0209617, 0.0150462, 0.0101931, 0.0075777, 0.00426286, 0.00206679, 0.000463232, 0.000202488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.189991, 0.204258, 0.216456, 0.233044, 0.254438, 0.261172, 0.267461, 0.276914, 0.286943, 0.305306, 0.326632, 0.340818, 0.368709, 0.430973, 0.48454, 0.511346, 0.533292, 0.550151, 0.584094, 0.621811, 0.654348, 0.696474, 0.752642, 0.830053, 0.838573");
-            values ( \
-              "0.0301812, 0.102688, 0.129836, 0.154822, 0.175873, 0.180921, 0.183751, 0.184727, 0.183169, 0.178622, 0.17231, 0.167338, 0.153194, 0.103115, 0.0668668, 0.0523306, 0.0424387, 0.0359126, 0.0253651, 0.017021, 0.0119468, 0.00751885, 0.00395682, 0.00156887, 0.00149591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.200227, 0.231346, 0.257138, 0.272294, 0.281267, 0.292156, 0.325048, 0.397032, 0.448958, 0.474699, 0.503035, 0.558339, 0.638541, 0.702831, 0.751184, 0.790942, 0.821703, 0.877392, 0.941456, 0.998003, 1.05578, 1.09988, 1.17729, 1.2547, 1.33211, 1.48693");
-            values ( \
-              "0.132253, 0.161995, 0.191482, 0.200563, 0.201141, 0.20034, 0.195984, 0.185544, 0.176211, 0.1701, 0.161101, 0.137747, 0.1014, 0.0751857, 0.0585107, 0.0470501, 0.0394731, 0.0284021, 0.0191968, 0.0134477, 0.00932748, 0.00702372, 0.00422597, 0.00253161, 0.00151596, 0.00054145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.200228, 0.254951, 0.264454, 0.271898, 0.282703, 0.297537, 0.38297, 0.56229, 0.639701, 0.693109, 0.758906, 0.836317, 1.03999, 1.14413, 1.22028, 1.27357, 1.33624, 1.44397, 1.50461, 1.5711, 1.64851, 1.73164, 1.85635, 2.01117, 2.16599, 2.32082, 2.55305");
-            values ( \
-              "0.126772, 0.196832, 0.205677, 0.209225, 0.210783, 0.210162, 0.20414, 0.190311, 0.183169, 0.176991, 0.166662, 0.150124, 0.0995941, 0.0759697, 0.0611034, 0.0520852, 0.0428532, 0.0302261, 0.0246929, 0.0197029, 0.01509, 0.0112974, 0.00727521, 0.00418562, 0.00239835, 0.00137039, 0.000599557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.338545, 0.353106, 0.373165, 0.390017, 0.403906, 0.416204, 0.427615, 0.439044, 0.450497, 0.46058, 0.474871, 0.482514, 0.495954, 0.512077, 0.524827, 0.539647, 0.550143, 0.552476, 0.556379, 0.574532, 0.58837, 0.608729, 0.641826, 0.684735");
-            values ( \
-              "0.000299473, 0.0177096, 0.0342494, 0.043635, 0.0507979, 0.0557309, 0.0590423, 0.0555565, 0.0436334, 0.0360508, 0.027292, 0.0234058, 0.0175565, 0.0121568, 0.00884521, 0.00599216, 0.00449101, 0.00473499, 0.00456067, 0.00296281, 0.00197237, 0.00100405, 0.000338751, 5.36495e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.346756, 0.357416, 0.382811, 0.39503, 0.422128, 0.4377, 0.452154, 0.466627, 0.481068, 0.503644, 0.521423, 0.535351, 0.550068, 0.581221, 0.598795, 0.622704, 0.64241, 0.681822, 0.729222");
-            values ( \
-              "0.0196477, 0.0279265, 0.0510488, 0.0597462, 0.0773634, 0.085386, 0.0898061, 0.0855766, 0.0699655, 0.049786, 0.0370182, 0.0285842, 0.0213533, 0.0118033, 0.00771214, 0.00427974, 0.00260012, 0.000892476, 0.000229044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.350995, 0.36405, 0.379291, 0.398302, 0.427323, 0.440551, 0.450817, 0.471836, 0.491684, 0.511547, 0.550177, 0.55557, 0.589997, 0.602472, 0.625496, 0.641991, 0.657322, 0.677764, 0.693336, 0.710664, 0.733768, 0.779976, 0.841873, 0.917988");
-            values ( \
-              "0.034624, 0.040467, 0.0560492, 0.0727324, 0.0959513, 0.105392, 0.111967, 0.121544, 0.125138, 0.119335, 0.0836914, 0.0802979, 0.0515675, 0.0427321, 0.0297304, 0.0226797, 0.0175676, 0.0123858, 0.00946342, 0.00700412, 0.00465843, 0.00196454, 0.000574347, 0.000112001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.351036, 0.373876, 0.395277, 0.421836, 0.441997, 0.461958, 0.49526, 0.525809, 0.550209, 0.555523, 0.56615, 0.575553, 0.58546, 0.600632, 0.619625, 0.655493, 0.682282, 0.702101, 0.727235, 0.74637, 0.765695, 0.791472, 0.799138, 0.814471, 0.845137, 0.871637, 0.883528, 0.907309, 0.954872, 1.03228, 1.10969, 1.18711");
-            values ( \
-              "0.0269975, 0.0559844, 0.0769912, 0.101467, 0.118585, 0.13375, 0.15069, 0.158863, 0.160348, 0.161133, 0.160139, 0.157075, 0.151506, 0.1401, 0.124349, 0.0957964, 0.0776395, 0.0653933, 0.0519428, 0.0432658, 0.0357275, 0.0274589, 0.0253641, 0.0216055, 0.0155477, 0.0116637, 0.0102417, 0.00788618, 0.00460243, 0.00183215, 0.000721867, 0.000286799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.367977, 0.406889, 0.456351, 0.484973, 0.514458, 0.532175, 0.564614, 0.578586, 0.592779, 0.650908, 0.689845, 0.718136, 0.917952, 0.966288, 1.00602, 1.03676, 1.09249, 1.15656, 1.2131, 1.31492, 1.39233, 1.46974, 1.51839");
-            values ( \
-              "0.0822729, 0.0926351, 0.138742, 0.158842, 0.173302, 0.179564, 0.188933, 0.189849, 0.188441, 0.178861, 0.170091, 0.161098, 0.0751811, 0.0585076, 0.0470574, 0.0394818, 0.0284018, 0.0191949, 0.0134493, 0.00702522, 0.00422868, 0.00253145, 0.00211487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.368013, 0.409078, 0.453489, 0.487267, 0.5083, 0.549961, 0.5633, 0.580989, 0.598518, 0.731133, 0.777804, 0.855215, 0.908625, 0.97442, 1.05183, 1.2555, 1.30045, 1.35964, 1.43579, 1.48909, 1.55175, 1.62916, 1.65948, 1.72011, 1.78661, 1.86402, 1.92349, 1.99444, 2.07185, 2.14926, 2.30408, 2.4589, 2.61373, 2.76855");
-            values ( \
-              "0.0749945, 0.0974334, 0.140978, 0.167156, 0.179206, 0.196903, 0.201397, 0.204678, 0.204395, 0.194192, 0.190257, 0.183219, 0.177021, 0.166638, 0.150143, 0.0996105, 0.0889625, 0.0759891, 0.0610851, 0.0520617, 0.0428772, 0.0333635, 0.0302517, 0.0246687, 0.0197293, 0.0150649, 0.0122508, 0.00955324, 0.00730175, 0.00550519, 0.0031535, 0.00179779, 0.00101971, 0.000574325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.649956, 0.6838, 0.706156, 0.78586, 0.806096, 0.824354, 0.842677, 0.850546, 0.864515, 0.890024, 0.937588, 0.965681, 0.985551, 1.00289, 1.0227, 1.03827, 1.06942, 1.11981, 1.15589");
-            values ( \
-              "0.00061644, 0.00712888, 0.0105535, 0.0303818, 0.034502, 0.0371263, 0.0343314, 0.0308977, 0.0269109, 0.0217426, 0.011102, 0.00664517, 0.00443068, 0.00302748, 0.00193246, 0.00133924, 0.000606352, 0.000146254, 0.0001004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.658294, 0.691276, 0.701551, 0.717091, 0.738494, 0.784016, 0.83799, 0.860381, 0.882268, 0.904113, 0.906629, 0.975496, 0.989806, 1.01723, 1.05007, 1.06966, 1.10086, 1.14247, 1.19841, 1.21579, 1.23056, 1.25079, 1.30182");
-            values ( \
-              "0.00309871, 0.0122773, 0.0138704, 0.0177472, 0.0241438, 0.0393813, 0.0544939, 0.0586403, 0.0572735, 0.0476324, 0.0478816, 0.022811, 0.0185145, 0.0117985, 0.00646097, 0.00439513, 0.00229332, 0.0009537, 0.000182321, 0.000205559, 0.000614615, 0.000633202, 0.000146266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.658232, 0.702016, 0.734231, 0.793446, 0.856218, 0.888208, 0.917005, 0.94482, 0.974793, 1.04463, 1.07355, 1.10813, 1.14909, 1.17756, 1.21592, 1.23166, 1.28845");
-            values ( \
-              "0.00382794, 0.018555, 0.027552, 0.0499757, 0.0717007, 0.0815918, 0.0884619, 0.0878711, 0.0756356, 0.0423206, 0.0303655, 0.0192427, 0.0105591, 0.00676894, 0.00362329, 0.00355959, 0.00162223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.686008, 0.734799, 0.760189, 0.806539, 0.871229, 0.921063, 0.963841, 1.00294, 1.04141, 1.07979, 1.08891, 1.17924, 1.20759, 1.21595, 1.27031, 1.29626, 1.3259, 1.34948, 1.37346, 1.40543, 1.46938, 1.5468, 1.62421");
-            values ( \
-              "0.0264432, 0.0322577, 0.041423, 0.0607147, 0.0857153, 0.104366, 0.117197, 0.124605, 0.122107, 0.107587, 0.103557, 0.0589326, 0.0465998, 0.0433124, 0.0265102, 0.0201884, 0.0146819, 0.0113587, 0.00873857, 0.00612743, 0.00291621, 0.0011555, 0.000455918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.685962, 0.742985, 0.948007, 1.01659, 1.0775, 1.13539, 1.1933, 1.22704, 1.25184, 1.3172, 1.36917, 1.42637, 1.48791, 1.53182, 1.58205, 1.61026, 1.6667, 1.71257, 1.78262, 1.86003, 1.93744, 2.01485, 2.16968");
-            values ( \
-              "0.0258434, 0.0383519, 0.122495, 0.144461, 0.155997, 0.160247, 0.154945, 0.146276, 0.136824, 0.107024, 0.0849035, 0.0637201, 0.0455774, 0.0353549, 0.0262151, 0.0220695, 0.0155131, 0.0116192, 0.00742329, 0.00446488, 0.00267913, 0.00160094, 0.000570473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.716715, 0.785596, 0.938005, 0.997705, 1.05943, 1.13684, 1.20801, 1.24019, 1.27052, 1.34985, 1.38172, 1.45114, 1.52855, 1.73235, 1.83649, 1.96592, 2.02858, 2.13631, 2.26344, 2.34086, 2.42397, 2.54867, 2.70349, 2.85831, 2.94456");
-            values ( \
-              "0.0566175, 0.0573572, 0.123618, 0.146775, 0.165221, 0.180347, 0.188456, 0.190819, 0.18894, 0.181248, 0.177477, 0.166693, 0.150147, 0.0995969, 0.0759726, 0.0520826, 0.0428582, 0.0302313, 0.0197083, 0.0150862, 0.0113036, 0.00728138, 0.00419146, 0.00240402, 0.00200075" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00335735, 0.00336495, 0.0033722, 0.00337779, 0.00338146, 0.00338364", \
-            "0.00435557, 0.00436574, 0.00437729, 0.00438759, 0.00439507, 0.00439977", \
-            "0.00497663, 0.00498038, 0.00498783, 0.00499742, 0.0050062, 0.00501253", \
-            "0.00533597, 0.00532483, 0.0053134, 0.0053056, 0.00530255, 0.00530227", \
-            "0.00558621, 0.00556282, 0.00552969, 0.00549388, 0.00546476, 0.00544554", \
-            "0.00572999, 0.00570803, 0.00568008, 0.00564324, 0.00559755, 0.00555288" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00508504, 0.00508526, 0.00508899, 0.0050941, 0.0050985, 0.00510147", \
-            "0.00529834, 0.00526203, 0.00521716, 0.00518181, 0.00516323, 0.00515709", \
-            "0.00505024, 0.00498732, 0.00491271, 0.00484283, 0.00478925, 0.00474982", \
-            "0.0050795, 0.00494537, 0.00480979, 0.0046839, 0.00458493, 0.00451756", \
-            "0.00576052, 0.0055946, 0.00507023, 0.0048124, 0.00462289, 0.00448882", \
-            "0.00563761, 0.00567192, 0.00570327, 0.00545368, 0.00488228, 0.00463183" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.016893, 0.0256935, 0.0318723, 0.0371045, 0.0461184, 0.0593859, 0.065119, 0.0748506, 0.0851612, 0.0942524, 0.103574, 0.108417, 0.117309, 0.129164, 0.135128");
-            values ( \
-              "-0.00887931, -0.104496, -0.0939567, -0.0928759, -0.0986151, -0.123831, -0.111312, -0.0695153, -0.035683, -0.0186328, -0.00942991, -0.00670398, -0.0036642, -0.00170373, -0.0013486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0199484, 0.0252468, 0.0341141, 0.0405536, 0.0471035, 0.0556363, 0.0708334, 0.0786866, 0.105187, 0.117932, 0.134465, 0.146681");
-            values ( \
-              "-0.0829114, -0.15356, -0.143, -0.142368, -0.144919, -0.152749, -0.178043, -0.158878, -0.0529551, -0.02702, -0.0109695, -0.00600527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0200325, 0.0240949, 0.0258642, 0.0277696, 0.0338617, 0.0405135, 0.0502449, 0.0585004, 0.0704843, 0.0818492, 0.0926763, 0.103955, 0.128812, 0.143053, 0.158911, 0.16867, 0.180508, 0.196201, 0.209131, 0.234991, 0.239754");
-            values ( \
-              "-0.0554983, -0.185805, -0.202832, -0.203423, -0.199031, -0.197749, -0.19891, -0.201303, -0.20833, -0.223688, -0.229039, -0.204317, -0.112584, -0.0721181, -0.041963, -0.0297008, -0.0193112, -0.0109699, -0.00685738, -0.00261115, -0.00235652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0210298, 0.0250139, 0.0274669, 0.0331215, 0.0789448, 0.0975352, 0.115639, 0.133408, 0.152178, 0.193901, 0.210486, 0.240667, 0.263047, 0.280307, 0.299768, 0.314959, 0.345339, 0.368633");
-            values ( \
-              "-0.135713, -0.234713, -0.246184, -0.249038, -0.252596, -0.256164, -0.266777, -0.266809, -0.235914, -0.129944, -0.0960563, -0.0526964, -0.0329244, -0.0226678, -0.0148848, -0.010681, -0.0054109, -0.00368871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0211257, 0.0258806, 0.0359571, 0.0431537, 0.05422, 0.143772, 0.187588, 0.203685, 0.224391, 0.244093, 0.313288, 0.355644, 0.402537, 0.441034, 0.471614, 0.505473, 0.584365, 0.62457");
-            values ( \
-              "-0.152457, -0.268131, -0.287746, -0.291133, -0.292829, -0.290963, -0.296124, -0.292231, -0.278349, -0.254851, -0.148254, -0.0964492, -0.0572187, -0.0364612, -0.0252159, -0.0167423, -0.00624365, -0.0045695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.021441, 0.0249791, 0.0289684, 0.0343872, 0.0450672, 0.0511288, 0.060632, 0.0785991, 0.245688, 0.309525, 0.332522, 0.359808, 0.408485, 0.449038, 0.537176, 0.589132, 0.615073, 0.643558, 0.674383, 0.714716, 0.748042, 0.787475, 0.843331, 0.8799, 0.948607, 1.00549, 1.06237, 1.17614, 1.19475");
-            values ( \
-              "-0.162849, -0.270807, -0.298043, -0.310005, -0.31916, -0.321238, -0.322247, -0.322447, -0.313004, -0.311695, -0.308621, -0.301125, -0.275698, -0.242549, -0.165, -0.125606, -0.108767, -0.0923625, -0.0770256, -0.0601502, -0.0488839, -0.0381143, -0.0264641, -0.0209158, -0.0133094, -0.00906525, -0.00624087, -0.00293365, -0.00274913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0314719, 0.0366395, 0.0527564, 0.0557714, 0.0593565, 0.0627129, 0.0659632, 0.0774903, 0.0832267, 0.0929068, 0.103325, 0.115322, 0.12133, 0.129632, 0.135917, 0.148487, 0.156878");
-            values ( \
-              "-0.00641411, -0.0443308, -0.102476, -0.099035, -0.0974481, -0.0986987, -0.102052, -0.124071, -0.11118, -0.0697244, -0.0355452, -0.0150302, -0.00967822, -0.00541831, -0.00354298, -0.00158, -0.00112341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0317334, 0.0381252, 0.0531219, 0.0580441, 0.065458, 0.0739448, 0.0891222, 0.0969897, 0.123615, 0.136133, 0.152738, 0.165825");
-            values ( \
-              "-0.00566258, -0.0737337, -0.153711, -0.147293, -0.14646, -0.153351, -0.178084, -0.158927, -0.0525614, -0.0271369, -0.010973, -0.00567063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0318635, 0.040365, 0.0525707, 0.0534151, 0.0645794, 0.0767944, 0.0887764, 0.100102, 0.110967, 0.123138, 0.147182, 0.161296, 0.177201, 0.198876, 0.227041, 0.24361");
-            values ( \
-              "-0.00201447, -0.113281, -0.207118, -0.208478, -0.200436, -0.201699, -0.207876, -0.223188, -0.228942, -0.201444, -0.112325, -0.0722413, -0.0419751, -0.019266, -0.0069576, -0.00417997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0318139, 0.0432005, 0.0532234, 0.0697744, 0.0972322, 0.115828, 0.133931, 0.151701, 0.170471, 0.212194, 0.228779, 0.25896, 0.281341, 0.2986, 0.318062, 0.363633, 0.382735");
-            values ( \
-              "-0.000856538, -0.165595, -0.257407, -0.252003, -0.252755, -0.25605, -0.266897, -0.266686, -0.235913, -0.129943, -0.0960561, -0.052696, -0.0329242, -0.0226673, -0.0148843, -0.00541052, -0.00399402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0318606, 0.0472682, 0.0541512, 0.151098, 0.166855, 0.19807, 0.229285, 0.262973, 0.323151, 0.36421, 0.405008, 0.426268, 0.451515, 0.499095, 0.533648, 0.573137, 0.630019, 0.660415");
-            values ( \
-              "-0.00635738, -0.231259, -0.295256, -0.29079, -0.291183, -0.295422, -0.289703, -0.255285, -0.160852, -0.107197, -0.0687318, -0.0539218, -0.0401388, -0.0225977, -0.0149407, -0.00906882, -0.0044758, -0.00321744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0356349, 0.0532563, 0.0569635, 0.0768666, 0.264216, 0.33089, 0.344131, 0.378319, 0.42456, 0.440457, 0.552182, 0.633314, 0.720203, 0.771788, 0.808944, 0.86365, 0.933762, 1.04753, 1.14028");
-            values ( \
-              "-0.105824, -0.313546, -0.320462, -0.322841, -0.313012, -0.311478, -0.309912, -0.301134, -0.277261, -0.265401, -0.167774, -0.108983, -0.0651494, -0.0473046, -0.0373577, -0.0261799, -0.0165311, -0.00774413, -0.00438174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0563132, 0.0647505, 0.0763795, 0.0999306, 0.107313, 0.112609, 0.113379, 0.114918, 0.116815, 0.118624, 0.121209, 0.124027, 0.133614, 0.140248, 0.143218, 0.15036, 0.154635, 0.164897, 0.167616, 0.173053, 0.197977, 0.217726, 0.241956, 0.279647, 0.279862");
-            values ( \
-              "-0.00548276, -0.0256241, -0.0415631, -0.0807173, -0.0969364, -0.115758, -0.121146, -0.125214, -0.126973, -0.126259, -0.122748, -0.11286, -0.0705287, -0.0463137, -0.0377925, -0.0225679, -0.0164469, -0.00758103, -0.00701014, -0.00413004, -0.000573525, -0.000821798, -1e-22, -0.000503588, -0.000501573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0564155, 0.0672307, 0.106877, 0.11546, 0.126874, 0.130323, 0.137223, 0.153492, 0.164583, 0.177393, 0.182485, 0.193897, 0.208345, 0.224857, 0.225753");
-            values ( \
-              "-0.00326946, -0.0436212, -0.13573, -0.159819, -0.177438, -0.1779, -0.16308, -0.0905685, -0.0530813, -0.0269784, -0.0204804, -0.0109583, -0.0051063, -0.00212469, -0.00207159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0563178, 0.0738935, 0.0924583, 0.114876, 0.118025, 0.121208, 0.127573, 0.141164, 0.151987, 0.163258, 0.188087, 0.202371, 0.218213, 0.227904, 0.239782, 0.255553, 0.268562, 0.294579, 0.307046");
-            values ( \
-              "-0.00437137, -0.0800651, -0.141444, -0.20507, -0.20729, -0.205786, -0.207922, -0.224075, -0.229047, -0.204343, -0.112677, -0.0720765, -0.0419609, -0.0297712, -0.0193276, -0.0109479, -0.0068238, -0.0025814, -0.00192014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0637827, 0.0750504, 0.0995546, 0.114852, 0.128077, 0.147672, 0.156924, 0.175015, 0.192782, 0.21155, 0.253282, 0.269857, 0.287833, 0.300034, 0.322419, 0.339678, 0.359133, 0.374318, 0.404688, 0.452012");
-            values ( \
-              "-0.0642482, -0.103929, -0.203184, -0.256102, -0.253179, -0.254143, -0.256555, -0.266788, -0.266801, -0.23591, -0.129928, -0.0960582, -0.0676104, -0.0526999, -0.0329231, -0.0226674, -0.0148863, -0.0106834, -0.00541323, -0.00189442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0637417, 0.0961486, 0.113975, 0.116792, 0.126777, 0.14193, 0.201489, 0.220813, 0.239146, 0.27042, 0.290875, 0.303799, 0.372313, 0.406738, 0.441691, 0.467006, 0.494835, 0.54099, 0.569969, 0.603088, 0.659971, 0.712383");
-            values ( \
-              "-0.0595413, -0.219438, -0.295676, -0.29702, -0.294112, -0.292827, -0.290721, -0.292484, -0.29606, -0.289386, -0.27152, -0.254954, -0.149105, -0.105553, -0.0722056, -0.0541131, -0.0390773, -0.0223866, -0.0157554, -0.0104657, -0.00508281, -0.00268495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.076104, 0.105309, 0.116037, 0.13007, 0.276807, 0.305191, 0.368898, 0.391483, 0.41931, 0.46709, 0.510293, 0.595392, 0.645644, 0.674493, 0.706217, 0.748517, 0.784503, 0.826982, 0.883621, 0.9267, 0.993272, 1.05015, 1.10704, 1.2208, 1.44833");
-            values ( \
-              "-0.21723, -0.281896, -0.324552, -0.322971, -0.314435, -0.313009, -0.311704, -0.308728, -0.30113, -0.276316, -0.240977, -0.166039, -0.127682, -0.108827, -0.0906804, -0.0704802, -0.0565144, -0.0433013, -0.0299942, -0.0226707, -0.0146269, -0.0100566, -0.00683469, -0.00318466, -0.000696614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.104693, 0.124929, 0.132221, 0.14602, 0.17827, 0.189409, 0.205345, 0.21187, 0.228999, 0.234189, 0.238418, 0.243749, 0.249344, 0.251704, 0.254525, 0.257285, 0.262584, 0.26965, 0.280988, 0.285296");
-            values ( \
-              "-0.0070135, -0.0156118, -0.0191302, -0.0284387, -0.0529495, -0.0648057, -0.100588, -0.100194, -0.044496, -0.0315775, -0.0236031, -0.0159726, -0.0106217, -0.010978, -0.0100093, -0.00809488, -0.00551252, -0.00332682, -0.00157286, -0.0013292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.105114, 0.127818, 0.136757, 0.144145, 0.185941, 0.192099, 0.200807, 0.220055, 0.228435, 0.236769, 0.249352, 0.263444, 0.273033, 0.280848, 0.287775, 0.292718, 0.302606, 0.308998");
-            values ( \
-              "-0.0110952, -0.0267841, -0.0340887, -0.0414497, -0.087378, -0.0952088, -0.108496, -0.154909, -0.148328, -0.119765, -0.071239, -0.0369459, -0.0219194, -0.0141896, -0.00973554, -0.00745953, -0.0043939, -0.0034705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.130645, 0.158428, 0.161267, 0.164106, 0.185413, 0.194708, 0.204002, 0.207894, 0.211787, 0.215679, 0.219571, 0.222855, 0.226139, 0.229423, 0.232707, 0.235581, 0.238455, 0.241329, 0.244202, 0.245477, 0.246752, 0.248027, 0.249302, 0.250816, 0.25233, 0.25314, 0.253951, 0.255572, 0.258443, 0.261313, 0.273546, 0.277873, 0.2822, 0.285367, 0.288988, 0.291254, 0.293974, 0.296984, 0.299995, 0.302009, 0.308051, 0.310616, 0.311899, 0.315747, 0.318312, 0.320878, 0.323443, 0.326008, 0.332072, 0.338117");
-            values ( \
-              "-0.07969, -0.0799599, -0.0843752, -0.0884521, -0.118589, -0.132043, -0.145815, -0.152284, -0.159018, -0.166019, -0.173286, -0.18085, -0.189031, -0.197828, -0.207242, -0.211469, -0.214616, -0.216683, -0.217669, -0.217761, -0.21764, -0.217306, -0.21676, -0.215001, -0.21256, -0.210924, -0.209072, -0.204721, -0.194511, -0.183778, -0.13582, -0.120641, -0.106483, -0.0968602, -0.0863053, -0.0799836, -0.0728977, -0.0661866, -0.0599326, -0.0561491, -0.0454456, -0.0411944, -0.0393066, -0.0341239, -0.0312758, -0.0286104, -0.0261275, -0.0238271, -0.0192095, -0.0150616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.131783, 0.161051, 0.167379, 0.169458, 0.175694, 0.18401, 0.192325, 0.229197, 0.239174, 0.249151, 0.253557, 0.257319, 0.261905, 0.267215, 0.268724, 0.271741, 0.275335, 0.277421, 0.281593, 0.283194, 0.284795, 0.287997, 0.291199, 0.294286, 0.297372, 0.303546, 0.313061, 0.323436, 0.33424, 0.338628, 0.343015, 0.350493, 0.357971, 0.365715, 0.370766, 0.375429, 0.380091, 0.386137, 0.392184, 0.395546, 0.398909, 0.402272, 0.405305, 0.411372, 0.414405, 0.41873, 0.423054, 0.427379, 0.431703, 0.438865");
-            values ( \
-              "-0.0994895, -0.105279, -0.117523, -0.121304, -0.132468, -0.146879, -0.160917, -0.221095, -0.238994, -0.257848, -0.259933, -0.261997, -0.264806, -0.268422, -0.268575, -0.268694, -0.268507, -0.268235, -0.26733, -0.266405, -0.265265, -0.261589, -0.257165, -0.251601, -0.245735, -0.233103, -0.21045, -0.184383, -0.155694, -0.145101, -0.134928, -0.118556, -0.103404, -0.0890602, -0.0803777, -0.0735364, -0.0671254, -0.0596024, -0.0525049, -0.0487415, -0.0452193, -0.041938, -0.0394476, -0.0348142, -0.0326711, -0.0298772, -0.0272779, -0.0248731, -0.022663, -0.0194087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.124457, 0.146126, 0.169855, 0.196899, 0.215523, 0.248872, 0.254203, 0.261209, 0.268216, 0.281343, 0.290501, 0.299671, 0.315398, 0.33088, 0.361966, 0.373638, 0.384035, 0.395531, 0.455482, 0.480676, 0.495976, 0.506343, 0.520166, 0.547811, 0.55927, 0.572789, 0.590815, 0.622932, 0.636994, 0.657275, 0.684316, 0.738397, 0.79528, 0.852163, 0.965928");
-            values ( \
-              "-0.077942, -0.0910856, -0.141452, -0.196262, -0.230997, -0.288627, -0.292152, -0.292567, -0.291195, -0.29117, -0.290769, -0.291344, -0.292948, -0.296089, -0.289464, -0.280499, -0.269888, -0.254951, -0.161427, -0.126694, -0.108343, -0.0971242, -0.0836361, -0.0612686, -0.0537278, -0.0459243, -0.0371307, -0.0251945, -0.0212645, -0.0166282, -0.0119326, -0.00601675, -0.0029336, -0.00144994, -0.000385235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.124303, 0.159941, 0.208217, 0.248718, 0.253609, 0.262479, 0.270559, 0.283329, 0.396753, 0.460459, 0.483038, 0.510873, 0.558497, 0.602159, 0.686731, 0.736687, 0.76604, 0.798326, 0.841375, 0.876124, 0.917129, 0.971802, 1.01801, 1.08922, 1.14611, 1.20299, 1.31675, 1.54428");
-            values ( \
-              "-0.067399, -0.131292, -0.23903, -0.316787, -0.320879, -0.321598, -0.320055, -0.319959, -0.313003, -0.311705, -0.30873, -0.30113, -0.276423, -0.240704, -0.166221, -0.128044, -0.108837, -0.0903914, -0.0699247, -0.0564922, -0.043691, -0.0306685, -0.0227136, -0.0142066, -0.00976779, -0.00663683, -0.00309243, -0.000676639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.190894, 0.221471, 0.245514, 0.256606, 0.274071, 0.332191, 0.349342, 0.372987, 0.382135, 0.391303, 0.406621, 0.416404, 0.425403, 0.431985, 0.442291, 0.450902, 0.452238");
-            values ( \
-              "-0.00202783, -0.00456052, -0.00831716, -0.0104543, -0.0147338, -0.0340644, -0.0423522, -0.0698705, -0.0731704, -0.066165, -0.0328239, -0.0181678, -0.0101271, -0.00653902, -0.00339157, -0.00199858, -0.00190192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.195204, 0.221367, 0.238155, 0.261945, 0.27585, 0.346049, 0.365631, 0.394148, 0.40574, 0.417326, 0.435212, 0.448699, 0.459524, 0.468178, 0.476081, 0.493174, 0.502513");
-            values ( \
-              "-0.00382631, -0.00729618, -0.0114336, -0.0185735, -0.0240522, -0.058428, -0.0710128, -0.107684, -0.110937, -0.097986, -0.0540989, -0.0296824, -0.0175104, -0.0112793, -0.00758472, -0.00322125, -0.00232437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.202429, 0.220978, 0.24636, 0.27383, 0.285389, 0.368895, 0.392421, 0.428561, 0.444064, 0.459524, 0.484367, 0.501778, 0.520408, 0.536265, 0.550443, 0.554979, 0.584986");
-            values ( \
-              "-0.00696628, -0.0106154, -0.0204063, -0.0335059, -0.0404971, -0.0951146, -0.113183, -0.157638, -0.158806, -0.135305, -0.0756597, -0.044568, -0.0238822, -0.0136957, -0.00832792, -0.00874731, -0.00344218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.220635, 0.258438, 0.290128, 0.323876, 0.405491, 0.435216, 0.460611, 0.483003, 0.50477, 0.52647, 0.550146, 0.576458, 0.598931, 0.625691, 0.651469, 0.669172, 0.704578, 0.729367");
-            values ( \
-              "-0.0158174, -0.0342969, -0.0560257, -0.0843751, -0.14721, -0.171979, -0.200891, -0.221671, -0.214134, -0.175945, -0.124264, -0.0778541, -0.0493268, -0.0279601, -0.0160103, -0.0108611, -0.00485427, -0.00320124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.220437, 0.290153, 0.356018, 0.500527, 0.534904, 0.547442, 0.550024, 0.555189, 0.566461, 0.577811, 0.588227, 0.599876, 0.672347, 0.703126, 0.734359, 0.757326, 0.776848, 0.797891, 0.827999, 0.859983, 0.884625, 0.933908, 0.990791, 1.04767, 1.16144");
-            values ( \
-              "-0.0129608, -0.066665, -0.128168, -0.250608, -0.282682, -0.291628, -0.292943, -0.29351, -0.288532, -0.28049, -0.269879, -0.254861, -0.143545, -0.105218, -0.0750309, -0.057812, -0.0461175, -0.0359698, -0.0250089, -0.0169865, -0.0125634, -0.00675986, -0.0032908, -0.00162263, -0.000426411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.220227, 0.297453, 0.397626, 0.474581, 0.549849, 0.555476, 0.563318, 0.569882, 0.596901, 0.657306, 0.680034, 0.710338, 0.723452, 0.740938, 0.776746, 0.889532, 0.920961, 0.960997, 0.989198, 1.02274, 1.06705, 1.108, 1.1626, 1.20458, 1.2516, 1.30849, 1.36537, 1.42225, 1.47913, 1.5929, 1.70667");
-            values ( \
-              "-0.0103144, -0.0813887, -0.181809, -0.252052, -0.313642, -0.315265, -0.3153, -0.31449, -0.313143, -0.312235, -0.310053, -0.302702, -0.297842, -0.289563, -0.265461, -0.167047, -0.142316, -0.114491, -0.0975507, -0.0800894, -0.0611545, -0.0473875, -0.0333873, -0.0254284, -0.018707, -0.0128224, -0.00877555, -0.00599841, -0.00410376, -0.0019268, -0.000914558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.441075, 0.509757, 0.541331, 0.563931, 0.613635, 0.64531, 0.662085, 0.674347, 0.69358, 0.699501, 0.705114, 0.709746, 0.716933, 0.723299, 0.736624, 0.742524, 0.762511, 0.773768, 0.782021, 0.786462, 0.792493, 0.800534, 0.816615, 0.838835, 0.867032, 0.915723");
-            values ( \
-              "-0.002958, -0.00496376, -0.00726188, -0.00969341, -0.0167112, -0.0214603, -0.0246398, -0.0278098, -0.0370346, -0.0415821, -0.0446177, -0.0466348, -0.0486832, -0.0497226, -0.0480011, -0.0429873, -0.020113, -0.0112442, -0.00707895, -0.00553451, -0.00396413, -0.00254679, -0.00108204, -0.000424419, -0.00021528, -0.000136694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.440896, 0.503656, 0.522932, 0.542507, 0.564085, 0.575454, 0.666911, 0.697041, 0.720808, 0.739917, 0.757013, 0.774153, 0.804353, 0.813844, 0.827051, 0.839043, 0.847491, 0.864386, 0.889397, 0.919543, 0.958681, 1.01432, 1.0712, 1.12808, 1.18496, 1.216, 1.23519, 1.26027");
-            values ( \
-              "-0.00501558, -0.00773332, -0.00969096, -0.0120979, -0.0154977, -0.0177505, -0.0377253, -0.0463734, -0.0602115, -0.0727006, -0.0752615, -0.0728002, -0.0339263, -0.0238139, -0.0137933, -0.00819582, -0.00592157, -0.00256274, -0.00105876, -0.000213189, -0.000310786, -1e-22, -0.000194058, -1e-22, -0.00016007, -1e-22, -0.00042143, -0.000141699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.440685, 0.494143, 0.544774, 0.576491, 0.699269, 0.735183, 0.789465, 0.812152, 0.834861, 0.887588, 0.90418, 0.933517, 0.946488, 0.972431, 0.992495");
-            values ( \
-              "-0.00770793, -0.010623, -0.0187603, -0.0258936, -0.0620808, -0.0743699, -0.106246, -0.109557, -0.103028, -0.0360543, -0.0226103, -0.00930992, -0.0062139, -0.00267268, -0.00167804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.440502, 0.512606, 0.54305, 0.56903, 0.608067, 0.660349, 0.751865, 0.796874, 0.868238, 0.899332, 0.930413, 0.979638, 1.00991, 1.03062, 1.06033, 1.08024, 1.10299, 1.14848, 1.20536, 1.21574, 1.22716, 1.31927");
-            values ( \
-              "-0.00776458, -0.0185072, -0.0254574, -0.0323759, -0.045429, -0.0652221, -0.0969051, -0.113747, -0.150831, -0.153855, -0.138655, -0.0765403, -0.0461938, -0.0315935, -0.0176929, -0.0118952, -0.00744787, -0.00273831, -0.000775393, -0.000648657, -0.00142931, -0.000242907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.497745, 0.605967, 0.673524, 0.730407, 0.892408, 0.943028, 0.949274, 0.96926, 0.988828, 1.02796, 1.04147, 1.05904, 1.07959, 1.13997, 1.16186, 1.19104, 1.20868, 1.2161, 1.24699, 1.26916, 1.28767, 1.31014, 1.3401, 1.39699, 1.45387, 1.51075, 1.56763");
-            values ( \
-              "-0.0312107, -0.0534089, -0.0823417, -0.105324, -0.167892, -0.191595, -0.195115, -0.203412, -0.208964, -0.2107, -0.205743, -0.193275, -0.173049, -0.106844, -0.0863402, -0.0633749, -0.0522054, -0.0481479, -0.0346043, -0.026613, -0.0212653, -0.0161957, -0.0112092, -0.00544589, -0.00266325, -0.00132193, -0.000674647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.497312, 0.576741, 0.856794, 1.10301, 1.15989, 1.18098, 1.2051, 1.23038, 1.34225, 1.42329, 1.48409, 1.55523, 1.6767, 1.8155, 1.90501");
-            values ( \
-              "-0.0399951, -0.0491856, -0.17062, -0.26867, -0.284023, -0.284301, -0.279127, -0.266195, -0.16755, -0.108767, -0.0764137, -0.049179, -0.0224481, -0.00893202, -0.00578158" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00312244, 0.00313735, 0.00315192, 0.0031634, 0.00317098, 0.00317548", \
-            "0.00374201, 0.0037496, 0.00375947, 0.00376907, 0.00377646, 0.00378132", \
-            "0.00411731, 0.00411896, 0.00412236, 0.00412736, 0.00413252, 0.00413648", \
-            "0.00433218, 0.00433256, 0.00433321, 0.00433453, 0.00433675, 0.00433925", \
-            "0.00444052, 0.00444072, 0.00444102, 0.00444135, 0.0044419, 0.00444288", \
-            "0.00450094, 0.00450132, 0.0045018, 0.00450233, 0.00450282, 0.00450328" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0045156, 0.00452064, 0.00452464, 0.00452643, 0.00452894, 0.0045311", \
-            "0.0049601, 0.00496321, 0.0049636, 0.00496181, 0.00495907, 0.00495694", \
-            "0.00510988, 0.00512175, 0.00512882, 0.005131, 0.00513017, 0.00512843", \
-            "0.00525579, 0.0052297, 0.00520745, 0.00519041, 0.00517917, 0.00517178", \
-            "0.00573733, 0.00555592, 0.00540777, 0.00530724, 0.00524583, 0.00520911", \
-            "0.00662387, 0.00631172, 0.00584266, 0.00554639, 0.00537079, 0.00526856" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(A0 & ~A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A0 * !A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0432966, 0.0588655, 0.0882745, 0.144375, 0.252101, 0.459887", \
-            "0.0480016, 0.0634956, 0.093094, 0.149452, 0.257411, 0.465332", \
-            "0.0615398, 0.0761636, 0.105088, 0.161412, 0.269516, 0.477225", \
-            "0.0855704, 0.105327, 0.135648, 0.190778, 0.298372, 0.506332", \
-            "0.121268, 0.14937, 0.193347, 0.259352, 0.363768, 0.571512", \
-            "0.180462, 0.218012, 0.279094, 0.373297, 0.512345, 0.722305" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0444011, 0.06647, 0.108109, 0.187288, 0.339753, 0.633823", \
-            "0.0439671, 0.0660981, 0.107973, 0.187317, 0.339627, 0.633987", \
-            "0.0477918, 0.0670497, 0.107389, 0.187193, 0.339736, 0.633944", \
-            "0.0663212, 0.0827817, 0.115694, 0.188008, 0.339714, 0.633984", \
-            "0.0951442, 0.118523, 0.155405, 0.214985, 0.345673, 0.6339", \
-            "0.14245, 0.174466, 0.225352, 0.302321, 0.41885, 0.662477" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0293317, 0.0381345, 0.0546531, 0.0860791, 0.146413, 0.262764", \
-            "0.0340636, 0.0429627, 0.0596371, 0.0912182, 0.15167, 0.268092", \
-            "0.043282, 0.053893, 0.0708817, 0.102616, 0.163233, 0.279576", \
-            "0.0522628, 0.0679795, 0.09241, 0.128352, 0.189014, 0.30567", \
-            "0.0561785, 0.0791602, 0.115186, 0.168532, 0.245714, 0.363849", \
-            "0.0453761, 0.0779007, 0.129154, 0.206941, 0.321073, 0.483434" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0208494, 0.0317822, 0.0530129, 0.0941438, 0.173582, 0.327288", \
-            "0.0212067, 0.0318433, 0.0530285, 0.0940971, 0.173595, 0.32715", \
-            "0.0283279, 0.0364977, 0.0547406, 0.0941521, 0.173606, 0.32724", \
-            "0.0436246, 0.0549044, 0.0721194, 0.103434, 0.175238, 0.327277", \
-            "0.0680811, 0.0843812, 0.109374, 0.146667, 0.203971, 0.335718", \
-            "0.110226, 0.132435, 0.167785, 0.221702, 0.300095, 0.414307" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0283843, 0.0296621, 0.0310917, 0.0334308, 0.0366194, 0.0432975, 0.0591032, 0.0693941, 0.0770906, 0.0878854, 0.0942572, 0.10496, 0.117489, 0.12819, 0.141192, 0.158181, 0.189837, 0.20776");
-            values ( \
-              "0.0700301, 0.13519, 0.140259, 0.140091, 0.137051, 0.123329, 0.0964674, 0.0665984, 0.0493083, 0.0341189, 0.027854, 0.0200745, 0.0137611, 0.00995046, 0.00668974, 0.00395928, 0.00144755, 0.00111208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0290044, 0.0308477, 0.0329983, 0.0375833, 0.0498673, 0.0565193, 0.0704314, 0.0762784, 0.0958655, 0.110639, 0.123538, 0.136411, 0.149394, 0.159922, 0.179223, 0.193001, 0.212931, 0.253385, 0.270031");
-            values ( \
-              "0.0998806, 0.175064, 0.178918, 0.173828, 0.151606, 0.143988, 0.131067, 0.123506, 0.0824583, 0.0585769, 0.0438436, 0.0326773, 0.0243156, 0.0189873, 0.0119915, 0.00856195, 0.00525572, 0.001877, 0.00136769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0284873, 0.0322678, 0.0354806, 0.04431, 0.0490695, 0.0551735, 0.0655565, 0.0842444, 0.0992586, 0.107758, 0.116672, 0.14201, 0.151001, 0.161757, 0.173274, 0.185852, 0.202039, 0.215302, 0.232985, 0.24721, 0.265452, 0.289472, 0.317656, 0.340469, 0.386096, 0.414505");
-            values ( \
-              "0.0536682, 0.205758, 0.206817, 0.190688, 0.183433, 0.177032, 0.17013, 0.161404, 0.153111, 0.146456, 0.136893, 0.101275, 0.089938, 0.0777292, 0.0662861, 0.0552518, 0.0432967, 0.0352455, 0.0264912, 0.0209702, 0.0154341, 0.0101803, 0.00624495, 0.00416791, 0.00178088, 0.00120838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0285073, 0.0345209, 0.0396212, 0.0477102, 0.0522957, 0.0614667, 0.0767187, 0.102086, 0.128437, 0.152291, 0.16336, 0.17812, 0.185547, 0.255535, 0.282427, 0.302223, 0.327333, 0.346427, 0.365765, 0.391554, 0.414583, 0.445289, 0.471764, 0.507416, 0.554954, 0.632823, 0.710692, 0.788561");
-            values ( \
-              "0.0887339, 0.22649, 0.21971, 0.205645, 0.200571, 0.19427, 0.189511, 0.183424, 0.176286, 0.168827, 0.164308, 0.156493, 0.151669, 0.0958215, 0.0776259, 0.065333, 0.0519636, 0.0433051, 0.0356973, 0.0274881, 0.0216281, 0.0155034, 0.011688, 0.0079141, 0.00457132, 0.00185132, 0.00068199, 0.000312123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0308436, 0.0308636, 0.0947985, 0.16675, 0.213066, 0.263672, 0.289411, 0.317751, 0.373054, 0.453258, 0.517546, 0.565901, 0.590282, 0.636431, 0.679981, 0.716387, 0.756183, 0.812736, 0.870533, 0.914647, 0.992516, 1.07039, 1.30399");
-            values ( \
-              "1e-22, 0.226625, 0.201784, 0.192208, 0.185285, 0.176212, 0.1701, 0.161101, 0.137745, 0.101397, 0.0751833, 0.058511, 0.0512541, 0.0394713, 0.0305346, 0.0245246, 0.0191965, 0.0134447, 0.00932394, 0.00702244, 0.00420992, 0.00251593, 0.000531494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0334831, 0.0335031, 0.188998, 0.245, 0.403142, 0.471588, 0.501525, 0.561398, 0.596344, 0.638552, 0.687562, 0.831462, 0.899325, 0.958352, 1.03432, 1.08734, 1.15007, 1.22794, 1.25792, 1.31788, 1.38461, 1.46248, 1.52194, 1.5931, 1.67097, 1.74884, 1.90458, 2.06032, 2.37179");
-            values ( \
-              "1e-22, 0.229429, 0.204631, 0.200699, 0.188044, 0.18132, 0.177651, 0.16881, 0.162126, 0.152796, 0.141253, 0.105007, 0.0888126, 0.0759768, 0.0610214, 0.0520478, 0.0429333, 0.0332829, 0.0302908, 0.0246833, 0.0197982, 0.0150222, 0.0122097, 0.00950486, 0.00732337, 0.00544201, 0.00308949, 0.00173782, 0.000523494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0428125, 0.0462009, 0.0508871, 0.0526858, 0.054221, 0.055625, 0.0571074, 0.0596097, 0.0621657, 0.073414, 0.07939, 0.0896351, 0.0957023, 0.100304, 0.106119, 0.112566, 0.121158, 0.126393, 0.136093, 0.146645, 0.159564, 0.179033, 0.212944, 0.232736");
-            values ( \
-              "0.00429606, 0.0731215, 0.100802, 0.120419, 0.127342, 0.130459, 0.131533, 0.130159, 0.126549, 0.106378, 0.093144, 0.0622729, 0.0491438, 0.0417143, 0.0343909, 0.027999, 0.0214644, 0.0183563, 0.0136966, 0.00994596, 0.00670074, 0.003671, 0.00127107, 0.000754277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0442725, 0.0482819, 0.0509869, 0.0525264, 0.0545029, 0.0554939, 0.057476, 0.0602511, 0.0630349, 0.0668354, 0.0710454, 0.0774948, 0.0797171, 0.0841617, 0.0890188, 0.0984855, 0.0992516, 0.100784, 0.103848, 0.107315, 0.111652, 0.118483, 0.127238, 0.129208, 0.133149, 0.14103, 0.152494, 0.157608, 0.158702, 0.160892, 0.16527, 0.171747, 0.183807, 0.187608, 0.19521, 0.210413, 0.220648, 0.224514, 0.232247, 0.247713, 0.270994, 0.285333");
-            values ( \
-              "0.0187944, 0.10769, 0.127834, 0.154146, 0.166329, 0.164098, 0.172421, 0.166018, 0.167467, 0.155742, 0.15434, 0.140801, 0.143595, 0.133878, 0.133914, 0.115126, 0.11836, 0.110184, 0.108198, 0.0952575, 0.0905125, 0.0723285, 0.0635231, 0.0560989, 0.0559713, 0.0424817, 0.0370045, 0.0284667, 0.0324516, 0.0262889, 0.028222, 0.0199446, 0.0190572, 0.0129832, 0.0150615, 0.00648621, 0.00915346, 0.00393446, 0.00744191, 0.00121168, 0.00422184, 0.0027908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0456819, 0.052392, 0.0548067, 0.0569134, 0.0601901, 0.0712512, 0.0794524, 0.0880898, 0.106237, 0.118519, 0.134324, 0.164699, 0.179273, 0.18766, 0.198843, 0.218296, 0.242035, 0.2606, 0.280473, 0.293837, 0.312413, 0.333793, 0.362299, 0.418235, 0.483065");
-            values ( \
-              "0.0534562, 0.175169, 0.19265, 0.198559, 0.199242, 0.182919, 0.174423, 0.16871, 0.159727, 0.152655, 0.138546, 0.0960226, 0.0788794, 0.0703662, 0.060045, 0.0448562, 0.0310015, 0.0228592, 0.0164204, 0.0130752, 0.00948537, 0.00654027, 0.0039278, 0.00133612, 0.000360705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0471462, 0.0535968, 0.0578153, 0.060217, 0.0713913, 0.0777356, 0.0863578, 0.0956632, 0.121054, 0.157353, 0.174914, 0.188931, 0.204472, 0.262414, 0.280583, 0.316352, 0.344879, 0.359829, 0.387892, 0.411697, 0.431282, 0.454694, 0.487757, 0.522389, 0.548951, 0.602076, 0.679945, 0.757814");
-            values ( \
-              "0.0914832, 0.202129, 0.219059, 0.219217, 0.203947, 0.19762, 0.192722, 0.189707, 0.18337, 0.173364, 0.167319, 0.1611, 0.151714, 0.105392, 0.0918077, 0.0682219, 0.0526006, 0.0456616, 0.0346136, 0.0271303, 0.0221236, 0.0172439, 0.0120374, 0.00824595, 0.00614127, 0.00334706, 0.00131754, 0.000518084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0519112, 0.0519312, 0.121392, 0.168723, 0.207595, 0.232397, 0.28131, 0.306565, 0.333504, 0.35817, 0.386361, 0.469754, 0.521209, 0.577189, 0.605104, 0.619805, 0.649208, 0.697132, 0.739264, 0.79544, 0.837826, 0.853473, 0.884769, 0.947361, 1.02523, 1.1031, 1.18097, 1.33671");
-            values ( \
-              "1e-22, 0.235435, 0.200971, 0.19464, 0.189091, 0.185278, 0.176652, 0.170651, 0.162396, 0.152816, 0.140498, 0.102533, 0.081162, 0.060981, 0.052495, 0.0483836, 0.040952, 0.0308876, 0.0239631, 0.016903, 0.0129442, 0.0117287, 0.00961041, 0.00640974, 0.00383923, 0.00229388, 0.00136643, 0.000483889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.055162, 0.055182, 0.180006, 0.335426, 0.473491, 0.525546, 0.592245, 0.670114, 0.873331, 0.977467, 1.10692, 1.16959, 1.27732, 1.40385, 1.48172, 1.56511, 1.69056, 1.84629, 2.00203, 2.08775");
-            values ( \
-              "1e-22, 0.240975, 0.206704, 0.195203, 0.183123, 0.177107, 0.166667, 0.150013, 0.0996033, 0.0759804, 0.0520739, 0.0428624, 0.0302357, 0.0197535, 0.0150857, 0.0113041, 0.00726476, 0.00417094, 0.00238728, 0.00198854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0699633, 0.0746329, 0.0875426, 0.0971249, 0.104868, 0.112605, 0.113636, 0.115302, 0.116542, 0.117832, 0.120412, 0.134809, 0.14375, 0.152323, 0.16212, 0.174233, 0.183144, 0.198942, 0.210499, 0.225023, 0.254647, 0.276914");
-            values ( \
-              "0.018128, 0.0266295, 0.0585862, 0.078133, 0.091533, 0.101391, 0.105853, 0.10865, 0.108697, 0.108015, 0.1043, 0.0602105, 0.0424392, 0.0319075, 0.0233479, 0.0162561, 0.0124117, 0.00769488, 0.00537072, 0.00344843, 0.00135277, 0.000731039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0700407, 0.0790316, 0.0818986, 0.0855902, 0.0915194, 0.0935009, 0.0974639, 0.105374, 0.108995, 0.112616, 0.113838, 0.114915, 0.116415, 0.119414, 0.122562, 0.125135, 0.128565, 0.132477, 0.1403, 0.147099, 0.153952, 0.154961, 0.156978, 0.161013, 0.169084, 0.174993, 0.178337, 0.185025, 0.198151, 0.203391, 0.20614, 0.211639, 0.222636, 0.229201, 0.233858, 0.240067, 0.249651, 0.263169, 0.268561, 0.279347, 0.300918, 0.340649, 0.38708");
-            values ( \
-              "0.00862641, 0.0477945, 0.0563961, 0.068614, 0.0858923, 0.0914276, 0.101957, 0.121547, 0.129555, 0.136945, 0.143589, 0.147105, 0.149293, 0.149819, 0.147081, 0.143881, 0.139293, 0.133846, 0.121288, 0.106286, 0.0901222, 0.0882075, 0.0839733, 0.076295, 0.0631045, 0.0553586, 0.0513853, 0.044194, 0.0327581, 0.0291111, 0.0273595, 0.0240835, 0.0186067, 0.0159203, 0.0142492, 0.012292, 0.00972902, 0.00699438, 0.00611539, 0.0046985, 0.00270892, 0.000928975, 0.000239451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0826107, 0.100691, 0.104972, 0.110681, 0.112689, 0.113452, 0.114215, 0.115931, 0.116742, 0.117553, 0.119175, 0.120798, 0.12357, 0.124494, 0.125821, 0.132715, 0.134171, 0.137018, 0.139865, 0.158069, 0.159781, 0.163205, 0.168342, 0.173333, 0.178324, 0.186944, 0.191254, 0.203791, 0.212728, 0.220754, 0.231563, 0.234816, 0.237971, 0.247434, 0.251491, 0.259605, 0.263662, 0.272012, 0.277217, 0.279819, 0.287627, 0.291825, 0.300221, 0.309241, 0.318884, 0.323705, 0.333348, 0.338169, 0.346545, 0.362471");
-            values ( \
-              "0.119712, 0.130347, 0.143436, 0.159551, 0.172656, 0.173823, 0.175407, 0.180493, 0.181858, 0.182748, 0.182255, 0.181551, 0.179851, 0.17916, 0.177916, 0.17111, 0.169844, 0.167694, 0.165721, 0.154834, 0.153707, 0.151273, 0.146857, 0.141532, 0.135762, 0.1244, 0.118489, 0.100808, 0.0897768, 0.0806142, 0.0691573, 0.0659009, 0.0630915, 0.0551636, 0.0519948, 0.0460709, 0.043316, 0.0380014, 0.0348241, 0.03346, 0.0296042, 0.0277486, 0.024203, 0.0206405, 0.0174405, 0.0161349, 0.0137481, 0.012667, 0.0110311, 0.00818893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0563309, 0.126821, 0.132291, 0.138607, 0.148329, 0.197999, 0.213489, 0.226382, 0.243572, 0.247365, 0.25495, 0.310543, 0.339137, 0.356848, 0.387119, 0.403575, 0.432128, 0.455059, 0.470509, 0.491109, 0.528065, 0.54504, 0.570512, 0.604476, 0.672403, 0.750272, 0.828141");
-            values ( \
-              "0.00306328, 0.200283, 0.195549, 0.19153, 0.18763, 0.17406, 0.168945, 0.163694, 0.154298, 0.151617, 0.146119, 0.101034, 0.0809373, 0.0696374, 0.0528613, 0.0452526, 0.0341246, 0.0269761, 0.0229724, 0.0184737, 0.0123541, 0.0102721, 0.00776641, 0.00531959, 0.00240104, 0.000944828, 0.000369727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0791925, 0.117579, 0.142668, 0.154714, 0.171972, 0.179947, 0.195899, 0.226719, 0.268236, 0.275183, 0.289078, 0.316868, 0.343623, 0.429085, 0.512678, 0.605427, 0.675555, 0.744389, 0.75296, 0.770102, 0.804385, 0.85661, 0.888651, 0.898685, 0.918752, 0.958888, 1.02086, 1.09873, 1.1766, 1.25447, 1.33234, 1.41021");
-            values ( \
-              "0.0648836, 0.219165, 0.203542, 0.203561, 0.198624, 0.200199, 0.195447, 0.193821, 0.185073, 0.186594, 0.181704, 0.179349, 0.173453, 0.141806, 0.101227, 0.0646226, 0.0437221, 0.0288237, 0.0299422, 0.0245126, 0.0222459, 0.013793, 0.0136516, 0.0102759, 0.0115078, 0.00658044, 0.00658045, 0.0018473, 0.00318983, 1e-22, 0.00197476, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.0794216, 0.116367, 0.118293, 0.122145, 0.138995, 0.148836, 0.16121, 0.347392, 0.480848, 0.533798, 0.611667, 0.682637, 0.749748, 0.91667, 1.02115, 1.09682, 1.14938, 1.21223, 1.32026, 1.38057, 1.44718, 1.52505, 1.60788, 1.73295, 1.88868, 2.04442, 2.20016, 2.43377");
-            values ( \
-              "0.0675443, 0.222062, 0.225033, 0.22573, 0.214663, 0.212261, 0.211025, 0.197606, 0.186578, 0.181265, 0.170841, 0.15701, 0.141147, 0.0994635, 0.0757853, 0.0610917, 0.0521872, 0.0428698, 0.0302057, 0.0247404, 0.0196989, 0.0150951, 0.0112875, 0.00725638, 0.00415709, 0.00237045, 0.00134655, 0.000597643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124551, 0.137275, 0.146444, 0.160887, 0.176749, 0.189259, 0.200133, 0.210061, 0.219515, 0.228948, 0.234308, 0.240026, 0.244089, 0.248966, 0.251693, 0.25464, 0.267559, 0.274991, 0.285969, 0.295601, 0.302708, 0.314954, 0.327757, 0.337745, 0.357722, 0.392196, 0.433437");
-            values ( \
-              "0.00844613, 0.0121595, 0.0187567, 0.034516, 0.0479696, 0.0568645, 0.0635804, 0.0683362, 0.0706689, 0.0632645, 0.0507543, 0.0397041, 0.0340872, 0.028499, 0.0288968, 0.0277016, 0.0197984, 0.0158663, 0.0113367, 0.00848358, 0.00682832, 0.00466902, 0.00314949, 0.00230945, 0.0012161, 0.000369252, 8.01705e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.124527, 0.141848, 0.148419, 0.169333, 0.188921, 0.204323, 0.217665, 0.229823, 0.241554, 0.248942, 0.251481, 0.263429, 0.27178, 0.280233, 0.291505, 0.301295, 0.313959, 0.326151, 0.338004, 0.353807, 0.374386, 0.38901, 0.418259, 0.4457");
-            values ( \
-              "0.00825391, 0.021015, 0.0274487, 0.0541241, 0.0752148, 0.0894412, 0.100644, 0.107764, 0.108528, 0.0963515, 0.0944129, 0.0715533, 0.0588315, 0.048491, 0.0374891, 0.030058, 0.0224281, 0.0167919, 0.0126559, 0.00860907, 0.0051891, 0.0036163, 0.0016824, 0.000939419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.124529, 0.148638, 0.182523, 0.207646, 0.227321, 0.252325, 0.256234, 0.267945, 0.309766, 0.334763, 0.366505, 0.390929, 0.403687, 0.427765, 0.450276, 0.495983, 0.536368, 0.548313");
-            values ( \
-              "0.00670183, 0.0339356, 0.081842, 0.113322, 0.135264, 0.157963, 0.158555, 0.148316, 0.0914867, 0.0649463, 0.0404716, 0.0274238, 0.0222547, 0.0148473, 0.0100635, 0.0045226, 0.00215739, 0.00186299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.135436, 0.158505, 0.179097, 0.202159, 0.218136, 0.235329, 0.25371, 0.261023, 0.269865, 0.278562, 0.306031, 0.315246, 0.32926, 0.344805, 0.402747, 0.420915, 0.437606, 0.456683, 0.485209, 0.500157, 0.509765, 0.528219, 0.552022, 0.571604, 0.595013, 0.628071, 0.642774, 0.662683, 0.68923, 0.742322, 0.820191, 0.89806");
-            values ( \
-              "0.0289949, 0.0531247, 0.0852337, 0.119772, 0.142406, 0.165102, 0.187088, 0.18816, 0.183095, 0.179468, 0.170668, 0.167319, 0.161107, 0.151713, 0.105393, 0.0918115, 0.0803099, 0.0682254, 0.0526028, 0.0456646, 0.0415969, 0.0346167, 0.0271332, 0.0221268, 0.017247, 0.0120403, 0.0102605, 0.00824954, 0.00614529, 0.00335043, 0.00131903, 0.000518512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.15784, 0.192112, 0.230241, 0.253478, 0.261704, 0.269225, 0.276945, 0.286511, 0.361546, 0.393365, 0.422751, 0.473901, 0.514873, 0.616704, 0.67453, 0.724466, 0.766563, 0.798616, 0.851193, 0.873801, 0.914176, 0.97117, 1.03061, 1.07615, 1.15402, 1.23189, 1.30976, 1.4655");
-            values ( \
-              "0.106607, 0.111815, 0.171332, 0.204134, 0.206098, 0.20201, 0.199644, 0.197704, 0.186981, 0.181807, 0.176209, 0.162422, 0.145559, 0.0995273, 0.0759903, 0.0586447, 0.0465636, 0.0387628, 0.0284027, 0.0247755, 0.019326, 0.0134984, 0.00926402, 0.00691228, 0.00414299, 0.00247607, 0.00147563, 0.000522769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.157816, 0.189894, 0.248457, 0.254759, 0.262716, 0.270614, 0.28641, 0.422855, 0.486647, 0.535337, 0.613206, 0.665246, 0.731955, 0.809824, 0.846713, 1.01304, 1.05798, 1.11717, 1.19332, 1.24663, 1.30929, 1.38716, 1.41702, 1.47673, 1.54355, 1.62142, 1.66911, 1.70479, 1.75236, 1.83023, 1.90809, 1.98596, 2.1417, 2.29744, 2.53105");
-            values ( \
-              "0.108492, 0.112216, 0.206803, 0.214004, 0.2156, 0.212056, 0.209017, 0.199259, 0.19433, 0.190274, 0.183159, 0.17714, 0.166636, 0.150044, 0.141177, 0.0995768, 0.089001, 0.0759548, 0.0611224, 0.0520993, 0.0428392, 0.0333509, 0.0302135, 0.024783, 0.019732, 0.0151091, 0.0127791, 0.0112852, 0.00957399, 0.00724622, 0.00551254, 0.00415229, 0.0023687, 0.00134649, 0.000594325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.247498, 0.275227, 0.294167, 0.315291, 0.345511, 0.364576, 0.381148, 0.396397, 0.41084, 0.425012, 0.439162, 0.449943, 0.461688, 0.475825, 0.486717, 0.499388, 0.519905, 0.546037, 0.550189, 0.558601, 0.563877, 0.586303, 0.604792, 0.622816");
-            values ( \
-              "0.005612, 0.00564243, 0.00930743, 0.0171475, 0.0314082, 0.037478, 0.0415542, 0.0444069, 0.0462956, 0.0463314, 0.038209, 0.026493, 0.0184872, 0.0124648, 0.00909155, 0.00637441, 0.0035332, 0.00162701, 0.00149405, 0.00319237, 0.00315571, 0.00171453, 0.00095908, 0.000587586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.225356, 0.25689, 0.276723, 0.295896, 0.305648, 0.32514, 0.342189, 0.361583, 0.385291, 0.405865, 0.424636, 0.442284, 0.459723, 0.486914, 0.494631, 0.50995, 0.529185, 0.550315, 0.553151, 0.558824, 0.592194, 0.605165, 0.631107, 0.665816");
-            values ( \
-              "0.00214532, 0.00539634, 0.00905016, 0.0143518, 0.0181636, 0.0289053, 0.039382, 0.0489934, 0.0580756, 0.0650025, 0.0699918, 0.0734736, 0.0720985, 0.0444123, 0.037646, 0.0270416, 0.0179603, 0.0111334, 0.0121574, 0.0124237, 0.00614301, 0.0044308, 0.00229802, 0.000958529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.25677, 0.309785, 0.318587, 0.348372, 0.372737, 0.387623, 0.418208, 0.444626, 0.46852, 0.491015, 0.513522, 0.515147, 0.530312, 0.541494, 0.550208, 0.5551, 0.560364, 0.585719, 0.597315, 0.609024, 0.624327, 0.637361, 0.651936, 0.672653, 0.681801, 0.694128, 0.710564, 0.743436, 0.803853, 0.875326");
-            values ( \
-              "0.0107618, 0.0259773, 0.0310677, 0.051362, 0.0652773, 0.0728887, 0.0872422, 0.0983666, 0.106622, 0.111109, 0.101533, 0.0990165, 0.0821672, 0.0711325, 0.0634747, 0.0622449, 0.0593943, 0.0416137, 0.0347423, 0.0287894, 0.0223997, 0.0180312, 0.0140764, 0.00984209, 0.00840461, 0.00678453, 0.00508001, 0.00280746, 0.000851283, 0.000193895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.25667, 0.301866, 0.308061, 0.320452, 0.376362, 0.428143, 0.468364, 0.503005, 0.534468, 0.550133, 0.557404, 0.564757, 0.608926, 0.628937, 0.653024, 0.666129, 0.692341, 0.712444, 0.733948, 0.762621, 0.784127, 0.796212, 0.818643, 0.849812, 0.862689, 0.888442, 0.93995, 1.01782, 1.09569, 1.17356");
-            values ( \
-              "0.0146165, 0.0273033, 0.0305433, 0.0378385, 0.0760694, 0.106729, 0.128554, 0.144939, 0.156047, 0.157501, 0.156959, 0.152646, 0.116163, 0.100424, 0.0832406, 0.0746373, 0.0591393, 0.0490672, 0.0398318, 0.0298186, 0.0238659, 0.0210356, 0.0165452, 0.0118007, 0.0102429, 0.00772375, 0.00429079, 0.00170315, 0.000659781, 0.00026724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.305425, 0.363702, 0.382997, 0.395369, 0.420111, 0.437504, 0.454897, 0.47229, 0.489683, 0.497563, 0.505442, 0.513322, 0.521201, 0.525141, 0.53302, 0.53962, 0.544889, 0.552718, 0.554596, 0.562279, 0.568431, 0.574828, 0.580448, 0.628798, 0.641424, 0.656571, 0.666669, 0.671718, 0.683654, 0.695591, 0.707527, 0.731399, 0.757383, 0.796291, 0.849577, 0.877372, 0.905168, 0.932964, 0.964731, 0.981663, 1.00646, 1.01473, 1.03615, 1.06983, 1.09433, 1.12411, 1.14816, 1.1764, 1.19052, 1.2124");
-            values ( \
-              "0.0684408, 0.0712878, 0.0848094, 0.0930873, 0.108726, 0.122201, 0.136743, 0.152352, 0.169029, 0.169742, 0.17093, 0.172593, 0.17473, 0.175976, 0.178824, 0.18172, 0.184741, 0.190882, 0.190893, 0.190603, 0.189987, 0.18846, 0.18733, 0.178625, 0.176219, 0.172625, 0.169909, 0.168456, 0.164533, 0.16035, 0.155786, 0.146116, 0.134768, 0.116908, 0.0930516, 0.0817424, 0.071354, 0.0618863, 0.0520262, 0.0471144, 0.0410826, 0.0392019, 0.0347085, 0.0282088, 0.024119, 0.0202094, 0.0174722, 0.0146737, 0.0134289, 0.0116946" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.274863, 0.34973, 0.47698, 0.538379, 0.549824, 0.557874, 0.570648, 0.580103, 0.590909, 0.684158, 0.788701, 0.846455, 0.924324, 0.995304, 1.06241, 1.22933, 1.33381, 1.40947, 1.46204, 1.52489, 1.60276, 1.63291, 1.69322, 1.75983, 1.8377, 1.92052, 1.96771, 2.04558, 2.12345, 2.20132, 2.35706, 2.5128, 2.7464");
-            values ( \
-              "0.0290095, 0.0655691, 0.156369, 0.196459, 0.203271, 0.20629, 0.205923, 0.203852, 0.202769, 0.195753, 0.187008, 0.18128, 0.170829, 0.156998, 0.141158, 0.0994735, 0.0757953, 0.061083, 0.0521787, 0.0428796, 0.0333837, 0.0302153, 0.0247323, 0.0197085, 0.0150868, 0.0112968, 0.00958715, 0.0072654, 0.00551785, 0.00416579, 0.00237893, 0.00135488, 0.000589577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.500068, 0.562192, 0.608378, 0.621981, 0.649187, 0.665812, 0.711017, 0.740641, 0.765719, 0.788595, 0.810326, 0.831617, 0.852892, 0.880741, 0.898179, 0.926328, 0.954095, 0.978804, 0.999293, 1.04027, 1.21605, 1.23768");
-            values ( \
-              "0.00208199, 0.00214007, 0.0039159, 0.00480723, 0.00739959, 0.0101164, 0.0196183, 0.0246377, 0.0276848, 0.0296087, 0.0306844, 0.0309427, 0.0289722, 0.0158999, 0.0105079, 0.00529904, 0.00259848, 0.0013689, 0.000790712, 0.000244557, 1.83503e-05, 0.000659376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.499878, 0.569608, 0.600604, 0.632, 0.650194, 0.673081, 0.722895, 0.748755, 0.767203, 0.797947, 0.826123, 0.852825, 0.878885, 0.904919, 0.937837, 0.962735, 0.99302, 1.01293, 1.02955, 1.05483, 1.07468, 1.11437, 1.1753, 1.21601, 1.23827, 1.25848");
-            values ( \
-              "0.00324862, 0.00402064, 0.00586033, 0.00881874, 0.0113759, 0.0160419, 0.0297013, 0.0355761, 0.0389431, 0.0435105, 0.0464344, 0.0484031, 0.0489495, 0.0445835, 0.0258049, 0.0166038, 0.00920242, 0.00607496, 0.00427206, 0.00247709, 0.00159328, 0.0006291, 0.000141203, 6.38209e-05, 0.00118964, 0.00107752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.499669, 0.581545, 0.605591, 0.624745, 0.653006, 0.678487, 0.750013, 0.791541, 0.810948, 0.850876, 0.887212, 0.921408, 0.954703, 0.98793, 1.00732, 1.02948, 1.05868, 1.07901, 1.09381, 1.11226, 1.13686, 1.1569, 1.16799, 1.19018, 1.216, 1.23398, 1.25435, 1.27452, 1.31488, 1.35652, 1.43438");
-            values ( \
-              "0.00475468, 0.00721485, 0.00952792, 0.011862, 0.0165064, 0.0224992, 0.0447056, 0.0544895, 0.0581361, 0.064918, 0.069757, 0.0733433, 0.0740604, 0.0630075, 0.0509926, 0.0395898, 0.027419, 0.0205904, 0.016625, 0.0125874, 0.00856831, 0.00617878, 0.00519118, 0.0035644, 0.00233377, 0.00346376, 0.00323342, 0.00212522, 0.00102377, 0.000470314, 8.32631e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.499409, 0.602562, 0.642111, 0.675985, 0.693249, 0.769968, 0.819125, 0.880429, 0.933421, 0.981333, 1.02611, 1.07051, 1.17538, 1.21588, 1.22724, 1.26647, 1.29181, 1.33003, 1.35024, 1.38225, 1.42492, 1.50279, 1.52161");
-            values ( \
-              "0.00529654, 0.0130646, 0.0194236, 0.0271412, 0.0321154, 0.0574201, 0.0703736, 0.0841323, 0.0947247, 0.102902, 0.108376, 0.105296, 0.0542646, 0.0380771, 0.0363304, 0.0253919, 0.0194359, 0.0128345, 0.0103053, 0.0072479, 0.00447715, 0.00177107, 0.00151048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.499223, 0.634322, 0.686747, 0.717516, 0.774793, 0.839666, 0.903019, 0.980888, 1.05219, 1.08183, 1.11571, 1.1298, 1.14859, 1.1807, 1.19824, 1.22912, 1.28678, 1.34467, 1.37509, 1.42849, 1.47601, 1.50654, 1.54611, 1.5726, 1.60793, 1.67189, 1.70118, 1.74521, 1.80392, 1.88178, 1.95965, 2.03752, 2.19326");
-            values ( \
-              "0.00445915, 0.0226431, 0.0351943, 0.0447452, 0.0641628, 0.0832967, 0.100393, 0.120041, 0.136298, 0.142296, 0.148221, 0.150233, 0.152301, 0.152489, 0.149484, 0.141374, 0.115054, 0.0898512, 0.077743, 0.0589857, 0.0454457, 0.0381459, 0.0301938, 0.0257509, 0.0207457, 0.0138657, 0.0115272, 0.00871033, 0.00595821, 0.00356532, 0.00213107, 0.00126766, 0.000448136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.607755, 0.757678, 0.882836, 1.02706, 1.13843, 1.21543, 1.23496, 1.26917, 1.29881, 1.32998, 1.37268, 1.43138, 1.50925, 1.60695, 1.67877, 1.80513, 1.90661, 1.91044, 1.91811, 1.95385, 1.99468, 2.06671, 2.10773, 2.11615, 2.13298, 2.16665, 2.22598, 2.30385, 2.36345, 2.37968, 2.41215, 2.47707, 2.63281, 2.71068, 2.78855, 2.86642, 2.94429, 3.02216, 3.10003, 3.1779, 3.25576");
-            values ( \
-              "0.0370163, 0.0619788, 0.101533, 0.143664, 0.173101, 0.190584, 0.192328, 0.185952, 0.185373, 0.180679, 0.176515, 0.166245, 0.150391, 0.126199, 0.107556, 0.0781656, 0.0584354, 0.0581957, 0.0565051, 0.0506941, 0.0449824, 0.0354194, 0.0312954, 0.0301185, 0.0287958, 0.0254469, 0.0211041, 0.0158777, 0.0131883, 0.0121716, 0.0111492, 0.00860679, 0.0048848, 0.0039532, 0.00273328, 0.00232027, 0.00149844, 0.00138457, 0.00079269, 0.000849868, 0.000390376" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00295957, 0.00300739, 0.00305054, 0.00308123, 0.00310112, 0.00311278", \
-            "0.00383993, 0.00390245, 0.00397002, 0.00402696, 0.0040662, 0.0040904", \
-            "0.00452586, 0.00455247, 0.00459695, 0.00464735, 0.00468975, 0.0047192", \
-            "0.00504017, 0.00502172, 0.00500328, 0.00500242, 0.00501454, 0.00502891", \
-            "0.00540224, 0.00535476, 0.00529694, 0.00524662, 0.00520863, 0.00519076", \
-            "0.00561908, 0.00554979, 0.00548597, 0.00542647, 0.00536302, 0.00530883" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00464047, 0.00468211, 0.00473302, 0.00477845, 0.00480976, 0.0048281", \
-            "0.0053545, 0.0052506, 0.00516175, 0.00509371, 0.00506523, 0.00505501", \
-            "0.00549496, 0.00526276, 0.00505031, 0.00488166, 0.00476643, 0.00469922", \
-            "0.00588632, 0.00539927, 0.00502219, 0.00474498, 0.00455772, 0.00444218", \
-            "0.00587171, 0.00591101, 0.00550919, 0.00495593, 0.00461979, 0.0044165", \
-            "0.00556329, 0.00567867, 0.00578317, 0.00569415, 0.00501427, 0.00460733" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0238056, 0.0256808, 0.0271606, 0.0295921, 0.0340088, 0.037596, 0.0400185, 0.0425018, 0.0442321, 0.0478529, 0.0504348, 0.0553201, 0.0589608, 0.0635771, 0.0673845, 0.0716191, 0.0742267, 0.0794419, 0.0876623, 0.0974374");
-            values ( \
-              "-0.0347708, -0.188951, -0.196764, -0.203194, -0.205806, -0.203486, -0.200304, -0.194856, -0.18906, -0.172072, -0.152737, -0.102593, -0.0709543, -0.0423278, -0.0269425, -0.016011, -0.0115655, -0.00586165, -0.0018673, -0.000437377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0250778, 0.0261358, 0.0279505, 0.0301103, 0.0339702, 0.0441782, 0.0496355, 0.0540373, 0.0594455, 0.062124, 0.0656954, 0.0775862, 0.0839169, 0.0917248, 0.0984625, 0.111747, 0.112436");
-            values ( \
-              "-0.233144, -0.246152, -0.255672, -0.260684, -0.263447, -0.257824, -0.250535, -0.241078, -0.222441, -0.208894, -0.182634, -0.0879626, -0.0550073, -0.0299032, -0.0170617, -0.00536755, -0.00517419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0251954, 0.0273134, 0.0285636, 0.0317714, 0.0366393, 0.0416715, 0.0496911, 0.0578458, 0.0651879, 0.0714452, 0.0748494, 0.0816579, 0.0840735, 0.088835, 0.10311, 0.1086, 0.114218, 0.124337, 0.129325, 0.134244, 0.143873, 0.14942, 0.160513, 0.180789, 0.204481");
-            values ( \
-              "-0.166456, -0.29672, -0.301992, -0.307811, -0.309231, -0.30773, -0.303402, -0.297403, -0.290288, -0.282005, -0.276162, -0.260863, -0.253748, -0.234769, -0.151145, -0.121857, -0.0962142, -0.0610194, -0.0483239, -0.0382013, -0.0238581, -0.0181288, -0.0102778, -0.00334071, -0.000836703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0259777, 0.027764, 0.0288904, 0.0310377, 0.0331076, 0.0392956, 0.0491748, 0.0754223, 0.0973262, 0.106285, 0.113009, 0.126455, 0.13524, 0.148147, 0.163577, 0.173741, 0.181126, 0.188863, 0.199124, 0.208238, 0.220391, 0.235425, 0.244712, 0.263286, 0.29906, 0.340671");
-            values ( \
-              "-0.316138, -0.328589, -0.333095, -0.337479, -0.339692, -0.340534, -0.337838, -0.32713, -0.314487, -0.307161, -0.300509, -0.282182, -0.263379, -0.220858, -0.163444, -0.130223, -0.10935, -0.0903776, -0.06951, -0.0547175, -0.0393798, -0.0260234, -0.0200759, -0.0117772, -0.00384845, -0.000984554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0262117, 0.0298056, 0.0338206, 0.0391154, 0.0571432, 0.103807, 0.130542, 0.157971, 0.18639, 0.20918, 0.21656, 0.231319, 0.249221, 0.278989, 0.298017, 0.312317, 0.331384, 0.346179, 0.373552, 0.399765, 0.408806, 0.429245, 0.452603, 0.499321, 0.553813, 0.608304");
-            values ( \
-              "-0.335564, -0.353857, -0.359021, -0.36012, -0.357208, -0.346281, -0.338607, -0.328812, -0.314853, -0.297989, -0.290498, -0.270203, -0.235667, -0.17248, -0.136943, -0.11397, -0.0881585, -0.0717142, -0.0484024, -0.0327266, -0.0285973, -0.0210043, -0.0146787, -0.00693359, -0.002832, -0.00114669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0263264, 0.0298692, 0.0340893, 0.0394469, 0.0793995, 0.159469, 0.197059, 0.273312, 0.327951, 0.372824, 0.38482, 0.408811, 0.449964, 0.496281, 0.537092, 0.569285, 0.594413, 0.628262, 0.656245, 0.688099, 0.733183, 0.761832, 0.81499, 0.869482, 0.923973, 0.978465, 1.14194");
-            values ( \
-              "-0.346527, -0.364381, -0.36995, -0.371352, -0.3674, -0.356948, -0.351293, -0.33729, -0.32283, -0.304794, -0.298096, -0.280622, -0.237612, -0.183748, -0.141632, -0.113693, -0.0950565, -0.074055, -0.059954, -0.0468737, -0.0328063, -0.0261215, -0.0170108, -0.0108602, -0.00691025, -0.0043918, -0.00111874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0382589, 0.0419343, 0.0449474, 0.0509135, 0.0517458, 0.0529827, 0.0559365, 0.0595007, 0.062558, 0.0665052, 0.0699519, 0.0775926, 0.0813559, 0.0864383, 0.0902957, 0.0969993, 0.103228");
-            values ( \
-              "-0.0019287, -0.11249, -0.140104, -0.183641, -0.194344, -0.200949, -0.202039, -0.198141, -0.190363, -0.171898, -0.144395, -0.0712561, -0.0468843, -0.025704, -0.0159937, -0.00680939, -0.00327379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0391408, 0.0437968, 0.0526839, 0.0570929, 0.0634319, 0.0683426, 0.0735953, 0.0791252, 0.0830038, 0.097268, 0.103355, 0.109301, 0.115114, 0.125182, 0.130226");
-            values ( \
-              "-0.00708291, -0.166008, -0.256257, -0.260794, -0.256998, -0.250735, -0.238816, -0.218477, -0.194702, -0.0826478, -0.0524139, -0.0328601, -0.0204933, -0.00878699, -0.00633078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.040496, 0.0448917, 0.051861, 0.0537382, 0.0573544, 0.0686678, 0.0851713, 0.0938285, 0.101396, 0.106355, 0.132248, 0.142403, 0.154403, 0.166675, 0.185391");
-            values ( \
-              "-0.0143709, -0.208699, -0.294645, -0.304043, -0.307323, -0.303441, -0.289277, -0.276316, -0.258752, -0.241348, -0.100271, -0.0637363, -0.0361027, -0.0197808, -0.00909491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.042432, 0.0472345, 0.0521301, 0.0545915, 0.0601378, 0.0717846, 0.0873958, 0.0990745, 0.116736, 0.131669, 0.146015, 0.15344, 0.16334, 0.188658, 0.202576, 0.212453, 0.221041, 0.231069, 0.244439, 0.254171, 0.269054, 0.288899, 0.325999, 0.369583");
-            values ( \
-              "-0.134225, -0.260465, -0.325297, -0.33621, -0.339328, -0.33655, -0.330325, -0.324817, -0.314119, -0.301166, -0.281432, -0.265736, -0.235157, -0.143124, -0.103174, -0.0805687, -0.0645454, -0.049495, -0.0343439, -0.0262614, -0.0173177, -0.00975683, -0.00302102, -0.000706512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0335262, 0.058301, 0.0638009, 0.0848733, 0.123005, 0.163214, 0.177167, 0.204792, 0.216787, 0.229581, 0.248068, 0.270318, 0.295669, 0.316743, 0.332981, 0.345554, 0.364563, 0.380469, 0.397119, 0.420844, 0.431103, 0.45162, 0.492655, 0.547146, 0.601638, 0.656129");
-            values ( \
-              "-0.0111476, -0.358558, -0.359202, -0.355299, -0.34621, -0.334052, -0.328797, -0.315424, -0.307488, -0.296858, -0.274102, -0.231616, -0.177578, -0.137777, -0.111825, -0.0944965, -0.0725748, -0.0578706, -0.0454028, -0.0318657, -0.0273225, -0.0200053, -0.0104733, -0.00428125, -0.00174487, -0.000705807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0445423, 0.0552874, 0.0630644, 0.116259, 0.190771, 0.241817, 0.289966, 0.344062, 0.365749, 0.390534, 0.40399, 0.430902, 0.465429, 0.521949, 0.557595, 0.584206, 0.610846, 0.646282, 0.677478, 0.719073, 0.772254, 0.805109, 0.859601, 0.914092, 0.968584, 1.13206");
-            values ( \
-              "-0.290966, -0.368505, -0.370852, -0.365148, -0.3551, -0.34696, -0.337728, -0.323836, -0.316372, -0.305553, -0.298083, -0.278089, -0.241867, -0.176548, -0.140357, -0.11708, -0.0969265, -0.0746534, -0.0589808, -0.0426774, -0.0279992, -0.0214944, -0.0137554, -0.00876123, -0.00557605, -0.00141955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0641837, 0.0735217, 0.0771675, 0.0844972, 0.0950489, 0.099582, 0.103868, 0.10814, 0.112611, 0.112838, 0.120178, 0.125039, 0.13016, 0.135832, 0.14033, 0.148583, 0.158368");
-            values ( \
-              "-0.00184968, -0.0684185, -0.0837774, -0.108463, -0.139306, -0.14987, -0.155861, -0.151231, -0.128366, -0.130041, -0.0671943, -0.0387121, -0.0206455, -0.00987176, -0.00668733, -0.00113522, -0.00118507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.068646, 0.0730953, 0.0756771, 0.0801123, 0.0873209, 0.0981847, 0.10446, 0.110212, 0.11412, 0.115628, 0.117445, 0.12204, 0.125183, 0.132379, 0.138082, 0.145049, 0.150738, 0.155181, 0.159861, 0.163455, 0.170644, 0.183635, 0.199035");
-            values ( \
-              "-0.0333647, -0.0806451, -0.0974435, -0.119879, -0.150506, -0.191551, -0.212356, -0.22818, -0.236961, -0.236182, -0.231907, -0.213828, -0.193641, -0.13207, -0.0903107, -0.0539881, -0.0345854, -0.0241239, -0.0164592, -0.0122115, -0.00659623, -0.00194871, -0.000424313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0711478, 0.0767621, 0.0821933, 0.0894372, 0.100944, 0.114082, 0.11646, 0.126243, 0.132371, 0.13584, 0.142779, 0.145065, 0.149638, 0.1682, 0.174674, 0.184539, 0.189306, 0.194424, 0.204866, 0.211193, 0.223848, 0.244133, 0.2682");
-            values ( \
-              "-0.0560447, -0.118588, -0.150822, -0.186749, -0.238409, -0.293681, -0.297747, -0.290132, -0.282085, -0.276167, -0.2605, -0.25376, -0.235654, -0.128893, -0.0984856, -0.0633695, -0.0507669, -0.0397857, -0.023887, -0.0174408, -0.00907605, -0.00294185, -0.000717343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0722839, 0.0811656, 0.0836487, 0.0889936, 0.113956, 0.115369, 0.120007, 0.129599, 0.144091, 0.155838, 0.165159, 0.174336, 0.18987, 0.195687, 0.201979, 0.224662, 0.234215, 0.244007, 0.257063, 0.261978, 0.271288, 0.279881, 0.291907, 0.296688, 0.306251, 0.325377, 0.360811, 0.402124");
-            values ( \
-              "-0.0548244, -0.158609, -0.173631, -0.203483, -0.328094, -0.332613, -0.333472, -0.330311, -0.323288, -0.316317, -0.309334, -0.300504, -0.278425, -0.265747, -0.247488, -0.164107, -0.132698, -0.105193, -0.0756896, -0.0666708, -0.0521567, -0.041379, -0.0297072, -0.0260362, -0.019924, -0.0114975, -0.00380124, -0.000988599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0724666, 0.0868631, 0.113581, 0.117167, 0.119166, 0.122866, 0.127412, 0.19191, 0.21976, 0.247379, 0.272543, 0.289944, 0.374414, 0.408201, 0.434469, 0.470461, 0.516113, 0.553798");
-            values ( \
-              "-0.0516686, -0.202672, -0.350289, -0.356531, -0.354721, -0.357152, -0.354027, -0.339916, -0.328801, -0.315431, -0.296509, -0.275214, -0.113564, -0.071515, -0.0490378, -0.0286531, -0.014289, -0.00827635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.0719002, 0.0942229, 0.101887, 0.114411, 0.117657, 0.124413, 0.184259, 0.248956, 0.292539, 0.33578, 0.387894, 0.410334, 0.434269, 0.446209, 0.470088, 0.511351, 0.557879, 0.598531, 0.630493, 0.655413, 0.689551, 0.717824, 0.74961, 0.794641, 0.823181, 0.876085, 0.930577, 0.985068, 1.03956, 1.20303");
-            values ( \
-              "-0.0661691, -0.251103, -0.29572, -0.365091, -0.368268, -0.369013, -0.361863, -0.35268, -0.345459, -0.336936, -0.323359, -0.315466, -0.304776, -0.298085, -0.280724, -0.237607, -0.183516, -0.141586, -0.113837, -0.0953255, -0.0741093, -0.0598661, -0.0468289, -0.0327883, -0.0261299, -0.0170518, -0.0108865, -0.00692717, -0.00440247, -0.00112155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.114361, 0.131553, 0.141625, 0.152746, 0.161725, 0.176662, 0.183288, 0.189706, 0.196889, 0.212633, 0.216332, 0.222459, 0.227243, 0.23271, 0.243418, 0.248857, 0.252653, 0.254704");
-            values ( \
-              "-0.000251752, -0.0291606, -0.0502247, -0.0671137, -0.0790177, -0.0960675, -0.101467, -0.103215, -0.0913484, -0.0300747, -0.0211002, -0.0112419, -0.0067629, -0.0036869, -0.000986808, -0.000568185, -0.00238549, -0.00216024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.121155, 0.124821, 0.129836, 0.136935, 0.143886, 0.148686, 0.162699, 0.174002, 0.183854, 0.192763, 0.201065, 0.209137, 0.217596, 0.230988, 0.23814, 0.243649, 0.249236, 0.252436, 0.261305, 0.267488");
-            values ( \
-              "-0.0252409, -0.0285211, -0.0347976, -0.0497447, -0.0674546, -0.0775942, -0.102796, -0.121302, -0.136221, -0.14819, -0.156598, -0.158048, -0.140142, -0.0740664, -0.046426, -0.0313266, -0.0204632, -0.0193623, -0.00991949, -0.00592167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.124493, 0.137146, 0.154734, 0.168461, 0.192446, 0.205264, 0.216873, 0.227722, 0.238358, 0.249813, 0.265604, 0.275709, 0.28577, 0.297306, 0.301775, 0.319654, 0.337277");
-            values ( \
-              "-0.017217, -0.0603238, -0.104935, -0.133739, -0.179863, -0.202139, -0.219785, -0.231286, -0.230135, -0.199332, -0.112174, -0.0719043, -0.0448381, -0.0253561, -0.020243, -0.0077922, -0.00390142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.12446, 0.145199, 0.158558, 0.183223, 0.219879, 0.237148, 0.252793, 0.259596, 0.265583, 0.268155, 0.273298, 0.284534, 0.292152, 0.303311, 0.315261, 0.332611, 0.350924, 0.364199, 0.373104, 0.390552, 0.400773, 0.421216, 0.456722, 0.498463");
-            values ( \
-              "-0.00291811, -0.0884943, -0.124578, -0.181063, -0.257939, -0.290087, -0.315608, -0.307062, -0.304442, -0.299869, -0.295067, -0.277329, -0.2581, -0.221235, -0.175789, -0.11932, -0.0757304, -0.053518, -0.0421494, -0.0260664, -0.0195445, -0.0108607, -0.00355245, -0.000930922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.124392, 0.167589, 0.173909, 0.218041, 0.248807, 0.25292, 0.258399, 0.285975, 0.309731, 0.320623, 0.335146, 0.355195, 0.371968, 0.402682, 0.431861, 0.452672, 0.463564, 0.484054, 0.501533, 0.515858, 0.532879, 0.55689, 0.567509, 0.581842, 0.600952, 0.639172, 0.693663, 0.748155, 0.802646");
-            values ( \
-              "-0.0104627, -0.154107, -0.17003, -0.273686, -0.340406, -0.345552, -0.346622, -0.338534, -0.330272, -0.325753, -0.318661, -0.305881, -0.290475, -0.240358, -0.177958, -0.138714, -0.120698, -0.0918662, -0.072024, -0.0587469, -0.0458599, -0.0320436, -0.0273363, -0.022025, -0.0164483, -0.0090113, -0.00367785, -0.00149945, -0.000605069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.124365, 0.16796, 0.19524, 0.24878, 0.255554, 0.264462, 0.271506, 0.308284, 0.367282, 0.40054, 0.430101, 0.482166, 0.505349, 0.530078, 0.540534, 0.561445, 0.605654, 0.658141, 0.694287, 0.720703, 0.747393, 0.782874, 0.814169, 0.855895, 0.892514, 0.908879, 0.94161, 0.996101, 1.05059, 1.10508, 1.15958, 1.26856");
-            values ( \
-              "-0.00219186, -0.160169, -0.229315, -0.356798, -0.363127, -0.364148, -0.362566, -0.3579, -0.348897, -0.342979, -0.336904, -0.323404, -0.315193, -0.304004, -0.298084, -0.28321, -0.23767, -0.17702, -0.140302, -0.117191, -0.096978, -0.0746771, -0.0589559, -0.0426068, -0.0318858, -0.0279954, -0.0215203, -0.0137659, -0.00877414, -0.00557816, -0.00354216, -0.00142465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.218376, 0.250938, 0.259435, 0.284655, 0.307772, 0.320135, 0.331271, 0.341587, 0.351478, 0.361353, 0.363809, 0.381609, 0.388314, 0.396572, 0.401045, 0.407008, 0.418936, 0.434205, 0.453421, 0.550161, 0.562884");
-            values ( \
-              "-0.000936736, -0.0119907, -0.016, -0.0355739, -0.0497218, -0.0562321, -0.0614591, -0.0653636, -0.0674334, -0.0643215, -0.0612164, -0.0264172, -0.016511, -0.00867017, -0.00602577, -0.00364279, -0.00123567, -0.000351204, -0.000145246, -2.19274e-05, -0.000623178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.2539, 0.282315, 0.28461, 0.288511, 0.292412, 0.296312, 0.300213, 0.307553, 0.314893, 0.322233, 0.326921, 0.33161, 0.336137, 0.340664, 0.347577, 0.35449, 0.360881, 0.367272, 0.370338, 0.373405, 0.376472, 0.379538, 0.382599, 0.38566, 0.388721, 0.391782, 0.391955, 0.392216, 0.393344, 0.394385, 0.395773, 0.397161, 0.400311, 0.4026, 0.406319, 0.408644, 0.411744, 0.414844, 0.416393, 0.417943, 0.420045, 0.42238, 0.423159, 0.425495, 0.427052, 0.428609, 0.430166, 0.431723, 0.434291, 0.436327");
-            values ( \
-              "-0.0388014, -0.0433156, -0.0456634, -0.049182, -0.052583, -0.0558662, -0.0590318, -0.0646695, -0.0700939, -0.0754142, -0.0787477, -0.0820015, -0.0850795, -0.088095, -0.0923755, -0.0965948, -0.100441, -0.104235, -0.104111, -0.103829, -0.10339, -0.102793, -0.102041, -0.101132, -0.100067, -0.0988446, -0.0987046, -0.0983746, -0.0966594, -0.0948925, -0.0922088, -0.0893341, -0.0820129, -0.0763792, -0.0668069, -0.0605418, -0.0529107, -0.0458274, -0.0424912, -0.0392919, -0.0352351, -0.030808, -0.0295059, -0.0258697, -0.023748, -0.0217548, -0.0198899, -0.0181533, -0.0156062, -0.0136792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.234681, 0.24669, 0.258053, 0.26362, 0.269579, 0.275539, 0.279695, 0.300639, 0.320045, 0.329945, 0.352961, 0.372806, 0.390636, 0.407162, 0.423061, 0.438926, 0.440161, 0.445103, 0.46291, 0.468521, 0.475751, 0.482031, 0.490403, 0.497315, 0.500522, 0.506936, 0.519765, 0.543277, 0.547611");
-            values ( \
-              "-0.014861, -0.0204692, -0.0275181, -0.0316993, -0.0365718, -0.0422072, -0.0466215, -0.0700773, -0.088103, -0.096872, -0.115957, -0.131167, -0.143378, -0.15248, -0.156344, -0.144653, -0.142528, -0.131634, -0.0827068, -0.0686972, -0.0529991, -0.0417065, -0.0297071, -0.0221941, -0.0193392, -0.0145937, -0.00807734, -0.00238176, -0.00197177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.236778, 0.271278, 0.276323, 0.2832, 0.324654, 0.394576, 0.421028, 0.444783, 0.46681, 0.488139, 0.509419, 0.510461, 0.512545, 0.516712, 0.537562, 0.546339, 0.551284, 0.556614, 0.567247, 0.577478, 0.589464, 0.597519, 0.600141, 0.605386, 0.615875, 0.634276");
-            values ( \
-              "-0.0206053, -0.0433442, -0.0482785, -0.0553269, -0.102515, -0.16842, -0.18995, -0.208612, -0.220591, -0.224435, -0.199732, -0.197903, -0.193133, -0.182263, -0.125205, -0.103614, -0.0925853, -0.0838299, -0.0642337, -0.0490167, -0.0353045, -0.0283083, -0.0263233, -0.0227453, -0.0169479, -0.0101601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.285022, 0.340167, 0.365204, 0.391228, 0.411984, 0.43286, 0.453737, 0.462685, 0.471633, 0.480581, 0.48953, 0.497592, 0.505655, 0.513718, 0.52178, 0.529104, 0.536429, 0.543753, 0.551169, 0.551717, 0.552265, 0.55391, 0.555371, 0.560596, 0.56743, 0.574434, 0.579578, 0.582996, 0.586414, 0.591541, 0.597086, 0.602631, 0.642628, 0.656526, 0.663862, 0.676278, 0.67981, 0.68993, 0.697643, 0.706324, 0.715365, 0.724766, 0.729034, 0.73757, 0.741839, 0.747851, 0.753863, 0.759876, 0.765888, 0.775355");
-            values ( \
-              "-0.115335, -0.125281, -0.15187, -0.178895, -0.200078, -0.220703, -0.240694, -0.248561, -0.256132, -0.263407, -0.270386, -0.279346, -0.289141, -0.299771, -0.311237, -0.310206, -0.309941, -0.310442, -0.311729, -0.312531, -0.312571, -0.312326, -0.311656, -0.308497, -0.303271, -0.297183, -0.292271, -0.288447, -0.284017, -0.276565, -0.267455, -0.257479, -0.17416, -0.14792, -0.134983, -0.114472, -0.10902, -0.0955543, -0.0862635, -0.0765076, -0.0669107, -0.0579635, -0.0546364, -0.0484246, -0.04554, -0.0417899, -0.0382903, -0.0350411, -0.0320422, -0.0278013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.268454, 0.311864, 0.344321, 0.37685, 0.47883, 0.547154, 0.549944, 0.555523, 0.561515, 0.60721, 0.638821, 0.690857, 0.714476, 0.739671, 0.749256, 0.768427, 0.806769, 0.861598, 0.900869, 0.921484, 0.960129, 0.99303, 1.01995, 1.05205, 1.09732, 1.12637, 1.1444, 1.18046, 1.23495, 1.28944, 1.34393, 1.39842, 1.50741");
-            values ( \
-              "-0.0659036, -0.0971579, -0.135578, -0.171787, -0.27993, -0.346626, -0.349031, -0.351257, -0.351288, -0.343367, -0.336903, -0.323415, -0.315033, -0.303543, -0.298085, -0.284623, -0.246421, -0.182711, -0.142231, -0.12374, -0.0941608, -0.073854, -0.0602763, -0.0470447, -0.0328714, -0.026096, -0.0225844, -0.0168657, -0.0107631, -0.00685186, -0.00435092, -0.00276267, -0.00111098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.438213, 0.483466, 0.514993, 0.528606, 0.55271, 0.589173, 0.626322, 0.653375, 0.669985, 0.685873, 0.701667, 0.713503, 0.741288, 0.753835, 0.770058, 0.788599, 0.801532");
-            values ( \
-              "-0.00133438, -0.00316091, -0.00585757, -0.00774725, -0.0127775, -0.0241731, -0.0328624, -0.03813, -0.040591, -0.0420845, -0.0409844, -0.0345889, -0.0111639, -0.00568742, -0.00238123, -0.000968068, -0.000678214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.44321, 0.48167, 0.498838, 0.526314, 0.539485, 0.564919, 0.608259, 0.640002, 0.685894, 0.705884, 0.72493, 0.743871, 0.758065, 0.789766, 0.806424, 0.825739, 0.844664");
-            values ( \
-              "-0.00249454, -0.00494981, -0.00692448, -0.0110513, -0.0138665, -0.0217967, -0.0386792, -0.0488054, -0.0612758, -0.0653928, -0.0678627, -0.0660464, -0.0555132, -0.0202953, -0.0098761, -0.00399439, -0.00173958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.453774, 0.480523, 0.510752, 0.529168, 0.548063, 0.557126, 0.575253, 0.621526, 0.679239, 0.70992, 0.737342, 0.762646, 0.786831, 0.810975, 0.829107, 0.850823, 0.868466, 0.879082, 0.891882, 0.899749, 0.915484, 0.945266, 0.956701");
-            values ( \
-              "-0.004635, -0.00711652, -0.0120363, -0.0160102, -0.021076, -0.0240622, -0.0312453, -0.052364, -0.0747144, -0.0851298, -0.0934629, -0.0996934, -0.10329, -0.099518, -0.0824278, -0.0527688, -0.0326662, -0.0235819, -0.0155532, -0.011928, -0.00679232, -0.0020559, -0.00153645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.479667, 0.537126, 0.573156, 0.629602, 0.691173, 0.738539, 0.778904, 0.815109, 0.84855, 0.880582, 0.912551, 0.915311, 0.924776, 0.967898, 0.986234, 1.01036, 1.03529, 1.05258, 1.08716, 1.11597");
-            values ( \
-              "-0.0101991, -0.0227546, -0.035319, -0.0628413, -0.0905325, -0.109741, -0.124751, -0.13675, -0.145817, -0.150493, -0.142228, -0.140024, -0.130517, -0.0718545, -0.0510365, -0.0308904, -0.0176405, -0.0116969, -0.00477208, -0.0026045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.47909, 0.59065, 0.734005, 0.816547, 0.880068, 0.928892, 0.973987, 1.01739, 1.06217, 1.14811, 1.18157, 1.21566, 1.24552, 1.26737, 1.29285, 1.34382, 1.39831, 1.4528");
-            values ( \
-              "-0.00474397, -0.0477856, -0.117808, -0.153629, -0.178936, -0.196231, -0.208924, -0.213902, -0.193626, -0.0863543, -0.0562995, -0.0351339, -0.0235069, -0.0169045, -0.0113414, -0.00499862, -0.00199579, -0.000847202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.533701, 0.603562, 0.727389, 0.803051, 0.903681, 0.992392, 1.02491, 1.0915, 1.1157, 1.15328, 1.20777, 1.22117, 1.24895, 1.35541, 1.39711, 1.42295, 1.45651, 1.48449, 1.51634, 1.56142, 1.59007, 1.64322, 1.69771, 1.7522, 1.80669, 1.86118, 1.97016");
-            values ( \
-              "-0.0439919, -0.0573893, -0.120193, -0.156411, -0.202635, -0.240818, -0.253755, -0.277747, -0.285197, -0.294073, -0.296389, -0.292584, -0.269836, -0.15122, -0.114031, -0.0948584, -0.0740568, -0.059956, -0.0468781, -0.0328076, -0.0261266, -0.0170163, -0.0108604, -0.00691371, -0.00439069, -0.00278764, -0.00112097" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0028334, 0.00285065, 0.00286709, 0.00287975, 0.00288799, 0.00289284", \
-            "0.0033915, 0.00340175, 0.00341401, 0.00342535, 0.00343375, 0.00343908", \
-            "0.00375234, 0.00375408, 0.00375771, 0.00376302, 0.00376844, 0.00377259", \
-            "0.00397273, 0.00396956, 0.00396525, 0.00396226, 0.003962, 0.00396285", \
-            "0.00408593, 0.00407942, 0.00407134, 0.00406369, 0.00405804, 0.00405416", \
-            "0.00414652, 0.00413952, 0.00413038, 0.00411955, 0.00410897, 0.00410044" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00396651, 0.00398542, 0.00399292, 0.00400479, 0.00401119, 0.00401432", \
-            "0.00438873, 0.00437663, 0.0043681, 0.00436313, 0.00436017, 0.00435839", \
-            "0.00456506, 0.00449653, 0.00444253, 0.00439934, 0.00437348, 0.00436174", \
-            "0.00481947, 0.00462912, 0.00447818, 0.00437501, 0.00430852, 0.00426784", \
-            "0.0054788, 0.00507014, 0.00474425, 0.00450525, 0.00435221, 0.00426605", \
-            "0.00677339, 0.00637532, 0.0053726, 0.00487747, 0.0045637, 0.00437123" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(~A0 & A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A0 * A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0557526, 0.0725238, 0.104454, 0.165304, 0.282221, 0.507625", \
-            "0.0602099, 0.0771533, 0.109257, 0.170346, 0.287421, 0.512995", \
-            "0.0727463, 0.089339, 0.121071, 0.182229, 0.299495, 0.524806", \
-            "0.101203, 0.119922, 0.15098, 0.210659, 0.328219, 0.553884", \
-            "0.143305, 0.170448, 0.213762, 0.280219, 0.395139, 0.619059", \
-            "0.210515, 0.247132, 0.307768, 0.403218, 0.545842, 0.769348" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0575511, 0.0811653, 0.126084, 0.21215, 0.378047, 0.698131", \
-            "0.0571917, 0.0809605, 0.126041, 0.212116, 0.37803, 0.698134", \
-            "0.058264, 0.0806219, 0.125679, 0.212132, 0.378059, 0.698134", \
-            "0.0751045, 0.0928284, 0.13092, 0.212069, 0.378036, 0.698137", \
-            "0.106207, 0.130273, 0.167961, 0.234616, 0.382609, 0.698269", \
-            "0.156139, 0.189062, 0.241614, 0.321532, 0.448031, 0.720372" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0301679, 0.039132, 0.0559605, 0.0878762, 0.148852, 0.265474", \
-            "0.0349311, 0.0439958, 0.0609721, 0.0930523, 0.154125, 0.270849", \
-            "0.0445218, 0.0550694, 0.0722325, 0.10447, 0.165741, 0.282472", \
-            "0.0544192, 0.0700272, 0.0943775, 0.130245, 0.19153, 0.308465", \
-            "0.0599821, 0.0827447, 0.118531, 0.171612, 0.248469, 0.366638", \
-            "0.0530457, 0.0845068, 0.134901, 0.211962, 0.325274, 0.486691" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0263179, 0.0373205, 0.0586153, 0.0998286, 0.179451, 0.332863", \
-            "0.0266137, 0.037372, 0.0585993, 0.0998086, 0.179453, 0.332864", \
-            "0.0335823, 0.0418599, 0.0602604, 0.0998492, 0.179452, 0.332862", \
-            "0.0518073, 0.0616027, 0.0773709, 0.108904, 0.180858, 0.332868", \
-            "0.0807088, 0.0947511, 0.117398, 0.152823, 0.210005, 0.341284", \
-            "0.129326, 0.148168, 0.180254, 0.231064, 0.30639, 0.419717" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0280205, 0.0307426, 0.0319788, 0.0327827, 0.0343904, 0.0361746, 0.043266, 0.0487987, 0.0508654, 0.0588987, 0.0711005, 0.0761452, 0.0803456, 0.09237, 0.0985193, 0.102599, 0.109476, 0.118645, 0.126464, 0.13555, 0.147665, 0.158239, 0.165988, 0.180451, 0.196496, 0.209259, 0.234786, 0.275164, 0.324249");
-            values ( \
-              "0.000677682, 0.0958296, 0.0968324, 0.0970793, 0.0967546, 0.095614, 0.089155, 0.0849284, 0.0836185, 0.0797068, 0.0745658, 0.071315, 0.0665768, 0.0462121, 0.0381468, 0.0339347, 0.0279404, 0.0216748, 0.0176008, 0.0137968, 0.00992393, 0.00747245, 0.0060519, 0.00406198, 0.00262442, 0.00184585, 0.00089549, 0.000264893, 6.16981e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0277493, 0.0346573, 0.049732, 0.0607092, 0.0841035, 0.0967375, 0.128806, 0.14403, 0.166147, 0.192363, 0.208279, 0.234098, 0.275766, 0.305334");
-            values ( \
-              "0.0493443, 0.134223, 0.11848, 0.112555, 0.103877, 0.0964839, 0.054279, 0.0400827, 0.0255942, 0.0148046, 0.0105314, 0.00600669, 0.00240579, 0.00135704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0300895, 0.0334192, 0.037837, 0.045528, 0.0513963, 0.0591444, 0.112068, 0.123936, 0.135838, 0.151397, 0.17058, 0.185433, 0.205363, 0.227516, 0.236288, 0.259367, 0.277352, 0.293404, 0.309608, 0.332933, 0.363783, 0.404915, 0.468159, 0.54534");
-            values ( \
-              "0.127001, 0.167594, 0.164479, 0.15439, 0.148897, 0.144817, 0.129575, 0.124919, 0.11824, 0.104967, 0.0845748, 0.0705213, 0.0550292, 0.0406226, 0.0360456, 0.0260523, 0.0198835, 0.0156461, 0.0123328, 0.00852232, 0.00526975, 0.00279761, 0.000892391, 0.000291082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0307757, 0.0358469, 0.0486987, 0.0570048, 0.0672899, 0.144381, 0.170271, 0.190072, 0.210527, 0.235373, 0.293566, 0.323402, 0.357501, 0.381292, 0.414524, 0.441215, 0.489621, 0.526948, 0.597389, 0.65868, 0.73161");
-            values ( \
-              "0.182048, 0.191758, 0.175255, 0.169808, 0.166774, 0.153321, 0.147665, 0.142249, 0.134443, 0.1211, 0.0837554, 0.0670951, 0.0507997, 0.0415188, 0.030877, 0.0241213, 0.0152859, 0.010618, 0.00534215, 0.00285473, 0.00141044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0333068, 0.0333268, 0.108764, 0.202361, 0.291846, 0.320812, 0.354096, 0.395581, 0.508244, 0.570765, 0.656958, 0.711431, 0.769023, 0.839089, 0.903315, 1.0244, 1.1907, 1.23323");
-            values ( \
-              "1e-22, 0.205561, 0.179078, 0.169284, 0.157916, 0.152752, 0.145064, 0.132315, 0.0902003, 0.0690449, 0.0456132, 0.0344667, 0.025344, 0.0172451, 0.0120241, 0.00601903, 0.00226887, 0.00201907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0364768, 0.0364968, 0.16796, 0.313463, 0.444155, 0.519099, 0.607694, 0.678028, 0.756695, 0.963138, 1.11162, 1.20055, 1.25689, 1.38785, 1.43626, 1.56461, 1.6789, 1.82433, 1.99063, 2.15693, 2.28221");
-            values ( \
-              "1e-22, 0.215126, 0.187299, 0.178826, 0.170234, 0.164358, 0.154781, 0.143974, 0.128941, 0.085632, 0.059183, 0.0465074, 0.0397502, 0.0270547, 0.0234047, 0.0158109, 0.0110734, 0.00699292, 0.00410606, 0.00239751, 0.00190949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0438425, 0.0480887, 0.0509343, 0.0516408, 0.0521807, 0.0549236, 0.056726, 0.0593674, 0.0619956, 0.0655473, 0.0694092, 0.0754474, 0.0773244, 0.0810783, 0.0856798, 0.0941313, 0.0945287, 0.100093, 0.112082, 0.119251, 0.128118, 0.139766, 0.158402, 0.170852, 0.185838, 0.213788, 0.24767, 0.260157");
-            values ( \
-              "0.0032542, 0.0585291, 0.0702365, 0.0825934, 0.0812225, 0.0939772, 0.0910875, 0.0953519, 0.0892373, 0.0907145, 0.0832177, 0.0836514, 0.0782551, 0.0807933, 0.0743609, 0.0738377, 0.071403, 0.0644595, 0.0441015, 0.0356578, 0.0277304, 0.0200322, 0.0122303, 0.00873897, 0.00581512, 0.00270868, 0.0010548, 0.000914752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0450879, 0.0499345, 0.0525803, 0.0552884, 0.0569381, 0.0584666, 0.0615237, 0.073673, 0.0793487, 0.102698, 0.115324, 0.123313, 0.137439, 0.14739, 0.162626, 0.177446, 0.184726, 0.196517, 0.210934, 0.226884, 0.237633, 0.252674, 0.270485, 0.294233, 0.338928, 0.350206");
-            values ( \
-              "0.0142206, 0.0904159, 0.115942, 0.127083, 0.129314, 0.12973, 0.128173, 0.116954, 0.113459, 0.103881, 0.0964792, 0.0873735, 0.0663197, 0.0542857, 0.040073, 0.0296956, 0.0255974, 0.0200558, 0.0148114, 0.0105272, 0.00836469, 0.00600788, 0.00407196, 0.00241272, 0.000821689, 0.000747394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0460812, 0.0521498, 0.054648, 0.0569228, 0.059083, 0.0747795, 0.0808223, 0.0891974, 0.118824, 0.134732, 0.14421, 0.154547, 0.170331, 0.189301, 0.204142, 0.224055, 0.246211, 0.254992, 0.2781, 0.29606, 0.312085, 0.328292, 0.351617, 0.382468, 0.423604, 0.486888, 0.564057");
-            values ( \
-              "0.0221955, 0.13938, 0.156471, 0.161763, 0.162652, 0.147985, 0.144565, 0.141445, 0.133335, 0.128166, 0.124178, 0.118221, 0.104751, 0.0845679, 0.0705196, 0.0550382, 0.0406339, 0.0360524, 0.0260396, 0.0198872, 0.0156561, 0.0123342, 0.00852885, 0.00527473, 0.0027954, 0.000894949, 0.000287991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0508928, 0.0509128, 0.0941414, 0.148669, 0.194834, 0.209803, 0.22577, 0.250958, 0.312479, 0.342451, 0.376704, 0.400131, 0.433268, 0.460068, 0.508638, 0.545923, 0.585554, 0.616054, 0.677055, 0.760204, 0.771005");
-            values ( \
-              "1e-22, 0.194338, 0.165435, 0.156049, 0.146314, 0.141996, 0.136051, 0.123126, 0.0837658, 0.0670197, 0.0506989, 0.0415369, 0.0309149, 0.0241545, 0.0152594, 0.0106282, 0.00722833, 0.00534483, 0.00288324, 0.00120353, 0.00113931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0521975, 0.0522175, 0.11769, 0.200612, 0.256277, 0.312974, 0.351682, 0.373319, 0.416593, 0.527342, 0.596121, 0.64775, 0.690002, 0.722472, 0.788121, 0.861148, 0.924141, 1.00614, 1.08929, 1.17244, 1.33873, 1.50503");
-            values ( \
-              "1e-22, 0.206898, 0.180021, 0.171535, 0.165185, 0.157516, 0.150342, 0.144998, 0.13174, 0.0902387, 0.0671126, 0.0524839, 0.0425543, 0.0359723, 0.0253502, 0.016969, 0.0119127, 0.00747319, 0.0045884, 0.00283674, 0.00107481, 0.000412251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0551109, 0.0551309, 0.17714, 0.318383, 0.463309, 0.56797, 0.627354, 0.696256, 0.787376, 0.981655, 1.12923, 1.19211, 1.2801, 1.39988, 1.50868, 1.5761, 1.70419, 1.87049, 2.03679, 2.20309, 2.2946");
-            values ( \
-              "1e-22, 0.214908, 0.187935, 0.179664, 0.170173, 0.161545, 0.154656, 0.144079, 0.126543, 0.0858197, 0.0594861, 0.0502097, 0.0392414, 0.0276556, 0.0199361, 0.0162434, 0.0109154, 0.00646634, 0.0038147, 0.00224693, 0.00192004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0801456, 0.0958817, 0.0987435, 0.104467, 0.105702, 0.106938, 0.108173, 0.109409, 0.110305, 0.111201, 0.112993, 0.11346, 0.114393, 0.115717, 0.116159, 0.116533, 0.118361, 0.120482, 0.121328, 0.122596, 0.126958, 0.13274, 0.136044, 0.138146, 0.141301, 0.143662, 0.146281, 0.152227, 0.155458, 0.159197, 0.164683, 0.168449, 0.17367, 0.177914, 0.18292, 0.186069, 0.188005, 0.193475, 0.195406, 0.198946, 0.202486, 0.207122, 0.212421, 0.217055, 0.219704, 0.224414, 0.229124, 0.235858, 0.242593, 0.248118");
-            values ( \
-              "0.0482449, 0.053545, 0.0587924, 0.0702874, 0.0713366, 0.0721349, 0.0726822, 0.0729786, 0.0739844, 0.0751493, 0.077956, 0.0799664, 0.0822703, 0.08379, 0.0840519, 0.0840584, 0.0839751, 0.0836387, 0.0833051, 0.0825987, 0.0799062, 0.0759916, 0.0736013, 0.0716943, 0.0677213, 0.0641934, 0.0598187, 0.0491239, 0.0444795, 0.0396657, 0.0335982, 0.0299854, 0.0260534, 0.0232859, 0.0203751, 0.0186983, 0.0177261, 0.0152533, 0.0144393, 0.0131798, 0.0120078, 0.0106266, 0.00917939, 0.00803401, 0.00743589, 0.00657785, 0.00580129, 0.00483531, 0.00403019, 0.00347673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0724075, 0.0817064, 0.0891107, 0.0981568, 0.112485, 0.11464, 0.116181, 0.118154, 0.122101, 0.133698, 0.150194, 0.158128, 0.168938, 0.179881, 0.189822, 0.19748, 0.20769, 0.22126, 0.229945, 0.247351, 0.25803, 0.27049, 0.287102, 0.307997, 0.350894, 0.398851, 0.455914");
-            values ( \
-              "0.0120417, 0.0425314, 0.0602603, 0.0797505, 0.107078, 0.116314, 0.118334, 0.119122, 0.117873, 0.110149, 0.101825, 0.0963737, 0.0836946, 0.067138, 0.0544333, 0.0468036, 0.0385423, 0.0288785, 0.0243077, 0.0168727, 0.0134619, 0.0103044, 0.00727679, 0.004534, 0.00170867, 0.000604142, 8.96331e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0829194, 0.0981487, 0.103912, 0.106793, 0.109801, 0.112808, 0.1181, 0.120991, 0.124061, 0.127649, 0.131788, 0.13473, 0.137885, 0.14104, 0.143972, 0.171274, 0.176021, 0.180767, 0.187096, 0.190261, 0.193843, 0.197425, 0.201007, 0.204589, 0.208171, 0.211754, 0.224137, 0.23652, 0.249864, 0.258587, 0.262948, 0.266265, 0.276217, 0.280534, 0.289169, 0.29461, 0.30023, 0.306974, 0.313754, 0.320534, 0.329637, 0.33874, 0.344288, 0.349836, 0.355384, 0.360931, 0.366479, 0.377575, 0.391607, 0.404859");
-            values ( \
-              "0.0974699, 0.099432, 0.11403, 0.120898, 0.131508, 0.143357, 0.151917, 0.150985, 0.149517, 0.146871, 0.144152, 0.142435, 0.141022, 0.139729, 0.138762, 0.130282, 0.12857, 0.126669, 0.123774, 0.12218, 0.120027, 0.117646, 0.114999, 0.112099, 0.108946, 0.105539, 0.0924346, 0.0800731, 0.0681942, 0.0608735, 0.057469, 0.0551185, 0.0484663, 0.0458167, 0.0407559, 0.03773, 0.0347587, 0.031494, 0.0286708, 0.026043, 0.0229096, 0.019953, 0.0182376, 0.016726, 0.0153394, 0.0141601, 0.0130509, 0.0110425, 0.00894714, 0.00714001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0783758, 0.100408, 0.112467, 0.115199, 0.119173, 0.122214, 0.132859, 0.139775, 0.147076, 0.206033, 0.227777, 0.254526, 0.27211, 0.297378, 0.33063, 0.367922, 0.384956, 0.419024, 0.455828, 0.476129, 0.510132, 0.524802, 0.551163, 0.588491, 0.628291, 0.658941, 0.720243, 0.803392, 0.886541, 0.96969");
-            values ( \
-              "0.0483629, 0.122311, 0.157547, 0.172452, 0.176786, 0.175978, 0.169032, 0.166005, 0.164032, 0.153233, 0.148615, 0.141313, 0.134419, 0.120861, 0.099019, 0.0763642, 0.0671137, 0.0508198, 0.0370217, 0.0308715, 0.0225571, 0.0196327, 0.0152923, 0.0106211, 0.00720943, 0.00534401, 0.0028548, 0.00120868, 0.000492365, 0.00022497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0784029, 0.0997266, 0.112482, 0.115305, 0.119723, 0.122993, 0.134509, 0.141146, 0.152452, 0.244708, 0.320343, 0.355784, 0.413001, 0.457866, 0.584691, 0.612993, 0.669599, 0.703929, 0.741938, 0.792617, 0.845031, 0.874623, 0.933805, 0.992041, 1.02169, 1.08099, 1.16414, 1.24729, 1.33044, 1.41358, 1.57988");
-            values ( \
-              "0.0410205, 0.130758, 0.172067, 0.188377, 0.193338, 0.192399, 0.185284, 0.182922, 0.18089, 0.171386, 0.16253, 0.157529, 0.14598, 0.132285, 0.0850616, 0.0753875, 0.0580857, 0.0491857, 0.040608, 0.0311388, 0.0235037, 0.0199855, 0.0143618, 0.0103443, 0.00873811, 0.00621948, 0.00382383, 0.0023527, 0.00143951, 0.000887704, 0.000337313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.0788485, 0.116278, 0.121663, 0.137663, 0.146888, 0.361504, 0.506436, 0.61106, 0.670381, 0.739564, 0.830473, 1.02489, 1.17261, 1.23514, 1.32254, 1.40569, 1.46501, 1.55368, 1.70365, 1.83049, 1.91364, 2.07994, 2.24624, 2.31675");
-            values ( \
-              "0.0493117, 0.198689, 0.203315, 0.194506, 0.192395, 0.179661, 0.170174, 0.161547, 0.15467, 0.144049, 0.126548, 0.0858003, 0.0594473, 0.0502195, 0.0393149, 0.0309138, 0.0259002, 0.0198182, 0.0124692, 0.00837322, 0.00647007, 0.00381865, 0.00225103, 0.00189328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124495, 0.141056, 0.146297, 0.167886, 0.186764, 0.201544, 0.214258, 0.236179, 0.246712, 0.24891, 0.250655, 0.26189, 0.269784, 0.280562, 0.287415, 0.295897, 0.307205, 0.313292, 0.320736, 0.329752, 0.34243, 0.358765, 0.380545, 0.416985, 0.460237, 0.514701");
-            values ( \
-              "0.00480768, 0.0110356, 0.0136548, 0.0289895, 0.0402831, 0.0483933, 0.0548113, 0.064471, 0.0556183, 0.0498602, 0.0494024, 0.0358975, 0.0285024, 0.0211162, 0.0175946, 0.0140182, 0.010316, 0.00876503, 0.00716713, 0.00560016, 0.00395629, 0.00252962, 0.00136851, 0.000466553, 0.000126437, 2.75653e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.124503, 0.149262, 0.175958, 0.198299, 0.213913, 0.23078, 0.24137, 0.248919, 0.252266, 0.255989, 0.259626, 0.264476, 0.278999, 0.291194, 0.304515, 0.319262, 0.326265, 0.337528, 0.356145, 0.369244, 0.379759, 0.394541, 0.411576, 0.43429, 0.478809, 0.531084, 0.597059");
-            values ( \
-              "0.00532709, 0.0221883, 0.0473882, 0.0658553, 0.0778296, 0.0893222, 0.0952698, 0.0980036, 0.100211, 0.0991645, 0.0958424, 0.0893708, 0.0666188, 0.0520055, 0.0398564, 0.0295924, 0.0256406, 0.0203152, 0.0136946, 0.0103612, 0.00827477, 0.0059773, 0.00412139, 0.0025005, 0.00085662, 0.000254507, 3.95561e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.135241, 0.151786, 0.182203, 0.216592, 0.238311, 0.248835, 0.252896, 0.256978, 0.262448, 0.279239, 0.286897, 0.295171, 0.311527, 0.329961, 0.344768, 0.364644, 0.386806, 0.395608, 0.405542, 0.418787, 0.436692, 0.452656, 0.468873, 0.492202, 0.516396, 0.534934, 0.572011, 0.640514, 0.721238");
-            values ( \
-              "0.0277136, 0.0315302, 0.0666465, 0.102643, 0.123126, 0.131545, 0.135883, 0.137, 0.134798, 0.126973, 0.123176, 0.118245, 0.104152, 0.0845231, 0.0705322, 0.0550551, 0.0406594, 0.0360632, 0.0313633, 0.0260103, 0.0198906, 0.0156735, 0.0123373, 0.00853871, 0.00588434, 0.00446338, 0.00242426, 0.000782272, 0.000150818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.13481, 0.161017, 0.207958, 0.2535, 0.256391, 0.282734, 0.336518, 0.368004, 0.392745, 0.463828, 0.514979, 0.551662, 0.571985, 0.606025, 0.647074, 0.684391, 0.724149, 0.754762, 0.81599, 0.899139, 1.06544");
-            values ( \
-              "0.0223745, 0.0499413, 0.111079, 0.165098, 0.165073, 0.156998, 0.145477, 0.134433, 0.121183, 0.0763601, 0.0507955, 0.0370414, 0.030886, 0.0225605, 0.0152927, 0.0106191, 0.00721066, 0.00535, 0.00285763, 0.00121234, 0.000227527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.158258, 0.197009, 0.219519, 0.248788, 0.254088, 0.261087, 0.267247, 0.277904, 0.287428, 0.351886, 0.395963, 0.442693, 0.452674, 0.472637, 0.512992, 0.557183, 0.681516, 0.709769, 0.766275, 0.800769, 0.838957, 0.889876, 0.919775, 0.941729, 0.971002, 1.02955, 1.07371, 1.08877, 1.11889, 1.17914, 1.26229, 1.34544, 1.42859, 1.51174, 1.67803");
-            values ( \
-              "0.0881578, 0.105292, 0.136942, 0.17546, 0.181891, 0.183817, 0.181213, 0.178478, 0.177071, 0.170264, 0.165189, 0.159046, 0.157518, 0.154115, 0.145065, 0.131395, 0.0850904, 0.0753996, 0.0581486, 0.049195, 0.0405767, 0.0310676, 0.026489, 0.0235232, 0.020037, 0.0144521, 0.0112653, 0.0103512, 0.00872128, 0.00617172, 0.00379543, 0.00233395, 0.00142928, 0.000880087, 0.000333861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.158231, 0.19411, 0.252994, 0.260783, 0.282997, 0.458067, 0.602982, 0.70773, 0.767262, 0.835496, 0.909263, 1.11666, 1.25782, 1.37355, 1.43858, 1.54713, 1.67818, 1.76133, 1.87499, 2.02452, 2.19081, 2.35711, 2.4685");
-            values ( \
-              "0.0908743, 0.106562, 0.192909, 0.195767, 0.189901, 0.179655, 0.170183, 0.161529, 0.154636, 0.144166, 0.130163, 0.0867436, 0.0612392, 0.0447622, 0.0371842, 0.027041, 0.0181869, 0.0140955, 0.00986943, 0.00615126, 0.00361648, 0.00211866, 0.00173876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.24742, 0.276648, 0.290643, 0.304558, 0.328256, 0.341382, 0.355384, 0.381227, 0.418343, 0.434213, 0.440054, 0.445988, 0.448637, 0.453935, 0.468395, 0.4802, 0.491424, 0.499963, 0.517857, 0.528099, 0.540431, 0.55011, 0.557031, 0.565212, 0.58476, 0.599924, 0.630252, 0.670339, 0.719783");
-            values ( \
-              "0.00453532, 0.0047574, 0.00669186, 0.00944923, 0.0169401, 0.021548, 0.0256465, 0.0316315, 0.0389528, 0.0432638, 0.0458869, 0.0469407, 0.0462795, 0.0435856, 0.0290444, 0.020558, 0.0152027, 0.012254, 0.00772198, 0.00595284, 0.00433441, 0.00336289, 0.00455086, 0.00463036, 0.00283281, 0.00186482, 0.000764039, 0.000230211, 4.76431e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.25662, 0.294957, 0.310669, 0.31554, 0.352357, 0.374449, 0.401331, 0.445463, 0.464574, 0.482792, 0.500811, 0.503997, 0.509237, 0.525581, 0.543084, 0.550057, 0.553965, 0.558044, 0.581607, 0.596885, 0.614375, 0.633031, 0.647653, 0.676897, 0.726311, 0.785644");
-            values ( \
-              "0.00861884, 0.0115667, 0.0163773, 0.0182959, 0.0349788, 0.0429277, 0.0512605, 0.0633962, 0.0692318, 0.0703274, 0.0488126, 0.0476668, 0.0422675, 0.0306801, 0.0217594, 0.0190045, 0.0196991, 0.0194277, 0.0127991, 0.0092261, 0.00629135, 0.00419102, 0.00303593, 0.00156714, 0.000471333, 0.000110261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.247449, 0.291658, 0.302865, 0.325387, 0.357363, 0.400534, 0.4341, 0.462894, 0.488873, 0.513008, 0.535933, 0.548608, 0.557372, 0.592902, 0.611455, 0.640338, 0.657944, 0.673075, 0.68948, 0.712947, 0.737688, 0.756703, 0.794734, 0.846152");
-            values ( \
-              "0.00684978, 0.0153143, 0.0191546, 0.0294339, 0.0470181, 0.0662141, 0.0796886, 0.0902484, 0.0985709, 0.104292, 0.0948121, 0.0810788, 0.0769631, 0.049955, 0.0387922, 0.0257523, 0.0198651, 0.0158546, 0.0123636, 0.00861232, 0.00588603, 0.00437681, 0.00238457, 0.00114453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.275135, 0.32198, 0.381414, 0.441303, 0.484546, 0.521696, 0.550096, 0.555301, 0.576751, 0.606097, 0.67176, 0.721398, 0.753364, 0.787808, 0.826764, 0.882556, 0.920017, 0.981292, 1.13628, 1.30258");
-            values ( \
-              "0.0262962, 0.0337473, 0.0683656, 0.0992016, 0.119745, 0.135389, 0.144423, 0.147847, 0.139952, 0.125995, 0.0834558, 0.0570456, 0.0435509, 0.0320462, 0.0223116, 0.0129978, 0.00896959, 0.00479305, 0.000772095, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.275206, 0.328222, 0.408868, 0.467931, 0.502138, 0.559417, 0.577918, 0.595323, 0.609989, 0.613636, 0.620929, 0.633044, 0.645865, 0.670329, 0.730668, 0.798817, 0.885042, 0.927444, 0.96265, 1.00832, 1.01902, 1.04043, 1.12974, 1.14545, 1.17686, 1.23968, 1.28748, 1.30524, 1.34076, 1.49495, 1.5781, 1.66125, 1.7444, 1.82755");
-            values ( \
-              "0.0269044, 0.0421518, 0.091669, 0.126155, 0.145148, 0.174825, 0.168556, 0.16785, 0.165127, 0.165602, 0.163934, 0.163114, 0.160739, 0.157851, 0.14535, 0.12299, 0.0898301, 0.0751194, 0.0646036, 0.0514226, 0.0493278, 0.0437985, 0.0273303, 0.0256303, 0.0211127, 0.0153225, 0.011243, 0.0106686, 0.00824685, 0.00321285, 0.00238996, 0.00104988, 0.00106063, 0.000235906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.274842, 0.355472, 0.489215, 0.549803, 0.563984, 0.833625, 0.977157, 1.06332, 1.4304, 1.57322, 1.76277, 1.83656, 1.99191, 2.21948, 2.50654");
-            values ( \
-              "0.0250605, 0.0627916, 0.148414, 0.184331, 0.186978, 0.169105, 0.155441, 0.142069, 0.0684844, 0.0467886, 0.0270927, 0.0216586, 0.0134258, 0.00661191, 0.00289458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.443136, 0.569975, 0.608352, 0.626469, 0.645075, 0.669882, 0.729438, 0.763444, 0.792404, 0.818632, 0.842612, 0.864082, 0.885633, 0.901764, 0.928956, 0.951804, 0.964667, 0.981819, 0.997621, 1.02378, 1.04488, 1.08707, 1.21602, 1.24123, 1.2614");
-            values ( \
-              "1.11592e-05, 0.00205699, 0.00329973, 0.00420375, 0.00547925, 0.00804218, 0.017251, 0.0213126, 0.0239923, 0.0259834, 0.0287072, 0.0322019, 0.0287671, 0.0196588, 0.0106506, 0.00627116, 0.0046494, 0.00312504, 0.00210246, 0.0011194, 0.000696926, 0.000217851, 8.46272e-06, 0.000759424, 0.000614228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.525696, 0.598974, 0.608348, 0.627095, 0.642316, 0.672757, 0.736113, 0.77243, 0.789162, 0.823851, 0.85526, 0.88425, 0.910841, 0.937468, 0.960948, 0.975682, 0.988034, 1.0045, 1.01729, 1.03093, 1.04692, 1.07118, 1.08226, 1.09723, 1.11718, 1.15708, 1.21602, 1.2402, 1.27126, 1.30155, 1.36107");
-            values ( \
-              "0.0045735, 0.00498035, 0.00554324, 0.00696186, 0.00841943, 0.0126449, 0.026119, 0.0323148, 0.0346036, 0.038703, 0.0418647, 0.0455707, 0.0496561, 0.0427745, 0.0289927, 0.0226691, 0.0184263, 0.0137626, 0.0109265, 0.00848358, 0.00623828, 0.00386553, 0.00310339, 0.00230666, 0.00155076, 0.000666741, 0.000196457, 0.00134506, 0.00112922, 0.000368224, 0.000152109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.525353, 0.604159, 0.631554, 0.658522, 0.705228, 0.736127, 0.791959, 0.832386, 0.87606, 0.952193, 0.98657, 1.01839, 1.07461, 1.11024, 1.14966, 1.17049, 1.21574, 1.22214, 1.23492, 1.27224, 1.29733, 1.32438");
-            values ( \
-              "0.00706472, 0.00830706, 0.0111684, 0.015066, 0.0255038, 0.0339061, 0.0462048, 0.0530185, 0.0592718, 0.0694981, 0.0731735, 0.0601836, 0.0327943, 0.0210554, 0.0123763, 0.00910789, 0.00464257, 0.00570322, 0.00603362, 0.00342615, 0.0023104, 0.00160958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.525594, 0.608911, 0.642255, 0.671624, 0.685781, 0.752607, 0.812619, 0.837788, 0.888127, 0.96025, 1.01139, 1.05873, 1.10408, 1.15936, 1.21604, 1.22744, 1.2865, 1.31449, 1.35028, 1.39122, 1.40549, 1.43852, 1.47626, 1.55175, 1.59343");
-            values ( \
-              "0.0103496, 0.0123879, 0.017175, 0.0227498, 0.0260344, 0.0452082, 0.0600712, 0.0653911, 0.0754695, 0.0885412, 0.096729, 0.103072, 0.100695, 0.0748549, 0.0503335, 0.0481292, 0.0294438, 0.0227426, 0.016211, 0.0108825, 0.00948113, 0.00686334, 0.00472372, 0.00216033, 0.00153236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.525232, 0.641004, 0.661315, 0.688396, 0.788935, 0.854551, 1.007, 1.07306, 1.11266, 1.15372, 1.21796, 1.23142, 1.26165, 1.38629, 1.471, 1.54382, 1.59483, 1.64646, 1.67561, 1.7339, 1.79351, 1.88445, 1.9676, 2.05075, 2.13669");
-            values ( \
-              "0.00992502, 0.0217055, 0.0257348, 0.0320086, 0.0611375, 0.0780026, 0.113518, 0.127263, 0.134629, 0.141105, 0.144328, 0.141514, 0.131494, 0.0850952, 0.0581709, 0.0405697, 0.0310329, 0.0235381, 0.0200498, 0.0144954, 0.0103614, 0.00616039, 0.00377691, 0.00233401, 0.00158488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.60779, 0.769249, 0.899733, 1.0518, 1.16902, 1.21546, 1.23635, 1.31579, 1.3771, 1.41936, 1.48885, 1.56984, 1.61474, 1.79882, 1.85107, 1.91483, 1.99744, 2.05473, 2.12484, 2.20799, 2.24584, 2.32153, 2.39306, 2.47621, 2.54162, 2.61772, 2.70087, 2.78402, 2.86717, 3.03347, 3.28291, 3.53236");
-            values ( \
-              "0.0334383, 0.0594686, 0.0962542, 0.136413, 0.164641, 0.174563, 0.174898, 0.169182, 0.164281, 0.160224, 0.151448, 0.137721, 0.12891, 0.0901397, 0.0797968, 0.0682643, 0.0549394, 0.0469903, 0.0385992, 0.0302517, 0.0270967, 0.0215325, 0.0173535, 0.0133743, 0.0109105, 0.0085942, 0.00664249, 0.00506623, 0.00392108, 0.00231149, 0.00101225, 0.000481123" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00294291, 0.00299312, 0.00303702, 0.0030691, 0.00308982, 0.00310197", \
-            "0.00380716, 0.00387552, 0.00394845, 0.00400851, 0.00405018, 0.00407578", \
-            "0.00448796, 0.00452111, 0.00457279, 0.00462952, 0.00467624, 0.00470798", \
-            "0.00501708, 0.00499993, 0.0049854, 0.00498914, 0.00500509, 0.00502223", \
-            "0.0054007, 0.00535092, 0.00529071, 0.00523967, 0.00520314, 0.00518668", \
-            "0.00562439, 0.00555473, 0.0054891, 0.00542771, 0.00536209, 0.00530734" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0046058, 0.0046516, 0.00470603, 0.00475324, 0.00478572, 0.00480487", \
-            "0.0053426, 0.00524199, 0.00515504, 0.00509056, 0.00506127, 0.00505059", \
-            "0.00553352, 0.00529953, 0.00507715, 0.00490101, 0.00477927, 0.00470537", \
-            "0.00567706, 0.00537203, 0.00504255, 0.00476217, 0.00457053, 0.00445176", \
-            "0.00586549, 0.00584923, 0.00540574, 0.00495026, 0.00462614, 0.0044205", \
-            "0.00555397, 0.00567031, 0.00577415, 0.00563926, 0.00499412, 0.00460864" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0237268, 0.0264677, 0.0277083, 0.0307617, 0.0327052, 0.0346618, 0.0384849, 0.0459175, 0.0484624, 0.049766, 0.0520075, 0.054013, 0.0557775, 0.0664765, 0.0695956, 0.075446, 0.0821145, 0.0899026, 0.0988034, 0.101877");
-            values ( \
-              "-0.0470818, -0.192225, -0.197355, -0.202533, -0.202147, -0.199677, -0.189867, -0.124814, -0.110909, -0.11093, -0.118096, -0.118823, -0.113295, -0.0554905, -0.0428171, -0.0255499, -0.0137773, -0.006588, -0.00263242, -0.00233765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.025061, 0.0263565, 0.029015, 0.0327882, 0.0386037, 0.0425467, 0.0451171, 0.047589, 0.0536847, 0.0575112, 0.0630231, 0.064834, 0.0675508, 0.0847982, 0.0934237, 0.0995465, 0.107293, 0.116813, 0.126867, 0.131706");
-            values ( \
-              "-0.146046, -0.245961, -0.257574, -0.261783, -0.258493, -0.252707, -0.245692, -0.232367, -0.185771, -0.166023, -0.178569, -0.177696, -0.170745, -0.0767146, -0.0451785, -0.0301615, -0.0178111, -0.00918344, -0.00456966, -0.00354858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0251255, 0.0274647, 0.0287187, 0.031926, 0.0368646, 0.049948, 0.058283, 0.0723133, 0.075106, 0.078141, 0.0813385, 0.0847208, 0.0886322, 0.0935043, 0.114962, 0.121074, 0.132259, 0.138534, 0.1469, 0.154827, 0.167715, 0.1849, 0.20951, 0.239585");
-            values ( \
-              "-0.122641, -0.296689, -0.301786, -0.307439, -0.30853, -0.299804, -0.286987, -0.224715, -0.222337, -0.231578, -0.236335, -0.234298, -0.226903, -0.211958, -0.113559, -0.090374, -0.0577044, -0.0443786, -0.0308886, -0.0218138, -0.0122385, -0.00541856, -0.00153717, -0.000292912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0261479, 0.0290589, 0.0363102, 0.0542702, 0.0752784, 0.0842824, 0.102474, 0.109496, 0.115053, 0.124995, 0.134011, 0.150182, 0.176447, 0.19207, 0.209665, 0.232898, 0.266038, 0.29265, 0.304774");
-            values ( \
-              "-0.316508, -0.333015, -0.340258, -0.334966, -0.32362, -0.311782, -0.267864, -0.279086, -0.281823, -0.273105, -0.259804, -0.220574, -0.135702, -0.0960262, -0.063032, -0.0350634, -0.0146785, -0.00702698, -0.00554942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0264515, 0.0297942, 0.0340791, 0.0393541, 0.0778855, 0.104159, 0.122057, 0.132116, 0.154478, 0.160443, 0.164905, 0.17105, 0.177223, 0.202942, 0.22129, 0.253035, 0.28321, 0.315913, 0.355122, 0.387503, 0.412292, 0.438043, 0.457763, 0.497202, 0.540977");
-            values ( \
-              "-0.336248, -0.353192, -0.358915, -0.359981, -0.352347, -0.344992, -0.337604, -0.328858, -0.30147, -0.303673, -0.309768, -0.311926, -0.310879, -0.29598, -0.281036, -0.232393, -0.172472, -0.116868, -0.0697957, -0.0443826, -0.0310548, -0.0213421, -0.0159243, -0.00874142, -0.00467733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0265337, 0.0298314, 0.0341882, 0.0396798, 0.100102, 0.161932, 0.193693, 0.223124, 0.24745, 0.254127, 0.2687, 0.277139, 0.285966, 0.331649, 0.37323, 0.389526, 0.419324, 0.452311, 0.50609, 0.544784, 0.57518, 0.598466, 0.636029, 0.667674, 0.699322, 0.74459, 0.76399, 0.802792, 0.85769, 0.912587, 0.967484, 1.02238, 1.13218");
-            values ( \
-              "-0.347347, -0.363762, -0.369811, -0.37127, -0.364742, -0.356028, -0.350265, -0.339486, -0.325358, -0.323296, -0.330215, -0.330474, -0.329063, -0.316939, -0.301407, -0.292652, -0.271223, -0.237375, -0.177172, -0.138989, -0.113285, -0.0963661, -0.0733611, -0.0579907, -0.0456467, -0.0320351, -0.0275847, -0.0202302, -0.0130413, -0.00829719, -0.00534653, -0.00336116, -0.00134697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0382016, 0.0432989, 0.0478695, 0.0509207, 0.0517052, 0.0525299, 0.0533244, 0.0549135, 0.0567011, 0.0584017, 0.062327, 0.0658963, 0.0674746, 0.0687691, 0.0712502, 0.0722656, 0.0742545, 0.0852074, 0.0883544, 0.0941552, 0.100823, 0.10868, 0.117659, 0.121317");
-            values ( \
-              "-0.00478452, -0.123833, -0.160867, -0.180708, -0.190559, -0.195001, -0.195956, -0.194865, -0.190826, -0.180888, -0.144139, -0.116652, -0.110315, -0.11179, -0.119039, -0.119079, -0.114298, -0.0554581, -0.0426854, -0.0256094, -0.0138189, -0.0065387, -0.002612, -0.00202632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0390579, 0.0454526, 0.0519587, 0.052971, 0.0558705, 0.0613419, 0.0639131, 0.0763087, 0.0816834, 0.0863348, 0.102651, 0.115369, 0.126756, 0.140819, 0.142733");
-            values ( \
-              "-0.0158037, -0.182338, -0.247991, -0.25511, -0.257496, -0.252209, -0.245557, -0.16607, -0.178411, -0.170683, -0.0810725, -0.0367537, -0.0171566, -0.00636612, -0.00602042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0404409, 0.0462824, 0.0524961, 0.0552599, 0.0609209, 0.0690128, 0.077343, 0.0923012, 0.1003, 0.103415, 0.107681, 0.117058, 0.13859, 0.147919, 0.159547, 0.174087, 0.19617, 0.19922");
-            values ( \
-              "-0.0231001, -0.223627, -0.298356, -0.305819, -0.305935, -0.299974, -0.287149, -0.222474, -0.236431, -0.235199, -0.226978, -0.193262, -0.0957859, -0.0663171, -0.0408535, -0.0215868, -0.00796612, -0.00723981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0424338, 0.0475841, 0.0524251, 0.0547694, 0.0608982, 0.0735066, 0.0949701, 0.102337, 0.121684, 0.128708, 0.134016, 0.144844, 0.153247, 0.168576, 0.196733, 0.209769, 0.227784, 0.245961, 0.27402, 0.306763, 0.319887");
-            values ( \
-              "-0.104539, -0.263499, -0.327494, -0.336106, -0.339604, -0.335223, -0.322992, -0.314238, -0.267824, -0.278848, -0.281914, -0.272324, -0.259838, -0.223114, -0.132694, -0.0994717, -0.0647823, -0.041139, -0.0198122, -0.00816495, -0.00630611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.033527, 0.0584647, 0.0617671, 0.0970121, 0.136523, 0.150032, 0.172303, 0.175923, 0.184693, 0.191383, 0.203207, 0.221095, 0.240415, 0.272124, 0.302385, 0.335016, 0.356153, 0.374235, 0.40665, 0.431431, 0.457155, 0.476851, 0.516245, 0.571142, 0.577715");
-            values ( \
-              "-0.00868407, -0.358504, -0.359185, -0.352175, -0.339755, -0.330323, -0.302552, -0.301329, -0.310379, -0.312289, -0.307445, -0.296923, -0.280773, -0.232706, -0.172212, -0.11694, -0.0890292, -0.0697856, -0.0443863, -0.0310357, -0.0213489, -0.0159317, -0.00875589, -0.00364675, -0.00346565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0445775, 0.0554919, 0.0614554, 0.0654936, 0.157453, 0.213383, 0.227718, 0.243079, 0.266812, 0.273476, 0.28798, 0.296401, 0.305122, 0.350912, 0.393311, 0.408795, 0.438611, 0.47158, 0.525334, 0.564045, 0.594465, 0.617774, 0.655307, 0.686921, 0.718576, 0.763849, 0.783257, 0.822072, 0.87697, 0.931867, 0.986764, 1.04166, 1.15146");
-            values ( \
-              "-0.280462, -0.368318, -0.370642, -0.37082, -0.359474, -0.349903, -0.345942, -0.338946, -0.325306, -0.323278, -0.330208, -0.330475, -0.329081, -0.316945, -0.301015, -0.292658, -0.271199, -0.237378, -0.177201, -0.13899, -0.113273, -0.0963357, -0.0733585, -0.0580024, -0.0456486, -0.0320398, -0.0275822, -0.0202308, -0.0130372, -0.008299, -0.0053433, -0.00336347, -0.00134948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0634828, 0.0782918, 0.0849917, 0.0906367, 0.0957452, 0.0983029, 0.104098, 0.107743, 0.11347, 0.114554, 0.13048, 0.13628, 0.142947, 0.150801, 0.156926");
-            values ( \
-              "-0.00172522, -0.0868418, -0.108742, -0.123548, -0.132916, -0.129907, -0.10861, -0.100337, -0.121213, -0.1209, -0.0427016, -0.0255967, -0.0138071, -0.00656107, -0.0038567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0680425, 0.0737525, 0.0776936, 0.0829723, 0.0934047, 0.101357, 0.105021, 0.108173, 0.112598, 0.113518, 0.11838, 0.12363, 0.125671, 0.128432, 0.145675, 0.151672, 0.159304, 0.16868, 0.174644, 0.182595, 0.194257");
-            values ( \
-              "-0.00450546, -0.0843865, -0.107828, -0.132061, -0.172345, -0.197495, -0.204575, -0.201847, -0.186687, -0.18661, -0.167424, -0.179225, -0.178096, -0.170582, -0.0765979, -0.0531422, -0.0324998, -0.0172245, -0.0114221, -0.00652741, -0.00302323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0711519, 0.0774006, 0.0810132, 0.0898893, 0.10134, 0.114086, 0.116351, 0.119364, 0.122377, 0.133156, 0.136074, 0.140663, 0.143406, 0.146538, 0.149749, 0.154641, 0.175991, 0.182277, 0.19343, 0.199548, 0.207706, 0.215911, 0.229199, 0.246915, 0.260577");
-            values ( \
-              "-0.034698, -0.122169, -0.143853, -0.188369, -0.23889, -0.289274, -0.291731, -0.285872, -0.275306, -0.225251, -0.221812, -0.234349, -0.236346, -0.23328, -0.226788, -0.211938, -0.113918, -0.0900712, -0.0575769, -0.0445726, -0.0313283, -0.0218576, -0.0120428, -0.00517331, -0.00311498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0706475, 0.0816266, 0.0893615, 0.114163, 0.115604, 0.117645, 0.125279, 0.136716, 0.144453, 0.149656, 0.160744, 0.163789, 0.167648, 0.170724, 0.176257, 0.177953, 0.186621, 0.195369, 0.211011, 0.238464, 0.252454, 0.260083, 0.270053, 0.278061, 0.287916, 0.301785, 0.316323, 0.327479, 0.349789, 0.390325, 0.438352");
-            values ( \
-              "-0.0113099, -0.161361, -0.2054, -0.327775, -0.331757, -0.332334, -0.330258, -0.323516, -0.31404, -0.302226, -0.272478, -0.267868, -0.271863, -0.278871, -0.28186, -0.281337, -0.272799, -0.259787, -0.22221, -0.13378, -0.0981831, -0.0822526, -0.0645415, -0.0529599, -0.041342, -0.0287979, -0.0197091, -0.0146823, -0.00794891, -0.00236969, -0.000496741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0710201, 0.0872714, 0.11381, 0.118303, 0.155341, 0.165931, 0.192678, 0.214866, 0.218527, 0.22728, 0.233996, 0.263827, 0.283062, 0.314774, 0.377664, 0.416882, 0.449294, 0.499802, 0.558897, 0.563217");
-            values ( \
-              "-0.0222449, -0.20334, -0.351517, -0.356759, -0.346569, -0.346027, -0.331528, -0.30263, -0.301305, -0.310327, -0.3123, -0.29687, -0.280767, -0.232707, -0.116953, -0.0697679, -0.0444045, -0.0213315, -0.00873791, -0.00833863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.0705568, 0.0947134, 0.113932, 0.116313, 0.122119, 0.182529, 0.254813, 0.269439, 0.284845, 0.308775, 0.315235, 0.329375, 0.338885, 0.347575, 0.39338, 0.43529, 0.45126, 0.481065, 0.51763, 0.567811, 0.606514, 0.636922, 0.660219, 0.697768, 0.729397, 0.761049, 0.806319, 0.825723, 0.864532, 0.919429, 0.974327, 1.02922, 1.08412, 1.19392");
-            values ( \
-              "-0.0401131, -0.253002, -0.363153, -0.367478, -0.369026, -0.361985, -0.350325, -0.346027, -0.339416, -0.325547, -0.323335, -0.329906, -0.330532, -0.329106, -0.316907, -0.301284, -0.292622, -0.271245, -0.233507, -0.177166, -0.13901, -0.113271, -0.0963592, -0.0733522, -0.0579896, -0.0456543, -0.0320309, -0.0275897, -0.0202245, -0.0130453, -0.00829217, -0.00535078, -0.00335655, -0.00134265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.121159, 0.134858, 0.146198, 0.162539, 0.170665, 0.174323, 0.183575, 0.186255, 0.191696, 0.197276, 0.201041, 0.205304, 0.221685, 0.235033, 0.249019, 0.252523");
-            values ( \
-              "-0.0168604, -0.0343839, -0.0570476, -0.0777166, -0.0836496, -0.080765, -0.0631103, -0.0622411, -0.0781041, -0.0845004, -0.0827224, -0.0767921, -0.031772, -0.0111183, -0.00327446, -0.00400562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.123949, 0.130262, 0.135298, 0.149176, 0.163085, 0.178904, 0.184487, 0.189426, 0.196587, 0.200568, 0.203238, 0.205732, 0.208986, 0.212236, 0.215144, 0.219563, 0.2247, 0.236361, 0.24528, 0.249019, 0.249892, 0.250851, 0.255351, 0.262282, 0.272492, 0.283384, 0.288439");
-            values ( \
-              "-0.0278751, -0.035637, -0.0458692, -0.0794028, -0.103295, -0.126099, -0.13053, -0.127172, -0.113226, -0.109572, -0.113541, -0.124976, -0.134106, -0.138599, -0.13797, -0.135, -0.122743, -0.0776318, -0.0482822, -0.0386217, -0.0389679, -0.0375427, -0.0284755, -0.0179599, -0.00887412, -0.00401254, -0.00310536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.124472, 0.138212, 0.155048, 0.178177, 0.19298, 0.206031, 0.210835, 0.222516, 0.225061, 0.231797, 0.237486, 0.245297, 0.251827, 0.276117, 0.287306, 0.302, 0.322697, 0.338791");
-            values ( \
-              "-0.00882747, -0.0625851, -0.105419, -0.152269, -0.178868, -0.195889, -0.194772, -0.180853, -0.182669, -0.206755, -0.214156, -0.210578, -0.197058, -0.0904214, -0.0577262, -0.0308387, -0.0122886, -0.00585623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.124534, 0.151492, 0.159329, 0.175632, 0.198135, 0.21342, 0.220374, 0.234284, 0.237866, 0.245031, 0.24895, 0.250848, 0.256696, 0.259171, 0.26722, 0.271513, 0.27749, 0.283218, 0.289334, 0.301564, 0.324999, 0.335482, 0.345926, 0.353776, 0.363862, 0.372153, 0.381942, 0.395758, 0.4101, 0.421082, 0.443046, 0.483407, 0.531114");
-            values ( \
-              "-0.00376445, -0.104888, -0.126143, -0.163914, -0.212854, -0.243736, -0.257044, -0.279541, -0.282616, -0.282325, -0.279656, -0.279288, -0.269512, -0.269595, -0.28202, -0.281457, -0.276132, -0.269245, -0.259975, -0.232103, -0.155964, -0.12531, -0.0992225, -0.0826266, -0.0647367, -0.0527416, -0.0411917, -0.0287737, -0.0197829, -0.0147978, -0.00810076, -0.00242577, -0.000521443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.124379, 0.168137, 0.174451, 0.218221, 0.240368, 0.248794, 0.25293, 0.258361, 0.275883, 0.286447, 0.306873, 0.311298, 0.315924, 0.320328, 0.326061, 0.331863, 0.34548, 0.359575, 0.376742, 0.40853, 0.438607, 0.471388, 0.492551, 0.510586, 0.525352, 0.542932, 0.567728, 0.593507, 0.613252, 0.65274, 0.707638, 0.762535, 0.817433");
-            values ( \
-              "-0.00675896, -0.155367, -0.171373, -0.273794, -0.322013, -0.33947, -0.344512, -0.345283, -0.338296, -0.33009, -0.304133, -0.300948, -0.304185, -0.309721, -0.312054, -0.311034, -0.30449, -0.295259, -0.280938, -0.23239, -0.172517, -0.116835, -0.088912, -0.0697848, -0.0569413, -0.0443911, -0.0310669, -0.0213498, -0.0159031, -0.00874184, -0.00362713, -0.00154566, -0.000610286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.124342, 0.186909, 0.195647, 0.248757, 0.255533, 0.264393, 0.27161, 0.32831, 0.34735, 0.361517, 0.378868, 0.403479, 0.409748, 0.423561, 0.432945, 0.465362, 0.487441, 0.530315, 0.545327, 0.575155, 0.608113, 0.661853, 0.700574, 0.731008, 0.754329, 0.791845, 0.823441, 0.8551, 0.900376, 0.919787, 0.958609, 1.01351, 1.0684, 1.1233, 1.1782, 1.28799");
-            values ( \
-              "-0.0135378, -0.208146, -0.230342, -0.356656, -0.36292, -0.363931, -0.36226, -0.354053, -0.350636, -0.346735, -0.339402, -0.325228, -0.323367, -0.329925, -0.330577, -0.323434, -0.316951, -0.300784, -0.292663, -0.271185, -0.237381, -0.177218, -0.13899, -0.113268, -0.0963177, -0.0733585, -0.0580107, -0.045649, -0.032044, -0.02758, -0.0202328, -0.013034, -0.00830141, -0.00534038, -0.00336606, -0.00135214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.22049, 0.248923, 0.256202, 0.267713, 0.275043, 0.289178, 0.302075, 0.308368, 0.320954, 0.323586, 0.326594, 0.335153, 0.338871, 0.341728, 0.347824, 0.350777, 0.354607, 0.362714, 0.36856, 0.374546, 0.383543, 0.399819, 0.409569, 0.416749, 0.421433, 0.430802, 0.446552, 0.451143");
-            values ( \
-              "-0.0010852, -0.0112589, -0.0141955, -0.0217017, -0.0282959, -0.0383737, -0.0457534, -0.0485447, -0.0527412, -0.0518538, -0.0495716, -0.0399811, -0.0373304, -0.0372807, -0.0483526, -0.0520224, -0.054886, -0.0563961, -0.055844, -0.053488, -0.0435502, -0.0209417, -0.0117214, -0.00738758, -0.00541854, -0.00278426, -0.00083974, -0.000686967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.254377, 0.280182, 0.285035, 0.288923, 0.296698, 0.300585, 0.311574, 0.322564, 0.332386, 0.334688, 0.336991, 0.339294, 0.341597, 0.343532, 0.347403, 0.349339, 0.35231, 0.355282, 0.35798, 0.359329, 0.360678, 0.362027, 0.363376, 0.364354, 0.366309, 0.367286, 0.368916, 0.370546, 0.373776, 0.374853, 0.377984, 0.381489, 0.38395, 0.387581, 0.391211, 0.396051, 0.398471, 0.400582, 0.402693, 0.406261, 0.40983, 0.415842, 0.424309, 0.426324, 0.430898, 0.436699, 0.441022, 0.445149, 0.449276, 0.452938");
-            values ( \
-              "-0.0407399, -0.0413112, -0.0460457, -0.0495241, -0.0560924, -0.0591823, -0.0672677, -0.0746249, -0.0822474, -0.0823874, -0.0822977, -0.0819785, -0.0814297, -0.0807909, -0.0790265, -0.077901, -0.0750821, -0.071451, -0.0672697, -0.0673342, -0.0677532, -0.0685266, -0.0696544, -0.0706931, -0.0733287, -0.0749256, -0.0794045, -0.0830437, -0.087508, -0.0886188, -0.0906069, -0.092149, -0.0928006, -0.0924341, -0.0917926, -0.0905095, -0.0896846, -0.0881626, -0.0862961, -0.0814429, -0.0759261, -0.06506, -0.0481146, -0.0444582, -0.0367694, -0.0278241, -0.0226498, -0.0184877, -0.0149516, -0.0123011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.237442, 0.246824, 0.265241, 0.273707, 0.314803, 0.353325, 0.364867, 0.373482, 0.379846, 0.392256, 0.396569, 0.408698, 0.41312, 0.418307, 0.424354, 0.430243, 0.442019, 0.447633, 0.48398, 0.495044, 0.510282, 0.521361, 0.536133, 0.55024, 0.559261, 0.565371");
-            values ( \
-              "-0.0163437, -0.0207678, -0.0331702, -0.0404923, -0.0834785, -0.115209, -0.122948, -0.12621, -0.124468, -0.114682, -0.113643, -0.136868, -0.140776, -0.142781, -0.144562, -0.144659, -0.140037, -0.133254, -0.0543236, -0.0369458, -0.0206915, -0.0132736, -0.00703673, -0.00382523, -0.0041747, -0.00343697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.279662, 0.32919, 0.348147, 0.37131, 0.394423, 0.409616, 0.41538, 0.421143, 0.424784, 0.428424, 0.432559, 0.436694, 0.438459, 0.443754, 0.445446, 0.447138, 0.448386, 0.452132, 0.456173, 0.457842, 0.46118, 0.462849, 0.470951, 0.474836, 0.478722, 0.482311, 0.485901, 0.48949, 0.49308, 0.498607, 0.504135, 0.509663, 0.515191, 0.516392, 0.521196, 0.539146, 0.547838, 0.549205, 0.550571, 0.553304, 0.554671, 0.557272, 0.560977, 0.566225, 0.572305, 0.578489, 0.584033, 0.593215, 0.602841, 0.611194");
-            values ( \
-              "-0.103495, -0.107258, -0.125936, -0.147719, -0.168363, -0.18041, -0.183407, -0.185539, -0.184806, -0.183808, -0.182353, -0.180555, -0.18014, -0.179486, -0.180157, -0.181185, -0.183094, -0.189866, -0.198932, -0.201395, -0.205436, -0.207014, -0.212083, -0.212882, -0.213173, -0.212985, -0.212366, -0.211316, -0.209836, -0.206714, -0.20257, -0.197405, -0.191219, -0.189275, -0.178173, -0.133265, -0.112427, -0.106683, -0.0991967, -0.0970363, -0.095627, -0.0922127, -0.0862797, -0.0763944, -0.0661368, -0.0563848, -0.0487227, -0.0387995, -0.0304306, -0.023925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.286327, 0.340646, 0.376945, 0.411806, 0.453502, 0.465915, 0.472633, 0.480373, 0.484995, 0.487306, 0.489618, 0.509005, 0.512539, 0.516074, 0.518066, 0.520057, 0.523875, 0.526845, 0.529815, 0.531434, 0.536291, 0.542883, 0.548954, 0.550421, 0.550977, 0.554841, 0.559451, 0.566073, 0.575146, 0.581217, 0.585993, 0.591216, 0.59644, 0.602212, 0.607985, 0.64957, 0.66382, 0.671344, 0.684012, 0.687609, 0.698104, 0.7061, 0.715096, 0.72449, 0.734281, 0.747479, 0.758081, 0.770486, 0.776688, 0.786438");
-            values ( \
-              "-0.119817, -0.126625, -0.164605, -0.19971, -0.239589, -0.250566, -0.255986, -0.261569, -0.263813, -0.264669, -0.265347, -0.266138, -0.266895, -0.267999, -0.269743, -0.272022, -0.279981, -0.285319, -0.289911, -0.291637, -0.295887, -0.299431, -0.302133, -0.303954, -0.303966, -0.303506, -0.301319, -0.297106, -0.290272, -0.285164, -0.280753, -0.274661, -0.267635, -0.258845, -0.249208, -0.168314, -0.143122, -0.130675, -0.111041, -0.105813, -0.0926957, -0.0836483, -0.0741548, -0.0648015, -0.0560752, -0.0468597, -0.040448, -0.0339221, -0.0310207, -0.0269226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.269805, 0.31287, 0.355829, 0.406115, 0.47925, 0.549981, 0.558194, 0.568049, 0.577716, 0.587921, 0.612835, 0.618247, 0.633312, 0.641705, 0.650448, 0.674581, 0.696217, 0.739488, 0.754106, 0.783341, 0.816833, 0.87132, 0.909513, 0.939128, 0.978615, 1.00364, 1.02364, 1.0503, 1.10362, 1.12174, 1.16561, 1.21574, 1.27064, 1.32554, 1.43533, 1.54513");
-            values ( \
-              "-0.0660159, -0.0984275, -0.148419, -0.203403, -0.280219, -0.347925, -0.349464, -0.347704, -0.343935, -0.339233, -0.325002, -0.323113, -0.33009, -0.330516, -0.329032, -0.323287, -0.316983, -0.300563, -0.292693, -0.271673, -0.237473, -0.176504, -0.13883, -0.113835, -0.086068, -0.0717917, -0.0619109, -0.0505731, -0.0334521, -0.0289818, -0.0205701, -0.0136982, -0.00881665, -0.00558434, -0.00225272, -0.000897217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.431901, 0.494433, 0.509702, 0.528572, 0.538188, 0.553227, 0.568735, 0.588507, 0.591288, 0.59685, 0.615421, 0.625118, 0.636478, 0.646837, 0.652634, 0.659663, 0.661097, 0.663964, 0.667554, 0.672143, 0.677867, 0.683784, 0.687362, 0.692131, 0.702297, 0.720633, 0.734428, 0.756931, 0.762006, 0.77098, 0.782014, 0.788647, 0.801913, 0.824217, 0.850891, 0.931825, 0.986722, 1.04162, 1.20631");
-            values ( \
-              "-5.27547e-05, -0.00414146, -0.00539408, -0.00778208, -0.00951672, -0.0132814, -0.0181925, -0.0239317, -0.0243212, -0.0258796, -0.0297808, -0.0313679, -0.0318864, -0.0278137, -0.0246234, -0.0217628, -0.0215397, -0.0219422, -0.0249657, -0.0300912, -0.033454, -0.0345364, -0.0349604, -0.0353944, -0.0360968, -0.0352707, -0.0297452, -0.0148828, -0.0121279, -0.00821707, -0.00493372, -0.00364004, -0.00188781, -0.000670246, -0.000234078, -3.99619e-05, -4.84365e-05, -2.36405e-06, -2.26496e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.446721, 0.4849, 0.520284, 0.539582, 0.565963, 0.617405, 0.640248, 0.661756, 0.665371, 0.672601, 0.685621, 0.69261, 0.704839, 0.710176, 0.725217, 0.73577, 0.744192, 0.755422, 0.767711, 0.803504, 0.824237, 0.84529, 0.864028, 0.883892");
-            values ( \
-              "-0.00276015, -0.00534498, -0.0100994, -0.0139308, -0.0223252, -0.041539, -0.0481986, -0.0521978, -0.0523488, -0.0499832, -0.0427063, -0.040585, -0.0536326, -0.0566901, -0.0594141, -0.0604495, -0.060768, -0.059911, -0.0552889, -0.0236263, -0.0110578, -0.00468316, -0.00205539, -0.000988407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.457841, 0.483485, 0.511631, 0.550607, 0.571218, 0.643242, 0.679526, 0.696706, 0.710864, 0.71984, 0.736063, 0.743114, 0.755739, 0.761553, 0.77172, 0.797592, 0.82335, 0.826902, 0.838269, 0.877043, 0.893519, 0.910606, 0.920841, 0.94131, 0.974223, 0.975317");
-            values ( \
-              "-0.00512516, -0.00764413, -0.0123393, -0.0219781, -0.0296071, -0.0610356, -0.074075, -0.0791009, -0.0811166, -0.0790143, -0.0718188, -0.072203, -0.0873847, -0.0902431, -0.0926477, -0.0960689, -0.0924327, -0.0905282, -0.0814204, -0.0377075, -0.0241448, -0.0145044, -0.0105082, -0.00528998, -0.00151918, -0.00148603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.482681, 0.538335, 0.561024, 0.583879, 0.629798, 0.67088, 0.732293, 0.751659, 0.76645, 0.779706, 0.793569, 0.804033, 0.815039, 0.826123, 0.83492, 0.841803, 0.855489, 0.888702, 0.921782, 0.926574, 0.943005, 0.970872, 0.995411, 1.01845, 1.04226, 1.05643, 1.08478, 1.13099, 1.18497, 1.21591, 1.23491");
-            values ( \
-              "-0.010829, -0.0232108, -0.0308229, -0.0400774, -0.0630511, -0.0817601, -0.106794, -0.113809, -0.118286, -0.120262, -0.118419, -0.115456, -0.115746, -0.129245, -0.134265, -0.136719, -0.13993, -0.144395, -0.136142, -0.132792, -0.115386, -0.0795362, -0.0522623, -0.0333928, -0.0201824, -0.0147512, -0.00755428, -0.00220493, -0.000461756, -0.000218241, -0.000898074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.482617, 0.589543, 0.681139, 0.734531, 0.817858, 0.844169, 0.86034, 0.88157, 0.897523, 0.913914, 0.919716, 0.933514, 0.943871, 0.979796, 1.01379, 1.02412, 1.04478, 1.06938, 1.14049, 1.16256, 1.18905, 1.2161, 1.25724, 1.2777, 1.3132, 1.3681, 1.37669");
-            values ( \
-              "-0.00481464, -0.0471707, -0.0930406, -0.117744, -0.153527, -0.163865, -0.169449, -0.174129, -0.174174, -0.173395, -0.175525, -0.189373, -0.194649, -0.204096, -0.208896, -0.208736, -0.204959, -0.188906, -0.102384, -0.0793817, -0.0569466, -0.039718, -0.0230172, -0.0169923, -0.00994396, -0.00415215, -0.00377577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.537136, 0.604889, 0.717996, 0.808066, 0.912171, 0.992461, 1.01014, 1.02593, 1.05914, 1.0638, 1.08138, 1.09263, 1.1239, 1.15725, 1.21215, 1.21793, 1.22199, 1.24783, 1.27132, 1.35842, 1.39208, 1.41085, 1.44839, 1.47436, 1.50463, 1.54499, 1.57885, 1.61304, 1.65863, 1.71353, 1.76843, 1.82332, 1.87822, 1.98802");
-            values ( \
-              "-0.0464382, -0.0580818, -0.115549, -0.158672, -0.206122, -0.239344, -0.244938, -0.248329, -0.252504, -0.253867, -0.268227, -0.273593, -0.282921, -0.290431, -0.292729, -0.291959, -0.290281, -0.270959, -0.247708, -0.152641, -0.122415, -0.107653, -0.0824104, -0.0681458, -0.0543453, -0.0398657, -0.0306215, -0.0234149, -0.016267, -0.0104251, -0.00666519, -0.00425103, -0.00271114, -0.00109998" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00283898, 0.00285205, 0.00286548, 0.00287651, 0.00288404, 0.00288863", \
-            "0.00338822, 0.00339657, 0.00340688, 0.00341688, 0.00342462, 0.0034297", \
-            "0.00374099, 0.00374287, 0.00374643, 0.00375143, 0.00375656, 0.00376058", \
-            "0.00395719, 0.00395475, 0.00394997, 0.00394864, 0.00394871, 0.0039497", \
-            "0.00406664, 0.0040613, 0.00405468, 0.00404829, 0.00404335, 0.00403997", \
-            "0.00412476, 0.0041192, 0.00411152, 0.00410275, 0.00409307, 0.00408516" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0039471, 0.0039481, 0.00396083, 0.00397055, 0.00397631, 0.00397936", \
-            "0.00432722, 0.0043199, 0.00431425, 0.00431085, 0.00430876, 0.00430752", \
-            "0.00444427, 0.00439912, 0.00436105, 0.00432752, 0.00430997, 0.00429618", \
-            "0.00459395, 0.00447509, 0.00437126, 0.00428951, 0.00423082, 0.00419423", \
-            "0.00509913, 0.00482847, 0.00458541, 0.00439465, 0.00426538, 0.00418762", \
-            "0.00662755, 0.00594995, 0.0051242, 0.00472599, 0.00445411, 0.004283" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(~A0 & ~A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A0 * !A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0376226, 0.049537, 0.0723239, 0.115717, 0.199041, 0.359771", \
-            "0.0429601, 0.0548806, 0.0775818, 0.121267, 0.204726, 0.365553", \
-            "0.0568952, 0.0686806, 0.0908178, 0.134344, 0.217892, 0.378775", \
-            "0.0789784, 0.0965421, 0.122965, 0.165387, 0.24893, 0.409683", \
-            "0.11203, 0.137457, 0.176792, 0.234806, 0.320279, 0.479833", \
-            "0.167121, 0.20135, 0.256588, 0.340938, 0.463987, 0.639375" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0336066, 0.0507729, 0.0835331, 0.146081, 0.266305, 0.498474", \
-            "0.0336645, 0.050654, 0.0834266, 0.146063, 0.266348, 0.498478", \
-            "0.0394306, 0.0534354, 0.0835736, 0.14594, 0.266341, 0.498444", \
-            "0.0583205, 0.072231, 0.0957411, 0.149057, 0.266241, 0.498444", \
-            "0.0876986, 0.107446, 0.137883, 0.183971, 0.281142, 0.498784", \
-            "0.134978, 0.163221, 0.207356, 0.272681, 0.36731, 0.54516" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0290888, 0.0378934, 0.054418, 0.0858401, 0.146163, 0.262504", \
-            "0.0337278, 0.0426223, 0.059298, 0.090886, 0.151336, 0.267762", \
-            "0.0428192, 0.05347, 0.0704784, 0.102202, 0.162527, 0.279242", \
-            "0.0517515, 0.0674281, 0.0918969, 0.127916, 0.188549, 0.305207", \
-            "0.0559874, 0.0788362, 0.114765, 0.168021, 0.245235, 0.363376", \
-            "0.046277, 0.0784067, 0.1293, 0.206693, 0.320677, 0.482959" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0208471, 0.0317827, 0.0530714, 0.09412, 0.173604, 0.327183", \
-            "0.0212447, 0.031848, 0.0530154, 0.0941169, 0.1736, 0.327183", \
-            "0.0286069, 0.0367233, 0.054924, 0.0941758, 0.173605, 0.327264", \
-            "0.0439795, 0.0553174, 0.0725206, 0.103679, 0.175125, 0.327282", \
-            "0.068321, 0.0846838, 0.109762, 0.147092, 0.204768, 0.335896", \
-            "0.110263, 0.132395, 0.167776, 0.221828, 0.300442, 0.414505" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0267908, 0.030058, 0.031402, 0.0337082, 0.0361494, 0.0401317, 0.0475283, 0.0523906, 0.0625022, 0.0698016, 0.0744524, 0.0806536, 0.0891709, 0.101213, 0.108183, 0.117477, 0.130604, 0.158734, 0.164833");
-            values ( \
-              "0.033827, 0.15843, 0.162794, 0.165352, 0.163972, 0.157446, 0.140399, 0.126308, 0.080476, 0.0558689, 0.0450318, 0.0341244, 0.0238374, 0.0144665, 0.0108912, 0.00743613, 0.0043584, 0.00132859, 0.00119899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0278943, 0.0298384, 0.0314922, 0.0330972, 0.0347446, 0.037753, 0.0408049, 0.0607251, 0.0659945, 0.0689981, 0.0792377, 0.0839554, 0.0902457, 0.0944161, 0.0999765, 0.110001, 0.118351, 0.124293, 0.133214, 0.141376, 0.152259, 0.16091, 0.170614, 0.183553, 0.20943, 0.243204, 0.285041");
-            values ( \
-              "0.0644467, 0.196608, 0.206099, 0.209953, 0.211308, 0.209909, 0.205332, 0.170191, 0.15864, 0.15026, 0.114245, 0.0987461, 0.0812309, 0.0716875, 0.0605408, 0.0444931, 0.0345531, 0.0287487, 0.0217425, 0.0168316, 0.0118835, 0.00901674, 0.00660816, 0.00433279, 0.00178756, 0.00051902, 0.000106626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0286335, 0.031223, 0.0339489, 0.0360758, 0.0392029, 0.0570892, 0.0833917, 0.0909695, 0.0970498, 0.119051, 0.130815, 0.146595, 0.161455, 0.168056, 0.178402, 0.192195, 0.214248, 0.232047, 0.251003, 0.266021, 0.296058, 0.323351");
-            values ( \
-              "0.142679, 0.236614, 0.24714, 0.248003, 0.246073, 0.222687, 0.196345, 0.186196, 0.175756, 0.126354, 0.102535, 0.076829, 0.0574332, 0.0504, 0.0407971, 0.0304893, 0.0189609, 0.0127625, 0.0083744, 0.00596155, 0.00295139, 0.00177154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.028699, 0.0332033, 0.0359131, 0.0384543, 0.0620282, 0.115493, 0.12737, 0.149157, 0.20884, 0.232037, 0.270202, 0.290893, 0.312311, 0.356499, 0.383889, 0.428182, 0.48724, 0.499319");
-            values ( \
-              "0.15342, 0.267229, 0.271775, 0.27205, 0.24981, 0.221211, 0.213702, 0.195231, 0.118431, 0.0928651, 0.0594884, 0.045956, 0.0348108, 0.0192391, 0.0132077, 0.00709837, 0.00294219, 0.0027121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0310531, 0.0310731, 0.076084, 0.13343, 0.182829, 0.210555, 0.235347, 0.253192, 0.288881, 0.327952, 0.37411, 0.394501, 0.435283, 0.459797, 0.4868, 0.522806, 0.544844, 0.582405, 0.625332, 0.665702, 0.686196, 0.727182, 0.794631, 0.86208, 0.929528, 1.06443");
-            values ( \
-              "1e-22, 0.302196, 0.264281, 0.248772, 0.234142, 0.224568, 0.213959, 0.204356, 0.180441, 0.151197, 0.118188, 0.104793, 0.0808113, 0.0685537, 0.0567663, 0.0436997, 0.0370945, 0.027906, 0.0199645, 0.0145294, 0.0123528, 0.00888533, 0.00510355, 0.00291724, 0.00166691, 0.000542142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0337151, 0.0337351, 0.121986, 0.224106, 0.324735, 0.371424, 0.438872, 0.527373, 0.705736, 0.773185, 0.858167, 0.907083, 0.974532, 1.02463, 1.11139, 1.17884, 1.28045, 1.41535, 1.55025, 1.64903");
-            values ( \
-              "1e-22, 0.312991, 0.270461, 0.255509, 0.23935, 0.230684, 0.214549, 0.183617, 0.112946, 0.0898684, 0.0657826, 0.0544217, 0.0415119, 0.0338057, 0.0234773, 0.0175697, 0.0112949, 0.00623079, 0.00342024, 0.00264068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0424365, 0.0450855, 0.0508894, 0.0519275, 0.0535224, 0.0552182, 0.0578499, 0.0594717, 0.0627152, 0.0683744, 0.0730453, 0.0824141, 0.0897232, 0.0946874, 0.101306, 0.110552, 0.117306, 0.129003, 0.137633, 0.14906, 0.172455, 0.187601");
-            values ( \
-              "0.0221074, 0.0769465, 0.118229, 0.133821, 0.145252, 0.151854, 0.155211, 0.154591, 0.150353, 0.137252, 0.121931, 0.0775501, 0.053919, 0.0428605, 0.0319401, 0.0217084, 0.0163604, 0.0101542, 0.00714659, 0.00447219, 0.00167891, 0.00100984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0437862, 0.0469501, 0.0508917, 0.0523881, 0.0549394, 0.0574001, 0.0600977, 0.0632289, 0.0664427, 0.0761707, 0.0875911, 0.103052, 0.113261, 0.127979, 0.13904, 0.147051, 0.158088, 0.177139, 0.187307, 0.208169, 0.235986, 0.237833");
-            values ( \
-              "0.0457531, 0.114548, 0.150566, 0.175437, 0.194152, 0.201675, 0.203062, 0.2003, 0.195283, 0.177876, 0.152689, 0.0989714, 0.0724333, 0.0461716, 0.0330029, 0.025682, 0.0182193, 0.00990876, 0.00713788, 0.00361468, 0.00139959, 0.00134534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0456275, 0.054545, 0.0585258, 0.0619562, 0.079598, 0.103148, 0.114524, 0.140078, 0.156279, 0.17953, 0.201265, 0.217576, 0.239915, 0.271027, 0.294906, 0.324006");
-            values ( \
-              "0.123599, 0.223665, 0.239495, 0.24103, 0.22018, 0.195655, 0.179317, 0.122394, 0.0918169, 0.0587757, 0.0378177, 0.026755, 0.0164288, 0.00822935, 0.00474387, 0.00266427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0379995, 0.0612428, 0.0651118, 0.0854455, 0.12539, 0.146878, 0.168682, 0.228446, 0.250878, 0.276303, 0.289897, 0.31186, 0.332196, 0.367995, 0.393759, 0.427172, 0.471722, 0.539171, 0.606619");
-            values ( \
-              "0.0103661, 0.267366, 0.266017, 0.247923, 0.22668, 0.213692, 0.195204, 0.118335, 0.0935273, 0.0698116, 0.0593341, 0.0450894, 0.0346533, 0.0214785, 0.0150887, 0.00949309, 0.00497545, 0.00178601, 0.000620506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0379216, 0.0612113, 0.0650538, 0.0822912, 0.0940091, 0.153102, 0.208675, 0.23029, 0.270393, 0.303248, 0.383381, 0.431463, 0.489011, 0.525669, 0.560666, 0.590072, 0.621863, 0.667108, 0.713333, 0.748612, 0.816061, 0.883509, 0.922001");
-            values ( \
-              "0.00172158, 0.283016, 0.282532, 0.269738, 0.264964, 0.248748, 0.232134, 0.224559, 0.206025, 0.184246, 0.125366, 0.0941693, 0.064205, 0.0494666, 0.0381876, 0.0305925, 0.0239493, 0.0167725, 0.0116373, 0.00876991, 0.00503381, 0.00287995, 0.00243356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0508837, 0.0509037, 0.138016, 0.257504, 0.340597, 0.391106, 0.458554, 0.54727, 0.727625, 0.795073, 0.853423, 0.92328, 1.01411, 1.0878, 1.15525, 1.22983, 1.33244, 1.46734, 1.60223, 1.67293");
-            values ( \
-              "1e-22, 0.297267, 0.270941, 0.253377, 0.240014, 0.230695, 0.214555, 0.183546, 0.112149, 0.0891821, 0.0721311, 0.0551824, 0.0382852, 0.0281973, 0.0211651, 0.0153542, 0.00981139, 0.0054057, 0.00296604, 0.00248153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0651387, 0.0821365, 0.0911921, 0.101411, 0.107536, 0.112597, 0.113178, 0.114339, 0.115185, 0.116688, 0.118519, 0.127393, 0.133853, 0.135018, 0.137347, 0.141619, 0.148229, 0.150014, 0.150394, 0.151155, 0.152678, 0.155609, 0.160032, 0.165895, 0.167261, 0.169994, 0.175459, 0.185437, 0.18896, 0.190172, 0.192596, 0.197445, 0.207142, 0.226536, 0.253995, 0.254293");
-            values ( \
-              "0.000282507, 0.0534495, 0.0774957, 0.101188, 0.112386, 0.118511, 0.123466, 0.123081, 0.125705, 0.121951, 0.11999, 0.0774149, 0.0576107, 0.052292, 0.0489089, 0.0381641, 0.0303977, 0.0261133, 0.0278088, 0.0249028, 0.0253334, 0.0205169, 0.0189139, 0.0130642, 0.0142927, 0.0109197, 0.0104991, 0.00533028, 0.00644695, 0.0042483, 0.00567963, 0.00292461, 0.00355494, 0.000182002, 0.00127121, 0.00126003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0695379, 0.0774193, 0.0909903, 0.114185, 0.117785, 0.121301, 0.124963, 0.130587, 0.14597, 0.159243, 0.174484, 0.185363, 0.19429, 0.205499, 0.228461, 0.25572, 0.271521");
-            values ( \
-              "0.0143885, 0.0499659, 0.0994096, 0.172918, 0.179176, 0.177622, 0.171822, 0.158759, 0.104298, 0.0692566, 0.0433794, 0.0311542, 0.0235551, 0.0165839, 0.00796945, 0.00326074, 0.00214569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0815448, 0.0976621, 0.0989419, 0.103381, 0.107819, 0.109687, 0.110622, 0.111556, 0.112178, 0.112801, 0.114003, 0.11535, 0.11671, 0.11807, 0.118749, 0.119429, 0.120979, 0.122883, 0.123942, 0.125355, 0.131006, 0.140959, 0.145732, 0.150388, 0.153453, 0.159584, 0.162284, 0.167683, 0.177295, 0.181507, 0.187123, 0.192739, 0.202889, 0.206564, 0.213913, 0.217588, 0.221263, 0.224937, 0.234768, 0.241482, 0.244839, 0.251553, 0.254909, 0.261347, 0.269278, 0.274353, 0.279429, 0.283589, 0.291908, 0.302366");
-            values ( \
-              "0.137766, 0.143227, 0.148205, 0.167968, 0.189574, 0.1946, 0.196613, 0.198234, 0.204577, 0.208992, 0.212061, 0.21768, 0.221583, 0.22327, 0.223811, 0.224148, 0.223779, 0.222931, 0.22227, 0.220932, 0.214915, 0.203524, 0.197528, 0.191236, 0.186851, 0.177509, 0.172142, 0.160215, 0.137513, 0.128051, 0.11623, 0.105316, 0.0872126, 0.0815455, 0.0710396, 0.0662009, 0.0616383, 0.0573519, 0.0468077, 0.0408113, 0.038128, 0.033185, 0.0309253, 0.0269637, 0.0224241, 0.020159, 0.0180816, 0.016547, 0.0137998, 0.0109449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0838042, 0.100682, 0.110218, 0.111438, 0.112658, 0.114341, 0.116374, 0.117325, 0.118275, 0.120176, 0.121127, 0.122992, 0.125738, 0.13601, 0.142667, 0.148833, 0.169226, 0.17952, 0.189814, 0.191598, 0.194273, 0.19784, 0.20319, 0.208551, 0.213911, 0.219272, 0.224633, 0.235354, 0.246148, 0.256649, 0.266858, 0.282555, 0.289617, 0.296678, 0.302893, 0.309108, 0.315323, 0.321538, 0.339226, 0.351375, 0.363122, 0.368995, 0.374869, 0.384476, 0.39216, 0.399843, 0.408237, 0.416631, 0.434122, 0.448875");
-            values ( \
-              "0.167399, 0.173302, 0.216222, 0.225583, 0.23705, 0.241297, 0.249452, 0.251823, 0.253552, 0.253973, 0.254055, 0.253965, 0.253229, 0.245676, 0.241263, 0.237693, 0.226459, 0.220365, 0.213952, 0.21301, 0.21112, 0.208294, 0.203634, 0.198202, 0.192447, 0.186369, 0.179969, 0.166204, 0.151498, 0.137708, 0.12487, 0.105914, 0.098354, 0.0911531, 0.0851125, 0.0793498, 0.073865, 0.0686583, 0.0550325, 0.0474492, 0.0409086, 0.0379305, 0.0351471, 0.0309405, 0.0277746, 0.024934, 0.0223515, 0.0199826, 0.0158112, 0.012647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0793398, 0.0942791, 0.11726, 0.119619, 0.124338, 0.147821, 0.222269, 0.274271, 0.314404, 0.347102, 0.427357, 0.475051, 0.513513, 0.545537, 0.570364, 0.614443, 0.6656, 0.710942, 0.793118, 0.860567, 0.928016, 0.964004");
-            values ( \
-              "0.0940336, 0.151155, 0.268378, 0.27236, 0.274049, 0.262604, 0.241553, 0.224544, 0.206014, 0.184331, 0.125364, 0.0943987, 0.0733375, 0.0587973, 0.049207, 0.0354899, 0.0239937, 0.0167909, 0.00873218, 0.00501187, 0.00286744, 0.00245187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.0795471, 0.116902, 0.122962, 0.143442, 0.15646, 0.290029, 0.36763, 0.435435, 0.491051, 0.556756, 0.732972, 0.807816, 0.875265, 0.944086, 0.989447, 1.06365, 1.15453, 1.22198, 1.29915, 1.41297, 1.48042, 1.61532, 1.75021, 1.95256");
-            values ( \
-              "0.0812836, 0.277106, 0.285178, 0.277512, 0.274726, 0.255159, 0.242944, 0.230657, 0.217812, 0.196806, 0.126787, 0.0995231, 0.0783673, 0.060474, 0.0506298, 0.0374947, 0.0256524, 0.0192142, 0.0137852, 0.00837548, 0.0062063, 0.00340499, 0.0018621, 0.00076003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124569, 0.135577, 0.144512, 0.15823, 0.168303, 0.182357, 0.19444, 0.203486, 0.212046, 0.220592, 0.230637, 0.235596, 0.241108, 0.248985, 0.250189, 0.253037, 0.269454, 0.279196, 0.288122, 0.297854, 0.30538, 0.320431, 0.346648, 0.377947");
-            values ( \
-              "0.0114388, 0.0123782, 0.0196784, 0.0365117, 0.0474928, 0.0602466, 0.0695239, 0.0752162, 0.0782506, 0.0743951, 0.0496558, 0.0398413, 0.0312726, 0.0224418, 0.0235209, 0.0227129, 0.0131307, 0.00885499, 0.00614539, 0.00414427, 0.00303728, 0.00162339, 0.00048858, 0.000116292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.124547, 0.139976, 0.149866, 0.165987, 0.184025, 0.198051, 0.210135, 0.221056, 0.231433, 0.241805, 0.248963, 0.249101, 0.252073, 0.267299, 0.27601, 0.284517, 0.290552, 0.300644, 0.31303, 0.324343, 0.336487, 0.345847, 0.364567, 0.385789");
-            values ( \
-              "0.0110439, 0.0218015, 0.0334259, 0.0584717, 0.0820833, 0.0987289, 0.111415, 0.120295, 0.124027, 0.10961, 0.0887234, 0.0893148, 0.0851014, 0.0559697, 0.0429088, 0.033051, 0.0274286, 0.0199995, 0.0135189, 0.00937477, 0.00635572, 0.00471938, 0.00251285, 0.001401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.124281, 0.145949, 0.149594, 0.188061, 0.218331, 0.233555, 0.241126, 0.244209, 0.248697, 0.250641, 0.252687, 0.254923, 0.259395, 0.262763, 0.289271, 0.303191, 0.312291, 0.325872, 0.333403, 0.34714, 0.358598, 0.368001, 0.37953, 0.395818, 0.413139, 0.426464, 0.453114, 0.500544, 0.556893");
-            values ( \
-              "0.00996886, 0.0352628, 0.0407142, 0.105557, 0.151454, 0.170594, 0.178263, 0.180558, 0.183, 0.186119, 0.186835, 0.18542, 0.179225, 0.172471, 0.111815, 0.0872456, 0.073493, 0.0563055, 0.048443, 0.0364834, 0.0286122, 0.023388, 0.0181843, 0.0126648, 0.0086183, 0.00638107, 0.0034393, 0.00103586, 0.000230127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.147255, 0.173037, 0.196352, 0.210153, 0.214117, 0.218082, 0.222046, 0.22601, 0.22894, 0.23187, 0.2348, 0.239133, 0.243343, 0.248514, 0.24945, 0.250386, 0.251322, 0.253141, 0.254627, 0.256112, 0.259083, 0.261528, 0.263972, 0.271306, 0.278295, 0.287379, 0.295844, 0.30128, 0.312154, 0.318147, 0.328634, 0.346241, 0.356975, 0.36683, 0.382012, 0.395684, 0.408211, 0.420739, 0.434657, 0.439529, 0.452523, 0.463737, 0.474951, 0.481617, 0.488283, 0.494949, 0.501615, 0.514947, 0.526251, 0.547087");
-            values ( \
-              "0.0800073, 0.0880347, 0.132651, 0.15791, 0.1676, 0.178377, 0.19024, 0.20319, 0.203919, 0.205182, 0.20698, 0.210618, 0.215271, 0.223113, 0.228843, 0.229451, 0.230371, 0.233052, 0.233388, 0.23354, 0.233292, 0.232332, 0.231149, 0.226527, 0.221847, 0.215333, 0.208814, 0.20439, 0.194993, 0.188124, 0.174832, 0.150921, 0.136894, 0.124537, 0.106188, 0.0918175, 0.0798569, 0.0690501, 0.0582483, 0.0545991, 0.0465534, 0.0405287, 0.0348754, 0.0316909, 0.0289431, 0.0264213, 0.0242603, 0.0203461, 0.0175105, 0.0129879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.135781, 0.168007, 0.251283, 0.2571, 0.333747, 0.394472, 0.415889, 0.570433, 0.633612, 0.672819, 0.713526, 0.763292, 0.809207, 0.89544, 0.962889, 1.03034, 1.03457");
-            values ( \
-              "0.029305, 0.0842901, 0.2556, 0.261156, 0.237824, 0.215657, 0.204387, 0.0966054, 0.0634995, 0.0480005, 0.0354849, 0.024248, 0.0168979, 0.00850194, 0.00488159, 0.00278961, 0.00274207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.158914, 0.197915, 0.252365, 0.255446, 0.26002, 0.287867, 0.38884, 0.459671, 0.50643, 0.534244, 0.589873, 0.614249, 0.655565, 0.83178, 0.906624, 0.974073, 1.04289, 1.08825, 1.16245, 1.19346, 1.25333, 1.32078, 1.39795, 1.44431, 1.51176, 1.57921, 1.7141, 1.849, 2.05135");
-            values ( \
-              "0.122248, 0.151489, 0.270954, 0.275271, 0.276456, 0.270115, 0.25518, 0.244059, 0.23599, 0.230667, 0.217799, 0.210665, 0.196797, 0.126794, 0.0995305, 0.0783614, 0.0604782, 0.0506271, 0.0374924, 0.0329815, 0.0256502, 0.0192177, 0.0137837, 0.0112575, 0.00837393, 0.00620914, 0.0034075, 0.00186439, 0.000758098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.243403, 0.271243, 0.293704, 0.311523, 0.339785, 0.357608, 0.373022, 0.387139, 0.400498, 0.413565, 0.426617, 0.438856, 0.451796, 0.469982, 0.488201, 0.510688, 0.54017, 0.550171, 0.557703, 0.566977, 0.579705");
-            values ( \
-              "0.00510145, 0.00569236, 0.0105464, 0.0178204, 0.0334332, 0.0401631, 0.0449431, 0.0479069, 0.0501537, 0.0502952, 0.044073, 0.0289484, 0.0184771, 0.0098691, 0.0051517, 0.00225899, 0.000787788, 0.000539084, 0.00195337, 0.00221688, 0.00150808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.243468, 0.273786, 0.283602, 0.294821, 0.314852, 0.34654, 0.367134, 0.395354, 0.412553, 0.428712, 0.444533, 0.460317, 0.472655, 0.484994, 0.502451, 0.514143, 0.523371, 0.54042, 0.550149, 0.555401, 0.561255, 0.592244, 0.614775, 0.651536");
-            values ( \
-              "0.00721063, 0.00951049, 0.012134, 0.0160167, 0.0264928, 0.0483301, 0.0590751, 0.0708123, 0.0763773, 0.0802761, 0.0802106, 0.0658754, 0.0485148, 0.0356011, 0.0225681, 0.0162996, 0.0125476, 0.00759739, 0.0056851, 0.00697014, 0.00716402, 0.00298935, 0.00140793, 0.000346327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.243425, 0.283271, 0.299402, 0.313887, 0.356347, 0.378324, 0.40626, 0.430272, 0.451917, 0.472177, 0.492467, 0.512633, 0.523656, 0.53209, 0.550166, 0.552597, 0.55608, 0.579771, 0.588313, 0.600781, 0.617405, 0.627561, 0.642551, 0.662537, 0.70251, 0.753728");
-            values ( \
-              "0.00783006, 0.0167621, 0.0238723, 0.0325652, 0.0653031, 0.0795802, 0.0958032, 0.108406, 0.117868, 0.123731, 0.118602, 0.0913045, 0.0777957, 0.067719, 0.0490752, 0.0488546, 0.0473032, 0.0307225, 0.0256249, 0.0195666, 0.0135345, 0.010809, 0.00773223, 0.00489981, 0.00185795, 0.000486129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.243297, 0.297883, 0.307296, 0.325095, 0.367617, 0.414785, 0.451024, 0.481994, 0.509917, 0.536476, 0.556303, 0.598779, 0.624667, 0.64589, 0.669878, 0.688618, 0.711208, 0.748405, 0.771256, 0.806121, 0.852608, 0.920057, 0.987505");
-            values ( \
-              "0.00599738, 0.0287128, 0.0344141, 0.0471411, 0.0827569, 0.117972, 0.143289, 0.162635, 0.176381, 0.180513, 0.168273, 0.114737, 0.0867418, 0.0679439, 0.0506599, 0.0398681, 0.0296715, 0.017933, 0.0131024, 0.00805774, 0.00410042, 0.0014665, 0.000506251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.275219, 0.317063, 0.467357, 0.515068, 0.555961, 0.610381, 0.638008, 0.779403, 0.839022, 0.880984, 0.935424, 0.997407, 1.04937, 1.12129, 1.25619, 1.39109, 1.45853");
-            values ( \
-              "0.0416025, 0.045882, 0.173234, 0.210289, 0.238782, 0.218767, 0.204648, 0.104453, 0.0709728, 0.0533603, 0.0352438, 0.0218175, 0.0144001, 0.00796937, 0.00243561, 0.000612359, 0.000766956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.301742, 0.365194, 0.458521, 0.541168, 0.557063, 0.566771, 0.574904, 0.591169, 0.680378, 0.745781, 0.773191, 0.802741, 0.834864, 0.89911, 1.05865, 1.09517, 1.16262, 1.21617, 1.27395, 1.3414, 1.39784, 1.42871, 1.48526, 1.55271, 1.61363, 1.64879, 1.71624, 1.78368, 1.85113, 1.98603, 2.12093, 2.32327");
-            values ( \
-              "0.0749093, 0.0904204, 0.176824, 0.250698, 0.262019, 0.262917, 0.260818, 0.25794, 0.244051, 0.232494, 0.226819, 0.219796, 0.210699, 0.188226, 0.124393, 0.110804, 0.0879711, 0.0723968, 0.0580783, 0.0444146, 0.0352701, 0.0310393, 0.0244467, 0.0183166, 0.0140783, 0.0120905, 0.00898602, 0.00667677, 0.00494537, 0.00271065, 0.00148212, 0.000602486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.44786, 0.557209, 0.573272, 0.604206, 0.626902, 0.650622, 0.675618, 0.701336, 0.729725, 0.753584, 0.775244, 0.795767, 0.815852, 0.835919, 0.8425, 0.865989, 0.877026, 0.886532, 0.904775, 0.919064, 0.931661, 0.940641, 0.958602, 0.994523, 1.03846, 1.16297, 1.21604, 1.23848, 1.27008");
-            values ( \
-              "0.000143537, 0.00225504, 0.00279185, 0.00422681, 0.0060205, 0.00902292, 0.0143375, 0.0204237, 0.0257599, 0.0292438, 0.0312653, 0.032569, 0.0327659, 0.0311691, 0.0285602, 0.016126, 0.0119416, 0.00919582, 0.0054521, 0.00354017, 0.00240849, 0.00182454, 0.00101682, 0.000292978, 6.66399e-05, 1.45434e-05, 2.70521e-05, 0.000622469, 0.000348483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.514996, 0.578608, 0.608213, 0.624051, 0.655727, 0.671534, 0.720263, 0.754134, 0.783014, 0.809327, 0.834229, 0.858519, 0.882787, 0.914205, 0.932196, 0.944768, 0.956655, 0.972505, 0.99309, 1.00792, 1.03759, 1.08149, 1.13464, 1.21588, 1.22903, 1.24854, 1.26395, 1.29476");
-            values ( \
-              "0.00503948, 0.00504138, 0.00737638, 0.00913646, 0.0144004, 0.0185772, 0.0332963, 0.0414034, 0.0464869, 0.0498055, 0.0518865, 0.0525565, 0.0489762, 0.0287861, 0.0199545, 0.015099, 0.0114959, 0.00781821, 0.00464959, 0.00314189, 0.00137555, 0.00036029, 9.6862e-05, 3.31045e-05, 0.00109999, 0.00115883, 0.000571958, 0.00019309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.514896, 0.608308, 0.636927, 0.682173, 0.751586, 0.79433, 0.831143, 0.86468, 0.896197, 0.926813, 0.957382, 0.999153, 1.02505, 1.05423, 1.09053, 1.11999, 1.14405, 1.19216, 1.21598, 1.23325, 1.24719");
-            values ( \
-              "0.00571811, 0.0111801, 0.0156511, 0.027497, 0.051806, 0.0628352, 0.0703407, 0.0757193, 0.0795382, 0.0807318, 0.0718716, 0.0427563, 0.0289698, 0.0176169, 0.00889975, 0.00498739, 0.00304177, 0.00103335, 0.00062959, 0.00199262, 0.00197855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.514948, 0.596393, 0.647274, 0.704691, 0.771749, 0.801063, 0.857271, 0.905614, 0.949256, 0.989951, 1.02981, 1.06955, 1.14578, 1.1799, 1.21618, 1.22887, 1.26657, 1.30078, 1.36407, 1.36834");
-            values ( \
-              "0.00954346, 0.0137156, 0.0233156, 0.0415267, 0.067164, 0.0764392, 0.0920736, 0.103896, 0.113063, 0.119335, 0.118812, 0.0983672, 0.0501427, 0.0340566, 0.0217842, 0.0209946, 0.0129731, 0.00801021, 0.00308232, 0.00295717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.514499, 0.626612, 0.663528, 0.694878, 0.705604, 0.779237, 0.816421, 0.876654, 0.965429, 1.01479, 1.06075, 1.09442, 1.12551, 1.17859, 1.21582, 1.22865, 1.28999, 1.32333, 1.35533, 1.37548, 1.41577, 1.44735, 1.46946, 1.51367, 1.54655, 1.56264, 1.59484, 1.65923, 1.72668, 1.79412, 1.86157, 1.92902");
-            values ( \
-              "0.00877786, 0.0239045, 0.0333019, 0.0434321, 0.0474019, 0.0768464, 0.0903212, 0.110715, 0.138882, 0.153046, 0.16453, 0.171032, 0.173943, 0.162096, 0.141275, 0.135145, 0.0952593, 0.0767635, 0.0616403, 0.0534316, 0.0397262, 0.0313365, 0.0264639, 0.0187174, 0.0144488, 0.0127242, 0.00983252, 0.00580577, 0.00331945, 0.00189919, 0.0010788, 0.00061871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.608007, 0.747124, 0.794968, 0.925108, 0.987176, 1.08605, 1.17043, 1.21568, 1.22762, 1.24336, 1.27052, 1.29776, 1.32721, 1.3861, 1.55104, 1.58771, 1.65516, 1.70849, 1.76599, 1.83343, 1.89027, 1.92139, 1.97782, 2.04527, 2.10584, 2.14075, 2.2082, 2.27565, 2.34309, 2.47799, 2.61289, 2.81524");
-            values ( \
-              "0.042601, 0.0682342, 0.08765, 0.137756, 0.161158, 0.196404, 0.222605, 0.233778, 0.23414, 0.231884, 0.225704, 0.219099, 0.210693, 0.190265, 0.124361, 0.110726, 0.0878982, 0.0723918, 0.0581401, 0.0444679, 0.0352517, 0.0309938, 0.0244193, 0.0182991, 0.0140837, 0.012111, 0.00899858, 0.00668886, 0.00495168, 0.00271346, 0.00148301, 0.00060489" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00298017, 0.00302528, 0.00306636, 0.00309655, 0.00311551, 0.00312664", \
-            "0.00388926, 0.00394383, 0.00400418, 0.00405601, 0.00409238, 0.00411466", \
-            "0.00458747, 0.00460431, 0.00463752, 0.00467847, 0.00471403, 0.00473898", \
-            "0.00507511, 0.00505733, 0.0050333, 0.00502543, 0.0050314, 0.00504131", \
-            "0.00540467, 0.00536085, 0.0053067, 0.0052576, 0.00521826, 0.00519787", \
-            "0.00560617, 0.00554083, 0.00548015, 0.00542418, 0.00536424, 0.00531169" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00469207, 0.00472898, 0.0047761, 0.00481656, 0.00484692, 0.00486454", \
-            "0.00536835, 0.00525936, 0.00516701, 0.00510031, 0.00506856, 0.00505859", \
-            "0.00542514, 0.00520302, 0.00500573, 0.00484535, 0.00473561, 0.00467116", \
-            "0.00584382, 0.00535324, 0.00498281, 0.00471408, 0.00453459, 0.00442529", \
-            "0.00586916, 0.00590299, 0.00549839, 0.00494201, 0.0046076, 0.00440702", \
-            "0.00558536, 0.00569427, 0.00579346, 0.00569912, 0.00501393, 0.0046045" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0238206, 0.025027, 0.0277375, 0.0293975, 0.0309725, 0.0334127, 0.0373644, 0.040574, 0.0435503, 0.0461767, 0.0476134, 0.050487, 0.0560779, 0.0601341, 0.0642976, 0.0666294, 0.0697385, 0.0737696, 0.0769679, 0.0833644, 0.0862947");
-            values ( \
-              "-0.0310959, -0.186939, -0.199392, -0.203166, -0.205058, -0.205824, -0.203573, -0.199015, -0.190771, -0.179679, -0.171999, -0.149938, -0.0931905, -0.0608945, -0.0378318, -0.0286901, -0.0196085, -0.0119205, -0.00796073, -0.00339443, -0.00259703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0238236, 0.027107, 0.0298844, 0.0328495, 0.0416995, 0.0493169, 0.0545769, 0.0598135, 0.0639677, 0.0782386, 0.0843343, 0.0903264, 0.0961117, 0.106089, 0.111132");
-            values ( \
-              "-0.0838972, -0.253002, -0.260818, -0.263458, -0.260034, -0.250894, -0.23878, -0.219716, -0.194822, -0.0826869, -0.0524166, -0.0327519, -0.0204825, -0.00886516, -0.00639139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0252643, 0.0270547, 0.029497, 0.0315149, 0.036287, 0.0494308, 0.0574681, 0.065925, 0.0745892, 0.0788395, 0.0838048, 0.0932126, 0.102158, 0.111854, 0.118125, 0.123479, 0.133082, 0.140432, 0.148073, 0.153925, 0.165629, 0.187396, 0.21302");
-            values ( \
-              "-0.251803, -0.296109, -0.304784, -0.307772, -0.309271, -0.303342, -0.297563, -0.289125, -0.276124, -0.267334, -0.253663, -0.209926, -0.15554, -0.105522, -0.0803257, -0.0629636, -0.0400766, -0.0279946, -0.019234, -0.0143747, -0.00786136, -0.00229852, -0.000482819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0257461, 0.0283211, 0.0307281, 0.0343792, 0.0387066, 0.0538315, 0.0680447, 0.0825327, 0.0973843, 0.112343, 0.11891, 0.125916, 0.135581, 0.147904, 0.162138, 0.173212, 0.181406, 0.192332, 0.201657, 0.213403, 0.228241, 0.245296, 0.25901, 0.286438, 0.326694, 0.375969");
-            values ( \
-              "-0.315693, -0.331452, -0.337387, -0.340378, -0.34061, -0.336145, -0.330372, -0.323295, -0.314127, -0.301122, -0.293185, -0.28273, -0.261846, -0.220791, -0.167632, -0.131012, -0.10791, -0.0822087, -0.0646371, -0.0473286, -0.0314616, -0.0196025, -0.0132882, -0.0058599, -0.00158379, -0.000277101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.02591, 0.0283191, 0.0303797, 0.0352596, 0.038815, 0.0515377, 0.0889357, 0.143266, 0.157661, 0.185281, 0.19739, 0.210307, 0.228108, 0.250813, 0.277081, 0.297464, 0.312549, 0.332663, 0.346274, 0.371591, 0.398913, 0.408224, 0.430292, 0.455512, 0.505952, 0.560447, 0.614942");
-            values ( \
-              "-0.334738, -0.350222, -0.35557, -0.359827, -0.360116, -0.358228, -0.34986, -0.3342, -0.328801, -0.315422, -0.307408, -0.296636, -0.274806, -0.231629, -0.175745, -0.137387, -0.113183, -0.0862577, -0.0713151, -0.0496013, -0.0329868, -0.0287125, -0.0205724, -0.013963, -0.00616931, -0.00251242, -0.00102117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0260301, 0.0304474, 0.0357554, 0.0410753, 0.0980204, 0.171223, 0.222252, 0.274048, 0.330885, 0.350245, 0.376058, 0.401343, 0.435058, 0.525185, 0.570573, 0.595638, 0.628375, 0.654775, 0.687003, 0.732375, 0.761622, 0.816175, 0.87067, 0.979659, 0.995637");
-            values ( \
-              "-0.345574, -0.366126, -0.370903, -0.371318, -0.365021, -0.355136, -0.346958, -0.336937, -0.321788, -0.31482, -0.302918, -0.286447, -0.25421, -0.15272, -0.112318, -0.0939171, -0.0737532, -0.0604315, -0.0471191, -0.0329026, -0.0260774, -0.01679, -0.0107164, -0.00433219, -0.00401673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0383725, 0.0406015, 0.0421757, 0.0526212, 0.0536868, 0.0558181, 0.0591499, 0.0624405, 0.066155, 0.0680261, 0.0778731, 0.0819724, 0.0849635, 0.0894981, 0.0954624, 0.101824, 0.103183");
-            values ( \
-              "-0.0207227, -0.0970409, -0.116712, -0.199985, -0.201649, -0.201802, -0.198117, -0.189607, -0.171934, -0.158823, -0.0664105, -0.0418253, -0.0293859, -0.0168573, -0.00799152, -0.00342564, -0.00305138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0392921, 0.0423273, 0.0430662, 0.0525213, 0.0533287, 0.0565815, 0.0606356, 0.0680083, 0.0732604, 0.0787904, 0.08267, 0.0902222, 0.0969383, 0.103027, 0.108977, 0.114793, 0.124864, 0.137006, 0.151223");
-            values ( \
-              "-0.0191117, -0.148017, -0.158451, -0.25555, -0.258118, -0.260664, -0.259146, -0.250745, -0.238822, -0.218478, -0.194694, -0.130097, -0.0825985, -0.0523808, -0.0328405, -0.0204871, -0.00879344, -0.00287736, -0.000726433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0406392, 0.0443761, 0.0522602, 0.0546626, 0.0582708, 0.0683052, 0.0764419, 0.0848084, 0.0932077, 0.101202, 0.105657, 0.111598, 0.12375, 0.131367, 0.141888, 0.147437, 0.154835, 0.162117, 0.17392, 0.189659, 0.199083");
-            values ( \
-              "-0.0595911, -0.202825, -0.297168, -0.30535, -0.307201, -0.303324, -0.297364, -0.289136, -0.276888, -0.258263, -0.242758, -0.212429, -0.139841, -0.102422, -0.0641147, -0.04952, -0.0346874, -0.0243125, -0.0134917, -0.00586981, -0.00406884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0424674, 0.0468287, 0.0524603, 0.0550836, 0.0569922, 0.0622775, 0.0716624, 0.0870893, 0.101577, 0.114881, 0.131821, 0.144408, 0.148188, 0.15575, 0.166966, 0.179028, 0.191186, 0.198666, 0.213472, 0.219465, 0.229078, 0.237598, 0.254259, 0.263671, 0.282494, 0.317936, 0.359209");
-            values ( \
-              "-0.179081, -0.255519, -0.328786, -0.336807, -0.33853, -0.3392, -0.336533, -0.330371, -0.323314, -0.315217, -0.3005, -0.283643, -0.276846, -0.258737, -0.220748, -0.175349, -0.134264, -0.112653, -0.0779412, -0.0667723, -0.0518259, -0.0411932, -0.0260276, -0.0200097, -0.0116538, -0.00385663, -0.000999088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0334466, 0.0579317, 0.0610317, 0.0768035, 0.119367, 0.169881, 0.19063, 0.205211, 0.233934, 0.244087, 0.255691, 0.298041, 0.333593, 0.366736, 0.384029, 0.399841, 0.430759, 0.448315, 0.483428, 0.537923, 0.592417, 0.646912");
-            values ( \
-              "-0.0157612, -0.358426, -0.359242, -0.356961, -0.347054, -0.331517, -0.322726, -0.314825, -0.292121, -0.279568, -0.260555, -0.171946, -0.110334, -0.0699169, -0.0545974, -0.0433369, -0.0273015, -0.0209191, -0.0121053, -0.00496065, -0.00200842, -0.000825381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0443388, 0.0549431, 0.0608738, 0.117251, 0.190446, 0.241475, 0.293271, 0.350108, 0.369466, 0.395277, 0.420574, 0.454304, 0.544378, 0.589839, 0.614888, 0.647609, 0.673977, 0.706213, 0.75159, 0.780849, 0.835428, 0.889923, 0.998912, 1.01391");
-            values ( \
-              "-0.298951, -0.36876, -0.370916, -0.364984, -0.355101, -0.346958, -0.336937, -0.321788, -0.314821, -0.30292, -0.286441, -0.254185, -0.15275, -0.112285, -0.0938989, -0.0737477, -0.0604412, -0.0471241, -0.0329048, -0.0260768, -0.0167859, -0.0107138, -0.00433114, -0.00403514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0639469, 0.0689745, 0.0731909, 0.0764413, 0.0838348, 0.0945316, 0.09911, 0.103431, 0.107732, 0.112029, 0.113856, 0.121357, 0.124697, 0.129438, 0.13206, 0.136357, 0.142087, 0.14522");
-            values ( \
-              "-0.00723223, -0.0449193, -0.0679054, -0.0815841, -0.106703, -0.137847, -0.148462, -0.154756, -0.150324, -0.129401, -0.118884, -0.0576769, -0.0394772, -0.0223712, -0.0162265, -0.00948385, -0.0044916, -0.00333154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0603624, 0.0879543, 0.0976568, 0.104003, 0.109798, 0.112636, 0.113983, 0.11525, 0.11711, 0.121637, 0.124772, 0.132074, 0.137685, 0.144665, 0.150391, 0.154829, 0.15948, 0.163047, 0.170181, 0.183149, 0.198504");
-            values ( \
-              "-0.00181282, -0.153074, -0.189397, -0.210634, -0.226572, -0.231602, -0.236052, -0.235706, -0.231639, -0.213936, -0.193897, -0.131466, -0.0904334, -0.0540142, -0.0345111, -0.0240901, -0.0164856, -0.0122656, -0.00666336, -0.00197422, -0.000426452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0614139, 0.091674, 0.100435, 0.114392, 0.116429, 0.122449, 0.126797, 0.132625, 0.139853, 0.144672, 0.154086, 0.163018, 0.172724, 0.178996, 0.184347, 0.188733, 0.193943, 0.201293, 0.208934, 0.214786, 0.226491, 0.247988, 0.273424");
-            values ( \
-              "-0.00111968, -0.197237, -0.236046, -0.293736, -0.297069, -0.293464, -0.289055, -0.281159, -0.266989, -0.253677, -0.209877, -0.155603, -0.105506, -0.0803057, -0.0629715, -0.0513567, -0.0400815, -0.0280106, -0.0192469, -0.014372, -0.0078706, -0.00233716, -0.00050775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0728163, 0.0802494, 0.0852018, 0.0944042, 0.112588, 0.113806, 0.114916, 0.116658, 0.119987, 0.123219, 0.135876, 0.143647, 0.158207, 0.167306, 0.173895, 0.187071, 0.190267, 0.19666, 0.209034, 0.223373, 0.234367, 0.242489, 0.253318, 0.26278, 0.274689, 0.289423, 0.296145, 0.306297, 0.319833, 0.346904, 0.386771, 0.435474");
-            values ( \
-              "-0.101684, -0.1532, -0.183137, -0.231668, -0.319214, -0.327646, -0.331754, -0.33316, -0.333309, -0.332599, -0.327248, -0.323294, -0.314403, -0.307038, -0.300501, -0.282648, -0.276849, -0.261959, -0.220817, -0.167262, -0.130941, -0.108037, -0.0825156, -0.0646539, -0.0471332, -0.0314209, -0.0261039, -0.0196766, -0.0134085, -0.005984, -0.00164508, -0.000296638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0732871, 0.0856928, 0.113321, 0.115684, 0.120995, 0.130631, 0.164461, 0.190765, 0.218624, 0.246243, 0.258368, 0.2713, 0.289012, 0.311776, 0.338163, 0.358455, 0.37346, 0.393465, 0.407199, 0.43273, 0.459936, 0.46922, 0.491113, 0.516135, 0.566178, 0.620673, 0.675168");
-            values ( \
-              "-0.098253, -0.196842, -0.349758, -0.354711, -0.355959, -0.354421, -0.346133, -0.338735, -0.328801, -0.315422, -0.307399, -0.296608, -0.274898, -0.231632, -0.175508, -0.137341, -0.113263, -0.0864525, -0.071356, -0.0494758, -0.03296, -0.0287014, -0.0206187, -0.0140388, -0.00624771, -0.00254488, -0.00103406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.073499, 0.0936616, 0.113567, 0.11489, 0.118778, 0.121672, 0.163187, 0.233256, 0.29149, 0.335478, 0.38756, 0.410487, 0.434942, 0.445909, 0.467845, 0.511036, 0.561443, 0.599169, 0.626993, 0.664091, 0.690668, 0.71181, 0.739998, 0.790628, 0.807967, 0.848262, 0.894313, 0.948808, 1.0033, 1.11229, 1.22128");
-            values ( \
-              "-0.103321, -0.248036, -0.360396, -0.365993, -0.368578, -0.369007, -0.364512, -0.355, -0.345592, -0.336937, -0.323366, -0.315287, -0.304269, -0.298084, -0.282362, -0.237646, -0.17928, -0.14073, -0.116418, -0.0892613, -0.0733111, -0.0625175, -0.0503544, -0.0337231, -0.0293987, -0.0212891, -0.0146301, -0.00932216, -0.0059348, -0.00239244, -0.000963082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.116497, 0.124756, 0.126587, 0.129029, 0.132933, 0.140951, 0.148982, 0.152302, 0.15744, 0.161372, 0.169299, 0.176465, 0.183135, 0.189589, 0.196031, 0.196284, 0.19679, 0.197802, 0.199827, 0.203876, 0.205989, 0.207344, 0.209387, 0.212028, 0.212611, 0.213778, 0.21611, 0.220667, 0.222411, 0.224566, 0.227439, 0.233186, 0.243976, 0.249171, 0.25102");
-            values ( \
-              "-0.013929, -0.0198383, -0.0221676, -0.0254136, -0.0322104, -0.049469, -0.0611577, -0.0664307, -0.0728247, -0.0782259, -0.0874631, -0.0954568, -0.100766, -0.102764, -0.0930457, -0.0925203, -0.09103, -0.0875499, -0.0795001, -0.0615719, -0.0527499, -0.0473936, -0.0399565, -0.0315963, -0.0299522, -0.026866, -0.0214598, -0.0134903, -0.0112629, -0.00898751, -0.00661387, -0.00349096, -0.000927353, -0.000545903, -0.00146409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.128698, 0.143828, 0.147476, 0.149722, 0.151969, 0.156462, 0.161756, 0.167477, 0.170337, 0.175683, 0.180655, 0.18314, 0.187627, 0.192114, 0.194202, 0.196289, 0.198377, 0.200465, 0.202492, 0.20452, 0.206547, 0.208575, 0.210598, 0.212621, 0.214645, 0.216668, 0.217067, 0.217866, 0.219463, 0.222657, 0.228379, 0.229995, 0.231612, 0.232689, 0.233767, 0.235556, 0.237692, 0.239987, 0.242283, 0.244546, 0.246044, 0.247543, 0.249041, 0.249138, 0.249431, 0.249724, 0.250221, 0.250817, 0.251016, 0.251625");
-            values ( \
-              "-0.0646527, -0.0683784, -0.0759027, -0.0801348, -0.0842988, -0.0924222, -0.101644, -0.111196, -0.115861, -0.124383, -0.132076, -0.135839, -0.142477, -0.148925, -0.150819, -0.152308, -0.153392, -0.154071, -0.154343, -0.154234, -0.153742, -0.152869, -0.150767, -0.147944, -0.144402, -0.14014, -0.139163, -0.136346, -0.128901, -0.112742, -0.0833367, -0.0756037, -0.068577, -0.064181, -0.0599692, -0.0534467, -0.0461855, -0.038752, -0.0333298, -0.0284185, -0.0254052, -0.0225811, -0.0199462, -0.0206008, -0.0208398, -0.0211702, -0.0209834, -0.020613, -0.020454, -0.0197878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.12444, 0.132217, 0.145788, 0.158212, 0.191751, 0.204654, 0.216323, 0.227217, 0.237889, 0.249374, 0.261451, 0.268555, 0.278301, 0.288094, 0.298588, 0.304971, 0.317737, 0.337941, 0.361947");
-            values ( \
-              "-0.0367819, -0.0491161, -0.0837277, -0.112521, -0.17852, -0.200944, -0.218785, -0.230405, -0.229489, -0.19724, -0.131223, -0.0977633, -0.0632297, -0.039873, -0.0238859, -0.0174028, -0.00900227, -0.00293464, -0.000714402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.124481, 0.143558, 0.15738, 0.184635, 0.21934, 0.236683, 0.252376, 0.259187, 0.265181, 0.267741, 0.272863, 0.284122, 0.291481, 0.302896, 0.315343, 0.33395, 0.34519, 0.354449, 0.371136, 0.384237, 0.398052, 0.408664, 0.429889, 0.457834");
-            values ( \
-              "-0.0150077, -0.0843695, -0.121762, -0.184095, -0.256712, -0.289, -0.315202, -0.307197, -0.304375, -0.299872, -0.295097, -0.277331, -0.258826, -0.221241, -0.173996, -0.114392, -0.086767, -0.0684555, -0.043916, -0.0306621, -0.020914, -0.0154805, -0.00837759, -0.00404246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.124371, 0.153674, 0.166572, 0.196291, 0.239919, 0.248787, 0.252874, 0.25843, 0.285502, 0.312927, 0.340552, 0.352547, 0.365341, 0.371504, 0.38383, 0.406079, 0.431431, 0.452505, 0.468745, 0.48132, 0.500329, 0.516236, 0.532888, 0.556615, 0.566873, 0.587389, 0.628421, 0.682916, 0.73741, 0.791905");
-            values ( \
-              "-0.00756508, -0.117421, -0.151738, -0.223559, -0.321744, -0.340265, -0.345424, -0.346505, -0.338512, -0.328795, -0.315422, -0.307487, -0.296857, -0.29048, -0.274099, -0.231614, -0.177584, -0.137755, -0.111818, -0.0944834, -0.0725705, -0.0578681, -0.0453974, -0.031867, -0.0273211, -0.0200097, -0.0104749, -0.00428457, -0.00174235, -0.00070727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.124323, 0.166834, 0.194443, 0.248738, 0.255516, 0.264511, 0.366934, 0.429596, 0.481656, 0.504907, 0.529707, 0.560672, 0.605148, 0.658193, 0.71996, 0.746746, 0.782315, 0.813803, 0.855787, 0.908395, 0.940886, 0.99538, 1.04988, 1.10437, 1.26785");
-            values ( \
-              "-0.0091966, -0.157321, -0.227427, -0.356748, -0.363065, -0.364095, -0.348875, -0.336897, -0.32341, -0.315167, -0.303932, -0.283429, -0.237674, -0.176423, -0.117382, -0.097086, -0.0747021, -0.0588894, -0.0424813, -0.027999, -0.0215568, -0.0137984, -0.00878681, -0.00559425, -0.00142224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.214449, 0.246189, 0.258632, 0.282928, 0.29307, 0.307591, 0.320031, 0.331202, 0.341541, 0.351451, 0.361344, 0.363703, 0.382373, 0.390725, 0.394698, 0.400668, 0.408628, 0.421864, 0.43747, 0.471282");
-            values ( \
-              "-0.000607856, -0.0101664, -0.0155508, -0.0341946, -0.0409134, -0.0494131, -0.0560643, -0.0612621, -0.0652604, -0.0672913, -0.0642641, -0.061242, -0.0250919, -0.0137515, -0.0100893, -0.0062301, -0.00315307, -0.000931697, -0.000286591, -0.000207547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.218444, 0.247509, 0.256898, 0.265812, 0.2836, 0.299319, 0.321786, 0.340096, 0.353968, 0.366782, 0.379074, 0.391343, 0.396589, 0.412062, 0.423067, 0.4341, 0.445725, 0.459011, 0.477299, 0.487304");
-            values ( \
-              "-0.00198743, -0.0154604, -0.0205918, -0.0268646, -0.0446415, -0.0583291, -0.0751598, -0.0873841, -0.0956323, -0.101737, -0.104864, -0.0989399, -0.089904, -0.0512727, -0.0292808, -0.0153912, -0.00742899, -0.00298507, -0.000770295, -0.00063279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.22929, 0.246371, 0.265021, 0.273219, 0.311506, 0.352251, 0.372176, 0.390071, 0.406636, 0.422567, 0.438464, 0.439682, 0.475332, 0.489952, 0.496897, 0.506561, 0.519447, 0.539518");
-            values ( \
-              "-0.0122619, -0.0201508, -0.0328509, -0.0401017, -0.0805378, -0.115451, -0.130644, -0.143066, -0.152081, -0.156123, -0.144393, -0.142353, -0.05294, -0.0297226, -0.0221951, -0.0145762, -0.0080434, -0.00320924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.232437, 0.269243, 0.340912, 0.393598, 0.420144, 0.443962, 0.466037, 0.487404, 0.509757, 0.550975, 0.566641, 0.582821, 0.599907, 0.609591, 0.628957, 0.635232");
-            values ( \
-              "-0.0178505, -0.0417666, -0.118452, -0.167428, -0.189657, -0.207846, -0.220403, -0.22386, -0.197738, -0.0917277, -0.0641741, -0.0416805, -0.0260359, -0.0198697, -0.0113782, -0.0100283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.284979, 0.339296, 0.35737, 0.410803, 0.42126, 0.442174, 0.452631, 0.461622, 0.470614, 0.479605, 0.488596, 0.50475, 0.520905, 0.528349, 0.535793, 0.543237, 0.546959, 0.555273, 0.559865, 0.56242, 0.564975, 0.570085, 0.575475, 0.580864, 0.586253, 0.591643, 0.597032, 0.605377, 0.611287, 0.616267, 0.626391, 0.63449, 0.645288, 0.656087, 0.670775, 0.678305, 0.685204, 0.692104, 0.701056, 0.710007, 0.717525, 0.725042, 0.73347, 0.737684, 0.741898, 0.747869, 0.75384, 0.759811, 0.765782, 0.77507");
-            values ( \
-              "-0.122998, -0.12485, -0.144025, -0.199232, -0.20972, -0.230077, -0.239945, -0.247859, -0.255477, -0.262798, -0.269823, -0.28506, -0.301421, -0.307376, -0.312835, -0.311373, -0.310504, -0.308229, -0.306775, -0.305512, -0.304034, -0.300434, -0.294917, -0.288658, -0.281492, -0.273694, -0.265267, -0.250974, -0.239935, -0.229841, -0.207187, -0.189902, -0.168181, -0.147973, -0.122661, -0.110666, -0.101236, -0.0923844, -0.0819475, -0.0721204, -0.0643979, -0.0574587, -0.0510833, -0.0481099, -0.0452793, -0.0415745, -0.0381154, -0.0349021, -0.0319344, -0.0277829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.268003, 0.30996, 0.375917, 0.452169, 0.546763, 0.554045, 0.559676, 0.610205, 0.638256, 0.690343, 0.713201, 0.737583, 0.748687, 0.770896, 0.813816, 0.863668, 0.901814, 0.930012, 0.967611, 0.993619, 1.01433, 1.04195, 1.09312, 1.11058, 1.15166, 1.19861, 1.25311, 1.3076, 1.41659, 1.52558");
-            values ( \
-              "-0.0735578, -0.0951053, -0.170818, -0.252708, -0.346272, -0.351216, -0.351497, -0.342709, -0.336884, -0.323414, -0.31531, -0.304344, -0.298083, -0.282136, -0.23764, -0.179885, -0.14085, -0.116218, -0.0887711, -0.0732111, -0.0626334, -0.0506739, -0.0337943, -0.0294313, -0.0211779, -0.0144441, -0.00920248, -0.00585862, -0.00236172, -0.000950814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.42838, 0.493155, 0.513717, 0.52884, 0.536452, 0.551676, 0.574261, 0.591245, 0.605993, 0.623642, 0.63621, 0.654251, 0.670859, 0.68674, 0.702596, 0.714404, 0.733985, 0.74234, 0.749305, 0.754994, 0.76199, 0.771317, 0.789971, 0.813241, 0.84356, 0.8846, 0.993589, 1.21157");
-            values ( \
-              "-0.000183614, -0.00386408, -0.00557635, -0.00756779, -0.00891072, -0.0124397, -0.0195257, -0.024272, -0.0279401, -0.0320371, -0.0347054, -0.038115, -0.0406427, -0.0420694, -0.04099, -0.0344697, -0.0166845, -0.0110416, -0.00762686, -0.00561421, -0.00386775, -0.00237635, -0.000974177, -0.000449455, -0.000252062, -9.58306e-05, -1.95965e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.437909, 0.481346, 0.509178, 0.524144, 0.539488, 0.56585, 0.608343, 0.640619, 0.664867, 0.686488, 0.706458, 0.725484, 0.744409, 0.758592, 0.790313, 0.806997, 0.813445, 0.826341, 0.84497");
-            values ( \
-              "-0.0020679, -0.00475089, -0.00810495, -0.010435, -0.0136096, -0.0218522, -0.0384006, -0.0487997, -0.0558241, -0.0613344, -0.0654505, -0.0679289, -0.0660895, -0.0555225, -0.0202762, -0.00986793, -0.00737137, -0.00399435, -0.00177764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.47985, 0.52779, 0.547383, 0.556387, 0.574395, 0.621336, 0.643056, 0.679141, 0.70982, 0.737234, 0.762528, 0.786705, 0.810839, 0.828966, 0.850697, 0.868331, 0.878942, 0.891752, 0.899624, 0.915367, 0.945161, 0.979609, 1.02252, 1.21583");
-            values ( \
-              "-0.0124788, -0.0155085, -0.0206981, -0.0236641, -0.030744, -0.0521786, -0.060993, -0.0747043, -0.0851513, -0.0934976, -0.0997308, -0.103328, -0.0995467, -0.082434, -0.0527438, -0.0326628, -0.0235832, -0.015553, -0.0119311, -0.00679278, -0.00205632, -0.000486307, -0.000125132, -2.83488e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.479174, 0.534676, 0.548787, 0.564914, 0.58219, 0.628869, 0.670193, 0.690708, 0.750651, 0.78365, 0.814685, 0.848179, 0.880211, 0.912199, 0.91494, 0.924335, 0.967649, 0.985912, 1.00993, 1.02197, 1.03502, 1.0524, 1.08718, 1.13453, 1.18903, 1.21588, 1.23427");
-            values ( \
-              "-0.0123691, -0.0217567, -0.0262151, -0.0319328, -0.0391351, -0.0624652, -0.0814934, -0.0903488, -0.114424, -0.126439, -0.136636, -0.14572, -0.150412, -0.142162, -0.139973, -0.130585, -0.0717144, -0.0510087, -0.0309491, -0.0237234, -0.0176277, -0.0116568, -0.00474241, -0.00118228, -0.000240139, -0.000111126, -0.000868444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.478863, 0.563617, 0.586389, 0.679418, 0.730901, 0.816164, 0.879853, 0.928754, 0.973887, 1.01732, 1.06213, 1.1482, 1.18149, 1.21592, 1.24574, 1.26763, 1.29306, 1.34391, 1.35341");
-            values ( \
-              "-0.00704153, -0.0361612, -0.0456262, -0.0922544, -0.116269, -0.153336, -0.178707, -0.196052, -0.208758, -0.213775, -0.193538, -0.0862191, -0.0563396, -0.0349985, -0.0234301, -0.0168413, -0.0113082, -0.00500072, -0.00447493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.533145, 0.600921, 0.725043, 0.804347, 0.922876, 1.02436, 1.05982, 1.09092, 1.14542, 1.16764, 1.19023, 1.21253, 1.2158, 1.22234, 1.23208, 1.25685, 1.3135, 1.35711, 1.3748, 1.39339, 1.41817, 1.45464, 1.48625, 1.52839, 1.56459, 1.58076, 1.6131, 1.66759, 1.72209, 1.77658, 1.83108, 1.94007");
-            values ( \
-              "-0.0483586, -0.056101, -0.119045, -0.157022, -0.211079, -0.253585, -0.266869, -0.277583, -0.292514, -0.296218, -0.297535, -0.295108, -0.294229, -0.291403, -0.284553, -0.261109, -0.195558, -0.148885, -0.13237, -0.116516, -0.0977617, -0.0747216, -0.0588528, -0.0424086, -0.0318266, -0.0280041, -0.0215812, -0.0138195, -0.00879508, -0.0056047, -0.00354569, -0.00142122" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00283877, 0.00285603, 0.00287247, 0.00288511, 0.00289334, 0.00289819", \
-            "0.003404, 0.00341425, 0.00342649, 0.00343782, 0.00344621, 0.00345152", \
-            "0.00377077, 0.00377231, 0.00377573, 0.00378086, 0.00378613, 0.00379019", \
-            "0.00399443, 0.00399116, 0.00398735, 0.00398435, 0.00398374, 0.00398434", \
-            "0.00411262, 0.00410518, 0.00409682, 0.00408846, 0.00408326, 0.00407929", \
-            "0.00417829, 0.00417049, 0.00416038, 0.00414875, 0.00413737, 0.00412824" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00400749, 0.0040181, 0.00403481, 0.00404614, 0.00405224, 0.00405521", \
-            "0.0044755, 0.00445991, 0.0044487, 0.00444168, 0.00443776, 0.00443528", \
-            "0.00472069, 0.00464474, 0.00458397, 0.00453654, 0.00450741, 0.00449333", \
-            "0.00505489, 0.00484914, 0.00468222, 0.00456701, 0.00449343, 0.00444889", \
-            "0.00583396, 0.00534772, 0.00500068, 0.00474246, 0.00457365, 0.00447383", \
-            "0.00680963, 0.00662855, 0.0057505, 0.00515357, 0.00481613, 0.00460441" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0557526, 0.0725238, 0.104454, 0.165304, 0.282221, 0.507625", \
-            "0.0602099, 0.0771533, 0.109257, 0.170346, 0.287421, 0.512995", \
-            "0.0727463, 0.089339, 0.121071, 0.182229, 0.299495, 0.524806", \
-            "0.101203, 0.119922, 0.15098, 0.210659, 0.328219, 0.553884", \
-            "0.143305, 0.170448, 0.213762, 0.280219, 0.395139, 0.619059", \
-            "0.210515, 0.247132, 0.307768, 0.403218, 0.545842, 0.769348" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0575511, 0.0811653, 0.126084, 0.21215, 0.378047, 0.698131", \
-            "0.0571917, 0.0809605, 0.126041, 0.212116, 0.37803, 0.698134", \
-            "0.058264, 0.0806219, 0.125679, 0.212132, 0.378059, 0.698134", \
-            "0.0751045, 0.0928284, 0.13092, 0.212069, 0.378036, 0.698137", \
-            "0.106207, 0.130273, 0.167961, 0.234616, 0.382609, 0.698269", \
-            "0.156139, 0.189062, 0.241614, 0.321532, 0.448031, 0.720372" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0301679, 0.039132, 0.0559605, 0.0878762, 0.148852, 0.265474", \
-            "0.0349311, 0.0439958, 0.0609721, 0.0930523, 0.154125, 0.270849", \
-            "0.0445218, 0.0550694, 0.0722325, 0.10447, 0.165741, 0.282472", \
-            "0.0544192, 0.0700272, 0.0943775, 0.130245, 0.19153, 0.308465", \
-            "0.0599821, 0.0827447, 0.118531, 0.171612, 0.248469, 0.366638", \
-            "0.0530457, 0.0845068, 0.134901, 0.211962, 0.325274, 0.486691" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0263179, 0.0373205, 0.0586153, 0.0998286, 0.179451, 0.332863", \
-            "0.0266137, 0.037372, 0.0585993, 0.0998086, 0.179453, 0.332864", \
-            "0.0335823, 0.0418599, 0.0602604, 0.0998492, 0.179452, 0.332862", \
-            "0.0518073, 0.0616027, 0.0773709, 0.108904, 0.180858, 0.332868", \
-            "0.0807088, 0.0947511, 0.117398, 0.152823, 0.210005, 0.341284", \
-            "0.129326, 0.148168, 0.180254, 0.231064, 0.30639, 0.419717" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0280205, 0.0307426, 0.0319788, 0.0327827, 0.0343904, 0.0361746, 0.043266, 0.0487987, 0.0508654, 0.0588987, 0.0711005, 0.0761452, 0.0803456, 0.09237, 0.0985193, 0.102599, 0.109476, 0.118645, 0.126464, 0.13555, 0.147665, 0.158239, 0.165988, 0.180451, 0.196496, 0.209259, 0.234786, 0.275164, 0.324249");
-            values ( \
-              "0.000677682, 0.0958296, 0.0968324, 0.0970793, 0.0967546, 0.095614, 0.089155, 0.0849284, 0.0836185, 0.0797068, 0.0745658, 0.071315, 0.0665768, 0.0462121, 0.0381468, 0.0339347, 0.0279404, 0.0216748, 0.0176008, 0.0137968, 0.00992393, 0.00747245, 0.0060519, 0.00406198, 0.00262442, 0.00184585, 0.00089549, 0.000264893, 6.16981e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.0277493, 0.0346573, 0.049732, 0.0607092, 0.0841035, 0.0967375, 0.128806, 0.14403, 0.166147, 0.192363, 0.208279, 0.234098, 0.275766, 0.305334");
-            values ( \
-              "0.0493443, 0.134223, 0.11848, 0.112555, 0.103877, 0.0964839, 0.054279, 0.0400827, 0.0255942, 0.0148046, 0.0105314, 0.00600669, 0.00240579, 0.00135704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0300895, 0.0334192, 0.037837, 0.045528, 0.0513963, 0.0591444, 0.112068, 0.123936, 0.135838, 0.151397, 0.17058, 0.185433, 0.205363, 0.227516, 0.236288, 0.259367, 0.277352, 0.293404, 0.309608, 0.332933, 0.363783, 0.404915, 0.468159, 0.54534");
-            values ( \
-              "0.127001, 0.167594, 0.164479, 0.15439, 0.148897, 0.144817, 0.129575, 0.124919, 0.11824, 0.104967, 0.0845748, 0.0705213, 0.0550292, 0.0406226, 0.0360456, 0.0260523, 0.0198835, 0.0156461, 0.0123328, 0.00852232, 0.00526975, 0.00279761, 0.000892391, 0.000291082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0307757, 0.0358469, 0.0486987, 0.0570048, 0.0672899, 0.144381, 0.170271, 0.190072, 0.210527, 0.235373, 0.293566, 0.323402, 0.357501, 0.381292, 0.414524, 0.441215, 0.489621, 0.526948, 0.597389, 0.65868, 0.73161");
-            values ( \
-              "0.182048, 0.191758, 0.175255, 0.169808, 0.166774, 0.153321, 0.147665, 0.142249, 0.134443, 0.1211, 0.0837554, 0.0670951, 0.0507997, 0.0415188, 0.030877, 0.0241213, 0.0152859, 0.010618, 0.00534215, 0.00285473, 0.00141044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0333068, 0.0333268, 0.108764, 0.202361, 0.291846, 0.320812, 0.354096, 0.395581, 0.508244, 0.570765, 0.656958, 0.711431, 0.769023, 0.839089, 0.903315, 1.0244, 1.1907, 1.23323");
-            values ( \
-              "1e-22, 0.205561, 0.179078, 0.169284, 0.157916, 0.152752, 0.145064, 0.132315, 0.0902003, 0.0690449, 0.0456132, 0.0344667, 0.025344, 0.0172451, 0.0120241, 0.00601903, 0.00226887, 0.00201907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0364768, 0.0364968, 0.16796, 0.313463, 0.444155, 0.519099, 0.607694, 0.678028, 0.756695, 0.963138, 1.11162, 1.20055, 1.25689, 1.38785, 1.43626, 1.56461, 1.6789, 1.82433, 1.99063, 2.15693, 2.28221");
-            values ( \
-              "1e-22, 0.215126, 0.187299, 0.178826, 0.170234, 0.164358, 0.154781, 0.143974, 0.128941, 0.085632, 0.059183, 0.0465074, 0.0397502, 0.0270547, 0.0234047, 0.0158109, 0.0110734, 0.00699292, 0.00410606, 0.00239751, 0.00190949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0438425, 0.0480887, 0.0509343, 0.0516408, 0.0521807, 0.0549236, 0.056726, 0.0593674, 0.0619956, 0.0655473, 0.0694092, 0.0754474, 0.0773244, 0.0810783, 0.0856798, 0.0941313, 0.0945287, 0.100093, 0.112082, 0.119251, 0.128118, 0.139766, 0.158402, 0.170852, 0.185838, 0.213788, 0.24767, 0.260157");
-            values ( \
-              "0.0032542, 0.0585291, 0.0702365, 0.0825934, 0.0812225, 0.0939772, 0.0910875, 0.0953519, 0.0892373, 0.0907145, 0.0832177, 0.0836514, 0.0782551, 0.0807933, 0.0743609, 0.0738377, 0.071403, 0.0644595, 0.0441015, 0.0356578, 0.0277304, 0.0200322, 0.0122303, 0.00873897, 0.00581512, 0.00270868, 0.0010548, 0.000914752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0450879, 0.0499345, 0.0525803, 0.0552884, 0.0569381, 0.0584666, 0.0615237, 0.073673, 0.0793487, 0.102698, 0.115324, 0.123313, 0.137439, 0.14739, 0.162626, 0.177446, 0.184726, 0.196517, 0.210934, 0.226884, 0.237633, 0.252674, 0.270485, 0.294233, 0.338928, 0.350206");
-            values ( \
-              "0.0142206, 0.0904159, 0.115942, 0.127083, 0.129314, 0.12973, 0.128173, 0.116954, 0.113459, 0.103881, 0.0964792, 0.0873735, 0.0663197, 0.0542857, 0.040073, 0.0296956, 0.0255974, 0.0200558, 0.0148114, 0.0105272, 0.00836469, 0.00600788, 0.00407196, 0.00241272, 0.000821689, 0.000747394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0460812, 0.0521498, 0.054648, 0.0569228, 0.059083, 0.0747795, 0.0808223, 0.0891974, 0.118824, 0.134732, 0.14421, 0.154547, 0.170331, 0.189301, 0.204142, 0.224055, 0.246211, 0.254992, 0.2781, 0.29606, 0.312085, 0.328292, 0.351617, 0.382468, 0.423604, 0.486888, 0.564057");
-            values ( \
-              "0.0221955, 0.13938, 0.156471, 0.161763, 0.162652, 0.147985, 0.144565, 0.141445, 0.133335, 0.128166, 0.124178, 0.118221, 0.104751, 0.0845679, 0.0705196, 0.0550382, 0.0406339, 0.0360524, 0.0260396, 0.0198872, 0.0156561, 0.0123342, 0.00852885, 0.00527473, 0.0027954, 0.000894949, 0.000287991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0508928, 0.0509128, 0.0941414, 0.148669, 0.194834, 0.209803, 0.22577, 0.250958, 0.312479, 0.342451, 0.376704, 0.400131, 0.433268, 0.460068, 0.508638, 0.545923, 0.585554, 0.616054, 0.677055, 0.760204, 0.771005");
-            values ( \
-              "1e-22, 0.194338, 0.165435, 0.156049, 0.146314, 0.141996, 0.136051, 0.123126, 0.0837658, 0.0670197, 0.0506989, 0.0415369, 0.0309149, 0.0241545, 0.0152594, 0.0106282, 0.00722833, 0.00534483, 0.00288324, 0.00120353, 0.00113931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.0521975, 0.0522175, 0.11769, 0.200612, 0.256277, 0.312974, 0.351682, 0.373319, 0.416593, 0.527342, 0.596121, 0.64775, 0.690002, 0.722472, 0.788121, 0.861148, 0.924141, 1.00614, 1.08929, 1.17244, 1.33873, 1.50503");
-            values ( \
-              "1e-22, 0.206898, 0.180021, 0.171535, 0.165185, 0.157516, 0.150342, 0.144998, 0.13174, 0.0902387, 0.0671126, 0.0524839, 0.0425543, 0.0359723, 0.0253502, 0.016969, 0.0119127, 0.00747319, 0.0045884, 0.00283674, 0.00107481, 0.000412251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0551109, 0.0551309, 0.17714, 0.318383, 0.463309, 0.56797, 0.627354, 0.696256, 0.787376, 0.981655, 1.12923, 1.19211, 1.2801, 1.39988, 1.50868, 1.5761, 1.70419, 1.87049, 2.03679, 2.20309, 2.2946");
-            values ( \
-              "1e-22, 0.214908, 0.187935, 0.179664, 0.170173, 0.161545, 0.154656, 0.144079, 0.126543, 0.0858197, 0.0594861, 0.0502097, 0.0392414, 0.0276556, 0.0199361, 0.0162434, 0.0109154, 0.00646634, 0.0038147, 0.00224693, 0.00192004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0801456, 0.0958817, 0.0987435, 0.104467, 0.105702, 0.106938, 0.108173, 0.109409, 0.110305, 0.111201, 0.112993, 0.11346, 0.114393, 0.115717, 0.116159, 0.116533, 0.118361, 0.120482, 0.121328, 0.122596, 0.126958, 0.13274, 0.136044, 0.138146, 0.141301, 0.143662, 0.146281, 0.152227, 0.155458, 0.159197, 0.164683, 0.168449, 0.17367, 0.177914, 0.18292, 0.186069, 0.188005, 0.193475, 0.195406, 0.198946, 0.202486, 0.207122, 0.212421, 0.217055, 0.219704, 0.224414, 0.229124, 0.235858, 0.242593, 0.248118");
-            values ( \
-              "0.0482449, 0.053545, 0.0587924, 0.0702874, 0.0713366, 0.0721349, 0.0726822, 0.0729786, 0.0739844, 0.0751493, 0.077956, 0.0799664, 0.0822703, 0.08379, 0.0840519, 0.0840584, 0.0839751, 0.0836387, 0.0833051, 0.0825987, 0.0799062, 0.0759916, 0.0736013, 0.0716943, 0.0677213, 0.0641934, 0.0598187, 0.0491239, 0.0444795, 0.0396657, 0.0335982, 0.0299854, 0.0260534, 0.0232859, 0.0203751, 0.0186983, 0.0177261, 0.0152533, 0.0144393, 0.0131798, 0.0120078, 0.0106266, 0.00917939, 0.00803401, 0.00743589, 0.00657785, 0.00580129, 0.00483531, 0.00403019, 0.00347673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0724075, 0.0817064, 0.0891107, 0.0981568, 0.112485, 0.11464, 0.116181, 0.118154, 0.122101, 0.133698, 0.150194, 0.158128, 0.168938, 0.179881, 0.189822, 0.19748, 0.20769, 0.22126, 0.229945, 0.247351, 0.25803, 0.27049, 0.287102, 0.307997, 0.350894, 0.398851, 0.455914");
-            values ( \
-              "0.0120417, 0.0425314, 0.0602603, 0.0797505, 0.107078, 0.116314, 0.118334, 0.119122, 0.117873, 0.110149, 0.101825, 0.0963737, 0.0836946, 0.067138, 0.0544333, 0.0468036, 0.0385423, 0.0288785, 0.0243077, 0.0168727, 0.0134619, 0.0103044, 0.00727679, 0.004534, 0.00170867, 0.000604142, 8.96331e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0829194, 0.0981487, 0.103912, 0.106793, 0.109801, 0.112808, 0.1181, 0.120991, 0.124061, 0.127649, 0.131788, 0.13473, 0.137885, 0.14104, 0.143972, 0.171274, 0.176021, 0.180767, 0.187096, 0.190261, 0.193843, 0.197425, 0.201007, 0.204589, 0.208171, 0.211754, 0.224137, 0.23652, 0.249864, 0.258587, 0.262948, 0.266265, 0.276217, 0.280534, 0.289169, 0.29461, 0.30023, 0.306974, 0.313754, 0.320534, 0.329637, 0.33874, 0.344288, 0.349836, 0.355384, 0.360931, 0.366479, 0.377575, 0.391607, 0.404859");
-            values ( \
-              "0.0974699, 0.099432, 0.11403, 0.120898, 0.131508, 0.143357, 0.151917, 0.150985, 0.149517, 0.146871, 0.144152, 0.142435, 0.141022, 0.139729, 0.138762, 0.130282, 0.12857, 0.126669, 0.123774, 0.12218, 0.120027, 0.117646, 0.114999, 0.112099, 0.108946, 0.105539, 0.0924346, 0.0800731, 0.0681942, 0.0608735, 0.057469, 0.0551185, 0.0484663, 0.0458167, 0.0407559, 0.03773, 0.0347587, 0.031494, 0.0286708, 0.026043, 0.0229096, 0.019953, 0.0182376, 0.016726, 0.0153394, 0.0141601, 0.0130509, 0.0110425, 0.00894714, 0.00714001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0783758, 0.100408, 0.112467, 0.115199, 0.119173, 0.122214, 0.132859, 0.139775, 0.147076, 0.206033, 0.227777, 0.254526, 0.27211, 0.297378, 0.33063, 0.367922, 0.384956, 0.419024, 0.455828, 0.476129, 0.510132, 0.524802, 0.551163, 0.588491, 0.628291, 0.658941, 0.720243, 0.803392, 0.886541, 0.96969");
-            values ( \
-              "0.0483629, 0.122311, 0.157547, 0.172452, 0.176786, 0.175978, 0.169032, 0.166005, 0.164032, 0.153233, 0.148615, 0.141313, 0.134419, 0.120861, 0.099019, 0.0763642, 0.0671137, 0.0508198, 0.0370217, 0.0308715, 0.0225571, 0.0196327, 0.0152923, 0.0106211, 0.00720943, 0.00534401, 0.0028548, 0.00120868, 0.000492365, 0.00022497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0784029, 0.0997266, 0.112482, 0.115305, 0.119723, 0.122993, 0.134509, 0.141146, 0.152452, 0.244708, 0.320343, 0.355784, 0.413001, 0.457866, 0.584691, 0.612993, 0.669599, 0.703929, 0.741938, 0.792617, 0.845031, 0.874623, 0.933805, 0.992041, 1.02169, 1.08099, 1.16414, 1.24729, 1.33044, 1.41358, 1.57988");
-            values ( \
-              "0.0410205, 0.130758, 0.172067, 0.188377, 0.193338, 0.192399, 0.185284, 0.182922, 0.18089, 0.171386, 0.16253, 0.157529, 0.14598, 0.132285, 0.0850616, 0.0753875, 0.0580857, 0.0491857, 0.040608, 0.0311388, 0.0235037, 0.0199855, 0.0143618, 0.0103443, 0.00873811, 0.00621948, 0.00382383, 0.0023527, 0.00143951, 0.000887704, 0.000337313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.0788485, 0.116278, 0.121663, 0.137663, 0.146888, 0.361504, 0.506436, 0.61106, 0.670381, 0.739564, 0.830473, 1.02489, 1.17261, 1.23514, 1.32254, 1.40569, 1.46501, 1.55368, 1.70365, 1.83049, 1.91364, 2.07994, 2.24624, 2.31675");
-            values ( \
-              "0.0493117, 0.198689, 0.203315, 0.194506, 0.192395, 0.179661, 0.170174, 0.161547, 0.15467, 0.144049, 0.126548, 0.0858003, 0.0594473, 0.0502195, 0.0393149, 0.0309138, 0.0259002, 0.0198182, 0.0124692, 0.00837322, 0.00647007, 0.00381865, 0.00225103, 0.00189328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124495, 0.141056, 0.146297, 0.167886, 0.186764, 0.201544, 0.214258, 0.236179, 0.246712, 0.24891, 0.250655, 0.26189, 0.269784, 0.280562, 0.287415, 0.295897, 0.307205, 0.313292, 0.320736, 0.329752, 0.34243, 0.358765, 0.380545, 0.416985, 0.460237, 0.514701");
-            values ( \
-              "0.00480768, 0.0110356, 0.0136548, 0.0289895, 0.0402831, 0.0483933, 0.0548113, 0.064471, 0.0556183, 0.0498602, 0.0494024, 0.0358975, 0.0285024, 0.0211162, 0.0175946, 0.0140182, 0.010316, 0.00876503, 0.00716713, 0.00560016, 0.00395629, 0.00252962, 0.00136851, 0.000466553, 0.000126437, 2.75653e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.124503, 0.149262, 0.175958, 0.198299, 0.213913, 0.23078, 0.24137, 0.248919, 0.252266, 0.255989, 0.259626, 0.264476, 0.278999, 0.291194, 0.304515, 0.319262, 0.326265, 0.337528, 0.356145, 0.369244, 0.379759, 0.394541, 0.411576, 0.43429, 0.478809, 0.531084, 0.597059");
-            values ( \
-              "0.00532709, 0.0221883, 0.0473882, 0.0658553, 0.0778296, 0.0893222, 0.0952698, 0.0980036, 0.100211, 0.0991645, 0.0958424, 0.0893708, 0.0666188, 0.0520055, 0.0398564, 0.0295924, 0.0256406, 0.0203152, 0.0136946, 0.0103612, 0.00827477, 0.0059773, 0.00412139, 0.0025005, 0.00085662, 0.000254507, 3.95561e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.135241, 0.151786, 0.182203, 0.216592, 0.238311, 0.248835, 0.252896, 0.256978, 0.262448, 0.279239, 0.286897, 0.295171, 0.311527, 0.329961, 0.344768, 0.364644, 0.386806, 0.395608, 0.405542, 0.418787, 0.436692, 0.452656, 0.468873, 0.492202, 0.516396, 0.534934, 0.572011, 0.640514, 0.721238");
-            values ( \
-              "0.0277136, 0.0315302, 0.0666465, 0.102643, 0.123126, 0.131545, 0.135883, 0.137, 0.134798, 0.126973, 0.123176, 0.118245, 0.104152, 0.0845231, 0.0705322, 0.0550551, 0.0406594, 0.0360632, 0.0313633, 0.0260103, 0.0198906, 0.0156735, 0.0123373, 0.00853871, 0.00588434, 0.00446338, 0.00242426, 0.000782272, 0.000150818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.13481, 0.161017, 0.207958, 0.2535, 0.256391, 0.282734, 0.336518, 0.368004, 0.392745, 0.463828, 0.514979, 0.551662, 0.571985, 0.606025, 0.647074, 0.684391, 0.724149, 0.754762, 0.81599, 0.899139, 1.06544");
-            values ( \
-              "0.0223745, 0.0499413, 0.111079, 0.165098, 0.165073, 0.156998, 0.145477, 0.134433, 0.121183, 0.0763601, 0.0507955, 0.0370414, 0.030886, 0.0225605, 0.0152927, 0.0106191, 0.00721066, 0.00535, 0.00285763, 0.00121234, 0.000227527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.158258, 0.197009, 0.219519, 0.248788, 0.254088, 0.261087, 0.267247, 0.277904, 0.287428, 0.351886, 0.395963, 0.442693, 0.452674, 0.472637, 0.512992, 0.557183, 0.681516, 0.709769, 0.766275, 0.800769, 0.838957, 0.889876, 0.919775, 0.941729, 0.971002, 1.02955, 1.07371, 1.08877, 1.11889, 1.17914, 1.26229, 1.34544, 1.42859, 1.51174, 1.67803");
-            values ( \
-              "0.0881578, 0.105292, 0.136942, 0.17546, 0.181891, 0.183817, 0.181213, 0.178478, 0.177071, 0.170264, 0.165189, 0.159046, 0.157518, 0.154115, 0.145065, 0.131395, 0.0850904, 0.0753996, 0.0581486, 0.049195, 0.0405767, 0.0310676, 0.026489, 0.0235232, 0.020037, 0.0144521, 0.0112653, 0.0103512, 0.00872128, 0.00617172, 0.00379543, 0.00233395, 0.00142928, 0.000880087, 0.000333861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.158231, 0.19411, 0.252994, 0.260783, 0.282997, 0.458067, 0.602982, 0.70773, 0.767262, 0.835496, 0.909263, 1.11666, 1.25782, 1.37355, 1.43858, 1.54713, 1.67818, 1.76133, 1.87499, 2.02452, 2.19081, 2.35711, 2.4685");
-            values ( \
-              "0.0908743, 0.106562, 0.192909, 0.195767, 0.189901, 0.179655, 0.170183, 0.161529, 0.154636, 0.144166, 0.130163, 0.0867436, 0.0612392, 0.0447622, 0.0371842, 0.027041, 0.0181869, 0.0140955, 0.00986943, 0.00615126, 0.00361648, 0.00211866, 0.00173876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.24742, 0.276648, 0.290643, 0.304558, 0.328256, 0.341382, 0.355384, 0.381227, 0.418343, 0.434213, 0.440054, 0.445988, 0.448637, 0.453935, 0.468395, 0.4802, 0.491424, 0.499963, 0.517857, 0.528099, 0.540431, 0.55011, 0.557031, 0.565212, 0.58476, 0.599924, 0.630252, 0.670339, 0.719783");
-            values ( \
-              "0.00453532, 0.0047574, 0.00669186, 0.00944923, 0.0169401, 0.021548, 0.0256465, 0.0316315, 0.0389528, 0.0432638, 0.0458869, 0.0469407, 0.0462795, 0.0435856, 0.0290444, 0.020558, 0.0152027, 0.012254, 0.00772198, 0.00595284, 0.00433441, 0.00336289, 0.00455086, 0.00463036, 0.00283281, 0.00186482, 0.000764039, 0.000230211, 4.76431e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.25662, 0.294957, 0.310669, 0.31554, 0.352357, 0.374449, 0.401331, 0.445463, 0.464574, 0.482792, 0.500811, 0.503997, 0.509237, 0.525581, 0.543084, 0.550057, 0.553965, 0.558044, 0.581607, 0.596885, 0.614375, 0.633031, 0.647653, 0.676897, 0.726311, 0.785644");
-            values ( \
-              "0.00861884, 0.0115667, 0.0163773, 0.0182959, 0.0349788, 0.0429277, 0.0512605, 0.0633962, 0.0692318, 0.0703274, 0.0488126, 0.0476668, 0.0422675, 0.0306801, 0.0217594, 0.0190045, 0.0196991, 0.0194277, 0.0127991, 0.0092261, 0.00629135, 0.00419102, 0.00303593, 0.00156714, 0.000471333, 0.000110261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.247449, 0.291658, 0.302865, 0.325387, 0.357363, 0.400534, 0.4341, 0.462894, 0.488873, 0.513008, 0.535933, 0.548608, 0.557372, 0.592902, 0.611455, 0.640338, 0.657944, 0.673075, 0.68948, 0.712947, 0.737688, 0.756703, 0.794734, 0.846152");
-            values ( \
-              "0.00684978, 0.0153143, 0.0191546, 0.0294339, 0.0470181, 0.0662141, 0.0796886, 0.0902484, 0.0985709, 0.104292, 0.0948121, 0.0810788, 0.0769631, 0.049955, 0.0387922, 0.0257523, 0.0198651, 0.0158546, 0.0123636, 0.00861232, 0.00588603, 0.00437681, 0.00238457, 0.00114453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.275135, 0.32198, 0.381414, 0.441303, 0.484546, 0.521696, 0.550096, 0.555301, 0.576751, 0.606097, 0.67176, 0.721398, 0.753364, 0.787808, 0.826764, 0.882556, 0.920017, 0.981292, 1.13628, 1.30258");
-            values ( \
-              "0.0262962, 0.0337473, 0.0683656, 0.0992016, 0.119745, 0.135389, 0.144423, 0.147847, 0.139952, 0.125995, 0.0834558, 0.0570456, 0.0435509, 0.0320462, 0.0223116, 0.0129978, 0.00896959, 0.00479305, 0.000772095, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.275206, 0.328222, 0.408868, 0.467931, 0.502138, 0.559417, 0.577918, 0.595323, 0.609989, 0.613636, 0.620929, 0.633044, 0.645865, 0.670329, 0.730668, 0.798817, 0.885042, 0.927444, 0.96265, 1.00832, 1.01902, 1.04043, 1.12974, 1.14545, 1.17686, 1.23968, 1.28748, 1.30524, 1.34076, 1.49495, 1.5781, 1.66125, 1.7444, 1.82755");
-            values ( \
-              "0.0269044, 0.0421518, 0.091669, 0.126155, 0.145148, 0.174825, 0.168556, 0.16785, 0.165127, 0.165602, 0.163934, 0.163114, 0.160739, 0.157851, 0.14535, 0.12299, 0.0898301, 0.0751194, 0.0646036, 0.0514226, 0.0493278, 0.0437985, 0.0273303, 0.0256303, 0.0211127, 0.0153225, 0.011243, 0.0106686, 0.00824685, 0.00321285, 0.00238996, 0.00104988, 0.00106063, 0.000235906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.274842, 0.355472, 0.489215, 0.549803, 0.563984, 0.833625, 0.977157, 1.06332, 1.4304, 1.57322, 1.76277, 1.83656, 1.99191, 2.21948, 2.50654");
-            values ( \
-              "0.0250605, 0.0627916, 0.148414, 0.184331, 0.186978, 0.169105, 0.155441, 0.142069, 0.0684844, 0.0467886, 0.0270927, 0.0216586, 0.0134258, 0.00661191, 0.00289458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.443136, 0.569975, 0.608352, 0.626469, 0.645075, 0.669882, 0.729438, 0.763444, 0.792404, 0.818632, 0.842612, 0.864082, 0.885633, 0.901764, 0.928956, 0.951804, 0.964667, 0.981819, 0.997621, 1.02378, 1.04488, 1.08707, 1.21602, 1.24123, 1.2614");
-            values ( \
-              "1.11592e-05, 0.00205699, 0.00329973, 0.00420375, 0.00547925, 0.00804218, 0.017251, 0.0213126, 0.0239923, 0.0259834, 0.0287072, 0.0322019, 0.0287671, 0.0196588, 0.0106506, 0.00627116, 0.0046494, 0.00312504, 0.00210246, 0.0011194, 0.000696926, 0.000217851, 8.46272e-06, 0.000759424, 0.000614228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.525696, 0.598974, 0.608348, 0.627095, 0.642316, 0.672757, 0.736113, 0.77243, 0.789162, 0.823851, 0.85526, 0.88425, 0.910841, 0.937468, 0.960948, 0.975682, 0.988034, 1.0045, 1.01729, 1.03093, 1.04692, 1.07118, 1.08226, 1.09723, 1.11718, 1.15708, 1.21602, 1.2402, 1.27126, 1.30155, 1.36107");
-            values ( \
-              "0.0045735, 0.00498035, 0.00554324, 0.00696186, 0.00841943, 0.0126449, 0.026119, 0.0323148, 0.0346036, 0.038703, 0.0418647, 0.0455707, 0.0496561, 0.0427745, 0.0289927, 0.0226691, 0.0184263, 0.0137626, 0.0109265, 0.00848358, 0.00623828, 0.00386553, 0.00310339, 0.00230666, 0.00155076, 0.000666741, 0.000196457, 0.00134506, 0.00112922, 0.000368224, 0.000152109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.525353, 0.604159, 0.631554, 0.658522, 0.705228, 0.736127, 0.791959, 0.832386, 0.87606, 0.952193, 0.98657, 1.01839, 1.07461, 1.11024, 1.14966, 1.17049, 1.21574, 1.22214, 1.23492, 1.27224, 1.29733, 1.32438");
-            values ( \
-              "0.00706472, 0.00830706, 0.0111684, 0.015066, 0.0255038, 0.0339061, 0.0462048, 0.0530185, 0.0592718, 0.0694981, 0.0731735, 0.0601836, 0.0327943, 0.0210554, 0.0123763, 0.00910789, 0.00464257, 0.00570322, 0.00603362, 0.00342615, 0.0023104, 0.00160958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.525594, 0.608911, 0.642255, 0.671624, 0.685781, 0.752607, 0.812619, 0.837788, 0.888127, 0.96025, 1.01139, 1.05873, 1.10408, 1.15936, 1.21604, 1.22744, 1.2865, 1.31449, 1.35028, 1.39122, 1.40549, 1.43852, 1.47626, 1.55175, 1.59343");
-            values ( \
-              "0.0103496, 0.0123879, 0.017175, 0.0227498, 0.0260344, 0.0452082, 0.0600712, 0.0653911, 0.0754695, 0.0885412, 0.096729, 0.103072, 0.100695, 0.0748549, 0.0503335, 0.0481292, 0.0294438, 0.0227426, 0.016211, 0.0108825, 0.00948113, 0.00686334, 0.00472372, 0.00216033, 0.00153236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.525232, 0.641004, 0.661315, 0.688396, 0.788935, 0.854551, 1.007, 1.07306, 1.11266, 1.15372, 1.21796, 1.23142, 1.26165, 1.38629, 1.471, 1.54382, 1.59483, 1.64646, 1.67561, 1.7339, 1.79351, 1.88445, 1.9676, 2.05075, 2.13669");
-            values ( \
-              "0.00992502, 0.0217055, 0.0257348, 0.0320086, 0.0611375, 0.0780026, 0.113518, 0.127263, 0.134629, 0.141105, 0.144328, 0.141514, 0.131494, 0.0850952, 0.0581709, 0.0405697, 0.0310329, 0.0235381, 0.0200498, 0.0144954, 0.0103614, 0.00616039, 0.00377691, 0.00233401, 0.00158488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.60779, 0.769249, 0.899733, 1.0518, 1.16902, 1.21546, 1.23635, 1.31579, 1.3771, 1.41936, 1.48885, 1.56984, 1.61474, 1.79882, 1.85107, 1.91483, 1.99744, 2.05473, 2.12484, 2.20799, 2.24584, 2.32153, 2.39306, 2.47621, 2.54162, 2.61772, 2.70087, 2.78402, 2.86717, 3.03347, 3.28291, 3.53236");
-            values ( \
-              "0.0334383, 0.0594686, 0.0962542, 0.136413, 0.164641, 0.174563, 0.174898, 0.169182, 0.164281, 0.160224, 0.151448, 0.137721, 0.12891, 0.0901397, 0.0797968, 0.0682643, 0.0549394, 0.0469903, 0.0385992, 0.0302517, 0.0270967, 0.0215325, 0.0173535, 0.0133743, 0.0109105, 0.0085942, 0.00664249, 0.00506623, 0.00392108, 0.00231149, 0.00101225, 0.000481123" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00294291, 0.00299312, 0.00303702, 0.0030691, 0.00308982, 0.00310197", \
-            "0.00380716, 0.00387552, 0.00394845, 0.00400851, 0.00405018, 0.00407578", \
-            "0.00448796, 0.00452111, 0.00457279, 0.00462952, 0.00467624, 0.00470798", \
-            "0.00501708, 0.00499993, 0.0049854, 0.00498914, 0.00500509, 0.00502223", \
-            "0.0054007, 0.00535092, 0.00529071, 0.00523967, 0.00520314, 0.00518668", \
-            "0.00562439, 0.00555473, 0.0054891, 0.00542771, 0.00536209, 0.00530734" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0046058, 0.0046516, 0.00470603, 0.00475324, 0.00478572, 0.00480487", \
-            "0.0053426, 0.00524199, 0.00515504, 0.00509056, 0.00506127, 0.00505059", \
-            "0.00553352, 0.00529953, 0.00507715, 0.00490101, 0.00477927, 0.00470537", \
-            "0.00567706, 0.00537203, 0.00504255, 0.00476217, 0.00457053, 0.00445176", \
-            "0.00586549, 0.00584923, 0.00540574, 0.00495026, 0.00462614, 0.0044205", \
-            "0.00555397, 0.00567031, 0.00577415, 0.00563926, 0.00499412, 0.00460864" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0237268, 0.0264677, 0.0277083, 0.0307617, 0.0327052, 0.0346618, 0.0384849, 0.0459175, 0.0484624, 0.049766, 0.0520075, 0.054013, 0.0557775, 0.0664765, 0.0695956, 0.075446, 0.0821145, 0.0899026, 0.0988034, 0.101877");
-            values ( \
-              "-0.0470818, -0.192225, -0.197355, -0.202533, -0.202147, -0.199677, -0.189867, -0.124814, -0.110909, -0.11093, -0.118096, -0.118823, -0.113295, -0.0554905, -0.0428171, -0.0255499, -0.0137773, -0.006588, -0.00263242, -0.00233765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0070372");
-            index_3 ("0.025061, 0.0263565, 0.029015, 0.0327882, 0.0386037, 0.0425467, 0.0451171, 0.047589, 0.0536847, 0.0575112, 0.0630231, 0.064834, 0.0675508, 0.0847982, 0.0934237, 0.0995465, 0.107293, 0.116813, 0.126867, 0.131706");
-            values ( \
-              "-0.146046, -0.245961, -0.257574, -0.261783, -0.258493, -0.252707, -0.245692, -0.232367, -0.185771, -0.166023, -0.178569, -0.177696, -0.170745, -0.0767146, -0.0451785, -0.0301615, -0.0178111, -0.00918344, -0.00456966, -0.00354858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0136038");
-            index_3 ("0.0251255, 0.0274647, 0.0287187, 0.031926, 0.0368646, 0.049948, 0.058283, 0.0723133, 0.075106, 0.078141, 0.0813385, 0.0847208, 0.0886322, 0.0935043, 0.114962, 0.121074, 0.132259, 0.138534, 0.1469, 0.154827, 0.167715, 0.1849, 0.20951, 0.239585");
-            values ( \
-              "-0.122641, -0.296689, -0.301786, -0.307439, -0.30853, -0.299804, -0.286987, -0.224715, -0.222337, -0.231578, -0.236335, -0.234298, -0.226903, -0.211958, -0.113559, -0.090374, -0.0577044, -0.0443786, -0.0308886, -0.0218138, -0.0122385, -0.00541856, -0.00153717, -0.000292912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0262979");
-            index_3 ("0.0261479, 0.0290589, 0.0363102, 0.0542702, 0.0752784, 0.0842824, 0.102474, 0.109496, 0.115053, 0.124995, 0.134011, 0.150182, 0.176447, 0.19207, 0.209665, 0.232898, 0.266038, 0.29265, 0.304774");
-            values ( \
-              "-0.316508, -0.333015, -0.340258, -0.334966, -0.32362, -0.311782, -0.267864, -0.279086, -0.281823, -0.273105, -0.259804, -0.220574, -0.135702, -0.0960262, -0.063032, -0.0350634, -0.0146785, -0.00702698, -0.00554942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0508373");
-            index_3 ("0.0264515, 0.0297942, 0.0340791, 0.0393541, 0.0778855, 0.104159, 0.122057, 0.132116, 0.154478, 0.160443, 0.164905, 0.17105, 0.177223, 0.202942, 0.22129, 0.253035, 0.28321, 0.315913, 0.355122, 0.387503, 0.412292, 0.438043, 0.457763, 0.497202, 0.540977");
-            values ( \
-              "-0.336248, -0.353192, -0.358915, -0.359981, -0.352347, -0.344992, -0.337604, -0.328858, -0.30147, -0.303673, -0.309768, -0.311926, -0.310879, -0.29598, -0.281036, -0.232393, -0.172472, -0.116868, -0.0697957, -0.0443826, -0.0310548, -0.0213421, -0.0159243, -0.00874142, -0.00467733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.098275");
-            index_3 ("0.0265337, 0.0298314, 0.0341882, 0.0396798, 0.100102, 0.161932, 0.193693, 0.223124, 0.24745, 0.254127, 0.2687, 0.277139, 0.285966, 0.331649, 0.37323, 0.389526, 0.419324, 0.452311, 0.50609, 0.544784, 0.57518, 0.598466, 0.636029, 0.667674, 0.699322, 0.74459, 0.76399, 0.802792, 0.85769, 0.912587, 0.967484, 1.02238, 1.13218");
-            values ( \
-              "-0.347347, -0.363762, -0.369811, -0.37127, -0.364742, -0.356028, -0.350265, -0.339486, -0.325358, -0.323296, -0.330215, -0.330474, -0.329063, -0.316939, -0.301407, -0.292652, -0.271223, -0.237375, -0.177172, -0.138989, -0.113285, -0.0963661, -0.0733611, -0.0579907, -0.0456467, -0.0320351, -0.0275847, -0.0202302, -0.0130413, -0.00829719, -0.00534653, -0.00336116, -0.00134697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0382016, 0.0432989, 0.0478695, 0.0509207, 0.0517052, 0.0525299, 0.0533244, 0.0549135, 0.0567011, 0.0584017, 0.062327, 0.0658963, 0.0674746, 0.0687691, 0.0712502, 0.0722656, 0.0742545, 0.0852074, 0.0883544, 0.0941552, 0.100823, 0.10868, 0.117659, 0.121317");
-            values ( \
-              "-0.00478452, -0.123833, -0.160867, -0.180708, -0.190559, -0.195001, -0.195956, -0.194865, -0.190826, -0.180888, -0.144139, -0.116652, -0.110315, -0.11179, -0.119039, -0.119079, -0.114298, -0.0554581, -0.0426854, -0.0256094, -0.0138189, -0.0065387, -0.002612, -0.00202632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0070372");
-            index_3 ("0.0390579, 0.0454526, 0.0519587, 0.052971, 0.0558705, 0.0613419, 0.0639131, 0.0763087, 0.0816834, 0.0863348, 0.102651, 0.115369, 0.126756, 0.140819, 0.142733");
-            values ( \
-              "-0.0158037, -0.182338, -0.247991, -0.25511, -0.257496, -0.252209, -0.245557, -0.16607, -0.178411, -0.170683, -0.0810725, -0.0367537, -0.0171566, -0.00636612, -0.00602042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0136038");
-            index_3 ("0.0404409, 0.0462824, 0.0524961, 0.0552599, 0.0609209, 0.0690128, 0.077343, 0.0923012, 0.1003, 0.103415, 0.107681, 0.117058, 0.13859, 0.147919, 0.159547, 0.174087, 0.19617, 0.19922");
-            values ( \
-              "-0.0231001, -0.223627, -0.298356, -0.305819, -0.305935, -0.299974, -0.287149, -0.222474, -0.236431, -0.235199, -0.226978, -0.193262, -0.0957859, -0.0663171, -0.0408535, -0.0215868, -0.00796612, -0.00723981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0262979");
-            index_3 ("0.0424338, 0.0475841, 0.0524251, 0.0547694, 0.0608982, 0.0735066, 0.0949701, 0.102337, 0.121684, 0.128708, 0.134016, 0.144844, 0.153247, 0.168576, 0.196733, 0.209769, 0.227784, 0.245961, 0.27402, 0.306763, 0.319887");
-            values ( \
-              "-0.104539, -0.263499, -0.327494, -0.336106, -0.339604, -0.335223, -0.322992, -0.314238, -0.267824, -0.278848, -0.281914, -0.272324, -0.259838, -0.223114, -0.132694, -0.0994717, -0.0647823, -0.041139, -0.0198122, -0.00816495, -0.00630611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0508373");
-            index_3 ("0.033527, 0.0584647, 0.0617671, 0.0970121, 0.136523, 0.150032, 0.172303, 0.175923, 0.184693, 0.191383, 0.203207, 0.221095, 0.240415, 0.272124, 0.302385, 0.335016, 0.356153, 0.374235, 0.40665, 0.431431, 0.457155, 0.476851, 0.516245, 0.571142, 0.577715");
-            values ( \
-              "-0.00868407, -0.358504, -0.359185, -0.352175, -0.339755, -0.330323, -0.302552, -0.301329, -0.310379, -0.312289, -0.307445, -0.296923, -0.280773, -0.232706, -0.172212, -0.11694, -0.0890292, -0.0697856, -0.0443863, -0.0310357, -0.0213489, -0.0159317, -0.00875589, -0.00364675, -0.00346565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.098275");
-            index_3 ("0.0445775, 0.0554919, 0.0614554, 0.0654936, 0.157453, 0.213383, 0.227718, 0.243079, 0.266812, 0.273476, 0.28798, 0.296401, 0.305122, 0.350912, 0.393311, 0.408795, 0.438611, 0.47158, 0.525334, 0.564045, 0.594465, 0.617774, 0.655307, 0.686921, 0.718576, 0.763849, 0.783257, 0.822072, 0.87697, 0.931867, 0.986764, 1.04166, 1.15146");
-            values ( \
-              "-0.280462, -0.368318, -0.370642, -0.37082, -0.359474, -0.349903, -0.345942, -0.338946, -0.325306, -0.323278, -0.330208, -0.330475, -0.329081, -0.316945, -0.301015, -0.292658, -0.271199, -0.237378, -0.177201, -0.13899, -0.113273, -0.0963357, -0.0733585, -0.0580024, -0.0456486, -0.0320398, -0.0275822, -0.0202308, -0.0130372, -0.008299, -0.0053433, -0.00336347, -0.00134948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0634828, 0.0782918, 0.0849917, 0.0906367, 0.0957452, 0.0983029, 0.104098, 0.107743, 0.11347, 0.114554, 0.13048, 0.13628, 0.142947, 0.150801, 0.156926");
-            values ( \
-              "-0.00172522, -0.0868418, -0.108742, -0.123548, -0.132916, -0.129907, -0.10861, -0.100337, -0.121213, -0.1209, -0.0427016, -0.0255967, -0.0138071, -0.00656107, -0.0038567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0070372");
-            index_3 ("0.0680425, 0.0737525, 0.0776936, 0.0829723, 0.0934047, 0.101357, 0.105021, 0.108173, 0.112598, 0.113518, 0.11838, 0.12363, 0.125671, 0.128432, 0.145675, 0.151672, 0.159304, 0.16868, 0.174644, 0.182595, 0.194257");
-            values ( \
-              "-0.00450546, -0.0843865, -0.107828, -0.132061, -0.172345, -0.197495, -0.204575, -0.201847, -0.186687, -0.18661, -0.167424, -0.179225, -0.178096, -0.170582, -0.0765979, -0.0531422, -0.0324998, -0.0172245, -0.0114221, -0.00652741, -0.00302323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0136038");
-            index_3 ("0.0711519, 0.0774006, 0.0810132, 0.0898893, 0.10134, 0.114086, 0.116351, 0.119364, 0.122377, 0.133156, 0.136074, 0.140663, 0.143406, 0.146538, 0.149749, 0.154641, 0.175991, 0.182277, 0.19343, 0.199548, 0.207706, 0.215911, 0.229199, 0.246915, 0.260577");
-            values ( \
-              "-0.034698, -0.122169, -0.143853, -0.188369, -0.23889, -0.289274, -0.291731, -0.285872, -0.275306, -0.225251, -0.221812, -0.234349, -0.236346, -0.23328, -0.226788, -0.211938, -0.113918, -0.0900712, -0.0575769, -0.0445726, -0.0313283, -0.0218576, -0.0120428, -0.00517331, -0.00311498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0262979");
-            index_3 ("0.0706475, 0.0816266, 0.0893615, 0.114163, 0.115604, 0.117645, 0.125279, 0.136716, 0.144453, 0.149656, 0.160744, 0.163789, 0.167648, 0.170724, 0.176257, 0.177953, 0.186621, 0.195369, 0.211011, 0.238464, 0.252454, 0.260083, 0.270053, 0.278061, 0.287916, 0.301785, 0.316323, 0.327479, 0.349789, 0.390325, 0.438352");
-            values ( \
-              "-0.0113099, -0.161361, -0.2054, -0.327775, -0.331757, -0.332334, -0.330258, -0.323516, -0.31404, -0.302226, -0.272478, -0.267868, -0.271863, -0.278871, -0.28186, -0.281337, -0.272799, -0.259787, -0.22221, -0.13378, -0.0981831, -0.0822526, -0.0645415, -0.0529599, -0.041342, -0.0287979, -0.0197091, -0.0146823, -0.00794891, -0.00236969, -0.000496741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0508373");
-            index_3 ("0.0710201, 0.0872714, 0.11381, 0.118303, 0.155341, 0.165931, 0.192678, 0.214866, 0.218527, 0.22728, 0.233996, 0.263827, 0.283062, 0.314774, 0.377664, 0.416882, 0.449294, 0.499802, 0.558897, 0.563217");
-            values ( \
-              "-0.0222449, -0.20334, -0.351517, -0.356759, -0.346569, -0.346027, -0.331528, -0.30263, -0.301305, -0.310327, -0.3123, -0.29687, -0.280767, -0.232707, -0.116953, -0.0697679, -0.0444045, -0.0213315, -0.00873791, -0.00833863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.098275");
-            index_3 ("0.0705568, 0.0947134, 0.113932, 0.116313, 0.122119, 0.182529, 0.254813, 0.269439, 0.284845, 0.308775, 0.315235, 0.329375, 0.338885, 0.347575, 0.39338, 0.43529, 0.45126, 0.481065, 0.51763, 0.567811, 0.606514, 0.636922, 0.660219, 0.697768, 0.729397, 0.761049, 0.806319, 0.825723, 0.864532, 0.919429, 0.974327, 1.02922, 1.08412, 1.19392");
-            values ( \
-              "-0.0401131, -0.253002, -0.363153, -0.367478, -0.369026, -0.361985, -0.350325, -0.346027, -0.339416, -0.325547, -0.323335, -0.329906, -0.330532, -0.329106, -0.316907, -0.301284, -0.292622, -0.271245, -0.233507, -0.177166, -0.13901, -0.113271, -0.0963592, -0.0733522, -0.0579896, -0.0456543, -0.0320309, -0.0275897, -0.0202245, -0.0130453, -0.00829217, -0.00535078, -0.00335655, -0.00134265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.121159, 0.134858, 0.146198, 0.162539, 0.170665, 0.174323, 0.183575, 0.186255, 0.191696, 0.197276, 0.201041, 0.205304, 0.221685, 0.235033, 0.249019, 0.252523");
-            values ( \
-              "-0.0168604, -0.0343839, -0.0570476, -0.0777166, -0.0836496, -0.080765, -0.0631103, -0.0622411, -0.0781041, -0.0845004, -0.0827224, -0.0767921, -0.031772, -0.0111183, -0.00327446, -0.00400562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0070372");
-            index_3 ("0.123949, 0.130262, 0.135298, 0.149176, 0.163085, 0.178904, 0.184487, 0.189426, 0.196587, 0.200568, 0.203238, 0.205732, 0.208986, 0.212236, 0.215144, 0.219563, 0.2247, 0.236361, 0.24528, 0.249019, 0.249892, 0.250851, 0.255351, 0.262282, 0.272492, 0.283384, 0.288439");
-            values ( \
-              "-0.0278751, -0.035637, -0.0458692, -0.0794028, -0.103295, -0.126099, -0.13053, -0.127172, -0.113226, -0.109572, -0.113541, -0.124976, -0.134106, -0.138599, -0.13797, -0.135, -0.122743, -0.0776318, -0.0482822, -0.0386217, -0.0389679, -0.0375427, -0.0284755, -0.0179599, -0.00887412, -0.00401254, -0.00310536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0136038");
-            index_3 ("0.124472, 0.138212, 0.155048, 0.178177, 0.19298, 0.206031, 0.210835, 0.222516, 0.225061, 0.231797, 0.237486, 0.245297, 0.251827, 0.276117, 0.287306, 0.302, 0.322697, 0.338791");
-            values ( \
-              "-0.00882747, -0.0625851, -0.105419, -0.152269, -0.178868, -0.195889, -0.194772, -0.180853, -0.182669, -0.206755, -0.214156, -0.210578, -0.197058, -0.0904214, -0.0577262, -0.0308387, -0.0122886, -0.00585623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0262979");
-            index_3 ("0.124534, 0.151492, 0.159329, 0.175632, 0.198135, 0.21342, 0.220374, 0.234284, 0.237866, 0.245031, 0.24895, 0.250848, 0.256696, 0.259171, 0.26722, 0.271513, 0.27749, 0.283218, 0.289334, 0.301564, 0.324999, 0.335482, 0.345926, 0.353776, 0.363862, 0.372153, 0.381942, 0.395758, 0.4101, 0.421082, 0.443046, 0.483407, 0.531114");
-            values ( \
-              "-0.00376445, -0.104888, -0.126143, -0.163914, -0.212854, -0.243736, -0.257044, -0.279541, -0.282616, -0.282325, -0.279656, -0.279288, -0.269512, -0.269595, -0.28202, -0.281457, -0.276132, -0.269245, -0.259975, -0.232103, -0.155964, -0.12531, -0.0992225, -0.0826266, -0.0647367, -0.0527416, -0.0411917, -0.0287737, -0.0197829, -0.0147978, -0.00810076, -0.00242577, -0.000521443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0508373");
-            index_3 ("0.124379, 0.168137, 0.174451, 0.218221, 0.240368, 0.248794, 0.25293, 0.258361, 0.275883, 0.286447, 0.306873, 0.311298, 0.315924, 0.320328, 0.326061, 0.331863, 0.34548, 0.359575, 0.376742, 0.40853, 0.438607, 0.471388, 0.492551, 0.510586, 0.525352, 0.542932, 0.567728, 0.593507, 0.613252, 0.65274, 0.707638, 0.762535, 0.817433");
-            values ( \
-              "-0.00675896, -0.155367, -0.171373, -0.273794, -0.322013, -0.33947, -0.344512, -0.345283, -0.338296, -0.33009, -0.304133, -0.300948, -0.304185, -0.309721, -0.312054, -0.311034, -0.30449, -0.295259, -0.280938, -0.23239, -0.172517, -0.116835, -0.088912, -0.0697848, -0.0569413, -0.0443911, -0.0310669, -0.0213498, -0.0159031, -0.00874184, -0.00362713, -0.00154566, -0.000610286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.098275");
-            index_3 ("0.124342, 0.186909, 0.195647, 0.248757, 0.255533, 0.264393, 0.27161, 0.32831, 0.34735, 0.361517, 0.378868, 0.403479, 0.409748, 0.423561, 0.432945, 0.465362, 0.487441, 0.530315, 0.545327, 0.575155, 0.608113, 0.661853, 0.700574, 0.731008, 0.754329, 0.791845, 0.823441, 0.8551, 0.900376, 0.919787, 0.958609, 1.01351, 1.0684, 1.1233, 1.1782, 1.28799");
-            values ( \
-              "-0.0135378, -0.208146, -0.230342, -0.356656, -0.36292, -0.363931, -0.36226, -0.354053, -0.350636, -0.346735, -0.339402, -0.325228, -0.323367, -0.329925, -0.330577, -0.323434, -0.316951, -0.300784, -0.292663, -0.271185, -0.237381, -0.177218, -0.13899, -0.113268, -0.0963177, -0.0733585, -0.0580107, -0.045649, -0.032044, -0.02758, -0.0202328, -0.013034, -0.00830141, -0.00534038, -0.00336606, -0.00135214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.22049, 0.248923, 0.256202, 0.267713, 0.275043, 0.289178, 0.302075, 0.308368, 0.320954, 0.323586, 0.326594, 0.335153, 0.338871, 0.341728, 0.347824, 0.350777, 0.354607, 0.362714, 0.36856, 0.374546, 0.383543, 0.399819, 0.409569, 0.416749, 0.421433, 0.430802, 0.446552, 0.451143");
-            values ( \
-              "-0.0010852, -0.0112589, -0.0141955, -0.0217017, -0.0282959, -0.0383737, -0.0457534, -0.0485447, -0.0527412, -0.0518538, -0.0495716, -0.0399811, -0.0373304, -0.0372807, -0.0483526, -0.0520224, -0.054886, -0.0563961, -0.055844, -0.053488, -0.0435502, -0.0209417, -0.0117214, -0.00738758, -0.00541854, -0.00278426, -0.00083974, -0.000686967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0070372");
-            index_3 ("0.254377, 0.280182, 0.285035, 0.288923, 0.296698, 0.300585, 0.311574, 0.322564, 0.332386, 0.334688, 0.336991, 0.339294, 0.341597, 0.343532, 0.347403, 0.349339, 0.35231, 0.355282, 0.35798, 0.359329, 0.360678, 0.362027, 0.363376, 0.364354, 0.366309, 0.367286, 0.368916, 0.370546, 0.373776, 0.374853, 0.377984, 0.381489, 0.38395, 0.387581, 0.391211, 0.396051, 0.398471, 0.400582, 0.402693, 0.406261, 0.40983, 0.415842, 0.424309, 0.426324, 0.430898, 0.436699, 0.441022, 0.445149, 0.449276, 0.452938");
-            values ( \
-              "-0.0407399, -0.0413112, -0.0460457, -0.0495241, -0.0560924, -0.0591823, -0.0672677, -0.0746249, -0.0822474, -0.0823874, -0.0822977, -0.0819785, -0.0814297, -0.0807909, -0.0790265, -0.077901, -0.0750821, -0.071451, -0.0672697, -0.0673342, -0.0677532, -0.0685266, -0.0696544, -0.0706931, -0.0733287, -0.0749256, -0.0794045, -0.0830437, -0.087508, -0.0886188, -0.0906069, -0.092149, -0.0928006, -0.0924341, -0.0917926, -0.0905095, -0.0896846, -0.0881626, -0.0862961, -0.0814429, -0.0759261, -0.06506, -0.0481146, -0.0444582, -0.0367694, -0.0278241, -0.0226498, -0.0184877, -0.0149516, -0.0123011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0136038");
-            index_3 ("0.237442, 0.246824, 0.265241, 0.273707, 0.314803, 0.353325, 0.364867, 0.373482, 0.379846, 0.392256, 0.396569, 0.408698, 0.41312, 0.418307, 0.424354, 0.430243, 0.442019, 0.447633, 0.48398, 0.495044, 0.510282, 0.521361, 0.536133, 0.55024, 0.559261, 0.565371");
-            values ( \
-              "-0.0163437, -0.0207678, -0.0331702, -0.0404923, -0.0834785, -0.115209, -0.122948, -0.12621, -0.124468, -0.114682, -0.113643, -0.136868, -0.140776, -0.142781, -0.144562, -0.144659, -0.140037, -0.133254, -0.0543236, -0.0369458, -0.0206915, -0.0132736, -0.00703673, -0.00382523, -0.0041747, -0.00343697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0262979");
-            index_3 ("0.279662, 0.32919, 0.348147, 0.37131, 0.394423, 0.409616, 0.41538, 0.421143, 0.424784, 0.428424, 0.432559, 0.436694, 0.438459, 0.443754, 0.445446, 0.447138, 0.448386, 0.452132, 0.456173, 0.457842, 0.46118, 0.462849, 0.470951, 0.474836, 0.478722, 0.482311, 0.485901, 0.48949, 0.49308, 0.498607, 0.504135, 0.509663, 0.515191, 0.516392, 0.521196, 0.539146, 0.547838, 0.549205, 0.550571, 0.553304, 0.554671, 0.557272, 0.560977, 0.566225, 0.572305, 0.578489, 0.584033, 0.593215, 0.602841, 0.611194");
-            values ( \
-              "-0.103495, -0.107258, -0.125936, -0.147719, -0.168363, -0.18041, -0.183407, -0.185539, -0.184806, -0.183808, -0.182353, -0.180555, -0.18014, -0.179486, -0.180157, -0.181185, -0.183094, -0.189866, -0.198932, -0.201395, -0.205436, -0.207014, -0.212083, -0.212882, -0.213173, -0.212985, -0.212366, -0.211316, -0.209836, -0.206714, -0.20257, -0.197405, -0.191219, -0.189275, -0.178173, -0.133265, -0.112427, -0.106683, -0.0991967, -0.0970363, -0.095627, -0.0922127, -0.0862797, -0.0763944, -0.0661368, -0.0563848, -0.0487227, -0.0387995, -0.0304306, -0.023925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0508373");
-            index_3 ("0.286327, 0.340646, 0.376945, 0.411806, 0.453502, 0.465915, 0.472633, 0.480373, 0.484995, 0.487306, 0.489618, 0.509005, 0.512539, 0.516074, 0.518066, 0.520057, 0.523875, 0.526845, 0.529815, 0.531434, 0.536291, 0.542883, 0.548954, 0.550421, 0.550977, 0.554841, 0.559451, 0.566073, 0.575146, 0.581217, 0.585993, 0.591216, 0.59644, 0.602212, 0.607985, 0.64957, 0.66382, 0.671344, 0.684012, 0.687609, 0.698104, 0.7061, 0.715096, 0.72449, 0.734281, 0.747479, 0.758081, 0.770486, 0.776688, 0.786438");
-            values ( \
-              "-0.119817, -0.126625, -0.164605, -0.19971, -0.239589, -0.250566, -0.255986, -0.261569, -0.263813, -0.264669, -0.265347, -0.266138, -0.266895, -0.267999, -0.269743, -0.272022, -0.279981, -0.285319, -0.289911, -0.291637, -0.295887, -0.299431, -0.302133, -0.303954, -0.303966, -0.303506, -0.301319, -0.297106, -0.290272, -0.285164, -0.280753, -0.274661, -0.267635, -0.258845, -0.249208, -0.168314, -0.143122, -0.130675, -0.111041, -0.105813, -0.0926957, -0.0836483, -0.0741548, -0.0648015, -0.0560752, -0.0468597, -0.040448, -0.0339221, -0.0310207, -0.0269226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.098275");
-            index_3 ("0.269805, 0.31287, 0.355829, 0.406115, 0.47925, 0.549981, 0.558194, 0.568049, 0.577716, 0.587921, 0.612835, 0.618247, 0.633312, 0.641705, 0.650448, 0.674581, 0.696217, 0.739488, 0.754106, 0.783341, 0.816833, 0.87132, 0.909513, 0.939128, 0.978615, 1.00364, 1.02364, 1.0503, 1.10362, 1.12174, 1.16561, 1.21574, 1.27064, 1.32554, 1.43533, 1.54513");
-            values ( \
-              "-0.0660159, -0.0984275, -0.148419, -0.203403, -0.280219, -0.347925, -0.349464, -0.347704, -0.343935, -0.339233, -0.325002, -0.323113, -0.33009, -0.330516, -0.329032, -0.323287, -0.316983, -0.300563, -0.292693, -0.271673, -0.237473, -0.176504, -0.13883, -0.113835, -0.086068, -0.0717917, -0.0619109, -0.0505731, -0.0334521, -0.0289818, -0.0205701, -0.0136982, -0.00881665, -0.00558434, -0.00225272, -0.000897217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.431901, 0.494433, 0.509702, 0.528572, 0.538188, 0.553227, 0.568735, 0.588507, 0.591288, 0.59685, 0.615421, 0.625118, 0.636478, 0.646837, 0.652634, 0.659663, 0.661097, 0.663964, 0.667554, 0.672143, 0.677867, 0.683784, 0.687362, 0.692131, 0.702297, 0.720633, 0.734428, 0.756931, 0.762006, 0.77098, 0.782014, 0.788647, 0.801913, 0.824217, 0.850891, 0.931825, 0.986722, 1.04162, 1.20631");
-            values ( \
-              "-5.27547e-05, -0.00414146, -0.00539408, -0.00778208, -0.00951672, -0.0132814, -0.0181925, -0.0239317, -0.0243212, -0.0258796, -0.0297808, -0.0313679, -0.0318864, -0.0278137, -0.0246234, -0.0217628, -0.0215397, -0.0219422, -0.0249657, -0.0300912, -0.033454, -0.0345364, -0.0349604, -0.0353944, -0.0360968, -0.0352707, -0.0297452, -0.0148828, -0.0121279, -0.00821707, -0.00493372, -0.00364004, -0.00188781, -0.000670246, -0.000234078, -3.99619e-05, -4.84365e-05, -2.36405e-06, -2.26496e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0070372");
-            index_3 ("0.446721, 0.4849, 0.520284, 0.539582, 0.565963, 0.617405, 0.640248, 0.661756, 0.665371, 0.672601, 0.685621, 0.69261, 0.704839, 0.710176, 0.725217, 0.73577, 0.744192, 0.755422, 0.767711, 0.803504, 0.824237, 0.84529, 0.864028, 0.883892");
-            values ( \
-              "-0.00276015, -0.00534498, -0.0100994, -0.0139308, -0.0223252, -0.041539, -0.0481986, -0.0521978, -0.0523488, -0.0499832, -0.0427063, -0.040585, -0.0536326, -0.0566901, -0.0594141, -0.0604495, -0.060768, -0.059911, -0.0552889, -0.0236263, -0.0110578, -0.00468316, -0.00205539, -0.000988407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0136038");
-            index_3 ("0.457841, 0.483485, 0.511631, 0.550607, 0.571218, 0.643242, 0.679526, 0.696706, 0.710864, 0.71984, 0.736063, 0.743114, 0.755739, 0.761553, 0.77172, 0.797592, 0.82335, 0.826902, 0.838269, 0.877043, 0.893519, 0.910606, 0.920841, 0.94131, 0.974223, 0.975317");
-            values ( \
-              "-0.00512516, -0.00764413, -0.0123393, -0.0219781, -0.0296071, -0.0610356, -0.074075, -0.0791009, -0.0811166, -0.0790143, -0.0718188, -0.072203, -0.0873847, -0.0902431, -0.0926477, -0.0960689, -0.0924327, -0.0905282, -0.0814204, -0.0377075, -0.0241448, -0.0145044, -0.0105082, -0.00528998, -0.00151918, -0.00148603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0262979");
-            index_3 ("0.482681, 0.538335, 0.561024, 0.583879, 0.629798, 0.67088, 0.732293, 0.751659, 0.76645, 0.779706, 0.793569, 0.804033, 0.815039, 0.826123, 0.83492, 0.841803, 0.855489, 0.888702, 0.921782, 0.926574, 0.943005, 0.970872, 0.995411, 1.01845, 1.04226, 1.05643, 1.08478, 1.13099, 1.18497, 1.21591, 1.23491");
-            values ( \
-              "-0.010829, -0.0232108, -0.0308229, -0.0400774, -0.0630511, -0.0817601, -0.106794, -0.113809, -0.118286, -0.120262, -0.118419, -0.115456, -0.115746, -0.129245, -0.134265, -0.136719, -0.13993, -0.144395, -0.136142, -0.132792, -0.115386, -0.0795362, -0.0522623, -0.0333928, -0.0201824, -0.0147512, -0.00755428, -0.00220493, -0.000461756, -0.000218241, -0.000898074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0508373");
-            index_3 ("0.482617, 0.589543, 0.681139, 0.734531, 0.817858, 0.844169, 0.86034, 0.88157, 0.897523, 0.913914, 0.919716, 0.933514, 0.943871, 0.979796, 1.01379, 1.02412, 1.04478, 1.06938, 1.14049, 1.16256, 1.18905, 1.2161, 1.25724, 1.2777, 1.3132, 1.3681, 1.37669");
-            values ( \
-              "-0.00481464, -0.0471707, -0.0930406, -0.117744, -0.153527, -0.163865, -0.169449, -0.174129, -0.174174, -0.173395, -0.175525, -0.189373, -0.194649, -0.204096, -0.208896, -0.208736, -0.204959, -0.188906, -0.102384, -0.0793817, -0.0569466, -0.039718, -0.0230172, -0.0169923, -0.00994396, -0.00415215, -0.00377577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.098275");
-            index_3 ("0.537136, 0.604889, 0.717996, 0.808066, 0.912171, 0.992461, 1.01014, 1.02593, 1.05914, 1.0638, 1.08138, 1.09263, 1.1239, 1.15725, 1.21215, 1.21793, 1.22199, 1.24783, 1.27132, 1.35842, 1.39208, 1.41085, 1.44839, 1.47436, 1.50463, 1.54499, 1.57885, 1.61304, 1.65863, 1.71353, 1.76843, 1.82332, 1.87822, 1.98802");
-            values ( \
-              "-0.0464382, -0.0580818, -0.115549, -0.158672, -0.206122, -0.239344, -0.244938, -0.248329, -0.252504, -0.253867, -0.268227, -0.273593, -0.282921, -0.290431, -0.292729, -0.291959, -0.290281, -0.270959, -0.247708, -0.152641, -0.122415, -0.107653, -0.0824104, -0.0681458, -0.0543453, -0.0398657, -0.0306215, -0.0234149, -0.016267, -0.0104251, -0.00666519, -0.00425103, -0.00271114, -0.00109998" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00283898, 0.00285205, 0.00286548, 0.00287651, 0.00288404, 0.00288863", \
-            "0.00338822, 0.00339657, 0.00340688, 0.00341688, 0.00342462, 0.0034297", \
-            "0.00374099, 0.00374287, 0.00374643, 0.00375143, 0.00375656, 0.00376058", \
-            "0.00395719, 0.00395475, 0.00394997, 0.00394864, 0.00394871, 0.0039497", \
-            "0.00406664, 0.0040613, 0.00405468, 0.00404829, 0.00404335, 0.00403997", \
-            "0.00412476, 0.0041192, 0.00411152, 0.00410275, 0.00409307, 0.00408516" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0039471, 0.0039481, 0.00396083, 0.00397055, 0.00397631, 0.00397936", \
-            "0.00432722, 0.0043199, 0.00431425, 0.00431085, 0.00430876, 0.00430752", \
-            "0.00444427, 0.00439912, 0.00436105, 0.00432752, 0.00430997, 0.00429618", \
-            "0.00459395, 0.00447509, 0.00437126, 0.00428951, 0.00423082, 0.00419423", \
-            "0.00509913, 0.00482847, 0.00458541, 0.00439465, 0.00426538, 0.00418762", \
-            "0.00662755, 0.00594995, 0.0051242, 0.00472599, 0.00445411, 0.004283" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A0";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A0";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0134755, 0.0135078, 0.0135371, 0.0135591, 0.0135723, 0.0135764", \
-            "0.0133737, 0.0134173, 0.0134658, 0.0135081, 0.0135371, 0.0135522", \
-            "0.0132669, 0.0133056, 0.0133638, 0.0134343, 0.0134866, 0.0135194", \
-            "0.0131986, 0.0132245, 0.0132833, 0.0133411, 0.0134038, 0.0134708", \
-            "0.0131682, 0.0131915, 0.0132066, 0.0132725, 0.0133368, 0.0134147", \
-            "0.0140244, 0.0139024, 0.0137442, 0.0136242, 0.0135052, 0.0134902" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00259061, 0.00260018, 0.00260777, 0.00261263, 0.00261057, 0.0026012", \
-            "0.00244001, 0.00246773, 0.00248312, 0.00249979, 0.00251129, 0.0024955", \
-            "0.00222881, 0.00226925, 0.00231356, 0.00235365, 0.00239789, 0.00241439", \
-            "0.00200622, 0.0020617, 0.00213109, 0.00220734, 0.00225736, 0.00230055", \
-            "0.00199793, 0.00201443, 0.00204669, 0.00210628, 0.00217012, 0.00223004", \
-            "0.00295474, 0.00282291, 0.00264585, 0.00247526, 0.0023794, 0.0023159" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A1";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A1";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.011463, 0.0115601, 0.011649, 0.011714, 0.0117558, 0.0117801", \
-            "0.0112824, 0.0113936, 0.0115184, 0.0116284, 0.0117064, 0.0117533", \
-            "0.0111093, 0.0112056, 0.0113325, 0.0114788, 0.0116055, 0.0116926", \
-            "0.0110125, 0.011068, 0.0111476, 0.0112835, 0.011445, 0.0115799", \
-            "0.0109872, 0.0110302, 0.0110766, 0.0111407, 0.0112625, 0.0114335", \
-            "0.0119364, 0.0117743, 0.0116295, 0.0115142, 0.0114312, 0.0114141" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00265068, 0.0026561, 0.00268312, 0.00269241, 0.00269648, 0.00269096", \
-            "0.00248996, 0.00252192, 0.00254927, 0.00257472, 0.00258091, 0.00258392", \
-            "0.00229347, 0.00233205, 0.00238311, 0.00244616, 0.00248945, 0.00250367", \
-            "0.00215134, 0.00219123, 0.00224172, 0.00229948, 0.00235939, 0.00239913", \
-            "0.00237866, 0.00235606, 0.00232213, 0.002312, 0.00233547, 0.00236556", \
-            "0.00368786, 0.00348835, 0.00321409, 0.0029438, 0.00271252, 0.00256756" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * !A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * !A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00807231, 0.00818192, 0.0082753, 0.00834818, 0.00839303, 0.00841826", \
-            "0.00784405, 0.00797384, 0.00811198, 0.00824463, 0.00833093, 0.00838351", \
-            "0.00775117, 0.00783399, 0.00793759, 0.00808722, 0.00822046, 0.00824285", \
-            "0.00800953, 0.00798333, 0.00802678, 0.00808691, 0.00817386, 0.00827519", \
-            "0.00886021, 0.00869875, 0.00852384, 0.00837792, 0.00806552, 0.00827777", \
-            "0.0114057, 0.0110268, 0.0105636, 0.0100055, 0.0094371, 0.00894153" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "-0.00134758, -0.00120341, -0.00107365, -0.000976762, -0.00091407, -0.000877436", \
-            "-0.00152952, -0.00134784, -0.0011524, -0.000998187, -0.000889168, -0.000833743", \
-            "-0.00173351, -0.00156972, -0.00134473, -0.00111935, -0.000941563, -0.000833587", \
-            "-0.0018922, -0.00177055, -0.00158047, -0.00133657, -0.00110881, -0.000939083", \
-            "-0.00151953, -0.00161495, -0.00161268, -0.00149588, -0.0012838, -0.00106686", \
-            "0.000384824, -0.000189006, -0.000722543, -0.00105681, -0.00115687, -0.00109183" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0102914, 0.0103616, 0.0104422, 0.0105027, 0.0105404, 0.0105588", \
-            "0.010075, 0.0101786, 0.0102973, 0.0104053, 0.0104814, 0.0105244", \
-            "0.00991514, 0.0100008, 0.0101155, 0.0102506, 0.0103726, 0.0103754", \
-            "0.0101068, 0.010112, 0.0101716, 0.0101041, 0.0103131, 0.0104035", \
-            "0.0108447, 0.0107311, 0.0105742, 0.0104373, 0.0103695, 0.0104085", \
-            "0.0131809, 0.012876, 0.0124307, 0.0119983, 0.0114466, 0.0110122" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "-0.00127496, -0.00113434, -0.00100659, -0.000909999, -0.000847857, -0.000811668", \
-            "-0.00144676, -0.00126828, -0.00107397, -0.000918954, -0.000811371, -0.000755718", \
-            "-0.00165476, -0.00148084, -0.00125708, -0.00103318, -0.000856354, -0.000746672", \
-            "-0.00183612, -0.00169385, -0.00150338, -0.00125073, -0.00101842, -0.000849155", \
-            "-0.00151919, -0.0015784, -0.00156732, -0.00142688, -0.00119417, -0.000980756", \
-            "0.000194556, -0.000286208, -0.000750921, -0.00104023, -0.00110729, -0.00101196" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * !A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * !A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.00807055, 0.00817294, 0.00827535, 0.00834814, 0.00839288, 0.00841838", \
-            "0.00784289, 0.00797431, 0.00808474, 0.00824215, 0.00833105, 0.00838265", \
-            "0.00775902, 0.00784206, 0.00792816, 0.00808922, 0.00822396, 0.00831647", \
-            "0.00803305, 0.00800034, 0.0080182, 0.00799042, 0.00817336, 0.00827061", \
-            "0.00894636, 0.00876876, 0.00856812, 0.00840921, 0.00833596, 0.00830789", \
-            "0.0116515, 0.0112305, 0.0107346, 0.0101247, 0.00957071, 0.0090362" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "-0.00143703, -0.00129146, -0.00115598, -0.00105712, -0.000995933, -0.000960422", \
-            "-0.00164892, -0.00146777, -0.00127412, -0.00111303, -0.00100677, -0.000949483", \
-            "-0.00186057, -0.00170587, -0.00149155, -0.00126425, -0.00108794, -0.000975758", \
-            "-0.00199732, -0.00188338, -0.00171977, -0.00149059, -0.00126933, -0.00110007", \
-            "-0.0015469, -0.00165939, -0.0016946, -0.00162216, -0.00145226, -0.00122978", \
-            "0.00048459, -0.000134116, -0.000708297, -0.00108995, -0.00124461, -0.00122802" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "0.0102914, 0.0103616, 0.0104422, 0.0105027, 0.0105404, 0.0105588", \
-            "0.010075, 0.0101786, 0.0102973, 0.0104053, 0.0104814, 0.0105244", \
-            "0.00991514, 0.0100008, 0.0101155, 0.0102506, 0.0103726, 0.0103754", \
-            "0.0101068, 0.010112, 0.0101716, 0.0101041, 0.0103131, 0.0104035", \
-            "0.0108447, 0.0107311, 0.0105742, 0.0104373, 0.0103695, 0.0104085", \
-            "0.0131809, 0.012876, 0.0124307, 0.0119983, 0.0114466, 0.0110122" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0070372, 0.0136038, 0.0262979, 0.0508373, 0.098275");
-          values ( \
-            "-0.00143703, -0.00129146, -0.00115598, -0.00105712, -0.000995933, -0.000960422", \
-            "-0.00164892, -0.00146777, -0.00127412, -0.00111303, -0.00100677, -0.000949483", \
-            "-0.00186057, -0.00170587, -0.00149155, -0.00126425, -0.00108794, -0.000975758", \
-            "-0.00199732, -0.00188338, -0.00171977, -0.00149059, -0.00126933, -0.00110007", \
-            "-0.0015469, -0.00165939, -0.0016946, -0.00162216, -0.00145226, -0.00122978", \
-            "0.00048459, -0.000134116, -0.000708297, -0.00108995, -0.00124461, -0.00122802" \
-          );
-        }
-      }
-    }
-    pin (A0) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00526811;
-      rise_capacitance : 0.00526811;
-      rise_capacitance_range (0.0044061, 0.00526811);
-      fall_capacitance : 0.00525052;
-      fall_capacitance_range (0.00391165, 0.00525052);
-      receiver_capacitance () {
-        when : "(A1 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00353012, 0.00442962, 0.00496607, 0.00524751, 0.00538079, 0.00544615" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00515273, 0.00513622, 0.00486527, 0.00464511, 0.00454372, 0.00449956" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00319623, 0.00369437, 0.0039543, 0.00410357, 0.00417131, 0.00420065" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00443116, 0.00484302, 0.00513836, 0.00534549, 0.00548394, 0.00554624" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A1 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00351535, 0.00443187, 0.00496769, 0.0052358, 0.00535385, 0.00540483" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00525023, 0.00534348, 0.00497576, 0.00470071, 0.00458181, 0.00453475" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00315742, 0.00365364, 0.00393321, 0.00410906, 0.00420073, 0.00424789" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00436484, 0.00486495, 0.00523214, 0.00539245, 0.00545584, 0.00548503" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A1 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00353449, 0.00445439, 0.00497802, 0.00524658, 0.00536472, 0.00541566" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00530387, 0.00534571, 0.00496838, 0.0047016, 0.00458165, 0.00453472" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316094, 0.00365891, 0.00393701, 0.00411133, 0.00420141, 0.00424801" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00438686, 0.00488738, 0.00523933, 0.00538967, 0.00545532, 0.00548538" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00483994, -0.00496399, -0.00506722, -0.00510615, -0.00514763, -0.00515093" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00515084, 0.00515558, 0.00518356, 0.0051896, 0.00516755, 0.00515093" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0052339, -0.00524532, -0.00523834, -0.00524574, -0.00524862, -0.00523863" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00524302, 0.0052559, 0.00526163, 0.00527471, 0.0052553, 0.00523863" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00523445, -0.00524431, -0.00522928, -0.00525468, -0.00524606, -0.00523433" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00525883, 0.00525579, 0.00525689, 0.00526941, 0.00525043, 0.00523433" \
-          );
-        }
-      }
-    }
-    pin (A1) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00543883;
-      rise_capacitance : 0.00541715;
-      rise_capacitance_range (0.0042271, 0.00541715);
-      fall_capacitance : 0.00543883;
-      fall_capacitance_range (0.00385613, 0.00543883);
-      receiver_capacitance () {
-        when : "(A0 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00334554, 0.0043487, 0.00499087, 0.00532338, 0.00547833, 0.00555246" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00517568, 0.00534616, 0.00506, 0.00479844, 0.00467803, 0.00462518" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00311851, 0.00369732, 0.00401879, 0.00419253, 0.00427611, 0.0043137" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00440458, 0.00485891, 0.00518473, 0.005428, 0.00558448, 0.00565303" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A0 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00330863, 0.00432118, 0.00496809, 0.00529475, 0.00544285, 0.00550702" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00515862, 0.00547628, 0.00514968, 0.00485276, 0.00471172, 0.00465452" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00301774, 0.00361025, 0.00397182, 0.00418029, 0.00429346, 0.00435132" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00431524, 0.00488429, 0.00528231, 0.00548823, 0.00556376, 0.00559623" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A0 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0031637, 0.00411956, 0.00470582, 0.00499511, 0.00512136, 0.00517724" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00466656, 0.00476557, 0.00440477, 0.00406012, 0.00392767, 0.00387097" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00252215, 0.00296365, 0.00323807, 0.0034029, 0.00349724, 0.00354666" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00368845, 0.0042933, 0.00471932, 0.00496778, 0.00505965, 0.00509232" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00479453, -0.00492632, -0.00501385, -0.00505269, -0.00509392, -0.00510319" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00509957, 0.00509578, 0.00508835, 0.00513202, 0.00511256, 0.00510319" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00518084, -0.0051769, -0.0051788, -0.00518968, -0.00519025, -0.00518018" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00518084, 0.0051769, 0.00520673, 0.00521218, 0.00519432, 0.00518762" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00560494, -0.00560064, -0.0056003, -0.00560837, -0.00561829, -0.00560959" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00560494, 0.0056009, 0.0056003, 0.0056367, 0.00561829, 0.00560959" \
-          );
-        }
-      }
-    }
-    pin (B0) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00527606;
-      rise_capacitance : 0.00527606;
-      rise_capacitance_range (0.00285163, 0.00527606);
-      fall_capacitance : 0.00522926;
-      fall_capacitance_range (0.00299269, 0.00522926);
-      receiver_capacitance () {
-        when : "(A0 * A1)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00236073, 0.00268833, 0.00285017, 0.00293577, 0.00297423, 0.00299274" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00323154, 0.00334097, 0.00338062, 0.00338932, 0.00339629, 0.00339974" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00274838, 0.00314286, 0.00331803, 0.0034044, 0.00344336, 0.00346246" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00329309, 0.00322658, 0.00318289, 0.00316152, 0.0031523, 0.00314818" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * A1 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * A1 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00241582, -0.00243634, -0.00243835, -0.00243595, -0.00242529, -0.00241658" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00247215, 0.00247205, 0.00248306, 0.00248392, 0.00246836, 0.00244962" \
-          );
-        }
-      }
-    }
-  }
-  cell (BUFX1) {
-    area : 9.5238;
-    cell_footprint : "BUF";
-    cell_leakage_power : 0.276139;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.276139;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.276139;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.276139;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.216171;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.0539148, 0.0649793, 0.0883696, 0.140666, 0.259328, 0.528191", \
-            "0.0586038, 0.0697323, 0.0932177, 0.145578, 0.264254, 0.533109", \
-            "0.0677151, 0.0790241, 0.102692, 0.155181, 0.273922, 0.542784", \
-            "0.0776432, 0.0893609, 0.113466, 0.166311, 0.285085, 0.553944", \
-            "0.0836461, 0.096283, 0.120752, 0.173583, 0.292883, 0.561604", \
-            "0.0752231, 0.0902078, 0.116481, 0.169646, 0.28812, 0.557162" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.0228531, 0.0372734, 0.0707165, 0.147866, 0.323363, 0.720443", \
-            "0.0228641, 0.0372811, 0.0707083, 0.147866, 0.323363, 0.720442", \
-            "0.0236002, 0.0377008, 0.0708418, 0.14788, 0.323363, 0.720442", \
-            "0.0255385, 0.0392056, 0.0719995, 0.148309, 0.323362, 0.72044", \
-            "0.0298526, 0.0424532, 0.0734774, 0.149077, 0.323996, 0.720619", \
-            "0.0384462, 0.0501239, 0.0783387, 0.150883, 0.32465, 0.721443" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.0566812, 0.066415, 0.0858499, 0.127553, 0.22116, 0.433086", \
-            "0.0627389, 0.0725625, 0.0920918, 0.133838, 0.227483, 0.439425", \
-            "0.0772117, 0.0870276, 0.106702, 0.148537, 0.242238, 0.454211", \
-            "0.102216, 0.112838, 0.13341, 0.1757, 0.269519, 0.481572", \
-            "0.140717, 0.152815, 0.174533, 0.217711, 0.312282, 0.524421", \
-            "0.203437, 0.218316, 0.243059, 0.287805, 0.382074, 0.5948" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.0184345, 0.0286949, 0.051794, 0.105412, 0.229064, 0.509924", \
-            "0.0184746, 0.0287257, 0.0517957, 0.105351, 0.229059, 0.510003", \
-            "0.018857, 0.0290185, 0.051922, 0.105394, 0.229288, 0.509923", \
-            "0.0215143, 0.031418, 0.053687, 0.106124, 0.229107, 0.510001", \
-            "0.0266506, 0.0359962, 0.0570532, 0.108653, 0.230381, 0.510013", \
-            "0.0345031, 0.0446135, 0.0642506, 0.112064, 0.231907, 0.511703" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0442023, 0.0516219, 0.0553691, 0.0588015, 0.0621182, 0.0658529, 0.0689711, 0.0725401, 0.0777994, 0.0845054, 0.0885778, 0.0950994, 0.104457, 0.115592, 0.123431");
-            values ( \
-              "0.0137394, 0.163084, 0.187181, 0.195621, 0.199168, 0.192058, 0.179696, 0.15352, 0.103546, 0.0616418, 0.0451408, 0.0271239, 0.0131374, 0.00565707, 0.00322931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823894");
-            index_3 ("0.0447352, 0.0513514, 0.0546929, 0.0580294, 0.0604284, 0.0657835, 0.0689711, 0.0710697, 0.0752667, 0.0790549, 0.0823483, 0.0882853, 0.0933826, 0.0977402, 0.105385, 0.110596, 0.114842, 0.120503, 0.125711, 0.132459, 0.140282, 0.149443, 0.156776, 0.171444, 0.179958");
-            values ( \
-              "0.0210361, 0.203245, 0.241261, 0.262172, 0.273593, 0.281932, 0.280463, 0.277358, 0.268989, 0.257106, 0.242898, 0.207147, 0.169211, 0.140519, 0.099788, 0.078204, 0.0639507, 0.0485537, 0.0375487, 0.0267564, 0.0178721, 0.0111994, 0.00767857, 0.0034705, 0.00251006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186467");
-            index_3 ("0.04539, 0.0502469, 0.0543387, 0.0599362, 0.0647605, 0.0698673, 0.0769245, 0.0843371, 0.0994034, 0.105789, 0.111116, 0.121768, 0.137676, 0.15094, 0.168532, 0.178693, 0.188723, 0.197484, 0.209165, 0.219035, 0.23227, 0.249918, 0.284418, 0.324544");
-            values ( \
-              "0.0623773, 0.214353, 0.273953, 0.320866, 0.341198, 0.348861, 0.348711, 0.340082, 0.312698, 0.297485, 0.282726, 0.245266, 0.180567, 0.134885, 0.087971, 0.0676145, 0.0516157, 0.0405575, 0.0291116, 0.0219276, 0.0149208, 0.00877709, 0.00284483, 0.000708672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0422022");
-            index_3 ("0.0456355, 0.0530409, 0.0574743, 0.0602198, 0.0657108, 0.0703735, 0.0775856, 0.0896458, 0.109401, 0.152186, 0.174588, 0.197034, 0.243799, 0.2561, 0.280702, 0.301642, 0.316167, 0.340544, 0.351371, 0.368701, 0.393341, 0.425348, 0.468025, 0.53794, 0.616498");
-            values ( \
-              "0.134491, 0.276048, 0.330472, 0.351203, 0.37937, 0.389798, 0.396769, 0.392854, 0.376463, 0.333205, 0.305023, 0.266981, 0.176018, 0.154595, 0.116464, 0.0900956, 0.0747356, 0.0539033, 0.0464206, 0.0364285, 0.0255404, 0.0160097, 0.00837908, 0.00262631, 0.000698691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0955139");
-            index_3 ("0.0506802, 0.0717575, 0.0789254, 0.0856324, 0.104795, 0.198873, 0.268626, 0.300982, 0.323186, 0.367594, 0.469297, 0.541731, 0.602801, 0.645137, 0.677237, 0.714608, 0.764436, 0.811933, 0.883953, 0.962511, 1.04107, 1.10185");
-            values ( \
-              "0.40227, 0.4138, 0.42198, 0.423857, 0.419183, 0.37779, 0.34463, 0.32673, 0.312505, 0.278126, 0.186116, 0.128618, 0.0906998, 0.070056, 0.0572358, 0.0449821, 0.0322862, 0.0234503, 0.0143082, 0.0082299, 0.00468402, 0.00370186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.216171");
-            index_3 ("0.0560516, 0.0560716, 0.170969, 0.366562, 0.510508, 0.5954, 0.656811, 0.716594, 0.788982, 0.991014, 1.14245, 1.26458, 1.33137, 1.40993, 1.50633, 1.58359, 1.66215, 1.7414, 1.83462, 1.99174, 2.14886, 2.46309, 2.69877");
-            values ( \
-              "1e-22, 0.474825, 0.424951, 0.385626, 0.355134, 0.334655, 0.316966, 0.297326, 0.269531, 0.186528, 0.131446, 0.095867, 0.0801068, 0.0639643, 0.0483274, 0.0386163, 0.0302483, 0.0237263, 0.0177723, 0.0108363, 0.00656216, 0.0023536, 0.00126723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0644345, 0.066418, 0.0679661, 0.0739246, 0.077359, 0.0806887, 0.0844064, 0.0879659, 0.100336, 0.106455, 0.113816, 0.122559, 0.135463, 0.141929");
-            values ( \
-              "0.0140782, 0.118174, 0.139281, 0.18602, 0.195742, 0.198459, 0.191972, 0.177166, 0.0762453, 0.0476049, 0.0268261, 0.0135958, 0.00512584, 0.00339921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823894");
-            index_3 ("0.0640935, 0.0676152, 0.0700136, 0.0733462, 0.0762315, 0.0790995, 0.0844582, 0.0897655, 0.0955258, 0.0997722, 0.103584, 0.107667, 0.11457, 0.120691, 0.124495, 0.129435, 0.133215, 0.137327, 0.142831, 0.144547, 0.147978, 0.154841, 0.16024, 0.166311, 0.174405, 0.190593, 0.212186, 0.238955");
-            values ( \
-              "0.00145068, 0.167223, 0.20402, 0.239752, 0.25955, 0.272722, 0.281294, 0.278016, 0.264562, 0.248938, 0.229108, 0.201478, 0.151443, 0.116353, 0.0978028, 0.0776967, 0.0649596, 0.0531982, 0.0405538, 0.037229, 0.0313804, 0.0220968, 0.0168023, 0.0123226, 0.00807099, 0.00336366, 0.000945653, 0.000206026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186467");
-            index_3 ("0.0646353, 0.0691534, 0.0731988, 0.0787702, 0.0825736, 0.088693, 0.0955603, 0.10315, 0.108218, 0.118217, 0.124609, 0.12993, 0.140571, 0.156556, 0.169524, 0.18026, 0.187505, 0.19844, 0.21047, 0.2245, 0.236846, 0.252978, 0.274488, 0.309271, 0.350569");
-            values ( \
-              "0.0796941, 0.217702, 0.276243, 0.321819, 0.338279, 0.34939, 0.348739, 0.339942, 0.331787, 0.312529, 0.297481, 0.282721, 0.245316, 0.180309, 0.135603, 0.104884, 0.0876236, 0.0659665, 0.0476499, 0.0321918, 0.0225737, 0.0141052, 0.00732184, 0.00234157, 0.000550253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0422022");
-            index_3 ("0.0683127, 0.0791541, 0.0846943, 0.0892873, 0.0965667, 0.102584, 0.108552, 0.128306, 0.150575, 0.171091, 0.193494, 0.215934, 0.262702, 0.274999, 0.299592, 0.320564, 0.33511, 0.35945, 0.370259, 0.387595, 0.41224, 0.444268, 0.486971, 0.556338, 0.634896");
-            values ( \
-              "0.348257, 0.352825, 0.379944, 0.390468, 0.396673, 0.395673, 0.392637, 0.37622, 0.354759, 0.333217, 0.305011, 0.266981, 0.176027, 0.1546, 0.11649, 0.0900807, 0.0746948, 0.0538995, 0.0464353, 0.036431, 0.0255456, 0.0160093, 0.00837063, 0.00265725, 0.000702977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0955139");
-            index_3 ("0.0680435, 0.080218, 0.0905588, 0.0978144, 0.104466, 0.123473, 0.19565, 0.287413, 0.319767, 0.341973, 0.386385, 0.488086, 0.560533, 0.621573, 0.663888, 0.696023, 0.733435, 0.783318, 0.830729, 0.902626, 0.981184, 1.05974, 1.12962");
-            values ( \
-              "0.361268, 0.37602, 0.414358, 0.422203, 0.424015, 0.419285, 0.387736, 0.344582, 0.326733, 0.312503, 0.278124, 0.186114, 0.128607, 0.0907082, 0.0700712, 0.0572355, 0.0449696, 0.0322662, 0.0234495, 0.0143204, 0.00823559, 0.00468869, 0.00355815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.216171");
-            index_3 ("0.0767722, 0.0767922, 0.190816, 0.450655, 0.529213, 0.614106, 0.675516, 0.735299, 0.807687, 1.00972, 1.0826, 1.16116, 1.28329, 1.35008, 1.42864, 1.52504, 1.60229, 1.68085, 1.79117, 1.93187, 2.08899, 2.24611, 2.40323, 2.71746");
-            values ( \
-              "1e-22, 0.49064, 0.424531, 0.372057, 0.354955, 0.33448, 0.317142, 0.297152, 0.269705, 0.186695, 0.158761, 0.131613, 0.0960221, 0.0799541, 0.0641159, 0.0484755, 0.0384686, 0.0303948, 0.0216427, 0.0139734, 0.00852156, 0.00517522, 0.0031325, 0.00113523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.102012, 0.104277, 0.109068, 0.114289, 0.117035, 0.120538, 0.124002, 0.127589, 0.13122, 0.136937, 0.143275, 0.15003, 0.154939, 0.158716, 0.16608, 0.171308, 0.18799, 0.222027");
-            values ( \
-              "0.0352925, 0.0805633, 0.137381, 0.173483, 0.184413, 0.190506, 0.188468, 0.177247, 0.151907, 0.0992243, 0.0606013, 0.0360404, 0.024514, 0.0182654, 0.0102006, 0.00676534, 0.00167896, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823894");
-            index_3 ("0.102092, 0.105942, 0.108903, 0.111134, 0.112613, 0.117112, 0.118845, 0.121769, 0.124393, 0.129807, 0.135223, 0.138396, 0.141173, 0.146726, 0.154568, 0.164129, 0.169406, 0.173763, 0.179573, 0.186735, 0.191062, 0.199044, 0.208486, 0.216098, 0.231321, 0.240129");
-            values ( \
-              "0.0255025, 0.128704, 0.174746, 0.20023, 0.21583, 0.249146, 0.258513, 0.269107, 0.274017, 0.273998, 0.263922, 0.253851, 0.242234, 0.209439, 0.152893, 0.100155, 0.0783867, 0.063762, 0.0479743, 0.033681, 0.0270612, 0.0179789, 0.0111084, 0.00746736, 0.00329643, 0.00233918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186467");
-            index_3 ("0.102098, 0.108139, 0.1145, 0.118301, 0.123097, 0.128861, 0.133772, 0.138579, 0.148498, 0.158947, 0.164283, 0.170223, 0.183161, 0.198703, 0.21211, 0.225507, 0.231589, 0.244947, 0.256471, 0.272007, 0.282293, 0.29649, 0.310086, 0.33513, 0.364667");
-            values ( \
-              "0.0386786, 0.183707, 0.270908, 0.304727, 0.330898, 0.344755, 0.346377, 0.34485, 0.331906, 0.31175, 0.298563, 0.283104, 0.236154, 0.173269, 0.128243, 0.0925014, 0.0792259, 0.0555354, 0.0404206, 0.0259149, 0.0191877, 0.0125637, 0.00890018, 0.00363289, 0.00240645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0422022");
-            index_3 ("0.102112, 0.112517, 0.119086, 0.122169, 0.126112, 0.129495, 0.136261, 0.142637, 0.148857, 0.158526, 0.188611, 0.211425, 0.233826, 0.256299, 0.303051, 0.315379, 0.340035, 0.36078, 0.375169, 0.39978, 0.428013, 0.45262, 0.484507, 0.527023, 0.595889, 0.674448");
-            values ( \
-              "0.0784098, 0.266281, 0.335539, 0.357025, 0.375416, 0.384936, 0.393878, 0.394615, 0.392002, 0.385005, 0.357044, 0.333222, 0.30501, 0.266922, 0.176, 0.154521, 0.116338, 0.0902143, 0.0749636, 0.0539002, 0.0363864, 0.0255288, 0.0160321, 0.00840764, 0.00269734, 0.000712726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0955139");
-            index_3 ("0.108178, 0.131163, 0.142616, 0.151657, 0.177987, 0.281926, 0.36047, 0.382678, 0.427095, 0.528794, 0.601254, 0.662261, 0.704553, 0.736726, 0.774182, 0.824124, 0.871442, 0.943203, 1.02176, 1.10032, 1.15645");
-            values ( \
-              "0.344711, 0.409736, 0.422445, 0.422838, 0.41342, 0.367034, 0.326732, 0.312505, 0.278118, 0.186114, 0.128597, 0.0907186, 0.0700875, 0.0572352, 0.0449562, 0.0322452, 0.0234492, 0.0143343, 0.008242, 0.00469399, 0.00378461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.216171");
-            index_3 ("0.117279, 0.117299, 0.238785, 0.491171, 0.569729, 0.654622, 0.716032, 0.775815, 0.848203, 1.05024, 1.12312, 1.20168, 1.3238, 1.39059, 1.46915, 1.56555, 1.64281, 1.72137, 1.83169, 1.97239, 2.12951, 2.28663, 2.44374, 2.75798");
-            values ( \
-              "1e-22, 0.487929, 0.423033, 0.372056, 0.354956, 0.33448, 0.317142, 0.297151, 0.269706, 0.186702, 0.158754, 0.13162, 0.0960364, 0.0799394, 0.064131, 0.0484918, 0.0384523, 0.0304116, 0.0216241, 0.0139543, 0.00850241, 0.00515591, 0.00311299, 0.0011153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.177462, 0.182908, 0.185724, 0.187603, 0.188542, 0.189357, 0.190172, 0.190986, 0.192616, 0.193431, 0.194499, 0.195527, 0.196555, 0.197486, 0.198417, 0.199365, 0.200313, 0.201261, 0.202208, 0.204104, 0.205999, 0.206777, 0.207399, 0.208021, 0.20885, 0.209509, 0.210242, 0.212928, 0.215125, 0.21694, 0.217545, 0.219359, 0.220389, 0.221418, 0.222448, 0.223477, 0.226729, 0.227882, 0.229034, 0.231035, 0.233036, 0.234786, 0.236196, 0.237606, 0.238386, 0.239947, 0.241825, 0.244021, 0.245561, 0.246889");
-            values ( \
-              "0.087435, 0.0881637, 0.109923, 0.123665, 0.130303, 0.135679, 0.140848, 0.145388, 0.153757, 0.157584, 0.162241, 0.165785, 0.169022, 0.171689, 0.174105, 0.17373, 0.173218, 0.172567, 0.171777, 0.169784, 0.167238, 0.164127, 0.16107, 0.157653, 0.152534, 0.147968, 0.142398, 0.119381, 0.101522, 0.0880862, 0.0843287, 0.0738628, 0.0684977, 0.0634989, 0.0588665, 0.0546006, 0.0425238, 0.039103, 0.0359094, 0.0309138, 0.0265839, 0.02326, 0.0207542, 0.0185394, 0.0175148, 0.0156054, 0.0135545, 0.0114989, 0.0102823, 0.00939737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823894");
-            index_3 ("0.180969, 0.190281, 0.191412, 0.192543, 0.193673, 0.195678, 0.196347, 0.197683, 0.19902, 0.200357, 0.201693, 0.202431, 0.203539, 0.204646, 0.205384, 0.206122, 0.20686, 0.207852, 0.208844, 0.210828, 0.211605, 0.213157, 0.213934, 0.216829, 0.219724, 0.220458, 0.221927, 0.223396, 0.225909, 0.228422, 0.233447, 0.235229, 0.237631, 0.239003, 0.240375, 0.244492, 0.249967, 0.251363, 0.253059, 0.254289, 0.257593, 0.26015, 0.262707, 0.264749, 0.26679, 0.270611, 0.273681, 0.277274, 0.279071, 0.281948");
-            values ( \
-              "0.173924, 0.189277, 0.199183, 0.208436, 0.217037, 0.228849, 0.232374, 0.238581, 0.244114, 0.248972, 0.253156, 0.255178, 0.257183, 0.258697, 0.259434, 0.259952, 0.260251, 0.259917, 0.259415, 0.257904, 0.256795, 0.25417, 0.252654, 0.245284, 0.237047, 0.234458, 0.227188, 0.218996, 0.202987, 0.186502, 0.152104, 0.141752, 0.127005, 0.119421, 0.112311, 0.0944037, 0.071634, 0.0669194, 0.0616265, 0.058085, 0.0499446, 0.0444025, 0.0391842, 0.0352712, 0.0316908, 0.0264059, 0.0227351, 0.0190207, 0.0173827, 0.0150395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186467");
-            index_3 ("0.171207, 0.200769, 0.207086, 0.214948, 0.221731, 0.227358, 0.237572, 0.248948, 0.281674, 0.296769, 0.315912, 0.330138, 0.350527, 0.379304, 0.403154, 0.412434");
-            values ( \
-              "0.0151434, 0.304259, 0.3268, 0.335245, 0.332006, 0.325543, 0.308453, 0.282233, 0.160611, 0.11333, 0.0699692, 0.0476768, 0.026794, 0.0115845, 0.00555482, 0.00495251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0422022");
-            index_3 ("0.171206, 0.203478, 0.207901, 0.215987, 0.22208, 0.227869, 0.237707, 0.266745, 0.290837, 0.310708, 0.322732, 0.338763, 0.374381, 0.401015, 0.423497, 0.442608, 0.456516, 0.479227, 0.506591, 0.531564, 0.557653, 0.577654, 0.617655, 0.671622");
-            values ( \
-              "0.0231877, 0.349439, 0.367019, 0.383112, 0.386237, 0.385552, 0.380322, 0.357169, 0.333152, 0.308586, 0.290092, 0.261059, 0.190959, 0.144209, 0.110905, 0.087514, 0.0730906, 0.0538859, 0.036822, 0.0257154, 0.0176205, 0.0131231, 0.00713985, 0.00341744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0955139");
-            index_3 ("0.184479, 0.201042, 0.209515, 0.216688, 0.223847, 0.230542, 0.241809, 0.25291, 0.263049, 0.272278, 0.315628, 0.361209, 0.409589, 0.458662, 0.48228, 0.509272, 0.589219, 0.636491, 0.6899, 0.731574, 0.76034, 0.816518, 0.84169, 0.892033, 0.933893, 0.989834, 1.06442, 1.14298, 1.22154, 1.37866");
-            values ( \
-              "0.304549, 0.351631, 0.392543, 0.407976, 0.415268, 0.416266, 0.4154, 0.410799, 0.409022, 0.406208, 0.387671, 0.367026, 0.343481, 0.314842, 0.297672, 0.275551, 0.202683, 0.162238, 0.122047, 0.096193, 0.0810095, 0.0570199, 0.0484558, 0.0347858, 0.0262199, 0.0179184, 0.0106511, 0.00606528, 0.00348987, 0.0011424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.216171");
-            index_3 ("0.191292, 0.191312, 0.570529, 0.733969, 0.795379, 0.855162, 0.92755, 1.12958, 1.28102, 1.40315, 1.46994, 1.58063, 1.6449, 1.72215, 1.80071, 1.91104, 2.05174, 2.20886, 2.36597, 2.60165, 2.83732");
-            values ( \
-              "1e-22, 0.449416, 0.372002, 0.334531, 0.317091, 0.297202, 0.269655, 0.186651, 0.131569, 0.095986, 0.0799899, 0.0584406, 0.0484419, 0.0385024, 0.0303619, 0.0216733, 0.0140032, 0.00855098, 0.00520419, 0.00245935, 0.00116283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.314503, 0.321191, 0.325073, 0.329945, 0.349382, 0.354267, 0.35876, 0.363154, 0.367539, 0.373205, 0.378575, 0.38561, 0.391896, 0.395183, 0.403756, 0.413968, 0.422917, 0.425594");
-            values ( \
-              "0.00649807, 0.0155402, 0.0250556, 0.042949, 0.126825, 0.1417, 0.149665, 0.149259, 0.135316, 0.0949914, 0.0653315, 0.0397184, 0.0250869, 0.0197192, 0.0104853, 0.00507528, 0.00269848, 0.00238209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823894");
-            index_3 ("0.314425, 0.325137, 0.327509, 0.33507, 0.351462, 0.358775, 0.365292, 0.371565, 0.377945, 0.381221, 0.395595, 0.401861, 0.407082, 0.416756, 0.424668, 0.429418, 0.437099, 0.442398, 0.452802, 0.465017");
-            values ( \
-              "0.00934668, 0.0333707, 0.0422739, 0.0839834, 0.185792, 0.220117, 0.23514, 0.238021, 0.226764, 0.21415, 0.128285, 0.0976132, 0.0770601, 0.0487248, 0.0331428, 0.026128, 0.0177367, 0.0135641, 0.00799221, 0.00436625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186467");
-            index_3 ("0.314303, 0.332214, 0.356094, 0.364033, 0.375008, 0.385562, 0.396118, 0.403243, 0.457856, 0.477285, 0.493533, 0.519081, 0.542429");
-            values ( \
-              "0.0104136, 0.0747538, 0.253797, 0.293807, 0.318362, 0.317955, 0.304844, 0.290551, 0.106021, 0.0648329, 0.0417467, 0.0202068, 0.0111725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0422022");
-            index_3 ("0.329044, 0.343823, 0.356542, 0.364171, 0.370838, 0.378533, 0.385213, 0.395366, 0.405474, 0.420915, 0.437124, 0.448633, 0.471179, 0.481046, 0.489805, 0.534295, 0.561006, 0.57586, 0.587876, 0.611909, 0.63042, 0.637527, 0.651742, 0.680172, 0.700181, 0.711201, 0.733242, 0.777323, 0.849081, 0.927639");
-            values ( \
-              "0.123172, 0.179288, 0.287365, 0.332415, 0.356911, 0.371789, 0.376771, 0.376389, 0.370888, 0.358466, 0.343094, 0.331185, 0.303515, 0.288311, 0.273166, 0.187283, 0.140972, 0.119184, 0.103198, 0.0759219, 0.0593867, 0.0538904, 0.044321, 0.0295206, 0.0221342, 0.0188702, 0.0136283, 0.0069463, 0.00209691, 0.000551478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0955139");
-            index_3 ("0.329089, 0.348802, 0.355224, 0.365985, 0.374466, 0.384204, 0.388021, 0.395654, 0.407676, 0.424213, 0.441644, 0.534719, 0.578029, 0.598237, 0.625555, 0.65637, 0.776166, 0.8056, 0.85532, 0.897956, 0.927255, 0.974991, 0.994869, 1.03312, 1.08674, 1.14325, 1.18664, 1.2652, 1.34376, 1.42232, 1.57944");
-            values ( \
-              "0.120121, 0.228924, 0.287539, 0.358352, 0.389233, 0.406348, 0.409255, 0.412131, 0.411423, 0.40634, 0.399281, 0.35837, 0.338055, 0.326639, 0.308848, 0.28505, 0.177732, 0.153573, 0.117384, 0.0917692, 0.0768593, 0.0569785, 0.0501643, 0.0390485, 0.0272466, 0.0185835, 0.0137763, 0.00790852, 0.00451211, 0.00257552, 0.000835035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.216171");
-            index_3 ("0.343836, 0.37311, 0.383215, 0.391947, 0.404416, 0.417885, 0.437193, 0.549945, 0.586434, 0.807191, 0.892082, 0.953492, 1.01328, 1.08566, 1.28769, 1.43913, 1.56126, 1.62805, 1.73874, 1.80301, 1.88027, 1.95882, 2.06915, 2.20985, 2.36697, 2.52408, 2.75976, 2.99543");
-            values ( \
-              "0.397281, 0.397621, 0.419676, 0.427787, 0.431569, 0.431111, 0.428266, 0.406692, 0.401017, 0.35499, 0.334519, 0.317102, 0.297193, 0.269664, 0.186656, 0.131574, 0.0959879, 0.079988, 0.0584392, 0.0484436, 0.0385012, 0.0303633, 0.0216728, 0.0140029, 0.0085507, 0.005204, 0.00245945, 0.00116286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.611731, 0.632135, 0.633938, 0.635576, 0.637448, 0.641193, 0.644062, 0.6498, 0.652369, 0.662807, 0.67066, 0.677337, 0.683337, 0.688981, 0.69463, 0.700278, 0.703275, 0.705577, 0.71018, 0.71202, 0.71404, 0.716733, 0.721295, 0.722779, 0.724432, 0.726636, 0.730298, 0.735535, 0.737841, 0.742451, 0.751673, 0.765423");
-            values ( \
-              "0.00165846, 0.0103324, 0.0122229, 0.014291, 0.0168197, 0.0229295, 0.0288304, 0.0434159, 0.0505881, 0.0750257, 0.0917469, 0.104788, 0.11365, 0.118504, 0.113663, 0.088475, 0.0736722, 0.0637575, 0.0475099, 0.0424461, 0.0372407, 0.031195, 0.022908, 0.0207443, 0.0185471, 0.0159834, 0.0124346, 0.00873223, 0.0074853, 0.00555708, 0.00306526, 0.00140649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823894");
-            index_3 ("0.612736, 0.632184, 0.636101, 0.638757, 0.644069, 0.648225, 0.649637, 0.672736, 0.682492, 0.69078, 0.698328, 0.705679, 0.713012, 0.72235, 0.725854, 0.729859, 0.736207, 0.739764, 0.742108, 0.74604, 0.751168, 0.755356, 0.760661, 0.768189, 0.771707, 0.776645, 0.78323, 0.796399, 0.804883");
-            values ( \
-              "0.00288861, 0.016092, 0.0221454, 0.0273949, 0.0396369, 0.0520325, 0.0565328, 0.136091, 0.168079, 0.190185, 0.20221, 0.202323, 0.183236, 0.133761, 0.116749, 0.0990702, 0.0753855, 0.0644534, 0.0579492, 0.0483006, 0.0378879, 0.0310055, 0.0239466, 0.0165172, 0.0139114, 0.0109299, 0.0079123, 0.0041051, 0.00302848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186467");
-            index_3 ("0.632141, 0.645467, 0.658532, 0.688231, 0.700145, 0.708039, 0.712984, 0.722874, 0.724486, 0.72771, 0.736412, 0.740919, 0.749683, 0.763385, 0.781031, 0.79815, 0.808083, 0.822042, 0.830296, 0.843955, 0.859177, 0.871198, 0.895241, 0.90926");
-            values ( \
-              "0.047277, 0.0534691, 0.10285, 0.235926, 0.275476, 0.288812, 0.292805, 0.291142, 0.289953, 0.286362, 0.27132, 0.259998, 0.230878, 0.178572, 0.121598, 0.0800377, 0.0618653, 0.0424863, 0.0338479, 0.0229328, 0.0148384, 0.0104799, 0.00502073, 0.00369598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0422022");
-            index_3 ("0.632165, 0.654755, 0.663636, 0.6886, 0.698371, 0.709934, 0.712696, 0.718221, 0.72927, 0.733984, 0.743413, 0.755312, 0.776823, 0.789538, 0.801765, 0.826221, 0.86356, 0.889837, 0.911387, 0.928974, 0.942578, 0.96683, 0.99474, 1.01943, 1.0444, 1.0634, 1.10141, 1.17317, 1.21597");
-            values ( \
-              "0.0396296, 0.0949171, 0.136148, 0.2693, 0.312324, 0.344776, 0.349324, 0.356069, 0.361034, 0.360721, 0.357138, 0.349524, 0.330384, 0.316381, 0.300434, 0.259556, 0.187, 0.141699, 0.110225, 0.0887727, 0.0745224, 0.0539016, 0.0365937, 0.0256895, 0.0179065, 0.0135348, 0.00761814, 0.00230493, 0.00119658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0955139");
-            index_3 ("0.632068, 0.66685, 0.689775, 0.701571, 0.7148, 0.72923, 0.743973, 0.757986, 0.776701, 0.801695, 0.838234, 0.896126, 0.945349, 0.96907, 0.996179, 1.07614, 1.12353, 1.17697, 1.21588, 1.24096, 1.28209, 1.30406, 1.34626, 1.40707, 1.43911, 1.48907, 1.55568, 1.63424, 1.7128, 1.86992");
-            values ( \
-              "0.0388439, 0.158985, 0.291673, 0.346682, 0.383186, 0.399764, 0.403088, 0.400846, 0.39482, 0.385017, 0.369414, 0.34226, 0.313992, 0.29697, 0.274932, 0.202466, 0.162063, 0.121974, 0.0977444, 0.0843272, 0.0655295, 0.0570554, 0.0433754, 0.0288783, 0.0232686, 0.016551, 0.0103811, 0.00594805, 0.003385, 0.00109284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.216171");
-            index_3 ("0.666212, 0.708378, 0.718538, 0.733519, 0.748519, 0.764775, 0.85768, 1.05674, 1.16502, 1.25937, 1.32768, 1.38805, 1.6475, 1.79582, 1.89897, 1.96305, 2.06736, 2.19742, 2.25675, 2.36339, 2.49812, 2.65524, 2.81236, 3.04803, 3.36227");
-            values ( \
-              "0.350858, 0.382306, 0.40513, 0.421423, 0.425993, 0.426109, 0.410589, 0.371152, 0.347542, 0.323836, 0.302141, 0.279986, 0.174391, 0.122586, 0.0936558, 0.0785572, 0.0584175, 0.0398317, 0.033339, 0.0240869, 0.0158787, 0.00970822, 0.0059133, 0.00279367, 0.00102503" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.00327225, 0.00327363, 0.0032753, 0.0032766, 0.00327736, 0.00327775", \
-            "0.00424046, 0.00424071, 0.0042414, 0.00424256, 0.00424328, 0.00424371", \
-            "0.00491407, 0.00491431, 0.00491459, 0.00491516, 0.00491567, 0.00491605", \
-            "0.00538739, 0.00538749, 0.00538776, 0.00538818, 0.00538861, 0.00538899", \
-            "0.00569693, 0.00569672, 0.00569655, 0.00569658, 0.00569681, 0.00569664", \
-            "0.00596846, 0.00596819, 0.00596772, 0.00596721, 0.00596697, 0.00596705" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.00516206, 0.00516299, 0.00516505, 0.00516746, 0.00516919, 0.00517015", \
-            "0.00573214, 0.00572268, 0.00572286, 0.00572162, 0.00572309, 0.00572826", \
-            "0.00592894, 0.00592402, 0.00591953, 0.00591673, 0.00591535, 0.00591484", \
-            "0.00652542, 0.00658441, 0.00662115, 0.00663922, 0.00664776, 0.00665313", \
-            "0.00617557, 0.00617251, 0.00623973, 0.00636037, 0.00641979, 0.00644886", \
-            "0.00577657, 0.00577774, 0.00577817, 0.00579594, 0.00591488, 0.00599679" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.049333, 0.0542937, 0.0567876, 0.063062, 0.0652689, 0.0681884, 0.0703585, 0.0724861, 0.0740366, 0.0767176, 0.0833532, 0.0865139, 0.0889837, 0.0927856, 0.0977647, 0.102137, 0.104151");
-            values ( \
-              "-0.0608, -0.149522, -0.173306, -0.213027, -0.221253, -0.227624, -0.227785, -0.222069, -0.212977, -0.186349, -0.0847583, -0.0520292, -0.0348542, -0.0184422, -0.00814407, -0.00374354, -0.00330683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823894");
-            index_3 ("0.0473783, 0.0583887, 0.0639081, 0.0688269, 0.073463, 0.0779927, 0.0825213, 0.0884828, 0.0926124, 0.102096, 0.107055, 0.113003, 0.118281, 0.121837, 0.128947, 0.129884");
-            values ( \
-              "-0.0211063, -0.248483, -0.291187, -0.31407, -0.326153, -0.329078, -0.324235, -0.293015, -0.247444, -0.120366, -0.0761867, -0.0425383, -0.0249556, -0.0172875, -0.00806587, -0.00756333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186467");
-            index_3 ("0.0494271, 0.0542299, 0.0582271, 0.0635745, 0.0681007, 0.0727126, 0.0810962, 0.089227, 0.0974227, 0.104669, 0.110944, 0.114467, 0.121512, 0.136608, 0.141996, 0.151292, 0.162684, 0.173862, 0.181658, 0.19725, 0.204936");
-            values ( \
-              "-0.0611865, -0.21873, -0.285063, -0.339772, -0.369401, -0.3905, -0.410118, -0.414937, -0.410312, -0.398193, -0.379973, -0.365833, -0.321714, -0.186192, -0.146496, -0.0939489, -0.0526291, -0.0292432, -0.0191676, -0.00785818, -0.00573889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0422022");
-            index_3 ("0.0496953, 0.0571198, 0.0639254, 0.0732971, 0.0793094, 0.0849156, 0.0982293, 0.10621, 0.119089, 0.139048, 0.153277, 0.162201, 0.174516, 0.188469, 0.220731, 0.232209, 0.253006, 0.265738, 0.27457, 0.287177, 0.298356, 0.313261, 0.331553, 0.363983, 0.409901");
-            values ( \
-              "-0.113929, -0.295513, -0.374401, -0.432171, -0.45067, -0.462445, -0.471832, -0.470953, -0.466314, -0.451145, -0.435859, -0.422692, -0.397874, -0.352071, -0.201085, -0.15767, -0.0980562, -0.0723891, -0.0582348, -0.0425893, -0.0321639, -0.0218652, -0.0136531, -0.00554728, -0.00145236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0955139");
-            index_3 ("0.0573368, 0.0873579, 0.0926012, 0.102011, 0.113473, 0.126815, 0.161303, 0.200091, 0.232756, 0.269242, 0.285574, 0.302995, 0.324631, 0.355744, 0.391344, 0.417159, 0.43611, 0.461379, 0.480432, 0.515725, 0.550366, 0.562281, 0.589478, 0.620561, 0.682725, 0.746769, 0.810813");
-            values ( \
-              "-0.482293, -0.491052, -0.497123, -0.503048, -0.504511, -0.503141, -0.493995, -0.480825, -0.467534, -0.448073, -0.43642, -0.420883, -0.393534, -0.33178, -0.249476, -0.196569, -0.163237, -0.125839, -0.102747, -0.0697694, -0.0470337, -0.041048, -0.0300186, -0.0208484, -0.00973419, -0.0043937, -0.00198334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.216171");
-            index_3 ("0.0490741, 0.0569411, 0.0721762, 0.080738, 0.0875174, 0.0958456, 0.106542, 0.118386, 0.151836, 0.285238, 0.363723, 0.427767, 0.477712, 0.528099, 0.592143, 0.616894, 0.662592, 0.718361, 0.772127, 0.836171, 0.902788, 0.930537, 1.00398, 1.06095, 1.10254, 1.16549, 1.23464, 1.29868, 1.36272, 1.49081, 1.6189, 1.74698");
-            values ( \
-              "-0.132471, -0.314845, -0.457721, -0.490007, -0.504211, -0.514184, -0.519806, -0.521889, -0.5199, -0.502084, -0.489855, -0.478168, -0.467536, -0.45461, -0.431886, -0.420157, -0.389937, -0.337028, -0.27931, -0.216273, -0.161696, -0.142221, -0.100311, -0.0758278, -0.061764, -0.0445769, -0.0311652, -0.0224747, -0.0158339, -0.00796096, -0.00395947, -0.00193608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.066375, 0.0767405, 0.0831012, 0.0870991, 0.0903483, 0.0925012, 0.0940378, 0.0968009, 0.103519, 0.107127, 0.110173, 0.113223, 0.117983, 0.123083, 0.124447");
-            values ( \
-              "-0.00597351, -0.172076, -0.212643, -0.225453, -0.227899, -0.222155, -0.212404, -0.185522, -0.0825248, -0.0472674, -0.0286723, -0.0174011, -0.00785655, -0.00315461, -0.0029098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823894");
-            index_3 ("0.067158, 0.0763372, 0.0783813, 0.0839244, 0.0888609, 0.093504, 0.0980382, 0.102569, 0.10732, 0.108528, 0.11266, 0.122145, 0.127103, 0.133051, 0.13833, 0.141886, 0.148998, 0.151627");
-            values ( \
-              "-0.00144213, -0.21896, -0.243371, -0.288785, -0.312938, -0.325697, -0.329305, -0.323886, -0.301611, -0.293004, -0.247431, -0.120364, -0.0761873, -0.0425454, -0.0249512, -0.0172897, -0.00806007, -0.00665236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186467");
-            index_3 ("0.0671188, 0.0784157, 0.0822788, 0.0867102, 0.0943638, 0.101261, 0.109405, 0.117548, 0.125681, 0.131307, 0.13465, 0.141335, 0.156765, 0.162196, 0.171482, 0.176322, 0.182774, 0.188163, 0.194063, 0.20193, 0.217664, 0.238165, 0.263487");
-            values ( \
-              "-0.0133455, -0.283994, -0.327019, -0.360551, -0.395124, -0.409765, -0.41497, -0.410497, -0.396591, -0.379457, -0.365858, -0.324621, -0.18632, -0.146326, -0.0938722, -0.0737326, -0.0528745, -0.0398793, -0.0291945, -0.0190734, -0.0077355, -0.00218609, -0.000425545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0422022");
-            index_3 ("0.0720701, 0.0774222, 0.0841962, 0.0879353, 0.0935787, 0.100189, 0.107669, 0.110323, 0.115629, 0.126474, 0.142694, 0.159311, 0.175987, 0.179651, 0.183838, 0.194785, 0.19993, 0.21022, 0.240743, 0.252669, 0.260848, 0.272038, 0.281661, 0.291597, 0.305767, 0.311871, 0.32408, 0.348497, 0.387863, 0.434519");
-            values ( \
-              "-0.274171, -0.293833, -0.373507, -0.402287, -0.431771, -0.453012, -0.465359, -0.468025, -0.470745, -0.471441, -0.464196, -0.45138, -0.432448, -0.427076, -0.420298, -0.39799, -0.383849, -0.345257, -0.201959, -0.156765, -0.130595, -0.100774, -0.0801734, -0.062945, -0.0442154, -0.0379388, -0.0278305, -0.0146177, -0.00477994, -0.00117694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0955139");
-            index_3 ("0.071914, 0.0864425, 0.0949225, 0.102116, 0.109674, 0.118984, 0.130187, 0.146981, 0.181473, 0.216109, 0.252927, 0.289419, 0.305671, 0.323007, 0.34512, 0.375916, 0.410886, 0.437179, 0.456555, 0.482391, 0.500801, 0.534978, 0.570219, 0.582281, 0.610359, 0.642449, 0.706493, 0.770536, 0.83458");
-            values ( \
-              "-0.262341, -0.409362, -0.458279, -0.48109, -0.49409, -0.501593, -0.504565, -0.503105, -0.493861, -0.482414, -0.467532, -0.448071, -0.436478, -0.421046, -0.39304, -0.331767, -0.250848, -0.196839, -0.162776, -0.124713, -0.102515, -0.0704821, -0.0471903, -0.041116, -0.0297629, -0.0204199, -0.00929234, -0.00419157, -0.0018933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.216171");
-            index_3 ("0.0694359, 0.0812543, 0.0924961, 0.103661, 0.112321, 0.123797, 0.135434, 0.151654, 0.228813, 0.305535, 0.384031, 0.464706, 0.548423, 0.58777, 0.637141, 0.701185, 0.85786, 0.921581, 0.962058, 1.01454, 1.05886, 1.10802, 1.17207, 1.22388, 1.31827, 1.38232, 1.44636, 1.57445, 1.76658");
-            values ( \
-              "-0.139048, -0.368657, -0.458656, -0.496662, -0.510736, -0.518799, -0.521482, -0.521797, -0.512737, -0.502085, -0.489845, -0.474951, -0.454575, -0.441698, -0.420137, -0.37445, -0.215003, -0.162776, -0.135074, -0.105274, -0.0848383, -0.0663315, -0.0479309, -0.0366812, -0.0224023, -0.016048, -0.0113571, -0.00571929, -0.00210254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.112371, 0.117224, 0.119086, 0.121672, 0.127483, 0.133612, 0.136543, 0.139472, 0.142898, 0.150022, 0.152983, 0.156819, 0.161396, 0.163222");
-            values ( \
-              "-0.0467888, -0.0933295, -0.133106, -0.160024, -0.202754, -0.224579, -0.223077, -0.212946, -0.176126, -0.0714507, -0.0450449, -0.0239512, -0.0111351, -0.00863843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823894");
-            index_3 ("0.112645, 0.116469, 0.121507, 0.126283, 0.129023, 0.134074, 0.138782, 0.14336, 0.147934, 0.15269, 0.153806, 0.158043, 0.167548, 0.172509, 0.178407, 0.183756, 0.187357, 0.194559, 0.19624");
-            values ( \
-              "-0.0366994, -0.118574, -0.206835, -0.258875, -0.279946, -0.307576, -0.321926, -0.32694, -0.322203, -0.300672, -0.292898, -0.246995, -0.120184, -0.076092, -0.042733, -0.0248967, -0.0171723, -0.00792728, -0.00704182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186467");
-            index_3 ("0.114995, 0.123466, 0.129043, 0.133561, 0.138338, 0.14508, 0.154951, 0.163088, 0.171252, 0.18023, 0.186688, 0.207791, 0.217072, 0.228306, 0.23615, 0.250675, 0.257908");
-            values ( \
-              "-0.138058, -0.268825, -0.330556, -0.3625, -0.386398, -0.404741, -0.41392, -0.409685, -0.396473, -0.365707, -0.326339, -0.146224, -0.0938296, -0.0530173, -0.0351382, -0.0159992, -0.0118266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0422022");
-            index_3 ("0.115353, 0.126133, 0.129467, 0.13496, 0.138984, 0.145673, 0.152762, 0.161959, 0.172003, 0.185008, 0.194665, 0.204847, 0.221536, 0.229226, 0.23743, 0.246104, 0.257671, 0.283005, 0.291972, 0.302488, 0.316357, 0.322411, 0.332487, 0.345921, 0.357093, 0.373449, 0.395258, 0.435761, 0.483324");
-            values ( \
-              "-0.160028, -0.326517, -0.365229, -0.40599, -0.428151, -0.450038, -0.463996, -0.470702, -0.47069, -0.466204, -0.459835, -0.451045, -0.432722, -0.42054, -0.404727, -0.381881, -0.336643, -0.215909, -0.179377, -0.142628, -0.103625, -0.0898476, -0.0705196, -0.0505856, -0.0382636, -0.0252736, -0.0142711, -0.00447915, -0.00106487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0955139");
-            index_3 ("0.119732, 0.147435, 0.158506, 0.168512, 0.179578, 0.192741, 0.227229, 0.258598, 0.298681, 0.336257, 0.353365, 0.376112, 0.416697, 0.45471, 0.482052, 0.503785, 0.517839, 0.552301, 0.5704, 0.606599, 0.645266, 0.672775, 0.718026, 0.78207, 0.806591");
-            values ( \
-              "-0.376581, -0.478084, -0.496459, -0.50273, -0.504504, -0.502912, -0.493831, -0.483613, -0.467617, -0.44731, -0.434877, -0.413071, -0.343712, -0.255546, -0.198966, -0.160783, -0.139255, -0.0965686, -0.0792975, -0.052577, -0.0338618, -0.0244862, -0.0143451, -0.00643146, -0.00544275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.216171");
-            index_3 ("0.114075, 0.126852, 0.137987, 0.144177, 0.151322, 0.1595, 0.16621, 0.175156, 0.18723, 0.219806, 0.351144, 0.429629, 0.510321, 0.594005, 0.658049, 0.6828, 0.728498, 0.784267, 0.838033, 0.902077, 0.945956, 1.00849, 1.06047, 1.10367, 1.1531, 1.21714, 1.26964, 1.35762, 1.42166, 1.4857, 1.61379, 1.74188, 1.86997");
-            values ( \
-              "-0.118228, -0.360431, -0.453799, -0.481454, -0.499272, -0.511943, -0.516952, -0.5201, -0.521994, -0.519776, -0.502185, -0.489951, -0.475031, -0.454697, -0.431799, -0.42024, -0.389854, -0.337112, -0.279227, -0.216355, -0.178715, -0.134383, -0.105216, -0.0852748, -0.0663624, -0.0481727, -0.036521, -0.0230411, -0.0167089, -0.0116284, -0.00580035, -0.00284698, -0.00135619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.197904, 0.202185, 0.20333, 0.212485, 0.219668, 0.223369, 0.226773, 0.230052, 0.233325, 0.235638, 0.24536, 0.247961, 0.251177, 0.255075, 0.258203");
-            values ( \
-              "-0.024737, -0.0428726, -0.0442353, -0.12031, -0.167899, -0.186143, -0.198069, -0.202599, -0.195826, -0.179575, -0.0596319, -0.0401825, -0.0248282, -0.013095, -0.00825402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823894");
-            index_3 ("0.196757, 0.207862, 0.212395, 0.221325, 0.22708, 0.232297, 0.237249, 0.242128, 0.247004, 0.248877, 0.264257, 0.273061, 0.277329, 0.285309, 0.295041");
-            values ( \
-              "-0.00200997, -0.101119, -0.159258, -0.239768, -0.27502, -0.294565, -0.304438, -0.304102, -0.288012, -0.276855, -0.100949, -0.0440373, -0.0288433, -0.0127577, -0.00440425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186467");
-            index_3 ("0.198318, 0.214662, 0.221432, 0.226253, 0.231868, 0.240959, 0.249073, 0.256211, 0.261666, 0.266548, 0.275108, 0.277614, 0.280478, 0.303747, 0.313216, 0.322713, 0.331479, 0.346347, 0.351797");
-            values ( \
-              "-0.00167685, -0.210922, -0.28422, -0.321749, -0.35413, -0.384119, -0.394943, -0.397474, -0.395579, -0.389005, -0.364112, -0.352635, -0.335512, -0.14185, -0.0899778, -0.0556415, -0.0351372, -0.0156971, -0.0126155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0422022");
-            index_3 ("0.199025, 0.217482, 0.221729, 0.22675, 0.232107, 0.236073, 0.240607, 0.24898, 0.25054, 0.25366, 0.261865, 0.275412, 0.283573, 0.299997, 0.307584, 0.315416, 0.321435, 0.32831, 0.335713, 0.350517, 0.368107, 0.381976, 0.393347, 0.401097, 0.412837, 0.423019, 0.436595, 0.447875, 0.460224, 0.47669, 0.509622, 0.55257, 0.605523");
-            values ( \
-              "-0.00853374, -0.261758, -0.312231, -0.356324, -0.390698, -0.409456, -0.425647, -0.444964, -0.445603, -0.451762, -0.46105, -0.464675, -0.461232, -0.451502, -0.443563, -0.434232, -0.425612, -0.413865, -0.397939, -0.348287, -0.263579, -0.200969, -0.157849, -0.13283, -0.10122, -0.0794572, -0.0569547, -0.0429864, -0.0315027, -0.0206232, -0.00838092, -0.00237965, -0.000454287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0955139");
-            index_3 ("0.198766, 0.220379, 0.230835, 0.241113, 0.248809, 0.260013, 0.265698, 0.274473, 0.285806, 0.300871, 0.331218, 0.357731, 0.39394, 0.430428, 0.438046, 0.464165, 0.471392, 0.485845, 0.516929, 0.552471, 0.57833, 0.59732, 0.62264, 0.641635, 0.656717, 0.676827, 0.711522, 0.723451, 0.750728, 0.781901, 0.844247, 0.908291, 0.972335");
-            values ( \
-              "-0.00842778, -0.309808, -0.401964, -0.450021, -0.469889, -0.488362, -0.494557, -0.499671, -0.501366, -0.499379, -0.491097, -0.482106, -0.467528, -0.448078, -0.442888, -0.420898, -0.413008, -0.393489, -0.331778, -0.2496, -0.196593, -0.163195, -0.125737, -0.102726, -0.0872328, -0.0698332, -0.0470475, -0.0410538, -0.0299952, -0.0208092, -0.00969194, -0.00437436, -0.00197473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.216171");
-            index_3 ("0.216601, 0.248746, 0.268748, 0.279814, 0.294876, 0.33348, 0.446867, 0.525361, 0.606036, 0.689753, 0.729099, 0.778471, 0.842515, 0.99919, 1.06291, 1.10339, 1.15587, 1.20019, 1.24935, 1.3134, 1.36521, 1.4596, 1.52365, 1.65174, 1.90791");
-            values ( \
-              "-0.477172, -0.481864, -0.512254, -0.518371, -0.520566, -0.517383, -0.502016, -0.489777, -0.474883, -0.454507, -0.441766, -0.42007, -0.374517, -0.214937, -0.162713, -0.135137, -0.105211, -0.0847759, -0.0663939, -0.0478687, -0.0367425, -0.0224633, -0.0159867, -0.00808623, -0.00204164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.37825, 0.382933, 0.385282, 0.388363, 0.396531, 0.411422, 0.415712, 0.419697, 0.42358, 0.427681, 0.437515, 0.441649, 0.444695, 0.450781, 0.457208");
-            values ( \
-              "-0.0272948, -0.0287264, -0.0344747, -0.045386, -0.0872012, -0.145745, -0.15977, -0.168689, -0.169664, -0.149357, -0.0504892, -0.0274214, -0.0172125, -0.00666806, -0.00266087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823894");
-            index_3 ("0.377009, 0.382439, 0.385657, 0.386246, 0.387423, 0.389778, 0.392812, 0.397631, 0.399594, 0.403519, 0.40897, 0.416215, 0.422456, 0.428129, 0.43357, 0.438998, 0.44445, 0.444974, 0.446023, 0.451949, 0.45444, 0.456103, 0.459431, 0.460678, 0.462042, 0.463861, 0.467497, 0.47022, 0.471566, 0.474259, 0.479645, 0.482528");
-            values ( \
-              "-0.0253052, -0.0404548, -0.0502766, -0.0522299, -0.0571024, -0.0679088, -0.0860573, -0.119916, -0.130558, -0.154576, -0.184966, -0.224167, -0.251632, -0.26991, -0.276819, -0.268289, -0.233187, -0.228586, -0.216625, -0.146183, -0.120258, -0.104861, -0.0782466, -0.0699195, -0.0617068, -0.0521878, -0.0367119, -0.0281857, -0.0248224, -0.0189496, -0.0111184, -0.00897897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186467");
-            index_3 ("0.377872, 0.3903, 0.421185, 0.430221, 0.433994, 0.440793, 0.449739, 0.458647, 0.46757, 0.469844, 0.477643, 0.491843, 0.501626, 0.509062, 0.52106, 0.529612, 0.536409, 0.551961, 0.552544");
-            values ( \
-              "-0.0341212, -0.0866735, -0.302328, -0.344345, -0.35597, -0.37063, -0.378743, -0.374393, -0.352673, -0.343889, -0.293876, -0.173815, -0.111592, -0.0777964, -0.0420995, -0.0269199, -0.0187206, -0.00733273, -0.00718255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0422022");
-            index_3 ("0.372762, 0.440054, 0.449715, 0.458858, 0.473371, 0.490417, 0.507065, 0.516663, 0.52883, 0.547619, 0.566902, 0.588923, 0.607305, 0.625865, 0.640274, 0.655343, 0.690009, 0.706049");
-            values ( \
-              "-0.0163112, -0.418231, -0.437252, -0.446453, -0.450514, -0.443694, -0.429082, -0.416227, -0.393136, -0.329052, -0.238605, -0.151673, -0.0996977, -0.0638071, -0.0445614, -0.0305175, -0.0123565, -0.00903132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0955139");
-            index_3 ("0.372492, 0.443421, 0.455553, 0.468248, 0.480184, 0.497798, 0.515325, 0.587404, 0.625044, 0.66303, 0.676379, 0.691636, 0.747351, 0.793778, 0.837214, 0.860162, 0.880792, 0.921123, 0.943947, 0.989595, 1.05364, 1.11768, 1.18173");
-            values ( \
-              "-0.0219091, -0.452773, -0.47493, -0.486256, -0.490316, -0.490285, -0.487022, -0.466973, -0.447249, -0.415241, -0.398149, -0.372253, -0.246458, -0.158366, -0.100337, -0.0781036, -0.0620482, -0.0391663, -0.0300746, -0.0174786, -0.00793444, -0.00358095, -0.00161006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.216171");
-            index_3 ("0.39601, 0.420821, 0.431278, 0.448177, 0.460266, 0.473283, 0.487206, 0.504613, 0.549946, 0.572036, 0.604992, 0.690053, 0.776255, 0.840263, 0.883155, 0.947199, 0.971946, 1.01764, 1.07341, 1.19122, 1.25784, 1.29775, 1.34963, 1.39277, 1.44222, 1.50626, 1.5588, 1.65471, 1.71875, 1.7828, 1.91089, 2.10302");
-            values ( \
-              "-0.273385, -0.354185, -0.419031, -0.475746, -0.49565, -0.506076, -0.510873, -0.512024, -0.5086, -0.509464, -0.506921, -0.494458, -0.479509, -0.465844, -0.454528, -0.431963, -0.420077, -0.390016, -0.336951, -0.216195, -0.161607, -0.134462, -0.105053, -0.085144, -0.0665214, -0.0480317, -0.0366648, -0.0222228, -0.0158724, -0.0112787, -0.00569348, -0.00205446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.760618, 0.77048, 0.77437, 0.792035, 0.805704, 0.816482, 0.821452, 0.826411, 0.837992, 0.843044, 0.846552, 0.849024, 0.853967, 0.861705, 0.900515");
-            values ( \
-              "-0.0220116, -0.0232812, -0.0303818, -0.0799592, -0.111517, -0.130541, -0.133848, -0.123608, -0.0438277, -0.0225405, -0.0139076, -0.00988964, -0.00504227, -0.0019547, -0.000270616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823894");
-            index_3 ("0.753001, 0.769875, 0.777331, 0.801508, 0.818991, 0.826196, 0.832858, 0.83933, 0.846771, 0.862412, 0.871263, 0.881079, 0.886958");
-            values ( \
-              "-0.00157375, -0.0335991, -0.052261, -0.141084, -0.196829, -0.215834, -0.228774, -0.230702, -0.200497, -0.0694901, -0.0314718, -0.0125389, -0.00770251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186467");
-            index_3 ("0.756946, 0.773251, 0.776181, 0.78204, 0.788268, 0.800562, 0.817084, 0.817308, 0.817755, 0.818648, 0.824012, 0.830092, 0.841135, 0.851219, 0.860964, 0.870804, 0.871033, 0.872413, 0.874252, 0.877664, 0.881578, 0.889406, 0.895101, 0.897415, 0.898424, 0.900443, 0.904438, 0.909554, 0.910934, 0.913693, 0.918661, 0.925652, 0.928043, 0.928735, 0.930119, 0.932887, 0.938423, 0.949496, 0.958397");
-            values ( \
-              "-0.0160128, -0.0532879, -0.0610688, -0.0813936, -0.107892, -0.16555, -0.234431, -0.236028, -0.238217, -0.242188, -0.263992, -0.287027, -0.322232, -0.34238, -0.348189, -0.334116, -0.333555, -0.329425, -0.322827, -0.306394, -0.280201, -0.216988, -0.17346, -0.1574, -0.150726, -0.137996, -0.115189, -0.0905215, -0.084717, -0.0740801, -0.0578552, -0.0404633, -0.0357811, -0.0345329, -0.0321474, -0.0278486, -0.0208204, -0.0114367, -0.00792553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0422022");
-            index_3 ("0.757545, 0.779727, 0.7844, 0.823243, 0.841587, 0.852486, 0.860744, 0.877259, 0.886826, 0.896082, 0.91358, 0.922263, 0.93495, 0.949846, 0.976704, 0.993926, 1.01205, 1.03137, 1.05027, 1.06115, 1.08291, 1.10752");
-            values ( \
-              "-0.019043, -0.0833568, -0.101105, -0.293292, -0.371051, -0.402053, -0.417169, -0.433211, -0.435213, -0.433696, -0.421736, -0.410414, -0.385761, -0.334164, -0.209884, -0.145971, -0.096463, -0.0606811, -0.0379283, -0.0288134, -0.0163582, -0.00946684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0955139");
-            index_3 ("0.754192, 0.796027, 0.818904, 0.843562, 0.857431, 0.870794, 0.881956, 0.899626, 0.917653, 0.941773, 0.953406, 0.989965, 1.02679, 1.0342, 1.05963, 1.06799, 1.08472, 1.11377, 1.14478, 1.17422, 1.19799, 1.2197, 1.24454, 1.26275, 1.29336, 1.32342, 1.33922, 1.37083, 1.43404, 1.49809, 1.56213, 1.62618");
-            values ( \
-              "-0.00237807, -0.162086, -0.288355, -0.404078, -0.443307, -0.465159, -0.475938, -0.483539, -0.484535, -0.480146, -0.476944, -0.463568, -0.444698, -0.439856, -0.419276, -0.410473, -0.387952, -0.330428, -0.25908, -0.198164, -0.15703, -0.125354, -0.0965227, -0.0791516, -0.0561204, -0.0398323, -0.0332063, -0.0229437, -0.0105701, -0.00477637, -0.00214852, -0.000971976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.216171");
-            index_3 ("0.796785, 0.835245, 0.847696, 0.86165, 0.882477, 0.901662, 0.921249, 0.943431, 1.00261, 1.10684, 1.24659, 1.31998, 1.3755, 1.43955, 1.59623, 1.65996, 1.70043, 1.75291, 1.79723, 1.8464, 1.91044, 1.96225, 2.05664, 2.12068, 2.24877, 2.37686, 2.50494");
-            values ( \
-              "-0.368509, -0.380473, -0.431163, -0.466744, -0.495918, -0.506436, -0.509786, -0.510133, -0.50409, -0.489481, -0.464604, -0.443956, -0.420081, -0.374526, -0.214946, -0.162718, -0.135132, -0.105216, -0.0847815, -0.0663907, -0.0478737, -0.0367402, -0.0224614, -0.015991, -0.00808989, -0.00407459, -0.00204473" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.00309184, 0.00309375, 0.0030957, 0.0030971, 0.00309788, 0.00309826", \
-            "0.0037129, 0.00371386, 0.00371514, 0.00371636, 0.00371718, 0.00371761", \
-            "0.0040912, 0.00409142, 0.00409185, 0.00409248, 0.00409303, 0.00409339", \
-            "0.00431492, 0.0043147, 0.00431474, 0.00431495, 0.00431528, 0.00431556", \
-            "0.00443418, 0.00443397, 0.00443395, 0.00443407, 0.00443428, 0.00443446", \
-            "0.00450123, 0.00450126, 0.00450135, 0.00450152, 0.00450177, 0.00450202" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.00446763, 0.00446962, 0.00447403, 0.00447766, 0.0044799, 0.00448106", \
-            "0.00500963, 0.00500559, 0.00500629, 0.00500786, 0.00500941, 0.00501026", \
-            "0.00540895, 0.00540259, 0.00539628, 0.00539496, 0.00539282, 0.00539197", \
-            "0.00588887, 0.00589359, 0.00589254, 0.00588873, 0.00588532, 0.00588341", \
-            "0.00651785, 0.00665519, 0.00679026, 0.00686592, 0.00690568, 0.00691261", \
-            "0.00723999, 0.00723976, 0.00723034, 0.00723789, 0.00736949, 0.00743333" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.00533627, 0.00534717, 0.00527504, 0.00516365, 0.00507574, 0.00502296", \
-            "0.00512103, 0.0051448, 0.00511299, 0.00502757, 0.00494424, 0.00488838", \
-            "0.00485977, 0.00491381, 0.00493736, 0.00488124, 0.00482091, 0.00476797", \
-            "0.00493534, 0.00497733, 0.00504779, 0.00500033, 0.00495052, 0.00490879", \
-            "0.00568628, 0.00570089, 0.00570423, 0.00572733, 0.00567206, 0.00560176", \
-            "0.00875247, 0.00856893, 0.00836361, 0.00819246, 0.00810513, 0.00799419" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823894, 0.0186467, 0.0422022, 0.0955139, 0.216171");
-          values ( \
-            "0.014355, 0.0144227, 0.0144197, 0.0143642, 0.0143124, 0.014281", \
-            "0.0141331, 0.0142205, 0.0142575, 0.0142351, 0.0141982, 0.0141715", \
-            "0.0140673, 0.0141777, 0.0142665, 0.0142967, 0.0142925, 0.0142787", \
-            "0.0145867, 0.0146164, 0.0146977, 0.0147565, 0.014783, 0.0147877", \
-            "0.0158143, 0.0158871, 0.0159393, 0.0160125, 0.0160242, 0.0160333", \
-            "0.0192846, 0.0192002, 0.0192042, 0.0192197, 0.0191377, 0.0191144" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00562385;
-      rise_capacitance : 0.00562385;
-      rise_capacitance_range (0.00428658, 0.00562385);
-      fall_capacitance : 0.00557232;
-      fall_capacitance_range (0.00387195, 0.00557232);
-    }
-  }
-  cell (BUFX2) {
-    area : 12.4542;
-    cell_footprint : "BUF";
-    cell_leakage_power : 0.414205;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.283374;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.545035;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.414205;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.416397;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.0587326, 0.0680184, 0.0874256, 0.132186, 0.245468, 0.537632", \
-            "0.0635419, 0.0728207, 0.0922257, 0.137053, 0.250334, 0.542496", \
-            "0.0741811, 0.083418, 0.102895, 0.147784, 0.261122, 0.553293", \
-            "0.0891102, 0.0989586, 0.119084, 0.164373, 0.277751, 0.569939", \
-            "0.101245, 0.112721, 0.134302, 0.180276, 0.293901, 0.58609", \
-            "0.100188, 0.114322, 0.139303, 0.187206, 0.300618, 0.592914" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.0180078, 0.0275449, 0.0516305, 0.115488, 0.284399, 0.72194", \
-            "0.018011, 0.0275448, 0.0515966, 0.115469, 0.284397, 0.72194", \
-            "0.018466, 0.0279235, 0.0517885, 0.115508, 0.284398, 0.721919", \
-            "0.0213246, 0.0302603, 0.0534695, 0.116175, 0.284445, 0.72192", \
-            "0.026989, 0.0355569, 0.0570944, 0.117925, 0.28516, 0.721983", \
-            "0.0353365, 0.0450807, 0.0654822, 0.122029, 0.286177, 0.722783" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.0636103, 0.0720879, 0.089137, 0.125711, 0.214304, 0.441494", \
-            "0.0697456, 0.0782291, 0.0952841, 0.131891, 0.220527, 0.44772", \
-            "0.0845432, 0.092984, 0.110056, 0.146766, 0.235431, 0.462685", \
-            "0.114547, 0.12354, 0.141111, 0.178126, 0.266876, 0.494405", \
-            "0.16006, 0.171008, 0.191028, 0.229854, 0.31951, 0.546546", \
-            "0.2309, 0.244313, 0.268871, 0.311951, 0.402864, 0.630101" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.0158738, 0.0230391, 0.0400342, 0.0836362, 0.20049, 0.506723", \
-            "0.0158866, 0.0230643, 0.0400346, 0.0836163, 0.200488, 0.506723", \
-            "0.0159855, 0.0231828, 0.0401036, 0.0836407, 0.200269, 0.506723", \
-            "0.0183415, 0.02538, 0.0416424, 0.0842405, 0.200357, 0.506842", \
-            "0.0239261, 0.0314575, 0.0470954, 0.088056, 0.202024, 0.50689", \
-            "0.031702, 0.0408607, 0.0576652, 0.0954207, 0.20501, 0.50828" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0503402, 0.0600192, 0.0647642, 0.0675458, 0.0702562, 0.0730394, 0.0745635, 0.075923, 0.0810391, 0.0827243, 0.0857226, 0.0897746, 0.0931723, 0.0960486, 0.0982081, 0.102527, 0.106071, 0.112238, 0.120462, 0.133536, 0.133556");
-            values ( \
-              "0.00804055, 0.19106, 0.230265, 0.240486, 0.243866, 0.235628, 0.223797, 0.205862, 0.116676, 0.096201, 0.0691663, 0.0454675, 0.031848, 0.0238476, 0.0192257, 0.0125792, 0.00912277, 0.00542522, 0.00289882, 0.00122841, 0.00122785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00939319");
-            index_3 ("0.050885, 0.0619413, 0.0669325, 0.071316, 0.0754505, 0.0795368, 0.0836206, 0.0867581, 0.095739, 0.10285, 0.109911, 0.117599, 0.122617, 0.129453, 0.143239, 0.152267");
-            values ( \
-              "0.00157232, 0.304487, 0.369249, 0.402559, 0.415353, 0.41304, 0.392653, 0.35622, 0.208907, 0.129592, 0.0800144, 0.0466903, 0.0327628, 0.020439, 0.00810051, 0.00507419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0242374");
-            index_3 ("0.0515459, 0.0659692, 0.0680653, 0.0722576, 0.0764171, 0.0840136, 0.0914283, 0.0992474, 0.103091, 0.106971, 0.114732, 0.124631, 0.128083, 0.133992, 0.139116, 0.14574, 0.150681, 0.156236, 0.165957, 0.170579, 0.179824, 0.186991, 0.19507, 0.20584, 0.227382, 0.243148");
-            values ( \
-              "0.0293967, 0.442448, 0.476065, 0.526011, 0.560453, 0.5892, 0.589233, 0.566127, 0.546525, 0.519965, 0.445709, 0.337512, 0.304167, 0.252114, 0.212867, 0.169744, 0.142811, 0.116997, 0.0818289, 0.0688661, 0.0484302, 0.0368348, 0.0270425, 0.0177946, 0.00741846, 0.00454325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0625404");
-            index_3 ("0.0518032, 0.0697469, 0.0735451, 0.0803917, 0.0833037, 0.0891278, 0.0950507, 0.106681, 0.110662, 0.118502, 0.126704, 0.142901, 0.147861, 0.15353, 0.161999, 0.178938, 0.207898, 0.227145, 0.24681, 0.261034, 0.270813, 0.289021, 0.29443, 0.305249, 0.326887, 0.34583, 0.356157, 0.376811, 0.418118, 0.47957, 0.55422");
-            values ( \
-              "0.0648347, 0.555483, 0.607504, 0.670617, 0.688027, 0.710815, 0.721615, 0.72132, 0.716629, 0.703864, 0.686903, 0.645667, 0.630573, 0.61167, 0.579359, 0.501021, 0.3579, 0.276854, 0.207761, 0.16722, 0.143257, 0.1063, 0.0971751, 0.0809699, 0.0556546, 0.0399471, 0.033284, 0.0229798, 0.0105711, 0.00301844, 0.000588785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.161374");
-            index_3 ("0.0613931, 0.0783644, 0.091574, 0.101633, 0.107326, 0.11433, 0.126054, 0.156168, 0.213389, 0.256953, 0.299731, 0.308918, 0.345668, 0.413874, 0.472774, 0.51505, 0.538112, 0.580366, 0.615861, 0.644736, 0.679938, 0.729553, 0.781622, 0.821576, 0.901012, 0.980449, 1.13932");
-            values ( \
-              "0.640625, 0.696963, 0.777578, 0.799334, 0.803712, 0.804626, 0.800642, 0.775476, 0.717023, 0.667016, 0.605998, 0.590253, 0.520416, 0.38267, 0.278039, 0.215427, 0.186343, 0.141252, 0.110932, 0.0907911, 0.0707412, 0.0493726, 0.0337759, 0.0251256, 0.0137067, 0.00744096, 0.00217911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.416397");
-            index_3 ("0.0702536, 0.0702736, 0.225183, 0.442293, 0.549244, 0.62868, 0.665703, 0.726066, 0.968884, 1.08966, 1.1691, 1.2499, 1.35528, 1.43471, 1.47945, 1.55888, 1.63666, 1.78188, 1.96917, 2.12804, 2.28691, 2.60466, 2.84297");
-            values ( \
-              "1e-22, 0.90291, 0.812934, 0.725068, 0.676414, 0.632745, 0.609922, 0.566924, 0.375026, 0.288563, 0.239733, 0.195698, 0.148773, 0.12062, 0.106214, 0.0856307, 0.0682427, 0.044882, 0.0258916, 0.0161073, 0.00995805, 0.00371017, 0.00218856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0707058, 0.0746436, 0.0770553, 0.0805181, 0.0835168, 0.0862996, 0.0890102, 0.0917174, 0.0933466, 0.101603, 0.10675, 0.113176, 0.118148, 0.122524, 0.12881, 0.138162");
-            values ( \
-              "0.0152392, 0.131725, 0.169478, 0.207547, 0.229711, 0.240825, 0.243484, 0.236223, 0.22348, 0.0948589, 0.0547552, 0.0281237, 0.0171026, 0.0112081, 0.00649401, 0.00380365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00939319");
-            index_3 ("0.0732557, 0.07536, 0.0776341, 0.0835765, 0.0856715, 0.0900607, 0.0941896, 0.0982758, 0.102359, 0.105499, 0.114478, 0.121589, 0.128651, 0.136338, 0.141355, 0.148191, 0.161979, 0.172404");
-            values ( \
-              "0.123228, 0.198524, 0.249672, 0.345651, 0.369614, 0.402256, 0.415647, 0.412775, 0.392889, 0.356185, 0.20891, 0.129596, 0.0800106, 0.0466935, 0.0327627, 0.0204375, 0.00809827, 0.00459887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0242374");
-            index_3 ("0.0701318, 0.0868774, 0.0919107, 0.0952153, 0.101824, 0.104732, 0.10861, 0.113322, 0.117725, 0.125327, 0.131264, 0.142152, 0.146814, 0.156712, 0.164068, 0.170489, 0.175464, 0.184726, 0.195422, 0.204821, 0.214654, 0.222198, 0.237287, 0.260087");
-            values ( \
-              "0.0437316, 0.477611, 0.535645, 0.561189, 0.58786, 0.591512, 0.59054, 0.581719, 0.566818, 0.523536, 0.4688, 0.349943, 0.30441, 0.221228, 0.172237, 0.137575, 0.115041, 0.0818167, 0.0547361, 0.0381749, 0.0262255, 0.0196065, 0.0108214, 0.00468457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0625404");
-            index_3 ("0.0746476, 0.081736, 0.0885979, 0.0977782, 0.105449, 0.113909, 0.124677, 0.129501, 0.137337, 0.145546, 0.166707, 0.180841, 0.19776, 0.226744, 0.245955, 0.26563, 0.279904, 0.289722, 0.313273, 0.324057, 0.345625, 0.364664, 0.395784, 0.437278, 0.498359, 0.572506");
-            values ( \
-              "0.213649, 0.422222, 0.55673, 0.661088, 0.703259, 0.722993, 0.721952, 0.717159, 0.703635, 0.687273, 0.630542, 0.579338, 0.501143, 0.357859, 0.27699, 0.207815, 0.167136, 0.143108, 0.0971816, 0.0810101, 0.055765, 0.0399608, 0.0229315, 0.0104987, 0.00303447, 0.000594653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.161374");
-            index_3 ("0.0806335, 0.101684, 0.11097, 0.120501, 0.132017, 0.144374, 0.175046, 0.232272, 0.275837, 0.318615, 0.327801, 0.364547, 0.432786, 0.491624, 0.53392, 0.557014, 0.599277, 0.634755, 0.663599, 0.698809, 0.74843, 0.80052, 0.840494, 0.91993, 0.999366, 1.15824");
-            values ( \
-              "0.649058, 0.731627, 0.778422, 0.7991, 0.805266, 0.801117, 0.775417, 0.716939, 0.667007, 0.606006, 0.590261, 0.520432, 0.382609, 0.278099, 0.215438, 0.186317, 0.141222, 0.110928, 0.0908079, 0.0707433, 0.049379, 0.0337756, 0.0251157, 0.0137066, 0.00743565, 0.0021752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.416397");
-            index_3 ("0.0887961, 0.0888161, 0.243592, 0.360608, 0.503451, 0.568132, 0.647568, 0.744954, 0.987771, 1.10855, 1.18798, 1.26879, 1.37801, 1.45744, 1.49839, 1.57783, 1.65559, 1.73503, 1.80076, 1.90853, 1.98797, 2.14684, 2.30571, 2.54402, 2.86177");
-            values ( \
-              "1e-22, 0.898874, 0.813418, 0.766491, 0.706343, 0.676138, 0.633022, 0.567201, 0.375304, 0.288841, 0.239454, 0.195965, 0.147516, 0.119096, 0.106453, 0.0853513, 0.0684912, 0.0545823, 0.0451371, 0.0330269, 0.0261428, 0.0163543, 0.0102017, 0.00503321, 0.00195485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.10997, 0.113115, 0.121731, 0.124901, 0.127783, 0.130564, 0.133339, 0.136125, 0.140471, 0.143939, 0.148053, 0.154944, 0.159762, 0.166034, 0.176217, 0.181582");
-            values ( \
-              "0.0449206, 0.0718096, 0.192862, 0.220655, 0.23334, 0.239103, 0.232222, 0.208911, 0.130054, 0.0881741, 0.0566242, 0.0276896, 0.0170192, 0.00930323, 0.00389865, 0.00349438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00939319");
-            index_3 ("0.112581, 0.115086, 0.121454, 0.126787, 0.131324, 0.135543, 0.139687, 0.143826, 0.146829, 0.155637, 0.160251, 0.16722, 0.174014, 0.178053, 0.187628, 0.20243, 0.216963");
-            values ( \
-              "0.126541, 0.143709, 0.276605, 0.353251, 0.392459, 0.408676, 0.40866, 0.3898, 0.356536, 0.213118, 0.157094, 0.0980982, 0.0610701, 0.0460583, 0.0235706, 0.00872212, 0.00341902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0242374");
-            index_3 ("0.115058, 0.118814, 0.122622, 0.127948, 0.132085, 0.136519, 0.144189, 0.151648, 0.159216, 0.166602, 0.17087, 0.188017, 0.19807, 0.205579, 0.212009, 0.217013, 0.22622, 0.236897, 0.246304, 0.263732, 0.278868, 0.298732");
-            values ( \
-              "0.263831, 0.265719, 0.357949, 0.457639, 0.512179, 0.551866, 0.58517, 0.58665, 0.566096, 0.524162, 0.487296, 0.307048, 0.22222, 0.172096, 0.137439, 0.114809, 0.0818188, 0.054779, 0.0381909, 0.0195805, 0.0107824, 0.00544675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0625404");
-            index_3 ("0.114942, 0.124804, 0.134141, 0.139189, 0.147812, 0.155411, 0.165969, 0.171033, 0.178875, 0.187083, 0.203285, 0.213877, 0.222381, 0.23939, 0.268262, 0.287637, 0.30727, 0.316494, 0.330959, 0.349338, 0.368586, 0.381837, 0.400197, 0.421359, 0.449575, 0.50526, 0.569789");
-            values ( \
-              "0.193528, 0.441837, 0.601969, 0.653533, 0.703364, 0.720633, 0.721524, 0.716221, 0.703543, 0.686808, 0.645572, 0.611784, 0.579343, 0.500685, 0.357969, 0.276437, 0.207515, 0.180492, 0.143803, 0.106408, 0.0770373, 0.0613415, 0.0444759, 0.0306011, 0.0183164, 0.00609545, 0.00160101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.161374");
-            index_3 ("0.122006, 0.143287, 0.152398, 0.162176, 0.173312, 0.185875, 0.198491, 0.23601, 0.284522, 0.317527, 0.369492, 0.406242, 0.474444, 0.533352, 0.575625, 0.598683, 0.640936, 0.676433, 0.705311, 0.740513, 0.790127, 0.842192, 0.882143, 0.96158, 1.04102, 1.19989");
-            values ( \
-              "0.639446, 0.726264, 0.775703, 0.797513, 0.805148, 0.800608, 0.792269, 0.75663, 0.705399, 0.66699, 0.590276, 0.520437, 0.382656, 0.278052, 0.215404, 0.186332, 0.141242, 0.110947, 0.0908025, 0.0707277, 0.049385, 0.0337875, 0.025116, 0.0137181, 0.00743065, 0.00216865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.416397");
-            index_3 ("0.131422, 0.131442, 0.274332, 0.50271, 0.582147, 0.664648, 0.726061, 0.78577, 1.0293, 1.15016, 1.22959, 1.3103, 1.41949, 1.49892, 1.61936, 1.69712, 1.84229, 2.0295, 2.18837, 2.34725, 2.58555, 2.9033");
-            values ( \
-              "1e-22, 0.91354, 0.817753, 0.724796, 0.689387, 0.647206, 0.609722, 0.567707, 0.375285, 0.288773, 0.239429, 0.195961, 0.147519, 0.119131, 0.0853692, 0.0684741, 0.0451198, 0.0261253, 0.0163369, 0.0101843, 0.0050506, 0.0019722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.18564, 0.192604, 0.197673, 0.202809, 0.206827, 0.210329, 0.213576, 0.216747, 0.219913, 0.225167, 0.227217, 0.230333, 0.234791, 0.238268, 0.241143, 0.247542, 0.25238, 0.25803, 0.270041, 0.27998, 0.284461");
-            values ( \
-              "0.0125271, 0.0564604, 0.106343, 0.148957, 0.177448, 0.196684, 0.206487, 0.207576, 0.19132, 0.114416, 0.0913655, 0.0661634, 0.0426802, 0.030211, 0.0229452, 0.0125794, 0.00789398, 0.00526603, 0.00249847, 0.00140757, 0.00126282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00939319");
-            index_3 ("0.187089, 0.191388, 0.196181, 0.206481, 0.213523, 0.218856, 0.222242, 0.223374, 0.225614, 0.227897, 0.232453, 0.23998, 0.24813, 0.253862, 0.257317, 0.263422, 0.267189, 0.275024, 0.289764, 0.301276");
-            values ( \
-              "0.0395403, 0.0706435, 0.125263, 0.266271, 0.338401, 0.369247, 0.377437, 0.37773, 0.375553, 0.367075, 0.324713, 0.209184, 0.123096, 0.0832899, 0.0660265, 0.0437683, 0.0338884, 0.0200021, 0.00762307, 0.0040752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0242374");
-            index_3 ("0.186457, 0.192952, 0.210883, 0.219998, 0.228297, 0.236131, 0.243967, 0.249339, 0.283411, 0.29711, 0.309334, 0.331601, 0.34878, 0.356309");
-            values ( \
-              "0.0515938, 0.0979849, 0.391845, 0.500565, 0.550677, 0.563489, 0.550948, 0.528215, 0.22003, 0.137453, 0.088046, 0.0377703, 0.0200521, 0.0153071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0625404");
-            index_3 ("0.192855, 0.213909, 0.221931, 0.233624, 0.239817, 0.244459, 0.249102, 0.259614, 0.272056, 0.28436, 0.297724, 0.307426, 0.355978, 0.377071, 0.394478, 0.409367, 0.439965, 0.46269, 0.483164, 0.520735, 0.553324, 0.579387");
-            values ( \
-              "0.135748, 0.489834, 0.595028, 0.677328, 0.696519, 0.703777, 0.705882, 0.700418, 0.682507, 0.654907, 0.615355, 0.57907, 0.346333, 0.259911, 0.201094, 0.15991, 0.0971364, 0.0660058, 0.0461352, 0.0237034, 0.0130096, 0.0090324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.161374");
-            index_3 ("0.199229, 0.212023, 0.219476, 0.226804, 0.235776, 0.248874, 0.257662, 0.262367, 0.269555, 0.279616, 0.290224, 0.320725, 0.360225, 0.402222, 0.444745, 0.454765, 0.494844, 0.563983, 0.618031, 0.660846, 0.697225, 0.725066, 0.770786, 0.790502, 0.82554, 0.87503, 0.926623, 0.966161, 1.04524, 1.12467, 1.20411, 1.36298");
-            values ( \
-              "0.429018, 0.479514, 0.600416, 0.681564, 0.743721, 0.783354, 0.79246, 0.795272, 0.795882, 0.791963, 0.784389, 0.756117, 0.715209, 0.667046, 0.606455, 0.589285, 0.512579, 0.373616, 0.278094, 0.21482, 0.170476, 0.141828, 0.103811, 0.0904981, 0.0705911, 0.0493137, 0.0338535, 0.0252609, 0.0138233, 0.00750307, 0.00406861, 0.00119209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.416397");
-            index_3 ("0.207537, 0.248816, 0.259846, 0.275417, 0.287923, 0.32532, 0.535555, 0.666964, 0.749466, 0.810879, 0.870587, 1.11412, 1.23497, 1.31441, 1.39511, 1.5043, 1.58374, 1.70418, 1.78194, 1.86137, 1.9733, 2.11431, 2.27319, 2.43206, 2.67037, 2.98811");
-            values ( \
-              "0.727986, 0.815052, 0.832776, 0.842848, 0.842834, 0.831153, 0.746607, 0.689395, 0.647217, 0.609709, 0.567721, 0.375308, 0.288798, 0.239404, 0.195993, 0.147552, 0.119099, 0.0853367, 0.0685072, 0.0545673, 0.0395053, 0.0261592, 0.0163704, 0.0102176, 0.00501776, 0.00193954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.327655, 0.341059, 0.342716, 0.343137, 0.343979, 0.345664, 0.348433, 0.349612, 0.351971, 0.355198, 0.360208, 0.362267, 0.365392, 0.367683, 0.372352, 0.376584, 0.380593, 0.384604, 0.388602, 0.389168, 0.390658, 0.392246, 0.395424, 0.397361, 0.398161, 0.39976, 0.402958, 0.405444, 0.406186, 0.407671, 0.41064, 0.416537, 0.419101, 0.420283, 0.422646, 0.427373, 0.436827, 0.438579");
-            values ( \
-              "0.00416367, 0.0154544, 0.0185898, 0.0201069, 0.0221841, 0.0273571, 0.0374703, 0.043056, 0.0555256, 0.0753815, 0.100282, 0.109452, 0.123008, 0.13211, 0.149013, 0.160502, 0.16641, 0.16109, 0.125774, 0.118285, 0.101785, 0.0867001, 0.0635441, 0.053605, 0.0498786, 0.0430986, 0.0322217, 0.0257796, 0.0241639, 0.021146, 0.0163084, 0.00979507, 0.0080733, 0.00736278, 0.00624183, 0.00450377, 0.00257432, 0.00241223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00939319");
-            index_3 ("0.329944, 0.340941, 0.346459, 0.352793, 0.376561, 0.382475, 0.387872, 0.393106, 0.398326, 0.406558, 0.414209, 0.421683, 0.429927, 0.435303, 0.442576, 0.457374, 0.464375");
-            values ( \
-              "0.0104224, 0.0268756, 0.0484577, 0.0878273, 0.269446, 0.302889, 0.322598, 0.325308, 0.298409, 0.193751, 0.121193, 0.0754991, 0.0438168, 0.0305813, 0.0190228, 0.00751743, 0.00549744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0242374");
-            index_3 ("0.34108, 0.35334, 0.359213, 0.378714, 0.382711, 0.390706, 0.395676, 0.401036, 0.409458, 0.417877, 0.420716, 0.44381, 0.45714, 0.469581, 0.481874, 0.488643, 0.498229, 0.508528, 0.51647, 0.532353, 0.545239");
-            values ( \
-              "0.0498559, 0.114556, 0.169225, 0.377031, 0.415563, 0.476605, 0.501357, 0.516955, 0.519914, 0.494077, 0.476134, 0.264524, 0.171158, 0.110889, 0.0707978, 0.0549799, 0.03822, 0.0259019, 0.0191324, 0.0102943, 0.00655727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0625404");
-            index_3 ("0.341106, 0.360503, 0.385717, 0.396317, 0.404768, 0.418192, 0.421574, 0.428337, 0.438164, 0.454819, 0.463369, 0.47249, 0.482448, 0.515988, 0.543258, 0.556418, 0.571566, 0.581897, 0.607077, 0.622918, 0.641021, 0.658831, 0.68492, 0.719705, 0.752845");
-            values ( \
-              "0.031436, 0.200834, 0.513467, 0.607215, 0.65168, 0.682226, 0.683409, 0.681834, 0.670702, 0.637113, 0.612565, 0.580907, 0.538845, 0.375682, 0.261497, 0.216464, 0.172351, 0.146672, 0.0971622, 0.0743779, 0.0543585, 0.0397943, 0.0250206, 0.0131516, 0.00801072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.161374");
-            index_3 ("0.341021, 0.372022, 0.390116, 0.401611, 0.411525, 0.425304, 0.437564, 0.449154, 0.467342, 0.487026, 0.526735, 0.581768, 0.614709, 0.652972, 0.745715, 0.812515, 0.867579, 0.906062, 0.936812, 0.972905, 1.02103, 1.06232, 1.12529, 1.20473, 1.28417, 1.3212");
-            values ( \
-              "0.0163368, 0.358628, 0.59593, 0.693604, 0.742566, 0.775016, 0.78258, 0.78051, 0.769023, 0.751652, 0.711873, 0.649871, 0.600717, 0.529924, 0.345444, 0.235585, 0.166446, 0.128737, 0.104291, 0.0810233, 0.0573188, 0.0424815, 0.0267131, 0.0145814, 0.00791873, 0.00622821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.416397");
-            index_3 ("0.366137, 0.391384, 0.404952, 0.418569, 0.43725, 0.452097, 0.466554, 0.490478, 0.631251, 0.754213, 0.83365, 0.916154, 0.977567, 1.03728, 1.32223, 1.40166, 1.4811, 1.59883, 1.67099, 1.75043, 1.79143, 1.87086, 1.94862, 2.02806, 2.13998, 2.281, 2.43987, 2.59874, 2.83705, 3.1548");
-            values ( \
-              "0.612746, 0.624183, 0.737247, 0.797354, 0.828263, 0.834453, 0.833614, 0.827079, 0.775573, 0.72477, 0.689415, 0.647229, 0.609699, 0.56773, 0.344266, 0.288801, 0.239402, 0.178277, 0.14755, 0.119101, 0.106468, 0.0853388, 0.0685054, 0.0545696, 0.0395028, 0.0261566, 0.0163678, 0.0102148, 0.00502072, 0.00194257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.638351, 0.653424, 0.661108, 0.668227, 0.671352, 0.677601, 0.679761, 0.689268, 0.696415, 0.702593, 0.708253, 0.713611, 0.718882, 0.724145, 0.731284, 0.735238, 0.742439, 0.747059, 0.750633, 0.757642, 0.762401, 0.771677, 0.784045, 0.792252");
-            values ( \
-              "0.00217121, 0.0068904, 0.0127617, 0.0212626, 0.0270756, 0.0442338, 0.0533395, 0.0837232, 0.100022, 0.111979, 0.119619, 0.124903, 0.124113, 0.106128, 0.0569468, 0.0415251, 0.0230872, 0.0160687, 0.0121594, 0.00719811, 0.00535444, 0.00329422, 0.001968, 0.0015693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00939319");
-            index_3 ("0.637612, 0.660987, 0.669642, 0.675822, 0.703391, 0.715138, 0.722218, 0.728868, 0.735487, 0.756483, 0.764222, 0.775365, 0.788864, 0.801017");
-            values ( \
-              "0.00420992, 0.0217053, 0.0408062, 0.0611964, 0.186544, 0.228958, 0.24846, 0.259885, 0.251736, 0.0910174, 0.0572986, 0.0288885, 0.0128209, 0.00733038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0242374");
-            index_3 ("0.638888, 0.665228, 0.673652, 0.679189, 0.684888, 0.726936, 0.737588, 0.747371, 0.756989, 0.76659, 0.779964, 0.787156, 0.796098, 0.804302, 0.809864, 0.817111, 0.823492, 0.830257, 0.839962, 0.850214, 0.858098, 0.873866, 0.891423");
-            values ( \
-              "0.00778419, 0.0431689, 0.0726813, 0.0981313, 0.129782, 0.386222, 0.43384, 0.457282, 0.451735, 0.40291, 0.288867, 0.233766, 0.176475, 0.134318, 0.110822, 0.0856272, 0.0680152, 0.0530579, 0.0369254, 0.0252505, 0.0188093, 0.0103326, 0.00597989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0625404");
-            index_3 ("0.665494, 0.682656, 0.700212, 0.727887, 0.741099, 0.756456, 0.759892, 0.766764, 0.777499, 0.79504, 0.802848, 0.814126, 0.820562, 0.833434, 0.848818, 0.866892, 0.886606, 0.902501, 0.914754, 0.93109, 0.943645, 0.953665, 0.967025, 0.98947, 0.999696, 1.01466, 1.0346, 1.0745, 1.10554");
-            values ( \
-              "0.127977, 0.134465, 0.254062, 0.473778, 0.561411, 0.624041, 0.631197, 0.641036, 0.643114, 0.622847, 0.604684, 0.569291, 0.544079, 0.485328, 0.410266, 0.327786, 0.250233, 0.198135, 0.16429, 0.126656, 0.103151, 0.0873203, 0.0696258, 0.0471049, 0.0394092, 0.0302882, 0.0211949, 0.0100601, 0.00644197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.161374");
-            index_3 ("0.665472, 0.69835, 0.738323, 0.7482, 0.765549, 0.787161, 0.794924, 0.803796, 0.82154, 0.836546, 0.866398, 0.908621, 0.951545, 0.960814, 0.997889, 1.0644, 1.09521, 1.12684, 1.16804, 1.20275, 1.24274, 1.27833, 1.31237, 1.37086, 1.40356, 1.4568, 1.52778, 1.60721, 1.68665, 1.84552");
-            values ( \
-              "0.0999027, 0.2535, 0.598099, 0.662154, 0.731608, 0.762173, 0.763115, 0.76133, 0.751532, 0.739402, 0.710945, 0.664151, 0.604157, 0.588485, 0.518714, 0.385061, 0.327977, 0.274741, 0.214243, 0.17198, 0.132299, 0.103865, 0.0818536, 0.0535985, 0.0422792, 0.0285921, 0.0167159, 0.00908672, 0.00492674, 0.00144228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.416397");
-            index_3 ("0.699337, 0.779897, 0.798135, 0.805568, 0.820434, 0.844956, 0.870804, 1.07257, 1.13673, 1.24943, 1.31767, 1.37162, 1.66284, 1.74227, 1.82171, 1.9382, 2.01059, 2.13144, 2.21087, 2.28863, 2.36807, 2.48, 2.62102, 2.77989, 2.93876, 3.17707, 3.49482");
-            values ( \
-              "0.540207, 0.805377, 0.822648, 0.824962, 0.825436, 0.820424, 0.811591, 0.732093, 0.704681, 0.650697, 0.609654, 0.57189, 0.343844, 0.288397, 0.239068, 0.17858, 0.147712, 0.106469, 0.085342, 0.0685057, 0.0545714, 0.0395034, 0.0261571, 0.0163685, 0.0102158, 0.00501937, 0.00194102" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.00331385, 0.00331475, 0.00331618, 0.00331739, 0.00331806, 0.00331836", \
-            "0.00428477, 0.00428475, 0.0042851, 0.00428584, 0.00428645, 0.00428678", \
-            "0.00492834, 0.00492835, 0.00492836, 0.00492847, 0.00492876, 0.004929", \
-            "0.0053502, 0.00535171, 0.00535036, 0.00535041, 0.0053508, 0.00535094", \
-            "0.00563758, 0.0056375, 0.00563742, 0.00563715, 0.00563724, 0.00563726", \
-            "0.00585554, 0.00585537, 0.00585503, 0.00585458, 0.00585422, 0.00585408" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.00520174, 0.00520109, 0.00520135, 0.00520271, 0.00520382, 0.00520441", \
-            "0.00559745, 0.00559918, 0.00560776, 0.0056041, 0.00560151, 0.00560239", \
-            "0.00559037, 0.00558819, 0.00558521, 0.00558259, 0.00558104, 0.0055804", \
-            "0.0060058, 0.00602812, 0.0060432, 0.0060484, 0.00605236, 0.00605327", \
-            "0.00621836, 0.00620316, 0.00629538, 0.0064541, 0.00652262, 0.00655087", \
-            "0.00596669, 0.00596785, 0.00596793, 0.00597287, 0.00611166, 0.00620817" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0534074, 0.0586607, 0.0601504, 0.0630112, 0.0667819, 0.0725909, 0.0751219, 0.0775535, 0.0799814, 0.0826629, 0.0866701, 0.0895293, 0.0928338, 0.0952218, 0.100527, 0.105763, 0.110561");
-            values ( \
-              "-0.000721995, -0.0769382, -0.0896385, -0.146096, -0.197864, -0.250732, -0.266222, -0.273889, -0.266537, -0.222963, -0.120727, -0.06868, -0.0336446, -0.0200191, -0.00653395, -0.00272022, -0.00243535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00939319");
-            index_3 ("0.0537748, 0.0717442, 0.0743971, 0.0793199, 0.0835704, 0.0871249, 0.0906795, 0.0928626, 0.0999613, 0.104564, 0.107928, 0.112452, 0.117521, 0.123313, 0.131492, 0.154515");
-            values ( \
-              "-0.0069051, -0.36747, -0.400732, -0.448383, -0.472866, -0.478899, -0.454339, -0.420007, -0.227466, -0.130913, -0.0846339, -0.045893, -0.0229903, -0.0102507, -0.00346321, -0.000528985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0242374");
-            index_3 ("0.0542374, 0.0761296, 0.0809695, 0.0879596, 0.0944168, 0.100685, 0.106944, 0.114055, 0.117397, 0.133392, 0.141757, 0.148767, 0.154093, 0.159718, 0.172693, 0.174822");
-            values ( \
-              "-0.0183328, -0.530881, -0.59262, -0.65782, -0.690523, -0.704102, -0.691253, -0.633408, -0.581542, -0.261951, -0.155487, -0.0980591, -0.0683164, -0.0465794, -0.0187384, -0.0169569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0625404");
-            index_3 ("0.0549216, 0.0746483, 0.0834925, 0.0918583, 0.0981158, 0.108709, 0.116781, 0.124282, 0.13714, 0.148193, 0.155131, 0.164794, 0.179307, 0.191385, 0.207642, 0.220785, 0.22973, 0.245858, 0.258213, 0.271155, 0.281084, 0.300941, 0.328176");
-            values ( \
-              "-0.00781754, -0.563234, -0.701744, -0.784915, -0.824447, -0.863611, -0.875224, -0.87674, -0.863442, -0.833704, -0.805543, -0.747736, -0.602537, -0.465351, -0.312699, -0.221016, -0.172816, -0.109547, -0.0764568, -0.0523795, -0.0390353, -0.0212951, -0.0100511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.161374");
-            index_3 ("0.0650466, 0.0873163, 0.0970382, 0.10426, 0.114482, 0.124976, 0.13475, 0.149711, 0.187734, 0.225983, 0.257356, 0.273901, 0.293371, 0.329178, 0.35967, 0.399486, 0.431402, 0.4541, 0.473426, 0.494969, 0.525593, 0.557285, 0.581536, 0.630039, 0.690993");
-            values ( \
-              "-0.530123, -0.787219, -0.872525, -0.914379, -0.948569, -0.968022, -0.974536, -0.976421, -0.954898, -0.917086, -0.872844, -0.839522, -0.78534, -0.628168, -0.481486, -0.324467, -0.231014, -0.17967, -0.144552, -0.112935, -0.0789408, -0.0544428, -0.040822, -0.0225667, -0.0107175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.416397");
-            index_3 ("0.074866, 0.123732, 0.136776, 0.149438, 0.167681, 0.22284, 0.297608, 0.36214, 0.446555, 0.535388, 0.59992, 0.623986, 0.670021, 0.775474, 0.878801, 0.96844, 1.02975, 1.08542, 1.13881, 1.20334, 1.26739, 1.3699, 1.43443, 1.56349, 1.69256, 1.88616");
-            values ( \
-              "-0.998258, -1.00254, -1.01781, -1.02411, -1.02537, -1.01388, -0.991812, -0.970464, -0.93651, -0.886603, -0.829666, -0.799716, -0.724633, -0.518312, -0.348368, -0.239905, -0.183705, -0.143585, -0.112979, -0.084035, -0.0627226, -0.0389606, -0.0286789, -0.0155831, -0.00843318, -0.00346511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0754586, 0.0802914, 0.0837507, 0.0886496, 0.0927551, 0.0952946, 0.0977283, 0.100158, 0.102841, 0.109554, 0.111907, 0.116202, 0.118118");
-            values ( \
-              "-0.0564017, -0.0889781, -0.155219, -0.215334, -0.250445, -0.265903, -0.273726, -0.266346, -0.222891, -0.0707603, -0.0431008, -0.017022, -0.0129053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00939319");
-            index_3 ("0.075988, 0.0801893, 0.0890328, 0.096199, 0.100083, 0.103733, 0.107292, 0.110846, 0.113033, 0.12473, 0.132619, 0.137685, 0.138094");
-            values ( \
-              "-0.0154248, -0.145782, -0.3247, -0.41846, -0.452409, -0.473289, -0.478518, -0.454714, -0.419945, -0.130926, -0.0458984, -0.0229895, -0.0220923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0242374");
-            index_3 ("0.0764994, 0.0862707, 0.0908285, 0.0962823, 0.101066, 0.108047, 0.114513, 0.120781, 0.12704, 0.134151, 0.137571, 0.153484, 0.161848, 0.168868, 0.174192, 0.179808, 0.19276, 0.195094");
-            values ( \
-              "-0.0184474, -0.322155, -0.437661, -0.531505, -0.592783, -0.657583, -0.690657, -0.703964, -0.691365, -0.633411, -0.58008, -0.262004, -0.155531, -0.0980236, -0.0683037, -0.046599, -0.0187789, -0.0168218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0625404");
-            index_3 ("0.0760922, 0.0948568, 0.10368, 0.10969, 0.118301, 0.125382, 0.131557, 0.14446, 0.152328, 0.157379, 0.167481, 0.177149, 0.184956, 0.19955, 0.214854, 0.227416, 0.238651, 0.24804, 0.26129, 0.269127, 0.279936, 0.289717, 0.302759, 0.324026, 0.349836");
-            values ( \
-              "-0.0327995, -0.567836, -0.7036, -0.765002, -0.825664, -0.853447, -0.868895, -0.877205, -0.870847, -0.862632, -0.836954, -0.796594, -0.747622, -0.601559, -0.430761, -0.315739, -0.235151, -0.18188, -0.125493, -0.100234, -0.0731849, -0.0549782, -0.0372663, -0.0194269, -0.00967494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.161374");
-            index_3 ("0.084147, 0.0947937, 0.10732, 0.117012, 0.124252, 0.134499, 0.144975, 0.154736, 0.169696, 0.207846, 0.228829, 0.245968, 0.277337, 0.293945, 0.313361, 0.349169, 0.379631, 0.419469, 0.45142, 0.474099, 0.493386, 0.514938, 0.545569, 0.577288, 0.601563, 0.650113, 0.714645, 0.728959");
-            values ( \
-              "-0.499476, -0.592078, -0.78804, -0.87297, -0.914697, -0.948857, -0.968147, -0.974594, -0.976466, -0.954827, -0.935975, -0.917054, -0.872883, -0.839389, -0.785322, -0.62814, -0.481593, -0.324471, -0.230931, -0.179644, -0.144595, -0.112956, -0.0789495, -0.0544318, -0.0408014, -0.022542, -0.0100102, -0.00911711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.416397");
-            index_3 ("0.0943363, 0.143939, 0.157006, 0.169621, 0.187904, 0.243024, 0.317791, 0.382324, 0.466738, 0.555571, 0.620104, 0.644169, 0.690205, 0.795656, 0.898984, 0.988624, 1.04994, 1.10561, 1.15899, 1.22353, 1.28758, 1.39008, 1.45461, 1.58368, 1.71274, 1.90634");
-            values ( \
-              "-0.969293, -1.00267, -1.01798, -1.02411, -1.0254, -1.0139, -0.99183, -0.970447, -0.936514, -0.88659, -0.829674, -0.799708, -0.724637, -0.518323, -0.348378, -0.239892, -0.183717, -0.1436, -0.112963, -0.0840508, -0.0627024, -0.0389395, -0.0286993, -0.0156042, -0.00845493, -0.00344242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.118214, 0.125525, 0.129242, 0.133365, 0.138834, 0.143281, 0.144308, 0.145704, 0.14815, 0.155607, 0.157706, 0.161628, 0.16564, 0.166872");
-            values ( \
-              "-0.00586599, -0.0837606, -0.152716, -0.204771, -0.252363, -0.271963, -0.271882, -0.264178, -0.226556, -0.0638823, -0.0408631, -0.0174181, -0.00758572, -0.00651188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00939319");
-            index_3 ("0.120904, 0.132053, 0.137327, 0.141683, 0.145593, 0.149261, 0.152832, 0.156398, 0.158378, 0.165688, 0.170317, 0.173642, 0.178173, 0.18333, 0.189222, 0.197388, 0.220451");
-            values ( \
-              "-0.0255671, -0.275487, -0.361853, -0.414952, -0.450085, -0.471217, -0.47731, -0.45357, -0.423208, -0.227302, -0.130505, -0.0848224, -0.0459693, -0.0227651, -0.0100087, -0.00340816, -0.000524982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0242374");
-            index_3 ("0.120741, 0.13534, 0.141711, 0.146555, 0.153566, 0.160047, 0.166325, 0.172594, 0.179698, 0.183073, 0.199046, 0.207412, 0.214428, 0.219753, 0.225374, 0.238338, 0.243614");
-            values ( \
-              "-0.00053426, -0.409995, -0.525892, -0.590063, -0.655157, -0.689696, -0.702766, -0.691065, -0.633202, -0.580891, -0.261942, -0.155493, -0.0980369, -0.0683079, -0.0465886, -0.0187593, -0.0143381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0625404");
-            index_3 ("0.125799, 0.138114, 0.145144, 0.149317, 0.157664, 0.163951, 0.174678, 0.182362, 0.190124, 0.202984, 0.208343, 0.213787, 0.221455, 0.230635, 0.245168, 0.257144, 0.267262, 0.273477, 0.286735, 0.295614, 0.302881, 0.311645, 0.324022, 0.337051, 0.347056, 0.367065, 0.403182, 0.446014");
-            values ( \
-              "-0.194953, -0.511804, -0.643981, -0.700877, -0.784267, -0.824076, -0.863781, -0.874925, -0.876685, -0.863412, -0.850965, -0.834537, -0.803237, -0.747743, -0.60225, -0.466204, -0.365824, -0.312742, -0.220361, -0.172613, -0.140864, -0.109715, -0.0765288, -0.0522969, -0.0388795, -0.0211094, -0.00632945, -0.00138928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.161374");
-            index_3 ("0.130614, 0.140626, 0.14564, 0.152102, 0.159456, 0.16786, 0.174542, 0.183451, 0.197033, 0.207658, 0.219009, 0.230361, 0.253063, 0.275907, 0.291807, 0.323197, 0.339486, 0.356861, 0.373057, 0.419771, 0.449886, 0.462037, 0.482532, 0.505955, 0.525639, 0.548918, 0.579958, 0.597793, 0.616176, 0.640687, 0.689708, 0.754241, 0.818773, 0.883306");
-            values ( \
-              "-0.571906, -0.589475, -0.68219, -0.775165, -0.847035, -0.90284, -0.931364, -0.955571, -0.973215, -0.976516, -0.974866, -0.9701, -0.954876, -0.934433, -0.91731, -0.872588, -0.840093, -0.793002, -0.731583, -0.50649, -0.378671, -0.334621, -0.269908, -0.20914, -0.16801, -0.129057, -0.0899796, -0.0730462, -0.0588809, -0.0440369, -0.0241918, -0.0107516, -0.00473293, -0.00211571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.416397");
-            index_3 ("0.137936, 0.171709, 0.18958, 0.202867, 0.234193, 0.288855, 0.363616, 0.440208, 0.512563, 0.601396, 0.665928, 0.689994, 0.73603, 0.841485, 0.94765, 1.02978, 1.09394, 1.15483, 1.207, 1.27153, 1.36813, 1.43266, 1.49719, 1.62626, 1.75532, 1.94892");
-            values ( \
-              "-0.901266, -0.948353, -1.0017, -1.0186, -1.02587, -1.01433, -0.992249, -0.966347, -0.93687, -0.88685, -0.829428, -0.799937, -0.724422, -0.518166, -0.344245, -0.244828, -0.185031, -0.141286, -0.111931, -0.0830937, -0.0532449, -0.0395969, -0.0290639, -0.0157756, -0.00852176, -0.00355146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.20858, 0.219889, 0.222796, 0.226554, 0.232771, 0.238967, 0.24172, 0.244421, 0.247331, 0.251637, 0.254713, 0.257431, 0.260779, 0.26567, 0.271247, 0.28255");
-            values ( \
-              "-0.000463147, -0.05754, -0.085694, -0.134687, -0.189279, -0.232122, -0.24354, -0.242792, -0.207546, -0.113448, -0.0635836, -0.0365748, -0.0182807, -0.00714868, -0.002867, -0.00216125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00939319");
-            index_3 ("0.213879, 0.218497, 0.221993, 0.22809, 0.23959, 0.243965, 0.247989, 0.251835, 0.253617, 0.255676, 0.259553, 0.268103, 0.271802, 0.276398, 0.27882, 0.282606, 0.287654, 0.294545");
-            values ( \
-              "-0.0584959, -0.0880249, -0.117258, -0.217037, -0.365085, -0.406519, -0.433588, -0.44474, -0.443048, -0.429692, -0.369408, -0.160729, -0.101678, -0.0556616, -0.0402982, -0.0242863, -0.0122596, -0.00540055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0242374");
-            index_3 ("0.214503, 0.22008, 0.226081, 0.232808, 0.244797, 0.249114, 0.256066, 0.262625, 0.265764, 0.272186, 0.279317, 0.282147, 0.299261, 0.306885, 0.312014, 0.32207, 0.333148, 0.33499");
-            values ( \
-              "-0.0824581, -0.136847, -0.214482, -0.348488, -0.532886, -0.581912, -0.640184, -0.674021, -0.680698, -0.67837, -0.626753, -0.587349, -0.255415, -0.15897, -0.113914, -0.0578978, -0.0268481, -0.0245719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0625404");
-            index_3 ("0.208504, 0.259389, 0.269911, 0.276519, 0.28959, 0.302638, 0.315643, 0.325233, 0.330242, 0.340259, 0.358479, 0.373068, 0.386904, 0.397264, 0.408586, 0.427355, 0.451724, 0.477719, 0.489247");
-            values ( \
-              "-0.0108663, -0.766924, -0.831247, -0.853437, -0.87018, -0.860006, -0.825328, -0.78047, -0.747293, -0.652592, -0.447156, -0.312155, -0.216537, -0.162727, -0.118188, -0.0684254, -0.0332675, -0.0147517, -0.0114992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.161374");
-            index_3 ("0.206107, 0.269233, 0.280323, 0.290679, 0.300103, 0.314749, 0.329993, 0.360292, 0.391423, 0.423899, 0.43908, 0.456428, 0.472954, 0.518088, 0.561398, 0.608629, 0.645138, 0.66514, 0.704422, 0.771053, 0.835585, 0.841419");
-            values ( \
-              "-0.0308365, -0.889696, -0.938496, -0.96023, -0.971014, -0.973635, -0.969854, -0.948899, -0.917715, -0.870637, -0.840152, -0.793153, -0.730366, -0.512546, -0.335497, -0.20214, -0.134144, -0.1066, -0.0673398, -0.0302532, -0.0134476, -0.0129589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.416397");
-            index_3 ("0.2265, 0.25656, 0.271806, 0.289175, 0.301349, 0.315095, 0.331832, 0.3795, 0.463306, 0.578293, 0.618799, 0.683331, 0.73671, 0.789595, 0.854128, 0.954215, 1.05926, 1.12911, 1.19365, 1.25505, 1.3071, 1.37163, 1.43215, 1.51801, 1.64708, 1.77614, 1.90521, 2.09881");
-            values ( \
-              "-0.438359, -0.80628, -0.930883, -0.993676, -1.01269, -1.02179, -1.02475, -1.0164, -0.992043, -0.95103, -0.933692, -0.898307, -0.858313, -0.800035, -0.689545, -0.494876, -0.328062, -0.244912, -0.185668, -0.141574, -0.111321, -0.0835131, -0.0625817, -0.0418868, -0.022673, -0.0121442, -0.00640392, -0.00313597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.395466, 0.405949, 0.410577, 0.414954, 0.417651, 0.423243, 0.430319, 0.438871, 0.442325, 0.445894, 0.451555, 0.454653, 0.457531, 0.459369, 0.46143");
-            values ( \
-              "-0.0106484, -0.0310874, -0.0477256, -0.0702167, -0.0911648, -0.122942, -0.157086, -0.19343, -0.186924, -0.172919, -0.0892854, -0.0530523, -0.0307742, -0.0218961, -0.0148472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00939319");
-            index_3 ("0.397074, 0.406184, 0.409773, 0.413205, 0.418443, 0.420173, 0.428935, 0.435571, 0.441224, 0.446276, 0.450965, 0.455533, 0.460376, 0.468048, 0.472222, 0.47441, 0.477327, 0.481032, 0.483531, 0.488527, 0.49348");
-            values ( \
-              "-0.0302886, -0.0572253, -0.0709192, -0.0906115, -0.136196, -0.155514, -0.229585, -0.27966, -0.319451, -0.349845, -0.370458, -0.371674, -0.323775, -0.170471, -0.107034, -0.0824951, -0.0575055, -0.0360537, -0.0262132, -0.0138735, -0.00846415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0242374");
-            index_3 ("0.399581, 0.411528, 0.416571, 0.450702, 0.458731, 0.466103, 0.47323, 0.480342, 0.483638, 0.503643, 0.510477, 0.517804, 0.525037, 0.5377, 0.54775");
-            values ( \
-              "-0.0533779, -0.116725, -0.153666, -0.513484, -0.572837, -0.608692, -0.618711, -0.590535, -0.558651, -0.222319, -0.146431, -0.0915461, -0.0566832, -0.0238878, -0.0125602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0625404");
-            index_3 ("0.395638, 0.406974, 0.419021, 0.425504, 0.45225, 0.464907, 0.477708, 0.491683, 0.505302, 0.518925, 0.528779, 0.533664, 0.54118, 0.565616, 0.581158, 0.598408, 0.607424, 0.619444, 0.628818, 0.6392, 0.653044, 0.674679");
-            values ( \
-              "-0.0566967, -0.0985657, -0.201328, -0.270258, -0.60866, -0.720565, -0.788921, -0.824646, -0.828691, -0.804026, -0.764025, -0.734798, -0.671995, -0.410248, -0.278749, -0.175171, -0.136199, -0.0964421, -0.073467, -0.0542375, -0.0358871, -0.0195778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.161374");
-            index_3 ("0.406824, 0.468976, 0.484017, 0.500193, 0.51194, 0.532599, 0.570944, 0.594621, 0.618575, 0.643693, 0.662065, 0.768124, 0.822943, 0.8634, 0.926175, 0.996457");
-            values ( \
-              "-0.126212, -0.808897, -0.889145, -0.931764, -0.945943, -0.950961, -0.935121, -0.915368, -0.884433, -0.836836, -0.785126, -0.324528, -0.179305, -0.113237, -0.0542943, -0.0237235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.416397");
-            index_3 ("0.406661, 0.456369, 0.477553, 0.493437, 0.51677, 0.535504, 0.578621, 0.608275, 0.665957, 0.779271, 0.821451, 0.885983, 0.939363, 0.992249, 1.05678, 1.16903, 1.25699, 1.32589, 1.39043, 1.48178, 1.54632, 1.615, 1.71209, 1.77662, 1.97022, 2.02852");
-            values ( \
-              "-0.0950876, -0.719821, -0.890548, -0.955387, -0.996478, -1.00698, -1.01244, -1.00814, -0.991864, -0.951891, -0.933507, -0.898502, -0.858539, -0.799772, -0.689848, -0.472689, -0.334838, -0.251372, -0.190187, -0.126745, -0.0945873, -0.0690364, -0.0440091, -0.0324636, -0.0130952, -0.0112339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.787687, 0.802306, 0.806136, 0.809791, 0.821023, 0.827847, 0.838771, 0.84354, 0.848114, 0.85268, 0.860836, 0.865422, 0.86991, 0.87435, 0.87811, 0.885541, 0.966432");
-            values ( \
-              "-0.0168844, -0.0259738, -0.0335791, -0.0443637, -0.0860737, -0.10608, -0.132756, -0.141557, -0.145621, -0.138091, -0.0647169, -0.0329267, -0.0156598, -0.00750773, -0.00412632, -0.00159713, -0.000158463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00939319");
-            index_3 ("0.780759, 0.797469, 0.807764, 0.813294, 0.826189, 0.834582, 0.845649, 0.852275, 0.8584, 0.864287, 0.870162, 0.881278, 0.886712, 0.892944, 0.897373, 0.90328, 0.912641, 0.923945, 0.938534, 0.983057");
-            values ( \
-              "-0.00371285, -0.0367717, -0.063146, -0.0861195, -0.157618, -0.196984, -0.243198, -0.266831, -0.284326, -0.291545, -0.268315, -0.123878, -0.0709762, -0.0351172, -0.0211458, -0.0107736, -0.0041102, -0.00191296, -0.00109999, -0.000266264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0242374");
-            index_3 ("0.786318, 0.804523, 0.813553, 0.845222, 0.86107, 0.867646, 0.87688, 0.885448, 0.893852, 0.902236, 0.914508, 0.920781, 0.926826, 0.935326, 0.939596, 0.944427, 0.950869, 0.963754, 0.980769, 1.00165");
-            values ( \
-              "-0.0158257, -0.0800566, -0.118054, -0.322583, -0.416476, -0.452132, -0.495199, -0.52228, -0.518376, -0.45056, -0.269225, -0.191829, -0.134751, -0.0795859, -0.0606027, -0.0444247, -0.029178, -0.0120732, -0.00376727, -0.00104262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0625404");
-            index_3 ("0.793693, 0.815038, 0.827322, 0.84849, 0.871666, 0.886964, 0.894586, 0.905161, 0.919919, 0.934507, 0.949087, 0.950773, 0.957519, 0.980958, 0.990176, 0.996121, 1.0067, 1.01567, 1.02304, 1.03186, 1.0443, 1.04983, 1.05731, 1.06728, 1.08722, 1.12339, 1.16624");
-            values ( \
-              "-0.0577901, -0.153215, -0.230818, -0.396894, -0.573705, -0.672532, -0.710921, -0.74926, -0.775808, -0.769064, -0.718309, -0.708916, -0.65776, -0.41715, -0.334425, -0.288148, -0.218593, -0.171355, -0.139754, -0.108963, -0.0760926, -0.0648587, -0.0521849, -0.0389145, -0.0212642, -0.00641556, -0.00142416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.161374");
-            index_3 ("0.802212, 0.830308, 0.879152, 0.895516, 0.911528, 0.924588, 0.942002, 0.959967, 0.979288, 1.00835, 1.02629, 1.04375, 1.07694, 1.09127, 1.15386, 1.18536, 1.22801, 1.27569, 1.32171, 1.3803, 1.44281, 1.4477");
-            values ( \
-              "-0.142992, -0.270758, -0.683415, -0.790549, -0.86098, -0.895943, -0.921635, -0.930624, -0.92817, -0.908493, -0.888453, -0.862744, -0.786892, -0.734877, -0.442841, -0.323348, -0.206454, -0.120994, -0.070681, -0.0352704, -0.0161573, -0.0156644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.416397");
-            index_3 ("0.823557, 0.860708, 0.888003, 0.903864, 0.918776, 0.930602, 0.952732, 0.976224, 1.00355, 1.08171, 1.14624, 1.25253, 1.32029, 1.37168, 1.40887, 1.4734, 1.58546, 1.67352, 1.74279, 1.80732, 1.84235, 1.89736, 1.9619, 2.03145, 2.12908, 2.19361, 2.25814, 2.38721, 2.51627, 2.70987");
-            values ( \
-              "-0.503003, -0.54271, -0.774174, -0.867126, -0.924282, -0.954909, -0.987568, -1.00084, -1.00256, -0.985212, -0.964964, -0.925433, -0.886649, -0.843646, -0.799726, -0.689657, -0.472954, -0.334914, -0.251037, -0.189876, -0.162729, -0.127324, -0.0949886, -0.0690479, -0.0438996, -0.032415, -0.0239728, -0.0130498, -0.00709446, -0.0028054" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.00310778, 0.00310938, 0.00311143, 0.00311295, 0.00311375, 0.0031141", \
-            "0.00372459, 0.00372509, 0.00372614, 0.00372735, 0.00372816, 0.00372856", \
-            "0.00409441, 0.00409445, 0.0040946, 0.00409504, 0.00409553, 0.00409583", \
-            "0.0043109, 0.00431222, 0.00431219, 0.00431226, 0.00431242, 0.00431258", \
-            "0.00442781, 0.00442777, 0.00442772, 0.00442767, 0.00442768, 0.00442774", \
-            "0.00449389, 0.00449387, 0.00449385, 0.00449385, 0.00449388, 0.00449395" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.00449858, 0.00450061, 0.00450369, 0.00450686, 0.0045088, 0.00450969", \
-            "0.00498859, 0.00498737, 0.00498649, 0.00498699, 0.00498801, 0.00498871", \
-            "0.00529366, 0.00528942, 0.00528389, 0.00527932, 0.005277, 0.00527605", \
-            "0.00562817, 0.00562027, 0.0056189, 0.00561032, 0.00560311, 0.00559857", \
-            "0.005995, 0.00608388, 0.00615375, 0.00619116, 0.00620913, 0.00621616", \
-            "0.00713423, 0.00713048, 0.00710042, 0.0071131, 0.00730512, 0.00738294" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.0114119, 0.0116278, 0.0118085, 0.0118317, 0.0117832, 0.0117427", \
-            "0.0112284, 0.0114458, 0.0116324, 0.011691, 0.0116557, 0.0116126", \
-            "0.0110209, 0.0111315, 0.011317, 0.0114393, 0.011449, 0.0114187", \
-            "0.0110504, 0.0111308, 0.0112543, 0.0114037, 0.0114588, 0.0114426", \
-            "0.0118528, 0.0118158, 0.0118115, 0.0119294, 0.0119286, 0.01192", \
-            "0.0148085, 0.0145954, 0.0143337, 0.0141355, 0.0140899, 0.0140003" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00939319, 0.0242374, 0.0625404, 0.161374, 0.416397");
-          values ( \
-            "0.0186561, 0.0188615, 0.0190958, 0.0192049, 0.019221, 0.0192144", \
-            "0.0184647, 0.0186621, 0.0189234, 0.0190664, 0.0191014, 0.0190998", \
-            "0.0183001, 0.0184605, 0.0187326, 0.0189703, 0.0190714, 0.019098", \
-            "0.018606, 0.0189249, 0.0191015, 0.0193276, 0.0194894, 0.0195582", \
-            "0.0199694, 0.0199424, 0.0200566, 0.0203421, 0.0205091, 0.0205776", \
-            "0.0236358, 0.0232836, 0.0231539, 0.0232249, 0.023332, 0.0233929" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00563039;
-      rise_capacitance : 0.00563039;
-      rise_capacitance_range (0.00430238, 0.00563039);
-      fall_capacitance : 0.00557274;
-      fall_capacitance_range (0.00388914, 0.00557274);
-    }
-  }
-  cell (BUFX4) {
-    area : 18.315;
-    cell_footprint : "BUF";
-    cell_leakage_power : 0.69034;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.297847;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.08283;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.69034;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.770199;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.0776534, 0.0861779, 0.104867, 0.146972, 0.256651, 0.572317", \
-            "0.08255, 0.0910706, 0.109763, 0.151908, 0.261595, 0.577268", \
-            "0.0938173, 0.102329, 0.120965, 0.163116, 0.272808, 0.588504", \
-            "0.115441, 0.124245, 0.143045, 0.185356, 0.29528, 0.611032", \
-            "0.139141, 0.149401, 0.170343, 0.21432, 0.324507, 0.640197", \
-            "0.153501, 0.165655, 0.190519, 0.2384, 0.349673, 0.664936" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.0209059, 0.0279263, 0.0463981, 0.0984982, 0.256067, 0.725771", \
-            "0.0208873, 0.0278958, 0.0463791, 0.09849, 0.256073, 0.725771", \
-            "0.020909, 0.0279145, 0.0463967, 0.0985199, 0.256084, 0.725771", \
-            "0.0233736, 0.0300239, 0.0479067, 0.0991356, 0.256209, 0.725771", \
-            "0.0296594, 0.0366293, 0.0535806, 0.102667, 0.257244, 0.725797", \
-            "0.0383047, 0.0469419, 0.0648572, 0.110682, 0.260069, 0.72651" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.085529, 0.0930475, 0.109829, 0.145233, 0.229262, 0.462869", \
-            "0.0916988, 0.0992055, 0.115982, 0.151369, 0.235435, 0.469044", \
-            "0.10645, 0.113933, 0.130668, 0.166088, 0.250174, 0.483834", \
-            "0.140283, 0.14775, 0.164357, 0.199733, 0.283749, 0.517347", \
-            "0.199881, 0.208557, 0.227211, 0.264767, 0.349705, 0.583319", \
-            "0.289258, 0.299697, 0.32248, 0.366078, 0.455341, 0.689381" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.0193177, 0.0252113, 0.0388467, 0.0734697, 0.175899, 0.488566", \
-            "0.0193301, 0.0252015, 0.0388521, 0.0734053, 0.175892, 0.488665", \
-            "0.0193173, 0.0252292, 0.0388847, 0.073458, 0.175725, 0.488663", \
-            "0.01976, 0.0255599, 0.0392177, 0.0737635, 0.175994, 0.488655", \
-            "0.0257176, 0.031795, 0.0454054, 0.0781443, 0.177443, 0.488736", \
-            "0.0355709, 0.0424746, 0.0579881, 0.0906125, 0.184281, 0.490184" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0654168, 0.0685894, 0.076346, 0.0826123, 0.0892946, 0.0925056, 0.0957143, 0.103137, 0.10709, 0.113784, 0.11696, 0.122654, 0.132603, 0.151085, 0.1569");
-            values ( \
-              "0.0401145, 0.060633, 0.144413, 0.185897, 0.20583, 0.202763, 0.193609, 0.0875639, 0.0562002, 0.0281177, 0.0206844, 0.0121063, 0.00543875, 0.00205003, 0.00193388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0106226");
-            index_3 ("0.0669634, 0.0841076, 0.0890608, 0.0935575, 0.0978501, 0.102112, 0.106371, 0.114327, 0.121546, 0.130498, 0.138114, 0.147949, 0.163473, 0.164486");
-            values ( \
-              "0.091179, 0.362021, 0.410767, 0.439865, 0.45115, 0.447027, 0.411768, 0.238341, 0.135621, 0.0673556, 0.0372445, 0.0180402, 0.00700296, 0.00685916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0309974");
-            index_3 ("0.0698045, 0.0858713, 0.0948717, 0.102549, 0.10968, 0.116655, 0.123622, 0.12731, 0.145481, 0.155709, 0.175563, 0.190041, 0.209415");
-            values ( \
-              "0.178415, 0.54422, 0.68913, 0.764848, 0.799556, 0.802853, 0.772526, 0.732478, 0.401219, 0.262302, 0.107837, 0.0549813, 0.0246067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0904519");
-            index_3 ("0.0633623, 0.0991542, 0.108566, 0.115299, 0.128766, 0.136506, 0.144033, 0.158228, 0.167573, 0.186445, 0.217855, 0.241593, 0.258422, 0.283699, 0.302749, 0.320036, 0.352557, 0.380844, 0.403192");
-            values ( \
-              "0.000860304, 0.90949, 1.03227, 1.08745, 1.14568, 1.1512, 1.14518, 1.1047, 1.05696, 0.896651, 0.568881, 0.376528, 0.27526, 0.167279, 0.113332, 0.0788968, 0.0397602, 0.0214704, 0.0148999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.263943");
-            index_3 ("0.0738815, 0.0908796, 0.0997812, 0.105719, 0.117073, 0.125391, 0.141871, 0.158012, 0.16042, 0.165236, 0.174867, 0.194835, 0.226203, 0.253248, 0.268242, 0.29823, 0.30922, 0.330516, 0.405499, 0.439919, 0.466496, 0.501831, 0.529078, 0.565406, 0.598582, 0.612559, 0.640515, 0.690196, 0.724225, 0.746838, 0.792063, 0.873371, 0.954679, 1.1173");
-            values ( \
-              "0.31377, 0.80494, 0.997125, 1.09585, 1.23304, 1.29746, 1.3686, 1.39005, 1.39061, 1.39023, 1.38529, 1.36264, 1.30908, 1.25237, 1.21735, 1.13444, 1.09805, 1.01943, 0.708658, 0.579558, 0.489767, 0.386254, 0.318842, 0.244002, 0.189756, 0.170486, 0.136893, 0.0918813, 0.0697221, 0.0580951, 0.039876, 0.0199469, 0.00980105, 0.00234795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.770199");
-            index_3 ("0.0892545, 0.156668, 0.186339, 0.245242, 0.456478, 0.584033, 0.665341, 0.761511, 1.04383, 1.20229, 1.33023, 1.5187, 1.59172, 1.75367, 2.01764, 2.34246");
-            values ( \
-              "1.31254, 1.49344, 1.51733, 1.49488, 1.34678, 1.24532, 1.16536, 1.04574, 0.637787, 0.445036, 0.323468, 0.195736, 0.15991, 0.101166, 0.0471998, 0.0181828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0825098, 0.093029, 0.0954362, 0.101368, 0.10479, 0.108043, 0.111253, 0.11446, 0.11594, 0.121881, 0.125833, 0.128957, 0.132527, 0.135701, 0.141394, 0.147167, 0.151343, 0.159266, 0.16983, 0.189509");
-            values ( \
-              "0.00120418, 0.12347, 0.146882, 0.185621, 0.197345, 0.205503, 0.203308, 0.193271, 0.177374, 0.0875801, 0.0562099, 0.0405681, 0.0281196, 0.0206873, 0.0121081, 0.00735129, 0.0054397, 0.00337024, 0.00204886, 0.00132929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0106226");
-            index_3 ("0.0853765, 0.0898319, 0.0949292, 0.100505, 0.107749, 0.112241, 0.116529, 0.120787, 0.125043, 0.132981, 0.140216, 0.14552, 0.149171, 0.156774, 0.162821, 0.166614, 0.173277, 0.182161, 0.198965, 0.214725");
-            values ( \
-              "0.0206777, 0.151191, 0.247785, 0.33375, 0.412028, 0.439957, 0.451958, 0.446953, 0.412274, 0.238699, 0.135648, 0.0897636, 0.0673408, 0.0372691, 0.0235338, 0.0180425, 0.0116938, 0.00699444, 0.00322825, 0.00202136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0309974");
-            index_3 ("0.0891611, 0.102406, 0.113603, 0.121274, 0.128399, 0.135371, 0.142335, 0.14605, 0.164184, 0.174418, 0.194269, 0.208746, 0.230723, 0.233106");
-            values ( \
-              "0.191146, 0.500834, 0.689847, 0.76564, 0.79974, 0.803306, 0.772497, 0.732229, 0.401381, 0.262343, 0.107865, 0.0549992, 0.0205483, 0.0191218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0904519");
-            index_3 ("0.0845306, 0.112658, 0.118061, 0.127495, 0.134196, 0.147597, 0.155757, 0.162923, 0.177117, 0.186466, 0.205324, 0.236743, 0.260482, 0.277309, 0.302587, 0.321638, 0.338924, 0.371445, 0.399732, 0.422127");
-            values ( \
-              "0.0108187, 0.815986, 0.910545, 1.03299, 1.088, 1.14562, 1.1514, 1.14514, 1.10485, 1.05695, 0.896758, 0.568876, 0.376514, 0.275263, 0.167282, 0.113333, 0.0788922, 0.039763, 0.0214675, 0.0148706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.263943");
-            index_3 ("0.087678, 0.109742, 0.119896, 0.124587, 0.13397, 0.144282, 0.160195, 0.176635, 0.179303, 0.18464, 0.195315, 0.21372, 0.245526, 0.271678, 0.287124, 0.318018, 0.328108, 0.348287, 0.424268, 0.459039, 0.485883, 0.520838, 0.547735, 0.583596, 0.617432, 0.631674, 0.660158, 0.709344, 0.731841, 0.765132, 0.809521, 0.89083, 0.972138, 1.05345, 1.13475");
-            values ( \
-              "0.0575233, 0.804223, 1.01884, 1.09512, 1.21262, 1.29717, 1.3668, 1.38981, 1.39046, 1.39, 1.38395, 1.36251, 1.30821, 1.25341, 1.21733, 1.13165, 1.09802, 1.02382, 0.709157, 0.578684, 0.488222, 0.385892, 0.319324, 0.245342, 0.18987, 0.170138, 0.136133, 0.0916474, 0.0764498, 0.0583276, 0.0404212, 0.0201337, 0.00998137, 0.00493152, 0.00243618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.770199");
-            index_3 ("0.100629, 0.134132, 0.154265, 0.167338, 0.184769, 0.205034, 0.231666, 0.262984, 0.475167, 0.535235, 0.602722, 0.68403, 0.7802, 1.06252, 1.13967, 1.22098, 1.28762, 1.34892, 1.41957, 1.50088, 1.53739, 1.61041, 1.69105, 1.77236, 1.88958, 1.95502, 2.03633, 2.19895, 2.36156, 2.60549, 2.93072");
-            values ( \
-              "0.879754, 1.26736, 1.42517, 1.47441, 1.50697, 1.51785, 1.5126, 1.49561, 1.3469, 1.30117, 1.24521, 1.16546, 1.04583, 0.637744, 0.538462, 0.444998, 0.377873, 0.32346, 0.268963, 0.216149, 0.195738, 0.159908, 0.127539, 0.101166, 0.0722947, 0.0597792, 0.0471944, 0.0292959, 0.0181396, 0.00878529, 0.00333564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.126655, 0.129205, 0.133896, 0.137696, 0.143526, 0.146955, 0.150211, 0.153423, 0.156632, 0.158099, 0.162284, 0.164152, 0.166922, 0.170964, 0.174342, 0.18042, 0.185211, 0.190087, 0.195523, 0.206885, 0.22995");
-            values ( \
-              "0.0411384, 0.0563649, 0.112175, 0.146948, 0.18505, 0.197339, 0.205128, 0.20336, 0.193022, 0.177477, 0.110022, 0.0864969, 0.0628315, 0.0412755, 0.0291724, 0.0162196, 0.010418, 0.00696794, 0.00477979, 0.00257676, 0.00140409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0106226");
-            index_3 ("0.127589, 0.131865, 0.137389, 0.142132, 0.149855, 0.154349, 0.15864, 0.1629, 0.167158, 0.1751, 0.182332, 0.187635, 0.191287, 0.198893, 0.20494, 0.208732, 0.215393, 0.224274, 0.240995, 0.253417");
-            values ( \
-              "0.0301673, 0.148999, 0.254094, 0.32715, 0.412036, 0.439212, 0.452095, 0.446433, 0.412487, 0.238611, 0.135635, 0.0897711, 0.0673384, 0.0372647, 0.0235298, 0.0180407, 0.0116943, 0.00699829, 0.00324027, 0.00228436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0309974");
-            index_3 ("0.127544, 0.140742, 0.144347, 0.150866, 0.155516, 0.163194, 0.170322, 0.177297, 0.184264, 0.187951, 0.206123, 0.216351, 0.221057, 0.227333, 0.236205, 0.241682, 0.250683, 0.262751, 0.272623, 0.292366, 0.307702");
-            values ( \
-              "0.00420807, 0.418771, 0.500533, 0.622383, 0.688815, 0.7653, 0.79921, 0.80324, 0.772185, 0.73249, 0.40122, 0.262307, 0.213961, 0.161505, 0.107842, 0.0836947, 0.0549858, 0.0318906, 0.0205785, 0.00873163, 0.00547127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0904519");
-            index_3 ("0.132359, 0.142245, 0.150116, 0.160057, 0.16747, 0.176201, 0.190766, 0.204973, 0.219967, 0.234764, 0.249541, 0.274777, 0.294679, 0.316964, 0.340513, 0.352764, 0.369098, 0.382648, 0.40109, 0.425679, 0.470977");
-            values ( \
-              "0.140253, 0.519092, 0.720682, 0.91215, 1.00998, 1.08932, 1.14697, 1.14713, 1.10211, 1.01347, 0.87387, 0.606959, 0.433832, 0.288087, 0.181576, 0.141793, 0.101086, 0.0761445, 0.0516548, 0.0303826, 0.0109167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.263943");
-            index_3 ("0.14098, 0.154567, 0.159922, 0.16671, 0.178138, 0.186369, 0.202545, 0.218496, 0.221393, 0.227187, 0.238776, 0.255806, 0.291495, 0.312812, 0.32921, 0.362008, 0.3866, 0.465975, 0.501915, 0.528964, 0.563211, 0.589312, 0.615422, 0.650236, 0.660528, 0.681113, 0.722281, 0.758294, 0.774424, 0.806685, 0.871208, 0.952516, 1.03382, 1.11513, 1.19644");
-            values ( \
-              "0.858379, 0.871189, 0.982167, 1.09735, 1.23425, 1.29822, 1.36775, 1.39013, 1.39043, 1.39015, 1.3827, 1.36263, 1.30057, 1.25564, 1.21724, 1.12575, 1.03853, 0.71081, 0.575884, 0.485158, 0.385137, 0.320493, 0.265037, 0.203816, 0.18849, 0.160678, 0.116038, 0.0867932, 0.0762734, 0.0585607, 0.0341583, 0.0169373, 0.00843961, 0.00411606, 0.00208523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.770199");
-            index_3 ("0.151362, 0.196269, 0.209938, 0.227344, 0.247224, 0.273694, 0.305035, 0.436047, 0.537378, 0.644909, 0.726218, 0.822388, 1.10471, 1.18186, 1.26317, 1.31959, 1.39111, 1.46176, 1.57958, 1.6526, 1.73324, 1.81455, 1.93177, 2.07852, 2.24114, 2.40375, 2.72898, 2.97291");
-            values ( \
-              "1.37239, 1.42506, 1.47598, 1.50777, 1.51781, 1.51282, 1.49559, 1.40577, 1.33205, 1.24534, 1.16533, 1.04569, 0.63789, 0.538313, 0.445146, 0.387544, 0.323609, 0.268815, 0.195591, 0.160055, 0.127392, 0.101312, 0.0721525, 0.0470528, 0.0291553, 0.0180001, 0.0067919, 0.00347155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.207468, 0.212755, 0.215435, 0.222959, 0.232336, 0.236284, 0.239936, 0.243473, 0.247004, 0.24825, 0.254741, 0.258597, 0.265786, 0.269041, 0.274916, 0.285177, 0.304063, 0.313694");
-            values ( \
-              "0.0151437, 0.0284828, 0.0424615, 0.101878, 0.157605, 0.174298, 0.184061, 0.187223, 0.179875, 0.170616, 0.0840225, 0.0553449, 0.0271353, 0.0199946, 0.0117263, 0.00532906, 0.00201614, 0.00182528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0106226");
-            index_3 ("0.208643, 0.210923, 0.215231, 0.216186, 0.218096, 0.221178, 0.226373, 0.233327, 0.233595, 0.237133, 0.239236, 0.243444, 0.245721, 0.248832, 0.251229, 0.252935, 0.253348, 0.254173, 0.255824, 0.257993, 0.262332, 0.264032, 0.266439, 0.26895, 0.272316, 0.275437, 0.277874, 0.282127, 0.28545, 0.287869, 0.291581, 0.297189, 0.30022, 0.304961, 0.311283, 0.323927, 0.341554");
-            values ( \
-              "0.0356285, 0.0443479, 0.0747113, 0.0871188, 0.106178, 0.146057, 0.222224, 0.304286, 0.309398, 0.346963, 0.366714, 0.398565, 0.410911, 0.422046, 0.424112, 0.423596, 0.422907, 0.420998, 0.413663, 0.393337, 0.310709, 0.271964, 0.2254, 0.185607, 0.143539, 0.113493, 0.0941226, 0.0676327, 0.052478, 0.0436056, 0.0329211, 0.0217649, 0.0176896, 0.0130161, 0.0089374, 0.00468201, 0.00246222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0309974");
-            index_3 ("0.221011, 0.232422, 0.236129, 0.239499, 0.241184, 0.24384, 0.245815, 0.246904, 0.249083, 0.250129, 0.251175, 0.25376, 0.256148, 0.258307, 0.260466, 0.261903, 0.263341, 0.264778, 0.266216, 0.267315, 0.268415, 0.270614, 0.271686, 0.272757, 0.273829, 0.274901, 0.276721, 0.278541, 0.28036, 0.28218, 0.282758, 0.292661, 0.294476, 0.296291, 0.299922, 0.303552, 0.308712, 0.311093, 0.314665, 0.317471, 0.319086, 0.320701, 0.322767, 0.326078, 0.328428, 0.329994, 0.331342, 0.335384, 0.341127, 0.346394");
-            values ( \
-              "0.407577, 0.417428, 0.488184, 0.547473, 0.575422, 0.610814, 0.640515, 0.658137, 0.696018, 0.699466, 0.70496, 0.725667, 0.746298, 0.758501, 0.76817, 0.773203, 0.777112, 0.779896, 0.781558, 0.780615, 0.779033, 0.773951, 0.768679, 0.762491, 0.755388, 0.747369, 0.731657, 0.713306, 0.692315, 0.668686, 0.659333, 0.466515, 0.434438, 0.40428, 0.350769, 0.302829, 0.243261, 0.217933, 0.187185, 0.165594, 0.154146, 0.143383, 0.130658, 0.111914, 0.0997302, 0.0923977, 0.0870468, 0.0724712, 0.0559346, 0.0427891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0904519");
-            index_3 ("0.21753, 0.228271, 0.238006, 0.245253, 0.24885, 0.255222, 0.259358, 0.265155, 0.270903, 0.276017, 0.280825, 0.288096, 0.295206, 0.30953, 0.316743, 0.324188, 0.330747, 0.338242, 0.363145, 0.377524, 0.393957, 0.403429, 0.408578, 0.41844, 0.43128, 0.434996, 0.442428, 0.454427, 0.471558, 0.479123, 0.489335, 0.50295, 0.530182, 0.580498, 0.640114");
-            values ( \
-              "0.220834, 0.385817, 0.620017, 0.772804, 0.835964, 0.934669, 0.987905, 1.04631, 1.08761, 1.11268, 1.12781, 1.13774, 1.13497, 1.09966, 1.06584, 1.01815, 0.963442, 0.889633, 0.62592, 0.49445, 0.369223, 0.310092, 0.281324, 0.232511, 0.180204, 0.167279, 0.143915, 0.112457, 0.0785448, 0.0670569, 0.0541164, 0.0405495, 0.0224372, 0.0068638, 0.00160123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.263943");
-            index_3 ("0.230806, 0.251744, 0.261637, 0.27637, 0.290915, 0.306953, 0.311714, 0.321237, 0.340282, 0.357992, 0.381923, 0.430048, 0.460629, 0.494019, 0.578312, 0.639198, 0.68718, 0.720727, 0.744538, 0.785082, 0.831418, 0.863847, 0.913544, 0.979806, 1.06111, 1.09424");
-            values ( \
-              "0.901589, 0.959018, 1.12078, 1.27229, 1.34891, 1.3818, 1.38463, 1.38449, 1.36908, 1.34318, 1.29986, 1.1909, 1.09813, 0.971017, 0.627035, 0.425025, 0.303825, 0.237136, 0.197982, 0.14464, 0.0998186, 0.0768757, 0.0512457, 0.0293496, 0.0145747, 0.0123305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.770199");
-            index_3 ("0.230423, 0.273581, 0.286929, 0.300039, 0.31713, 0.337914, 0.363322, 0.393363, 0.477129, 0.608082, 0.735637, 0.816945, 0.913115, 1.19543, 1.27259, 1.35389, 1.42054, 1.48183, 1.55249, 1.6338, 1.67031, 1.74332, 1.82396, 1.90527, 2.02249, 2.16924, 2.33186, 2.49447, 2.7384, 3.06363");
-            values ( \
-              "0.785588, 1.30701, 1.40833, 1.46433, 1.50159, 1.51576, 1.51242, 1.49689, 1.44054, 1.34684, 1.24527, 1.16541, 1.04578, 0.637787, 0.538422, 0.44504, 0.37791, 0.323495, 0.268931, 0.216183, 0.195707, 0.159941, 0.127508, 0.101198, 0.0722665, 0.0471667, 0.0292684, 0.0181122, 0.00881248, 0.00336198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.355792, 0.372161, 0.374481, 0.377145, 0.382474, 0.384801, 0.389454, 0.391159, 0.398739, 0.404596, 0.40973, 0.414461, 0.419, 0.423489, 0.427975, 0.430993, 0.434444, 0.438033, 0.440531, 0.444937, 0.446992, 0.45002, 0.454057, 0.461244, 0.46694, 0.478687, 0.49435, 0.501023");
-            values ( \
-              "0.00302724, 0.00904431, 0.0124404, 0.0171518, 0.0282718, 0.0367379, 0.0576604, 0.069586, 0.103244, 0.120636, 0.134592, 0.141861, 0.1475, 0.14476, 0.124227, 0.0891633, 0.0609414, 0.0434766, 0.0347286, 0.0231202, 0.0194539, 0.0150288, 0.010873, 0.00616673, 0.00437843, 0.00253078, 0.00159634, 0.00135804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0106226");
-            index_3 ("0.358213, 0.371975, 0.377715, 0.384003, 0.388187, 0.401001, 0.41258, 0.418911, 0.424677, 0.430145, 0.435617, 0.447715, 0.454241, 0.461701, 0.465228, 0.471814, 0.47797, 0.487163, 0.494748, 0.50992, 0.52174");
-            values ( \
-              "0.00884705, 0.0205793, 0.0382323, 0.0661989, 0.0948739, 0.205426, 0.284548, 0.319387, 0.343928, 0.355439, 0.345678, 0.171212, 0.107878, 0.0628586, 0.0487553, 0.0303479, 0.0198217, 0.0113373, 0.00755325, 0.00398713, 0.00320932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0309974");
-            index_3 ("0.374311, 0.385328, 0.391164, 0.418493, 0.428592, 0.437322, 0.445441, 0.453414, 0.461377, 0.47522, 0.486545, 0.499283, 0.511221, 0.519897, 0.526942, 0.538646, 0.550183, 0.567259, 0.568834");
-            values ( \
-              "0.0865637, 0.116112, 0.172393, 0.500591, 0.606697, 0.670641, 0.703044, 0.69882, 0.635559, 0.405179, 0.259104, 0.150746, 0.0886068, 0.0596954, 0.0436194, 0.02617, 0.0160813, 0.00834756, 0.00806207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0904519");
-            index_3 ("0.376913, 0.395529, 0.432111, 0.442958, 0.459237, 0.474411, 0.489516, 0.504615, 0.514298, 0.540308, 0.550254, 0.558717, 0.570999, 0.584578, 0.599971, 0.612467, 0.622868, 0.635059, 0.652341, 0.67063, 0.684693, 0.712819, 0.746787");
-            values ( \
-              "0.0887025, 0.261302, 0.821423, 0.945569, 1.05675, 1.0881, 1.06938, 0.999545, 0.921525, 0.654436, 0.560848, 0.487609, 0.394202, 0.307686, 0.22928, 0.179042, 0.145244, 0.113105, 0.0787951, 0.0537284, 0.0398773, 0.0216257, 0.0115037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.263943");
-            index_3 ("0.376293, 0.453649, 0.471127, 0.490814, 0.508807, 0.525927, 0.550264, 0.587322, 0.616996, 0.67261, 0.758456, 0.81277, 0.872958, 0.930901, 0.976128, 1.02417, 1.11318, 1.19147, 1.24218");
-            values ( \
-              "0.0585572, 1.17434, 1.29187, 1.34901, 1.35752, 1.34674, 1.31255, 1.24369, 1.17129, 0.977082, 0.626978, 0.44442, 0.29173, 0.18861, 0.132728, 0.0900301, 0.0437007, 0.0222515, 0.0153502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.770199");
-            index_3 ("0.407681, 0.485481, 0.501953, 0.541543, 0.609817, 0.787521, 0.915069, 0.996377, 1.09255, 1.37487, 1.53333, 1.66127, 1.84974, 1.92275, 2.0847, 2.34867, 2.6739, 2.70205");
-            values ( \
-              "0.94115, 1.43883, 1.48079, 1.5019, 1.47147, 1.34689, 1.24523, 1.16545, 1.04581, 0.637762, 0.445017, 0.323478, 0.195723, 0.159927, 0.101184, 0.047179, 0.0181236, 0.0173931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.694624, 0.708411, 0.720398, 0.729019, 0.741182, 0.748758, 0.755359, 0.767424, 0.773297, 0.779168, 0.787505, 0.793174, 0.800546, 0.809472, 0.823029, 0.844339, 0.87509");
-            values ( \
-              "0.00879167, 0.0102273, 0.0214443, 0.0405566, 0.0790534, 0.0943163, 0.104048, 0.11227, 0.111045, 0.100904, 0.0493767, 0.0307011, 0.0169368, 0.00841568, 0.00354394, 0.00181619, 0.00138483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0106226");
-            index_3 ("0.667637, 0.705395, 0.714212, 0.721194, 0.724844, 0.732143, 0.743491, 0.748558, 0.75805, 0.766281, 0.773819, 0.780951, 0.787955, 0.794949, 0.803449, 0.812518, 0.817684, 0.822322, 0.825759, 0.832635, 0.837946, 0.848005, 0.861417, 0.881747, 0.882795");
-            values ( \
-              "0.00231936, 0.0152317, 0.0314816, 0.0481297, 0.0597394, 0.093255, 0.159394, 0.183123, 0.219964, 0.244773, 0.262685, 0.273344, 0.273528, 0.235762, 0.139116, 0.0787872, 0.0562191, 0.041568, 0.0331141, 0.0210789, 0.0152188, 0.00885148, 0.00501739, 0.00285463, 0.00280542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0309974");
-            index_3 ("0.667588, 0.71092, 0.718435, 0.72338, 0.732836, 0.746791, 0.751064, 0.764304, 0.771997, 0.777476, 0.78869, 0.798783, 0.808337, 0.817894, 0.822699, 0.832416, 0.837749, 0.844736, 0.848679, 0.851226, 0.855931, 0.862085, 0.867502, 0.873784, 0.882957, 0.887701, 0.894938, 0.904589, 0.923889, 0.930897");
-            values ( \
-              "0.00523825, 0.0416569, 0.0693317, 0.0911443, 0.145135, 0.255275, 0.287119, 0.380131, 0.431608, 0.466204, 0.530635, 0.574218, 0.594099, 0.565061, 0.511519, 0.380456, 0.315605, 0.243348, 0.209057, 0.188922, 0.15594, 0.120445, 0.095625, 0.0728284, 0.0487473, 0.0398221, 0.0293944, 0.019791, 0.0092901, 0.00786954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0904519");
-            index_3 ("0.710951, 0.740088, 0.793784, 0.813106, 0.830216, 0.846582, 0.862943, 0.870904, 0.911513, 0.936492, 0.959969, 0.981679, 1.01177, 1.04555, 1.075, 1.0868");
-            values ( \
-              "0.130323, 0.247166, 0.76743, 0.917475, 0.9884, 1.00258, 0.957375, 0.907423, 0.533412, 0.347251, 0.223774, 0.14569, 0.0784561, 0.0387878, 0.0205544, 0.017258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.263943");
-            index_3 ("0.710993, 0.749611, 0.805482, 0.825005, 0.846563, 0.866041, 0.883112, 0.911014, 0.937003, 0.996478, 1.14075, 1.21603, 1.29035, 1.37534, 1.44867, 1.5467");
-            values ( \
-              "0.128351, 0.363993, 1.00489, 1.16905, 1.27126, 1.30938, 1.31491, 1.29313, 1.25115, 1.10265, 0.541734, 0.326126, 0.188493, 0.0960493, 0.0529774, 0.0273344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.770199");
-            index_3 ("0.710387, 0.779886, 0.82156, 0.845013, 0.870232, 0.886286, 0.904634, 0.935586, 0.966565, 1.01367, 1.16484, 1.2554, 1.30363, 1.38937, 1.47068, 1.73009, 1.80279, 1.88409, 1.9572, 2.02636, 2.09426, 2.17557, 2.27067, 2.35198, 2.41382, 2.5237, 2.66214, 2.82476, 2.98737, 3.2313, 3.55653");
-            values ( \
-              "0.0571726, 0.741195, 1.22352, 1.37261, 1.45145, 1.47218, 1.48234, 1.47948, 1.46517, 1.43557, 1.32923, 1.25934, 1.21662, 1.12429, 1.01704, 0.640781, 0.546657, 0.452239, 0.378102, 0.317162, 0.265499, 0.213317, 0.164251, 0.130782, 0.109751, 0.0801114, 0.053633, 0.0333147, 0.0206304, 0.0100358, 0.0038261" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.00334761, 0.00334813, 0.00334931, 0.00335056, 0.00335127, 0.00335157", \
-            "0.00432913, 0.00432906, 0.00432917, 0.00432977, 0.00433039, 0.00433073", \
-            "0.00494146, 0.0049414, 0.00494127, 0.00494119, 0.00494137, 0.00494158", \
-            "0.00531426, 0.00531421, 0.00531412, 0.00531399, 0.0053139, 0.00531394", \
-            "0.00556676, 0.00556671, 0.00556672, 0.00556667, 0.0055665, 0.0055664", \
-            "0.00574928, 0.00574922, 0.0057491, 0.00574886, 0.00574858, 0.00574839" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.00524381, 0.00524284, 0.00524205, 0.00524264, 0.0052435, 0.00524397", \
-            "0.00550719, 0.00550853, 0.00549554, 0.00549271, 0.00549204, 0.00549183", \
-            "0.00530919, 0.00530857, 0.0053072, 0.00530521, 0.00530353, 0.00530274", \
-            "0.0055092, 0.00550883, 0.00550761, 0.00550517, 0.00550256, 0.00550094", \
-            "0.00591489, 0.00602706, 0.00618714, 0.00629464, 0.00633964, 0.00635424", \
-            "0.00612178, 0.00612134, 0.0061155, 0.00610561, 0.00633889, 0.00644305" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0640503, 0.0764201, 0.0786198, 0.0852493, 0.0888581, 0.0939779, 0.100044, 0.102914, 0.10578, 0.110954, 0.113923, 0.116385, 0.118783, 0.121847, 0.124327, 0.129286, 0.136253, 0.15109");
-            values ( \
-              "-0.0018595, -0.0511601, -0.0631173, -0.1398, -0.168999, -0.202019, -0.22789, -0.22953, -0.207269, -0.0986498, -0.0544843, -0.0321014, -0.0189409, -0.0102359, -0.00654588, -0.00321707, -0.00192909, -0.00155099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0106226");
-            index_3 ("0.0698467, 0.0726664, 0.0744927, 0.0792111, 0.0828207, 0.0879801, 0.0961541, 0.100584, 0.104645, 0.108486, 0.112263, 0.116035, 0.122658, 0.126147, 0.128088, 0.130674, 0.132857, 0.136773, 0.141995, 0.149215, 0.164054");
-            values ( \
-              "-0.0811364, -0.0975873, -0.103384, -0.139244, -0.190082, -0.300371, -0.409303, -0.453961, -0.487845, -0.507438, -0.506732, -0.446283, -0.230089, -0.141668, -0.106106, -0.071068, -0.0502513, -0.0273149, -0.0125041, -0.00502607, -0.00260903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0309974");
-            index_3 ("0.0732531, 0.0845094, 0.0916274, 0.100949, 0.108472, 0.115138, 0.121314, 0.127272, 0.133222, 0.135295, 0.139805, 0.153704, 0.159534, 0.167018, 0.176094, 0.184078, 0.185554");
-            values ( \
-              "-0.131097, -0.327466, -0.508279, -0.68506, -0.798499, -0.877051, -0.927381, -0.949227, -0.917132, -0.883649, -0.765722, -0.312559, -0.196049, -0.103846, -0.0473975, -0.0233963, -0.0215811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0904519");
-            index_3 ("0.074695, 0.0862079, 0.101253, 0.11167, 0.120546, 0.133478, 0.145355, 0.156825, 0.168277, 0.180201, 0.187281, 0.216952, 0.232437, 0.245327, 0.255182, 0.265549, 0.289435, 0.289606");
-            values ( \
-              "-0.110158, -0.456, -0.833291, -1.04432, -1.18463, -1.33246, -1.40759, -1.43739, -1.41304, -1.30761, -1.1826, -0.527568, -0.313326, -0.198414, -0.138356, -0.0945347, -0.0381827, -0.0380243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.263943");
-            index_3 ("0.075946, 0.0963593, 0.104658, 0.11136, 0.120847, 0.129668, 0.146893, 0.159565, 0.178913, 0.186891, 0.20035, 0.213686, 0.242932, 0.259941, 0.26893, 0.283224, 0.299155, 0.330196, 0.357032, 0.379887, 0.389388, 0.403343, 0.418706, 0.438684, 0.45597, 0.474583, 0.501111, 0.512731, 0.528315, 0.549093, 0.59065, 0.655155, 0.71966");
-            values ( \
-              "-0.17205, -0.759537, -0.974605, -1.13138, -1.31269, -1.44668, -1.62348, -1.70002, -1.76043, -1.77132, -1.77659, -1.7706, -1.72562, -1.67877, -1.64686, -1.58257, -1.48162, -1.18132, -0.902286, -0.698235, -0.624708, -0.528248, -0.436792, -0.338779, -0.270879, -0.21192, -0.148353, -0.126894, -0.102791, -0.077366, -0.0431735, -0.0166552, -0.00636384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.770199");
-            index_3 ("0.0970758, 0.13407, 0.15872, 0.175278, 0.19242, 0.213402, 0.233336, 0.288399, 0.386732, 0.421993, 0.505571, 0.553303, 0.588973, 0.638722, 0.703227, 0.809157, 0.896334, 0.964442, 1.02895, 1.11003, 1.17454, 1.24533, 1.34434, 1.40884, 1.47335, 1.60236, 1.73137, 1.92488");
-            values ( \
-              "-1.46242, -1.56796, -1.79086, -1.86796, -1.91078, -1.93537, -1.943, -1.9289, -1.86889, -1.84249, -1.76496, -1.70442, -1.64535, -1.52881, -1.3046, -0.902112, -0.635142, -0.474815, -0.356557, -0.246572, -0.182677, -0.130999, -0.0818249, -0.0599806, -0.0440344, -0.0236228, -0.0126562, -0.00489952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0881043, 0.0921152, 0.0965002, 0.100771, 0.104679, 0.110609, 0.117168, 0.122981, 0.125847, 0.13399, 0.136446, 0.141916, 0.149374, 0.150185");
-            values ( \
-              "-0.0316728, -0.0369561, -0.0527863, -0.0785833, -0.133625, -0.181285, -0.217973, -0.23006, -0.206785, -0.0544783, -0.0321317, -0.0102265, -0.00320477, -0.00305671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0106226");
-            index_3 ("0.0883202, 0.0945512, 0.101445, 0.109242, 0.114012, 0.120631, 0.128531, 0.132308, 0.136079, 0.142684, 0.148144, 0.152915, 0.156797, 0.161973, 0.16912, 0.183063");
-            values ( \
-              "-0.0180449, -0.104769, -0.167188, -0.318541, -0.383077, -0.45456, -0.507752, -0.506434, -0.446456, -0.230744, -0.105983, -0.050194, -0.0274409, -0.0126055, -0.00513402, -0.00405603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0309974");
-            index_3 ("0.0938767, 0.0987143, 0.105051, 0.116041, 0.12853, 0.135191, 0.141367, 0.147326, 0.153276, 0.155358, 0.15986, 0.173774, 0.179582, 0.187071, 0.196162, 0.204162, 0.208016");
-            values ( \
-              "-0.182465, -0.234445, -0.336326, -0.596365, -0.79867, -0.876733, -0.92743, -0.949058, -0.917141, -0.883464, -0.765701, -0.312191, -0.196165, -0.103868, -0.0473427, -0.023338, -0.0186085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0904519");
-            index_3 ("0.0884054, 0.131543, 0.140628, 0.151378, 0.157887, 0.165418, 0.176886, 0.180907, 0.188279, 0.200223, 0.205505, 0.234902, 0.246942, 0.263472, 0.279424, 0.300084, 0.315656");
-            values ( \
-              "-0.000232431, -1.0415, -1.18411, -1.31304, -1.36534, -1.40687, -1.43506, -1.43429, -1.41179, -1.30685, -1.21857, -0.564005, -0.378926, -0.212357, -0.118777, -0.0550073, -0.0309832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.263943");
-            index_3 ("0.10065, 0.131598, 0.149881, 0.167093, 0.179764, 0.199186, 0.220543, 0.233879, 0.263129, 0.280145, 0.303416, 0.319348, 0.40958, 0.438898, 0.476162, 0.521303, 0.569284, 0.61084, 0.618082");
-            values ( \
-              "-0.423511, -1.13354, -1.44784, -1.62404, -1.70046, -1.76074, -1.77663, -1.77074, -1.72564, -1.67875, -1.58258, -1.48162, -0.624708, -0.436795, -0.270878, -0.148353, -0.0773678, -0.0431743, -0.041108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.770199");
-            index_3 ("0.101865, 0.141598, 0.162324, 0.179429, 0.19584, 0.211392, 0.232127, 0.25273, 0.308391, 0.406504, 0.442527, 0.494277, 0.558782, 0.602876, 0.658809, 0.723314, 0.829362, 0.916477, 0.984353, 1.04886, 1.13077, 1.19528, 1.24845, 1.29968, 1.36419, 1.42869, 1.5577, 1.62221, 1.75122, 1.94473");
-            values ( \
-              "-0.494922, -1.37978, -1.66282, -1.79543, -1.87022, -1.90921, -1.93439, -1.94319, -1.9291, -1.86924, -1.84226, -1.79684, -1.72513, -1.65702, -1.52866, -1.30503, -0.901622, -0.634885, -0.47508, -0.357083, -0.245722, -0.182313, -0.141658, -0.111222, -0.0820859, -0.0599099, -0.0320791, -0.0238043, -0.0128219, -0.00475915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.132475, 0.13776, 0.14213, 0.144333, 0.150959, 0.154568, 0.159688, 0.165754, 0.168624, 0.17149, 0.176665, 0.179632, 0.182093, 0.184491, 0.187555, 0.190035, 0.194994, 0.201951, 0.217167");
-            values ( \
-              "-0.0107643, -0.0388298, -0.0515465, -0.0627933, -0.139746, -0.16899, -0.202032, -0.22792, -0.229497, -0.207317, -0.0986296, -0.0544878, -0.0321082, -0.0189454, -0.0102382, -0.00654766, -0.00321742, -0.00193067, -0.00154204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0106226");
-            index_3 ("0.132872, 0.139968, 0.144713, 0.149992, 0.153397, 0.16166, 0.170161, 0.174005, 0.177783, 0.181556, 0.188162, 0.193621, 0.198393, 0.202276, 0.207455, 0.214724, 0.238017");
-            values ( \
-              "-0.00442429, -0.103487, -0.139117, -0.222329, -0.296556, -0.408184, -0.487238, -0.507394, -0.506436, -0.446219, -0.230739, -0.106025, -0.0502389, -0.0274802, -0.0125554, -0.00509799, -0.00332981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0309974");
-            index_3 ("0.139103, 0.143382, 0.150493, 0.159848, 0.174035, 0.180707, 0.186889, 0.192851, 0.198805, 0.200822, 0.205389, 0.214728, 0.22102, 0.226229, 0.233337, 0.241368, 0.250545, 0.263366, 0.299374");
-            values ( \
-              "-0.176127, -0.22107, -0.333901, -0.561198, -0.797392, -0.876049, -0.926714, -0.948672, -0.916761, -0.884377, -0.765535, -0.43793, -0.272587, -0.178683, -0.0975182, -0.0485977, -0.0213524, -0.00700585, -0.00102723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0904519");
-            index_3 ("0.13973, 0.151838, 0.170034, 0.178817, 0.186196, 0.199121, 0.203703, 0.210995, 0.222458, 0.233917, 0.246846, 0.252869, 0.270743, 0.282599, 0.290942, 0.298084, 0.310972, 0.320827, 0.331197, 0.339161, 0.35509, 0.383869, 0.418005");
-            values ( \
-              "-0.0827541, -0.455619, -0.905953, -1.06903, -1.18485, -1.33037, -1.36602, -1.40693, -1.43598, -1.41295, -1.29309, -1.1836, -0.760005, -0.527507, -0.400436, -0.313301, -0.19842, -0.138356, -0.0945265, -0.0702676, -0.0381693, -0.011535, -0.00265405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.263943");
-            index_3 ("0.150012, 0.163883, 0.184707, 0.195257, 0.212655, 0.225148, 0.239446, 0.252453, 0.274755, 0.288296, 0.306392, 0.333624, 0.346958, 0.361182, 0.371926, 0.384715, 0.424269, 0.449509, 0.474465, 0.494608, 0.509569, 0.526499, 0.543966, 0.578271, 0.597737, 0.636671, 0.701175, 0.76568, 0.830185");
-            values ( \
-              "-0.761283, -0.81491, -1.28551, -1.44769, -1.62646, -1.70015, -1.75001, -1.7707, -1.77463, -1.76124, -1.72992, -1.65102, -1.59225, -1.5077, -1.42225, -1.29755, -0.884613, -0.664552, -0.492287, -0.382303, -0.315668, -0.253286, -0.20105, -0.12673, -0.0972859, -0.0565216, -0.0218437, -0.00839353, -0.00322067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.770199");
-            index_3 ("0.149725, 0.186817, 0.208008, 0.225523, 0.24194, 0.256146, 0.275086, 0.29706, 0.318633, 0.354185, 0.489334, 0.539961, 0.604466, 0.648442, 0.704454, 0.768959, 0.875013, 0.962123, 1.02998, 1.09449, 1.17646, 1.24097, 1.31118, 1.40981, 1.47432, 1.53882, 1.66783, 1.73251");
-            values ( \
-              "-0.616322, -1.37279, -1.66347, -1.7991, -1.87161, -1.90736, -1.93265, -1.94274, -1.9414, -1.92872, -1.84103, -1.7971, -1.72478, -1.65689, -1.52891, -1.30481, -0.901752, -0.635027, -0.47522, -0.356998, -0.24575, -0.182198, -0.131036, -0.0820217, -0.0599862, -0.0441781, -0.0237376, -0.0199449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.230374, 0.237411, 0.242771, 0.245864, 0.247411, 0.252049, 0.25732, 0.264772, 0.267755, 0.270658, 0.273556, 0.278839, 0.281739, 0.28418, 0.286599, 0.289731, 0.292275, 0.297361, 0.304365, 0.321993");
-            values ( \
-              "-0.0144994, -0.029639, -0.0439076, -0.0590226, -0.0697147, -0.126322, -0.170074, -0.214213, -0.224643, -0.227711, -0.205435, -0.0965688, -0.0541776, -0.0321329, -0.0189213, -0.0101201, -0.00643088, -0.00314341, -0.00191621, -0.00147176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0106226");
-            index_3 ("0.23146, 0.239407, 0.245235, 0.248789, 0.262718, 0.267962, 0.275949, 0.279753, 0.283552, 0.29369, 0.298243, 0.304346, 0.309574, 0.31686, 0.331204");
-            values ( \
-              "-0.0388187, -0.0791357, -0.118617, -0.160508, -0.391291, -0.448189, -0.503769, -0.50323, -0.444538, -0.141199, -0.0708388, -0.0271038, -0.0126181, -0.0048695, -0.00378483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0309974");
-            index_3 ("0.236251, 0.243432, 0.251017, 0.263743, 0.27585, 0.282592, 0.28882, 0.294817, 0.300805, 0.303753, 0.321531, 0.326992, 0.334581, 0.344, 0.352307, 0.357475");
-            values ( \
-              "-0.10899, -0.180119, -0.289186, -0.588564, -0.787727, -0.867475, -0.921279, -0.943522, -0.914189, -0.863612, -0.306927, -0.198408, -0.104278, -0.046221, -0.0221761, -0.0161847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0904519");
-            index_3 ("0.235667, 0.251547, 0.268838, 0.288302, 0.301371, 0.313308, 0.324821, 0.336278, 0.34824, 0.385004, 0.400493, 0.41339, 0.433606, 0.449063");
-            values ( \
-              "-0.133884, -0.371682, -0.806386, -1.16863, -1.32304, -1.40137, -1.4335, -1.41069, -1.30637, -0.527517, -0.313311, -0.198378, -0.0945505, -0.0580758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.263943");
-            index_3 ("0.248869, 0.270798, 0.288098, 0.296758, 0.313801, 0.32684, 0.346197, 0.354227, 0.367705, 0.381049, 0.407866, 0.427161, 0.436301, 0.450595, 0.466528, 0.49757, 0.524402, 0.55676, 0.570724, 0.586084, 0.60606, 0.623339, 0.641953, 0.668482, 0.695688, 0.716469, 0.758031, 0.822536, 0.887041");
-            values ( \
-              "-0.56987, -0.923942, -1.29608, -1.43038, -1.61289, -1.69416, -1.7579, -1.76886, -1.77548, -1.76946, -1.73104, -1.67908, -1.64674, -1.5825, -1.48158, -1.18131, -0.90232, -0.62472, -0.528203, -0.436767, -0.338775, -0.270898, -0.211929, -0.14836, -0.102792, -0.0773626, -0.0431701, -0.0166524, -0.00636421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.770199");
-            index_3 ("0.254727, 0.280726, 0.302034, 0.324082, 0.348193, 0.368351, 0.391437, 0.420172, 0.492155, 0.598962, 0.641544, 0.706049, 0.750016, 0.806033, 0.870538, 0.975002, 1.06336, 1.13501, 1.19952, 1.25976, 1.3105, 1.37501, 1.43198, 1.53006, 1.59457, 1.72358, 1.85259, 2.0461");
-            values ( \
-              "-0.934496, -1.20046, -1.56728, -1.77374, -1.88152, -1.92268, -1.9404, -1.94164, -1.90902, -1.83445, -1.7972, -1.72466, -1.65678, -1.52904, -1.30467, -0.90741, -0.636088, -0.468241, -0.351384, -0.267328, -0.211422, -0.156305, -0.119534, -0.0749113, -0.0549787, -0.0295077, -0.0158021, -0.00616567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.427344, 0.438249, 0.442323, 0.445067, 0.449184, 0.450556, 0.451709, 0.4583, 0.460782, 0.464952, 0.471018, 0.479045, 0.480896, 0.482748, 0.484595, 0.486443, 0.488402, 0.492514, 0.495285, 0.497324, 0.499141, 0.501577, 0.504022");
-            values ( \
-              "-0.00823424, -0.0207559, -0.0260077, -0.0312542, -0.0429217, -0.0478236, -0.0535966, -0.0926407, -0.105684, -0.125368, -0.150576, -0.178904, -0.178072, -0.175929, -0.172483, -0.167731, -0.14364, -0.0831448, -0.0521665, -0.0352104, -0.0248918, -0.0159053, -0.0102522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0106226");
-            index_3 ("0.432839, 0.444529, 0.449517, 0.454478, 0.462057, 0.471218, 0.478705, 0.4886, 0.493174, 0.497877, 0.505241, 0.508781, 0.512437, 0.514815, 0.517557");
-            values ( \
-              "-0.0562654, -0.0661219, -0.0919408, -0.128399, -0.211374, -0.296118, -0.356295, -0.425415, -0.412955, -0.385087, -0.201877, -0.130013, -0.0771021, -0.0550168, -0.0369004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0309974");
-            index_3 ("0.42589, 0.431766, 0.438826, 0.446944, 0.454676, 0.462215, 0.477621, 0.487117, 0.495183, 0.502427, 0.509208, 0.515873, 0.522526, 0.537059, 0.544065, 0.548571, 0.551722, 0.555963, 0.560643, 0.567373, 0.572042");
-            values ( \
-              "-0.0378548, -0.0462473, -0.0851857, -0.138258, -0.203341, -0.302509, -0.528008, -0.647541, -0.737904, -0.80258, -0.841869, -0.835575, -0.728649, -0.312906, -0.182706, -0.12648, -0.0975976, -0.0682423, -0.0459616, -0.0262043, -0.019642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0904519");
-            index_3 ("0.432761, 0.453706, 0.463992, 0.492528, 0.500017, 0.514688, 0.527671, 0.539859, 0.550168, 0.555106, 0.561346, 0.56964, 0.599188, 0.608781, 0.623403, 0.64052, 0.651249, 0.665554, 0.685521");
-            values ( \
-              "-0.0412404, -0.269971, -0.403899, -0.901823, -1.01828, -1.20147, -1.3086, -1.36214, -1.36492, -1.34629, -1.30492, -1.19524, -0.560992, -0.410786, -0.24819, -0.13367, -0.0900942, -0.0524074, -0.0264101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.263943");
-            index_3 ("0.433258, 0.468184, 0.500539, 0.509778, 0.523849, 0.542107, 0.550183, 0.563727, 0.57031, 0.583476, 0.597528, 0.624657, 0.637323, 0.652978, 0.669701, 0.683266, 0.710395, 0.736155, 0.751403, 0.77085, 0.800953, 0.822048, 0.841377, 0.867149, 0.887666, 0.910385, 0.940678, 1.00126, 1.06577, 1.13027");
-            values ( \
-              "-0.0208663, -0.523576, -1.13895, -1.28741, -1.46629, -1.61803, -1.66224, -1.71275, -1.73035, -1.75044, -1.7538, -1.72465, -1.69492, -1.64463, -1.56851, -1.48078, -1.22266, -0.950818, -0.805873, -0.644284, -0.446882, -0.341786, -0.266112, -0.188951, -0.143425, -0.105518, -0.0695206, -0.0287725, -0.0110303, -0.00427155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.770199");
-            index_3 ("0.466668, 0.501919, 0.523504, 0.549786, 0.568404, 0.592667, 0.615081, 0.636739, 0.669406, 0.708795, 0.819528, 0.858192, 0.922697, 0.96666, 1.02268, 1.08719, 1.19324, 1.28035, 1.34822, 1.41272, 1.49467, 1.55917, 1.6294, 1.72805, 1.79255, 1.85706, 1.98607, 2.05438");
-            values ( \
-              "-1.02924, -1.21189, -1.5365, -1.76415, -1.85261, -1.91209, -1.93291, -1.9366, -1.9284, -1.90851, -1.83135, -1.79708, -1.72477, -1.65689, -1.52894, -1.30476, -0.901817, -0.635084, -0.475258, -0.356937, -0.245828, -0.182164, -0.130986, -0.0819658, -0.060038, -0.0441231, -0.023683, -0.0197001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.832071, 0.844094, 0.850535, 0.853742, 0.861226, 0.863364, 0.866302, 0.876232, 0.885287, 0.891735, 0.902847, 0.907992, 0.91313, 0.915574, 0.922208, 0.926509, 0.930375, 0.933265, 0.937272");
-            values ( \
-              "-0.0126078, -0.0127877, -0.0170868, -0.0199911, -0.0298106, -0.033445, -0.0405745, -0.0721258, -0.0954788, -0.109309, -0.128101, -0.127154, -0.123716, -0.109162, -0.0549021, -0.0300229, -0.0168354, -0.0114783, -0.00704964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0106226");
-            index_3 ("0.834909, 0.847673, 0.849923, 0.853298, 0.855914, 0.85853, 0.860878, 0.863226, 0.865574, 0.867922, 0.868664, 0.870892, 0.873862, 0.876832, 0.878644, 0.882269, 0.886397, 0.888713, 0.891029, 0.895282, 0.897219, 0.901094, 0.906263, 0.911167, 0.914348, 0.915871, 0.917393, 0.918916, 0.920439, 0.921959, 0.92348, 0.925, 0.92652, 0.926572, 0.926675, 0.928125, 0.929781, 0.933094, 0.935891, 0.937366, 0.938989, 0.94162, 0.94249, 0.943359, 0.944229, 0.945968, 0.946837, 0.948057, 0.949276, 0.950704");
-            values ( \
-              "-0.0358518, -0.0362683, -0.0399757, -0.04601, -0.0517389, -0.0582924, -0.0650992, -0.0727083, -0.0811197, -0.0903335, -0.0941043, -0.106096, -0.124925, -0.143054, -0.153766, -0.174421, -0.196636, -0.208529, -0.220043, -0.240199, -0.248955, -0.26567, -0.285892, -0.30436, -0.315965, -0.315989, -0.315561, -0.314681, -0.313348, -0.311567, -0.309334, -0.306652, -0.303518, -0.303195, -0.301658, -0.286093, -0.263374, -0.211096, -0.16418, -0.142125, -0.120328, -0.088376, -0.0795171, -0.071438, -0.0645656, -0.0523053, -0.0469173, -0.0404631, -0.0344437, -0.027948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0309974");
-            index_3 ("0.829966, 0.851935, 0.861166, 0.866759, 0.877346, 0.898179, 0.910687, 0.921223, 0.9306, 0.939251, 0.947577, 0.955882, 0.95676, 0.969549, 0.97726, 0.982872, 0.987906, 0.993929, 0.998705, 1.00826, 1.02137");
-            values ( \
-              "-0.0290055, -0.0842743, -0.118795, -0.144876, -0.216279, -0.398859, -0.493733, -0.567068, -0.622884, -0.66458, -0.679523, -0.618725, -0.605802, -0.330354, -0.197996, -0.131438, -0.0893022, -0.0559858, -0.0384852, -0.0180225, -0.00737027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0904519");
-            index_3 ("0.807824, 0.850942, 0.85753, 0.866489, 0.877309, 0.881672, 0.890399, 0.92623, 0.944798, 0.952561, 0.960495, 0.974701, 0.988222, 1.00172, 1.01528, 1.0265, 1.03602, 1.04442, 1.04989, 1.05694, 1.06167, 1.06797, 1.07928, 1.08449, 1.09235, 1.10282, 1.11348");
-            values ( \
-              "-0.0272719, -0.104087, -0.139836, -0.195845, -0.274912, -0.313739, -0.402287, -0.784033, -0.968729, -1.03821, -1.10245, -1.18854, -1.2255, -1.18115, -0.996757, -0.768911, -0.588838, -0.45611, -0.382988, -0.303039, -0.258227, -0.207711, -0.138715, -0.115123, -0.0867183, -0.0591011, -0.0424901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.263943");
-            index_3 ("0.850436, 0.881129, 0.899793, 0.956855, 0.975062, 0.992675, 1.00607, 1.03497, 1.06327, 1.09157, 1.10757, 1.12274, 1.15308, 1.18399, 1.21646, 1.24218, 1.2592, 1.28736, 1.31955, 1.33639, 1.36393, 1.40065, 1.46516, 1.52966, 1.59417");
-            values ( \
-              "-0.249229, -0.370021, -0.562625, -1.2631, -1.43538, -1.55191, -1.6133, -1.6797, -1.67855, -1.61931, -1.55587, -1.46614, -1.18464, -0.870249, -0.60073, -0.439418, -0.354591, -0.246253, -0.159859, -0.127492, -0.0877353, -0.05261, -0.0203523, -0.00775967, -0.00303533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.770199");
-            index_3 ("0.850954, 0.995224, 1.02094, 1.04791, 1.07472, 1.10997, 1.18424, 1.29775, 1.37687, 1.44138, 1.50432, 1.61244, 1.71457, 1.84226, 1.91314, 2.02805, 2.19072, 2.36743");
-            values ( \
-              "-0.169503, -1.67994, -1.80181, -1.87001, -1.89793, -1.9051, -1.8742, -1.79619, -1.70415, -1.58395, -1.38954, -0.973598, -0.649198, -0.372997, -0.270716, -0.158579, -0.0733345, -0.0327214" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.0031209, 0.00312209, 0.00312413, 0.003126, 0.00312696, 0.00312733", \
-            "0.0037335, 0.0037338, 0.00373468, 0.00373603, 0.00373702, 0.00373747", \
-            "0.00409568, 0.00409568, 0.00409573, 0.00409612, 0.00409669, 0.00409706", \
-            "0.00430455, 0.0043045, 0.00430442, 0.00430435, 0.00430441, 0.00430455", \
-            "0.00441602, 0.00441598, 0.00441587, 0.00441572, 0.0044156, 0.0044156", \
-            "0.00447947, 0.00447945, 0.0044794, 0.0044793, 0.00447919, 0.00447914" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.00451875, 0.00451937, 0.00452158, 0.00452457, 0.00452664, 0.00452756", \
-            "0.00497428, 0.004973, 0.00497164, 0.00497166, 0.0049724, 0.00497299", \
-            "0.00520461, 0.0052021, 0.00519769, 0.00519297, 0.00519034, 0.00518942", \
-            "0.00541527, 0.00541315, 0.00540812, 0.00540022, 0.00539339, 0.00538985", \
-            "0.00571752, 0.00572263, 0.00573541, 0.00574424, 0.00574686, 0.00574628", \
-            "0.00615515, 0.00615124, 0.00617284, 0.00641164, 0.00655511, 0.00661512" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.0245405, 0.0247853, 0.025303, 0.0258661, 0.0261191, 0.0261881", \
-            "0.0243836, 0.024615, 0.0251358, 0.025743, 0.0260181, 0.0260921", \
-            "0.0242133, 0.0244261, 0.0248898, 0.0254736, 0.0258223, 0.0259261", \
-            "0.024584, 0.0246091, 0.024754, 0.0251474, 0.0255717, 0.0257425", \
-            "0.0258592, 0.0256345, 0.0254782, 0.0255849, 0.0257437, 0.025968", \
-            "0.0294681, 0.0292152, 0.0286067, 0.0279308, 0.0277282, 0.0275994" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0106226, 0.0309974, 0.0904519, 0.263943, 0.770199");
-          values ( \
-            "0.0303348, 0.0301299, 0.030352, 0.0308501, 0.0311352, 0.0312618", \
-            "0.0301752, 0.0299723, 0.0301803, 0.0307131, 0.031057, 0.0311802", \
-            "0.0300373, 0.0297951, 0.0299647, 0.0305214, 0.0309677, 0.0311479", \
-            "0.0307566, 0.0304217, 0.0303461, 0.0307638, 0.0312914, 0.0315459", \
-            "0.0322827, 0.0320763, 0.0314926, 0.0315234, 0.0318963, 0.0322212", \
-            "0.0374548, 0.0359814, 0.0346036, 0.034814, 0.0346178, 0.0346776" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00564556;
-      rise_capacitance : 0.00564556;
-      rise_capacitance_range (0.00431643, 0.00564556);
-      fall_capacitance : 0.00556762;
-      fall_capacitance_range (0.00389962, 0.00556762);
-    }
-  }
-  cell (BUFX6) {
-    area : 24.1758;
-    cell_footprint : "BUF";
-    cell_leakage_power : 0.966475;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.312319;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.62063;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.966475;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 1.08806;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.0955471, 0.103497, 0.122091, 0.164213, 0.272551, 0.598434", \
-            "0.100465, 0.108454, 0.127022, 0.169188, 0.277543, 0.603476", \
-            "0.111794, 0.119765, 0.138343, 0.180444, 0.288926, 0.614873", \
-            "0.13657, 0.144519, 0.163019, 0.205015, 0.313332, 0.639248", \
-            "0.170578, 0.179546, 0.199987, 0.243599, 0.352593, 0.67876", \
-            "0.199777, 0.209868, 0.233851, 0.282542, 0.393903, 0.719074" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.0245536, 0.03067, 0.0471069, 0.0937966, 0.242574, 0.724172", \
-            "0.0245764, 0.0306847, 0.0470626, 0.093774, 0.242524, 0.724172", \
-            "0.0245426, 0.0306662, 0.047051, 0.0937434, 0.242516, 0.724161", \
-            "0.0254085, 0.0315288, 0.047747, 0.094203, 0.242638, 0.724171", \
-            "0.0317297, 0.0382005, 0.0539952, 0.0983288, 0.243879, 0.724202", \
-            "0.0412458, 0.0487657, 0.0664106, 0.108835, 0.248476, 0.724947" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.11048, 0.11739, 0.134173, 0.170657, 0.253797, 0.485596", \
-            "0.116699, 0.123599, 0.140366, 0.176866, 0.260016, 0.491925", \
-            "0.131355, 0.138268, 0.155002, 0.191505, 0.27475, 0.506636", \
-            "0.165291, 0.172186, 0.188841, 0.225146, 0.308493, 0.540331", \
-            "0.234367, 0.241784, 0.259447, 0.296866, 0.380481, 0.61238", \
-            "0.339889, 0.348762, 0.369941, 0.414183, 0.503433, 0.736608" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.022968, 0.0281429, 0.0410462, 0.0724013, 0.164752, 0.468701", \
-            "0.0229713, 0.0281311, 0.0410622, 0.0724007, 0.164738, 0.468702", \
-            "0.0229643, 0.0281343, 0.041064, 0.0724044, 0.164718, 0.468701", \
-            "0.0229993, 0.0281864, 0.0411647, 0.0725516, 0.164773, 0.468701", \
-            "0.0276689, 0.0327518, 0.0455795, 0.0755835, 0.16611, 0.468833", \
-            "0.0389751, 0.0446366, 0.0588155, 0.0899339, 0.174924, 0.470732" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.074241, 0.0768946, 0.0791743, 0.0806508, 0.0836037, 0.087391, 0.089062, 0.0946796, 0.0992311, 0.103335, 0.107213, 0.111021, 0.114893, 0.116877, 0.119222, 0.122195, 0.125191, 0.127905, 0.129637, 0.133101, 0.135885, 0.139438, 0.143819, 0.151132, 0.155464, 0.163219, 0.173558, 0.194103, 0.210817");
-            values ( \
-              "0.0172253, 0.0216075, 0.0273921, 0.0365181, 0.0497457, 0.0808058, 0.0984137, 0.134475, 0.15339, 0.166339, 0.171499, 0.173049, 0.165519, 0.154698, 0.13006, 0.089705, 0.0618682, 0.0472186, 0.0396176, 0.0282547, 0.0218418, 0.0157815, 0.0107247, 0.00592224, 0.00450339, 0.00303125, 0.00202383, 0.00115675, 0.000827314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0113826");
-            index_3 ("0.0765836, 0.0809829, 0.0861216, 0.0924576, 0.0994927, 0.105189, 0.108456, 0.113943, 0.117404, 0.119807, 0.124504, 0.125288, 0.127953, 0.133407, 0.137115, 0.140829, 0.143063, 0.149737, 0.154655, 0.160276, 0.165875, 0.176469, 0.185204, 0.202675, 0.227714");
-            values ( \
-              "0.050739, 0.0791787, 0.140582, 0.247416, 0.33419, 0.385875, 0.407751, 0.431459, 0.436979, 0.43533, 0.416168, 0.406546, 0.361909, 0.235923, 0.172687, 0.12962, 0.108631, 0.0640458, 0.0435423, 0.0281564, 0.0187941, 0.00990936, 0.00652132, 0.00354291, 0.00242919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0355914");
-            index_3 ("0.0797221, 0.0908189, 0.0979502, 0.105119, 0.110507, 0.118855, 0.12641, 0.133627, 0.140805, 0.147981, 0.163955, 0.170651, 0.174911, 0.183793, 0.186947, 0.192659, 0.198043, 0.205957, 0.216037, 0.224109, 0.240252, 0.265609, 0.270285");
-            values ( \
-              "0.0894427, 0.322537, 0.48875, 0.629235, 0.715972, 0.819977, 0.876081, 0.899761, 0.886627, 0.810686, 0.441126, 0.325579, 0.267593, 0.173902, 0.148882, 0.112033, 0.0854832, 0.0574975, 0.035571, 0.0246248, 0.0124291, 0.00519598, 0.00493007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.111288");
-            index_3 ("0.0817834, 0.0952931, 0.104699, 0.115839, 0.126201, 0.132994, 0.147811, 0.16182, 0.175753, 0.189682, 0.197368, 0.206266, 0.223003, 0.235082, 0.244414, 0.256306, 0.265727, 0.278289, 0.287716, 0.29547, 0.305809, 0.323293, 0.331624, 0.344222, 0.361019, 0.394612, 0.444281, 0.505561");
-            values ( \
-              "0.0820768, 0.511294, 0.76733, 1.02497, 1.20518, 1.29324, 1.41162, 1.44936, 1.42828, 1.34713, 1.26216, 1.13559, 0.873497, 0.706777, 0.591909, 0.466817, 0.38409, 0.293168, 0.238362, 0.200607, 0.158831, 0.106156, 0.0877537, 0.0657742, 0.0446431, 0.0201444, 0.00598318, 0.00141546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.347976");
-            index_3 ("0.0831442, 0.109604, 0.12238, 0.13556, 0.145281, 0.164723, 0.18075, 0.204435, 0.214359, 0.231079, 0.248362, 0.282686, 0.302397, 0.322502, 0.361945, 0.420158, 0.470162, 0.503523, 0.529972, 0.565236, 0.595187, 0.633164, 0.681115, 0.736438, 0.780944, 0.863314, 0.934824");
-            values ( \
-              "0.124916, 0.964477, 1.27694, 1.51307, 1.63941, 1.79549, 1.8551, 1.8753, 1.86871, 1.84387, 1.80779, 1.70938, 1.63567, 1.54294, 1.31392, 0.951977, 0.686348, 0.540598, 0.443585, 0.336814, 0.264785, 0.19364, 0.128667, 0.0800568, 0.0542229, 0.0255377, 0.0137729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.08806");
-            index_3 ("0.0981223, 0.147173, 0.163599, 0.184248, 0.20578, 0.224063, 0.248442, 0.305198, 0.502788, 0.610021, 0.69239, 0.786877, 1.06626, 1.22109, 1.28191, 1.3621, 1.43017, 1.54354, 1.68791, 1.83222, 1.91666, 2.0814, 2.24613, 2.41087, 2.53382");
-            values ( \
-              "1.01771, 1.75854, 1.91935, 2.03417, 2.08937, 2.10696, 2.11061, 2.07636, 1.88604, 1.76561, 1.65032, 1.482, 0.906793, 0.638255, 0.549071, 0.448968, 0.374585, 0.275643, 0.184222, 0.121955, 0.0955406, 0.0589369, 0.0361384, 0.0220267, 0.017713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0928362, 0.0993078, 0.100446, 0.103667, 0.110167, 0.11355, 0.118112, 0.122217, 0.126098, 0.129909, 0.133716, 0.135768, 0.141071, 0.144053, 0.146809, 0.15209, 0.155963, 0.162668, 0.169984, 0.174333, 0.182139, 0.192548, 0.2261");
-            values ( \
-              "0.0175638, 0.0329155, 0.0389486, 0.0577841, 0.113757, 0.133423, 0.153892, 0.165496, 0.172029, 0.172338, 0.166127, 0.154658, 0.0898626, 0.0620712, 0.0471521, 0.0279879, 0.0195936, 0.0107623, 0.00594008, 0.00450961, 0.00302728, 0.00201749, 0.00103117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0113826");
-            index_3 ("0.0951102, 0.0976873, 0.100499, 0.104012, 0.113892, 0.118427, 0.124128, 0.129231, 0.134041, 0.138755, 0.143464, 0.144974, 0.152821, 0.157014, 0.159396, 0.163639, 0.167814, 0.17145, 0.178189, 0.18461, 0.188819, 0.196399, 0.206506, 0.24614");
-            values ( \
-              "0.0516819, 0.0644526, 0.0851936, 0.127507, 0.280415, 0.333501, 0.385595, 0.417544, 0.434199, 0.436256, 0.415931, 0.396891, 0.226727, 0.159675, 0.133464, 0.0954269, 0.0684532, 0.0515312, 0.0305833, 0.0190549, 0.0144952, 0.00940575, 0.0058952, 0.002243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0355914");
-            index_3 ("0.096721, 0.117461, 0.122642, 0.129345, 0.139143, 0.145212, 0.152439, 0.154372, 0.158239, 0.159576, 0.162251, 0.167123, 0.183607, 0.193251, 0.19961, 0.205001, 0.209926, 0.215591, 0.224008, 0.236307, 0.246442, 0.26671, 0.291549");
-            values ( \
-              "0.0196043, 0.506121, 0.607564, 0.715596, 0.833993, 0.876641, 0.899069, 0.899028, 0.892071, 0.885708, 0.867577, 0.80055, 0.424757, 0.273459, 0.201544, 0.154474, 0.120944, 0.0909754, 0.059552, 0.0331741, 0.02103, 0.00919007, 0.00444192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.111288");
-            index_3 ("0.0995681, 0.114379, 0.12371, 0.13481, 0.145146, 0.151939, 0.166746, 0.180749, 0.194679, 0.208605, 0.216308, 0.225191, 0.241928, 0.253999, 0.263332, 0.275226, 0.284651, 0.297218, 0.30664, 0.314389, 0.324722, 0.342211, 0.350545, 0.36315, 0.379957, 0.41357, 0.462929, 0.523812");
-            values ( \
-              "0.023823, 0.517382, 0.771084, 1.02717, 1.20687, 1.29423, 1.41252, 1.44955, 1.42873, 1.34706, 1.26205, 1.13559, 0.8735, 0.706854, 0.59196, 0.466831, 0.384069, 0.293124, 0.238349, 0.200616, 0.158864, 0.10616, 0.0877517, 0.065761, 0.0446267, 0.0201242, 0.00603669, 0.00144211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.347976");
-            index_3 ("0.11456, 0.135758, 0.143449, 0.156132, 0.164434, 0.181039, 0.199866, 0.217104, 0.233413, 0.261536, 0.279352, 0.303102, 0.339104, 0.346856, 0.377864, 0.434123, 0.459565, 0.48651, 0.52163, 0.551891, 0.575343, 0.615116, 0.632391, 0.662039, 0.704026, 0.747439, 0.780653, 0.847079, 0.929449, 1.01182, 1.09419");
-            values ( \
-              "0.910682, 1.15011, 1.3199, 1.53845, 1.64201, 1.78083, 1.85551, 1.87559, 1.868, 1.82202, 1.77744, 1.70464, 1.55655, 1.51602, 1.3334, 0.981401, 0.837087, 0.699443, 0.544107, 0.434084, 0.361769, 0.262977, 0.228383, 0.178343, 0.124777, 0.0860302, 0.0644054, 0.0355706, 0.0166713, 0.00783541, 0.00362285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.08806");
-            index_3 ("0.114187, 0.148422, 0.168823, 0.182476, 0.203635, 0.224533, 0.243123, 0.267911, 0.295795, 0.324048, 0.521638, 0.628871, 0.711241, 0.805728, 1.08511, 1.15757, 1.23994, 1.30076, 1.38095, 1.44902, 1.56239, 1.62439, 1.70676, 1.85107, 1.93551, 2.10025, 2.26499, 2.42973, 2.59447, 2.92394");
-            values ( \
-              "0.806933, 1.48236, 1.79466, 1.92097, 2.03709, 2.08963, 2.10738, 2.1105, 2.0968, 2.07628, 1.88593, 1.76549, 1.65043, 1.48212, 0.906654, 0.772809, 0.638114, 0.549214, 0.44882, 0.374731, 0.27579, 0.233168, 0.184369, 0.122102, 0.0956856, 0.0590815, 0.0362825, 0.0221704, 0.0134687, 0.00482805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.136132, 0.141143, 0.142626, 0.14581, 0.153514, 0.155596, 0.160145, 0.164244, 0.168121, 0.171928, 0.175732, 0.176743, 0.180129, 0.185559, 0.189253, 0.191788, 0.195912, 0.200903, 0.204873, 0.212323, 0.216507, 0.224011, 0.234016, 0.276736");
-            values ( \
-              "0.0195473, 0.0324314, 0.0400837, 0.059238, 0.122901, 0.133513, 0.154578, 0.165397, 0.17253, 0.172169, 0.166508, 0.161267, 0.12999, 0.0658972, 0.0451454, 0.0349902, 0.0235964, 0.0150259, 0.0105872, 0.00581524, 0.00444952, 0.00303141, 0.00207127, 0.000778269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0113826");
-            index_3 ("0.138353, 0.142669, 0.146374, 0.15352, 0.156526, 0.160538, 0.166232, 0.171331, 0.176137, 0.180849, 0.185557, 0.187074, 0.194892, 0.199089, 0.201495, 0.205791, 0.209924, 0.213499, 0.216115, 0.220204, 0.226644, 0.2309, 0.238603, 0.248873, 0.268398, 0.293562, 0.364582");
-            values ( \
-              "0.0571471, 0.0867476, 0.131508, 0.247073, 0.28765, 0.334041, 0.385977, 0.417822, 0.434396, 0.436414, 0.416026, 0.396868, 0.227166, 0.15989, 0.133392, 0.0949698, 0.0683593, 0.0517027, 0.0421494, 0.0307558, 0.0191256, 0.0145013, 0.00934849, 0.0058275, 0.00313416, 0.00176586, 0.000340925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0355914");
-            index_3 ("0.139025, 0.148631, 0.161519, 0.171655, 0.179966, 0.187503, 0.194722, 0.2019, 0.209076, 0.225065, 0.235994, 0.248028, 0.253816, 0.259182, 0.267079, 0.277079, 0.285077, 0.301074, 0.313341");
-            values ( \
-              "0.0125681, 0.254471, 0.544535, 0.721398, 0.822359, 0.87834, 0.897683, 0.888643, 0.808788, 0.440779, 0.267733, 0.148982, 0.111679, 0.0852948, 0.0574226, 0.0356594, 0.0247652, 0.0125661, 0.0090043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.111288");
-            index_3 ("0.138556, 0.176971, 0.187385, 0.194088, 0.207496, 0.217146, 0.222846, 0.236749, 0.251194, 0.265791, 0.28791, 0.305657, 0.326599, 0.338813, 0.356683, 0.384537, 0.404807, 0.420956, 0.453255, 0.472276");
-            values ( \
-              "0.015132, 1.02856, 1.20843, 1.29507, 1.40477, 1.44096, 1.44759, 1.42715, 1.34039, 1.16041, 0.819174, 0.589716, 0.385374, 0.296637, 0.199779, 0.105623, 0.0664269, 0.0458855, 0.0213037, 0.0155552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.347976");
-            index_3 ("0.151518, 0.178344, 0.185814, 0.198438, 0.20677, 0.223435, 0.242185, 0.259416, 0.275728, 0.303779, 0.321806, 0.345414, 0.38142, 0.38916, 0.420121, 0.47676, 0.501231, 0.528428, 0.563783, 0.594675, 0.61842, 0.657433, 0.674296, 0.704089, 0.746188, 0.790017, 0.823595, 0.890752, 0.973121, 1.05549, 1.13786");
-            values ( \
-              "0.498467, 1.15868, 1.32304, 1.53955, 1.64356, 1.78187, 1.85609, 1.87566, 1.8683, 1.82207, 1.77694, 1.70478, 1.55641, 1.51597, 1.33365, 0.979543, 0.840673, 0.701251, 0.544803, 0.432471, 0.359626, 0.263007, 0.229119, 0.178771, 0.1249, 0.0858025, 0.0640774, 0.0350984, 0.0164899, 0.00770865, 0.00360489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.08806");
-            index_3 ("0.150906, 0.190463, 0.215671, 0.241903, 0.263795, 0.290577, 0.321194, 0.366039, 0.462463, 0.601288, 0.670884, 0.753254, 0.84774, 1.12712, 1.28195, 1.42296, 1.57341, 1.66641, 1.81072, 1.92123, 2.05989, 2.22463, 2.38937, 2.57052");
-            values ( \
-              "0.498261, 1.48282, 1.8421, 2.01937, 2.0836, 2.10908, 2.10663, 2.07636, 1.98691, 1.84577, 1.76493, 1.651, 1.4827, 0.906046, 0.637505, 0.448217, 0.30034, 0.232575, 0.154878, 0.112832, 0.0754726, 0.046558, 0.0286115, 0.0187642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.223018, 0.231315, 0.235087, 0.23676, 0.240106, 0.241693, 0.244866, 0.247856, 0.248901, 0.250958, 0.25265, 0.254731, 0.256967, 0.261, 0.264916, 0.268829, 0.269425, 0.270061, 0.271332, 0.273261, 0.276557, 0.279445, 0.280772, 0.281865, 0.283323, 0.28591, 0.289603, 0.290862, 0.291905, 0.293297, 0.29608, 0.301647, 0.307772, 0.311331, 0.312797, 0.315729, 0.321593, 0.333322, 0.356446, 0.387441, 0.419687, 0.454958");
-            values ( \
-              "0.00528866, 0.0263127, 0.0390074, 0.0488464, 0.0718524, 0.0871525, 0.107238, 0.125005, 0.128984, 0.137805, 0.144665, 0.151679, 0.158761, 0.166283, 0.168772, 0.162907, 0.160571, 0.157609, 0.149194, 0.128339, 0.0843172, 0.0614112, 0.052911, 0.0474711, 0.0411079, 0.0318636, 0.0225543, 0.0200824, 0.0182866, 0.0161646, 0.0126213, 0.00791121, 0.00507449, 0.00412323, 0.00380101, 0.00330319, 0.0025566, 0.00173248, 0.000950516, 0.000487391, 0.000216563, 0.000132057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0113826");
-            index_3 ("0.222975, 0.233244, 0.234978, 0.239332, 0.2451, 0.248862, 0.258675, 0.263966, 0.26891, 0.273715, 0.278514, 0.279682, 0.280927, 0.288526, 0.292363, 0.294372, 0.297694, 0.302124, 0.307976, 0.312307, 0.319052, 0.323961, 0.333413, 0.346017, 0.366401, 0.393418, 0.464532");
-            values ( \
-              "0.00754422, 0.0723378, 0.0867911, 0.134853, 0.221864, 0.268802, 0.368701, 0.404656, 0.425163, 0.429134, 0.411479, 0.397619, 0.378953, 0.213873, 0.15674, 0.134409, 0.103559, 0.0727973, 0.046185, 0.0330195, 0.0199875, 0.0145108, 0.00856643, 0.00499486, 0.00282057, 0.00151716, 0.000288052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0355914");
-            index_3 ("0.224486, 0.233315, 0.236695, 0.240679, 0.26084, 0.268818, 0.272559, 0.280286, 0.287593, 0.29484, 0.302082, 0.314638, 0.324922, 0.33149, 0.336803, 0.341883, 0.348184, 0.356586, 0.361684, 0.368133, 0.376731, 0.393928, 0.417884");
-            values ( \
-              "0.0570043, 0.12195, 0.164771, 0.23239, 0.636761, 0.756563, 0.798815, 0.863206, 0.889027, 0.880793, 0.805786, 0.510912, 0.323225, 0.238043, 0.183872, 0.143193, 0.104589, 0.0684057, 0.0532746, 0.0391653, 0.0263249, 0.0125485, 0.00556329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.111288");
-            index_3 ("0.225682, 0.24011, 0.276657, 0.286427, 0.301441, 0.315546, 0.329539, 0.343525, 0.358731, 0.383858, 0.402771, 0.426328, 0.440049, 0.455822, 0.48572, 0.519084, 0.553872");
-            values ( \
-              "0.0997389, 0.274921, 1.12991, 1.27008, 1.39954, 1.44129, 1.42449, 1.34401, 1.15541, 0.774568, 0.541186, 0.332668, 0.246359, 0.173313, 0.0874681, 0.0403568, 0.0190957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.347976");
-            index_3 ("0.240499, 0.280142, 0.299098, 0.311617, 0.331128, 0.342131, 0.355352, 0.368411, 0.394529, 0.438137, 0.481642, 0.588357, 0.650834, 0.70536, 0.75005, 0.81074, 0.843163, 0.926539, 1.00891, 1.03056");
-            values ( \
-              "0.455066, 1.32646, 1.62068, 1.73816, 1.83883, 1.86234, 1.87118, 1.86514, 1.82471, 1.70433, 1.51714, 0.871574, 0.567653, 0.3762, 0.263049, 0.158632, 0.120389, 0.058339, 0.027544, 0.0236913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.08806");
-            index_3 ("0.260146, 0.297143, 0.317153, 0.330663, 0.348018, 0.36556, 0.394778, 0.426604, 0.458949, 0.505876, 0.637719, 0.763771, 0.84614, 0.940627, 1.22001, 1.29246, 1.37483, 1.44712, 1.51585, 1.58392, 1.66629, 1.75929, 1.84166, 1.9036, 2.01412, 2.15278, 2.31752, 2.48225, 2.81173, 3.05884");
-            values ( \
-              "1.65532, 1.69148, 1.90782, 1.99332, 2.05933, 2.09413, 2.11025, 2.09998, 2.07569, 2.03475, 1.90544, 1.76484, 1.6511, 1.4828, 0.905927, 0.773545, 0.637384, 0.533751, 0.44809, 0.37546, 0.300212, 0.23245, 0.185087, 0.154753, 0.112708, 0.0753501, 0.0464361, 0.0284904, 0.0105821, 0.00549919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.386277, 0.404091, 0.41223, 0.415854, 0.429129, 0.435299, 0.440729, 0.445795, 0.450705, 0.45561, 0.460498, 0.466042, 0.471751, 0.478661, 0.487013, 0.494328, 0.500499, 0.523467, 0.543663");
-            values ( \
-              "0.00254269, 0.0141201, 0.0269731, 0.0373059, 0.0974546, 0.115086, 0.126214, 0.132179, 0.135183, 0.132768, 0.117472, 0.0658212, 0.0377689, 0.0204602, 0.0103928, 0.00592417, 0.00415946, 0.00178492, 0.00114404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0113826");
-            index_3 ("0.38677, 0.403449, 0.40802, 0.410435, 0.41441, 0.419887, 0.42699, 0.431681, 0.434511, 0.438846, 0.442061, 0.448697, 0.45477, 0.460596, 0.466364, 0.472128, 0.476333, 0.480884, 0.485879, 0.48891, 0.493117, 0.496465, 0.498852, 0.503482, 0.510695, 0.51427, 0.519316, 0.526045, 0.539501, 0.558179, 0.579916");
-            values ( \
-              "0.00749881, 0.0339657, 0.0467166, 0.0565482, 0.0753728, 0.114919, 0.186146, 0.225899, 0.246904, 0.275367, 0.293789, 0.325707, 0.346941, 0.358018, 0.353169, 0.297314, 0.216816, 0.151949, 0.106424, 0.0851632, 0.0623029, 0.0487539, 0.0409768, 0.0291843, 0.0177225, 0.0141779, 0.0107318, 0.00784874, 0.0047307, 0.00278845, 0.00207967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0355914");
-            index_3 ("0.389376, 0.402902, 0.407939, 0.411783, 0.419471, 0.440105, 0.447299, 0.45782, 0.466894, 0.475234, 0.483308, 0.491554, 0.507308, 0.517929, 0.524011, 0.530419, 0.538087, 0.54357, 0.550339, 0.559394, 0.566232, 0.575076, 0.588724, 0.608032");
-            values ( \
-              "0.023218, 0.0657837, 0.0915606, 0.117484, 0.186247, 0.464162, 0.553006, 0.666136, 0.745052, 0.788888, 0.801794, 0.749748, 0.43535, 0.274654, 0.2076, 0.152892, 0.105598, 0.0808003, 0.0581775, 0.0381426, 0.0282981, 0.0196466, 0.011783, 0.00683217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.111288");
-            index_3 ("0.424532, 0.449665, 0.458604, 0.465302, 0.469021, 0.47274, 0.476459, 0.480178, 0.483898, 0.488042, 0.491018, 0.494217, 0.498712, 0.501534, 0.504356, 0.507177, 0.509999, 0.512821, 0.515643, 0.518989, 0.520662, 0.522335, 0.525681, 0.529782, 0.533882, 0.537649, 0.541417, 0.545184, 0.548952, 0.550361, 0.550443, 0.550935, 0.57111, 0.579861, 0.586428, 0.593729, 0.59738, 0.602631, 0.60735, 0.610889, 0.617679, 0.619942, 0.625848, 0.635223, 0.64216, 0.650473, 0.657225, 0.665188, 0.669169, 0.675548");
-            values ( \
-              "0.701998, 0.755714, 0.91312, 1.02495, 1.07642, 1.12421, 1.16818, 1.20858, 1.24542, 1.28228, 1.30504, 1.32574, 1.34944, 1.3594, 1.3669, 1.37048, 1.37259, 1.37323, 1.3724, 1.36952, 1.36602, 1.36123, 1.34776, 1.32289, 1.29291, 1.26085, 1.22446, 1.18376, 1.13874, 1.11979, 1.11642, 1.11082, 0.806341, 0.691816, 0.613406, 0.533713, 0.496788, 0.447087, 0.403964, 0.373765, 0.325046, 0.309903, 0.273634, 0.221004, 0.187685, 0.156596, 0.134875, 0.112745, 0.102964, 0.0889908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.347976");
-            index_3 ("0.416838, 0.439072, 0.47504, 0.485376, 0.506047, 0.523014, 0.549965, 0.569572, 0.591776, 0.616663, 0.645784, 0.666063, 0.705534, 0.76715, 0.813769, 0.847118, 0.873543, 0.908775, 0.951447, 0.9768, 1.02472, 1.07998, 1.12442, 1.20679, 1.28916, 1.4539");
-            values ( \
-              "0.504495, 0.622884, 1.33598, 1.49107, 1.69995, 1.79259, 1.84221, 1.83268, 1.79743, 1.73538, 1.63452, 1.542, 1.31372, 0.932207, 0.686242, 0.540572, 0.443647, 0.33694, 0.238747, 0.193556, 0.128658, 0.0800967, 0.0542725, 0.0255682, 0.0119939, 0.00262022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.08806");
-            index_3 ("0.411065, 0.475187, 0.505197, 0.528108, 0.549974, 0.565457, 0.587012, 0.608048, 0.639267, 0.668317, 0.846627, 0.953865, 1.03623, 1.07031, 1.20362, 1.37387, 1.48254, 1.56491, 1.62575, 1.70593, 1.77401, 1.88737, 2.03174, 2.17605, 2.26049, 2.42523, 2.58997, 2.75471, 2.91945, 3.24892");
-            values ( \
-              "0.17024, 1.38597, 1.8076, 1.97261, 2.0536, 2.08165, 2.09793, 2.09553, 2.08193, 2.05904, 1.8865, 1.76601, 1.64989, 1.59513, 1.33356, 0.976447, 0.772438, 0.638497, 0.54885, 0.449137, 0.374419, 0.275487, 0.184073, 0.121809, 0.0953994, 0.0587978, 0.0360012, 0.0218915, 0.0131923, 0.00455618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.719035, 0.747614, 0.756964, 0.762926, 0.772042, 0.78593, 0.794064, 0.801149, 0.814137, 0.820524, 0.826879, 0.835387, 0.841994, 0.852476, 0.865628, 0.87781, 0.908589, 0.955775");
-            values ( \
-              "0.000687849, 0.00848879, 0.0134816, 0.0187741, 0.0337371, 0.0732282, 0.0879977, 0.0971323, 0.103871, 0.10211, 0.0942679, 0.0480719, 0.0278362, 0.0123427, 0.0045403, 0.0024095, 0.00128261, 0.000908992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0113826");
-            index_3 ("0.719019, 0.749952, 0.765884, 0.775351, 0.791779, 0.80154, 0.809997, 0.825326, 0.832731, 0.840132, 0.856223, 0.867162, 0.87937, 0.890729, 0.909787, 0.91559");
-            values ( \
-              "0.000920026, 0.0255905, 0.0554525, 0.0934402, 0.191214, 0.229492, 0.254714, 0.277914, 0.276023, 0.249107, 0.0899644, 0.043534, 0.0190288, 0.00991571, 0.0048236, 0.00450337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0355914");
-            index_3 ("0.740754, 0.759538, 0.766615, 0.777176, 0.796845, 0.806261, 0.819739, 0.83135, 0.841886, 0.851823, 0.861665, 0.871493, 0.879964, 0.891985, 0.905294, 0.912186, 0.920063, 0.931405, 0.939442, 0.955517, 0.979944, 0.993876");
-            values ( \
-              "0.0811532, 0.0850901, 0.114303, 0.180507, 0.358385, 0.431136, 0.519922, 0.584896, 0.631276, 0.657823, 0.646032, 0.529807, 0.390236, 0.242208, 0.135095, 0.0986097, 0.0681986, 0.0406214, 0.0286359, 0.0148523, 0.00686551, 0.00538903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.111288");
-            index_3 ("0.721238, 0.7616, 0.7718, 0.777668, 0.789595, 0.836579, 0.856749, 0.874236, 0.890563, 0.906756, 0.922936, 0.945469, 0.955929, 0.971352, 0.981647, 0.996076, 1.00759, 1.01714, 1.02864, 1.045, 1.05259, 1.06321, 1.07738, 1.10571, 1.15369, 1.21121");
-            values ( \
-              "0.0163225, 0.142813, 0.209823, 0.257769, 0.376832, 0.897263, 1.09013, 1.20279, 1.25058, 1.2265, 1.09527, 0.793441, 0.66306, 0.496792, 0.405697, 0.30094, 0.234702, 0.190491, 0.14771, 0.101771, 0.0858199, 0.0675796, 0.0492209, 0.0255957, 0.00830577, 0.00216738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.347976");
-            index_3 ("0.724116, 0.76988, 0.782605, 0.805738, 0.856915, 0.880639, 0.893711, 0.919856, 0.930284, 0.948115, 0.965714, 1.00124, 1.0276, 1.06794, 1.14223, 1.18489, 1.21617, 1.24971, 1.26865, 1.31569, 1.33648, 1.37805, 1.40935, 1.44403, 1.49027, 1.57264, 1.61763");
-            values ( \
-              "0.032205, 0.23885, 0.353561, 0.621974, 1.3116, 1.55544, 1.64608, 1.74893, 1.7657, 1.77195, 1.75753, 1.68414, 1.59236, 1.38583, 0.931307, 0.705528, 0.565756, 0.442851, 0.382881, 0.26299, 0.221821, 0.156396, 0.119816, 0.0889928, 0.0593691, 0.0280161, 0.0198837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.08806");
-            index_3 ("0.769548, 0.825726, 0.869366, 0.886008, 0.905027, 0.925579, 0.958368, 0.990619, 1.02146, 1.06528, 1.11828, 1.21568, 1.28163, 1.32703, 1.4094, 1.44346, 1.50386, 1.7289, 1.85569, 1.93806, 2.03326, 2.07908, 2.14715, 2.22952, 2.32251, 2.40488, 2.46682, 2.57734, 2.63363, 2.716, 2.79837, 2.88074, 3.04548, 3.29258, 3.62206");
-            values ( \
-              "0.460775, 0.934479, 1.56829, 1.74049, 1.88182, 1.97724, 2.05188, 2.06753, 2.05889, 2.02816, 1.98179, 1.8863, 1.818, 1.76491, 1.65076, 1.59426, 1.4825, 1.01331, 0.773243, 0.637691, 0.504235, 0.448384, 0.37517, 0.300504, 0.232738, 0.184805, 0.155038, 0.112988, 0.0961147, 0.0756264, 0.0595072, 0.0467093, 0.0287606, 0.0138851, 0.00523875" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.00336517, 0.00336547, 0.00336632, 0.0033674, 0.00336805, 0.00336832", \
-            "0.00435716, 0.00435711, 0.00435716, 0.00435764, 0.00435821, 0.00435852", \
-            "0.00495984, 0.00495978, 0.00495967, 0.00495957, 0.00495972, 0.00495991", \
-            "0.00530765, 0.00530762, 0.00530753, 0.0053074, 0.00530728, 0.00530729", \
-            "0.00553629, 0.00553627, 0.00553621, 0.00553609, 0.00553594, 0.00553628", \
-            "0.0057009, 0.00570087, 0.0057008, 0.00570066, 0.00570046, 0.0057003" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.00527366, 0.00527305, 0.00527227, 0.00527253, 0.00527323, 0.00527362", \
-            "0.0054743, 0.00546502, 0.00546014, 0.00545642, 0.00545575, 0.00545575", \
-            "0.00518553, 0.00518525, 0.00518456, 0.00518322, 0.00518179, 0.00518106", \
-            "0.00527206, 0.00527171, 0.00527084, 0.00526908, 0.0052669, 0.00526541", \
-            "0.0056683, 0.0057283, 0.00579582, 0.00583818, 0.00586031, 0.00586258", \
-            "0.00612874, 0.00612572, 0.00610835, 0.0061663, 0.00649665, 0.00660324" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0850966, 0.0909857, 0.0968299, 0.101704, 0.10941, 0.118245, 0.125469, 0.128877, 0.132281, 0.141712, 0.14566, 0.150667, 0.15665, 0.163182");
-            values ( \
-              "-0.0209342, -0.0264683, -0.0408078, -0.0602341, -0.126362, -0.170505, -0.192038, -0.193155, -0.180847, -0.0447442, -0.0206203, -0.00852978, -0.00377966, -0.00284938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0113826");
-            index_3 ("0.086465, 0.0916954, 0.0933315, 0.0994968, 0.104192, 0.109883, 0.113763, 0.119549, 0.124547, 0.129106, 0.133396, 0.137576, 0.141751, 0.149947, 0.154016, 0.156669, 0.158981, 0.162833, 0.165927, 0.172115, 0.180757, 0.183353");
-            values ( \
-              "-0.0592704, -0.0803765, -0.0824981, -0.11746, -0.168306, -0.270389, -0.321305, -0.387171, -0.432717, -0.466451, -0.48809, -0.493861, -0.453693, -0.199846, -0.110246, -0.0723072, -0.0497813, -0.0271238, -0.0169508, -0.00729972, -0.00360156, -0.00340276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0355914");
-            index_3 ("0.0909562, 0.0939638, 0.0981183, 0.101025, 0.103718, 0.105626, 0.109442, 0.113456, 0.120508, 0.123894, 0.130666, 0.132101, 0.134973, 0.139279, 0.145882, 0.152164, 0.158349, 0.164526, 0.164929, 0.165734, 0.167345, 0.173118, 0.175253, 0.17769, 0.180899, 0.181882, 0.183848, 0.187779, 0.191045, 0.192715, 0.196057, 0.202739, 0.213344, 0.213899");
-            values ( \
-              "-0.159149, -0.187157, -0.218693, -0.256305, -0.292139, -0.321633, -0.401465, -0.507499, -0.653844, -0.714238, -0.824325, -0.845053, -0.884387, -0.937256, -1.00244, -1.04052, -1.03427, -0.913268, -0.903692, -0.874606, -0.811352, -0.564657, -0.481818, -0.397231, -0.302718, -0.278002, -0.233188, -0.162086, -0.118788, -0.101482, -0.0734535, -0.0382481, -0.0135623, -0.01321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.111288");
-            index_3 ("0.0957154, 0.124529, 0.145103, 0.158648, 0.170781, 0.182196, 0.193359, 0.204509, 0.208155, 0.212043, 0.240501, 0.252529, 0.264791, 0.275969, 0.282338, 0.295075, 0.308389");
-            values ( \
-              "-0.200304, -0.933973, -1.37288, -1.58629, -1.71663, -1.7914, -1.80314, -1.71444, -1.65104, -1.55807, -0.668714, -0.42267, -0.258139, -0.162045, -0.123865, -0.0714185, -0.0446178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.347976");
-            index_3 ("0.0975839, 0.119868, 0.136627, 0.156427, 0.17001, 0.187275, 0.212479, 0.224941, 0.236543, 0.246632, 0.260085, 0.265302, 0.275736, 0.291313, 0.31751, 0.328382, 0.378332, 0.396273, 0.415516, 0.428782, 0.445943, 0.459879, 0.477081, 0.501331, 0.526987, 0.546707, 0.586146, 0.650289, 0.714431");
-            values ( \
-              "-0.233335, -0.89199, -1.35207, -1.79733, -2.02356, -2.2301, -2.40231, -2.44451, -2.46459, -2.46966, -2.46043, -2.45116, -2.42498, -2.35976, -2.15761, -2.01923, -1.20925, -0.965127, -0.748703, -0.624335, -0.49047, -0.401866, -0.312737, -0.21799, -0.148568, -0.110183, -0.0595011, -0.020409, -0.00698787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.08806");
-            index_3 ("0.120909, 0.164302, 0.189301, 0.213109, 0.239672, 0.262983, 0.284478, 0.319219, 0.353983, 0.407153, 0.459565, 0.497197, 0.56134, 0.596279, 0.642864, 0.678682, 0.793395, 0.836946, 0.906465, 0.991739, 1.04981, 1.12369, 1.18784, 1.23847, 1.30791, 1.37205, 1.56448, 1.62755");
-            values ( \
-              "-1.65948, -2.04412, -2.40779, -2.61343, -2.73931, -2.79341, -2.81638, -2.82209, -2.80627, -2.76182, -2.70317, -2.65247, -2.54001, -2.45665, -2.30259, -2.135, -1.46254, -1.23055, -0.916526, -0.624534, -0.47644, -0.334956, -0.24487, -0.191091, -0.135613, -0.0986313, -0.03734, -0.0314705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.104535, 0.110865, 0.116721, 0.120996, 0.134072, 0.14188, 0.145382, 0.148791, 0.152196, 0.161624, 0.165549, 0.170589, 0.176639, 0.194753, 0.219525");
-            values ( \
-              "-0.0206018, -0.0265898, -0.0392281, -0.0574338, -0.151555, -0.182825, -0.191139, -0.193927, -0.180155, -0.044759, -0.0207202, -0.00851817, -0.0037474, -0.00120227, -0.000946465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0113826");
-            index_3 ("0.10706, 0.10985, 0.113507, 0.119668, 0.12277, 0.131453, 0.138842, 0.144686, 0.149244, 0.153542, 0.157722, 0.161898, 0.170102, 0.174159, 0.179123, 0.182993, 0.186105, 0.192329, 0.200986, 0.201855");
-            values ( \
-              "-0.061951, -0.0728934, -0.0804647, -0.118246, -0.147833, -0.29354, -0.379688, -0.432507, -0.466416, -0.487933, -0.493908, -0.453568, -0.199622, -0.110311, -0.0498193, -0.0270673, -0.0168741, -0.00724011, -0.00358777, -0.00355646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0355914");
-            index_3 ("0.110667, 0.118469, 0.125522, 0.13657, 0.150694, 0.159153, 0.165758, 0.172043, 0.178228, 0.184407, 0.195135, 0.200781, 0.207661, 0.210927, 0.21594, 0.222624, 0.23354, 0.246666, 0.263339, 0.319076");
-            values ( \
-              "-0.101905, -0.226897, -0.320568, -0.576934, -0.826054, -0.936822, -1.00222, -1.04023, -1.03417, -0.913092, -0.481819, -0.302748, -0.162039, -0.118836, -0.0734978, -0.0381845, -0.013148, -0.00507138, -0.00260289, -0.000684326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.111288");
-            index_3 ("0.11206, 0.127505, 0.144084, 0.156521, 0.165367, 0.178915, 0.191045, 0.20246, 0.213622, 0.224773, 0.228417, 0.232304, 0.260765, 0.272793, 0.285054, 0.296233, 0.302602, 0.31534, 0.324933");
-            values ( \
-              "-0.0242638, -0.494926, -0.915237, -1.20175, -1.37308, -1.58622, -1.71675, -1.79133, -1.8032, -1.7144, -1.65106, -1.55815, -0.668704, -0.422662, -0.258136, -0.162039, -0.123864, -0.0714098, -0.0521121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.347976");
-            index_3 ("0.113132, 0.140022, 0.156751, 0.17654, 0.194714, 0.207389, 0.232739, 0.252174, 0.272742, 0.285395, 0.310893, 0.32856, 0.339158, 0.360355, 0.392268, 0.42148, 0.441304, 0.46245, 0.491761, 0.515931, 0.532021, 0.555702, 0.587276, 0.650426, 0.714568, 0.778711");
-            values ( \
-              "-0.039086, -0.893185, -1.35204, -1.7974, -2.08822, -2.22979, -2.40362, -2.45878, -2.4679, -2.4516, -2.36425, -2.245, -2.13934, -1.83255, -1.30323, -0.903792, -0.693373, -0.516016, -0.338748, -0.23672, -0.186299, -0.130494, -0.0802538, -0.0281554, -0.0096389, -0.003286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.08806");
-            index_3 ("0.133292, 0.16176, 0.175242, 0.192996, 0.215958, 0.233407, 0.259784, 0.283228, 0.30484, 0.339772, 0.374346, 0.428063, 0.478835, 0.51756, 0.581702, 0.616643, 0.66323, 0.69904, 0.813777, 0.857322, 0.926829, 0.955244, 1.01208, 1.07017, 1.09481, 1.14409, 1.20823, 1.25884, 1.32823, 1.39237, 1.45651, 1.5848, 1.71308, 1.90551");
-            values ( \
-              "-1.22174, -1.53165, -1.85542, -2.18678, -2.47506, -2.613, -2.73851, -2.79315, -2.81642, -2.82201, -2.80633, -2.76123, -2.70445, -2.65256, -2.53992, -2.45654, -2.3027, -2.13517, -1.46258, -1.23033, -0.916376, -0.808572, -0.62446, -0.476294, -0.424033, -0.334762, -0.244985, -0.191238, -0.13579, -0.0985057, -0.0714923, -0.0374951, -0.0196326, -0.00735127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.148787, 0.162502, 0.165927, 0.173135, 0.178977, 0.187622, 0.191134, 0.194544, 0.19795, 0.20738, 0.211305, 0.216346, 0.222397, 0.230306");
-            values ( \
-              "-0.00538, -0.0399273, -0.0528001, -0.114082, -0.147887, -0.182856, -0.190939, -0.194037, -0.179959, -0.0447473, -0.0207215, -0.00852038, -0.00375027, -0.00263881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0113826");
-            index_3 ("0.148686, 0.162708, 0.164947, 0.169425, 0.175708, 0.18497, 0.194524, 0.198813, 0.202993, 0.207167, 0.215374, 0.219425, 0.224388, 0.228265, 0.231383, 0.23762, 0.246273, 0.285256");
-            values ( \
-              "-0.00498869, -0.10561, -0.117783, -0.165926, -0.277047, -0.387693, -0.466776, -0.488011, -0.494058, -0.453647, -0.199555, -0.110361, -0.0498497, -0.0270555, -0.0168446, -0.0072215, -0.0035813, -0.00217784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0355914");
-            index_3 ("0.156048, 0.163964, 0.171025, 0.182438, 0.193913, 0.204665, 0.211254, 0.217542, 0.223727, 0.229906, 0.240633, 0.246279, 0.253159, 0.261438, 0.268122, 0.279124, 0.292227, 0.309722");
-            values ( \
-              "-0.0969045, -0.227154, -0.320834, -0.58469, -0.791293, -0.937045, -1.00222, -1.04031, -1.03417, -0.913141, -0.48186, -0.302782, -0.162012, -0.0735259, -0.0381634, -0.0130444, -0.00502884, -0.00398528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.111288");
-            index_3 ("0.155629, 0.193618, 0.210646, 0.224191, 0.236334, 0.247749, 0.258912, 0.270063, 0.273699, 0.277577, 0.306056, 0.318084, 0.330343, 0.341523, 0.347894, 0.360635, 0.377847");
-            values ( \
-              "-8.11545e-05, -1.02541, -1.37385, -1.58487, -1.71757, -1.79039, -1.80394, -1.71364, -1.6512, -1.55859, -0.668682, -0.422651, -0.258154, -0.162039, -0.123859, -0.0713929, -0.0367792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.347976");
-            index_3 ("0.155443, 0.211921, 0.222249, 0.240055, 0.253063, 0.279079, 0.294177, 0.305672, 0.32866, 0.336035, 0.345869, 0.357102, 0.379568, 0.395463, 0.434385, 0.456366, 0.466139, 0.481633, 0.49934, 0.515975, 0.535621, 0.561817, 0.577645, 0.602043, 0.634572, 0.698714, 0.762857");
-            values ( \
-              "-0.0159793, -1.58488, -1.79938, -2.08538, -2.23037, -2.40751, -2.45267, -2.46812, -2.45727, -2.4413, -2.41041, -2.36034, -2.19586, -1.99916, -1.35815, -1.0387, -0.915578, -0.745689, -0.584003, -0.461746, -0.34783, -0.235898, -0.186379, -0.129149, -0.0781186, -0.0269364, -0.00914789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.08806");
-            index_3 ("0.174782, 0.224398, 0.260974, 0.278911, 0.305488, 0.328821, 0.350338, 0.385149, 0.419842, 0.473289, 0.524873, 0.563057, 0.627199, 0.66214, 0.708726, 0.744537, 0.85927, 0.902816, 0.972326, 1.05758, 1.11567, 1.18958, 1.25372, 1.30434, 1.37374, 1.43788, 1.50202, 1.63031, 1.7127");
-            values ( \
-              "-0.867342, -1.93396, -2.4705, -2.61452, -2.7388, -2.79416, -2.81589, -2.82269, -2.80575, -2.76205, -2.70432, -2.65202, -2.54044, -2.457, -2.30227, -2.13479, -1.4624, -1.23054, -0.916561, -0.624623, -0.476461, -0.334934, -0.244832, -0.191086, -0.135635, -0.0986441, -0.0713436, -0.0373508, -0.0296791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.248831, 0.258316, 0.264392, 0.27015, 0.275541, 0.281822, 0.289655, 0.293161, 0.296574, 0.299981, 0.309414, 0.313339, 0.318383, 0.324437, 0.332356, 0.341431");
-            values ( \
-              "-0.00132644, -0.0263391, -0.039301, -0.0651926, -0.11455, -0.150936, -0.182453, -0.191012, -0.193708, -0.180058, -0.044733, -0.0207272, -0.00852297, -0.0037524, -0.00185298, -0.00127735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0113826");
-            index_3 ("0.248933, 0.266843, 0.271667, 0.277326, 0.281279, 0.287081, 0.292089, 0.296654, 0.300948, 0.305132, 0.30931, 0.317547, 0.321558, 0.32652, 0.330459, 0.33364, 0.340001, 0.348675, 0.375915");
-            values ( \
-              "-2.71763e-05, -0.1145, -0.166128, -0.267684, -0.320289, -0.386086, -0.432218, -0.46575, -0.487783, -0.493389, -0.453556, -0.198741, -0.110566, -0.049977, -0.0268651, -0.0165821, -0.00702588, -0.00354056, -0.00257167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0355914");
-            index_3 ("0.253815, 0.272471, 0.287757, 0.297717, 0.306534, 0.313154, 0.319449, 0.325643, 0.33183, 0.348211, 0.355086, 0.363378, 0.370073, 0.380765, 0.384192");
-            values ( \
-              "-0.0231061, -0.30931, -0.649718, -0.817863, -0.934429, -1.00011, -1.03873, -1.03289, -0.912416, -0.302552, -0.162131, -0.0734047, -0.0381711, -0.0134308, -0.0121775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.111288");
-            index_3 ("0.267213, 0.284149, 0.295652, 0.303753, 0.314291, 0.325261, 0.330639, 0.340967, 0.349612, 0.358061, 0.36621, 0.372141, 0.383663, 0.405863, 0.419515, 0.429117, 0.442257, 0.45029, 0.45947, 0.477831, 0.480493");
-            values ( \
-              "-0.475578, -0.707546, -1.02225, -1.19452, -1.39898, -1.569, -1.63587, -1.73514, -1.7864, -1.8037, -1.77247, -1.70687, -1.43227, -0.722714, -0.432333, -0.294366, -0.170355, -0.121834, -0.0821133, -0.0364502, -0.0338088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.347976");
-            index_3 ("0.278285, 0.304048, 0.327627, 0.340037, 0.35484, 0.381847, 0.390638, 0.402359, 0.417825, 0.432877, 0.458375, 0.476299, 0.48665, 0.507351, 0.540482, 0.569119, 0.586809, 0.605677, 0.631883, 0.648093, 0.679947, 0.698421, 0.735371, 0.799513, 0.863656");
-            values ( \
-              "-1.08656, -1.34529, -1.86137, -2.05619, -2.22765, -2.40916, -2.43871, -2.46212, -2.4687, -2.45148, -2.36413, -2.24282, -2.1393, -1.84069, -1.29204, -0.901962, -0.712365, -0.548202, -0.377208, -0.297634, -0.185196, -0.140202, -0.0790718, -0.0271878, -0.0093136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.08806");
-            index_3 ("0.287591, 0.319154, 0.340327, 0.357199, 0.380803, 0.40766, 0.430817, 0.452172, 0.486769, 0.521676, 0.57463, 0.627693, 0.664891, 0.729034, 0.763973, 0.810558, 0.846376, 0.961087, 1.07416, 1.15944, 1.21751, 1.29139, 1.35553, 1.40617, 1.53975, 1.73217, 1.74347");
-            values ( \
-              "-1.75873, -1.77796, -2.18513, -2.41031, -2.61309, -2.74053, -2.79341, -2.81657, -2.82192, -2.80645, -2.76186, -2.70248, -2.65261, -2.53985, -2.4565, -2.30275, -2.13517, -1.46268, -0.916402, -0.624405, -0.476319, -0.334841, -0.244991, -0.191207, -0.0985174, -0.0374518, -0.0363931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.46092, 0.472198, 0.474133, 0.476897, 0.482076, 0.483802, 0.484737, 0.49184, 0.494265, 0.498727, 0.505224, 0.513872, 0.515877, 0.517882, 0.519884, 0.521886, 0.523993, 0.528559, 0.530302, 0.532143, 0.533897, 0.536002, 0.538649, 0.541592");
-            values ( \
-              "-0.0186263, -0.0202877, -0.0228152, -0.0274637, -0.0399568, -0.0451826, -0.0492879, -0.0875461, -0.0989037, -0.117279, -0.140546, -0.164903, -0.164258, -0.162626, -0.160013, -0.156416, -0.134434, -0.0745439, -0.0564495, -0.0412467, -0.0294725, -0.0204105, -0.013276, -0.00862087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0113826");
-            index_3 ("0.461017, 0.470917, 0.474718, 0.477012, 0.477619, 0.479439, 0.480652, 0.481866, 0.483079, 0.484293, 0.485135, 0.485977, 0.487661, 0.488811, 0.490535, 0.492258, 0.493408, 0.495706, 0.500249, 0.504792, 0.507768, 0.509742, 0.511715, 0.514373, 0.517031, 0.52195, 0.523133, 0.524316, 0.525499, 0.526682, 0.527863, 0.529044, 0.530224, 0.531405, 0.531492, 0.532715, 0.534111, 0.538821, 0.539408, 0.541046, 0.543125, 0.544311, 0.545861, 0.547161, 0.548726, 0.549508, 0.549919, 0.55033, 0.550947, 0.551581");
-            values ( \
-              "-0.0471017, -0.0504472, -0.0621633, -0.0697657, -0.0722012, -0.0801735, -0.0864773, -0.0935146, -0.101285, -0.109789, -0.116309, -0.123238, -0.138321, -0.150926, -0.17226, -0.192431, -0.205275, -0.229573, -0.272958, -0.313974, -0.339602, -0.355265, -0.370167, -0.388655, -0.406522, -0.437958, -0.437145, -0.435563, -0.433211, -0.430088, -0.426204, -0.421552, -0.416134, -0.409948, -0.408935, -0.384106, -0.349598, -0.21899, -0.203895, -0.166344, -0.124305, -0.103482, -0.0836369, -0.0692267, -0.054558, -0.0483252, -0.0455301, -0.0387241, -0.037557, -0.0349214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0355914");
-            index_3 ("0.468802, 0.482237, 0.483339, 0.485288, 0.485938, 0.487238, 0.488538, 0.491137, 0.499161, 0.506327, 0.511119, 0.515309, 0.519303, 0.521342, 0.52542, 0.527459, 0.531119, 0.534779, 0.536487, 0.538196, 0.539904, 0.541613, 0.543274, 0.544936, 0.546598, 0.54826, 0.549336, 0.550411, 0.551487, 0.552563, 0.553639, 0.554715, 0.55531, 0.556501, 0.558884, 0.56412, 0.566297, 0.567237, 0.56839, 0.570467, 0.572175, 0.573616, 0.57444, 0.575931, 0.577422, 0.578495, 0.579567, 0.58064, 0.581712, 0.583751");
-            values ( \
-              "-0.180464, -0.185894, -0.195232, -0.214712, -0.22171, -0.237629, -0.254681, -0.292186, -0.429443, -0.548288, -0.621282, -0.683608, -0.74048, -0.767406, -0.818481, -0.842631, -0.883663, -0.921713, -0.936079, -0.948898, -0.960169, -0.969893, -0.972966, -0.972657, -0.968966, -0.961894, -0.933024, -0.896471, -0.901026, -0.899068, -0.890596, -0.875611, -0.864514, -0.824677, -0.737928, -0.534971, -0.454977, -0.423756, -0.38812, -0.329501, -0.284598, -0.249216, -0.230672, -0.20294, -0.177872, -0.161826, -0.146932, -0.13319, -0.120601, -0.0995812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.111288");
-            index_3 ("0.459633, 0.484622, 0.486525, 0.490331, 0.49569, 0.498845, 0.505155, 0.513188, 0.525927, 0.532362, 0.545232, 0.547166, 0.550102, 0.555351, 0.559969, 0.565158, 0.571897, 0.583401, 0.594903, 0.595872, 0.596979, 0.599194, 0.603231, 0.607962, 0.617423, 0.62447, 0.626455, 0.627452, 0.628781, 0.631439, 0.636294, 0.642547, 0.644225, 0.647581, 0.653829, 0.662643, 0.665728, 0.666649, 0.668491, 0.672176, 0.679545, 0.694283, 0.719883, 0.750419");
-            values ( \
-              "-0.00230513, -0.318491, -0.344329, -0.396947, -0.482416, -0.542724, -0.679247, -0.854821, -1.10978, -1.23208, -1.44345, -1.472, -1.51224, -1.57463, -1.62796, -1.67701, -1.72598, -1.75893, -1.68447, -1.67114, -1.65411, -1.61416, -1.51869, -1.37471, -1.05666, -0.840202, -0.784747, -0.757991, -0.723303, -0.657473, -0.549328, -0.432004, -0.404599, -0.354353, -0.275346, -0.191093, -0.168098, -0.161786, -0.149822, -0.128395, -0.0939858, -0.0494649, -0.0150499, -0.00384664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.347976");
-            index_3 ("0.466066, 0.500064, 0.543126, 0.565841, 0.576761, 0.589451, 0.60433, 0.630347, 0.655977, 0.681597, 0.696374, 0.709805, 0.767054, 0.79534, 0.819254, 0.836679, 0.868201, 0.892361, 0.917672, 0.937089, 0.975922, 1.01607");
-            values ( \
-              "-0.147345, -0.639997, -1.62155, -2.01514, -2.1536, -2.27399, -2.36944, -2.44889, -2.44301, -2.35925, -2.26523, -2.13757, -1.24183, -0.867889, -0.62812, -0.491637, -0.311774, -0.217593, -0.149068, -0.111083, -0.0605916, -0.0356817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.08806");
-            index_3 ("0.500082, 0.541063, 0.550013, 0.56827, 0.581236, 0.592848, 0.602611, 0.622138, 0.648894, 0.67462, 0.708987, 0.744275, 0.793963, 0.850468, 0.887471, 0.951614, 0.986556, 1.03314, 1.04508, 1.06895, 1.18371, 1.22725, 1.28254, 1.32515, 1.36777, 1.42481, 1.47611, 1.52633, 1.59048, 1.64623, 1.67968, 1.74383, 1.80797, 1.87211, 1.93625, 2.06454, 2.25696");
-            values ( \
-              "-1.28589, -1.66428, -1.85987, -2.18658, -2.36412, -2.48616, -2.56672, -2.68232, -2.77075, -2.80789, -2.81851, -2.80455, -2.76475, -2.70231, -2.65233, -2.54006, -2.45667, -2.30257, -2.25201, -2.13509, -1.46238, -1.23034, -0.97459, -0.808543, -0.666988, -0.511731, -0.401608, -0.315466, -0.230588, -0.175492, -0.148784, -0.10809, -0.0783719, -0.0567956, -0.041093, -0.0214932, -0.00813063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.865238, 0.875839, 0.884029, 0.890652, 0.900712, 0.907532, 0.913219, 0.92629, 0.933309, 0.937271, 0.941616, 0.947937, 0.953832, 0.959529, 0.965219, 0.966905, 0.975545, 0.980075, 0.983305, 0.98761, 0.991732, 0.994105, 0.999662, 1.00601, 1.01871, 1.03866, 1.09081, 1.16324");
-            values ( \
-              "-0.00318929, -0.00697839, -0.00916062, -0.0119125, -0.0176129, -0.0238684, -0.0338127, -0.0692769, -0.0849687, -0.0924645, -0.0995758, -0.108191, -0.113885, -0.116566, -0.111714, -0.104908, -0.0467294, -0.0257476, -0.0169889, -0.0102319, -0.00704359, -0.00590132, -0.00415308, -0.00281298, -0.00129792, -0.000610273, -0.000196654, -2.56126e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0113826");
-            index_3 ("0.875724, 0.896536, 0.903618, 0.914537, 0.931614, 0.949441, 0.963385, 0.969817, 0.976239, 0.977971, 0.995429, 1.00147, 1.00786, 1.01414");
-            values ( \
-              "-0.0258217, -0.0406956, -0.0532076, -0.0840487, -0.187606, -0.271127, -0.314992, -0.323471, -0.312353, -0.294732, -0.0587823, -0.0285673, -0.0144106, -0.00821511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0355914");
-            index_3 ("0.880767, 0.896271, 0.908266, 0.91426, 0.923374, 0.940581, 0.957936, 0.968664, 0.987, 0.995441, 1.00401, 1.01778, 1.02366, 1.02932, 1.03672");
-            values ( \
-              "-0.087791, -0.0899843, -0.135094, -0.16495, -0.236565, -0.404554, -0.55992, -0.642855, -0.767473, -0.754265, -0.719072, -0.375706, -0.24887, -0.157926, -0.0832526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.111288");
-            index_3 ("0.873163, 0.897221, 0.908056, 0.921624, 0.928369, 0.94186, 0.973478, 0.992313, 1.00827, 1.02256, 1.03594, 1.04913, 1.0623, 1.07731, 1.08899, 1.09673, 1.10307, 1.11267, 1.12114, 1.13163, 1.14562, 1.15934");
-            values ( \
-              "-0.0611666, -0.154313, -0.219121, -0.319926, -0.383604, -0.547658, -0.952018, -1.17347, -1.34148, -1.46148, -1.53056, -1.51217, -1.3086, -0.895521, -0.612805, -0.464813, -0.366486, -0.252496, -0.17969, -0.117202, -0.0649667, -0.0407933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.347976");
-            index_3 ("0.872822, 0.922938, 0.937795, 0.957243, 1.00091, 1.02612, 1.03698, 1.05717, 1.07198, 1.08525, 1.11228, 1.13929, 1.16634, 1.18702, 1.21675, 1.25294, 1.2852, 1.30062, 1.31809, 1.34139, 1.3567, 1.3933, 1.4239");
-            values ( \
-              "-0.0840937, -0.407474, -0.571531, -0.838548, -1.51686, -1.85941, -1.97962, -2.15364, -2.24235, -2.29685, -2.34183, -2.29385, -2.11837, -1.84843, -1.36613, -0.885582, -0.572474, -0.460733, -0.35844, -0.254247, -0.20248, -0.116752, -0.0756759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.08806");
-            index_3 ("0.922855, 0.962839, 1.00322, 1.02492, 1.04147, 1.05392, 1.07882, 1.11784, 1.13006, 1.15448, 1.19241, 1.24389, 1.27203, 1.30025, 1.34478, 1.40892, 1.44393, 1.49062, 1.526, 1.64228, 1.68536, 1.74016, 1.78192, 1.82476, 1.882, 1.93373, 1.98386, 2.048, 2.08685, 2.13675, 2.20089, 2.26503, 2.32917, 2.39332, 2.5216, 2.71403");
-            values ( \
-              "-0.972069, -0.988721, -1.6694, -2.00387, -2.21292, -2.34069, -2.53346, -2.70221, -2.7299, -2.76276, -2.77593, -2.7551, -2.73471, -2.7069, -2.65092, -2.53981, -2.45641, -2.30201, -2.13657, -1.45547, -1.22641, -0.973397, -0.810566, -0.668039, -0.512089, -0.401048, -0.315178, -0.230375, -0.190472, -0.148984, -0.108238, -0.078477, -0.056875, -0.0411474, -0.0215207, -0.00814405" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.00313146, 0.00313254, 0.00313474, 0.00313699, 0.00313812, 0.00313855", \
-            "0.00374369, 0.00374392, 0.00374475, 0.0037463, 0.00374751, 0.00374805", \
-            "0.00410296, 0.00410294, 0.00410296, 0.00410332, 0.00410401, 0.00410446", \
-            "0.0043071, 0.00430704, 0.00430693, 0.0043068, 0.00430683, 0.00430699", \
-            "0.00441576, 0.00441571, 0.00441559, 0.00441539, 0.0044152, 0.00441516", \
-            "0.00447717, 0.00447715, 0.00447709, 0.00447696, 0.00447677, 0.00447665" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.00453624, 0.00453648, 0.00453815, 0.00454125, 0.00454351, 0.00454447", \
-            "0.00497429, 0.00497269, 0.00497096, 0.00497031, 0.00497097, 0.00497155", \
-            "0.00516917, 0.00516728, 0.00516337, 0.00515849, 0.0051555, 0.00515451", \
-            "0.00532089, 0.00531958, 0.00531594, 0.00530909, 0.00530233, 0.0052987", \
-            "0.00558, 0.00558012, 0.00558075, 0.00557918, 0.00557396, 0.00556912", \
-            "0.0057459, 0.00581328, 0.00592968, 0.00602588, 0.00607466, 0.00609781" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.0383825, 0.0383624, 0.0386588, 0.0394683, 0.0401626, 0.0404558", \
-            "0.0382141, 0.0382121, 0.0385108, 0.0393358, 0.0400739, 0.0403878", \
-            "0.0380224, 0.0379907, 0.0382544, 0.0390497, 0.0398862, 0.0402488", \
-            "0.0387769, 0.0385839, 0.0385464, 0.0388692, 0.0393908, 0.0398027", \
-            "0.0411318, 0.0406194, 0.0399356, 0.039633, 0.0398644, 0.0401704", \
-            "0.0465274, 0.0453739, 0.0438109, 0.0425253, 0.0419664, 0.0415081" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113826, 0.0355914, 0.111288, 0.347976, 1.08806");
-          values ( \
-            "0.0424025, 0.0416209, 0.0413735, 0.0422329, 0.0431192, 0.043561", \
-            "0.0422847, 0.0415034, 0.0412228, 0.0421115, 0.0430537, 0.0434924", \
-            "0.0421498, 0.0413525, 0.0410104, 0.0418509, 0.042908, 0.0434088", \
-            "0.0426707, 0.0418362, 0.0413273, 0.0419153, 0.0429981, 0.0436422", \
-            "0.0458048, 0.0444162, 0.0431284, 0.0428915, 0.0434933, 0.0442138", \
-            "0.0526618, 0.0503319, 0.0472282, 0.0457028, 0.0458102, 0.046287" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00566261;
-      rise_capacitance : 0.00566261;
-      rise_capacitance_range (0.00432926, 0.00566261);
-      fall_capacitance : 0.00555973;
-      fall_capacitance_range (0.00391061, 0.00555973);
-    }
-  }
-  cell (BUFX8) {
-    area : 30.0366;
-    cell_footprint : "BUF";
-    cell_leakage_power : 1.24261;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.326792;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 2.15843;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.24261;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 1.35608;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.114512, 0.122118, 0.14107, 0.184526, 0.293682, 0.624153", \
-            "0.119491, 0.127092, 0.146052, 0.189505, 0.298662, 0.62916", \
-            "0.130788, 0.138399, 0.157333, 0.200872, 0.31006, 0.640552", \
-            "0.155951, 0.163505, 0.182831, 0.226043, 0.335135, 0.665579", \
-            "0.198621, 0.206714, 0.226808, 0.271436, 0.380731, 0.711378", \
-            "0.240575, 0.249398, 0.272369, 0.322151, 0.434506, 0.764964" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.0284147, 0.0342745, 0.0500791, 0.0942675, 0.236119, 0.719592", \
-            "0.0284017, 0.0342617, 0.0501125, 0.0942583, 0.236111, 0.719593", \
-            "0.0283893, 0.0342732, 0.0500796, 0.094275, 0.236103, 0.719592", \
-            "0.0284946, 0.0343908, 0.0503075, 0.0944989, 0.236162, 0.719598", \
-            "0.0341864, 0.0401868, 0.0557906, 0.0981874, 0.237432, 0.71966", \
-            "0.0446099, 0.0511189, 0.0684854, 0.109996, 0.243501, 0.720576" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.13451, 0.140811, 0.157185, 0.194868, 0.278783, 0.505325", \
-            "0.140723, 0.147022, 0.163415, 0.20111, 0.285088, 0.51151", \
-            "0.155353, 0.161649, 0.178031, 0.21571, 0.299608, 0.526263", \
-            "0.188669, 0.194964, 0.211308, 0.248862, 0.33297, 0.559453", \
-            "0.263313, 0.269764, 0.286258, 0.32375, 0.407702, 0.634365", \
-            "0.383279, 0.390944, 0.410442, 0.454022, 0.544173, 0.772413" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.027662, 0.0322266, 0.0445666, 0.074947, 0.159559, 0.447071", \
-            "0.0276605, 0.0322214, 0.0445648, 0.074933, 0.159559, 0.447068", \
-            "0.0276635, 0.0322185, 0.0445486, 0.0749372, 0.159419, 0.447063", \
-            "0.0276514, 0.0322136, 0.0445613, 0.0749828, 0.15956, 0.447133", \
-            "0.0303355, 0.0346718, 0.0466101, 0.0765476, 0.160353, 0.447248", \
-            "0.0427329, 0.0474504, 0.0603944, 0.0909492, 0.170205, 0.449358" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0832676, 0.0936425, 0.0973513, 0.0995948, 0.111638, 0.116914, 0.121666, 0.126177, 0.130617, 0.135266, 0.13724, 0.139495, 0.146793, 0.150704, 0.15351, 0.159386, 0.165142, 0.171719, 0.178633, 0.185071, 0.196941, 0.212767, 0.235339");
-            values ( \
-              "0.00991344, 0.0226273, 0.0348602, 0.0444443, 0.11592, 0.132443, 0.14342, 0.147053, 0.148404, 0.142305, 0.136123, 0.12313, 0.0555169, 0.0381608, 0.0293897, 0.0175918, 0.0108602, 0.00644552, 0.00407311, 0.00293559, 0.00189948, 0.00127546, 0.000983381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0118951");
-            index_3 ("0.0847431, 0.0937935, 0.0955399, 0.098584, 0.101218, 0.103904, 0.111257, 0.116278, 0.122714, 0.128475, 0.133906, 0.139218, 0.144526, 0.146621, 0.148419, 0.153787, 0.157995, 0.163903, 0.16847, 0.17196, 0.177166, 0.184106, 0.189991, 0.194903, 0.203001, 0.213799, 0.235395, 0.250386");
-            values ( \
-              "0.0306185, 0.0606926, 0.0705672, 0.096748, 0.121789, 0.155797, 0.257863, 0.308284, 0.357311, 0.386013, 0.402143, 0.404985, 0.391967, 0.374949, 0.351914, 0.240663, 0.168741, 0.107429, 0.0754022, 0.0580208, 0.0390976, 0.0232217, 0.0155976, 0.0116377, 0.00775765, 0.00512249, 0.00298811, 0.00239633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0388685");
-            index_3 ("0.0882357, 0.0956694, 0.0993503, 0.108265, 0.116306, 0.127737, 0.133617, 0.136919, 0.143524, 0.148477, 0.152972, 0.160643, 0.168312, 0.169005, 0.182574, 0.189324, 0.197092, 0.202782, 0.210396, 0.215056, 0.224375, 0.229296, 0.23626, 0.245545, 0.264115, 0.28237");
-            values ( \
-              "0.0855138, 0.139245, 0.185991, 0.349758, 0.520445, 0.705309, 0.778923, 0.814215, 0.869063, 0.89691, 0.912225, 0.913127, 0.858783, 0.846521, 0.516915, 0.380214, 0.263769, 0.198919, 0.135477, 0.106669, 0.0657044, 0.0514192, 0.036817, 0.0241405, 0.0114962, 0.00743724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.127007");
-            index_3 ("0.0946581, 0.0982273, 0.107562, 0.133199, 0.145714, 0.15186, 0.164151, 0.167513, 0.174237, 0.182021, 0.196219, 0.210414, 0.218172, 0.226364, 0.242666, 0.253575, 0.262018, 0.274193, 0.284412, 0.292347, 0.304151, 0.314573, 0.325251, 0.340772, 0.35832, 0.372035, 0.399467, 0.435143");
-            values ( \
-              "0.197193, 0.238857, 0.436068, 1.05839, 1.28669, 1.37803, 1.50964, 1.53785, 1.57746, 1.60836, 1.61416, 1.55241, 1.46817, 1.33999, 1.03423, 0.848808, 0.718584, 0.55749, 0.446546, 0.373682, 0.284533, 0.222844, 0.172747, 0.118687, 0.0780869, 0.0563413, 0.0292731, 0.0142901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.415008");
-            index_3 ("0.0991214, 0.124522, 0.137697, 0.154574, 0.166084, 0.187518, 0.20304, 0.231566, 0.236936, 0.247676, 0.270513, 0.304199, 0.319647, 0.336126, 0.354842, 0.436269, 0.456511, 0.479643, 0.515202, 0.53409, 0.546324, 0.568849, 0.605836, 0.621611, 0.650608, 0.691487, 0.709762, 0.734601, 0.76772, 0.833957, 0.917011, 1.00007, 1.08312");
-            values ( \
-              "0.225979, 0.950613, 1.29367, 1.6509, 1.8358, 2.06803, 2.16434, 2.23656, 2.23952, 2.23511, 2.20321, 2.10433, 2.03898, 1.9515, 1.82803, 1.17896, 1.03165, 0.878007, 0.674424, 0.582994, 0.529364, 0.441331, 0.324406, 0.283816, 0.220985, 0.154111, 0.131151, 0.105187, 0.0780852, 0.0422816, 0.019205, 0.00870751, 0.0039336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.35608");
-            index_3 ("0.116762, 0.14991, 0.176107, 0.208744, 0.237622, 0.256085, 0.280702, 0.323663, 0.348909, 0.42827, 0.511325, 0.635316, 0.718371, 0.810764, 1.08621, 1.21625, 1.35, 1.4189, 1.55267, 1.62441, 1.70639, 1.78945, 1.91908, 2.07673, 2.24284, 2.40895, 2.90728");
-            values ( \
-              "1.29543, 1.65191, 2.10624, 2.41368, 2.53926, 2.57641, 2.59803, 2.58997, 2.57064, 2.48809, 2.38869, 2.21734, 2.07239, 1.8623, 1.14003, 0.849893, 0.611199, 0.510258, 0.355704, 0.292383, 0.231569, 0.183334, 0.125713, 0.0792659, 0.0485127, 0.0295737, 0.00651292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.102632, 0.110014, 0.112328, 0.112905, 0.11406, 0.118684, 0.126527, 0.130672, 0.135942, 0.140691, 0.145201, 0.14964, 0.154075, 0.156293, 0.158583, 0.165562, 0.170223, 0.173962, 0.177062, 0.181162, 0.186052, 0.19443, 0.199751, 0.20432, 0.213458, 0.231734, 0.248118");
-            values ( \
-              "0.0101513, 0.017976, 0.0221637, 0.0253629, 0.0273219, 0.0440899, 0.0954343, 0.115416, 0.133185, 0.142878, 0.147693, 0.14788, 0.142988, 0.136019, 0.122616, 0.0567354, 0.0363581, 0.0257822, 0.0197513, 0.0139096, 0.00929015, 0.00489851, 0.00360264, 0.00288385, 0.00203578, 0.00125428, 0.00103734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0118951");
-            index_3 ("0.10429, 0.110269, 0.113358, 0.117725, 0.121032, 0.130168, 0.135147, 0.141577, 0.147336, 0.152765, 0.158077, 0.163451, 0.165469, 0.176851, 0.18276, 0.187327, 0.190816, 0.196019, 0.202956, 0.208843, 0.213757, 0.221863, 0.232671, 0.254287, 0.28363, 0.290092");
-            values ( \
-              "0.0317274, 0.0486363, 0.0642156, 0.0987512, 0.134171, 0.257613, 0.309626, 0.356534, 0.387086, 0.401388, 0.405885, 0.391014, 0.37509, 0.168757, 0.107427, 0.075398, 0.0580252, 0.039108, 0.0232297, 0.015603, 0.0116404, 0.00775728, 0.00511733, 0.00298796, 0.00182476, 0.00174495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0388685");
-            index_3 ("0.109127, 0.117506, 0.119512, 0.123523, 0.127044, 0.132585, 0.139982, 0.146434, 0.155647, 0.163903, 0.171703, 0.179372, 0.187035, 0.188663, 0.190523, 0.196562, 0.2012, 0.207978, 0.210813, 0.212998, 0.215913, 0.221742, 0.225682, 0.229027, 0.233487, 0.242407, 0.247753, 0.250248, 0.255238, 0.265219, 0.285181, 0.311317, 0.325583");
-            values ( \
-              "0.0915756, 0.181553, 0.211073, 0.281225, 0.350558, 0.472534, 0.607361, 0.703492, 0.815943, 0.879349, 0.914136, 0.912676, 0.860186, 0.830163, 0.791423, 0.635652, 0.519294, 0.381322, 0.335114, 0.302135, 0.262482, 0.19653, 0.161244, 0.136071, 0.108265, 0.0681064, 0.0521401, 0.046168, 0.0363955, 0.02314, 0.0105661, 0.00544114, 0.00446049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.127007");
-            index_3 ("0.11418, 0.116912, 0.126581, 0.152081, 0.164589, 0.170744, 0.183053, 0.186393, 0.193074, 0.200899, 0.215095, 0.229289, 0.237061, 0.245239, 0.261542, 0.272447, 0.280889, 0.293066, 0.303289, 0.311229, 0.323027, 0.333443, 0.344123, 0.359644, 0.377196, 0.390916, 0.418355, 0.464768, 0.521114");
-            values ( \
-              "0.204186, 0.236815, 0.439698, 1.05891, 1.28718, 1.37841, 1.51022, 1.53807, 1.57756, 1.60849, 1.61434, 1.55243, 1.46803, 1.34002, 1.03423, 0.848848, 0.718646, 0.557506, 0.446513, 0.37362, 0.284521, 0.222866, 0.172759, 0.118691, 0.0780815, 0.0563331, 0.0292625, 0.009736, 0.003102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.415008");
-            index_3 ("0.1161, 0.143554, 0.156876, 0.173082, 0.185029, 0.206501, 0.221976, 0.250246, 0.255866, 0.267108, 0.289454, 0.323137, 0.338458, 0.354801, 0.374282, 0.467748, 0.486599, 0.5243, 0.543611, 0.565019, 0.587421, 0.624749, 0.640707, 0.66964, 0.71047, 0.753397, 0.786352, 0.852262, 0.935317, 1.01837, 1.10143");
-            values ( \
-              "0.1352, 0.953734, 1.3016, 1.64478, 1.83555, 2.06973, 2.16368, 2.23733, 2.23868, 2.23559, 2.20233, 2.10509, 2.03948, 1.95305, 1.8244, 1.08615, 0.955466, 0.726265, 0.627142, 0.530255, 0.442593, 0.324428, 0.283352, 0.220779, 0.154, 0.105286, 0.0782987, 0.0425162, 0.0193303, 0.0087463, 0.0039692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.35608");
-            index_3 ("0.13353, 0.206078, 0.232796, 0.256642, 0.286695, 0.319253, 0.351069, 0.398194, 0.547889, 0.654333, 0.737388, 0.829781, 1.10523, 1.23527, 1.36902, 1.43792, 1.58194, 1.72541, 1.80847, 1.93809, 2.09575, 2.42796, 2.50191");
-            values ( \
-              "1.10932, 2.23764, 2.44642, 2.54097, 2.59242, 2.60018, 2.58474, 2.54243, 2.3662, 2.21791, 2.0718, 1.86167, 1.14074, 0.850611, 0.610468, 0.510993, 0.345819, 0.232268, 0.182629, 0.126394, 0.0799419, 0.0302382, 0.0268137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.146462, 0.154152, 0.159329, 0.163406, 0.170702, 0.176624, 0.180435, 0.187196, 0.191634, 0.196072, 0.19829, 0.20058, 0.207556, 0.212229, 0.215976, 0.219067, 0.223152, 0.228044, 0.236423, 0.246322, 0.255471, 0.273768, 0.312337");
-            values ( \
-              "0.0117263, 0.022607, 0.0388456, 0.0604587, 0.107021, 0.129803, 0.139158, 0.147917, 0.147544, 0.143214, 0.135988, 0.122587, 0.0567487, 0.0363312, 0.0257418, 0.0197405, 0.013921, 0.00929396, 0.00490104, 0.00288417, 0.00203438, 0.00125395, 0.000742849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0118951");
-            index_3 ("0.14764, 0.156514, 0.158308, 0.163099, 0.172343, 0.177247, 0.18368, 0.189443, 0.194874, 0.200187, 0.205495, 0.207586, 0.209385, 0.218964, 0.224872, 0.229439, 0.232928, 0.238133, 0.245073, 0.250959, 0.255872, 0.263974, 0.274777, 0.296382, 0.311776");
-            values ( \
-              "0.0355819, 0.0724277, 0.0861399, 0.132023, 0.259039, 0.30849, 0.357152, 0.386176, 0.402018, 0.405115, 0.391861, 0.374993, 0.351949, 0.168745, 0.107427, 0.0753967, 0.0580188, 0.0390982, 0.0232254, 0.0155969, 0.0116361, 0.00775505, 0.00512195, 0.00298596, 0.00237818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0388685");
-            index_3 ("0.162316, 0.174397, 0.176245, 0.17898, 0.182605, 0.184417, 0.187513, 0.190609, 0.192672, 0.1968, 0.199641, 0.202119, 0.203705, 0.205987, 0.20903, 0.212502, 0.213789, 0.215505, 0.217221, 0.219332, 0.221443, 0.223356, 0.225269, 0.227182, 0.229095, 0.229594, 0.23084, 0.233832, 0.236823, 0.238649, 0.241387, 0.244072, 0.245845, 0.247617, 0.249389, 0.25543, 0.258183, 0.260896, 0.262691, 0.264486, 0.266282, 0.27135, 0.272535, 0.27372, 0.277275, 0.279645, 0.282015, 0.284385, 0.286755, 0.292153");
-            values ( \
-              "0.465665, 0.468226, 0.504864, 0.556122, 0.618509, 0.647342, 0.69157, 0.732653, 0.758295, 0.805385, 0.833401, 0.85486, 0.866716, 0.881132, 0.896003, 0.910041, 0.914451, 0.915473, 0.914604, 0.910943, 0.904422, 0.895888, 0.884909, 0.871484, 0.855615, 0.849615, 0.82681, 0.759428, 0.683324, 0.630429, 0.559456, 0.497447, 0.459975, 0.424946, 0.392359, 0.293799, 0.257972, 0.226319, 0.207221, 0.189528, 0.173241, 0.132565, 0.123686, 0.116551, 0.0971711, 0.0864785, 0.0767749, 0.0680603, 0.0603348, 0.0459982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.127007");
-            index_3 ("0.156975, 0.160046, 0.169964, 0.194234, 0.203119, 0.212867, 0.22855, 0.24306, 0.257255, 0.271688, 0.279327, 0.287382, 0.303491, 0.314951, 0.323813, 0.338483, 0.344653, 0.356993, 0.368272, 0.383033, 0.400322, 0.408885, 0.422356, 0.440318, 0.476242, 0.525104, 0.586563");
-            values ( \
-              "0.216144, 0.258284, 0.471366, 1.05823, 1.23051, 1.37667, 1.54023, 1.60879, 1.61743, 1.55009, 1.46688, 1.34043, 1.03785, 0.842996, 0.707282, 0.519791, 0.454185, 0.343507, 0.264551, 0.186561, 0.122708, 0.0999909, 0.0725338, 0.0472623, 0.0199379, 0.00657244, 0.0020551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.415008");
-            index_3 ("0.159067, 0.185939, 0.19886, 0.204635, 0.216185, 0.227349, 0.248712, 0.264277, 0.292452, 0.298161, 0.309577, 0.331746, 0.365428, 0.380744, 0.39708, 0.416594, 0.494982, 0.51187, 0.534387, 0.573108, 0.599622, 0.617729, 0.653943, 0.666847, 0.681112, 0.700132, 0.738172, 0.770092, 0.785731, 0.817008, 0.879561, 0.962616, 1.04567, 1.12873");
-            values ( \
-              "0.152725, 0.959585, 1.2946, 1.43005, 1.65979, 1.83835, 2.0687, 2.16536, 2.23644, 2.23986, 2.23468, 2.20332, 2.10423, 2.03958, 1.95307, 1.8242, 1.19769, 1.07301, 0.919283, 0.691324, 0.563442, 0.487705, 0.361734, 0.324675, 0.287755, 0.244455, 0.175223, 0.132222, 0.115068, 0.0869567, 0.0488305, 0.022229, 0.0100491, 0.00457258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.35608");
-            index_3 ("0.178706, 0.211541, 0.228901, 0.248663, 0.275736, 0.299213, 0.329242, 0.361807, 0.393632, 0.440756, 0.590452, 0.696896, 0.779951, 0.872344, 1.11394, 1.2155, 1.27783, 1.35991, 1.41158, 1.48048, 1.56354, 1.6245, 1.68599, 1.76798, 1.85103, 1.98066, 2.05526, 2.13831, 2.30442, 2.47053, 2.7197, 2.96886");
-            values ( \
-              "1.32146, 1.65497, 1.9814, 2.23851, 2.44949, 2.54104, 2.59288, 2.59999, 2.58505, 2.54219, 2.36643, 2.21811, 2.07161, 1.86152, 1.22381, 0.982295, 0.850681, 0.695602, 0.610426, 0.511028, 0.408424, 0.345799, 0.29166, 0.23228, 0.182617, 0.126399, 0.101064, 0.0799458, 0.0491859, 0.0302402, 0.0138882, 0.00716009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.23723, 0.246837, 0.251076, 0.255919, 0.263996, 0.268779, 0.275883, 0.280405, 0.284856, 0.289506, 0.29139, 0.293543, 0.298921, 0.305668, 0.312105, 0.318209, 0.323065, 0.331208, 0.336078, 0.356345, 0.411731, 0.413724");
-            values ( \
-              "0.00733815, 0.0199738, 0.0312586, 0.0551265, 0.107015, 0.126167, 0.14315, 0.146427, 0.14833, 0.142109, 0.136357, 0.124536, 0.0704498, 0.035682, 0.0200046, 0.0120047, 0.00809313, 0.00448237, 0.00340488, 0.00164231, 0.000578904, 0.000570562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0118951");
-            index_3 ("0.243093, 0.251184, 0.255281, 0.264925, 0.270262, 0.276748, 0.282534, 0.287982, 0.293307, 0.298627, 0.300623, 0.304656, 0.309032, 0.316775, 0.319645, 0.326174, 0.332034, 0.338731, 0.347872, 0.35636, 0.366061, 0.385463, 0.436404");
-            values ( \
-              "0.0746034, 0.0788454, 0.117489, 0.250425, 0.305749, 0.355081, 0.384916, 0.400832, 0.404472, 0.391172, 0.375486, 0.311422, 0.217048, 0.118273, 0.0947384, 0.0575535, 0.0369603, 0.0224613, 0.0124396, 0.00803594, 0.00546743, 0.00324365, 0.00157035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0388685");
-            index_3 ("0.23532, 0.249062, 0.252602, 0.282011, 0.291304, 0.299602, 0.30743, 0.31512, 0.322802, 0.343987, 0.355433, 0.36627, 0.380929, 0.395976, 0.420216");
-            values ( \
-              "0.0441238, 0.115564, 0.15597, 0.695696, 0.810887, 0.875408, 0.91158, 0.9105, 0.859112, 0.377288, 0.218353, 0.126251, 0.0593045, 0.029008, 0.0147932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.127007");
-            index_3 ("0.248797, 0.262175, 0.286925, 0.298926, 0.305859, 0.321636, 0.333112, 0.342363, 0.350417, 0.364594, 0.368746, 0.373176, 0.38059, 0.40477, 0.422251, 0.431552, 0.441745, 0.455336, 0.469577, 0.479979, 0.495315, 0.512053, 0.525051, 0.551046, 0.596724, 0.651757");
-            values ( \
-              "0.253332, 0.430725, 1.03402, 1.2603, 1.36441, 1.52989, 1.59325, 1.61389, 1.61065, 1.5499, 1.5101, 1.45598, 1.3387, 0.898772, 0.634904, 0.52156, 0.416787, 0.305234, 0.218823, 0.170752, 0.117856, 0.0790632, 0.0580348, 0.0312269, 0.0105106, 0.00340157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.415008");
-            index_3 ("0.265638, 0.297379, 0.317707, 0.325834, 0.336671, 0.357438, 0.383336, 0.391347, 0.407371, 0.424954, 0.45869, 0.473428, 0.489148, 0.496811, 0.512137, 0.583212, 0.616059, 0.640488, 0.671501, 0.695258, 0.71905, 0.750808, 0.76024, 0.779105, 0.816834, 0.84964, 0.864379, 0.893859, 0.952819, 1.03587, 1.11893, 1.20198");
-            values ( \
-              "0.949336, 1.40517, 1.78896, 1.89991, 2.01707, 2.1603, 2.2325, 2.23656, 2.22959, 2.20128, 2.10428, 2.04172, 1.95966, 1.91269, 1.80728, 1.23533, 0.996272, 0.838437, 0.665205, 0.553082, 0.456923, 0.351184, 0.324479, 0.276438, 0.19916, 0.149141, 0.130942, 0.100634, 0.0586326, 0.0266929, 0.0121023, 0.00547857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.35608");
-            index_3 ("0.27416, 0.313464, 0.341359, 0.364209, 0.392119, 0.42223, 0.454766, 0.533664, 0.665807, 0.789797, 0.872852, 0.965245, 1.24069, 1.37074, 1.50448, 1.57338, 1.70715, 1.86087, 1.94393, 2.07356, 2.23121, 2.56343, 2.6481");
-            values ( \
-              "1.49438, 1.82444, 2.22769, 2.41603, 2.53897, 2.59058, 2.60014, 2.54292, 2.38875, 2.21734, 2.07237, 1.86226, 1.14014, 0.850011, 0.611063, 0.5104, 0.355847, 0.231713, 0.183191, 0.125853, 0.0794049, 0.0297094, 0.02579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.40231, 0.421297, 0.425089, 0.428165, 0.434316, 0.438347, 0.438744, 0.439537, 0.441125, 0.4443, 0.447122, 0.452766, 0.456008, 0.462622, 0.468452, 0.473907, 0.479214, 0.484516, 0.489832, 0.490207, 0.490957, 0.492458, 0.49474, 0.4979, 0.498932, 0.499196, 0.499724, 0.500779, 0.50289, 0.507112, 0.509865, 0.510832, 0.512764, 0.516628, 0.524358, 0.531742, 0.536923, 0.5392, 0.543753, 0.550377, 0.556523, 0.563176, 0.570087, 0.583909, 0.598208, 0.615023");
-            values ( \
-              "0.00209276, 0.00710089, 0.00971611, 0.0124354, 0.0188004, 0.0259396, 0.0266333, 0.0285098, 0.0324316, 0.0427656, 0.0550981, 0.0798118, 0.0899962, 0.108129, 0.116842, 0.123208, 0.124113, 0.122754, 0.109128, 0.106252, 0.101181, 0.0893782, 0.0704354, 0.0500141, 0.0459201, 0.0446144, 0.0425378, 0.0384903, 0.0319209, 0.0221068, 0.0178862, 0.0164302, 0.0141549, 0.0103624, 0.00584674, 0.00367988, 0.00293795, 0.00262576, 0.00229499, 0.00183653, 0.00151258, 0.00141116, 0.00127539, 0.000990814, 0.000764123, 0.000592334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0118951");
-            index_3 ("0.401512, 0.425074, 0.432295, 0.437387, 0.441113, 0.448554, 0.46071, 0.468617, 0.475532, 0.481946, 0.488131, 0.494272, 0.500411, 0.507994, 0.513227, 0.516659, 0.521496, 0.52646, 0.530235, 0.537785, 0.543619, 0.554389, 0.563232, 0.582492, 0.609903");
-            values ( \
-              "0.00580312, 0.0249599, 0.0440835, 0.0624019, 0.0815857, 0.138386, 0.249493, 0.293257, 0.325647, 0.341096, 0.352603, 0.345297, 0.303355, 0.170605, 0.1158, 0.090374, 0.063085, 0.0442454, 0.0338136, 0.0196888, 0.0137773, 0.00775315, 0.00584361, 0.00369629, 0.00256014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0388685");
-            index_3 ("0.401514, 0.431116, 0.433577, 0.433951, 0.434699, 0.436194, 0.439186, 0.445055, 0.44743, 0.452181, 0.458764, 0.468935, 0.473042, 0.479332, 0.483962, 0.493498, 0.502224, 0.510649, 0.519059, 0.519141, 0.519304, 0.519631, 0.520285, 0.521594, 0.524211, 0.528822, 0.536691, 0.542154, 0.544005, 0.545002, 0.546331, 0.54899, 0.550509, 0.553547, 0.556454, 0.55978, 0.560328, 0.561424, 0.563618, 0.568004, 0.576777, 0.582149, 0.584531, 0.589295, 0.598823, 0.61788, 0.632434");
-            values ( \
-              "0.0148442, 0.0800084, 0.0957811, 0.0983937, 0.103683, 0.114693, 0.138011, 0.196739, 0.225533, 0.29261, 0.395826, 0.532017, 0.580621, 0.650415, 0.696235, 0.775804, 0.823457, 0.841278, 0.807428, 0.80624, 0.804015, 0.799778, 0.791114, 0.771663, 0.722638, 0.61493, 0.438964, 0.348203, 0.319143, 0.305008, 0.286839, 0.25317, 0.235613, 0.205272, 0.177747, 0.151055, 0.147054, 0.139318, 0.125005, 0.100447, 0.0645651, 0.0497513, 0.0444706, 0.0356779, 0.023476, 0.011302, 0.0082285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.127007");
-            index_3 ("0.407023, 0.43129, 0.441919, 0.452926, 0.486433, 0.49953, 0.516734, 0.532178, 0.546973, 0.55612, 0.562575, 0.568662, 0.611336, 0.629187, 0.649325, 0.670856, 0.695984, 0.72074, 0.749033, 0.758881");
-            values ( \
-              "0.0431228, 0.136525, 0.244711, 0.404633, 1.02085, 1.22852, 1.42978, 1.53059, 1.5613, 1.54266, 1.50784, 1.4525, 0.748947, 0.517702, 0.330739, 0.200226, 0.109548, 0.0607461, 0.0308292, 0.026407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.415008");
-            index_3 ("0.430918, 0.464223, 0.487934, 0.513581, 0.533186, 0.550105, 0.557366, 0.573128, 0.58707, 0.596145, 0.608244, 0.620906, 0.646231, 0.673534, 0.693009, 0.731055, 0.786804, 0.835426, 0.867344, 0.892541, 0.926135, 0.955732, 0.993302, 1.03916, 1.07079, 1.13429, 1.21735, 1.3004, 1.38346");
-            values ( \
-              "0.207065, 0.690464, 1.20645, 1.69141, 1.94178, 2.08265, 2.12308, 2.1833, 2.20728, 2.21129, 2.20466, 2.18863, 2.12611, 2.01874, 1.9115, 1.6299, 1.18038, 0.846608, 0.668032, 0.549266, 0.417732, 0.326388, 0.236699, 0.15847, 0.119293, 0.0672122, 0.0310631, 0.013659, 0.00662217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.35608");
-            index_3 ("0.430662, 0.519151, 0.535941, 0.549761, 0.568299, 0.578112, 0.58788, 0.604936, 0.634373, 0.672065, 0.704758, 0.741346, 0.77922, 0.879821, 0.929527, 0.986277, 1.06933, 1.10177, 1.16171, 1.38637, 1.43715, 1.50486, 1.56719, 1.64927, 1.70094, 1.76984, 1.8529, 1.90362, 1.97535, 2.05733, 2.14039, 2.21407, 2.27002, 2.34461, 2.42767, 2.51072, 2.59378, 2.75989, 3.00905, 3.25822");
-            values ( \
-              "0.100968, 1.88483, 2.12658, 2.27054, 2.40531, 2.45833, 2.49883, 2.54726, 2.58554, 2.58588, 2.56377, 2.52929, 2.48783, 2.36586, 2.30005, 2.21754, 2.07207, 2.00344, 1.86202, 1.26678, 1.14032, 0.982799, 0.850183, 0.696104, 0.610917, 0.510541, 0.408911, 0.355985, 0.292121, 0.231832, 0.183075, 0.148025, 0.125964, 0.1015, 0.0795139, 0.0623965, 0.0487576, 0.0298158, 0.0143076, 0.00674678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.725516, 0.766568, 0.786012, 0.792996, 0.798087, 0.803317, 0.810291, 0.825124, 0.83389, 0.841538, 0.848673, 0.855605, 0.86253, 0.869431, 0.878399, 0.885403, 0.890555, 0.896455, 0.901096, 0.910379, 0.917769, 0.923545, 0.940849, 0.960625, 1.04174, 1.05357");
-            values ( \
-              "0.000535201, 0.00258539, 0.00870936, 0.0118058, 0.0152668, 0.0202586, 0.031011, 0.0681748, 0.0814175, 0.090079, 0.0934135, 0.0959446, 0.0938371, 0.0876397, 0.0460211, 0.0262084, 0.017722, 0.0116358, 0.00833032, 0.00425836, 0.00280708, 0.00214094, 0.00139768, 0.00102802, 0.000358351, 0.000324551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0118951");
-            index_3 ("0.766445, 0.79122, 0.801309, 0.813544, 0.830176, 0.840345, 0.849186, 0.865276, 0.873098, 0.880917, 0.891476, 0.903689, 0.910995, 0.925783, 0.941035, 0.978997, 1.01224");
-            values ( \
-              "0.0182838, 0.0316026, 0.0493192, 0.095187, 0.191246, 0.230086, 0.25471, 0.275303, 0.272627, 0.25, 0.132337, 0.0595444, 0.0370473, 0.0140004, 0.00657403, 0.0028031, 0.00233172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0388685");
-            index_3 ("0.766514, 0.789869, 0.806671, 0.814813, 0.839666, 0.851605, 0.869504, 0.880456, 0.890833, 0.901061, 0.911275, 0.925819, 0.937593, 0.950273, 0.95874, 0.967076, 0.981605, 0.993494, 1.01727, 1.03509");
-            values ( \
-              "0.0489689, 0.0708673, 0.13805, 0.193234, 0.430141, 0.517323, 0.617536, 0.659635, 0.688501, 0.681629, 0.580116, 0.331889, 0.20129, 0.11257, 0.0748228, 0.0505264, 0.0265978, 0.0165556, 0.00802143, 0.00731196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.127007");
-            index_3 ("0.766505, 0.802393, 0.81333, 0.822538, 0.846795, 0.874349, 0.895313, 0.913412, 0.930133, 0.946504, 0.962847, 0.999012, 1.01607, 1.03383, 1.04468, 1.05359, 1.06493, 1.08712, 1.10057, 1.11594, 1.14668, 1.17868");
-            values ( \
-              "0.0572976, 0.197525, 0.282192, 0.374627, 0.670843, 0.985411, 1.19682, 1.33163, 1.4005, 1.39798, 1.2745, 0.720369, 0.512586, 0.349967, 0.274089, 0.223548, 0.171584, 0.101545, 0.0741944, 0.0518862, 0.0253997, 0.0142431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.415008");
-            index_3 ("0.766737, 0.823826, 0.846691, 0.889449, 0.913317, 0.934267, 0.969336, 0.976155, 0.985246, 1.00343, 1.01402, 1.02814, 1.04265, 1.07167, 1.0804, 1.09787, 1.16763, 1.18716, 1.21603, 1.24553, 1.28057, 1.30221, 1.33559, 1.34538, 1.36496, 1.40411, 1.43549, 1.47013, 1.51633, 1.59939, 1.64964");
-            values ( \
-              "0.0627737, 0.460924, 0.760039, 1.39199, 1.70401, 1.90338, 2.08733, 2.10311, 2.11638, 2.12282, 2.11438, 2.09153, 2.05588, 1.94088, 1.89246, 1.77867, 1.22911, 1.08528, 0.890781, 0.722164, 0.55183, 0.464078, 0.352127, 0.324382, 0.274728, 0.195412, 0.148228, 0.109008, 0.0717308, 0.0327243, 0.0218996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.35608");
-            index_3 ("0.820428, 0.86558, 0.914497, 0.938329, 0.971111, 1.00913, 1.04002, 1.06865, 1.11451, 1.1655, 1.26626, 1.3729, 1.44877, 1.54808, 1.82376, 1.95382, 2.0875, 2.15635, 2.29018, 2.44415, 2.5272, 2.6565, 2.81392, 2.98003, 3.14614, 3.25176");
-            values ( \
-              "1.06314, 1.10079, 1.87276, 2.14532, 2.38149, 2.5132, 2.54842, 2.55306, 2.52775, 2.48031, 2.36364, 2.21727, 2.08608, 1.86248, 1.14033, 0.850158, 0.610903, 0.510708, 0.356061, 0.231732, 0.182901, 0.126053, 0.0796435, 0.0488565, 0.0298954, 0.0250005" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.00336974, 0.00336992, 0.00337055, 0.00337151, 0.00337213, 0.00337238", \
-            "0.00436802, 0.00436798, 0.00436799, 0.00436836, 0.00436888, 0.00436916", \
-            "0.00497063, 0.00497058, 0.00497048, 0.00497038, 0.00497049, 0.00497066", \
-            "0.00530343, 0.0053034, 0.00530333, 0.0053032, 0.00530307, 0.00530306", \
-            "0.00551812, 0.00551811, 0.00551806, 0.00551796, 0.00551782, 0.00551772", \
-            "0.00567053, 0.00567052, 0.00567047, 0.00567038, 0.00567023, 0.00567008" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.00528627, 0.00528582, 0.00528508, 0.00528512, 0.00528573, 0.00528608", \
-            "0.00545233, 0.00545784, 0.00546545, 0.00545885, 0.00545636, 0.00545594", \
-            "0.00512714, 0.00512699, 0.00512655, 0.00512553, 0.00512422, 0.00512352", \
-            "0.00514096, 0.00514079, 0.00514033, 0.00513924, 0.00513757, 0.00513627", \
-            "0.00552428, 0.00553129, 0.00554241, 0.00555511, 0.00556333, 0.00556596", \
-            "0.00598251, 0.00597868, 0.005966, 0.00624803, 0.00651863, 0.00661449" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0966201, 0.114475, 0.119818, 0.128079, 0.136551, 0.145949, 0.150159, 0.154259, 0.158354, 0.16929, 0.174986, 0.179809, 0.190307, 0.20443");
-            values ( \
-              "-0.00520493, -0.0302389, -0.0419825, -0.0887903, -0.125512, -0.152178, -0.1589, -0.161293, -0.151442, -0.0372292, -0.0146234, -0.00780213, -0.00311448, -0.00184764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0118951");
-            index_3 ("0.0962831, 0.108639, 0.116702, 0.12439, 0.130046, 0.141313, 0.147065, 0.152282, 0.157181, 0.161939, 0.166691, 0.175633, 0.179529, 0.182749, 0.185863, 0.191357, 0.196049, 0.204982, 0.21611, 0.329199");
-            values ( \
-              "-0.00375686, -0.0656423, -0.0948707, -0.150446, -0.233819, -0.350382, -0.394339, -0.426521, -0.446924, -0.454701, -0.426061, -0.189149, -0.110987, -0.0693193, -0.0435859, -0.020611, -0.011659, -0.00496679, -0.00281947, -0.000132836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0388685");
-            index_3 ("0.103805, 0.115474, 0.122826, 0.129614, 0.144583, 0.153717, 0.161613, 0.168816, 0.175608, 0.182241, 0.188865, 0.200181, 0.207684, 0.214732, 0.220343, 0.224906, 0.234031, 0.246484, 0.253222");
-            values ( \
-              "-0.124539, -0.207676, -0.278698, -0.387454, -0.694882, -0.837083, -0.935843, -1.00709, -1.0522, -1.06088, -0.967387, -0.504154, -0.265381, -0.134471, -0.0770761, -0.0487255, -0.0194131, -0.0069573, -0.00657464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.127007");
-            index_3 ("0.110039, 0.124339, 0.132115, 0.159442, 0.167358, 0.181961, 0.194828, 0.206736, 0.218214, 0.229673, 0.242338, 0.256585, 0.267744, 0.280031, 0.287617, 0.294143, 0.303188, 0.315249, 0.325916");
-            values ( \
-              "-0.27209, -0.477616, -0.621009, -1.28116, -1.44105, -1.69218, -1.86227, -1.97178, -2.0203, -1.96291, -1.64871, -1.07264, -0.706107, -0.423171, -0.302686, -0.225639, -0.149266, -0.0843809, -0.0569329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.415008");
-            index_3 ("0.121803, 0.139285, 0.178085, 0.191221, 0.201185, 0.212502, 0.235135, 0.249754, 0.265474, 0.290273, 0.315067, 0.317132, 0.332245, 0.341361, 0.359594, 0.392205, 0.418924, 0.432334, 0.443982, 0.462471, 0.48251, 0.50778, 0.516444, 0.537123, 0.560755, 0.608019, 0.671337, 0.734656");
-            values ( \
-              "-0.772299, -0.938701, -1.93963, -2.21486, -2.39481, -2.5668, -2.8203, -2.92532, -2.99641, -3.03223, -2.96305, -2.95064, -2.82934, -2.71809, -2.38385, -1.65072, -1.14971, -0.947778, -0.797109, -0.600784, -0.438701, -0.291306, -0.253088, -0.180581, -0.12182, -0.0533566, -0.0164773, -0.00521289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.35608");
-            index_3 ("0.1243, 0.199085, 0.219537, 0.241546, 0.277997, 0.313126, 0.347816, 0.380491, 0.428982, 0.481791, 0.516951, 0.58027, 0.606154, 0.634831, 0.666481, 0.72978, 0.815272, 0.878085, 0.934637, 0.973508, 1.02773, 1.07547, 1.12338, 1.1867, 1.2674, 1.32824, 1.39156, 1.51819, 1.64483, 1.83479");
-            values ( \
-              "-0.73222, -2.52844, -2.89062, -3.1689, -3.45371, -3.58337, -3.63593, -3.64183, -3.61269, -3.54389, -3.48108, -3.32963, -3.2445, -3.12622, -2.95618, -2.47959, -1.79013, -1.36298, -1.05161, -0.874235, -0.670602, -0.528894, -0.415036, -0.299525, -0.197297, -0.143415, -0.102597, -0.0523809, -0.0266657, -0.00972771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.113248, 0.134753, 0.138657, 0.142836, 0.148755, 0.155665, 0.166204, 0.170427, 0.174529, 0.178625, 0.189565, 0.195246, 0.200082, 0.205011, 0.210643, 0.221878");
-            values ( \
-              "-8.39624e-05, -0.030402, -0.0384339, -0.0544436, -0.0921678, -0.121942, -0.152395, -0.158464, -0.161569, -0.151044, -0.0372285, -0.0146651, -0.00781374, -0.00487231, -0.00310918, -0.00144788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0118951");
-            index_3 ("0.124807, 0.135313, 0.137092, 0.138279, 0.139465, 0.140651, 0.141837, 0.143745, 0.145652, 0.147345, 0.149039, 0.150732, 0.152426, 0.158928, 0.161021, 0.163159, 0.164601, 0.166042, 0.167483, 0.170089, 0.172695, 0.175146, 0.177596, 0.178786, 0.179975, 0.181165, 0.182355, 0.183543, 0.184731, 0.185919, 0.187107, 0.18722, 0.187897, 0.1888, 0.190155, 0.191751, 0.194368, 0.195208, 0.19661, 0.198297, 0.199805, 0.200821, 0.201627, 0.202657, 0.203172, 0.203951, 0.204729, 0.205507, 0.206286, 0.208112");
-            values ( \
-              "-0.0852272, -0.0879182, -0.0962774, -0.103081, -0.110787, -0.119396, -0.128908, -0.149085, -0.170704, -0.191107, -0.212646, -0.235322, -0.259133, -0.326258, -0.345894, -0.364179, -0.375629, -0.38651, -0.396821, -0.413632, -0.429356, -0.443149, -0.455982, -0.454442, -0.452181, -0.4492, -0.445498, -0.441083, -0.435948, -0.430095, -0.423523, -0.422161, -0.408865, -0.388801, -0.35349, -0.308804, -0.230894, -0.208931, -0.175273, -0.139773, -0.112406, -0.0958588, -0.0851144, -0.0733384, -0.067993, -0.0609013, -0.0544364, -0.0485984, -0.0433873, -0.0333561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0388685");
-            index_3 ("0.123554, 0.134122, 0.143066, 0.149538, 0.164822, 0.173959, 0.181854, 0.189058, 0.195849, 0.202482, 0.209106, 0.220424, 0.227924, 0.234973, 0.240585, 0.245148, 0.254275, 0.265133");
-            values ( \
-              "-0.124879, -0.192904, -0.279132, -0.380737, -0.694634, -0.837317, -0.935746, -1.0072, -1.05217, -1.06091, -0.967384, -0.504093, -0.265401, -0.134476, -0.0770719, -0.0487207, -0.0194067, -0.00855091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.127007");
-            index_3 ("0.132137, 0.14246, 0.155119, 0.175593, 0.187254, 0.20185, 0.214706, 0.226612, 0.238088, 0.249546, 0.26221, 0.276484, 0.287586, 0.299919, 0.314031, 0.323025, 0.335018, 0.356655, 0.358984");
-            values ( \
-              "-0.301532, -0.446048, -0.687398, -1.20134, -1.44175, -1.69299, -1.86256, -1.97221, -2.02043, -1.96318, -1.64888, -1.07155, -0.707038, -0.422769, -0.225375, -0.149413, -0.0848872, -0.0286819, -0.026849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.415008");
-            index_3 ("0.135143, 0.191069, 0.218067, 0.232685, 0.260211, 0.285678, 0.310481, 0.337432, 0.352678, 0.361548, 0.375027, 0.434888, 0.460618, 0.493465, 0.514143, 0.531863, 0.555749, 0.587597, 0.631792");
-            values ( \
-              "-0.292791, -1.76966, -2.33968, -2.56797, -2.86246, -2.99953, -3.03426, -2.95107, -2.82755, -2.71865, -2.48378, -1.21993, -0.840428, -0.507234, -0.36457, -0.273618, -0.185172, -0.108333, -0.0552857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.35608");
-            index_3 ("0.163819, 0.21091, 0.235652, 0.261658, 0.299844, 0.333187, 0.367844, 0.400584, 0.44948, 0.467915, 0.537044, 0.600363, 0.626246, 0.654923, 0.686573, 0.749874, 0.835154, 0.897547, 0.935504, 0.995123, 1.04823, 1.09482, 1.143, 1.20632, 1.28822, 1.35006, 1.41337, 1.54001, 1.66665, 1.8566");
-            values ( \
-              "-1.9789, -2.35254, -2.82741, -3.17031, -3.46305, -3.58416, -3.63529, -3.64254, -3.61169, -3.59097, -3.48166, -3.32909, -3.24406, -3.12664, -2.95581, -2.47988, -1.79153, -1.36705, -1.15004, -0.867733, -0.669439, -0.531044, -0.415956, -0.300401, -0.19666, -0.142018, -0.101766, -0.0520017, -0.0265191, -0.00954352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.166107, 0.172629, 0.175165, 0.1777, 0.180458, 0.181837, 0.183215, 0.184594, 0.185973, 0.187924, 0.188575, 0.189875, 0.192477, 0.195289, 0.196799, 0.198241, 0.201125, 0.203798, 0.205028, 0.207489, 0.209725, 0.211961, 0.214066, 0.216171, 0.217196, 0.218221, 0.219246, 0.220271, 0.221294, 0.222318, 0.223342, 0.224366, 0.224853, 0.225438, 0.226609, 0.228387, 0.230816, 0.231568, 0.23232, 0.233373, 0.234427, 0.235397, 0.236442, 0.237581, 0.237961, 0.239481, 0.241, 0.242517, 0.244035, 0.246347");
-            values ( \
-              "-0.019499, -0.0207646, -0.0234526, -0.0265978, -0.030976, -0.0338241, -0.0371114, -0.0408381, -0.045004, -0.0535574, -0.0567253, -0.0650495, -0.080572, -0.09566, -0.103043, -0.109322, -0.12091, -0.130465, -0.134495, -0.141917, -0.147828, -0.15322, -0.157821, -0.161963, -0.16144, -0.160643, -0.159573, -0.158229, -0.156613, -0.154724, -0.152561, -0.150126, -0.14655, -0.141342, -0.128702, -0.107143, -0.0760321, -0.0679877, -0.0605534, -0.0511657, -0.042975, -0.0365544, -0.0300333, -0.0244512, -0.0228335, -0.0180676, -0.014266, -0.0116459, -0.00955937, -0.00732621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0118951");
-            index_3 ("0.170663, 0.180693, 0.182712, 0.184397, 0.186081, 0.187765, 0.18945, 0.191251, 0.193052, 0.194852, 0.197731, 0.19917, 0.200609, 0.202292, 0.203974, 0.205656, 0.208778, 0.210218, 0.213096, 0.215704, 0.218312, 0.220761, 0.223211, 0.2244, 0.225589, 0.226778, 0.227967, 0.229155, 0.230342, 0.23153, 0.232718, 0.232831, 0.233512, 0.23442, 0.235782, 0.237372, 0.239971, 0.240811, 0.242215, 0.243904, 0.245562, 0.246436, 0.247242, 0.248271, 0.248785, 0.249563, 0.250341, 0.251119, 0.251897, 0.253719");
-            values ( \
-              "-0.0870498, -0.090575, -0.100501, -0.110459, -0.12142, -0.133384, -0.146351, -0.168919, -0.196229, -0.221533, -0.256789, -0.273264, -0.28897, -0.305865, -0.322036, -0.337485, -0.364238, -0.375735, -0.397142, -0.413832, -0.429504, -0.443299, -0.456195, -0.454634, -0.452356, -0.44936, -0.445648, -0.441225, -0.436086, -0.430232, -0.423664, -0.422288, -0.408898, -0.388673, -0.353132, -0.308559, -0.231125, -0.209116, -0.175391, -0.139826, -0.109854, -0.095796, -0.0850533, -0.0732966, -0.0679593, -0.0608721, -0.0544121, -0.0485793, -0.0433737, -0.0333632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0388685");
-            index_3 ("0.170638, 0.178198, 0.18739, 0.190474, 0.194585, 0.205242, 0.219278, 0.227171, 0.234372, 0.241162, 0.247793, 0.254417, 0.265735, 0.273233, 0.280281, 0.285893, 0.290457, 0.299584, 0.31203, 0.332559");
-            values ( \
-              "-0.136605, -0.180359, -0.26845, -0.307658, -0.378674, -0.610431, -0.838629, -0.935343, -1.00813, -1.05183, -1.0616, -0.967035, -0.504071, -0.265444, -0.134495, -0.0770796, -0.048719, -0.0194069, -0.00695774, -0.00579125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.127007");
-            index_3 ("0.173387, 0.214426, 0.232728, 0.247329, 0.260191, 0.272098, 0.283575, 0.295033, 0.307697, 0.321873, 0.333024, 0.345403, 0.359516, 0.36852, 0.380525, 0.401043");
-            values ( \
-              "-0.0780062, -1.04718, -1.44134, -1.69277, -1.86233, -1.97218, -2.02026, -1.96319, -1.64887, -1.07527, -0.708446, -0.422859, -0.225438, -0.149399, -0.0847722, -0.0316893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.415008");
-            index_3 ("0.172231, 0.223917, 0.245679, 0.26121, 0.281649, 0.302018, 0.312988, 0.327613, 0.338204, 0.352324, 0.363078, 0.377416, 0.380756, 0.387435, 0.400794, 0.406976, 0.41934, 0.450877, 0.468333, 0.481302, 0.504254, 0.522744, 0.540152, 0.563363, 0.578498, 0.595527, 0.618233, 0.663646, 0.726964, 0.790283");
-            values ( \
-              "-0.00057593, -1.44536, -1.98464, -2.29674, -2.61394, -2.82947, -2.90957, -2.98339, -3.01494, -3.03092, -3.02167, -2.97667, -2.95941, -2.91788, -2.79588, -2.71738, -2.50499, -1.79941, -1.43785, -1.20322, -0.863456, -0.651791, -0.497189, -0.34273, -0.268091, -0.20312, -0.139487, -0.0632833, -0.0196753, -0.00609621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.35608");
-            index_3 ("0.194457, 0.265146, 0.307596, 0.34709, 0.379134, 0.413756, 0.446531, 0.495989, 0.58299, 0.646309, 0.70087, 0.73252, 0.94412, 1.03956, 1.1415, 1.25274, 1.3943, 1.51153");
-            values ( \
-              "-0.995153, -2.52935, -3.1692, -3.47153, -3.58329, -3.63658, -3.64155, -3.61225, -3.48076, -3.32998, -3.12596, -2.95646, -1.36283, -0.874298, -0.528809, -0.299435, -0.143474, -0.0872105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.264591, 0.281556, 0.287343, 0.297845, 0.303609, 0.313003, 0.317213, 0.321311, 0.325405, 0.33634, 0.342072, 0.346869, 0.357221, 0.375241");
-            values ( \
-              "-0.00727396, -0.0303343, -0.0434729, -0.10241, -0.12503, -0.151812, -0.159283, -0.161006, -0.151744, -0.0372383, -0.014543, -0.0077946, -0.00314609, -0.00149811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0118951");
-            index_3 ("0.264685, 0.275787, 0.283819, 0.289942, 0.297181, 0.308432, 0.314177, 0.319392, 0.32429, 0.329047, 0.333797, 0.342737, 0.346635, 0.349855, 0.352969, 0.358454, 0.363138, 0.37207, 0.383165, 0.495881");
-            values ( \
-              "-0.011599, -0.0656379, -0.0949527, -0.13537, -0.234072, -0.350237, -0.394883, -0.426266, -0.447391, -0.454423, -0.426471, -0.189235, -0.110979, -0.0693047, -0.0435977, -0.0206393, -0.0116714, -0.00497859, -0.00281811, -0.000127593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0388685");
-            index_3 ("0.264723, 0.289299, 0.292673, 0.305555, 0.320704, 0.3286, 0.335803, 0.342594, 0.349226, 0.35585, 0.367169, 0.374667, 0.381715, 0.387326, 0.391889, 0.401015, 0.41344, 0.433671");
-            values ( \
-              "-0.00133706, -0.272705, -0.318659, -0.587719, -0.83777, -0.935486, -1.00758, -1.05194, -1.06122, -0.967167, -0.504055, -0.265447, -0.134502, -0.0770925, -0.0487331, -0.0194162, -0.00697293, -0.00581916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.127007");
-            index_3 ("0.273612, 0.290686, 0.302481, 0.315353, 0.321756, 0.333926, 0.346037, 0.361388, 0.373327, 0.384809, 0.396278, 0.408944, 0.423126, 0.434531, 0.446561, 0.452154, 0.460186, 0.468594, 0.47506, 0.487992, 0.511565, 0.53906, 0.574926");
-            values ( \
-              "-0.0647925, -0.471257, -0.698595, -1.04221, -1.18485, -1.43796, -1.65105, -1.8596, -1.9701, -2.01874, -1.96213, -1.64846, -1.07484, -0.70133, -0.424444, -0.332587, -0.231331, -0.157808, -0.117281, -0.0633422, -0.0194664, -0.00545762, -0.00186986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.415008");
-            index_3 ("0.276179, 0.306384, 0.325319, 0.347075, 0.362396, 0.379699, 0.407194, 0.43269, 0.457494, 0.482267, 0.484443, 0.499686, 0.50856, 0.522039, 0.564973, 0.5819, 0.60763, 0.624968, 0.640477, 0.661156, 0.678875, 0.70276, 0.734607, 0.794502, 0.857821");
-            values ( \
-              "-0.0531656, -0.93633, -1.44308, -1.97855, -2.29146, -2.56772, -2.86236, -2.99937, -3.03437, -2.9641, -2.95109, -2.82759, -2.71865, -2.48377, -1.53536, -1.21993, -0.840435, -0.645499, -0.507235, -0.364577, -0.273619, -0.185174, -0.10834, -0.0364167, -0.0113303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.35608");
-            index_3 ("0.307216, 0.343856, 0.38064, 0.408293, 0.433075, 0.457984, 0.479781, 0.514223, 0.547221, 0.59838, 0.614586, 0.6837, 0.747019, 0.772905, 0.801582, 0.833231, 0.896529, 0.982021, 1.04483, 1.08195, 1.14027, 1.19449, 1.24221, 1.29013, 1.35345, 1.40373, 1.495, 1.55832, 1.68495, 1.81159, 2.00155");
-            values ( \
-              "-1.88742, -2.0244, -2.80161, -3.16872, -3.38, -3.51088, -3.58031, -3.63277, -3.64149, -3.60896, -3.59084, -3.48169, -3.32899, -3.24399, -3.12668, -2.95575, -2.47997, -1.78993, -1.36322, -1.15109, -0.874034, -0.670745, -0.52905, -0.414904, -0.299668, -0.231019, -0.143292, -0.102708, -0.0524918, -0.0267758, -0.00961883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.458614, 0.484252, 0.487746, 0.496993, 0.501987, 0.510002, 0.51646, 0.521844, 0.533854, 0.543331, 0.547742, 0.549137, 0.550532, 0.552206, 0.554473, 0.559828, 0.562057, 0.563565, 0.565744, 0.568087, 0.571997, 0.574134, 0.575265, 0.577527, 0.58205, 0.591096, 0.592029");
-            values ( \
-              "-0.00285316, -0.00607503, -0.0100155, -0.0180226, -0.0228873, -0.0366256, -0.0647098, -0.0899364, -0.128651, -0.147768, -0.149959, -0.149975, -0.147207, -0.141051, -0.123319, -0.0640156, -0.0450578, -0.0354439, -0.0250116, -0.0174832, -0.00999322, -0.0079756, -0.00705305, -0.00579752, -0.00392766, -0.00210105, -0.00202238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0118951");
-            index_3 ("0.485203, 0.498508, 0.502358, 0.504103, 0.504976, 0.506721, 0.508931, 0.510268, 0.512943, 0.514328, 0.51479, 0.518484, 0.520277, 0.523863, 0.527535, 0.529413, 0.53317, 0.536318, 0.539467, 0.542277, 0.545087, 0.545752, 0.546417, 0.547082, 0.547747, 0.54903, 0.550406, 0.551187, 0.553528, 0.554855, 0.556183, 0.557069, 0.557954, 0.558839, 0.559593, 0.560346, 0.561641, 0.562936, 0.566043, 0.568066, 0.569141, 0.570753, 0.573296, 0.574798, 0.575549, 0.577051, 0.577803, 0.579095, 0.580387, 0.581907");
-            values ( \
-              "-0.0509085, -0.0563947, -0.0670386, -0.0729651, -0.0765796, -0.0846702, -0.0965638, -0.104656, -0.122864, -0.134988, -0.139339, -0.18294, -0.202006, -0.238118, -0.272297, -0.288691, -0.319263, -0.339448, -0.361905, -0.383866, -0.407637, -0.408089, -0.408956, -0.410239, -0.411938, -0.416391, -0.421823, -0.423946, -0.432185, -0.430579, -0.427637, -0.424933, -0.421635, -0.417743, -0.41049, -0.401588, -0.377835, -0.350663, -0.272017, -0.216555, -0.190254, -0.155509, -0.108714, -0.0868691, -0.0783873, -0.0634451, -0.0569847, -0.0477369, -0.0399043, -0.0324145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0388685");
-            index_3 ("0.489166, 0.502937, 0.506949, 0.509986, 0.511504, 0.513023, 0.514541, 0.51606, 0.520505, 0.524492, 0.526996, 0.532005, 0.537134, 0.541504, 0.547945, 0.553047, 0.556992, 0.558003, 0.558873, 0.561483, 0.566083, 0.568958, 0.5703, 0.571195, 0.572089, 0.572984, 0.574232, 0.575293, 0.576, 0.576707, 0.577414, 0.578122, 0.579164, 0.580207, 0.581249, 0.582292, 0.583634, 0.586318, 0.5884, 0.592216, 0.59381, 0.596201, 0.599382, 0.60072, 0.601389, 0.603396, 0.604531, 0.6068, 0.607935, 0.610209");
-            values ( \
-              "-0.155868, -0.16141, -0.190694, -0.217883, -0.234031, -0.251818, -0.271244, -0.292311, -0.365107, -0.433708, -0.478415, -0.571553, -0.654226, -0.72253, -0.813731, -0.878076, -0.925039, -0.936611, -0.945411, -0.970013, -1.00606, -1.02619, -1.03256, -1.03482, -1.03615, -1.03657, -1.03562, -1.0334, -1.02947, -1.02382, -1.01645, -1.00736, -0.989532, -0.968834, -0.945265, -0.918827, -0.878127, -0.787339, -0.708201, -0.546716, -0.484629, -0.401455, -0.304633, -0.267009, -0.25157, -0.209302, -0.188614, -0.152019, -0.136111, -0.10858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.127007");
-            index_3 ("0.483956, 0.507681, 0.520722, 0.550127, 0.563744, 0.573406, 0.585259, 0.59428, 0.598807, 0.607862, 0.610424, 0.61555, 0.621991, 0.633627, 0.649636, 0.659245, 0.668724, 0.681601, 0.68928, 0.702069, 0.719121, 0.742946, 0.77211");
-            values ( \
-              "-0.0528324, -0.333098, -0.525763, -1.19232, -1.46555, -1.63279, -1.80097, -1.89908, -1.93756, -1.98808, -1.99337, -1.98989, -1.94161, -1.67703, -1.04728, -0.732036, -0.496507, -0.282691, -0.200016, -0.110963, -0.0485018, -0.0149697, -0.0042288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.415008");
-            index_3 ("0.484908, 0.525055, 0.57062, 0.59371, 0.604314, 0.625521, 0.645501, 0.65785, 0.682764, 0.707676, 0.719325, 0.731065, 0.739549, 0.750746, 0.78957, 0.813085, 0.835082, 0.851131, 0.864398, 0.880096, 0.902284, 0.925503, 0.94331, 0.978926, 1.04224, 1.10556");
-            values ( \
-              "-0.000479309, -0.744346, -1.87771, -2.34802, -2.51629, -2.77172, -2.92209, -2.97867, -3.02154, -2.95666, -2.87658, -2.75395, -2.62863, -2.41275, -1.5503, -1.1238, -0.814705, -0.637957, -0.519195, -0.404825, -0.282607, -0.193657, -0.144312, -0.078739, -0.0244462, -0.00761661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.35608");
-            index_3 ("0.526025, 0.562144, 0.583151, 0.604908, 0.626774, 0.647295, 0.674655, 0.705478, 0.740228, 0.77301, 0.821504, 0.874361, 0.909519, 0.972837, 0.998732, 1.02741, 1.05905, 1.12235, 1.20785, 1.27068, 1.32718, 1.36602, 1.42029, 1.46807, 1.51597, 1.57929, 1.65993, 1.72073, 1.78405, 1.91069, 2.03732, 2.22728");
-            values ( \
-              "-1.67823, -1.76161, -2.29501, -2.73469, -3.05729, -3.27001, -3.45705, -3.57212, -3.62974, -3.63863, -3.61126, -3.54331, -3.48082, -3.32955, -3.24447, -3.12614, -2.9562, -2.47964, -1.79011, -1.36277, -1.05165, -0.874582, -0.670568, -0.528726, -0.415093, -0.299404, -0.197232, -0.143577, -0.102538, -0.0522996, -0.0265729, -0.00983693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.909388, 0.92658, 0.928045, 0.930735, 0.933424, 0.938803, 0.942039, 0.945275, 0.948511, 0.951747, 0.953962, 0.95507, 0.960138, 0.965374, 0.966663, 0.973107, 0.977093, 0.979007, 0.982836, 0.98475, 0.986474, 0.991647, 0.994879, 0.99811, 0.999676, 1.00124, 1.0028, 1.00437, 1.00749, 1.00906, 1.01062, 1.01069, 1.0117, 1.01256, 1.01458, 1.01722, 1.0198, 1.02148, 1.02284, 1.02525, 1.02744, 1.02923, 1.03081, 1.0324, 1.03399, 1.03558, 1.03717, 1.03876, 1.04197, 1.04531");
-            values ( \
-              "-0.00978155, -0.0103663, -0.0109013, -0.0120565, -0.01335, -0.0163521, -0.0186461, -0.0212426, -0.0241418, -0.0273435, -0.0308919, -0.0333288, -0.0449238, -0.0576692, -0.0604074, -0.0734861, -0.0806574, -0.0837092, -0.0892905, -0.09182, -0.0938654, -0.0994898, -0.102614, -0.105438, -0.10533, -0.105095, -0.104734, -0.104247, -0.102894, -0.102029, -0.101037, -0.100888, -0.0978138, -0.0945331, -0.0845864, -0.0693015, -0.0522168, -0.0431341, -0.0367136, -0.0274228, -0.0209409, -0.0164779, -0.0138994, -0.0117212, -0.0102571, -0.00898407, -0.00790211, -0.00701123, -0.00589647, -0.00491312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0118951");
-            index_3 ("0.883789, 0.916398, 0.92195, 0.926778, 0.933214, 0.941349, 0.954009, 0.963711, 0.972724, 0.983065, 0.991671, 0.999345, 1.00647, 1.01332, 1.02016, 1.02036, 1.02193, 1.02373, 1.03093, 1.03369, 1.03618, 1.03729, 1.0395, 1.04393, 1.04753, 1.04955, 1.05157, 1.05426, 1.05964, 1.0704, 1.07934");
-            values ( \
-              "-0.00661133, -0.0175924, -0.0235221, -0.028932, -0.0366231, -0.0480119, -0.0773202, -0.129941, -0.183414, -0.230817, -0.267645, -0.290327, -0.309495, -0.316949, -0.307002, -0.303759, -0.291074, -0.270928, -0.156765, -0.117935, -0.0899889, -0.0796067, -0.0620064, -0.0376767, -0.0261307, -0.0217575, -0.0183593, -0.0148838, -0.0102216, -0.00530545, -0.00372901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0388685");
-            index_3 ("0.882012, 0.925427, 0.927609, 0.931975, 0.940705, 0.948171, 0.962051, 0.984958, 0.998187, 1.00921, 1.019, 1.02803, 1.03667, 1.04529, 1.04777, 1.05032, 1.05791, 1.06164, 1.06443, 1.06663, 1.06957, 1.07485, 1.0769, 1.0794, 1.08272, 1.08937, 1.09409");
-            values ( \
-              "-0.0173715, -0.0605607, -0.0666384, -0.0804647, -0.11111, -0.141092, -0.225177, -0.468156, -0.590018, -0.681541, -0.747872, -0.799146, -0.823983, -0.784444, -0.736697, -0.675005, -0.450496, -0.349587, -0.28403, -0.239384, -0.188562, -0.119461, -0.0998529, -0.0801908, -0.0596669, -0.0327913, -0.0245852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.127007");
-            index_3 ("0.891921, 0.925389, 0.934245, 0.94191, 0.957242, 0.96468, 0.979556, 1.01237, 1.03169, 1.04799, 1.06255, 1.07611, 1.08933, 1.10331, 1.12224, 1.13062, 1.13729, 1.14306, 1.1489, 1.15727, 1.16607, 1.17285, 1.18641, 1.19135");
-            values ( \
-              "-0.051372, -0.118138, -0.167129, -0.216634, -0.327252, -0.396781, -0.580241, -1.05878, -1.30944, -1.49951, -1.63932, -1.72991, -1.73618, -1.52002, -0.900079, -0.666595, -0.515124, -0.407839, -0.31913, -0.222161, -0.150845, -0.111458, -0.0597609, -0.0513812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.415008");
-            index_3 ("0.924585, 0.96537, 0.98219, 1.06623, 1.09399, 1.12558, 1.15193, 1.17796, 1.20496, 1.22493, 1.26935, 1.28844, 1.31916, 1.35052, 1.38192, 1.41786, 1.4562, 1.48693");
-            values ( \
-              "-0.186327, -0.546646, -0.760893, -2.17223, -2.52708, -2.78233, -2.87987, -2.86253, -2.66285, -2.33011, -1.39166, -1.06913, -0.677744, -0.415779, -0.250128, -0.138665, -0.0720512, -0.0479332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.35608");
-            index_3 ("0.924312, 1.00256, 1.07463, 1.12935, 1.17389, 1.2106, 1.24282, 1.27243, 1.31067, 1.37399, 1.41552, 1.45191, 1.51523, 1.55873, 1.73671, 1.84199, 1.93501, 1.98418, 2.0475, 2.10112, 2.19719, 2.3541");
-            values ( \
-              "-0.0717811, -1.17677, -2.52314, -3.19162, -3.46022, -3.5603, -3.59465, -3.59922, -3.57606, -3.48956, -3.40162, -3.30139, -3.03979, -2.75411, -1.39042, -0.851548, -0.538612, -0.419766, -0.303247, -0.229749, -0.138902, -0.0662091" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.00313353, 0.00313446, 0.00313667, 0.00313911, 0.00314037, 0.00314084", \
-            "0.00374613, 0.00374632, 0.00374707, 0.00374868, 0.00375001, 0.00375059", \
-            "0.0041049, 0.00410488, 0.0041049, 0.0041053, 0.00410602, 0.00410652", \
-            "0.00430673, 0.00430668, 0.00430657, 0.00430641, 0.00430644, 0.00430663", \
-            "0.00441338, 0.00441333, 0.00441321, 0.00441298, 0.00441276, 0.00441271", \
-            "0.00447293, 0.00447291, 0.00447284, 0.0044727, 0.00447247, 0.00447231" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.004541, 0.00454115, 0.00454239, 0.00454536, 0.00454766, 0.00454864", \
-            "0.0049748, 0.00497343, 0.00497169, 0.00497077, 0.00497139, 0.00497199", \
-            "0.00515565, 0.00515413, 0.00515063, 0.00514585, 0.00514278, 0.00514181", \
-            "0.00527646, 0.00527552, 0.00527275, 0.0052669, 0.00526033, 0.00525671", \
-            "0.0054908, 0.00549049, 0.00548916, 0.00548499, 0.00547736, 0.00547106", \
-            "0.00571817, 0.00574391, 0.00578189, 0.00582258, 0.00585828, 0.00587599" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.0528477, 0.0525054, 0.0523487, 0.0529997, 0.054103, 0.0547342", \
-            "0.0527604, 0.0524358, 0.0523152, 0.0529, 0.054026, 0.0546664", \
-            "0.0525133, 0.0521563, 0.0519813, 0.0526565, 0.0538338, 0.0545419", \
-            "0.0530334, 0.052584, 0.052583, 0.0524594, 0.0533032, 0.0539785", \
-            "0.0565603, 0.055818, 0.0549264, 0.0539182, 0.0538098, 0.0540878", \
-            "0.0642158, 0.0624657, 0.0599293, 0.057384, 0.0561142, 0.0556174" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118951, 0.0388685, 0.127007, 0.415008, 1.35608");
-          values ( \
-            "0.0575212, 0.0558579, 0.053869, 0.0539353, 0.0551423, 0.0558291", \
-            "0.0573823, 0.055725, 0.0537426, 0.0538367, 0.0550578, 0.0557767", \
-            "0.0572361, 0.0555649, 0.0535776, 0.0536284, 0.0549098, 0.0556891", \
-            "0.0574085, 0.0557188, 0.0536103, 0.0533772, 0.0547554, 0.0557752", \
-            "0.0610332, 0.0590272, 0.0562279, 0.0549681, 0.0554508, 0.0563147", \
-            "0.0696429, 0.0672136, 0.0624003, 0.0585254, 0.0576024, 0.058125" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00568769;
-      rise_capacitance : 0.00568769;
-      rise_capacitance_range (0.0043348, 0.00568769);
-      fall_capacitance : 0.00551256;
-      fall_capacitance_range (0.00391324, 0.00551256);
-    }
-  }
-  cell (BUFXL) {
-    area : 9.5238;
-    cell_footprint : "BUF";
-    cell_leakage_power : 0.178166;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.178166;
-      when : "(A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.178166;
-      when : "(!A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.178166;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.149764;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.0607125, 0.0740993, 0.101181, 0.157924, 0.277672, 0.529839", \
-            "0.0655178, 0.0789989, 0.106166, 0.162967, 0.282728, 0.534886", \
-            "0.0752146, 0.0888956, 0.116251, 0.173181, 0.292982, 0.545138", \
-            "0.0862696, 0.100433, 0.128285, 0.185457, 0.305335, 0.557488", \
-            "0.0947474, 0.109538, 0.137459, 0.194806, 0.315104, 0.567169", \
-            "0.0912557, 0.107514, 0.136677, 0.193974, 0.313756, 0.566274" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.0292671, 0.0476793, 0.087192, 0.171485, 0.349359, 0.723348", \
-            "0.029273, 0.0476657, 0.0871859, 0.171484, 0.349359, 0.723347", \
-            "0.0297781, 0.0479046, 0.0872637, 0.171491, 0.349359, 0.723347", \
-            "0.0316046, 0.0494076, 0.0881844, 0.171895, 0.349364, 0.723348", \
-            "0.0351752, 0.051851, 0.0894769, 0.172801, 0.350134, 0.723521", \
-            "0.042782, 0.0580518, 0.093125, 0.174029, 0.350762, 0.724534" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.0629579, 0.0743782, 0.0960566, 0.139746, 0.231028, 0.422997", \
-            "0.0691148, 0.0805933, 0.102351, 0.14608, 0.23739, 0.429371", \
-            "0.0839011, 0.0954678, 0.117325, 0.161132, 0.252494, 0.444485", \
-            "0.110881, 0.123072, 0.145642, 0.189778, 0.281209, 0.473318", \
-            "0.152231, 0.165769, 0.189465, 0.234465, 0.326475, 0.518659", \
-            "0.218542, 0.234817, 0.261032, 0.307225, 0.398955, 0.591778" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.0227229, 0.0351892, 0.0614132, 0.117914, 0.238976, 0.494499", \
-            "0.0227667, 0.0351418, 0.0614092, 0.117933, 0.238957, 0.494499", \
-            "0.0230578, 0.0353427, 0.0614862, 0.117914, 0.238961, 0.494583", \
-            "0.0254738, 0.0376131, 0.062925, 0.118415, 0.238957, 0.494605", \
-            "0.030587, 0.041914, 0.0662335, 0.120905, 0.240471, 0.494591", \
-            "0.03868, 0.0501889, 0.072801, 0.124314, 0.241977, 0.496384" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0479342, 0.0500669, 0.0547282, 0.0594163, 0.0637249, 0.0679356, 0.0726137, 0.0767138, 0.0787024, 0.0881741, 0.0919624, 0.096292, 0.101666, 0.104903, 0.109616, 0.115106, 0.118801, 0.123982, 0.13017, 0.138421, 0.154394, 0.173152");
-            values ( \
-              "0.0295239, 0.0935466, 0.12966, 0.148824, 0.155557, 0.156078, 0.149894, 0.138841, 0.130664, 0.0811121, 0.0653808, 0.0506162, 0.0366933, 0.0300726, 0.0223906, 0.015879, 0.0125629, 0.00903703, 0.00611538, 0.00360211, 0.00122238, 0.00033896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00765584");
-            index_3 ("0.0457491, 0.0537556, 0.0580922, 0.0609293, 0.0652386, 0.0715203, 0.0746121, 0.0780925, 0.0820671, 0.0856296, 0.0926865, 0.0984213, 0.108306, 0.112916, 0.122348, 0.132662, 0.139238, 0.146272, 0.152336, 0.160422, 0.167487, 0.17706, 0.189823, 0.214181, 0.242645");
-            values ( \
-              "0.01365, 0.150919, 0.179678, 0.191954, 0.20294, 0.207492, 0.206354, 0.203366, 0.19835, 0.192434, 0.176497, 0.157099, 0.118451, 0.102397, 0.0742388, 0.0510702, 0.0398031, 0.0302253, 0.0237602, 0.0171002, 0.0127899, 0.00860286, 0.00499476, 0.00161867, 0.000410902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0161008");
-            index_3 ("0.0450946, 0.0522378, 0.0572036, 0.060926, 0.0639176, 0.0699009, 0.075916, 0.083916, 0.0935963, 0.112121, 0.120274, 0.126594, 0.139234, 0.161173, 0.175292, 0.190109, 0.201121, 0.208709, 0.225856, 0.23366, 0.249268, 0.264096, 0.288192, 0.32032, 0.366769, 0.423467");
-            values ( \
-              "0.0119479, 0.150431, 0.197333, 0.219193, 0.230931, 0.244001, 0.248023, 0.246299, 0.238996, 0.219045, 0.207785, 0.197405, 0.171753, 0.122645, 0.0956178, 0.0717306, 0.0573689, 0.0488708, 0.0335358, 0.0281237, 0.0195876, 0.0138311, 0.00776177, 0.00343812, 0.000953986, 0.000179779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.033861");
-            index_3 ("0.0512405, 0.0750138, 0.0828756, 0.0972229, 0.120055, 0.145772, 0.169485, 0.195359, 0.222024, 0.276362, 0.291353, 0.320235, 0.342526, 0.357928, 0.389314, 0.403471, 0.431785, 0.454078, 0.478621, 0.511345, 0.576792, 0.65578, 0.734767");
-            values ( \
-              "0.24014, 0.272422, 0.276289, 0.272847, 0.26133, 0.246433, 0.231415, 0.211247, 0.183268, 0.120575, 0.105204, 0.0790033, 0.0624863, 0.0527603, 0.0368367, 0.0311795, 0.022163, 0.0168596, 0.0124497, 0.00824238, 0.00343277, 0.00113896, 0.000378755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.071212");
-            index_3 ("0.0513251, 0.0749495, 0.082607, 0.0898778, 0.110488, 0.211866, 0.286849, 0.321619, 0.3456, 0.39356, 0.504617, 0.584759, 0.650083, 0.695521, 0.725523, 0.77635, 0.834437, 0.881951, 0.954394, 1.03338, 1.11237, 1.18681");
-            values ( \
-              "0.258229, 0.286012, 0.291725, 0.293021, 0.289897, 0.261973, 0.238987, 0.226204, 0.215873, 0.191146, 0.126846, 0.0869602, 0.0617417, 0.0479479, 0.0403682, 0.0299914, 0.0210998, 0.0157864, 0.0100719, 0.00610061, 0.00366921, 0.00276792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.149764");
-            index_3 ("0.0566713, 0.0566913, 0.17542, 0.433457, 0.541407, 0.596719, 0.658246, 0.718121, 0.791252, 0.998157, 1.07622, 1.15521, 1.23448, 1.34108, 1.45792, 1.53363, 1.60965, 1.68864, 1.79581, 1.93403, 2.09201, 2.24998, 2.56594, 2.8029");
-            values ( \
-              "1e-22, 0.323986, 0.293452, 0.258156, 0.241545, 0.231644, 0.219128, 0.204614, 0.185015, 0.126528, 0.106324, 0.0882313, 0.0721714, 0.0544069, 0.0393398, 0.0318171, 0.0253962, 0.0202468, 0.014606, 0.00960566, 0.0059106, 0.00361575, 0.00132418, 0.000734515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0661572, 0.068826, 0.0720653, 0.0761341, 0.0781942, 0.0825098, 0.0867162, 0.0909916, 0.0954963, 0.0973719, 0.107482, 0.111369, 0.115811, 0.122138, 0.129241, 0.133927, 0.139283, 0.144579, 0.152847, 0.163871, 0.175052");
-            values ( \
-              "0.00527291, 0.0930187, 0.12002, 0.141673, 0.148482, 0.155715, 0.155864, 0.150684, 0.138831, 0.131171, 0.0788859, 0.0630623, 0.048441, 0.0330955, 0.021274, 0.0158415, 0.0112641, 0.00806166, 0.00477967, 0.00233482, 0.00128661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00765584");
-            index_3 ("0.0665668, 0.0698506, 0.0728559, 0.0752189, 0.0769765, 0.0804919, 0.0841132, 0.091276, 0.0939485, 0.0975341, 0.104278, 0.107624, 0.111194, 0.113565, 0.116726, 0.128259, 0.135081, 0.139458, 0.147539, 0.152264, 0.155471, 0.161884, 0.167056, 0.172943, 0.180793, 0.189159, 0.201907, 0.218904, 0.244925, 0.276436");
-            values ( \
-              "0.0450157, 0.123019, 0.153219, 0.169342, 0.180583, 0.19422, 0.203418, 0.207476, 0.206118, 0.202791, 0.193033, 0.186217, 0.177327, 0.170143, 0.159174, 0.114334, 0.0917452, 0.0789448, 0.0590547, 0.0496508, 0.0439892, 0.0343338, 0.0280278, 0.0221591, 0.0160803, 0.0114002, 0.0066957, 0.00319356, 0.000932384, 0.000195899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0161008");
-            index_3 ("0.0660143, 0.0713092, 0.0773805, 0.0797555, 0.0828656, 0.0890857, 0.0948448, 0.102882, 0.112519, 0.118754, 0.131043, 0.134852, 0.145516, 0.158138, 0.1801, 0.194186, 0.209012, 0.220071, 0.227695, 0.244781, 0.252553, 0.268097, 0.283013, 0.291087, 0.307236, 0.339532, 0.385553, 0.441781");
-            values ( \
-              "0.0653147, 0.153785, 0.206789, 0.219631, 0.231535, 0.244717, 0.248134, 0.246388, 0.239052, 0.232878, 0.219076, 0.214006, 0.19741, 0.171788, 0.12264, 0.0956651, 0.0717618, 0.0573378, 0.0488029, 0.0335334, 0.0281445, 0.0196301, 0.0138325, 0.0114269, 0.00773795, 0.00341252, 0.000959949, 0.000186043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.033861");
-            index_3 ("0.0655546, 0.074182, 0.0789632, 0.0883565, 0.0939394, 0.101727, 0.116139, 0.13897, 0.162929, 0.1884, 0.214275, 0.240936, 0.295276, 0.339147, 0.361447, 0.376856, 0.408231, 0.422382, 0.450684, 0.47299, 0.497546, 0.530287, 0.595769, 0.674757, 0.753745");
-            values ( \
-              "0.0877834, 0.194901, 0.231747, 0.265714, 0.272828, 0.276289, 0.272777, 0.261245, 0.247462, 0.23142, 0.211242, 0.183269, 0.120579, 0.0790084, 0.0624837, 0.0527509, 0.0368347, 0.0311826, 0.0221661, 0.0168616, 0.0124494, 0.00823831, 0.00343093, 0.00113675, 0.000379599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.071212");
-            index_3 ("0.0700699, 0.0852539, 0.0938942, 0.101529, 0.108802, 0.129353, 0.25612, 0.308101, 0.340549, 0.364533, 0.412502, 0.523555, 0.603723, 0.668985, 0.714381, 0.744441, 0.795365, 0.853564, 0.900901, 0.973087, 1.05207, 1.13106, 1.21242");
-            values ( \
-              "0.258129, 0.269235, 0.2865, 0.291717, 0.293215, 0.290032, 0.254643, 0.238171, 0.226209, 0.21587, 0.191145, 0.12684, 0.0869437, 0.0617492, 0.0479705, 0.0403693, 0.0299793, 0.0210723, 0.0157824, 0.010085, 0.00611206, 0.00367269, 0.0026876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.149764");
-            index_3 ("0.0750875, 0.0751075, 0.208147, 0.452492, 0.53148, 0.615754, 0.677281, 0.737156, 0.810287, 1.01719, 1.09526, 1.17424, 1.23534, 1.28988, 1.36011, 1.4391, 1.55266, 1.62868, 1.70767, 1.81485, 1.87408, 1.95307, 2.03206, 2.11105, 2.19003, 2.34801, 2.50599, 2.82194");
-            values ( \
-              "1e-22, 0.319525, 0.291721, 0.25805, 0.246214, 0.231752, 0.219022, 0.204721, 0.184907, 0.126422, 0.10643, 0.0881257, 0.0756391, 0.0656835, 0.0545108, 0.043779, 0.0317129, 0.0255001, 0.0201428, 0.0147085, 0.0122462, 0.00970803, 0.00757093, 0.00601299, 0.00465672, 0.00285193, 0.00173864, 0.000632693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.104144, 0.107415, 0.112558, 0.119369, 0.122785, 0.126056, 0.131459, 0.135832, 0.152408, 0.160136, 0.170561, 0.183358, 0.195082, 0.203577");
-            values ( \
-              "0.0118006, 0.0684201, 0.11134, 0.142395, 0.149903, 0.152397, 0.148638, 0.138679, 0.061301, 0.0387071, 0.0202993, 0.0090288, 0.00428792, 0.00269739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00765584");
-            index_3 ("0.104219, 0.109023, 0.112615, 0.115394, 0.119934, 0.123673, 0.126455, 0.130164, 0.131427, 0.133952, 0.1382, 0.144994, 0.148088, 0.151389, 0.15531, 0.171642, 0.177997, 0.18526, 0.192495, 0.196472, 0.204426, 0.207829, 0.217193, 0.226306, 0.235654, 0.242917, 0.257443, 0.283385, 0.314266");
-            values ( \
-              "0.0154434, 0.0994001, 0.134975, 0.155223, 0.181838, 0.195081, 0.200746, 0.204365, 0.204638, 0.204412, 0.201513, 0.192457, 0.186373, 0.17849, 0.166613, 0.105119, 0.0851289, 0.0658753, 0.0505911, 0.0435451, 0.0319445, 0.0279532, 0.0192014, 0.0131876, 0.00896954, 0.00662118, 0.00353971, 0.001045, 0.000223526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0161008");
-            index_3 ("0.104202, 0.11114, 0.115229, 0.119101, 0.123546, 0.127505, 0.133977, 0.135715, 0.139192, 0.146145, 0.154867, 0.169325, 0.178958, 0.186448, 0.200705, 0.221814, 0.23056, 0.24005, 0.252165, 0.261743, 0.274512, 0.283152, 0.290073, 0.299302, 0.316661, 0.324589, 0.336608, 0.352634, 0.384685, 0.431897, 0.489493");
-            values ( \
-              "0.0316134, 0.13564, 0.173367, 0.202383, 0.224611, 0.236404, 0.245616, 0.24629, 0.246769, 0.244186, 0.237439, 0.222205, 0.209535, 0.197398, 0.168189, 0.121218, 0.103997, 0.0872095, 0.0687405, 0.0564876, 0.0429677, 0.0355262, 0.0304317, 0.0246629, 0.0164134, 0.0136262, 0.0102467, 0.00695216, 0.00308369, 0.000830186, 0.00015147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.033861");
-            index_3 ("0.11004, 0.122134, 0.129224, 0.134937, 0.142611, 0.149945, 0.168349, 0.203125, 0.229474, 0.260683, 0.282023, 0.336356, 0.351357, 0.380235, 0.402502, 0.417886, 0.449304, 0.463477, 0.491823, 0.514084, 0.538597, 0.571282, 0.63665, 0.715638, 0.794626");
-            values ( \
-              "0.228989, 0.235371, 0.261159, 0.270809, 0.275151, 0.274859, 0.267366, 0.248035, 0.231428, 0.206143, 0.183249, 0.120577, 0.105183, 0.0790013, 0.0624994, 0.0527743, 0.0368325, 0.0311772, 0.0221458, 0.0168598, 0.0124552, 0.00824438, 0.00344266, 0.00113768, 0.000382888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.071212");
-            index_3 ("0.112556, 0.149875, 0.157076, 0.185455, 0.271974, 0.346947, 0.381719, 0.405698, 0.453656, 0.564715, 0.644852, 0.710187, 0.755634, 0.785626, 0.836434, 0.8945, 0.942048, 1.01454, 1.09353, 1.17252, 1.24648");
-            values ( \
-              "0.281563, 0.292558, 0.292496, 0.28613, 0.262043, 0.239057, 0.226199, 0.215878, 0.191142, 0.12685, 0.0869666, 0.0617433, 0.0479402, 0.0403709, 0.0299906, 0.0211078, 0.0157899, 0.0100721, 0.00609547, 0.00367131, 0.00277405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.149764");
-            index_3 ("0.118444, 0.118464, 0.238675, 0.391922, 0.572551, 0.656825, 0.718351, 0.778227, 0.851358, 1.01923, 1.13632, 1.21531, 1.29459, 1.40118, 1.48017, 1.59373, 1.66975, 1.8115, 1.99413, 2.15211, 2.31008, 2.62604, 2.863");
-            values ( \
-              "1e-22, 0.332153, 0.293037, 0.272442, 0.246122, 0.231661, 0.219112, 0.204631, 0.184997, 0.137084, 0.106346, 0.0882102, 0.0721965, 0.0544333, 0.0438562, 0.0317893, 0.0254242, 0.016711, 0.00963556, 0.00594084, 0.00364625, 0.00135517, 0.000703168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.182026, 0.188873, 0.191111, 0.192113, 0.194117, 0.196328, 0.197433, 0.198538, 0.199644, 0.200925, 0.201451, 0.202503, 0.202854, 0.204257, 0.20566, 0.206187, 0.207242, 0.209032, 0.210373, 0.211714, 0.212608, 0.213501, 0.214395, 0.215619, 0.216843, 0.218067, 0.22023, 0.222344, 0.226522, 0.227712, 0.229387, 0.230823, 0.232843, 0.235385, 0.238389, 0.240992, 0.241513, 0.243984, 0.245861, 0.246915, 0.249023, 0.24969, 0.250451, 0.252242, 0.253751, 0.255259, 0.256889, 0.259516, 0.260765, 0.26591");
-            values ( \
-              "0.0782201, 0.0825913, 0.095709, 0.101196, 0.111458, 0.120346, 0.124207, 0.127746, 0.130962, 0.134285, 0.135524, 0.137314, 0.13784, 0.13948, 0.140786, 0.141191, 0.14128, 0.141074, 0.140194, 0.138866, 0.137732, 0.136399, 0.134867, 0.132033, 0.128066, 0.123534, 0.113925, 0.104075, 0.083268, 0.0785787, 0.0713557, 0.0656385, 0.0586455, 0.0508494, 0.042629, 0.0362841, 0.0351322, 0.0309568, 0.027317, 0.025097, 0.0202759, 0.0199214, 0.0197835, 0.0176251, 0.0160206, 0.0145998, 0.0132767, 0.0114165, 0.0106465, 0.00776117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00765584");
-            index_3 ("0.175161, 0.180278, 0.198481, 0.205888, 0.209396, 0.212973, 0.217698, 0.22479, 0.228825, 0.240068, 0.252708, 0.259126, 0.273625, 0.288254, 0.301602, 0.310188, 0.324205, 0.342894, 0.347045");
-            values ( \
-              "0.0182094, 0.0357564, 0.162803, 0.186935, 0.192244, 0.194558, 0.194, 0.187333, 0.180486, 0.147806, 0.100591, 0.0816239, 0.0489581, 0.0278805, 0.0162526, 0.0114256, 0.00635902, 0.00279522, 0.00247893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0161008");
-            index_3 ("0.180254, 0.202129, 0.215098, 0.223733, 0.227288, 0.239668, 0.266742, 0.319046, 0.342795, 0.362742, 0.372728, 0.398476, 0.418167, 0.470544");
-            values ( \
-              "0.0608116, 0.20583, 0.236993, 0.238608, 0.238396, 0.229696, 0.196911, 0.0890064, 0.0552099, 0.035694, 0.0284573, 0.0154635, 0.00953603, 0.00319731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.033861");
-            index_3 ("0.180459, 0.197351, 0.202021, 0.209456, 0.214589, 0.22349, 0.230591, 0.237437, 0.248781, 0.250648, 0.260572, 0.26702, 0.275629, 0.28463, 0.302632, 0.323128, 0.337675, 0.36595, 0.389674, 0.409497, 0.423962, 0.442328, 0.465667, 0.483839, 0.508069, 0.529393, 0.538353, 0.556273, 0.589266, 0.604318, 0.626988, 0.657215, 0.71767, 0.796658, 0.875646");
-            values ( \
-              "0.0596995, 0.190553, 0.21948, 0.248883, 0.259757, 0.268308, 0.269401, 0.2682, 0.263957, 0.262074, 0.259108, 0.256555, 0.252296, 0.247307, 0.236362, 0.221952, 0.209552, 0.179524, 0.151342, 0.128742, 0.113287, 0.0952547, 0.0752549, 0.0620464, 0.0473761, 0.0370773, 0.0333897, 0.0269797, 0.0180148, 0.0149761, 0.0113083, 0.00771695, 0.00346771, 0.00114587, 0.00038583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.071212");
-            index_3 ("0.187488, 0.204182, 0.214545, 0.223304, 0.230446, 0.237181, 0.24885, 0.253728, 0.266025, 0.278272, 0.328789, 0.388617, 0.429827, 0.482717, 0.53666, 0.64646, 0.675652, 0.734034, 0.781496, 0.814435, 0.874093, 0.900801, 0.94129, 0.99916, 1.07373, 1.15272, 1.23171, 1.31069, 1.54766");
-            values ( \
-              "0.207326, 0.240243, 0.272938, 0.283738, 0.287328, 0.287722, 0.286884, 0.285389, 0.284719, 0.282372, 0.268679, 0.251319, 0.238149, 0.21755, 0.18971, 0.126163, 0.110718, 0.0831918, 0.0648794, 0.0541706, 0.0385505, 0.0329641, 0.0259132, 0.0181983, 0.0114802, 0.0069631, 0.00419128, 0.00252964, 0.000540867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.149764");
-            index_3 ("0.196202, 0.196222, 0.321978, 0.574072, 0.653059, 0.737334, 0.79886, 0.858736, 0.931866, 1.13877, 1.21683, 1.29582, 1.35692, 1.41145, 1.48169, 1.56068, 1.59853, 1.67424, 1.75026, 1.82925, 1.93643, 2.07465, 2.23262, 2.3906, 2.62756, 2.94351");
-            values ( \
-              "1e-22, 0.317911, 0.292726, 0.258092, 0.246172, 0.23171, 0.219063, 0.20468, 0.184948, 0.126461, 0.106392, 0.0881642, 0.0756757, 0.0657193, 0.0544752, 0.0438146, 0.0394086, 0.0317483, 0.025465, 0.020178, 0.0146741, 0.00967372, 0.00597867, 0.00368374, 0.00177295, 0.000666893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.320279, 0.329771, 0.333421, 0.338541, 0.353177, 0.359187, 0.362158, 0.364718, 0.369955, 0.37519, 0.380416, 0.386972, 0.390315, 0.39382, 0.398478, 0.406069, 0.410181, 0.41365, 0.417813, 0.423745, 0.427746, 0.435336, 0.445456, 0.44951");
-            values ( \
-              "0.0041639, 0.0168071, 0.0255103, 0.0419427, 0.0952021, 0.11296, 0.119341, 0.123364, 0.127083, 0.123651, 0.108899, 0.0794663, 0.066751, 0.0551839, 0.0424002, 0.0272109, 0.0212469, 0.0172112, 0.0133001, 0.00922714, 0.00720448, 0.00452606, 0.00243525, 0.00204724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00765584");
-            index_3 ("0.320314, 0.331971, 0.335564, 0.361044, 0.369424, 0.377119, 0.384732, 0.392338, 0.396711, 0.410727, 0.422892, 0.434564, 0.448306, 0.457111, 0.466522, 0.485237, 0.501719, 0.503028");
-            values ( \
-              "0.0053957, 0.02724, 0.039274, 0.153932, 0.175398, 0.18237, 0.180133, 0.16895, 0.157361, 0.1077, 0.0723872, 0.0475408, 0.0280624, 0.0197801, 0.0134695, 0.00624042, 0.00306444, 0.00299067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0161008");
-            index_3 ("0.319289, 0.337063, 0.344298, 0.356586, 0.365254, 0.37411, 0.384497, 0.386953, 0.391865, 0.39962, 0.412331, 0.418672, 0.429593, 0.465129, 0.474081, 0.486016, 0.495883, 0.506406, 0.520437, 0.533247, 0.550372, 0.563625, 0.579686, 0.596615, 0.630471, 0.633979");
-            values ( \
-              "0.00375327, 0.050189, 0.0865837, 0.156847, 0.195845, 0.219368, 0.229994, 0.230404, 0.22995, 0.226261, 0.215282, 0.207629, 0.190632, 0.11529, 0.0983884, 0.0785364, 0.0646042, 0.0519735, 0.0383563, 0.0288571, 0.0194958, 0.0140862, 0.00982486, 0.00655498, 0.00276765, 0.0026183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.033861");
-            index_3 ("0.337016, 0.35136, 0.358829, 0.36672, 0.373032, 0.384532, 0.39682, 0.405727, 0.417602, 0.434396, 0.464079, 0.481643, 0.497672, 0.526026, 0.557956, 0.601029, 0.625422, 0.645531, 0.661001, 0.690288, 0.703064, 0.723394, 0.752332, 0.789958, 0.840125, 0.919113, 0.998101");
-            values ( \
-              "0.119562, 0.138306, 0.183139, 0.220696, 0.24038, 0.259869, 0.264673, 0.263347, 0.259017, 0.250864, 0.233759, 0.221782, 0.208529, 0.179012, 0.141316, 0.0967209, 0.0756675, 0.0611035, 0.0515228, 0.0368349, 0.031688, 0.0248624, 0.0174276, 0.0109213, 0.00571804, 0.00189638, 0.000641537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.071212");
-            index_3 ("0.337132, 0.355206, 0.364747, 0.373166, 0.385034, 0.39671, 0.401885, 0.42076, 0.464687, 0.575489, 0.616976, 0.646661, 0.704404, 0.792399, 0.85853, 0.905469, 0.941851, 0.990361, 1.03346, 1.08778, 1.15389, 1.22939, 1.28984, 1.36882, 1.44781, 1.50417");
-            values ( \
-              "0.117348, 0.166433, 0.221793, 0.25236, 0.276878, 0.284506, 0.285414, 0.28425, 0.273694, 0.242887, 0.228476, 0.215883, 0.185642, 0.134283, 0.0996026, 0.0787255, 0.0649639, 0.049667, 0.0388124, 0.0281909, 0.0188377, 0.011845, 0.00810652, 0.0048921, 0.00294774, 0.00239787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.149764");
-            index_3 ("0.349721, 0.397768, 0.410613, 0.424498, 0.443216, 0.581749, 0.624146, 0.813721, 0.897978, 0.959505, 1.01938, 1.29942, 1.37748, 1.45647, 1.5721, 1.64234, 1.75918, 1.83489, 1.91091, 1.9899, 2.09707, 2.23529, 2.39327, 2.55124, 2.78821, 3.10416");
-            values ( \
-              "0.268208, 0.294521, 0.297563, 0.297485, 0.295759, 0.279317, 0.273629, 0.246169, 0.23171, 0.219063, 0.20468, 0.126462, 0.106391, 0.0881649, 0.06572, 0.0544746, 0.039408, 0.0317489, 0.0254644, 0.0201785, 0.0146737, 0.00967336, 0.00597834, 0.00368344, 0.00177321, 0.000667102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.634901, 0.647169, 0.651275, 0.656285, 0.669944, 0.685468, 0.692687, 0.699217, 0.705465, 0.71171, 0.723831, 0.729525, 0.733354, 0.738824, 0.74325, 0.747054, 0.751471, 0.757824, 0.765146, 0.770883, 0.782356, 0.797378");
-            values ( \
-              "0.00783191, 0.0135421, 0.0190478, 0.0271954, 0.0550719, 0.085068, 0.0967138, 0.103979, 0.105936, 0.0976242, 0.0572674, 0.0428379, 0.0349439, 0.0257744, 0.0199916, 0.0160351, 0.0123613, 0.00848047, 0.00554306, 0.00397663, 0.00204913, 0.000957608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00765584");
-            index_3 ("0.639759, 0.652135, 0.654775, 0.662345, 0.687243, 0.697799, 0.701995, 0.706933, 0.71555, 0.724163, 0.732783, 0.744162, 0.747677, 0.752363, 0.760862, 0.765026, 0.771638, 0.779342, 0.786271, 0.795509, 0.801802, 0.808902, 0.818369, 0.837303, 0.850479");
-            values ( \
-              "0.0235019, 0.0264194, 0.0315618, 0.0491902, 0.117527, 0.143142, 0.150838, 0.157675, 0.162482, 0.157495, 0.138425, 0.101733, 0.0915003, 0.078741, 0.0588807, 0.050847, 0.0398899, 0.02976, 0.0227514, 0.0157165, 0.0122382, 0.00920361, 0.00623502, 0.00280926, 0.00182878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0161008");
-            index_3 ("0.639954, 0.657527, 0.703096, 0.715594, 0.721641, 0.73116, 0.739352, 0.744777, 0.755627, 0.766282, 0.792399, 0.813679, 0.835048, 0.847439, 0.863971, 0.890675, 0.924705, 0.954825, 0.957498");
-            values ( \
-              "0.0229283, 0.0430742, 0.186957, 0.209174, 0.21387, 0.215559, 0.212654, 0.208875, 0.197793, 0.180625, 0.125207, 0.0861292, 0.0563982, 0.0433783, 0.0301776, 0.0163228, 0.00728751, 0.00343576, 0.00329266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.033861");
-            index_3 ("0.639921, 0.665919, 0.696467, 0.711347, 0.726888, 0.732956, 0.73989, 0.75178, 0.776268, 0.802017, 0.828163, 0.854132, 0.909031, 0.951567, 0.977833, 0.994656, 1.02259, 1.0553, 1.08431, 1.13618, 1.18104, 1.21596, 1.23382");
-            values ( \
-              "0.0222883, 0.0715193, 0.186833, 0.229472, 0.250712, 0.253552, 0.254832, 0.253542, 0.243891, 0.229213, 0.209787, 0.183303, 0.120689, 0.0804056, 0.0610455, 0.0507392, 0.0368735, 0.0250317, 0.0175403, 0.00923606, 0.00517077, 0.003317, 0.00292259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.071212");
-            index_3 ("0.639825, 0.677609, 0.70078, 0.712713, 0.72689, 0.743065, 0.758364, 0.7731, 0.793835, 0.820171, 0.869485, 0.921731, 0.963447, 0.978321, 1.00767, 1.13637, 1.18521, 1.20052, 1.24519, 1.27845, 1.31165, 1.35522, 1.3673, 1.39145, 1.43284, 1.49141, 1.51714, 1.55171, 1.5978, 1.67679, 1.75578, 1.83476, 1.99274");
-            values ( \
-              "0.017998, 0.117751, 0.212704, 0.247201, 0.269546, 0.278983, 0.279814, 0.277931, 0.273423, 0.266863, 0.253315, 0.237208, 0.2218, 0.21523, 0.200882, 0.127416, 0.102071, 0.0948137, 0.0757795, 0.0635737, 0.0529473, 0.0413244, 0.0385363, 0.0334699, 0.0261588, 0.0183088, 0.0156435, 0.0126448, 0.00948521, 0.00573658, 0.00345444, 0.00207825, 0.000749155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.149764");
-            index_3 ("0.67026, 0.705107, 0.716823, 0.72793, 0.743668, 0.759115, 0.774299, 0.795131, 0.867392, 0.964454, 1.0664, 1.17459, 1.26365, 1.34703, 1.63206, 1.71102, 1.79001, 1.90282, 1.97352, 2.05251, 2.09135, 2.16903, 2.24436, 2.32335, 2.42872, 2.56573, 2.7237, 2.88168, 3.11864, 3.43459");
-            values ( \
-              "0.202553, 0.232078, 0.262685, 0.280117, 0.29102, 0.294462, 0.294212, 0.292908, 0.284322, 0.271627, 0.257545, 0.241082, 0.225087, 0.205814, 0.126348, 0.106054, 0.0878786, 0.0659764, 0.0546217, 0.0439402, 0.0394084, 0.0315704, 0.0253681, 0.0201039, 0.0146959, 0.00972356, 0.00600922, 0.00370217, 0.00178382, 0.000671682" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.0026393, 0.00264096, 0.00264269, 0.00264395, 0.00264471, 0.00264511", \
-            "0.00327466, 0.00327498, 0.00327584, 0.00327693, 0.00327765, 0.00327808", \
-            "0.00370002, 0.00370022, 0.00370055, 0.0037011, 0.00370163, 0.00370202", \
-            "0.00402405, 0.00402429, 0.00402474, 0.00402523, 0.0040241, 0.00402461", \
-            "0.00427776, 0.00427771, 0.00427781, 0.00427812, 0.00427853, 0.00427892", \
-            "0.00452709, 0.00452776, 0.00452729, 0.00452702, 0.00452709, 0.0045274" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.00390674, 0.00390835, 0.00391091, 0.00391342, 0.00391517, 0.00391618", \
-            "0.00430021, 0.0043003, 0.00430204, 0.00430406, 0.00430543, 0.00430632", \
-            "0.0046655, 0.00466181, 0.0046591, 0.00465778, 0.00465742, 0.00465748", \
-            "0.00539285, 0.00542885, 0.00545189, 0.00546236, 0.0054707, 0.00547554", \
-            "0.00511508, 0.00512046, 0.00520736, 0.00528945, 0.00533622, 0.00536061", \
-            "0.00474611, 0.00474394, 0.00474572, 0.00476877, 0.0048645, 0.00493266" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0480845, 0.063187, 0.0671876, 0.0709137, 0.074494, 0.0776426, 0.0817284, 0.0846997, 0.094184, 0.0974058, 0.100963, 0.105748, 0.11179, 0.115953");
-            values ( \
-              "-0.0175987, -0.156126, -0.171368, -0.180569, -0.185025, -0.184338, -0.171209, -0.150624, -0.0610905, -0.0416349, -0.0267098, -0.0143689, -0.00695496, -0.00395982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00765584");
-            index_3 ("0.0493467, 0.0581644, 0.0617021, 0.0670385, 0.0719823, 0.0747705, 0.0804043, 0.0859153, 0.0914707, 0.0972904, 0.103231, 0.11496, 0.122282, 0.128344, 0.13792, 0.149263, 0.151229");
-            values ( \
-              "-0.00367275, -0.152693, -0.187563, -0.214985, -0.233212, -0.240541, -0.249087, -0.251238, -0.245111, -0.226577, -0.187647, -0.0942032, -0.0561032, -0.0356162, -0.0169135, -0.00678485, -0.00609933" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0161008");
-            index_3 ("0.0501546, 0.0570495, 0.0617237, 0.0652893, 0.0679798, 0.0733607, 0.0786492, 0.0884618, 0.0980083, 0.107535, 0.114234, 0.122406, 0.127867, 0.138543, 0.14897, 0.160144, 0.167417, 0.173635, 0.184812, 0.19336, 0.20226, 0.209079, 0.222717, 0.242421");
-            values ( \
-              "-0.00565746, -0.162608, -0.21079, -0.235289, -0.251237, -0.27342, -0.288445, -0.302033, -0.304611, -0.300444, -0.293231, -0.2789, -0.264469, -0.216594, -0.15896, -0.107669, -0.0818639, -0.0641413, -0.0407525, -0.0284764, -0.0195574, -0.0145972, -0.00799507, -0.00356234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.033861");
-            index_3 ("0.0508137, 0.0601129, 0.0677189, 0.0736621, 0.078156, 0.0857894, 0.09369, 0.102971, 0.114744, 0.128594, 0.141153, 0.151284, 0.169876, 0.178512, 0.187725, 0.197241, 0.20993, 0.241694, 0.253939, 0.260798, 0.274517, 0.282188, 0.295198, 0.310066, 0.322408, 0.334899, 0.351554, 0.384863, 0.417277");
-            values ( \
-              "-0.0541925, -0.208253, -0.268739, -0.29659, -0.311675, -0.327094, -0.336171, -0.3401, -0.339701, -0.336522, -0.331059, -0.324962, -0.311375, -0.301923, -0.289336, -0.271119, -0.236901, -0.144124, -0.11544, -0.101437, -0.0775719, -0.0665267, -0.0510077, -0.0373387, -0.0287219, -0.0219855, -0.0152992, -0.00716675, -0.00385958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.071212");
-            index_3 ("0.058569, 0.0783918, 0.0863755, 0.0944624, 0.102319, 0.112793, 0.126276, 0.145508, 0.204468, 0.242664, 0.280517, 0.297789, 0.316211, 0.337288, 0.36539, 0.407539, 0.436823, 0.452258, 0.48151, 0.506571, 0.527301, 0.551669, 0.586106, 0.62194, 0.649392, 0.704295, 0.767138, 0.892823");
-            values ( \
-              "-0.32123, -0.32555, -0.342997, -0.353766, -0.358665, -0.360869, -0.361666, -0.359206, -0.346917, -0.336038, -0.321576, -0.31246, -0.299835, -0.279078, -0.239474, -0.174856, -0.13607, -0.118403, -0.0899727, -0.0704361, -0.0572931, -0.044712, -0.0312368, -0.0214641, -0.0160337, -0.00878724, -0.00436394, -0.00106745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.149764");
-            index_3 ("0.0517555, 0.0634746, 0.0747158, 0.0886353, 0.0979781, 0.107956, 0.134298, 0.178047, 0.261127, 0.318418, 0.419652, 0.464471, 0.515299, 0.578141, 0.601336, 0.64578, 0.783976, 0.853379, 0.937178, 0.993624, 1.06477, 1.16558, 1.19939, 1.30732, 1.43301, 1.55869, 1.81006");
-            values ( \
-              "-0.102398, -0.253244, -0.320051, -0.35553, -0.365027, -0.37092, -0.373681, -0.370675, -0.362836, -0.356781, -0.343954, -0.336754, -0.325863, -0.308516, -0.29845, -0.274524, -0.171577, -0.128117, -0.0875938, -0.0670045, -0.0473164, -0.0284613, -0.0239567, -0.0136647, -0.00699296, -0.00350223, -0.000745126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0695548, 0.0775267, 0.0807097, 0.083188, 0.0872119, 0.0909373, 0.0945307, 0.0980887, 0.101645, 0.102589, 0.105953, 0.109251, 0.113484, 0.117419, 0.121426, 0.125205, 0.127416, 0.13184, 0.139648, 0.148863, 0.160518");
-            values ( \
-              "-0.00524328, -0.122043, -0.142522, -0.154803, -0.171069, -0.180251, -0.185131, -0.183524, -0.17164, -0.166799, -0.13911, -0.104318, -0.0667108, -0.0419397, -0.0255096, -0.0157338, -0.0118359, -0.00662477, -0.00228182, -0.000708696, -0.000199488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00765584");
-            index_3 ("0.0696525, 0.0783013, 0.0817873, 0.0889918, 0.0935905, 0.0976175, 0.100558, 0.106074, 0.111631, 0.114282, 0.118305, 0.123449, 0.135288, 0.140185, 0.146715, 0.151333, 0.157004, 0.160845, 0.168528, 0.175253");
-            values ( \
-              "-0.00715619, -0.152202, -0.186599, -0.222593, -0.237301, -0.245499, -0.248798, -0.25121, -0.245002, -0.238116, -0.22255, -0.186756, -0.0928209, -0.0658809, -0.0406741, -0.0285091, -0.018342, -0.0135484, -0.00725387, -0.00472459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0161008");
-            index_3 ("0.0693748, 0.0801733, 0.0855552, 0.0882156, 0.0935363, 0.0988793, 0.106373, 0.10869, 0.113324, 0.118235, 0.127761, 0.134485, 0.142632, 0.148093, 0.158769, 0.169196, 0.18037, 0.187643, 0.193862, 0.205038, 0.213587, 0.222487, 0.229306, 0.242944, 0.267786, 0.297331");
-            values ( \
-              "-0.00998907, -0.191675, -0.235828, -0.251343, -0.27346, -0.288431, -0.299952, -0.301975, -0.304239, -0.304537, -0.300543, -0.293206, -0.278906, -0.264467, -0.216598, -0.158956, -0.107671, -0.0818661, -0.0641387, -0.0407544, -0.0284738, -0.0195551, -0.0145987, -0.00799279, -0.00240677, -0.000528393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.033861");
-            index_3 ("0.0691998, 0.0804299, 0.0879949, 0.0923998, 0.0984346, 0.10611, 0.114319, 0.117047, 0.122502, 0.133414, 0.144543, 0.153069, 0.171213, 0.176217, 0.190708, 0.209014, 0.21556, 0.224278, 0.254231, 0.274448, 0.288604, 0.301066, 0.3114, 0.323297, 0.340132, 0.357548, 0.370874, 0.397526, 0.446659, 0.504721");
-            values ( \
-              "-0.0076681, -0.20955, -0.268924, -0.290797, -0.31174, -0.327494, -0.336329, -0.337741, -0.339812, -0.340089, -0.337709, -0.334452, -0.32558, -0.322182, -0.310466, -0.287668, -0.275428, -0.254146, -0.164755, -0.114937, -0.0876969, -0.0684431, -0.0554805, -0.0433319, -0.0303049, -0.0208841, -0.0156368, -0.00862055, -0.00258629, -0.000573366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.071212");
-            index_3 ("0.0753783, 0.085761, 0.0910071, 0.102258, 0.10949, 0.117308, 0.128319, 0.140178, 0.152924, 0.18875, 0.226503, 0.262883, 0.304243, 0.318329, 0.33711, 0.356176, 0.381597, 0.412875, 0.447597, 0.464874, 0.484793, 0.51135, 0.533172, 0.558768, 0.592896, 0.613597, 0.663086, 0.719645, 0.782488, 0.908174");
-            values ( \
-              "-0.231353, -0.262745, -0.297047, -0.334712, -0.347925, -0.355348, -0.360586, -0.361512, -0.36089, -0.354754, -0.3462, -0.336058, -0.319843, -0.312276, -0.299292, -0.280648, -0.245673, -0.196875, -0.147764, -0.126814, -0.105603, -0.0819061, -0.0660969, -0.0511138, -0.0359225, -0.0289562, -0.0171745, -0.00924036, -0.00458827, -0.0011214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.149764");
-            index_3 ("0.0810259, 0.118391, 0.128584, 0.140678, 0.154715, 0.173631, 0.301529, 0.377227, 0.455011, 0.535718, 0.598561, 0.621755, 0.6662, 0.804404, 0.901724, 0.957574, 1.00218, 1.08521, 1.14805, 1.19727, 1.26488, 1.32773, 1.39057, 1.51625, 1.58209");
-            values ( \
-              "-0.356159, -0.36562, -0.370506, -0.373122, -0.373186, -0.372467, -0.360541, -0.352057, -0.341415, -0.326292, -0.308098, -0.298856, -0.274135, -0.171902, -0.113468, -0.0879185, -0.0711666, -0.0476134, -0.0347468, -0.0271279, -0.0192378, -0.0139528, -0.0100479, -0.00521703, -0.00389768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.11463, 0.118016, 0.119712, 0.122634, 0.126128, 0.132773, 0.136564, 0.140202, 0.143792, 0.148266, 0.151308, 0.159991, 0.164651, 0.169236, 0.173535, 0.176992, 0.182287");
-            values ( \
-              "-0.0145245, -0.0629829, -0.0754595, -0.111196, -0.13602, -0.167395, -0.177732, -0.18322, -0.18229, -0.166339, -0.142565, -0.0611385, -0.0350156, -0.0196094, -0.0113213, -0.00723617, -0.00388958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00765584");
-            index_3 ("0.11463, 0.120025, 0.12612, 0.127686, 0.130817, 0.134552, 0.140583, 0.146257, 0.151799, 0.157371, 0.163204, 0.166202, 0.180655, 0.185742, 0.192843, 0.200908, 0.205477, 0.21157, 0.220021");
-            values ( \
-              "-0.000184455, -0.102095, -0.169394, -0.180178, -0.200666, -0.218423, -0.238393, -0.24761, -0.250354, -0.244475, -0.22627, -0.209787, -0.0953688, -0.066893, -0.0395846, -0.0212024, -0.0148202, -0.00909226, -0.00499865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0161008");
-            index_3 ("0.11967, 0.125854, 0.127452, 0.133858, 0.13949, 0.144632, 0.152049, 0.159316, 0.164048, 0.173588, 0.18016, 0.188459, 0.193922, 0.2046, 0.215026, 0.226201, 0.239692, 0.250868, 0.259416, 0.268316, 0.275134, 0.288771, 0.305271");
-            values ( \
-              "-0.182854, -0.18566, -0.20314, -0.247049, -0.271864, -0.286582, -0.29898, -0.303793, -0.304067, -0.300408, -0.293299, -0.278852, -0.264427, -0.216586, -0.158955, -0.107672, -0.0641375, -0.0407579, -0.0284737, -0.0195552, -0.014602, -0.00799258, -0.00428394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.033861");
-            index_3 ("0.119484, 0.133884, 0.144398, 0.151826, 0.159813, 0.169581, 0.181039, 0.199088, 0.217585, 0.236752, 0.254802, 0.2704, 0.320444, 0.347061, 0.369309, 0.40354, 0.442272");
-            values ( \
-              "-0.166166, -0.26527, -0.309978, -0.326307, -0.335277, -0.339607, -0.339941, -0.334673, -0.325305, -0.310537, -0.288076, -0.253795, -0.114954, -0.0684514, -0.0433258, -0.020888, -0.00899957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.071212");
-            index_3 ("0.123027, 0.136891, 0.144533, 0.151251, 0.159701, 0.170351, 0.181244, 0.198872, 0.234706, 0.270687, 0.308842, 0.350192, 0.364235, 0.382959, 0.402348, 0.428199, 0.492715, 0.517862, 0.531986, 0.560235, 0.575532, 0.601498, 0.623875, 0.667688, 0.692343, 0.741652, 0.804495, 0.85341");
-            values ( \
-              "-0.289321, -0.294118, -0.324186, -0.340234, -0.352231, -0.358927, -0.361335, -0.360811, -0.354574, -0.346714, -0.336051, -0.319846, -0.312305, -0.29938, -0.280398, -0.244682, -0.148844, -0.118977, -0.104386, -0.0795879, -0.0684794, -0.0528088, -0.0420114, -0.0266062, -0.0205153, -0.0120214, -0.00598525, -0.00363154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.149764");
-            index_3 ("0.117132, 0.128144, 0.144318, 0.151463, 0.163965, 0.170907, 0.182185, 0.200305, 0.216449, 0.273041, 0.347007, 0.440736, 0.500581, 0.561313, 0.581314, 0.621317, 0.667251, 0.730094, 0.826477, 0.88932, 0.949734, 0.990498, 1.04555, 1.0933, 1.14271, 1.20556, 1.26008, 1.29286, 1.3557, 1.41855, 1.54423, 1.60708, 1.66992, 1.85845");
-            values ( \
-              "-0.0950453, -0.239269, -0.33122, -0.349242, -0.365104, -0.36905, -0.372425, -0.373361, -0.372554, -0.367763, -0.360511, -0.349789, -0.3414, -0.330499, -0.326256, -0.315659, -0.298845, -0.261637, -0.188624, -0.14609, -0.112282, -0.0933319, -0.0719525, -0.0571797, -0.0449299, -0.0327265, -0.0249476, -0.0210386, -0.0153122, -0.0109869, -0.00569349, -0.00418112, -0.00293043, -0.00115651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.204194, 0.208112, 0.209872, 0.2186, 0.226953, 0.231257, 0.235277, 0.239188, 0.243093, 0.24536, 0.256255, 0.259751, 0.263267, 0.269743, 0.277704, 0.279562");
-            values ( \
-              "-0.0228341, -0.0441324, -0.0494591, -0.107058, -0.14577, -0.158787, -0.166921, -0.168814, -0.160729, -0.149738, -0.0595515, -0.0399431, -0.0262225, -0.0117723, -0.0043359, -0.00371852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00765584");
-            index_3 ("0.203385, 0.218505, 0.224873, 0.235443, 0.24159, 0.247509, 0.253363, 0.255707, 0.259437, 0.265106, 0.273735, 0.279371, 0.285216, 0.29141, 0.297652, 0.308625, 0.32132, 0.336244");
-            values ( \
-              "-0.0117635, -0.132112, -0.173239, -0.217247, -0.230315, -0.236434, -0.233198, -0.22981, -0.219382, -0.187622, -0.118075, -0.0816642, -0.0538836, -0.0339478, -0.0209723, -0.00874607, -0.00293518, -0.000782598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0161008");
-            index_3 ("0.203706, 0.220368, 0.227933, 0.233248, 0.239839, 0.248889, 0.260288, 0.265216, 0.270082, 0.280033, 0.284961, 0.290528, 0.301246, 0.311656, 0.322852, 0.336346, 0.347517, 0.356067, 0.364971, 0.371794, 0.385439, 0.403081");
-            values ( \
-              "-0.00785686, -0.164722, -0.216979, -0.242977, -0.265976, -0.284415, -0.295884, -0.296232, -0.296224, -0.286521, -0.277701, -0.26361, -0.216232, -0.158947, -0.107613, -0.0641311, -0.0407498, -0.0284841, -0.0195615, -0.0145882, -0.00799656, -0.00402272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.033861");
-            index_3 ("0.203446, 0.223353, 0.227964, 0.233635, 0.239603, 0.248903, 0.259282, 0.266052, 0.274467, 0.277594, 0.283849, 0.295741, 0.31862, 0.333412, 0.352799, 0.360288, 0.368145, 0.396897, 0.417181, 0.431406, 0.443804, 0.454074, 0.465985, 0.482832, 0.50029, 0.513653, 0.540379, 0.589439, 0.647439");
-            values ( \
-              "-0.00782731, -0.198083, -0.232295, -0.263355, -0.287445, -0.311166, -0.326889, -0.333073, -0.336496, -0.336837, -0.336615, -0.333755, -0.322262, -0.31043, -0.285859, -0.271063, -0.250915, -0.164871, -0.114906, -0.0875543, -0.0684106, -0.0555266, -0.0433598, -0.0303128, -0.020871, -0.0156167, -0.00859208, -0.00258518, -0.000572826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.071212");
-            index_3 ("0.203108, 0.225477, 0.230904, 0.239941, 0.247855, 0.255767, 0.265236, 0.273915, 0.284958, 0.295681, 0.31227, 0.331546, 0.368125, 0.405684, 0.447105, 0.461593, 0.480912, 0.496968, 0.513852, 0.573938, 0.615051, 0.643888, 0.669346, 0.690495, 0.714765, 0.749127, 0.784679, 0.811882, 0.86629, 0.929133, 1.05482");
-            values ( \
-              "-0.00756322, -0.221782, -0.258568, -0.300507, -0.323529, -0.338162, -0.350795, -0.356717, -0.359676, -0.359869, -0.358086, -0.354601, -0.346455, -0.33604, -0.319808, -0.311996, -0.29847, -0.282934, -0.261296, -0.169885, -0.118536, -0.0904384, -0.0705394, -0.0571366, -0.0446264, -0.0312076, -0.0215076, -0.0161054, -0.00888159, -0.00440759, -0.00107642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.149764");
-            index_3 ("0.220883, 0.252483, 0.268871, 0.276916, 0.28735, 0.297502, 0.336175, 0.389641, 0.502075, 0.556, 0.597815, 0.660658, 0.714354, 0.764494, 0.827337, 0.923753, 0.986595, 1.04696, 1.08769, 1.14279, 1.19058, 1.23999, 1.30283, 1.35732, 1.45293, 1.51577, 1.57862, 1.7043, 1.95567");
-            values ( \
-              "-0.331941, -0.339391, -0.363404, -0.368408, -0.371462, -0.372445, -0.370725, -0.365877, -0.354096, -0.347364, -0.341339, -0.330129, -0.316969, -0.298785, -0.261713, -0.188542, -0.146143, -0.11236, -0.0932909, -0.0720236, -0.0572377, -0.0448598, -0.0327894, -0.0248828, -0.015249, -0.0110537, -0.00794346, -0.00411645, -0.00109119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.383407, 0.390808, 0.394178, 0.396777, 0.406192, 0.417174, 0.422584, 0.427459, 0.432032, 0.436555, 0.441071, 0.451204, 0.45588, 0.461352, 0.465058, 0.47, 0.471875");
-            values ( \
-              "-0.0142944, -0.0262285, -0.0346016, -0.0425278, -0.078983, -0.113741, -0.12878, -0.140082, -0.146357, -0.143858, -0.124741, -0.0527989, -0.0313949, -0.0164141, -0.0105138, -0.00578147, -0.00494468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00765584");
-            index_3 ("0.38438, 0.394242, 0.398775, 0.413719, 0.427363, 0.434468, 0.441045, 0.44743, 0.453806, 0.457222, 0.473819, 0.480441, 0.488311, 0.495374, 0.506555");
-            values ( \
-              "-0.0203074, -0.0462897, -0.062389, -0.131337, -0.184133, -0.20359, -0.214986, -0.21752, -0.207605, -0.194835, -0.0836788, -0.0530733, -0.0298443, -0.0175033, -0.00821608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0161008");
-            index_3 ("0.386389, 0.398664, 0.417997, 0.432183, 0.442459, 0.446492, 0.454465, 0.462216, 0.464817, 0.469948, 0.475158, 0.486892, 0.492175, 0.508314, 0.521215, 0.533048, 0.544675, 0.550407, 0.559448, 0.57515, 0.587058");
-            values ( \
-              "-0.0294612, -0.0720452, -0.17211, -0.233673, -0.261783, -0.268802, -0.278216, -0.281985, -0.282114, -0.280595, -0.276316, -0.254534, -0.235504, -0.152747, -0.0974907, -0.0618809, -0.0387385, -0.0305615, -0.0208295, -0.0108037, -0.00658695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.033861");
-            index_3 ("0.381386, 0.440827, 0.452822, 0.463111, 0.472003, 0.487334, 0.497538, 0.509607, 0.528555, 0.536485, 0.542896, 0.549774, 0.563033, 0.593114, 0.609736, 0.616444, 0.62986, 0.642918, 0.659365, 0.677816, 0.684189, 0.69864, 0.715157, 0.748189, 0.798971, 0.860575");
-            values ( \
-              "-0.00669764, -0.284092, -0.308514, -0.319742, -0.324685, -0.326415, -0.324229, -0.319445, -0.306777, -0.298971, -0.291291, -0.280932, -0.25235, -0.164432, -0.122658, -0.108277, -0.0835386, -0.0643518, -0.0458843, -0.0310082, -0.0270697, -0.0198606, -0.0138464, -0.00652806, -0.00184999, -0.000361694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.071212");
-            index_3 ("0.38149, 0.44225, 0.453084, 0.466252, 0.478877, 0.490478, 0.508094, 0.572544, 0.601675, 0.640685, 0.659818, 0.682229, 0.724677, 0.806654, 0.840799, 0.865857, 0.910948, 0.945386, 0.981227, 1.00868, 1.0636, 1.09771");
-            values ( \
-              "-0.00804909, -0.302147, -0.325726, -0.341889, -0.349192, -0.351804, -0.351983, -0.342849, -0.33588, -0.320933, -0.310656, -0.293812, -0.239582, -0.123742, -0.0900007, -0.0704028, -0.0447411, -0.0312112, -0.021445, -0.0160502, -0.00876687, -0.00638611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.149764");
-            index_3 ("0.405171, 0.427103, 0.44688, 0.45409, 0.467433, 0.480343, 0.492145, 0.509801, 0.549837, 0.576071, 0.610784, 0.715811, 0.778653, 0.823473, 0.874301, 0.937144, 0.960338, 1.00478, 1.14298, 1.21238, 1.24031, 1.29616, 1.35262, 1.42379, 1.48663, 1.53585, 1.60346, 1.6663, 1.72915, 1.85483, 1.98052, 2.16905");
-            values ( \
-              "-0.24364, -0.248214, -0.321251, -0.335918, -0.352931, -0.361211, -0.364597, -0.366359, -0.364634, -0.36555, -0.363351, -0.351957, -0.343601, -0.336408, -0.326206, -0.30818, -0.298778, -0.274207, -0.17186, -0.128399, -0.113504, -0.0878799, -0.0672805, -0.0475866, -0.0347749, -0.0271508, -0.0192583, -0.0139337, -0.0100671, -0.00523499, -0.00271508, -0.00100973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.771154, 0.778225, 0.784069, 0.790608, 0.801634, 0.815861, 0.82644, 0.832243, 0.837858, 0.844251, 0.85297, 0.857836, 0.861316, 0.865293, 0.868871, 0.871439, 0.876574, 0.886256, 0.897727, 0.932712");
-            values ( \
-              "-0.0180236, -0.0190738, -0.0269687, -0.0404069, -0.0657863, -0.0926911, -0.109417, -0.116107, -0.117888, -0.10281, -0.0557683, -0.0350273, -0.024413, -0.0157843, -0.0106519, -0.00802164, -0.00454347, -0.00158886, -0.000653152, -0.000157602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00765584");
-            index_3 ("0.771233, 0.782413, 0.789408, 0.810809, 0.825811, 0.833449, 0.84273, 0.850217, 0.857604, 0.864978, 0.876565, 0.88314, 0.890477, 0.895733, 0.901256, 0.905032, 0.912584, 0.926564, 0.943056");
-            values ( \
-              "-0.0157175, -0.0336274, -0.0481157, -0.107656, -0.144902, -0.161984, -0.179374, -0.188151, -0.185587, -0.161441, -0.0925868, -0.0613507, -0.0371539, -0.0254527, -0.0169777, -0.0128763, -0.00725062, -0.00241056, -0.000681695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0161008");
-            index_3 ("0.770729, 0.776404, 0.788635, 0.796932, 0.824348, 0.831638, 0.845635, 0.857839, 0.869194, 0.880306, 0.891405, 0.895609, 0.899662, 0.914031, 0.925102, 0.932547, 0.938813, 0.943865, 0.950035, 0.958713, 0.967733, 0.97464, 0.988455, 1.00009");
-            values ( \
-              "-0.0204016, -0.0280704, -0.0549436, -0.0779727, -0.166672, -0.18836, -0.225921, -0.249248, -0.260885, -0.261578, -0.247959, -0.237364, -0.222571, -0.152787, -0.105375, -0.0802221, -0.0630877, -0.0517355, -0.0403632, -0.0282146, -0.0193897, -0.0144817, -0.00793491, -0.00537368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.033861");
-            index_3 ("0.78238, 0.804748, 0.830362, 0.841623, 0.855519, 0.867307, 0.876326, 0.894364, 0.899075, 0.905356, 0.915176, 0.934511, 0.94651, 0.95568, 0.974019, 0.999543, 1.01272, 1.02259, 1.03254, 1.04578, 1.05747, 1.07305, 1.0928, 1.10497, 1.12932, 1.17495, 1.23678");
-            values ( \
-              "-0.0695588, -0.112265, -0.204082, -0.240641, -0.276614, -0.29645, -0.306268, -0.315909, -0.316557, -0.316533, -0.314711, -0.304604, -0.292946, -0.280105, -0.237814, -0.163027, -0.129664, -0.108186, -0.089508, -0.0689154, -0.0544079, -0.0393356, -0.0258915, -0.0199366, -0.0116527, -0.00391325, -0.000854597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.071212");
-            index_3 ("0.754653, 0.889814, 0.904973, 0.924767, 0.946312, 0.969034, 1.0113, 1.04629, 1.08163, 1.10134, 1.12761, 1.19133, 1.21591, 1.24957, 1.27234, 1.29405, 1.31819, 1.35245, 1.38762, 1.41449, 1.46823, 1.53107, 1.53561");
-            values ( \
-              "-0.015169, -0.338921, -0.345411, -0.34803, -0.346487, -0.342631, -0.331815, -0.318573, -0.297552, -0.278765, -0.24309, -0.14923, -0.120058, -0.0881719, -0.0706528, -0.0569166, -0.0445193, -0.0311603, -0.0215618, -0.0162072, -0.00900543, -0.00447183, -0.0043086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.149764");
-            index_3 ("0.798126, 0.83048, 0.855719, 0.870176, 0.896096, 0.904625, 0.921681, 0.946042, 1.00439, 1.10792, 1.24901, 1.28029, 1.31183, 1.36639, 1.42923, 1.52568, 1.58852, 1.6285, 1.68955, 1.74469, 1.79252, 1.84191, 1.90475, 1.95922, 2.05481, 2.11765, 2.1805, 2.30618, 2.55755");
-            values ( \
-              "-0.202014, -0.220248, -0.302553, -0.330274, -0.355486, -0.359343, -0.363576, -0.365089, -0.361601, -0.351363, -0.332448, -0.326193, -0.318239, -0.298786, -0.261725, -0.188527, -0.146132, -0.122936, -0.0933138, -0.0720296, -0.0572314, -0.0448548, -0.0327878, -0.0248826, -0.0152497, -0.0110567, -0.00794312, -0.00411554, -0.00108981" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.00249903, 0.00250069, 0.0025022, 0.00250323, 0.00250381, 0.00250412", \
-            "0.00291893, 0.00291963, 0.00292055, 0.00292137, 0.00292192, 0.00292222", \
-            "0.00316881, 0.00316891, 0.00316916, 0.00316953, 0.00316986, 0.00317008", \
-            "0.0033191, 0.00331892, 0.00331893, 0.00331906, 0.00331923, 0.00331938", \
-            "0.00340171, 0.00340163, 0.0034016, 0.00340162, 0.00340167, 0.00340176", \
-            "0.0034513, 0.00345131, 0.00345132, 0.00345136, 0.00345142, 0.0034515" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.00344641, 0.00344928, 0.00345195, 0.00345408, 0.00345542, 0.00345616", \
-            "0.0038115, 0.003811, 0.00381124, 0.003812, 0.00381276, 0.00381328", \
-            "0.00415421, 0.00415005, 0.00414621, 0.00414765, 0.00414634, 0.00414577", \
-            "0.0046616, 0.00465724, 0.00465295, 0.00464842, 0.00464525, 0.00464347", \
-            "0.00529761, 0.00542189, 0.00550941, 0.0055613, 0.00559192, 0.00559604", \
-            "0.00591012, 0.00590725, 0.00589097, 0.00594552, 0.00606215, 0.00611844" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.00402955, 0.00398655, 0.0038919, 0.0037899, 0.00371513, 0.00366935", \
-            "0.00386163, 0.00384543, 0.0037823, 0.00369608, 0.00362342, 0.00357509", \
-            "0.00366804, 0.00368241, 0.00365809, 0.00360529, 0.00353658, 0.00348816", \
-            "0.00365919, 0.00368644, 0.00369213, 0.00366613, 0.00360307, 0.00355777", \
-            "0.00413603, 0.004125, 0.00413029, 0.00411209, 0.00406539, 0.00400092", \
-            "0.0059421, 0.00586927, 0.00579064, 0.00569987, 0.00564582, 0.00555293" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00765584, 0.0161008, 0.033861, 0.071212, 0.149764");
-          values ( \
-            "0.0112299, 0.0112685, 0.0112652, 0.011234, 0.0112052, 0.0111866", \
-            "0.0110413, 0.0110984, 0.0111165, 0.0111047, 0.011084, 0.0110683", \
-            "0.0109539, 0.0110237, 0.0110776, 0.0110941, 0.0110936, 0.0110869", \
-            "0.0111412, 0.0112301, 0.0112922, 0.0113377, 0.0113595, 0.0113745", \
-            "0.0119408, 0.01199, 0.0120459, 0.0120833, 0.0121316, 0.0121363", \
-            "0.0139706, 0.0139649, 0.0139979, 0.0140503, 0.0140545, 0.014057" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00440421;
-      rise_capacitance : 0.00440421;
-      rise_capacitance_range (0.00332173, 0.00440421);
-      fall_capacitance : 0.00437164;
-      fall_capacitance_range (0.00304052, 0.00437164);
-    }
-  }
-  cell (DFFSRX1) {
-    area : 69.597;
-    cell_footprint : "DFFSR";
-    cell_leakage_power : 0.93911;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.18638;
-      when : "(CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.03625;
-      when : "(CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.02626;
-      when : "(CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.580707;
-      when : "(CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.10923;
-      when : "(CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.17979;
-      when : "(CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.30165;
-      when : "(CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.29167;
-      when : "(CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.574114;
-      when : "(CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.10264;
-      when : "(CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.852946;
-      when : "(!CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.774583;
-      when : "(!CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.764598;
-      when : "(!CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.933924;
-      when : "(!CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.19819;
-      when : "(!CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.918123;
-      when : "(!CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.11176;
-      when : "(!CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.685978;
-      when : "(!CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.31245;
-      when : "(!CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.840974;
-      when : "(!CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.93911;
-      related_pg_pin : VDD;
-    }
-    pin (Q) {
-      direction : output;
-      function : "IQ";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.208133;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.258349, 0.288029, 0.348086, 0.473049, 0.743812, 1.34709", \
-            "0.262663, 0.292326, 0.352326, 0.477309, 0.748036, 1.3514", \
-            "0.271982, 0.301678, 0.361741, 0.486721, 0.757482, 1.36129", \
-            "0.286177, 0.315811, 0.375939, 0.500912, 0.771737, 1.37536", \
-            "0.299077, 0.328679, 0.388744, 0.513716, 0.784409, 1.38818", \
-            "0.303506, 0.333172, 0.393236, 0.518122, 0.788269, 1.39249" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0279164, 0.0443652, 0.0815536, 0.165982, 0.357343, 0.786708", \
-            "0.0279734, 0.0443653, 0.0815526, 0.166008, 0.35722, 0.786838", \
-            "0.0280165, 0.0443657, 0.081521, 0.165999, 0.35738, 0.787086", \
-            "0.0279764, 0.0443655, 0.0815525, 0.166007, 0.35738, 0.787097", \
-            "0.0279204, 0.0443459, 0.0815342, 0.165904, 0.357211, 0.787098", \
-            "0.0279939, 0.0443796, 0.0814965, 0.1659, 0.357235, 0.786884" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.281941, 0.308975, 0.365525, 0.487521, 0.758489, 1.36517", \
-            "0.286597, 0.313626, 0.370182, 0.492204, 0.762895, 1.36987", \
-            "0.29597, 0.323006, 0.379589, 0.501598, 0.772568, 1.37933", \
-            "0.310052, 0.337072, 0.39365, 0.515625, 0.786382, 1.39334", \
-            "0.324237, 0.351262, 0.40789, 0.529901, 0.800866, 1.40732", \
-            "0.328381, 0.35542, 0.412037, 0.534025, 0.804793, 1.41243" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0226484, 0.0362526, 0.0669154, 0.136273, 0.292626, 0.643007", \
-            "0.0226556, 0.0362548, 0.0669146, 0.136363, 0.292405, 0.64232", \
-            "0.0226459, 0.0362386, 0.0668939, 0.136353, 0.292418, 0.642454", \
-            "0.0226677, 0.0362575, 0.0669029, 0.136307, 0.292511, 0.642325", \
-            "0.0226595, 0.0362633, 0.0668801, 0.13634, 0.292408, 0.643012", \
-            "0.0226585, 0.0362431, 0.0668712, 0.136247, 0.29276, 0.642706" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.234977, 0.238251, 0.245076, 0.256513, 0.262469, 0.265677, 0.269828, 0.273962, 0.276958, 0.285671, 0.292624, 0.299514, 0.307158, 0.316607, 0.332491, 0.341773");
-            values ( \
-              "0.0108668, 0.018389, 0.0525305, 0.12546, 0.148979, 0.155994, 0.158977, 0.15432, 0.142439, 0.0829893, 0.0510516, 0.0315881, 0.0182731, 0.0093093, 0.00313948, 0.00264859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.251043, 0.259733, 0.26755, 0.273222, 0.278729, 0.286195, 0.292978, 0.299586, 0.306182, 0.310022, 0.313657, 0.320928, 0.327934, 0.333448, 0.338383, 0.344356, 0.35094, 0.3555, 0.358705, 0.365115, 0.370493, 0.375905, 0.38312, 0.397552, 0.420377, 0.448154");
-            values ( \
-              "0.0518716, 0.0657934, 0.119754, 0.155007, 0.183483, 0.210885, 0.222453, 0.223997, 0.214175, 0.201129, 0.183192, 0.140615, 0.106836, 0.0845566, 0.0682915, 0.0521767, 0.0384087, 0.0309817, 0.0265604, 0.0194912, 0.0149929, 0.0115337, 0.00813075, 0.00393849, 0.00121523, 0.000289395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0874488, 0.148458, 0.180195, 0.19671, 0.214258, 0.245174, 0.26433, 0.275703, 0.283425, 0.3128, 0.321896, 0.335262, 0.347597, 0.359756, 0.373005, 0.383291, 0.406873, 0.426126, 0.441986, 0.46278, 0.485082, 0.509331, 0.537044, 0.537088");
-            values ( \
-              "0.000495591, 0.000423106, 0.00507993, 0.00617135, 0.00555113, 1e-22, 0.00366404, 0.0275974, 0.0557117, 0.199632, 0.232069, 0.262744, 0.273061, 0.271465, 0.255027, 0.228793, 0.149664, 0.097313, 0.066003, 0.0381012, 0.0205192, 0.0103125, 0.00447327, 0.00446965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.214446, 0.315141, 0.326979, 0.344174, 0.375286, 0.397619, 0.408095, 0.429047, 0.434815, 0.446352, 0.459771, 0.484551, 0.509408, 0.515846, 0.523204, 0.538725, 0.568158, 0.59947, 0.622522, 0.641385, 0.655684, 0.674067, 0.689027, 0.707028, 0.732392, 0.758805, 0.779043, 0.819517, 0.896182, 0.986996");
-            values ( \
-              "0.00927372, 0.0224469, 0.0437356, 0.0888577, 0.186753, 0.242292, 0.261753, 0.287632, 0.292323, 0.298249, 0.301387, 0.297004, 0.280636, 0.273605, 0.264368, 0.240846, 0.18902, 0.138239, 0.106295, 0.0845097, 0.0704731, 0.0553228, 0.0452332, 0.0353057, 0.024654, 0.0169203, 0.0126334, 0.00689032, 0.00194677, 0.000383655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.103001, 0.144496, 0.156004, 0.222025, 0.255822, 0.346535, 0.362621, 0.394793, 0.444253, 0.52002, 0.555988, 0.590458, 0.647924, 0.70177, 0.755277, 0.823097, 0.872101, 1.04996, 1.12261, 1.16896, 1.21997, 1.2998, 1.40027, 1.50208, 1.5024");
-            values ( \
-              "0.000626696, 0.000785954, 0.00231817, 0.0251501, 0.0254849, 0.0101454, 0.0103614, 0.0221981, 0.0738879, 0.19369, 0.240867, 0.273986, 0.305897, 0.314604, 0.309303, 0.284256, 0.251332, 0.113133, 0.0740144, 0.0554599, 0.0399351, 0.0234264, 0.0117946, 0.00567098, 0.00566171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.288322, 0.640853, 0.696139, 0.814216, 0.892235, 0.996025, 1.05082, 1.12215, 1.15597, 1.22361, 1.24075, 1.27505, 1.35853, 1.38833, 1.43348, 1.48127, 1.57683, 1.61674, 1.85714, 1.92646, 2.01498, 2.07711, 2.14806, 2.24157, 2.3256, 2.40535, 2.50716, 2.59391, 2.74139, 2.8432, 2.945, 3.04681, 3.25041, 3.55583");
-            values ( \
-              "0.0204571, 0.0531562, 0.0889027, 0.177691, 0.229573, 0.279367, 0.296484, 0.310974, 0.315088, 0.31937, 0.319531, 0.319252, 0.314075, 0.31072, 0.304303, 0.295137, 0.269556, 0.256039, 0.165788, 0.14141, 0.113771, 0.0967689, 0.0797237, 0.0612819, 0.0480007, 0.0377638, 0.0277677, 0.0212038, 0.0133657, 0.0097484, 0.00699406, 0.00511359, 0.00267989, 0.00096006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.250459, 0.257055, 0.258824, 0.262847, 0.271469, 0.279726, 0.284077, 0.288239, 0.292402, 0.29523, 0.303741, 0.31186, 0.317623, 0.325681, 0.335136, 0.341605, 0.350231, 0.353106");
-            values ( \
-              "0.00669022, 0.0191195, 0.0272871, 0.0482464, 0.10597, 0.145201, 0.156014, 0.158958, 0.154334, 0.143216, 0.0850824, 0.0483854, 0.032294, 0.0181386, 0.00924109, 0.00591452, 0.00328154, 0.00288292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.0834847, 0.16558, 0.198449, 0.232484, 0.260715, 0.2699, 0.272446, 0.297145, 0.304611, 0.311394, 0.318002, 0.324598, 0.328443, 0.339335, 0.351903, 0.362718, 0.372322, 0.383673, 0.390726, 0.40136, 0.414926");
-            values ( \
-              "0.000302846, 0.000226236, 0.0022868, 1e-22, 2e-22, 0.0240258, 0.0348398, 0.183588, 0.210787, 0.222542, 0.223911, 0.214254, 0.201109, 0.140668, 0.0844133, 0.0523062, 0.033383, 0.0193549, 0.0137525, 0.00820094, 0.00420801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.105763, 0.110364, 0.121792, 0.128072, 0.149084, 0.164983, 0.171403, 0.188817, 0.201014, 0.205325, 0.208386, 0.216352, 0.226366, 0.235935, 0.250807, 0.268857, 0.288138, 0.292259, 0.295671, 0.299388, 0.303584, 0.309397, 0.321597, 0.327922, 0.335238, 0.34016, 0.347551, 0.353475, 0.365854, 0.378012, 0.390171, 0.392713, 0.395618, 0.401428, 0.420126, 0.425074, 0.431673, 0.444252, 0.453977, 0.460707, 0.47141, 0.480829, 0.493388, 0.503142, 0.506753, 0.513974, 0.528418, 0.557306, 0.567799");
-            values ( \
-              "0.000459124, 0.00081615, 0.000735511, 0.000424831, 8.50556e-05, 0.000375567, 0.000738313, 0.00379731, 0.00535919, 0.00564095, 0.00602699, 0.00623775, 0.00605009, 0.00513212, 0.00237889, 1e-22, 0.0123926, 0.0224964, 0.0324726, 0.0459136, 0.0632828, 0.0907039, 0.155371, 0.185554, 0.215366, 0.232114, 0.251136, 0.261967, 0.272893, 0.271105, 0.25706, 0.251993, 0.245344, 0.229154, 0.165463, 0.149867, 0.130375, 0.0976404, 0.0772922, 0.0652478, 0.0493176, 0.0383366, 0.0271297, 0.0206481, 0.0186789, 0.0152251, 0.0100725, 0.00419792, 0.00339848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.237955, 0.333825, 0.343302, 0.362257, 0.393435, 0.415868, 0.426289, 0.447131, 0.453022, 0.464803, 0.477984, 0.502765, 0.527627, 0.534061, 0.541415, 0.556944, 0.586378, 0.617691, 0.640742, 0.659605, 0.673904, 0.692288, 0.707247, 0.725249, 0.750613, 0.777026, 0.797263, 0.837737, 0.914402, 1.00522");
-            values ( \
-              "0.011182, 0.0228408, 0.0395211, 0.0882204, 0.186315, 0.242194, 0.261555, 0.287423, 0.292208, 0.298278, 0.301316, 0.296988, 0.280605, 0.273596, 0.26437, 0.24084, 0.189018, 0.138238, 0.106294, 0.0845102, 0.0704729, 0.0553231, 0.0452333, 0.0353054, 0.0246542, 0.0169205, 0.0126333, 0.0068906, 0.00194659, 0.000383842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.121727, 0.169901, 0.224025, 0.244925, 0.261302, 0.281626, 0.351112, 0.375904, 0.408961, 0.457328, 0.538681, 0.585017, 0.609196, 0.657552, 0.684661, 0.720286, 0.773733, 0.827339, 0.841884, 0.890723, 1.01695, 1.06855, 1.14134, 1.18761, 1.23843, 1.31841, 1.41923, 1.52104, 1.56082");
-            values ( \
-              "0.000781409, 0.00143476, 0.0211401, 0.0256281, 0.0262796, 0.0245844, 0.011785, 0.00992691, 0.019479, 0.0660877, 0.193651, 0.252198, 0.273984, 0.302493, 0.310335, 0.314118, 0.309097, 0.291459, 0.284056, 0.251279, 0.14885, 0.113154, 0.0739668, 0.0554557, 0.0399791, 0.02343, 0.011767, 0.00565836, 0.00450656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.307133, 0.683945, 0.832716, 0.910544, 1.01235, 1.07917, 1.14064, 1.24244, 1.25934, 1.29312, 1.36069, 1.41012, 1.47602, 1.54773, 1.63505, 1.8501, 2.01674, 2.13555, 2.22872, 2.35261, 2.43201, 2.53382, 2.68901, 2.79082, 2.89262, 3.09623, 3.20093");
-            values ( \
-              "0.00923258, 0.067822, 0.177507, 0.229293, 0.278473, 0.298913, 0.31095, 0.319543, 0.319764, 0.319391, 0.315655, 0.310447, 0.300106, 0.283548, 0.256235, 0.175153, 0.118637, 0.0869057, 0.0669841, 0.0467881, 0.0369326, 0.0270483, 0.0167111, 0.0121534, 0.0087823, 0.0045835, 0.00345122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.290533, 0.299194, 0.301526, 0.312066, 0.315132, 0.320005, 0.324364, 0.328533, 0.332701, 0.335222, 0.341289, 0.34504, 0.347563, 0.351846, 0.356574, 0.360435, 0.364486, 0.371485, 0.375681, 0.3804, 0.386692, 0.39527");
-            values ( \
-              "0.00608874, 0.0271759, 0.0384676, 0.107673, 0.123964, 0.145132, 0.15548, 0.159049, 0.153987, 0.144701, 0.102241, 0.0793692, 0.0666439, 0.0493589, 0.0355542, 0.0269505, 0.0202174, 0.0122437, 0.00909712, 0.00656762, 0.00428402, 0.00260315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.137439, 0.207661, 0.242, 0.272711, 0.300949, 0.312647, 0.337363, 0.344829, 0.351612, 0.35822, 0.364816, 0.36866, 0.379554, 0.392117, 0.402943, 0.412539, 0.423874, 0.430943, 0.441599, 0.453759");
-            values ( \
-              "2.4282e-05, 0.000235291, 0.00235056, 1e-22, 2e-22, 0.0347593, 0.183557, 0.210812, 0.222512, 0.223937, 0.214225, 0.20111, 0.140661, 0.0844296, 0.0522902, 0.0333842, 0.0193718, 0.0137543, 0.00819318, 0.00461876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.147338, 0.157598, 0.191245, 0.207397, 0.214111, 0.234819, 0.254605, 0.271287, 0.283897, 0.300336, 0.319265, 0.330537, 0.336609, 0.349079, 0.363732, 0.380208, 0.393533, 0.399407, 0.405858, 0.418022, 0.430185, 0.435636, 0.44145, 0.465094, 0.484276, 0.5007, 0.511421, 0.520858, 0.533441, 0.54317, 0.55398, 0.568393, 0.597219, 0.617374");
-            values ( \
-              "0.000575537, 0.000807699, 0.000151331, 0.000448921, 0.0011268, 0.00463934, 0.00617994, 0.00565244, 0.00389757, 1e-22, 0.00036359, 0.0186326, 0.0360288, 0.088933, 0.16636, 0.232629, 0.262417, 0.268826, 0.273027, 0.270834, 0.257237, 0.245295, 0.229134, 0.149844, 0.097614, 0.0652889, 0.0493235, 0.0383227, 0.0271035, 0.0206401, 0.0152291, 0.0100859, 0.00421109, 0.00267128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.282696, 0.372458, 0.382191, 0.401655, 0.433707, 0.455694, 0.466525, 0.488187, 0.503406, 0.518218, 0.54302, 0.574222, 0.597158, 0.658009, 0.680991, 0.714077, 0.747513, 0.790854, 0.837427, 0.877829, 0.913765");
-            values ( \
-              "0.0141598, 0.0208882, 0.0370021, 0.085968, 0.186412, 0.241631, 0.261511, 0.28837, 0.297807, 0.301272, 0.297113, 0.273679, 0.240865, 0.138102, 0.106266, 0.0705207, 0.0452091, 0.0246495, 0.0126445, 0.00690497, 0.00458237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.300284, 0.468733, 0.611568, 0.649851, 0.707346, 0.761181, 0.814693, 0.882501, 0.931522, 1.031, 1.10938, 1.18202, 1.22839, 1.2794, 1.35922, 1.45968, 1.56148, 1.57943");
-            values ( \
-              "0.021806, 0.0329944, 0.236307, 0.273824, 0.305894, 0.314527, 0.309314, 0.284254, 0.251327, 0.169635, 0.113131, 0.0740157, 0.0554594, 0.0399324, 0.0234256, 0.0117953, 0.00567215, 0.0051514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.166446, 0.214705, 0.275979, 0.307974, 0.329438, 0.355105, 0.479467, 0.543458, 0.608399, 0.710204, 0.89966, 0.997895, 1.05553, 1.15734, 1.23146, 1.3005, 1.4023, 1.45081, 1.51549, 1.59264, 1.67633, 1.94671, 2.09511, 2.29285, 2.41171, 2.58851, 2.81148, 3.06913");
-            values ( \
-              "0.000633602, 0.00247015, 0.0308056, 0.0380044, 0.0386748, 0.0371157, 0.0195268, 0.0139066, 0.0188193, 0.0588262, 0.19591, 0.254421, 0.279018, 0.307152, 0.316799, 0.319683, 0.315763, 0.310627, 0.300467, 0.282559, 0.256261, 0.155135, 0.107911, 0.0627268, 0.0444023, 0.0259833, 0.0129529, 0.00618052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.372999, 0.379572, 0.381336, 0.385334, 0.393969, 0.402234, 0.406585, 0.410748, 0.414911, 0.417724, 0.42624, 0.434361, 0.440186, 0.448164, 0.457617, 0.464177, 0.472925, 0.475525");
-            values ( \
-              "0.00674112, 0.0191594, 0.0273718, 0.0481633, 0.105938, 0.145202, 0.155972, 0.158964, 0.154301, 0.143286, 0.0851401, 0.0484098, 0.0321697, 0.0181763, 0.00926116, 0.00589017, 0.00323983, 0.00288444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.218036, 0.285518, 0.323842, 0.354552, 0.382139, 0.392948, 0.395275, 0.419602, 0.425564, 0.430042, 0.433843, 0.44045, 0.447056, 0.450823, 0.465932, 0.477922, 0.48631, 0.497657, 0.510782, 0.518936, 0.529809, 0.536365");
-            values ( \
-              "5.89071e-05, 0.000117303, 0.00232211, 1e-22, 2e-22, 0.0261473, 0.0364957, 0.18356, 0.206033, 0.216902, 0.222281, 0.223547, 0.214204, 0.201335, 0.12011, 0.0724424, 0.0496688, 0.0291557, 0.0154373, 0.0103968, 0.00605552, 0.00480771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.227485, 0.285625, 0.337269, 0.353971, 0.383064, 0.401975, 0.413073, 0.419208, 0.453891, 0.462779, 0.476144, 0.48848, 0.500638, 0.51536, 0.567027, 0.582811, 0.603691, 0.625992, 0.650107, 0.664355");
-            values ( \
-              "0.000468409, 0.000283211, 0.00618683, 0.00564685, 1e-22, 0.000451838, 0.0185175, 0.0360676, 0.200282, 0.232306, 0.262541, 0.273259, 0.271283, 0.252029, 0.0972627, 0.066101, 0.0380699, 0.0205017, 0.0103448, 0.00732822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.36154, 0.456711, 0.467914, 0.484835, 0.516033, 0.538452, 0.548885, 0.56975, 0.575617, 0.587351, 0.600579, 0.625361, 0.650222, 0.656654, 0.664006, 0.679539, 0.708973, 0.740287, 0.763338, 0.782199, 0.796498, 0.814882, 0.829843, 0.847845, 0.873209, 0.899621, 0.919857, 0.96033, 1.03699, 1.1278");
-            values ( \
-              "0.0113, 0.023245, 0.0436932, 0.0882026, 0.186318, 0.242188, 0.261552, 0.287457, 0.292205, 0.298269, 0.301312, 0.296994, 0.280601, 0.273598, 0.264376, 0.24084, 0.189019, 0.138236, 0.106294, 0.0845112, 0.0704738, 0.0553231, 0.0452328, 0.0353053, 0.0246539, 0.0169205, 0.0126336, 0.00689073, 0.00194691, 0.000383822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.24037, 0.292218, 0.353889, 0.36629, 0.382163, 0.402137, 0.481618, 0.490897, 0.509457, 0.546576, 0.598639, 0.660972, 0.695249, 0.73148, 0.788974, 0.842814, 0.896327, 0.949823, 0.964133, 1.01316, 1.11264, 1.19101, 1.26366, 1.31002, 1.36103, 1.44086, 1.48774, 1.54131, 1.64312, 1.7108");
-            values ( \
-              "0.000742776, 0.00151673, 0.023247, 0.0255259, 0.02628, 0.0248398, 0.0106566, 0.00995965, 0.0112815, 0.0298589, 0.0938606, 0.193267, 0.238773, 0.273772, 0.305939, 0.314481, 0.309359, 0.291492, 0.284252, 0.251325, 0.169634, 0.11313, 0.0740154, 0.0554589, 0.0399318, 0.0234251, 0.0170553, 0.011795, 0.00567259, 0.00370774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.428395, 0.81395, 0.98114, 1.08142, 1.13705, 1.23886, 1.313, 1.38203, 1.48383, 1.53234, 1.59703, 1.67416, 1.75786, 2.03767, 2.13947, 2.25845, 2.35176, 2.4751, 2.55459, 2.6564, 2.81178, 2.91358, 3.219, 3.29572");
-            values ( \
-              "0.00542768, 0.0727041, 0.195908, 0.255331, 0.279056, 0.307082, 0.316734, 0.319737, 0.315701, 0.310568, 0.30052, 0.282616, 0.256209, 0.151784, 0.118677, 0.0868556, 0.0669542, 0.0468479, 0.0369348, 0.0270841, 0.0167308, 0.0121346, 0.00460251, 0.00404295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.540148, 0.548944, 0.560874, 0.56571, 0.570049, 0.574204, 0.57836, 0.58132, 0.590037, 0.59699, 0.603872, 0.611524, 0.620973, 0.636844, 0.641433");
-            values ( \
-              "0.0112506, 0.0497879, 0.125531, 0.14573, 0.15629, 0.159229, 0.154423, 0.142582, 0.083001, 0.0510496, 0.0316033, 0.0182663, 0.00930374, 0.003143, 0.00290081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.554191, 0.561286, 0.57986, 0.582931, 0.589073, 0.592988, 0.59716, 0.603764, 0.610366, 0.614164, 0.629294, 0.636011, 0.641261, 0.649585, 0.655457, 0.660998, 0.668385, 0.674124, 0.680724, 0.689523, 0.707122, 0.730778, 0.760359");
-            values ( \
-              "0.0486928, 0.051443, 0.168535, 0.18365, 0.207033, 0.21647, 0.222343, 0.2237, 0.214191, 0.201237, 0.119861, 0.0907338, 0.0723489, 0.049747, 0.0378366, 0.0291099, 0.0203233, 0.0154114, 0.011197, 0.00724977, 0.00299089, 0.000854178, 0.000224668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.391855, 0.453916, 0.501007, 0.517819, 0.547182, 0.563889, 0.570074, 0.579362, 0.58421, 0.616289, 0.626203, 0.639556, 0.651886, 0.664043, 0.678769, 0.730462, 0.746121, 0.767143, 0.789444, 0.81334, 0.828471");
-            values ( \
-              "0.000455492, 0.000467188, 0.00618926, 0.00563521, 1e-22, 2e-22, 0.00718679, 0.026085, 0.0421574, 0.19641, 0.232524, 0.262728, 0.273276, 0.271358, 0.252019, 0.09719, 0.0662589, 0.0380175, 0.0204724, 0.0103962, 0.00716836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.528262, 0.616618, 0.62204, 0.632884, 0.648934, 0.679533, 0.702033, 0.712331, 0.732926, 0.739044, 0.75128, 0.763999, 0.789705, 0.796703, 0.804701, 0.814506, 0.834116, 0.842944, 0.883905, 0.894987, 0.917151, 0.932395, 0.948939, 0.970997, 0.980694, 0.996987, 1.0142, 1.03808, 1.0479, 1.06752, 1.10676, 1.17854, 1.26288");
-            values ( \
-              "0.0157372, 0.0189514, 0.0267053, 0.0476405, 0.0906361, 0.186946, 0.242699, 0.261838, 0.287316, 0.292346, 0.298509, 0.301392, 0.296678, 0.293136, 0.287881, 0.279384, 0.254917, 0.240591, 0.169578, 0.151649, 0.118708, 0.0992826, 0.0809667, 0.0608993, 0.0535055, 0.0429615, 0.0338419, 0.0241557, 0.0209968, 0.0158486, 0.00883764, 0.00277054, 0.0006451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.549663, 0.636536, 0.663039, 0.693328, 0.742425, 0.823963, 0.894473, 0.942255, 0.971059, 1.00555, 1.05898, 1.12721, 1.17599, 1.35381, 1.42664, 1.47288, 1.52366, 1.60367, 1.70459, 1.80639, 1.82574");
-            values ( \
-              "0.0650477, 0.0117546, 0.0100629, 0.0190487, 0.0658627, 0.193657, 0.273973, 0.302294, 0.310586, 0.314075, 0.309123, 0.284022, 0.251276, 0.113159, 0.0739545, 0.055454, 0.039989, 0.0234301, 0.0117595, 0.00565525, 0.0050954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.409147, 0.453945, 0.460729, 0.529442, 0.563557, 0.598505, 0.733893, 0.787276, 0.858453, 0.960257, 1.14489, 1.2429, 1.30078, 1.40259, 1.47673, 1.54575, 1.64756, 1.69607, 1.76075, 1.83788, 1.92159, 2.13658, 2.3032, 2.42218, 2.51549, 2.63883, 2.82013, 2.97551, 3.07731, 3.17912, 3.38273, 3.4453");
-            values ( \
-              "0.00055264, 0.00134134, 0.00262248, 0.0335017, 0.0385741, 0.0371636, 0.018426, 0.0139419, 0.0197802, 0.0616767, 0.195888, 0.254263, 0.279016, 0.307125, 0.316779, 0.319692, 0.315746, 0.310612, 0.300475, 0.282572, 0.256251, 0.175149, 0.118638, 0.086888, 0.0669219, 0.0468172, 0.027054, 0.0167013, 0.0121635, 0.00877245, 0.00457371, 0.00412442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.874042, 0.879623, 0.882209, 0.886132, 0.894812, 0.898235, 0.903099, 0.907455, 0.911622, 0.915788, 0.918425, 0.926223, 0.9311, 0.937474, 0.941791, 0.946417, 0.952276, 0.957746, 0.969969, 0.977967");
-            values ( \
-              "0.00703432, 0.0163047, 0.0278426, 0.0479962, 0.105729, 0.124549, 0.145041, 0.155769, 0.158904, 0.154205, 0.144183, 0.0906255, 0.0646035, 0.0414944, 0.0305657, 0.0219637, 0.0144346, 0.0097743, 0.0042381, 0.00263046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.890032, 0.897193, 0.914521, 0.920369, 0.927848, 0.934638, 0.941249, 0.947848, 0.951613, 0.966703, 0.978673, 0.987035, 0.998321, 1.01135, 1.02665, 1.03805");
-            values ( \
-              "0.0396053, 0.0426459, 0.152371, 0.183135, 0.210493, 0.222419, 0.223835, 0.214305, 0.201502, 0.120289, 0.0726099, 0.0498477, 0.0293462, 0.0156117, 0.0073856, 0.00456662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.723891, 0.787491, 0.799026, 0.818502, 0.838875, 0.857628, 0.88938, 0.90865, 0.918123, 0.922664, 0.928717, 0.953714, 0.963418, 0.976779, 0.989109, 1.00126, 1.0134, 1.01596, 1.02475, 1.04831, 1.06751, 1.08346, 1.09437, 1.10398, 1.11678, 1.12611, 1.13642, 1.15018, 1.17768, 1.19073");
-            values ( \
-              "0.00036308, 0.000357211, 0.00156081, 0.00473897, 0.00605097, 0.00522646, 1e-22, 0.00772516, 0.0302934, 0.0463243, 0.0719196, 0.196852, 0.232281, 0.262664, 0.273364, 0.271473, 0.257365, 0.25224, 0.229121, 0.149963, 0.0976413, 0.0660909, 0.0496823, 0.0384318, 0.0270101, 0.020804, 0.0155676, 0.0105144, 0.00459238, 0.00349025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.856331, 0.956326, 0.967166, 0.988848, 1.01678, 1.03444, 1.04953, 1.07633, 1.1013, 1.12609, 1.15087, 1.15718, 1.18011, 1.23114, 1.2641, 1.2944, 1.33174, 1.34925, 1.385, 1.40653, 1.44958, 1.50334");
-            values ( \
-              "0.00975414, 0.0219813, 0.0407958, 0.0982651, 0.186384, 0.232604, 0.261514, 0.292954, 0.301851, 0.297751, 0.280771, 0.274082, 0.2412, 0.153288, 0.106042, 0.0729264, 0.0444048, 0.0348765, 0.0210233, 0.0154103, 0.00810226, 0.00392253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.885372, 0.974441, 0.998157, 1.02978, 1.07673, 1.16116, 1.21558, 1.26604, 1.28869, 1.33398, 1.34252, 1.3596, 1.39598, 1.44962, 1.46364, 1.51294, 1.63948, 1.70194, 1.76341, 1.80983, 1.86097, 1.9407, 2.04091, 2.14272, 2.23096");
-            values ( \
-              "0.0631381, 0.0118012, 0.0100344, 0.0185891, 0.0620444, 0.193941, 0.260326, 0.295786, 0.305003, 0.313671, 0.313976, 0.313607, 0.308757, 0.29135, 0.284202, 0.251244, 0.148624, 0.106286, 0.0740458, 0.0554616, 0.0399035, 0.0234238, 0.0118146, 0.00568035, 0.00311671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.742932, 0.787736, 0.799281, 0.869186, 0.885844, 0.906566, 0.960324, 1.07713, 1.12883, 1.19776, 1.21598, 1.25442, 1.30976, 1.48257, 1.55915, 1.63791, 1.73972, 1.81344, 1.8827, 1.9845, 2.03293, 2.0975, 2.17509, 2.25848, 2.47347, 2.64008, 2.75906, 2.85238, 2.9757, 3.05519, 3.157, 3.31238, 3.41419, 3.51599, 3.7196, 3.8343");
-            values ( \
-              "0.00044894, 0.00107448, 0.0032652, 0.0339339, 0.0372471, 0.0386674, 0.0344238, 0.0177889, 0.0139335, 0.0205588, 0.025533, 0.0396206, 0.0701454, 0.196781, 0.243916, 0.279394, 0.307342, 0.31688, 0.319809, 0.315781, 0.31064, 0.300557, 0.282527, 0.256238, 0.175171, 0.118658, 0.0868738, 0.0669346, 0.0468321, 0.0369534, 0.0270674, 0.0167138, 0.012152, 0.00878453, 0.0045855, 0.00334506" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0102877, 0.0102906, 0.010294, 0.0102968, 0.0102985, 0.0102994", \
-            "0.0122983, 0.0122991, 0.0123008, 0.0123026, 0.012304, 0.0123048", \
-            "0.0136869, 0.0136867, 0.0136868, 0.0136874, 0.0136881, 0.0136887", \
-            "0.014735, 0.0147347, 0.0147343, 0.0147341, 0.0147343, 0.0147345", \
-            "0.0154671, 0.015467, 0.0154665, 0.015466, 0.0154656, 0.0154655", \
-            "0.0160333, 0.0160332, 0.016033, 0.0160326, 0.016032, 0.0160315" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0147092, 0.0147075, 0.0147067, 0.014707, 0.0147076, 0.0147081", \
-            "0.0158423, 0.0158398, 0.0158368, 0.0158345, 0.0158333, 0.0158328", \
-            "0.0161478, 0.0161467, 0.0161447, 0.0161423, 0.0161403, 0.0161393", \
-            "0.017368, 0.0173678, 0.0173671, 0.0173653, 0.0173631, 0.0173616", \
-            "0.0176881, 0.0176915, 0.0176978, 0.0177047, 0.0177092, 0.0177111", \
-            "0.015762, 0.0158552, 0.0159929, 0.0158387, 0.0157725, 0.0157619" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.263794, 0.27034, 0.272238, 0.276033, 0.276143, 0.282556, 0.289829, 0.293429, 0.296895, 0.300361, 0.30205, 0.312163, 0.315758, 0.3201, 0.325467, 0.330197, 0.338194, 0.360745");
-            values ( \
-              "-0.0099412, -0.0553944, -0.0610826, -0.105617, -0.103797, -0.143766, -0.176981, -0.187258, -0.191054, -0.184364, -0.173975, -0.0641832, -0.0389608, -0.0205622, -0.00927994, -0.00453297, -0.00140988, -0.000233249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.272918, 0.280533, 0.286709, 0.291388, 0.296093, 0.308565, 0.314728, 0.32047, 0.326037, 0.331596, 0.333848, 0.337869, 0.350417, 0.35491, 0.361016, 0.365258, 0.372254, 0.381582, 0.381834");
-            values ( \
-              "-0.0240437, -0.053357, -0.0895599, -0.13282, -0.164573, -0.22855, -0.249011, -0.263105, -0.266652, -0.256257, -0.245155, -0.212904, -0.0915406, -0.0632917, -0.0372867, -0.0255676, -0.0135557, -0.0055867, -0.00550813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0485762, 0.068446, 0.0840076, 0.12084, 0.134855, 0.178669, 0.192344, 0.199822, 0.217771, 0.23045, 0.238289, 0.250403, 0.25631, 0.2845, 0.299424, 0.302634, 0.314413, 0.326577, 0.342724, 0.355524, 0.366853, 0.377423, 0.387703, 0.398125, 0.402786, 0.407757, 0.42529, 0.432962, 0.441816, 0.446013, 0.454406, 0.461306, 0.472678, 0.484956");
-            values ( \
-              "-0.00741493, -0.00202758, -0.000234936, -1e-22, -0.00205437, -0.00212286, -0.00277481, -0.00367083, -0.00733311, -0.00665274, -0.00480629, -0.000656475, -1e-22, -2e-22, -0.0345159, -0.0473178, -0.0987325, -0.170118, -0.238331, -0.278556, -0.305257, -0.319742, -0.324561, -0.309934, -0.294388, -0.267622, -0.150419, -0.110449, -0.0754147, -0.0625666, -0.0425264, -0.0308454, -0.0179844, -0.0102745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.217216, 0.341121, 0.368016, 0.39473, 0.428351, 0.454448, 0.477453, 0.498978, 0.519893, 0.541106, 0.550605, 0.560737, 0.588387, 0.603694, 0.626741, 0.639781, 0.657167, 0.671358, 0.694657, 0.725722, 0.774976, 0.834584");
-            values ( \
-              "-0.00324468, -0.0294, -0.0972696, -0.1798, -0.260857, -0.307887, -0.337054, -0.353083, -0.357959, -0.342254, -0.324116, -0.293264, -0.191049, -0.143515, -0.0897444, -0.0679337, -0.0462129, -0.0336272, -0.0197497, -0.00938224, -0.00256868, -0.000491754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.245718, 0.305438, 0.34536, 0.376312, 0.416543, 0.467457, 0.54502, 0.59113, 0.618382, 0.674681, 0.724155, 0.770219, 0.81505, 0.860491, 0.88056, 0.901967, 0.966019, 0.992748, 1.03796, 1.06086, 1.09722, 1.14336, 1.17023, 1.22396, 1.30886");
-            values ( \
-              "-0.0895289, -0.0222283, -0.0122874, -0.00738058, -0.0159809, -0.0695552, -0.182022, -0.240084, -0.271032, -0.321504, -0.35334, -0.370239, -0.375157, -0.358973, -0.339598, -0.307199, -0.192422, -0.15254, -0.0999241, -0.0799024, -0.0554549, -0.0344129, -0.0259698, -0.014509, -0.00570126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.282439, 0.683332, 0.950547, 1.11548, 1.16606, 1.25769, 1.30876, 1.3759, 1.46753, 1.51498, 1.57366, 1.66529, 1.80771, 1.89859, 1.99495, 2.09846, 2.199, 2.34852, 2.53178, 2.80666");
-            values ( \
-              "-0.0176599, -0.0536447, -0.226558, -0.30913, -0.328679, -0.356701, -0.368155, -0.378613, -0.383254, -0.379765, -0.366887, -0.313861, -0.195172, -0.135552, -0.0892977, -0.0558737, -0.0348749, -0.016967, -0.0068704, -0.00189937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.281747, 0.287231, 0.290858, 0.29469, 0.294762, 0.300185, 0.303777, 0.308449, 0.312052, 0.315522, 0.318987, 0.32069, 0.330919, 0.334319, 0.3387, 0.344205, 0.349067, 0.357011, 0.358461");
-            values ( \
-              "-0.00337869, -0.0444757, -0.0619132, -0.105293, -0.103928, -0.137519, -0.157207, -0.176411, -0.187264, -0.191106, -0.184349, -0.173897, -0.0630691, -0.0393323, -0.0206433, -0.00912181, -0.00436886, -0.00138001, -0.00130568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.290519, 0.299099, 0.305283, 0.309796, 0.315042, 0.327153, 0.339061, 0.344628, 0.350187, 0.352464, 0.35646, 0.369007, 0.373501, 0.379609, 0.383849, 0.39084, 0.400162, 0.401025");
-            values ( \
-              "-0.0155851, -0.0530812, -0.0872699, -0.13277, -0.167432, -0.229034, -0.263493, -0.266295, -0.256566, -0.245008, -0.212902, -0.0915546, -0.0632926, -0.0372786, -0.0255678, -0.0135606, -0.00559505, -0.00532407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0664172, 0.0853254, 0.108611, 0.141768, 0.15809, 0.211392, 0.230674, 0.243994, 0.274563, 0.301916, 0.31667, 0.318914, 0.333125, 0.351377, 0.361434, 0.374233, 0.385555, 0.396125, 0.406405, 0.416671, 0.42151, 0.443932, 0.452029, 0.460523, 0.472569, 0.479962, 0.492059, 0.505089");
-            values ( \
-              "-0.00743561, -0.00238919, -1e-22, -2e-22, -0.00218772, -0.00273558, -0.00649046, -0.00737475, -1e-22, -2e-22, -0.0292821, -0.037771, -0.100996, -0.197891, -0.237782, -0.279163, -0.304715, -0.320275, -0.324065, -0.310587, -0.294297, -0.150775, -0.108782, -0.0754151, -0.0436342, -0.0309328, -0.017414, -0.00961666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.235913, 0.359433, 0.38652, 0.407699, 0.424604, 0.44696, 0.473168, 0.496202, 0.517698, 0.538617, 0.559508, 0.569379, 0.579569, 0.606431, 0.622709, 0.645692, 0.65807, 0.674574, 0.689967, 0.715024, 0.748434, 0.77316");
-            values ( \
-              "-0.00392534, -0.0285943, -0.096685, -0.163362, -0.20891, -0.260013, -0.307858, -0.336642, -0.353699, -0.357495, -0.342977, -0.324025, -0.292867, -0.193389, -0.14269, -0.089314, -0.0685756, -0.0476259, -0.033738, -0.0190187, -0.00847663, -0.00538661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.263887, 0.323722, 0.370225, 0.402225, 0.444212, 0.502539, 0.563284, 0.611402, 0.636681, 0.692937, 0.742276, 0.77834, 0.808435, 0.833074, 0.877659, 0.890862, 0.904945, 0.994932, 1.02097, 1.06886, 1.11671, 1.16143, 1.18683, 1.23764, 1.32927, 1.33938");
-            values ( \
-              "-0.0893501, -0.0221826, -0.0109774, -0.00698897, -0.0227488, -0.0917288, -0.182169, -0.242051, -0.271223, -0.322117, -0.352459, -0.367882, -0.374322, -0.374247, -0.359827, -0.34827, -0.331111, -0.175448, -0.139131, -0.0881293, -0.0546185, -0.0343922, -0.0263804, -0.0152265, -0.00526742, -0.00488304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.301039, 0.672421, 0.989275, 1.06232, 1.13532, 1.18569, 1.2944, 1.39559, 1.494, 1.53471, 1.58125, 1.61702, 1.65696, 1.79392, 1.90725, 2.01308, 2.11919, 2.21774, 2.36523, 2.54849, 2.58926");
-            values ( \
-              "-0.0317606, -0.037412, -0.236825, -0.276368, -0.30881, -0.328963, -0.361153, -0.378891, -0.383234, -0.379991, -0.370342, -0.3572, -0.334377, -0.220902, -0.141362, -0.0894381, -0.0552792, -0.0348023, -0.0170678, -0.00687464, -0.00594449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.323208, 0.329137, 0.331063, 0.334914, 0.335269, 0.343219, 0.34785, 0.350237, 0.352245, 0.355711, 0.359204, 0.360864, 0.370969, 0.374578, 0.378918, 0.384273, 0.388993, 0.396707, 0.41854");
-            values ( \
-              "-0.0172635, -0.0552704, -0.061309, -0.106154, -0.10492, -0.153404, -0.17397, -0.181971, -0.186804, -0.191528, -0.183856, -0.174038, -0.0642426, -0.0389575, -0.0205799, -0.00927245, -0.00456592, -0.0014646, -0.00022704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.332718, 0.339454, 0.345618, 0.351867, 0.360523, 0.367453, 0.379373, 0.38494, 0.3905, 0.392775, 0.396773, 0.40932, 0.413814, 0.419922, 0.424162, 0.431152, 0.437536");
-            values ( \
-              "-0.0340458, -0.0534432, -0.0895026, -0.145982, -0.197238, -0.229102, -0.263641, -0.266101, -0.256721, -0.245013, -0.212901, -0.0915522, -0.0632903, -0.0372767, -0.0255666, -0.0135597, -0.00810725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.105224, 0.113076, 0.115571, 0.122893, 0.133066, 0.148399, 0.181708, 0.187636, 0.194038, 0.236529, 0.249693, 0.257576, 0.275286, 0.28219, 0.286661, 0.296849, 0.310495, 0.344655, 0.356673, 0.359768, 0.373454, 0.381961, 0.392752, 0.40168, 0.414471, 0.425788, 0.436356, 0.446633, 0.456896, 0.461782, 0.466824, 0.479233, 0.487867, 0.498852, 0.504556, 0.51216, 0.517973, 0.524433, 0.533046, 0.542767");
-            values ( \
-              "-0.0028745, -0.0028804, -0.00507314, -0.00328963, -0.00165634, -0.000198038, -1e-22, -0.00149541, -0.00204906, -0.00211471, -0.00261991, -0.00348753, -0.00717698, -0.0074423, -0.00705623, -0.00494005, -0.000220103, -1e-22, -0.0289364, -0.0404207, -0.100318, -0.152666, -0.203674, -0.237412, -0.279854, -0.304308, -0.320824, -0.323673, -0.311041, -0.294129, -0.266754, -0.181341, -0.130264, -0.0819545, -0.0636763, -0.0449618, -0.0343094, -0.0253446, -0.0167864, -0.0112816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.281883, 0.33184, 0.367989, 0.388657, 0.414338, 0.453737, 0.487353, 0.513519, 0.536556, 0.558049, 0.578968, 0.600811, 0.609696, 0.619851, 0.64718, 0.662881, 0.685901, 0.698672, 0.7157, 0.73038, 0.754399, 0.786424, 0.806141");
-            values ( \
-              "-0.0496585, -0.00982879, -8.5512e-05, -0.0101906, -0.0627115, -0.179878, -0.261044, -0.307015, -0.337523, -0.352892, -0.358312, -0.341552, -0.324084, -0.293136, -0.19199, -0.143193, -0.0895804, -0.0682032, -0.0467775, -0.033667, -0.019442, -0.00900778, -0.00636658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.299904, 0.492498, 0.543616, 0.603981, 0.677307, 0.709424, 0.733356, 0.782936, 0.828948, 0.873779, 0.918551, 0.939324, 0.960768, 1.0244, 1.0516, 1.0976, 1.13137, 1.15525, 1.20206, 1.22962, 1.28474, 1.37637, 1.468");
-            values ( \
-              "-0.0243489, -0.0299693, -0.0933645, -0.182776, -0.271565, -0.301467, -0.322256, -0.352576, -0.371004, -0.3744, -0.359965, -0.3396, -0.307031, -0.193032, -0.152349, -0.0990139, -0.0710727, -0.0558629, -0.0344349, -0.0257662, -0.0141904, -0.00486962, -0.00168405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.343984, 0.775755, 0.940477, 1.03211, 1.10274, 1.17553, 1.22609, 1.33478, 1.43597, 1.53438, 1.57505, 1.61655, 1.66722, 1.73477, 1.87656, 1.94231, 2.06245, 2.1753, 2.29632, 2.38101, 2.47264, 2.56427, 2.59938");
-            values ( \
-              "-0.00273616, -0.0731326, -0.183823, -0.238193, -0.276497, -0.308723, -0.329059, -0.361223, -0.378949, -0.383286, -0.380045, -0.371682, -0.352324, -0.305575, -0.188695, -0.145102, -0.0861049, -0.051361, -0.0294252, -0.0192559, -0.0126499, -0.00776344, -0.00680587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.402662, 0.41333, 0.416145, 0.419422, 0.427067, 0.434549, 0.438019, 0.441483, 0.443254, 0.454407, 0.457353, 0.462467, 0.468723, 0.471272");
-            values ( \
-              "-0.000910936, -0.0605803, -0.0958334, -0.118953, -0.161659, -0.187785, -0.190684, -0.184751, -0.173416, -0.0550786, -0.0364048, -0.0171522, -0.00668197, -0.00506454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.411159, 0.421534, 0.427726, 0.432413, 0.437135, 0.449603, 0.455767, 0.46151, 0.467077, 0.472637, 0.474882, 0.478909, 0.491458, 0.495951, 0.502055, 0.506297, 0.513292, 0.522617, 0.522999");
-            values ( \
-              "-0.00370756, -0.0530982, -0.0894278, -0.132542, -0.16447, -0.228445, -0.249024, -0.263028, -0.266676, -0.256201, -0.245177, -0.212897, -0.0915465, -0.0632987, -0.037295, -0.0255754, -0.0135631, -0.00558827, -0.00546733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.160322, 0.249175, 0.277844, 0.323549, 0.337697, 0.361322, 0.373152, 0.396043, 0.422012, 0.435714, 0.440865, 0.45541, 0.473318, 0.496473, 0.507792, 0.518361, 0.52864, 0.538904, 0.543771, 0.569779, 0.580834, 0.594316, 0.602149, 0.614878, 0.629816");
-            values ( \
-              "-0.00152719, -1e-22, -0.00256214, -0.00229426, -0.00330327, -0.00749871, -0.00632457, -1e-22, -2e-22, -0.0188967, -0.0369071, -0.100614, -0.197138, -0.279617, -0.304421, -0.320645, -0.323786, -0.310899, -0.294196, -0.130763, -0.0820441, -0.0446506, -0.031015, -0.0169306, -0.00836148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.361082, 0.477539, 0.508954, 0.535736, 0.569396, 0.58428, 0.595455, 0.618574, 0.640051, 0.660974, 0.681869, 0.691714, 0.701877, 0.729105, 0.744933, 0.767946, 0.780631, 0.797544, 0.81238, 0.836627, 0.868956, 0.899835");
-            values ( \
-              "-0.0120276, -0.0204502, -0.0963187, -0.179603, -0.260742, -0.288601, -0.307739, -0.336461, -0.353731, -0.357347, -0.343041, -0.324068, -0.293035, -0.192309, -0.143068, -0.0895097, -0.0682744, -0.0469714, -0.0336908, -0.0193562, -0.00888176, -0.00479315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.38656, 0.446143, 0.490029, 0.522459, 0.561231, 0.612309, 0.677179, 0.737753, 0.75912, 0.815212, 0.864819, 0.910838, 0.955678, 1.00046, 1.02115, 1.04251, 1.10679, 1.13333, 1.17814, 1.21481, 1.2382, 1.28402, 1.31054, 1.36359, 1.45522, 1.54685");
-            values ( \
-              "-0.0898839, -0.0221464, -0.0115426, -0.0069926, -0.0186466, -0.0749791, -0.170197, -0.246817, -0.271086, -0.321905, -0.352637, -0.37075, -0.37451, -0.359759, -0.339669, -0.307318, -0.192241, -0.152597, -0.100343, -0.070003, -0.0552778, -0.0344246, -0.0260511, -0.01469, -0.0050488, -0.0017405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.421194, 0.822671, 1.09923, 1.25787, 1.30729, 1.39892, 1.45009, 1.51716, 1.60879, 1.65623, 1.70722, 1.73008, 1.76055, 1.81914, 1.91745, 2.0194, 2.12558, 2.17961, 2.25165, 2.31241, 2.39384, 2.48547, 2.5771, 2.76036, 3.03525");
-            values ( \
-              "-0.018187, -0.0525205, -0.230942, -0.309918, -0.328403, -0.356998, -0.368446, -0.378368, -0.38352, -0.379564, -0.369525, -0.361355, -0.345636, -0.302708, -0.2194, -0.147152, -0.0933315, -0.0733174, -0.0528551, -0.0395879, -0.0269178, -0.0174772, -0.0109968, -0.0044087, -0.00127599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.570432, 0.576066, 0.578149, 0.582027, 0.589719, 0.595739, 0.599338, 0.602809, 0.606275, 0.607973, 0.618124, 0.62165, 0.626007, 0.631422, 0.636199, 0.642475");
-            values ( \
-              "-0.0190422, -0.0542749, -0.0619191, -0.105994, -0.150186, -0.176693, -0.186964, -0.191348, -0.184058, -0.17393, -0.0637724, -0.0391014, -0.0206014, -0.009212, -0.00448463, -0.0020124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.574914, 0.582918, 0.592578, 0.595591, 0.603905, 0.614459, 0.620629, 0.626373, 0.631941, 0.637502, 0.639744, 0.643775, 0.656324, 0.660817, 0.666922, 0.671164, 0.678158, 0.685713");
-            values ( \
-              "-0.00551952, -0.0352104, -0.0869103, -0.118843, -0.17579, -0.228168, -0.249188, -0.262774, -0.266854, -0.255957, -0.245161, -0.212874, -0.0915481, -0.0633012, -0.0372984, -0.0255791, -0.0135673, -0.00709968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.268737, 0.371207, 0.400365, 0.43077, 0.436384, 0.450454, 0.496429, 0.504224, 0.5217, 0.528358, 0.543328, 0.559417, 0.583398, 0.596291, 0.609965, 0.620596, 0.638143, 0.648779, 0.661571, 0.672884, 0.68345, 0.693726, 0.703989, 0.70887, 0.73494, 0.745938, 0.759281, 0.771513, 0.780101, 0.78773");
-            values ( \
-              "-0.000715678, -0.00111924, -1e-22, -2e-22, -0.00149149, -0.00246215, -0.00258636, -0.00339754, -0.0070887, -0.0074272, -0.00508441, -0.000149049, -1e-22, -0.0068103, -0.0533064, -0.101771, -0.196043, -0.237867, -0.279647, -0.304648, -0.32061, -0.323943, -0.310832, -0.294158, -0.130369, -0.0819757, -0.0449005, -0.0253586, -0.016816, -0.0124844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.527842, 0.644461, 0.673935, 0.694905, 0.712132, 0.734259, 0.760435, 0.783464, 0.804956, 0.825873, 0.846762, 0.85664, 0.866836, 0.893606, 0.909996, 0.932971, 0.945271, 0.961672, 0.977203, 1.00246, 1.03614, 1.06418");
-            values ( \
-              "-0.00871882, -0.0243707, -0.096325, -0.163015, -0.209441, -0.260461, -0.3077, -0.336947, -0.353511, -0.35775, -0.342781, -0.324016, -0.292838, -0.193659, -0.142602, -0.0892704, -0.0686565, -0.0477919, -0.0337507, -0.0189364, -0.00838188, -0.00487687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.550043, 0.747444, 0.79062, 0.850923, 0.924239, 0.95629, 0.980285, 1.02986, 1.07587, 1.1207, 1.16547, 1.18625, 1.2077, 1.27129, 1.29853, 1.34461, 1.37819, 1.4021, 1.44898, 1.4766, 1.53186, 1.62349, 1.71512");
-            values ( \
-              "-0.0178217, -0.0381193, -0.0932268, -0.182651, -0.271412, -0.301614, -0.322099, -0.352762, -0.370839, -0.374578, -0.359799, -0.339591, -0.307031, -0.193082, -0.15234, -0.0989348, -0.0711475, -0.0558977, -0.0344324, -0.0257521, -0.014155, -0.00486198, -0.00167679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.581988, 1.01281, 1.24037, 1.34846, 1.43096, 1.55379, 1.63292, 1.68167, 1.7733, 1.82082, 1.87945, 1.97108, 2.1135, 2.20438, 2.30074, 2.40426, 2.50479, 2.6543, 2.83756, 3.11245");
-            values ( \
-              "-0.00558936, -0.0675765, -0.216842, -0.276024, -0.313082, -0.354174, -0.371539, -0.378522, -0.383258, -0.379696, -0.366896, -0.313819, -0.195199, -0.13558, -0.089324, -0.0558947, -0.034897, -0.0169893, -0.00689192, -0.00187872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.907326, 0.915156, 0.919052, 0.9223, 0.928869, 0.936347, 0.939817, 0.94328, 0.945044, 0.955133, 0.958669, 0.963014, 0.968393, 0.973135, 0.979757");
-            values ( \
-              "-0.0257496, -0.0612612, -0.102009, -0.125547, -0.161329, -0.187466, -0.191105, -0.184441, -0.173519, -0.0637071, -0.0390294, -0.0206007, -0.00924035, -0.00454237, -0.00187311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.919161, 0.925683, 0.929629, 0.934301, 0.938996, 0.951466, 0.957628, 0.963369, 0.968935, 0.974492, 0.976749, 0.980763, 0.99331, 0.997802, 1.00391, 1.00815, 1.01514, 1.02421");
-            values ( \
-              "-0.0639667, -0.067025, -0.0895734, -0.133302, -0.164789, -0.228708, -0.248987, -0.263245, -0.266646, -0.256376, -0.245173, -0.212943, -0.0915594, -0.0633051, -0.0372958, -0.0255759, -0.0135628, -0.00581079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.49138, 0.765647, 0.77156, 0.785551, 0.830593, 0.86358, 0.879197, 0.89578, 0.919124, 0.932321, 0.942926, 0.957223, 0.976008, 0.998187, 1.0095, 1.02006, 1.03034, 1.0406, 1.04549, 1.07162, 1.08256, 1.09577, 1.10815, 1.11683, 1.1342, 1.13935");
-            values ( \
-              "-0.000273288, -1e-22, -0.00160777, -0.0024688, -0.00245089, -0.00742936, -0.00527209, -1e-22, -2e-22, -0.00532174, -0.0385012, -0.100761, -0.201906, -0.280045, -0.304338, -0.320993, -0.323708, -0.311185, -0.29416, -0.130002, -0.0819173, -0.0451476, -0.0253185, -0.0167095, -0.0069424, -0.00584819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.863446, 0.97898, 1.01097, 1.03768, 1.07131, 1.0974, 1.1204, 1.14192, 1.16283, 1.18404, 1.19352, 1.20363, 1.22803, 1.24983, 1.27387, 1.2848, 1.29938, 1.3219, 1.33702, 1.36726, 1.39999");
-            values ( \
-              "-0.0125546, -0.0197511, -0.0970363, -0.179749, -0.260805, -0.307852, -0.337043, -0.353203, -0.357978, -0.342333, -0.324231, -0.293439, -0.202602, -0.134842, -0.0821227, -0.0649353, -0.0470607, -0.0283674, -0.0200579, -0.00972887, -0.00495612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.886775, 1.0781, 1.12712, 1.18771, 1.21557, 1.26058, 1.29253, 1.31673, 1.36638, 1.41245, 1.45732, 1.50212, 1.51202, 1.52258, 1.54369, 1.60907, 1.63471, 1.64903, 1.67767, 1.70409, 1.74151, 1.77329, 1.78576, 1.81069, 1.86056, 1.95219, 2.04382, 2.13545");
-            values ( \
-              "-0.0236578, -0.031596, -0.0932715, -0.181842, -0.218431, -0.270042, -0.301109, -0.321066, -0.352681, -0.370152, -0.374717, -0.359295, -0.351326, -0.339859, -0.308112, -0.191215, -0.152994, -0.134335, -0.102467, -0.0792029, -0.0543726, -0.0391519, -0.0344067, -0.0264963, -0.0154841, -0.00533677, -0.00182868, -0.000624791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.918229, 1.31284, 1.39011, 1.58054, 1.68671, 1.7674, 1.8952, 1.96519, 2.01967, 2.1113, 2.15861, 2.21739, 2.30902, 2.45143, 2.54232, 2.63867, 2.69083, 2.74218, 2.84272, 2.9006, 2.99223, 3.08386, 3.17549, 3.26712, 3.45038");
-            values ( \
-              "-0.0216138, -0.0461248, -0.0927477, -0.21879, -0.276726, -0.312971, -0.355522, -0.370923, -0.378435, -0.383586, -0.379612, -0.367144, -0.313662, -0.195383, -0.135727, -0.0894662, -0.0707773, -0.0560156, -0.0350122, -0.0265497, -0.0171017, -0.0108901, -0.00700283, -0.00441235, -0.00176999" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0102842", \
-            "0.0115377", \
-            "0.012224", \
-            "0.0126354", \
-            "0.01285", \
-            "0.0129686" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.012371", \
-            "0.012736", \
-            "0.0131097", \
-            "0.0137913", \
-            "0.0147697", \
-            "0.0158889" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "QN";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0340905, 0.0474424, 0.0763659, 0.140313, 0.283313, 0.604218", \
-            "0.0405886, 0.0538495, 0.0826644, 0.14692, 0.290052, 0.61103", \
-            "0.0565929, 0.0700797, 0.0987763, 0.162779, 0.306019, 0.627005", \
-            "0.0837716, 0.104172, 0.136181, 0.199675, 0.34232, 0.663442", \
-            "0.127955, 0.159111, 0.208792, 0.283549, 0.425073, 0.744343", \
-            "0.206285, 0.250773, 0.325621, 0.441608, 0.612809, 0.929875" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0271588, 0.0464688, 0.0900129, 0.187846, 0.407718, 0.901706", \
-            "0.0275681, 0.0465457, 0.0899721, 0.187833, 0.407717, 0.901652", \
-            "0.0337405, 0.0491743, 0.090177, 0.187856, 0.407716, 0.901657", \
-            "0.0528545, 0.066998, 0.0992283, 0.188401, 0.407724, 0.901651", \
-            "0.0832709, 0.105416, 0.140767, 0.210655, 0.40943, 0.901684", \
-            "0.132186, 0.166493, 0.221091, 0.302767, 0.460839, 0.905512" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0253202, 0.0349825, 0.0558388, 0.101788, 0.204249, 0.433981", \
-            "0.0306405, 0.0403643, 0.0613554, 0.107435, 0.209989, 0.439769", \
-            "0.04046, 0.0527978, 0.0742009, 0.12043, 0.223126, 0.452999", \
-            "0.0523537, 0.070789, 0.101048, 0.149831, 0.252571, 0.482504", \
-            "0.0648678, 0.0918571, 0.136713, 0.207794, 0.318623, 0.548404", \
-            "0.074739, 0.113651, 0.179626, 0.285315, 0.447292, 0.696689" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.016957, 0.0293548, 0.0572264, 0.11977, 0.260127, 0.575749", \
-            "0.0177599, 0.0294875, 0.0572854, 0.119716, 0.260427, 0.575719", \
-            "0.0253935, 0.0345936, 0.0585456, 0.119854, 0.260102, 0.575745", \
-            "0.0395712, 0.0523453, 0.0739689, 0.125005, 0.260467, 0.575764", \
-            "0.0628339, 0.0816253, 0.112738, 0.162756, 0.27436, 0.575857", \
-            "0.103846, 0.129697, 0.173866, 0.245259, 0.359006, 0.607958" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.027303, 0.0297611, 0.0314218, 0.0346119, 0.0374053, 0.0397423, 0.04672, 0.0618438, 0.0678659, 0.0741538, 0.0819642, 0.0917966, 0.100144, 0.111275, 0.127026, 0.146608, 0.171664, 5.01331, 5.59322");
-            values ( \
-              "0.0696511, 0.181122, 0.190139, 0.196039, 0.193658, 0.188878, 0.165435, 0.0667495, 0.0435726, 0.027887, 0.0160277, 0.00787466, 0.00427806, 0.001844, 0.000476228, 0.0001022, 1e-22, 2e-22, 0.00131419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.0272915, 0.0317721, 0.0345162, 0.037261, 0.0405325, 0.0466381, 0.0602515, 0.0662411, 0.0796806, 0.0870457, 0.0968983, 0.108987, 0.121781, 0.133679, 0.142, 0.158642, 0.181115, 0.209031, 0.295867, 5.01329, 5.60216");
-            values ( \
-              "0.06156, 0.247455, 0.257205, 0.260744, 0.258516, 0.247974, 0.21378, 0.192126, 0.122962, 0.0916236, 0.0606564, 0.0354322, 0.0195911, 0.0110082, 0.00737648, 0.00303924, 0.000921439, 0.000107482, 1e-22, 2e-22, 0.00295189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0290109, 0.0328284, 0.0350277, 0.0389025, 0.0401448, 0.0426293, 0.04646, 0.0595323, 0.0822195, 0.0935533, 0.103037, 0.111113, 0.129367, 0.141768, 0.15455, 0.161284, 0.172945, 0.182249, 0.188419, 0.196647, 0.211677, 0.218517, 0.228783, 0.242471, 0.269848, 0.311138, 0.361356, 0.425924, 5.01331, 5.60767");
-            values ( \
-              "0.186804, 0.289422, 0.299416, 0.306305, 0.306829, 0.306287, 0.303712, 0.288371, 0.25697, 0.238359, 0.218533, 0.197172, 0.143875, 0.112147, 0.0847656, 0.0727537, 0.0550596, 0.0436759, 0.0373428, 0.0301599, 0.0201695, 0.0167834, 0.0126967, 0.00867705, 0.00391378, 0.00105189, 0.000180369, 1.70286e-06, 1e-22, 0.00663268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.0313416, 0.0313616, 0.0728163, 0.100927, 0.140818, 0.157473, 0.17046, 0.180964, 0.19497, 0.249415, 0.263545, 0.289363, 0.311546, 0.326081, 0.34484, 0.357314, 0.373946, 0.403871, 0.417473, 0.437788, 0.464876, 0.519051, 0.601491, 0.701758, 0.802026, 5.01333, 5.59747");
-            values ( \
-              "1e-22, 0.354049, 0.320041, 0.301379, 0.273006, 0.259727, 0.24793, 0.236934, 0.219892, 0.142912, 0.124644, 0.0946772, 0.0735937, 0.0618586, 0.0490167, 0.0418522, 0.0337627, 0.0226081, 0.0188281, 0.0142829, 0.00983179, 0.00445498, 0.00122316, 0.000193206, 3.9012e-05, 1e-22, 0.0149049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.0342442, 0.0342642, 0.122405, 0.222447, 0.270819, 0.307177, 0.358162, 0.401392, 0.536404, 0.591607, 0.638222, 0.669354, 0.70948, 0.736269, 0.771989, 0.835337, 0.864114, 0.9069, 0.963949, 1.06422, 1.16448, 1.26475, 1.46528, 1.86635, 5.01334, 5.6045");
-            values ( \
-              "1e-22, 0.382295, 0.32981, 0.29746, 0.280955, 0.267427, 0.24455, 0.219717, 0.131024, 0.0998197, 0.0778299, 0.0654177, 0.0517543, 0.0441252, 0.0355648, 0.0238303, 0.019861, 0.0151032, 0.0104748, 0.00527364, 0.00271473, 0.00131658, 0.000307654, 1e-22, 2e-22, 0.0334983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.0375419, 0.0375619, 0.233345, 0.507492, 0.615985, 0.706037, 0.773037, 0.927102, 1.14943, 1.262, 1.38112, 1.48139, 1.57598, 1.70966, 1.80992, 1.86875, 1.96902, 2.06928, 2.16955, 2.26982, 2.47035, 2.67089, 3.27249, 5.01335, 5.5998");
-            values ( \
-              "1e-22, 0.385861, 0.334266, 0.293277, 0.275741, 0.258817, 0.244075, 0.20117, 0.133915, 0.104219, 0.0779747, 0.0602701, 0.0465997, 0.0320917, 0.02421, 0.0202898, 0.0152529, 0.0112097, 0.00844179, 0.0061252, 0.00331637, 0.00177623, 0.000228093, 1e-22, 0.0752924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0438341, 0.0467766, 0.0527311, 0.0554128, 0.0565031, 0.0591191, 0.0631794, 0.0672546, 0.0823802, 0.087349, 0.0946433, 0.102472, 0.112235, 0.120485, 0.131485, 0.147233, 0.16664, 0.227744, 5.01338, 5.56792");
-            values ( \
-              "0.030606, 0.0912499, 0.157672, 0.177131, 0.180809, 0.183645, 0.17786, 0.164585, 0.0668551, 0.0469661, 0.028012, 0.0160683, 0.0079304, 0.00433884, 0.00187004, 0.00050492, 7.31383e-05, 1e-22, 2.75939e-06, 0.00131579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.044849, 0.0492546, 0.0530451, 0.054476, 0.0568116, 0.0585562, 0.0608822, 0.0622878, 0.065099, 0.0668819, 0.071377, 0.0807022, 0.0864613, 0.0987403, 0.107462, 0.117214, 0.122509, 0.129199, 0.135206, 0.143215, 0.148143, 0.153682, 0.161068, 0.175839, 0.19792, 0.224877, 0.259047, 0.307015, 5.01337, 5.60234");
-            values ( \
-              "0.032632, 0.148138, 0.211102, 0.227318, 0.242465, 0.248909, 0.251987, 0.251704, 0.249804, 0.247112, 0.238251, 0.214024, 0.193306, 0.12967, 0.0916869, 0.0609204, 0.048394, 0.0357628, 0.0271523, 0.0186217, 0.0146763, 0.011237, 0.00785548, 0.00364632, 0.00109966, 0.000181501, 3.06585e-05, 1e-22, 2e-22, 0.00296227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0460687, 0.0512539, 0.0539957, 0.0565513, 0.059628, 0.0616232, 0.0642836, 0.0696043, 0.070625, 0.0812385, 0.0923776, 0.104175, 0.11598, 0.122644, 0.130261, 0.14965, 0.154868, 0.166605, 0.178154, 0.191336, 0.20106, 0.21228, 0.227238, 0.23833, 0.244411, 0.256571, 0.280892, 0.320104, 0.367122, 0.426898, 5.0133, 5.60328");
-            values ( \
-              "0.0596906, 0.206196, 0.257385, 0.281936, 0.296441, 0.300377, 0.302329, 0.30023, 0.299293, 0.286664, 0.271591, 0.254457, 0.234321, 0.219986, 0.200339, 0.14378, 0.129699, 0.101745, 0.0786954, 0.0574738, 0.0452473, 0.0339848, 0.0228027, 0.0169279, 0.0143916, 0.0102597, 0.00513166, 0.00148788, 0.000320712, 6.1951e-06, 1e-22, 0.00665547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.0509327, 0.0655276, 0.0704459, 0.0751334, 0.0933798, 0.133052, 0.16411, 0.189256, 0.20152, 0.215535, 0.269961, 0.284092, 0.30991, 0.332093, 0.346627, 0.365385, 0.377858, 0.394489, 0.424412, 0.444781, 0.458321, 0.485401, 0.53956, 0.621974, 0.722242, 0.822509, 5.01332, 5.60245");
-            values ( \
-              "0.329356, 0.332445, 0.333277, 0.331404, 0.320334, 0.293457, 0.270922, 0.249645, 0.236891, 0.219909, 0.142882, 0.124679, 0.0946463, 0.0736216, 0.0618355, 0.0490444, 0.0418791, 0.0337415, 0.0226346, 0.0171824, 0.0143085, 0.00981283, 0.00447983, 0.00120238, 0.000215575, 1.69654e-05, 1e-22, 0.0149638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.0537661, 0.0537861, 0.143083, 0.243124, 0.291495, 0.327853, 0.363723, 0.378831, 0.422068, 0.55708, 0.626592, 0.658897, 0.690028, 0.739083, 0.756942, 0.79266, 0.856007, 0.877652, 0.899042, 0.927562, 0.984603, 1.08487, 1.18514, 1.2854, 1.38567, 1.48594, 1.68647, 1.98728, 5.01333, 5.60044");
-            values ( \
-              "1e-22, 0.372959, 0.329925, 0.297382, 0.280878, 0.267361, 0.251937, 0.244498, 0.219662, 0.131075, 0.0926456, 0.0778705, 0.065379, 0.0491185, 0.0441644, 0.035528, 0.0238692, 0.0208101, 0.0181692, 0.0151407, 0.0104404, 0.00531025, 0.00267937, 0.00135249, 0.000676689, 0.000343301, 8.80215e-05, 9.27108e-06, 1e-22, 0.0336303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.0600585, 0.0600785, 0.25398, 0.475925, 0.623217, 0.726541, 0.783645, 0.897852, 1.17001, 1.34648, 1.49756, 1.57051, 1.65471, 1.73445, 1.83472, 1.98989, 2.19043, 2.39096, 2.5915, 2.79203, 3.1931, 5.01328, 5.59003");
-            values ( \
-              "1e-22, 0.392811, 0.334077, 0.301243, 0.278015, 0.25901, 0.246449, 0.215934, 0.133957, 0.0895052, 0.060868, 0.0500737, 0.0397381, 0.0318405, 0.0238123, 0.0151321, 0.00832509, 0.0045429, 0.00246245, 0.0013244, 0.000366105, 3.4571e-05, 0.075601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0715711, 0.0732645, 0.0761174, 0.101586, 0.106731, 0.112549, 0.11341, 0.114328, 0.115777, 0.117555, 0.120252, 0.126068, 0.130206, 0.136516, 0.144403, 0.152766, 0.156628, 0.160944, 0.166698, 0.178206, 0.193451, 0.212327, 0.236367, 0.271639, 5.01326, 5.59529");
-            values ( \
-              "0.0158811, 0.0178522, 0.0245572, 0.112798, 0.126978, 0.137796, 0.142331, 0.144147, 0.144589, 0.140375, 0.126772, 0.0868043, 0.0649256, 0.0417218, 0.0238648, 0.0131474, 0.00991591, 0.00725546, 0.00478315, 0.00193643, 0.000579584, 7.69975e-05, 1.78885e-05, 1e-22, 2e-22, 0.00132598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.0733317, 0.0860438, 0.100735, 0.112567, 0.114964, 0.116114, 0.118158, 0.120749, 0.123492, 0.127058, 0.130845, 0.135532, 0.147134, 0.154474, 0.164306, 0.170133, 0.176371, 0.181896, 0.189262, 0.194841, 0.201001, 0.209214, 0.225639, 0.248268, 0.276029, 0.311295, 5.01328, 5.59389");
-            values ( \
-              "0.00834581, 0.0773346, 0.149959, 0.203223, 0.218858, 0.222686, 0.226005, 0.225687, 0.222203, 0.214333, 0.202977, 0.184008, 0.123104, 0.0916989, 0.060714, 0.0470909, 0.0355472, 0.0275946, 0.0194934, 0.0149283, 0.0110935, 0.00740556, 0.00314424, 0.000890006, 0.000148854, 5.20141e-06, 1.59719e-06, 0.00297781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.0733747, 0.104408, 0.112577, 0.115652, 0.117296, 0.118692, 0.119902, 0.122322, 0.127162, 0.134104, 0.149739, 0.161726, 0.16822, 0.176877, 0.195383, 0.20894, 0.21998, 0.226704, 0.236464, 0.248291, 0.258677, 0.272524, 0.281727, 0.291967, 0.305621, 0.332928, 0.372819, 0.421303, 0.482902, 5.01329, 5.59434");
-            values ( \
-              "0.000442284, 0.200037, 0.249634, 0.274213, 0.281034, 0.284535, 0.286442, 0.288044, 0.28622, 0.278485, 0.256637, 0.236605, 0.223195, 0.201279, 0.146994, 0.112143, 0.0881997, 0.0757909, 0.0601828, 0.0448919, 0.0344522, 0.0238946, 0.0186658, 0.0141457, 0.0096998, 0.00438244, 0.00125735, 0.000229894, 1.51373e-05, 1.83814e-06, 0.00669043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.0734139, 0.120537, 0.12372, 0.12902, 0.134504, 0.148333, 0.196241, 0.219113, 0.237731, 0.250915, 0.267941, 0.305647, 0.33082, 0.3634, 0.393313, 0.412086, 0.424581, 0.44124, 0.471133, 0.484718, 0.504989, 0.532016, 0.586071, 0.668444, 0.768649, 0.868916, 5.01331, 5.59355");
-            values ( \
-              "0.00209515, 0.322159, 0.325662, 0.326142, 0.323603, 0.314864, 0.281746, 0.26435, 0.247885, 0.23386, 0.212239, 0.158158, 0.124587, 0.0878781, 0.0618676, 0.049042, 0.0418658, 0.0337337, 0.0226242, 0.0188485, 0.0143108, 0.00983356, 0.0044868, 0.00121418, 0.000209805, 2.41838e-05, 1e-22, 0.015032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.0913666, 0.108283, 0.117256, 0.119748, 0.122251, 0.12559, 0.131634, 0.139488, 0.189803, 0.276509, 0.316033, 0.344198, 0.374572, 0.399112, 0.410437, 0.425536, 0.455735, 0.468786, 0.573663, 0.603797, 0.659, 0.673309, 0.705613, 0.736744, 0.776869, 0.785799, 0.803658, 0.839375, 0.90272, 0.924363, 0.945751, 0.974268, 1.0313, 1.13157, 1.23184, 1.3321, 1.43237, 1.53264, 1.63291, 2.03397, 5.01324, 5.60277");
-            values ( \
-              "0.225642, 0.257132, 0.328479, 0.337798, 0.342766, 0.346032, 0.347182, 0.345642, 0.329957, 0.301796, 0.288587, 0.278749, 0.267344, 0.257141, 0.251954, 0.24449, 0.227715, 0.219648, 0.149943, 0.131089, 0.0997572, 0.0926347, 0.0778827, 0.0653692, 0.0518066, 0.0491088, 0.0441759, 0.0355184, 0.0238806, 0.0208006, 0.0181602, 0.015152, 0.0104317, 0.00532098, 0.00266971, 0.00136267, 0.000666794, 0.000353318, 0.00015943, 1e-22, 2e-22, 0.0338878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.0912084, 0.123387, 0.128999, 0.134864, 0.147631, 0.197552, 0.580427, 0.710042, 0.792157, 0.830157, 0.906158, 0.996669, 1.18036, 1.27899, 1.36769, 1.44374, 1.54401, 1.60305, 1.64526, 1.70154, 1.78121, 1.88148, 1.93624, 2.03651, 2.13678, 2.23704, 2.33731, 2.43758, 2.53785, 2.63811, 2.73838, 2.93891, 3.33998, 5.01309, 5.59687");
-            values ( \
-              "0.202793, 0.354336, 0.357482, 0.357831, 0.356554, 0.34951, 0.292315, 0.270965, 0.254979, 0.246392, 0.226916, 0.200361, 0.144416, 0.116997, 0.0952142, 0.0789783, 0.0609552, 0.0520483, 0.0464166, 0.0397697, 0.0317733, 0.0238568, 0.0203435, 0.0151854, 0.0112663, 0.00837765, 0.00618319, 0.00459474, 0.00337492, 0.00251358, 0.00183487, 0.000993716, 0.000285824, 1.30296e-05, 0.0761043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.130009, 0.140355, 0.148837, 0.189204, 0.205636, 0.212931, 0.220084, 0.225475, 0.236115, 0.248673, 0.251068, 0.265889, 0.274945, 0.285295, 0.300656, 0.319231, 0.342732, 0.375965, 5.01308, 5.5854");
-            values ( \
-              "0.00470548, 0.00877127, 0.0152687, 0.0669995, 0.0823461, 0.0864546, 0.0859132, 0.0754165, 0.0426802, 0.0204356, 0.0206663, 0.00954687, 0.00505337, 0.00230099, 0.000662549, 0.000118263, 1.57448e-05, 1e-22, 3.73687e-06, 0.00133816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.132624, 0.144858, 0.15044, 0.16106, 0.205212, 0.21601, 0.225707, 0.228628, 0.23479, 0.243809, 0.269419, 0.281099, 0.289813, 0.294721, 0.304319, 0.309744, 0.320595, 0.341143, 0.365156, 0.395482, 0.434726, 5.01332, 5.58454");
-            values ( \
-              "0.00887983, 0.0169659, 0.023329, 0.0403365, 0.11996, 0.136656, 0.148834, 0.15162, 0.15542, 0.148211, 0.065284, 0.0392244, 0.0263669, 0.0209727, 0.0132584, 0.0101681, 0.00593882, 0.0019372, 0.000498714, 5.09717e-05, 2.15823e-06, 1e-22, 0.00301558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.13315, 0.159467, 0.175072, 0.23008, 0.25239, 0.256737, 0.261029, 0.265, 0.279721, 0.306311, 0.32228, 0.337795, 0.355168, 0.363218, 0.37266, 0.385975, 0.399674, 0.410142, 0.431079, 0.47051, 0.517019, 0.57671, 0.656158, 5.01371, 5.56346");
-            values ( \
-              "0.013423, 0.0432117, 0.0770881, 0.204754, 0.247144, 0.2498, 0.246134, 0.240634, 0.209905, 0.133688, 0.0959309, 0.0673815, 0.0440121, 0.0358585, 0.0280375, 0.0196155, 0.0135436, 0.0101605, 0.00559872, 0.00164229, 0.000333603, 3.58253e-05, 1e-22, 2e-22, 0.00677366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.139771, 0.159986, 0.169859, 0.181247, 0.193594, 0.249608, 0.251622, 0.25406, 0.25641, 0.261111, 0.266729, 0.2765, 0.311704, 0.324874, 0.341434, 0.347416, 0.355392, 0.371344, 0.407403, 0.419664, 0.436491, 0.461988, 0.469126, 0.485299, 0.49956, 0.518009, 0.530055, 0.546115, 0.576573, 0.590413, 0.597409, 0.611399, 0.63938, 0.695343, 0.778255, 0.878522, 0.978789, 5.01401, 5.56622");
-            values ( \
-              "0.0225089, 0.0484752, 0.0701736, 0.0982616, 0.131776, 0.290188, 0.297637, 0.303906, 0.30668, 0.307805, 0.30561, 0.299465, 0.274553, 0.264329, 0.249885, 0.243942, 0.235293, 0.215444, 0.163723, 0.146669, 0.124652, 0.0951067, 0.087888, 0.072952, 0.0614855, 0.0489241, 0.0420036, 0.0341202, 0.0227066, 0.0188522, 0.0171479, 0.0141733, 0.00961121, 0.00424675, 0.00113911, 0.00019241, 2.53361e-05, 3.11809e-06, 0.0152313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.159163, 0.198418, 0.252949, 0.255295, 0.259986, 0.265521, 0.273766, 0.322776, 0.383082, 0.440504, 0.46671, 0.502817, 0.51597, 0.533507, 0.56858, 0.695135, 0.734725, 0.789192, 0.81329, 0.861485, 0.891135, 0.925429, 0.96311, 1.01569, 1.03659, 1.07839, 1.162, 1.26226, 1.36253, 1.4628, 1.56307, 1.66333, 1.86387, 2.16467, 5.01314, 5.59344");
-            values ( \
-              "0.06497, 0.154335, 0.330787, 0.334894, 0.338199, 0.338237, 0.336241, 0.32068, 0.301062, 0.281593, 0.272058, 0.257443, 0.251406, 0.242619, 0.222581, 0.139047, 0.115394, 0.0872262, 0.0764839, 0.0581456, 0.0488576, 0.0397641, 0.0315386, 0.0226532, 0.0198423, 0.0151806, 0.00873223, 0.00443297, 0.00223364, 0.00112687, 0.000563817, 0.000285903, 7.32923e-05, 7.67729e-06, 3.40473e-07, 0.0343615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.159235, 0.231544, 0.252142, 0.259162, 0.264293, 0.272086, 0.308714, 0.685287, 0.747792, 0.815055, 0.895044, 0.929371, 1.02375, 1.07521, 1.25463, 1.32092, 1.42119, 1.47323, 1.52139, 1.58561, 1.68588, 1.72292, 1.797, 1.87669, 1.97696, 2.04032, 2.11666, 2.21693, 2.31719, 2.41746, 2.51773, 2.71826, 2.9188, 3.11933, 3.31987, 3.92147, 5.0132, 5.59275");
-            values ( \
-              "0.0470364, 0.267405, 0.341854, 0.352576, 0.353913, 0.35363, 0.348524, 0.292293, 0.282371, 0.270912, 0.25536, 0.247685, 0.223255, 0.208304, 0.153394, 0.134154, 0.107362, 0.0949868, 0.0844647, 0.0718799, 0.0551668, 0.0499807, 0.0407384, 0.032626, 0.0244455, 0.0203605, 0.0163019, 0.0121568, 0.00898981, 0.006691, 0.00491994, 0.00267906, 0.00145255, 0.000783468, 0.00041919, 5.52427e-05, 1.56012e-05, 0.0771668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.212453, 0.275507, 0.294339, 0.315043, 0.320339, 0.350999, 0.372768, 0.386703, 0.399488, 0.411524, 0.42322, 0.432037, 0.43519, 0.454685, 0.465086, 0.475995, 0.4885, 0.495683, 0.505259, 0.524413, 0.549235, 0.557865, 0.578154, 0.594511, 0.61997, 5.01363, 5.51333");
-            values ( \
-              "0.000428436, 0.00187113, 0.00526534, 0.0107073, 0.0131477, 0.032028, 0.0417749, 0.0465409, 0.0500272, 0.0523102, 0.0530772, 0.0510964, 0.0484901, 0.0237053, 0.0149421, 0.00889608, 0.00455822, 0.00302502, 0.00169148, 0.000329121, 1e-22, 0.00209131, 0.000669402, 0.000187784, 1.07011e-06, 1.16324e-05, 0.00135315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.275067, 0.311293, 0.329222, 0.366989, 0.395468, 0.413237, 0.434079, 0.444197, 0.458638, 0.469523, 0.501995, 0.518938, 0.535565, 0.550029, 0.554176, 0.55896, 0.584949, 0.603792, 0.634608, 0.675696, 0.73389, 5.01319, 5.53938");
-            values ( \
-              "0.00778752, 0.0149709, 0.0256442, 0.0555137, 0.0731674, 0.0827672, 0.0919246, 0.095125, 0.096613, 0.0899659, 0.0381243, 0.0209029, 0.0104845, 0.00539951, 0.00679099, 0.00700255, 0.00228799, 0.000854009, 0.000106318, 1.31872e-05, 1e-22, 1.20786e-05, 0.00309424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.275228, 0.321909, 0.336784, 0.369787, 0.404054, 0.433595, 0.456887, 0.477692, 0.483932, 0.497035, 0.516062, 0.5304, 0.54867, 0.558229, 0.576738, 0.58938, 0.595738, 0.606302, 0.620388, 0.627919, 0.638924, 0.653597, 0.682942, 0.724792, 0.776248, 0.842356, 5.01335, 5.57802");
-            values ( \
-              "0.00920415, 0.0268506, 0.0384573, 0.0696756, 0.0997758, 0.124618, 0.14262, 0.156265, 0.159574, 0.164825, 0.162112, 0.142141, 0.10743, 0.0944439, 0.0629576, 0.0461891, 0.0393398, 0.029952, 0.0205697, 0.0168029, 0.0124584, 0.00828168, 0.00349921, 0.000919877, 0.000144406, 1e-22, 2e-22, 0.00697729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.27486, 0.337303, 0.347759, 0.368495, 0.395866, 0.405067, 0.454183, 0.459326, 0.469611, 0.491124, 0.522274, 0.536048, 0.542934, 0.549821, 0.554124, 0.558345, 0.564454, 0.576669, 0.581656, 0.586975, 0.596482, 0.607251, 0.642793, 0.657374, 0.670103, 0.695562, 0.702665, 0.709552, 0.718734, 0.733032, 0.751522, 0.755556, 0.763624, 0.779759, 0.810145, 0.82047, 0.823951, 0.830915, 0.844843, 0.872698, 0.928408, 1.01124, 1.11151, 1.21178, 1.41231, 5.01298, 5.59073");
-            values ( \
-              "0.00700807, 0.044861, 0.0546624, 0.0759124, 0.105281, 0.115013, 0.169329, 0.175155, 0.18626, 0.209175, 0.238929, 0.250072, 0.254855, 0.259198, 0.261681, 0.261928, 0.258638, 0.248137, 0.243104, 0.237397, 0.226214, 0.212097, 0.161168, 0.141058, 0.124561, 0.095076, 0.0878895, 0.0812712, 0.0730407, 0.061537, 0.0489392, 0.0465158, 0.0419866, 0.0340734, 0.0226966, 0.0197566, 0.0188524, 0.0171563, 0.0141917, 0.00964194, 0.00427737, 0.00114979, 0.000193868, 2.59446e-05, 1e-22, 2e-22, 0.0156721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.275154, 0.365585, 0.430059, 0.546093, 0.554889, 0.561321, 0.566937, 0.588431, 0.676029, 0.712248, 0.749406, 0.801411, 0.941557, 1.01116, 1.04527, 1.07576, 1.11517, 1.14095, 1.17533, 1.23994, 1.26928, 1.31365, 1.37282, 1.47309, 1.57335, 1.67362, 1.77389, 1.87416, 2.37549, 5.01328, 5.57482");
-            values ( \
-              "0.00211142, 0.0765531, 0.153498, 0.304723, 0.31475, 0.317718, 0.316733, 0.310169, 0.280888, 0.26743, 0.251425, 0.222981, 0.131092, 0.0926668, 0.0770989, 0.0649596, 0.0516619, 0.0443172, 0.0359565, 0.0239612, 0.0199052, 0.0149913, 0.0101913, 0.00518139, 0.00261453, 0.0013191, 0.00066046, 0.000334648, 9.00466e-06, 1.02375e-06, 0.035201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.275158, 0.604448, 0.858835, 0.965914, 1.04593, 1.13092, 1.17389, 1.25517, 1.46809, 1.58987, 1.70528, 1.78872, 1.88899, 1.97791, 2.02626, 2.10966, 2.20992, 2.27215, 2.37241, 2.47268, 2.57295, 2.67321, 2.77348, 2.97402, 3.27482, 3.57562, 5.01328, 5.57353");
-            values ( \
-              "0.0227049, 0.33898, 0.301163, 0.284548, 0.271053, 0.254435, 0.24464, 0.223357, 0.158903, 0.123804, 0.0949566, 0.0772654, 0.0595546, 0.046885, 0.0410537, 0.0324907, 0.0243869, 0.0203713, 0.0151886, 0.0112864, 0.00837494, 0.00619883, 0.00458872, 0.00250574, 0.00100468, 0.000405624, 3.6712e-06, 0.0790657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.495486, 0.595035, 0.618972, 0.640719, 0.663064, 0.677531, 0.696821, 0.739987, 0.765627, 0.787662, 0.807889, 0.827256, 0.846199, 0.86048, 0.865352, 0.875077, 0.903298, 0.927737, 0.950851, 0.977101, 1.00654, 1.04308, 1.21577, 1.24084, 1.27303, 1.30176, 1.35921, 1.42157, 1.52183, 1.6221, 1.72237, 1.82264, 5.01318, 5.49255");
-            values ( \
-              "0.000173572, 0.00140228, 0.00235729, 0.0034419, 0.00513499, 0.00689159, 0.0105081, 0.0215774, 0.0264118, 0.0294848, 0.0313202, 0.0323835, 0.0326899, 0.0320148, 0.031374, 0.0286741, 0.0130358, 0.00563348, 0.0022171, 0.000570813, 5.18963e-05, 1e-22, 2e-22, 0.000464688, 0.000128059, 1e-22, 6.65885e-05, 1e-22, 4.00729e-05, 1e-22, 2.64646e-05, 1e-22, 3.54953e-06, 0.00144688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.49704, 0.595035, 0.628, 0.637963, 0.657887, 0.678119, 0.692086, 0.708048, 0.745602, 0.764865, 0.778238, 0.797272, 0.825363, 0.851061, 0.875346, 0.898901, 0.916663, 0.922633, 0.934725, 0.957946, 0.974279, 0.987755, 1.00046, 1.01326, 1.0232, 1.04307, 1.07762, 1.11781, 1.21601, 1.23972, 1.26909, 1.29663, 1.35171, 1.41035, 1.51062, 1.61089, 1.71116, 1.81142, 1.91169, 2.01196, 2.11223, 2.21249, 5.01342, 5.52605");
-            values ( \
-              "0.000378536, 0.00273023, 0.00506803, 0.00593487, 0.00814842, 0.0113426, 0.0144684, 0.0191398, 0.0325086, 0.0384486, 0.0421003, 0.0466259, 0.0519962, 0.0557522, 0.0582282, 0.0592964, 0.0581159, 0.0564045, 0.0501704, 0.0319999, 0.0217026, 0.0151778, 0.0104352, 0.0069904, 0.00501379, 0.00237716, 0.000398125, 1e-22, 2e-22, 0.000855226, 0.000490185, 1e-22, 7.71929e-05, 1e-22, 5.04478e-05, 1e-22, 3.5277e-05, 1e-22, 2.481e-05, 1e-22, 1.77578e-05, 1e-22, 2e-22, 0.00325883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.594635, 0.66276, 0.692334, 0.721334, 0.7643, 0.791413, 0.826046, 0.851688, 0.889375, 0.933612, 0.955069, 0.985549, 1.00853, 1.01678, 1.07728, 1.09221, 1.11148, 1.13341, 1.15778, 1.18377, 1.21589, 1.22987, 1.2512, 1.27206, 1.31379, 1.35486, 1.53227, 5.0133, 5.53853");
-            values ( \
-              "0.0111487, 0.0135787, 0.0203766, 0.0302327, 0.0483138, 0.0585268, 0.0699577, 0.0775503, 0.0875875, 0.0972937, 0.100834, 0.103176, 0.0985024, 0.093378, 0.0424878, 0.0324724, 0.0220522, 0.013539, 0.00747952, 0.00362935, 0.00118087, 0.00253292, 0.00221952, 0.000960719, 0.00034004, 3.9747e-05, 1e-22, 4.80755e-06, 0.00747693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.607731, 0.68187, 0.700242, 0.939388, 0.990218, 1.03556, 1.07761, 1.11864, 1.14956, 1.25889, 1.29626, 1.33717, 1.36534, 1.40289, 1.46353, 1.54741, 1.64768, 5.01281, 5.52855");
-            values ( \
-              "0.0197358, 0.0234528, 0.028929, 0.128208, 0.146852, 0.161186, 0.170637, 0.169907, 0.152794, 0.058648, 0.0365833, 0.0212022, 0.0144358, 0.00870396, 0.00339114, 0.0010418, 2.93114e-05, 1e-22, 0.016857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.635674, 0.771496, 0.880927, 1.07167, 1.14047, 1.20218, 1.22083, 1.23091, 1.28353, 1.32713, 1.46236, 1.53185, 1.59537, 1.64435, 1.69778, 1.76121, 1.78288, 1.8329, 1.89007, 1.99034, 2.09061, 2.19087, 2.29114, 2.49167, 2.69221, 5.01321, 5.52937");
-            values ( \
-              "0.0240292, 0.0629123, 0.116285, 0.212465, 0.243273, 0.265044, 0.26944, 0.268161, 0.244741, 0.219842, 0.131042, 0.0926517, 0.065351, 0.0491166, 0.0355562, 0.0238817, 0.0208119, 0.0151385, 0.0104216, 0.00530797, 0.00267084, 0.00135557, 0.000670822, 0.000164135, 3.62965e-05, 1e-22, 0.0381017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.691839, 0.882678, 1.16582, 1.21672, 1.22664, 1.24405, 1.48521, 1.56531, 1.64948, 1.77443, 1.98746, 2.1085, 2.22452, 2.31176, 2.41202, 2.46188, 2.54061, 2.62558, 2.72585, 2.79122, 2.87058, 2.97084, 3.07111, 3.17138, 3.27164, 3.47218, 3.77298, 4.17405, 5.01413, 5.52349");
-            values ( \
-              "0.052847, 0.122605, 0.290283, 0.319205, 0.321784, 0.321607, 0.284543, 0.271031, 0.254587, 0.223363, 0.158872, 0.123976, 0.0949612, 0.0765281, 0.058958, 0.0515919, 0.0416137, 0.0327961, 0.0246196, 0.0203883, 0.0161833, 0.0120333, 0.00893155, 0.00661409, 0.00489592, 0.00267394, 0.0010733, 0.00031676, 2.59426e-05, 0.0866503" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0240749, 0.0265911, 0.0275577, 0.0278799, 0.028466, 0.0292023, 0.0296532, 0.0302543, 0.0307076, 0.0314662, 0.0319719, 0.0324776, 0.0329834, 0.0337843, 0.0350884, 0.0363438, 0.0373512, 0.0381119, 0.0394216, 0.0404692, 0.0416614, 0.0433357, 0.0444892, 0.0476338, 0.0489315, 0.0505491, 0.0516297, 0.0528798, 0.0543818, 0.0555032, 0.0571854, 0.0586567, 0.0606127, 0.061658, 0.0623549, 0.0644455, 0.0658392, 0.067233, 0.0686267, 0.0700204, 0.0718416, 0.0736628, 0.075484, 0.0773052, 0.0795843, 0.0818633, 0.0864214, 0.0981718, 0.181722, 0.685325, 0.772661");
-            values ( \
-              "-0.249433, -0.251212, -0.255838, -0.257028, -0.258558, -0.260174, -0.260886, -0.261124, -0.261177, -0.261044, -0.260808, -0.260454, -0.259983, -0.258963, -0.25602, -0.252391, -0.248202, -0.243939, -0.234862, -0.226322, -0.21489, -0.19338, -0.175915, -0.123189, -0.104416, -0.0831941, -0.0715032, -0.0599214, -0.0473094, -0.0396173, -0.0307986, -0.0246012, -0.0175856, -0.0144227, -0.0127769, -0.008612, -0.00695307, -0.00549293, -0.00423157, -0.003169, -0.00243965, -0.00181004, -0.00128017, -0.000850038, -0.000640152, -0.000461216, -0.000196198, -8.39558e-05, -1.05515e-05, -7.49936e-10, -0.00609868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.023644, 0.0257249, 0.0289073, 0.030952, 0.0330208, 0.0371299, 0.0406284, 0.0455928, 0.0500476, 0.0536901, 0.0571611, 0.0688816, 0.0787749, 0.085718, 0.0936565, 0.102729, 0.114878, 0.130179, 0.178674, 3.66181");
-            values ( \
-              "-0.0218558, -0.319441, -0.341173, -0.344641, -0.344993, -0.34165, -0.336475, -0.325447, -0.3086, -0.287794, -0.258681, -0.121176, -0.0526393, -0.0280896, -0.0135676, -0.00548746, -0.00166306, -0.000277558, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0248368, 0.0285741, 0.0307617, 0.0332467, 0.0338277, 0.0349898, 0.0411244, 0.0491126, 0.0572504, 0.062648, 0.0701627, 0.0743434, 0.0803456, 0.0836896, 0.0885109, 0.105095, 0.111058, 0.117181, 0.124212, 0.132144, 0.138671, 0.144202, 0.149583, 0.156758, 0.171108, 0.194321, 0.221838, 0.257492, 0.306241, 3.66184");
-            values ( \
-              "-0.297159, -0.397742, -0.403024, -0.40472, -0.404386, -0.404579, -0.401229, -0.394241, -0.385298, -0.378046, -0.364767, -0.354883, -0.336233, -0.322902, -0.296761, -0.176107, -0.139451, -0.10828, -0.0799435, -0.0560621, -0.0416287, -0.0321392, -0.0250003, -0.0178616, -0.00876746, -0.00259827, -0.000502469, -9.29269e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.0255366, 0.0272881, 0.0289531, 0.0308983, 0.0332845, 0.0350046, 0.037298, 0.041978, 0.0597364, 0.075069, 0.0893557, 0.0975841, 0.113362, 0.12048, 0.127936, 0.142847, 0.147489, 0.156772, 0.166309, 0.186299, 0.193869, 0.207658, 0.213731, 0.225878, 0.236825, 0.24971, 0.26689, 0.275359, 0.2841, 0.295754, 0.319064, 0.365682, 0.420313, 0.490802, 0.564039, 0.637275, 0.710512, 0.783749, 0.856986, 0.930223, 1.00346, 1.0767, 1.14993, 1.22317, 1.29641, 1.36964, 1.44288, 1.51612, 1.58936, 3.66184");
-            values ( \
-              "-0.3973, -0.421964, -0.43178, -0.436712, -0.439319, -0.439777, -0.439517, -0.438508, -0.431075, -0.423168, -0.414952, -0.409415, -0.396667, -0.389439, -0.380759, -0.357043, -0.347346, -0.321524, -0.287409, -0.209806, -0.183477, -0.141327, -0.125528, -0.0979849, -0.0779704, -0.05922, -0.0406822, -0.0336464, -0.0277066, -0.02142, -0.0124482, -0.00391702, -0.000819664, -0.000166769, -1e-22, -6.7891e-05, -1e-22, -6.70303e-05, -1e-22, -6.65763e-05, -1e-22, -6.61344e-05, -1e-22, -6.57031e-05, -1e-22, -6.52817e-05, -1e-22, -6.48693e-05, -1e-22, -5.93303e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.0256513, 0.0296002, 0.0328569, 0.0357003, 0.0393595, 0.0609104, 0.0964318, 0.132623, 0.169597, 0.207782, 0.228165, 0.247452, 0.264238, 0.289816, 0.309002, 0.395561, 0.435999, 0.465151, 0.484491, 0.507193, 0.530289, 0.562778, 0.601128, 0.65226, 0.725497, 0.798734, 0.871971, 1.01844, 3.66177");
-            values ( \
-              "-0.416017, -0.450954, -0.45663, -0.457988, -0.458353, -0.455013, -0.447448, -0.438212, -0.427136, -0.413194, -0.404023, -0.393564, -0.382422, -0.359375, -0.334154, -0.181468, -0.126911, -0.0964759, -0.0801356, -0.064197, -0.0510998, -0.0367012, -0.0247933, -0.0145382, -0.00648434, -0.00295463, -0.00126406, -0.000221459, -3.56377e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.0255314, 0.0295638, 0.0342462, 0.041172, 0.0829298, 0.187842, 0.26135, 0.368048, 0.472234, 0.53055, 0.56066, 0.609429, 0.65746, 0.821408, 0.885577, 0.952135, 1.02007, 1.08423, 1.15747, 1.21694, 1.28062, 1.35385, 1.42709, 1.50033, 1.6468, 1.79328, 2.2327, 3.66156");
-            values ( \
-              "-0.424755, -0.459433, -0.466286, -0.467608, -0.465236, -0.454331, -0.445002, -0.429575, -0.409916, -0.39514, -0.385329, -0.364732, -0.334986, -0.200097, -0.156045, -0.118817, -0.0889128, -0.0671143, -0.0481603, -0.0367949, -0.0274763, -0.0194473, -0.0138698, -0.00974085, -0.0048454, -0.00239323, -0.000255407, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0383319, 0.0407149, 0.0416231, 0.047438, 0.0509263, 0.0521197, 0.0530573, 0.0539761, 0.05557, 0.0570917, 0.0581402, 0.0609107, 0.0622581, 0.0692877, 0.0729412, 0.0753632, 0.0789925, 0.0806331, 0.0828206, 0.0871955, 0.0941289, 0.102519, 0.113281, 0.128384, 0.206077, 3.6618");
-            values ( \
-              "-0.0304768, -0.118906, -0.133813, -0.196641, -0.228166, -0.245279, -0.249434, -0.251004, -0.249791, -0.244438, -0.238436, -0.215109, -0.198889, -0.0899939, -0.0530747, -0.0367375, -0.0207534, -0.01599, -0.0112393, -0.00541087, -0.00158865, -0.00037085, -0.000103323, -5.72622e-05, -6.51636e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.0391716, 0.0430587, 0.0521385, 0.0538542, 0.0551888, 0.0564985, 0.0585845, 0.0606867, 0.0648945, 0.0667599, 0.0711663, 0.0742117, 0.0790988, 0.0882726, 0.0920223, 0.098083, 0.101871, 0.105011, 0.108526, 0.113213, 0.122588, 0.134905, 0.150219, 0.169824, 0.250593, 3.66185");
-            values ( \
-              "-0.0253881, -0.195799, -0.323961, -0.335107, -0.338147, -0.338774, -0.337564, -0.334711, -0.325813, -0.319784, -0.299671, -0.279503, -0.229278, -0.121356, -0.0895386, -0.0531269, -0.0378204, -0.0284142, -0.0205936, -0.0132952, -0.00526373, -0.00148714, -0.000303737, -6.83534e-05, -1.123e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0402591, 0.0457003, 0.0525885, 0.0555866, 0.0575409, 0.0605732, 0.0651362, 0.0792589, 0.089144, 0.0968547, 0.103129, 0.112632, 0.127095, 0.133712, 0.144144, 0.156759, 0.165781, 0.171384, 0.18259, 0.204072, 0.229153, 0.260947, 0.302186, 3.66182");
-            values ( \
-              "-0.0617674, -0.273861, -0.385052, -0.399532, -0.400917, -0.40059, -0.397339, -0.382071, -0.365751, -0.3462, -0.322857, -0.264589, -0.160081, -0.122725, -0.07845, -0.0443802, -0.0291973, -0.0224258, -0.013077, -0.00425086, -0.00108427, -0.000154597, -2.93589e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.0414773, 0.0522804, 0.0548354, 0.0568321, 0.0615444, 0.0707838, 0.0946209, 0.109042, 0.127845, 0.14992, 0.164688, 0.179653, 0.224252, 0.239955, 0.264158, 0.279416, 0.2923, 0.309628, 0.332732, 0.377767, 0.430204, 0.496733, 0.56997, 3.66178");
-            values ( \
-              "-0.16031, -0.415564, -0.432845, -0.436784, -0.438122, -0.435049, -0.423333, -0.414931, -0.401193, -0.377532, -0.352438, -0.31022, -0.149516, -0.109561, -0.0659707, -0.0473818, -0.0356018, -0.0241876, -0.0142812, -0.00460884, -0.00116568, -0.000151302, -2.26055e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.0417439, 0.0533682, 0.0571, 0.0630457, 0.0805681, 0.124883, 0.152281, 0.200475, 0.247499, 0.267095, 0.288292, 0.309546, 0.352055, 0.39551, 0.433236, 0.469662, 0.497131, 0.520271, 0.544925, 0.580057, 0.625993, 0.68724, 0.760477, 0.833714, 0.906951, 1.05342, 3.66173");
-            values ( \
-              "-0.178611, -0.443705, -0.455714, -0.457831, -0.455176, -0.445388, -0.438228, -0.423312, -0.404162, -0.39355, -0.379053, -0.359197, -0.294404, -0.214246, -0.15564, -0.11182, -0.0860602, -0.0687398, -0.0538793, -0.0377152, -0.0235593, -0.0122993, -0.00549454, -0.00248508, -0.00107859, -0.000197837, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.0429429, 0.0553602, 0.0610091, 0.0685468, 0.122816, 0.220605, 0.281167, 0.362962, 0.417215, 0.465251, 0.52534, 0.59068, 0.62924, 0.677499, 0.842911, 0.905372, 0.969994, 1.03935, 1.11613, 1.16635, 1.23617, 1.31564, 1.38888, 1.46211, 1.53535, 1.68183, 1.8283, 1.97477, 2.26772, 3.66163");
-            values ( \
-              "-0.260905, -0.463012, -0.467392, -0.467777, -0.463622, -0.452828, -0.445135, -0.433506, -0.424679, -0.415691, -0.401946, -0.381679, -0.364637, -0.334883, -0.198892, -0.15612, -0.11986, -0.0890313, -0.0635993, -0.0507242, -0.0369076, -0.0256067, -0.0181537, -0.0129033, -0.0090987, -0.00453617, -0.00225187, -0.00111186, -0.00026115, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.070584, 0.0790508, 0.0833393, 0.0859428, 0.0896886, 0.0929598, 0.0955087, 0.0975617, 0.0983249, 0.0990881, 0.0996976, 0.100003, 0.100307, 0.100612, 0.100917, 0.101511, 0.102105, 0.103293, 0.104196, 0.1051, 0.106003, 0.106907, 0.107911, 0.112294, 0.11265, 0.113013, 0.113357, 0.115496, 0.117859, 0.118521, 0.119514, 0.120837, 0.122161, 0.12433, 0.125822, 0.127314, 0.128805, 0.130297, 0.131789, 0.13328, 0.134772, 0.136668, 0.138564, 0.14046, 0.142356, 0.14714, 0.151923, 0.164367, 0.18389, 0.432809");
-            values ( \
-              "-0.0968882, -0.101034, -0.119801, -0.130692, -0.145422, -0.157581, -0.165867, -0.171695, -0.173415, -0.174841, -0.175358, -0.175484, -0.17552, -0.175469, -0.175328, -0.174215, -0.172763, -0.168843, -0.164954, -0.160282, -0.154826, -0.148586, -0.138453, -0.0866874, -0.0839282, -0.0823935, -0.0798977, -0.0625905, -0.0449769, -0.040634, -0.0351011, -0.0288645, -0.0235516, -0.0164648, -0.0124366, -0.00956059, -0.00716833, -0.00571335, -0.0044397, -0.00334737, -0.00243635, -0.00185933, -0.00136244, -0.000945667, -0.000609017, -0.000325069, -0.000132471, -5.93482e-05, -2.62267e-05, -4.39963e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.0674918, 0.0753915, 0.0825364, 0.0922796, 0.102817, 0.108037, 0.110087, 0.112574, 0.113482, 0.115214, 0.117841, 0.120347, 0.132904, 0.138598, 0.142808, 0.146291, 0.151618, 0.155143, 0.162194, 0.17388, 0.187893, 0.205775, 0.230295, 0.34459, 3.66177");
-            values ( \
-              "-0.0165187, -0.10493, -0.153923, -0.208282, -0.260498, -0.281121, -0.286807, -0.290553, -0.294992, -0.292252, -0.278106, -0.256438, -0.111954, -0.0695409, -0.0481007, -0.0351537, -0.0215981, -0.0155877, -0.00791146, -0.00239155, -0.000535872, -0.000111095, -2.12781e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.0650701, 0.0903237, 0.103565, 0.112612, 0.113669, 0.114982, 0.116068, 0.11823, 0.120381, 0.124503, 0.13049, 0.133894, 0.137463, 0.144601, 0.14681, 0.151228, 0.155801, 0.165243, 0.173339, 0.178499, 0.184397, 0.191402, 0.195349, 0.203245, 0.209151, 0.212173, 0.218216, 0.230303, 0.252691, 0.279096, 0.312804, 0.357471, 3.66181");
-            values ( \
-              "-0.00274165, -0.232552, -0.31696, -0.369817, -0.37839, -0.384531, -0.385885, -0.386347, -0.384626, -0.379984, -0.370452, -0.363641, -0.355049, -0.332223, -0.323104, -0.29949, -0.267955, -0.196726, -0.144316, -0.116877, -0.0908639, -0.0667599, -0.0558891, -0.0388321, -0.0295157, -0.0256228, -0.0192671, -0.0107042, -0.00328465, -0.000743003, -9.60371e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.0665029, 0.0927272, 0.112561, 0.115147, 0.118499, 0.12176, 0.123118, 0.131269, 0.138442, 0.152788, 0.160811, 0.171509, 0.185951, 0.191284, 0.200576, 0.210808, 0.230788, 0.249543, 0.262477, 0.269949, 0.280567, 0.294723, 0.306784, 0.315235, 0.332137, 0.346573, 0.356066, 0.375052, 0.413024, 0.464832, 0.529174, 0.602411, 3.66176");
-            values ( \
-              "-0.000705889, -0.271489, -0.409117, -0.424186, -0.432272, -0.431236, -0.431066, -0.427394, -0.423484, -0.415084, -0.409638, -0.401324, -0.387245, -0.380788, -0.36727, -0.347309, -0.283498, -0.210767, -0.166642, -0.144601, -0.117258, -0.0875967, -0.0679296, -0.0566447, -0.0390388, -0.0283562, -0.0229332, -0.0148767, -0.00594153, -0.00151782, -0.000241808, -1.55868e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.0677889, 0.0933418, 0.114494, 0.117531, 0.124613, 0.160107, 0.196287, 0.233255, 0.257812, 0.291882, 0.327036, 0.348273, 0.364145, 0.381575, 0.444554, 0.478133, 0.512392, 0.548517, 0.565151, 0.598421, 0.639175, 0.66442, 0.714909, 0.788146, 0.861383, 0.93462, 1.00786, 1.3008, 3.66175");
-            values ( \
-              "-0.00141678, -0.286977, -0.444279, -0.454638, -0.455299, -0.447667, -0.438295, -0.427163, -0.418566, -0.403967, -0.383016, -0.364801, -0.346274, -0.319703, -0.205158, -0.154048, -0.112791, -0.0799152, -0.0679626, -0.048746, -0.0322601, -0.0249184, -0.0146428, -0.00659869, -0.00293932, -0.00132379, -0.000578002, -1.48967e-05, -6.81508e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.0830224, 0.105239, 0.116107, 0.121936, 0.166798, 0.251633, 0.325139, 0.431826, 0.535989, 0.579281, 0.6249, 0.673217, 0.721257, 0.885268, 0.949364, 1.01584, 1.08383, 1.14806, 1.2213, 1.28074, 1.34438, 1.41762, 1.49085, 1.56409, 1.71056, 1.85704, 2.29646, 3.66154");
-            values ( \
-              "-0.311335, -0.386442, -0.463126, -0.467032, -0.463559, -0.454349, -0.445022, -0.429558, -0.409954, -0.399322, -0.385202, -0.3647, -0.335009, -0.200073, -0.156077, -0.118891, -0.0888898, -0.0670703, -0.0481837, -0.0367603, -0.027447, -0.019482, -0.0138389, -0.00977453, -0.00487859, -0.00242622, -0.000288333, -1.15103e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.128417, 0.138506, 0.143194, 0.147525, 0.155121, 0.162445, 0.170322, 0.176863, 0.18144, 0.182855, 0.183326, 0.184235, 0.185143, 0.186052, 0.18696, 0.188338, 0.191093, 0.192835, 0.194708, 0.200952, 0.203533, 0.207321, 0.210722, 0.214618, 0.217302, 0.218643, 0.222669, 0.224821, 0.226973, 0.229126, 0.231278, 0.233846, 0.236413, 0.238981, 0.241548, 0.24433, 0.247112, 0.249894, 0.252675, 0.255306, 0.257936, 0.260343, 0.262749, 0.267562, 0.272376, 0.274465, 0.280732, 0.293268, 0.390295, 2.00151");
-            values ( \
-              "-0.0370334, -0.0379535, -0.0494423, -0.0583475, -0.0724853, -0.0850958, -0.0976901, -0.106939, -0.112339, -0.113152, -0.113317, -0.113485, -0.113456, -0.11323, -0.112806, -0.111747, -0.108199, -0.104695, -0.0961952, -0.0599804, -0.0468319, -0.0304518, -0.0203922, -0.0121089, -0.00798208, -0.00667444, -0.00350816, -0.00250843, -0.00168144, -0.00102719, -0.00054567, -0.000445298, -0.000425331, -0.000485768, -0.00062661, -0.000869948, -0.00120767, -0.00163976, -0.00216624, -0.00191312, -0.00155566, -0.0011372, -0.000631393, -0.00032802, -0.000133587, -0.000109708, -5.47738e-05, -3.58046e-05, -1.94784e-06, -1.33322e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.124506, 0.140688, 0.143148, 0.152429, 0.165801, 0.18547, 0.193661, 0.200027, 0.203757, 0.208571, 0.216258, 0.23037, 0.235581, 0.243028, 0.248922, 0.251505, 0.261165, 0.266926, 0.275604, 0.288962, 0.309571, 0.338016, 3.66181");
-            values ( \
-              "-0.0164307, -0.0533665, -0.0627678, -0.0896458, -0.122038, -0.164994, -0.180382, -0.189955, -0.193604, -0.193716, -0.172538, -0.0799025, -0.054219, -0.0294361, -0.0175419, -0.0169842, -0.00812002, -0.00470681, -0.00206125, -0.000513671, -8.67062e-05, -1.4124e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.124454, 0.153564, 0.166129, 0.18857, 0.210214, 0.219421, 0.227688, 0.23211, 0.233865, 0.237376, 0.244231, 0.24887, 0.251734, 0.264336, 0.274952, 0.285541, 0.290927, 0.298109, 0.307157, 0.312774, 0.324008, 0.345289, 0.370124, 0.401531, 0.442227, 3.66176");
-            values ( \
-              "-0.00220754, -0.106947, -0.14533, -0.208105, -0.26346, -0.2839, -0.298986, -0.304823, -0.306251, -0.307854, -0.302608, -0.289561, -0.277483, -0.1876, -0.123555, -0.0784752, -0.0617597, -0.0444703, -0.0292167, -0.0224423, -0.0130507, -0.00430713, -0.00110376, -0.00017448, -1e-22, -1.02103e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.124367, 0.180003, 0.226748, 0.252955, 0.259576, 0.264954, 0.28305, 0.298042, 0.308279, 0.32826, 0.359949, 0.378048, 0.397921, 0.412673, 0.439297, 0.453646, 0.472778, 0.511043, 0.561728, 0.625927, 3.66167");
-            values ( \
-              "-0.00153832, -0.203425, -0.345186, -0.414098, -0.407396, -0.40537, -0.387826, -0.367438, -0.347106, -0.283662, -0.166497, -0.11736, -0.0775862, -0.0567946, -0.0314177, -0.0227838, -0.0148885, -0.00576315, -0.00165279, -0.000153252, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.124336, 0.204688, 0.248752, 0.25646, 0.293755, 0.330792, 0.38324, 0.428808, 0.451039, 0.494433, 0.563169, 0.603571, 0.646275, 0.6748, 0.7074, 0.729056, 0.778182, 0.834326, 0.907563, 0.9808, 1.05404, 1.12727, 1.49346, 3.66164");
-            values ( \
-              "-0.00221248, -0.295452, -0.437168, -0.447605, -0.438211, -0.427092, -0.406887, -0.379794, -0.359228, -0.292809, -0.172157, -0.120072, -0.0799482, -0.0605082, -0.0435859, -0.0350302, -0.021156, -0.0116781, -0.00522757, -0.0023524, -0.00103304, -0.000476957, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.160306, 0.205739, 0.248659, 0.253135, 0.262088, 0.269239, 0.34283, 0.422809, 0.558907, 0.633985, 0.676962, 0.718095, 0.770893, 0.818839, 0.982233, 1.04705, 1.11434, 1.18173, 1.24534, 1.31858, 1.37826, 1.44225, 1.51549, 1.58873, 1.66196, 1.80844, 1.95491, 2.17462, 2.39433, 3.66155");
-            values ( \
-              "-0.238706, -0.306565, -0.451887, -0.460827, -0.464965, -0.463119, -0.45509, -0.445075, -0.424619, -0.409913, -0.399348, -0.386794, -0.364677, -0.335116, -0.200638, -0.1561, -0.118506, -0.0887882, -0.067172, -0.0482933, -0.0367696, -0.0274048, -0.0194844, -0.0138083, -0.00978479, -0.00489274, -0.00244219, -0.00085206, -0.000305326, -4.59136e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.254545, 0.275243, 0.280756, 0.28612, 0.293173, 0.308435, 0.321646, 0.331208, 0.343765, 0.34903, 0.35254, 0.354295, 0.355598, 0.356033, 0.356901, 0.35777, 0.358639, 0.359507, 0.360502, 0.363487, 0.365882, 0.367695, 0.371385, 0.379638, 0.384572, 0.388916, 0.394965, 0.398423, 0.40188, 0.405337, 0.410997, 0.413827, 0.416657, 0.423361, 0.426713, 0.430065, 0.438609, 0.447154, 0.470989, 0.493351, 0.515712, 0.538074, 0.560435, 0.575399, 0.590362, 0.605326, 0.620289, 0.637664, 0.832152, 3.43207");
-            values ( \
-              "-0.0179402, -0.0184749, -0.0233264, -0.0286198, -0.0347065, -0.0465193, -0.0557328, -0.0619063, -0.0689929, -0.0712722, -0.0724364, -0.072912, -0.0730286, -0.0730488, -0.073061, -0.0730359, -0.0729733, -0.0728733, -0.0726543, -0.0715464, -0.0693183, -0.0667755, -0.0586372, -0.0362051, -0.0244847, -0.016825, -0.0092068, -0.00612276, -0.00423661, -0.0027518, -0.00154266, -0.00107496, -0.000698517, -0.000378604, -0.000254877, -0.000155301, -7.48954e-05, -2.30895e-05, -2.97388e-05, -6.21179e-05, -0.000119804, -0.000202797, -0.000311097, -0.000209569, -0.000125228, -5.80729e-05, -8.10501e-06, -6.02447e-06, -1.77318e-07, -1.26325e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.260031, 0.285135, 0.297641, 0.30523, 0.31282, 0.330806, 0.343352, 0.355514, 0.361133, 0.367064, 0.376508, 0.378439, 0.38037, 0.382233, 0.384096, 0.385959, 0.387823, 0.390644, 0.393464, 0.396285, 0.399106, 0.399715, 0.401389, 0.402748, 0.40592, 0.409475, 0.41762, 0.425109, 0.427038, 0.430896, 0.433283, 0.437567, 0.439523, 0.442458, 0.446372, 0.450285, 0.457779, 0.461525, 0.465272, 0.488792, 0.512311, 0.535831, 0.55935, 0.571972, 0.577634, 0.583295, 0.60594, 0.666839, 0.81216, 3.41207");
-            values ( \
-              "-0.0330698, -0.03636, -0.0512871, -0.0595628, -0.0674941, -0.0853082, -0.0967969, -0.107306, -0.111769, -0.116238, -0.122495, -0.124109, -0.126019, -0.125795, -0.125499, -0.125132, -0.124694, -0.123896, -0.122935, -0.121812, -0.120525, -0.1196, -0.116287, -0.113078, -0.104043, -0.0927665, -0.0647627, -0.0427872, -0.0379843, -0.0300998, -0.0259417, -0.0193165, -0.0165589, -0.0129533, -0.00958782, -0.00680418, -0.00398707, -0.00271156, -0.00152475, -0.0015908, -0.00149175, -0.00122759, -0.000798333, -0.000499879, -0.000318002, -0.00010639, -2.57467e-05, -4.67313e-06, -1.91656e-07, -4.39836e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.272379, 0.306874, 0.323108, 0.349585, 0.366514, 0.389293, 0.396183, 0.405004, 0.411871, 0.417019, 0.42482, 0.428797, 0.430123, 0.432697, 0.43527, 0.437844, 0.440418, 0.444311, 0.448205, 0.452099, 0.456807, 0.462372, 0.480395, 0.485918, 0.493084, 0.498177, 0.503271, 0.507346, 0.517002, 0.522778, 0.527729, 0.53103, 0.53433, 0.537631, 0.540773, 0.543915, 0.547056, 0.550198, 0.554911, 0.558809, 0.56579, 0.574705, 0.583619, 0.592533, 0.600919, 0.609305, 0.626076, 0.642848, 0.778193, 3.52458");
-            values ( \
-              "-0.0652097, -0.0724712, -0.0934026, -0.125216, -0.144652, -0.169161, -0.176121, -0.184427, -0.190539, -0.194678, -0.200579, -0.202014, -0.2023, -0.202579, -0.202495, -0.202048, -0.201237, -0.199266, -0.196436, -0.192744, -0.186881, -0.170505, -0.105168, -0.0878666, -0.0680901, -0.0555336, -0.0456356, -0.0387876, -0.0255355, -0.0194485, -0.0152026, -0.0128175, -0.0107889, -0.00911665, -0.00818507, -0.00739872, -0.0067576, -0.00626172, -0.00587693, -0.00536657, -0.00424971, -0.00260628, -0.00173842, -0.00107424, -0.000681658, -0.000376684, -0.000188066, -3.28182e-05, -1.25111e-06, -1.9969e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.243794, 0.258341, 0.275529, 0.29136, 0.377829, 0.413041, 0.44948, 0.46998, 0.483266, 0.497876, 0.509337, 0.524251, 0.546837, 0.570567, 0.587416, 0.610778, 0.62834, 0.642904, 0.653346, 0.663483, 0.677, 0.704033, 0.751137, 0.807046, 0.878201, 0.951438, 3.66214");
-            values ( \
-              "-0.0175353, -0.0223755, -0.0385551, -0.0568098, -0.176869, -0.222706, -0.266807, -0.288818, -0.301289, -0.312193, -0.317043, -0.31461, -0.272152, -0.189516, -0.138022, -0.0858372, -0.0591407, -0.0430196, -0.0341634, -0.0272841, -0.0201383, -0.0107471, -0.00327813, -0.000695127, -9.83784e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.275054, 0.326435, 0.374645, 0.463338, 0.506595, 0.541868, 0.550015, 0.555244, 0.560432, 0.585628, 0.606833, 0.621912, 0.645533, 0.667738, 0.711136, 0.751906, 0.779913, 0.820177, 0.851706, 0.875426, 0.892029, 0.925235, 0.952857, 0.966382, 0.993432, 1.04753, 1.12077, 1.19401, 1.26724, 1.34048, 1.48695, 1.70667, 3.66166");
-            values ( \
-              "-0.0559915, -0.111262, -0.183572, -0.310664, -0.368273, -0.41135, -0.420458, -0.422749, -0.422565, -0.413009, -0.403484, -0.395455, -0.379731, -0.359195, -0.292771, -0.21752, -0.172104, -0.120172, -0.0891003, -0.0708443, -0.060193, -0.0430873, -0.0325698, -0.0283976, -0.0214783, -0.0121309, -0.00543452, -0.00244317, -0.00107575, -0.00049383, -0.000105819, -1e-22, -8.16979e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.310638, 0.387433, 0.52361, 0.549839, 0.559249, 0.639244, 0.721198, 0.794435, 0.823252, 0.893509, 0.965374, 0.987485, 1.03171, 1.20912, 1.25495, 1.30944, 1.37171, 1.43721, 1.47412, 1.54736, 1.61782, 1.65352, 1.72493, 1.79816, 1.8714, 1.94464, 2.09111, 2.23758, 2.53053, 3.66149");
-            values ( \
-              "-0.156616, -0.208296, -0.412883, -0.450038, -0.454822, -0.44497, -0.433373, -0.421237, -0.415692, -0.399343, -0.37498, -0.364697, -0.33769, -0.192985, -0.161536, -0.129603, -0.0996107, -0.0749894, -0.0636659, -0.0457374, -0.0331549, -0.0281147, -0.0201791, -0.014293, -0.0101399, -0.00715323, -0.00356555, -0.00177178, -0.000431627, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.469462, 0.532994, 0.542692, 0.555623, 0.564555, 0.582419, 0.59199, 0.622418, 0.644411, 0.662965, 0.688933, 0.706355, 0.714968, 0.721535, 0.723457, 0.730271, 0.734232, 0.754915, 0.767706, 0.773132, 0.779332, 0.791732, 0.810282, 0.833411, 0.864654, 0.913339, 1.21591, 1.2269, 1.24475, 1.258, 1.2845, 1.31896, 1.37534, 1.44858, 1.52182, 1.59505, 1.66829, 1.74153, 1.81476, 1.888, 3.6618");
-            values ( \
-              "-0.000159861, -0.00400455, -0.0049568, -0.00652923, -0.00803335, -0.0122186, -0.0157566, -0.0255607, -0.0316822, -0.0363206, -0.0416801, -0.0440598, -0.0444482, -0.0439413, -0.0436015, -0.040876, -0.0379102, -0.0153101, -0.00686592, -0.00481946, -0.00325063, -0.00149762, -0.000637381, -0.000307574, -0.000156668, -1.14652e-05, -1e-22, -0.000267765, -4.5536e-05, -1e-22, -4.59757e-05, -1e-22, -2.92754e-05, -1e-22, -2.33e-05, -1e-22, -1.90281e-05, -1e-22, -1.56422e-05, -1e-22, -2.16477e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.469817, 0.542036, 0.55242, 0.566266, 0.576729, 0.592463, 0.620276, 0.648669, 0.673699, 0.693742, 0.71081, 0.7256, 0.742594, 0.754615, 0.772381, 0.778407, 0.789156, 0.811299, 0.823483, 0.831162, 0.83713, 0.849065, 0.870457, 0.895462, 0.927476, 0.970517, 1.21587, 1.22869, 1.25196, 1.27106, 1.30926, 1.3556, 1.42815, 1.50139, 1.57462, 1.64786, 1.7211, 1.79434, 1.86757, 1.94081, 3.66176");
-            values ( \
-              "-0.00037308, -0.00802109, -0.009956, -0.0130263, -0.0159896, -0.0216826, -0.0345844, -0.0468092, -0.0566911, -0.0640279, -0.0696862, -0.0740939, -0.0781736, -0.0800577, -0.0788947, -0.0752541, -0.0631053, -0.0293078, -0.0166917, -0.0114037, -0.00839564, -0.00437024, -0.00121608, -0.000233303, -9.45193e-05, -1e-22, -2e-22, -0.000432351, -0.000126172, -1e-22, -6.86661e-05, -1e-22, -4.52992e-05, -1e-22, -3.55737e-05, -1e-22, -2.83944e-05, -1e-22, -2.28507e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.560916, 0.61751, 0.647244, 0.665387, 0.697016, 0.710273, 0.720323, 0.743773, 0.754707, 0.765689, 0.777001, 0.787725, 0.793064, 0.807846, 0.811951, 0.816056, 0.820019, 0.823981, 0.827944, 0.831907, 0.837902, 0.843898, 0.849894, 0.85589, 0.860005, 0.864219, 0.872646, 0.88019, 0.894166, 0.904467, 0.909963, 0.915458, 0.923701, 0.931952, 0.939139, 0.944365, 0.949592, 0.954819, 0.960045, 0.965272, 0.970498, 0.98637, 1.00224, 1.06025, 1.11826, 1.17628, 1.23429, 1.26708, 1.34873, 3.50563");
-            values ( \
-              "-0.0382926, -0.040324, -0.0569461, -0.0665918, -0.0827098, -0.0892441, -0.0939709, -0.104627, -0.109414, -0.113985, -0.118444, -0.122477, -0.124345, -0.129186, -0.130845, -0.132763, -0.13271, -0.132596, -0.132421, -0.132186, -0.131713, -0.131102, -0.130351, -0.12946, -0.126113, -0.12142, -0.109283, -0.0967881, -0.0714828, -0.0548374, -0.0466444, -0.039759, -0.0310399, -0.0238107, -0.0181352, -0.0147697, -0.0118779, -0.00986461, -0.00805521, -0.00644973, -0.00504816, -0.00286012, -0.000915945, -0.000995243, -0.0009254, -0.000706416, -0.000338291, -6.42035e-05, -4.35076e-06, -6.89156e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.538318, 0.597274, 0.625807, 0.685794, 0.752808, 0.803798, 0.847191, 0.885893, 0.915856, 0.93319, 0.95554, 0.989378, 0.99218, 1.00277, 1.05226, 1.07189, 1.09786, 1.11722, 1.12736, 1.14764, 1.18821, 1.21596, 1.22983, 1.27116, 1.31068, 1.358, 1.50447, 3.66185");
-            values ( \
-              "-0.0251463, -0.0357799, -0.0502897, -0.0871255, -0.12587, -0.153613, -0.17563, -0.193214, -0.204527, -0.209445, -0.212335, -0.198114, -0.194853, -0.179901, -0.0947976, -0.0682385, -0.0423778, -0.0290285, -0.0236551, -0.0154463, -0.00596726, -0.00300124, -0.00324409, -0.00130503, -0.000605775, -0.000128708, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.537889, 0.661277, 0.758745, 0.853928, 0.92676, 0.98127, 1.02527, 1.05528, 1.08753, 1.11284, 1.14565, 1.1952, 1.27412, 1.29704, 1.3276, 1.34465, 1.36465, 1.38858, 1.42191, 1.4629, 1.51754, 1.59078, 1.66402, 1.73725, 1.81049, 2.10344, 3.66168");
-            values ( \
-              "-0.0136742, -0.0760031, -0.138954, -0.197971, -0.241057, -0.27108, -0.293192, -0.306384, -0.317868, -0.323467, -0.322153, -0.279483, -0.154514, -0.125722, -0.0943361, -0.0800938, -0.0659092, -0.0519734, -0.0370817, -0.024391, -0.0136975, -0.00615588, -0.00275455, -0.00122688, -0.000549137, -2.30408e-05, -1.32438e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.607991, 0.754036, 0.94095, 1.07199, 1.11138, 1.20216, 1.21566, 1.22868, 1.24376, 1.30457, 1.37449, 1.40763, 1.4686, 1.51639, 1.67866, 1.73332, 1.76771, 1.81356, 1.87986, 1.90069, 1.94235, 2.01559, 2.07569, 2.14033, 2.21357, 2.2868, 2.36004, 2.43328, 2.50651, 2.65299, 2.8727, 3.16565, 3.66156");
-            values ( \
-              "-0.0744862, -0.14053, -0.264781, -0.347908, -0.371448, -0.421746, -0.428613, -0.428766, -0.426842, -0.415604, -0.399381, -0.389524, -0.3647, -0.335251, -0.201618, -0.163355, -0.142337, -0.117762, -0.0886498, -0.0809734, -0.067402, -0.0484493, -0.0368303, -0.0273699, -0.0194474, -0.0137938, -0.00976271, -0.00690789, -0.00487831, -0.00243166, -0.000857314, -0.00021383, -1.80428e-05" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.195412, 0.222099, 0.27857, 0.400547, 0.671389, 1.27775", \
-            "0.201481, 0.228187, 0.284574, 0.406626, 0.677365, 1.28364", \
-            "0.216047, 0.24277, 0.299142, 0.421278, 0.691937, 1.29832", \
-            "0.247885, 0.274584, 0.330954, 0.453097, 0.72385, 1.33004", \
-            "0.302397, 0.329129, 0.385563, 0.507687, 0.778441, 1.38475", \
-            "0.388924, 0.415736, 0.472232, 0.594356, 0.864712, 1.47179" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0225991, 0.0363698, 0.0673102, 0.136633, 0.292543, 0.642488", \
-            "0.0225961, 0.0363785, 0.0673943, 0.136575, 0.292425, 0.642306", \
-            "0.0225986, 0.0363906, 0.0673195, 0.136677, 0.292422, 0.642755", \
-            "0.0226146, 0.0363975, 0.0673222, 0.13667, 0.292548, 0.642116", \
-            "0.0226504, 0.036421, 0.0673072, 0.136652, 0.292537, 0.642128", \
-            "0.0227471, 0.0364769, 0.0673091, 0.136697, 0.292595, 0.64206" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.17644, 0.185621, 0.189601, 0.195003, 0.202889, 0.206818, 0.209921, 0.212356, 0.213756, 0.216557, 0.225137, 0.229737, 0.234728, 0.240679, 0.247684, 0.255301");
-            values ( \
-              "-0.00576337, -0.0609016, -0.104445, -0.139461, -0.176212, -0.187344, -0.191319, -0.188537, -0.183239, -0.164729, -0.0678815, -0.0358996, -0.0171041, -0.00691718, -0.00240664, -0.00183371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.183103, 0.193082, 0.199648, 0.206077, 0.21424, 0.217937, 0.223809, 0.227904, 0.233671, 0.23926, 0.244848, 0.247115, 0.25115, 0.258498, 0.263732, 0.26825, 0.274389, 0.280972, 0.285645, 0.294992, 0.299713");
-            values ( \
-              "-0.0116345, -0.0473913, -0.0882693, -0.143092, -0.192838, -0.210644, -0.235176, -0.248436, -0.261337, -0.265711, -0.254532, -0.2439, -0.211998, -0.135382, -0.0913462, -0.0631085, -0.0371206, -0.0206582, -0.0135059, -0.00554333, -0.00407967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.08475, 0.121334, 0.132251, 0.139891, 0.154032, 0.171897, 0.19741, 0.204915, 0.216284, 0.226675, 0.239298, 0.255691, 0.268592, 0.28001, 0.290641, 0.300978, 0.311741, 0.315811, 0.34376, 0.353738, 0.363833, 0.371613, 0.379821, 0.38613, 0.394514");
-            values ( \
-              "-0.00607308, -0.00775649, -0.0110489, -0.0114768, -0.00843705, -0.00023768, -1e-22, -0.00915419, -0.0484608, -0.0962719, -0.168179, -0.236916, -0.277472, -0.303405, -0.317406, -0.323181, -0.308059, -0.294651, -0.122782, -0.0804615, -0.0512362, -0.0357049, -0.0243243, -0.0180349, -0.012511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.139301, 0.175056, 0.209894, 0.22666, 0.245236, 0.25978, 0.279255, 0.318092, 0.341059, 0.367272, 0.390469, 0.411992, 0.432942, 0.453863, 0.463399, 0.473242, 0.503561, 0.521955, 0.542298, 0.564827, 0.58518, 0.596615, 0.619486, 0.664192, 0.716254");
-            values ( \
-              "-0.0648134, -0.0182849, -0.00388199, -0.000747998, -0.0133917, -0.040931, -0.0912493, -0.205616, -0.25902, -0.306702, -0.335307, -0.353446, -0.356753, -0.343112, -0.324711, -0.295147, -0.183939, -0.129579, -0.0851909, -0.0523011, -0.0331441, -0.0255582, -0.0149893, -0.00479446, -0.00120174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.158461, 0.360884, 0.396406, 0.445696, 0.481572, 0.531111, 0.587496, 0.636924, 0.682967, 0.727779, 0.772531, 0.793348, 0.814838, 0.877756, 0.905758, 0.953081, 0.983589, 1.00545, 1.0409, 1.07632, 1.10356, 1.15805, 1.25098, 1.34392");
-            values ( \
-              "-0.0145001, -0.0443196, -0.090274, -0.164458, -0.212861, -0.270436, -0.322013, -0.353079, -0.370807, -0.374927, -0.359736, -0.339617, -0.306946, -0.19402, -0.152106, -0.097568, -0.072314, -0.0580288, -0.0402302, -0.0278391, -0.0208835, -0.0115399, -0.00389412, -0.0013073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.193208, 0.61188, 0.79408, 0.887011, 1.02886, 1.0795, 1.17243, 1.21959, 1.28939, 1.33091, 1.37801, 1.40629, 1.444, 1.48683, 1.57249, 1.72806, 1.80088, 1.90052, 2.00124, 2.11161, 2.20895, 2.30188, 2.48158");
-            values ( \
-              "-0.0103707, -0.0622141, -0.183356, -0.239666, -0.309375, -0.328731, -0.357329, -0.367927, -0.378839, -0.382404, -0.383247, -0.381948, -0.377519, -0.366811, -0.318433, -0.189693, -0.141716, -0.0923005, -0.0587758, -0.0349225, -0.0221582, -0.0139718, -0.0058493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.197713, 0.201403, 0.205869, 0.209822, 0.217089, 0.219569, 0.223431, 0.227025, 0.23049, 0.23395, 0.235849, 0.237814, 0.243246, 0.246774, 0.250099, 0.25423, 0.258448, 0.263268, 0.265794");
-            values ( \
-              "-0.0221358, -0.0381352, -0.0641728, -0.10393, -0.149273, -0.161625, -0.177313, -0.18732, -0.191558, -0.184058, -0.172472, -0.154252, -0.0886088, -0.0559871, -0.0350845, -0.019058, -0.0101446, -0.00486645, -0.00375718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.206673, 0.213139, 0.219674, 0.226105, 0.234798, 0.241761, 0.247936, 0.253709, 0.2593, 0.264959, 0.267149, 0.271188, 0.283769, 0.288286, 0.294424, 0.301007, 0.305681, 0.312549");
-            values ( \
-              "-0.0435993, -0.0475339, -0.0853735, -0.144202, -0.196252, -0.227964, -0.248977, -0.261062, -0.266173, -0.254163, -0.243961, -0.212021, -0.091351, -0.0631169, -0.0371311, -0.0206556, -0.0135091, -0.00765265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.104861, 0.113689, 0.121643, 0.125809, 0.128945, 0.142844, 0.150785, 0.157859, 0.17653, 0.189802, 0.194886, 0.211733, 0.224518, 0.23294, 0.240006, 0.24748, 0.258985, 0.2754, 0.288315, 0.298483, 0.306373, 0.310354, 0.318315, 0.325425, 0.331111, 0.341658, 0.357203, 0.364389, 0.377158, 0.389318, 0.394766, 0.402791, 0.411809, 0.419554");
-            values ( \
-              "-0.0059521, -0.0072546, -0.00704381, -0.00822456, -0.00731505, -0.00789363, -0.0108548, -0.0115644, -0.00758886, -0.00121669, -1e-22, -2e-22, -0.00840516, -0.0358733, -0.0655798, -0.0998298, -0.165949, -0.235356, -0.27649, -0.300131, -0.313219, -0.317845, -0.322508, -0.318776, -0.308467, -0.261996, -0.157637, -0.118624, -0.0685873, -0.0393624, -0.0305493, -0.0209335, -0.0135733, -0.0100339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.157971, 0.195485, 0.229594, 0.246323, 0.264915, 0.27964, 0.299665, 0.327483, 0.361516, 0.387787, 0.4023, 0.42799, 0.44127, 0.453301, 0.47413, 0.480228, 0.486732, 0.516812, 0.540564, 0.562716, 0.584992, 0.605519, 0.617131, 0.640355, 0.67209");
-            values ( \
-              "-0.0609135, -0.0182754, -0.00410961, -0.000732821, -0.0124004, -0.0397201, -0.0915131, -0.177509, -0.259946, -0.307312, -0.327093, -0.350966, -0.356894, -0.356476, -0.343234, -0.332468, -0.316895, -0.208706, -0.134188, -0.0850239, -0.0524909, -0.0331322, -0.025468, -0.0147875, -0.00766117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.177305, 0.37471, 0.416453, 0.477703, 0.551229, 0.583475, 0.60732, 0.656912, 0.702909, 0.747729, 0.792488, 0.81326, 0.834701, 0.898288, 0.925527, 0.97159, 1.00519, 1.0291, 1.07596, 1.10358, 1.15882, 1.25175, 1.34468");
-            values ( \
-              "-0.0202585, -0.0374193, -0.0908909, -0.18177, -0.271274, -0.301287, -0.322229, -0.352542, -0.37116, -0.37444, -0.360116, -0.339679, -0.307066, -0.193107, -0.152343, -0.098942, -0.0711354, -0.0559015, -0.0344394, -0.0257499, -0.0141653, -0.00476949, -0.00162239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.210256, 0.30772, 0.404732, 0.472403, 0.518815, 0.576671, 0.677328, 0.86777, 0.975487, 1.05646, 1.18367, 1.25491, 1.30855, 1.40149, 1.43968, 1.46961, 1.50628, 1.57962, 1.75205, 1.81358, 1.89587, 2.01233, 2.08064, 2.15298, 2.26135, 2.35428, 2.54014, 2.54613");
-            values ( \
-              "-0.122523, -0.0313062, -0.015813, -0.0109489, -0.0144498, -0.0330357, -0.0910599, -0.217181, -0.27621, -0.312681, -0.355158, -0.370865, -0.378634, -0.383388, -0.380712, -0.376459, -0.366822, -0.327542, -0.186342, -0.145675, -0.102631, -0.0609756, -0.0443543, -0.0316333, -0.0188702, -0.0119715, -0.00479866, -0.00472223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.243195, 0.246778, 0.251285, 0.255243, 0.260327, 0.262503, 0.264994, 0.268856, 0.27245, 0.275915, 0.279376, 0.281271, 0.28324, 0.288672, 0.2922, 0.295525, 0.299656, 0.303875, 0.308696, 0.310213");
-            values ( \
-              "-0.0222337, -0.0377217, -0.0640769, -0.103907, -0.137483, -0.149145, -0.161558, -0.177256, -0.187337, -0.191512, -0.184082, -0.172492, -0.154248, -0.0886061, -0.055983, -0.0350847, -0.0190575, -0.0101436, -0.0048638, -0.00419833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.251297, 0.25855, 0.265085, 0.271508, 0.280192, 0.287165, 0.293352, 0.299115, 0.304708, 0.31051, 0.312541, 0.316593, 0.329175, 0.33369, 0.339826, 0.346411, 0.351087, 0.358376");
-            values ( \
-              "-0.0336545, -0.0475804, -0.0869126, -0.143672, -0.195937, -0.226473, -0.249465, -0.260828, -0.266496, -0.253655, -0.244075, -0.212043, -0.0913452, -0.063119, -0.0371387, -0.0206574, -0.0135061, -0.00729393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.149951, 0.154689, 0.162032, 0.170705, 0.174242, 0.178823, 0.182162, 0.184687, 0.187675, 0.19543, 0.202141, 0.211034, 0.220746, 0.235725, 0.241117, 0.258223, 0.27112, 0.277036, 0.281804, 0.289929, 0.29181, 0.295571, 0.301656, 0.310266, 0.320947, 0.332557, 0.336507, 0.345273, 0.353616, 0.355924, 0.36054, 0.366234, 0.376712, 0.379483, 0.382651, 0.388278, 0.403161, 0.408853, 0.416441, 0.419597, 0.425551, 0.432356, 0.43808, 0.443899, 0.451658, 0.462574");
-            values ( \
-              "-0.00595268, -0.00693966, -0.0065027, -0.00817957, -0.00727227, -0.00796644, -0.00719855, -0.0078033, -0.00772329, -0.0106473, -0.0115618, -0.0105518, -0.00813062, -0.000992691, -1e-22, -2e-22, -0.0111058, -0.0304578, -0.0489945, -0.0870076, -0.0936397, -0.113967, -0.152033, -0.192816, -0.234268, -0.273406, -0.283519, -0.302713, -0.315176, -0.318014, -0.321244, -0.322295, -0.308712, -0.300559, -0.287548, -0.254481, -0.154844, -0.123702, -0.0898759, -0.0783561, -0.0601744, -0.0440765, -0.0337885, -0.0257347, -0.0177823, -0.0110521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.206407, 0.318965, 0.345903, 0.364534, 0.388958, 0.406716, 0.433027, 0.456146, 0.477682, 0.498627, 0.519543, 0.529101, 0.538967, 0.569181, 0.587717, 0.608019, 0.630351, 0.65085, 0.662432, 0.685597, 0.724104");
-            values ( \
-              "-0.0118533, -0.0272767, -0.0938311, -0.152435, -0.218164, -0.258192, -0.306517, -0.335873, -0.353111, -0.357245, -0.34275, -0.324679, -0.295026, -0.184131, -0.129359, -0.0851054, -0.0524732, -0.0331447, -0.0254752, -0.01483, -0.00614532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.225678, 0.421112, 0.461807, 0.523052, 0.59658, 0.628787, 0.652671, 0.702259, 0.748259, 0.793078, 0.837838, 0.858609, 0.88005, 0.943641, 0.970875, 1.01693, 1.05055, 1.07446, 1.12131, 1.14892, 1.20414, 1.29707, 1.39001");
-            values ( \
-              "-0.0202275, -0.0384443, -0.0907335, -0.181693, -0.271186, -0.301353, -0.322139, -0.352639, -0.371068, -0.374535, -0.360025, -0.339675, -0.307072, -0.193097, -0.152349, -0.0989548, -0.0711302, -0.0558949, -0.034437, -0.0257542, -0.0141666, -0.00477293, -0.00162058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.251817, 0.625629, 0.72317, 0.859381, 0.940024, 1.02148, 1.09512, 1.14478, 1.25343, 1.34777, 1.39612, 1.42454, 1.45272, 1.50162, 1.55216, 1.64509, 1.7806, 1.88326, 1.97252, 2.07589, 2.1776, 2.23442, 2.32735, 2.42028, 2.51322, 2.69908, 2.79201");
-            values ( \
-              "-0.0332689, -0.0344705, -0.0909352, -0.183461, -0.23249, -0.276237, -0.309592, -0.328864, -0.361068, -0.377978, -0.382285, -0.383357, -0.383012, -0.37871, -0.36685, -0.31282, -0.199324, -0.132042, -0.0896898, -0.0561809, -0.0348696, -0.0268242, -0.0169404, -0.0109393, -0.00676675, -0.00265051, -0.00183927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.343172, 0.351135, 0.355109, 0.360507, 0.368744, 0.372332, 0.375799, 0.37926, 0.381102, 0.39209, 0.395401, 0.399534, 0.40378, 0.408633, 0.412552");
-            values ( \
-              "-0.0191883, -0.0620159, -0.104114, -0.139291, -0.177778, -0.186969, -0.191859, -0.183804, -0.172879, -0.0559385, -0.0351217, -0.019074, -0.0101097, -0.00482284, -0.00311725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.347701, 0.358467, 0.360001, 0.365033, 0.37146, 0.380152, 0.387134, 0.393319, 0.399083, 0.404676, 0.41048, 0.412511, 0.416563, 0.429145, 0.43366, 0.439796, 0.446381, 0.451056, 0.458426");
-            values ( \
-              "-0.00731424, -0.0473945, -0.056136, -0.0877583, -0.142979, -0.195554, -0.226685, -0.24916, -0.261026, -0.266238, -0.25364, -0.244059, -0.212041, -0.0913413, -0.0631136, -0.0371323, -0.0206619, -0.0135032, -0.00722785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.246096, 0.24745, 0.249366, 0.251632, 0.255228, 0.270447, 0.284376, 0.287455, 0.295235, 0.298305, 0.306418, 0.315625, 0.320443, 0.325614, 0.335167, 0.340451, 0.357255, 0.369951, 0.376779, 0.37999, 0.386413, 0.391802, 0.401775, 0.404495, 0.419076, 0.424544, 0.433798, 0.437723, 0.445199, 0.455859, 0.4662, 0.476674, 0.478707, 0.481031, 0.485678, 0.501326, 0.50897, 0.513309, 0.518961, 0.523554, 0.529066, 0.536844, 0.540317, 0.545043, 0.551345, 0.563948, 0.564729");
-            values ( \
-              "-0.00423892, -0.00439955, -0.00535378, -0.00502557, -0.00530339, -0.00721955, -0.00737839, -0.00779131, -0.0104314, -0.0111032, -0.0113422, -0.00954738, -0.00819448, -0.00611247, -0.00136059, -1e-22, -2e-22, -0.00829546, -0.029831, -0.0419707, -0.0699172, -0.0959775, -0.149617, -0.166521, -0.22911, -0.248317, -0.2765, -0.286181, -0.302649, -0.317788, -0.322614, -0.308631, -0.303036, -0.294627, -0.270878, -0.165625, -0.122864, -0.102637, -0.0804764, -0.0657157, -0.0512195, -0.0357024, -0.0303699, -0.0243332, -0.018043, -0.0097379, -0.00950585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.307219, 0.419141, 0.444711, 0.472501, 0.506516, 0.532808, 0.555946, 0.577481, 0.598425, 0.619649, 0.628889, 0.638746, 0.669001, 0.68748, 0.707798, 0.730208, 0.750649, 0.762172, 0.78522, 0.829944, 0.882073");
-            values ( \
-              "-0.0115366, -0.0279541, -0.0908094, -0.175835, -0.25851, -0.306227, -0.336161, -0.352859, -0.357508, -0.342175, -0.324697, -0.295098, -0.184049, -0.129445, -0.0851374, -0.0524039, -0.0331437, -0.0255099, -0.0148923, -0.0047629, -0.00118843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.327027, 0.534049, 0.623354, 0.669426, 0.696827, 0.753134, 0.802592, 0.848636, 0.893448, 0.9382, 0.959018, 0.980507, 1.04343, 1.07143, 1.11875, 1.14926, 1.17112, 1.20657, 1.24199, 1.26923, 1.32371, 1.38462");
-            values ( \
-              "-0.00773133, -0.0532933, -0.180987, -0.240029, -0.270559, -0.321905, -0.35315, -0.370729, -0.374999, -0.359657, -0.339613, -0.306949, -0.194016, -0.152109, -0.0975718, -0.0723155, -0.058025, -0.0402321, -0.0278416, -0.0208827, -0.0115432, -0.00652931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.357233, 0.453603, 0.499767, 0.549912, 0.581198, 0.617636, 0.663867, 0.722141, 0.803382, 1.01291, 1.12105, 1.20239, 1.24442, 1.32848, 1.40193, 1.45411, 1.54704, 1.58523, 1.62887, 1.65184, 1.69779, 1.76352, 1.89243, 1.96236, 2.00679, 2.06436, 2.11328, 2.16692, 2.24308, 2.29112, 2.38002, 2.47295, 2.56588, 2.65881, 2.75174, 2.93761");
-            values ( \
-              "-0.124336, -0.0312409, -0.0227842, -0.0158944, -0.012722, -0.0109872, -0.0143467, -0.0330308, -0.0787766, -0.216987, -0.276264, -0.312883, -0.328699, -0.355011, -0.371173, -0.378594, -0.383431, -0.380673, -0.373574, -0.366792, -0.345793, -0.296309, -0.190086, -0.143735, -0.119206, -0.09276, -0.0745815, -0.0583817, -0.0409168, -0.0326521, -0.021392, -0.0136302, -0.00864253, -0.00548535, -0.00346151, -0.00138027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.548069, 0.556275, 0.560251, 0.568129, 0.573939, 0.577534, 0.581006, 0.584473, 0.586267, 0.596186, 0.599991, 0.604458, 0.611487, 0.61674");
-            values ( \
-              "-0.0217891, -0.0632828, -0.101407, -0.151862, -0.176938, -0.187025, -0.191173, -0.183955, -0.173043, -0.0650366, -0.0383791, -0.0198832, -0.00690476, -0.00344192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.556167, 0.563658, 0.570177, 0.5766, 0.585299, 0.592287, 0.598477, 0.604245, 0.609842, 0.615431, 0.617665, 0.621733, 0.634318, 0.638834, 0.644971, 0.651557, 0.656233, 0.663753");
-            values ( \
-              "-0.0305063, -0.0481323, -0.0876532, -0.142796, -0.195283, -0.226571, -0.248913, -0.260905, -0.266055, -0.254179, -0.244057, -0.211986, -0.0913276, -0.0631041, -0.0371264, -0.0206583, -0.0135001, -0.0070996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.436355, 0.488505, 0.506699, 0.521443, 0.544325, 0.563995, 0.572921, 0.588892, 0.625751, 0.638623, 0.650068, 0.660699, 0.671037, 0.681361, 0.685932, 0.711208, 0.73155, 0.745034, 0.763066, 0.772906");
-            values ( \
-              "-0.00268071, -0.00617649, -0.0108507, -0.00929271, -1e-22, -2e-22, -0.00467438, -0.0596441, -0.23606, -0.276973, -0.302255, -0.318579, -0.322138, -0.309524, -0.294412, -0.136347, -0.0570012, -0.0305177, -0.0128927, -0.0088462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.511638, 0.622179, 0.650211, 0.669189, 0.695247, 0.711938, 0.73824, 0.761354, 0.782887, 0.803829, 0.824743, 0.834326, 0.844218, 0.874312, 0.893014, 0.91327, 0.935373, 0.95604, 0.967793, 0.9913, 1.02823");
-            values ( \
-              "-0.0149887, -0.0236119, -0.091357, -0.150957, -0.220963, -0.258273, -0.306653, -0.335895, -0.353198, -0.357241, -0.342806, -0.324635, -0.294854, -0.184361, -0.129108, -0.0850109, -0.0526756, -0.0331475, -0.0253772, -0.0146482, -0.00642376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.532326, 0.74089, 0.828534, 0.8745, 0.901991, 0.958294, 1.00775, 1.05379, 1.0986, 1.14335, 1.16419, 1.1857, 1.24832, 1.27667, 1.32456, 1.37451, 1.42301, 1.47751, 1.53566, 1.57941");
-            values ( \
-              "-0.00599946, -0.0554002, -0.180862, -0.24014, -0.270425, -0.32209, -0.353007, -0.370894, -0.374855, -0.35981, -0.339599, -0.306866, -0.19445, -0.151987, -0.0969403, -0.0590741, -0.0357898, -0.0201901, -0.0106888, -0.00735325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.56285, 0.94981, 1.02859, 1.21778, 1.32634, 1.40805, 1.44969, 1.53297, 1.60869, 1.65934, 1.75227, 1.79042, 1.82314, 1.85705, 1.92489, 2.056, 2.14901, 2.21326, 2.2702, 2.37137, 2.44786, 2.49658, 2.58706, 2.67999, 2.77292, 2.86585, 2.95878, 3.14465");
-            values ( \
-              "-0.025337, -0.0440732, -0.0915384, -0.21687, -0.276383, -0.313132, -0.328732, -0.354878, -0.371495, -0.378599, -0.38347, -0.380686, -0.375984, -0.366789, -0.331583, -0.22234, -0.155019, -0.118508, -0.0925749, -0.0585324, -0.0410586, -0.0325674, -0.0211589, -0.0135551, -0.0085207, -0.0054811, -0.00338628, -0.00132435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.96492, 0.975374, 0.979451, 0.98494, 0.9927, 0.996872, 1.00034, 1.00338, 1.00611, 1.01662, 1.02005, 1.02418, 1.03281, 1.03473");
-            values ( \
-              "-0.00396045, -0.058759, -0.101925, -0.137333, -0.173992, -0.18614, -0.190223, -0.185124, -0.168787, -0.0562028, -0.0347343, -0.0188784, -0.00513278, -0.00424612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.971488, 0.982917, 0.989504, 0.995986, 1.00473, 1.01173, 1.01792, 1.02371, 1.02932, 1.03498, 1.0371, 1.04122, 1.05381, 1.05833, 1.06447, 1.07106, 1.07574, 1.08399");
-            values ( \
-              "-0.00411515, -0.0474287, -0.0842805, -0.142706, -0.19491, -0.226886, -0.248072, -0.260567, -0.26552, -0.253849, -0.244078, -0.21184, -0.0912896, -0.0630818, -0.0371171, -0.0206467, -0.0134931, -0.00647098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.831776, 0.860724, 0.890075, 0.902396, 0.908271, 0.920888, 0.93062, 0.941526, 0.946959, 0.961988, 0.968187, 0.986682, 0.997247, 1.00521, 1.01671, 1.02934, 1.04573, 1.05864, 1.06577, 1.07004, 1.07857, 1.08493, 1.091, 1.10128, 1.10422, 1.10735, 1.11304, 1.12792, 1.13362, 1.14121, 1.14436, 1.1503, 1.15709, 1.16283, 1.16867, 1.17644, 1.18659");
-            values ( \
-              "-0.00168215, -0.00240537, -0.00419312, -0.00445412, -0.00531122, -0.0090649, -0.010008, -0.00898149, -0.00746059, -0.000700823, -1e-22, -2e-22, -0.0164167, -0.0445066, -0.0966623, -0.167435, -0.236398, -0.276976, -0.29425, -0.302246, -0.315923, -0.321401, -0.322048, -0.309376, -0.300686, -0.287889, -0.254498, -0.154858, -0.123692, -0.0898484, -0.0783517, -0.0602057, -0.044125, -0.0338043, -0.0257297, -0.017759, -0.0115155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.930466, 1.0464, 1.07014, 1.09776, 1.13174, 1.158, 1.18106, 1.20943, 1.22351, 1.23334, 1.24464, 1.26603, 1.29867, 1.32118, 1.33938, 1.36731, 1.38848, 1.40554, 1.43402");
-            values ( \
-              "-0.0060796, -0.032588, -0.0932035, -0.178326, -0.260473, -0.307239, -0.336421, -0.356118, -0.357061, -0.352997, -0.342051, -0.288009, -0.16987, -0.109173, -0.0744445, -0.0401224, -0.0248797, -0.016793, -0.00889106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.949763, 1.152, 1.27107, 1.3008, 1.35282, 1.3768, 1.42643, 1.47246, 1.51729, 1.56273, 1.58265, 1.60389, 1.66866, 1.69479, 1.73876, 1.77749, 1.80053, 1.84563, 1.87143, 1.92302, 2.01595, 2.10888");
-            values ( \
-              "-0.013392, -0.0457558, -0.211812, -0.248469, -0.301658, -0.321107, -0.353111, -0.370231, -0.375096, -0.359006, -0.339816, -0.307763, -0.191777, -0.152806, -0.101324, -0.0692649, -0.0548583, -0.0344101, -0.0262633, -0.0150422, -0.00509261, -0.00170859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.9795, 1.3651, 1.44964, 1.55894, 1.63724, 1.7468, 1.84659, 1.91735, 1.97852, 2.07145, 2.0972, 2.13154, 2.17811, 2.27104, 2.31702, 2.36956, 2.50711, 2.57042, 2.60612, 2.67752, 2.73868, 2.78248, 2.86591, 2.9186, 2.95282, 3.02127, 3.1142, 3.20713, 3.30006, 3.48592, 3.57885");
-            values ( \
-              "-0.0265789, -0.0415224, -0.0920906, -0.167038, -0.216408, -0.276494, -0.320354, -0.344682, -0.360946, -0.377937, -0.380688, -0.382812, -0.3832, -0.369055, -0.349626, -0.312713, -0.198267, -0.154386, -0.133312, -0.0978385, -0.0745508, -0.0611697, -0.0413463, -0.03237, -0.0274614, -0.0198521, -0.012569, -0.00803823, -0.00502911, -0.00198963, -0.00131895" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0030255, 0.00302557, 0.00302565, 0.0030257, 0.00302573, 0.00302575", \
-            "0.00362227, 0.00362228, 0.00362231, 0.00362234, 0.00362236, 0.00362237", \
-            "0.00398426, 0.00398425, 0.00398425, 0.00398426, 0.00398427, 0.00398428", \
-            "0.00419763, 0.00419762, 0.00419762, 0.00419762, 0.00419762, 0.00419762", \
-            "0.00431069, 0.00431069, 0.00431069, 0.00431068, 0.00431068, 0.00431068", \
-            "0.00437212, 0.00437212, 0.00437212, 0.00437211, 0.00437211, 0.00437211" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00435504, 0.00435498, 0.00435496, 0.00435496, 0.00435497, 0.00435497", \
-            "0.00482469, 0.00482462, 0.00482455, 0.00482451, 0.0048245, 0.0048245", \
-            "0.00506798, 0.00506791, 0.00506781, 0.00506772, 0.00506766, 0.00506763", \
-            "0.00530191, 0.00530251, 0.00530179, 0.00530156, 0.00530145, 0.00530133", \
-            "0.00565649, 0.00565661, 0.00565678, 0.00565691, 0.00565697, 0.00565697", \
-            "0.00652912, 0.00652605, 0.00651895, 0.00651381, 0.00651159, 0.00651084" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.189578, 0.215985, 0.271937, 0.393856, 0.66466, 1.27119", \
-            "0.19568, 0.22208, 0.278071, 0.399966, 0.670812, 1.27718", \
-            "0.210185, 0.236625, 0.292593, 0.41453, 0.68529, 1.29183", \
-            "0.242038, 0.268436, 0.324376, 0.446313, 0.71699, 1.32379", \
-            "0.296416, 0.322802, 0.378928, 0.500821, 0.771675, 1.37815", \
-            "0.382289, 0.408767, 0.464809, 0.58681, 0.857351, 1.46436" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.022449, 0.0362124, 0.0672333, 0.136543, 0.292671, 0.642286", \
-            "0.0224519, 0.0362153, 0.0672144, 0.136593, 0.292657, 0.642158", \
-            "0.0224597, 0.0362029, 0.0672101, 0.136622, 0.292674, 0.642324", \
-            "0.0224544, 0.0362162, 0.0672211, 0.136584, 0.29252, 0.642318", \
-            "0.0224835, 0.0362854, 0.0671927, 0.136527, 0.292649, 0.642365", \
-            "0.022603, 0.0362996, 0.067233, 0.136503, 0.292885, 0.642087" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.172507, 0.180212, 0.184093, 0.18941, 0.197564, 0.201126, 0.204571, 0.208011, 0.210078, 0.220789, 0.224127, 0.228233, 0.232467, 0.237351");
-            values ( \
-              "-0.020078, -0.0653569, -0.106004, -0.141129, -0.17924, -0.188228, -0.192935, -0.184634, -0.171834, -0.0561802, -0.0351126, -0.0191161, -0.0101082, -0.00591218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.183211, 0.190182, 0.19214, 0.193883, 0.200228, 0.202984, 0.203903, 0.206374, 0.208845, 0.21162, 0.215761, 0.217808, 0.220198, 0.221905, 0.222643, 0.22338, 0.224854, 0.226252, 0.227649, 0.229067, 0.229775, 0.230484, 0.231845, 0.233206, 0.234139, 0.235072, 0.236005, 0.236939, 0.237886, 0.238834, 0.239549, 0.240263, 0.240977, 0.241692, 0.242532, 0.243372, 0.245053, 0.24994, 0.253961, 0.255937, 0.257914, 0.25989, 0.261629, 0.262571, 0.263514, 0.264927, 0.266242, 0.267556, 0.27036, 0.272774");
-            values ( \
-              "-0.0640053, -0.0652851, -0.0781887, -0.0906043, -0.144667, -0.163313, -0.169124, -0.183565, -0.196909, -0.210044, -0.229085, -0.236862, -0.244983, -0.250153, -0.252164, -0.254073, -0.257584, -0.260532, -0.263113, -0.26434, -0.264759, -0.26505, -0.265247, -0.264967, -0.264209, -0.263077, -0.261574, -0.259697, -0.256956, -0.253646, -0.25035, -0.246614, -0.242436, -0.237817, -0.231415, -0.224671, -0.210154, -0.160153, -0.120318, -0.103643, -0.0890705, -0.0760587, -0.0658979, -0.060663, -0.0557707, -0.0491953, -0.0440616, -0.0393508, -0.0308776, -0.0243502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0856807, 0.0993912, 0.108423, 0.117287, 0.123614, 0.128702, 0.134196, 0.142121, 0.150874, 0.165965, 0.18822, 0.201077, 0.207545, 0.212636, 0.220051, 0.22508, 0.229414, 0.232567, 0.233559, 0.23696, 0.245511, 0.249027, 0.254716, 0.261896, 0.273299, 0.283926, 0.294256, 0.304572, 0.306819, 0.309216, 0.31401, 0.328992, 0.332989, 0.337535, 0.343597, 0.348426, 0.354056, 0.361564, 0.368134, 0.371486, 0.37819, 0.391598, 0.391791");
-            values ( \
-              "-0.00636861, -0.00766471, -0.00899191, -0.0105967, -0.0123089, -0.012773, -0.0128488, -0.0107038, -0.0078814, -6.4738e-05, -1e-22, -0.0152872, -0.0383993, -0.0600817, -0.0952813, -0.121282, -0.151005, -0.164066, -0.17023, -0.186199, -0.222457, -0.235836, -0.255521, -0.276991, -0.303173, -0.318444, -0.322804, -0.30923, -0.303004, -0.294192, -0.269217, -0.167972, -0.144238, -0.120265, -0.0932316, -0.0756034, -0.0588383, -0.0416707, -0.0307023, -0.0262401, -0.0190885, -0.00988188, -0.00982304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.137606, 0.242561, 0.258767, 0.27297, 0.300539, 0.317656, 0.334485, 0.360751, 0.380561, 0.390352, 0.405392, 0.417306, 0.426273, 0.444207, 0.45372, 0.466404, 0.497086, 0.514994, 0.53715, 0.55195, 0.566752, 0.578207, 0.594523, 0.610898, 0.641378, 0.665325");
-            values ( \
-              "-0.0196451, -0.0198032, -0.054969, -0.0920714, -0.176442, -0.220574, -0.258731, -0.306408, -0.332444, -0.342121, -0.352682, -0.356869, -0.356899, -0.346129, -0.331165, -0.295574, -0.183221, -0.130311, -0.0824883, -0.0599383, -0.0431727, -0.0333991, -0.0230671, -0.0157702, -0.0076169, -0.00493085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.15523, 0.355514, 0.483798, 0.524252, 0.580682, 0.630102, 0.676167, 0.720996, 0.766433, 0.786467, 0.807836, 0.872043, 0.89864, 0.943574, 0.966901, 1.00339, 1.0493, 1.07593, 1.12918, 1.22194, 1.31469");
-            values ( \
-              "-0.0139946, -0.0461626, -0.223815, -0.270599, -0.321522, -0.353222, -0.370329, -0.375111, -0.359013, -0.339671, -0.307338, -0.192289, -0.152601, -0.100232, -0.0798221, -0.0553266, -0.0344156, -0.0260342, -0.0146287, -0.00496222, -0.00167008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.180016, 0.588884, 0.787806, 0.879988, 1.02257, 1.07317, 1.16593, 1.21368, 1.28309, 1.37584, 1.41476, 1.44716, 1.48074, 1.53121, 1.68008, 1.73709, 1.79542, 1.90104, 1.99841, 2.10503, 2.16551, 2.25827, 2.35102, 2.44378, 2.62929, 2.72204");
-            values ( \
-              "-0.0169795, -0.0525611, -0.18327, -0.239395, -0.30946, -0.328541, -0.35733, -0.368043, -0.378503, -0.383518, -0.380529, -0.375947, -0.366713, -0.343111, -0.221952, -0.178573, -0.141056, -0.0893234, -0.0575085, -0.0348821, -0.0263645, -0.0166587, -0.0107697, -0.00666362, -0.00261253, -0.00182029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.192132, 0.195726, 0.200359, 0.204245, 0.209566, 0.213885, 0.217721, 0.221284, 0.224729, 0.228169, 0.230236, 0.232021, 0.237436, 0.240948, 0.244286, 0.248391, 0.252625, 0.256667, 0.257421");
-            values ( \
-              "-0.0221344, -0.0374393, -0.0649221, -0.105862, -0.141044, -0.162558, -0.179191, -0.18823, -0.192907, -0.184636, -0.171827, -0.154771, -0.0888093, -0.0561801, -0.0351118, -0.0191154, -0.0101078, -0.00549027, -0.00504534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.195672, 0.207344, 0.213825, 0.220174, 0.228793, 0.235713, 0.241858, 0.244797, 0.247602, 0.250438, 0.25316, 0.256899, 0.261646, 0.275892, 0.281584, 0.287511, 0.292729, 0.301602, 0.310875, 0.315518");
-            values ( \
-              "-0.00254918, -0.0479195, -0.0889055, -0.14452, -0.197036, -0.228878, -0.249831, -0.256912, -0.262502, -0.265628, -0.266299, -0.260818, -0.240382, -0.104366, -0.0658872, -0.0396321, -0.0249052, -0.0110495, -0.00465665, -0.00344734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.105817, 0.124967, 0.144129, 0.15461, 0.189093, 0.204112, 0.216151, 0.224501, 0.23163, 0.24496, 0.252567, 0.274395, 0.281736, 0.293134, 0.303759, 0.314087, 0.3244, 0.32907, 0.357457, 0.368262, 0.380807, 0.38791, 0.398743, 0.413187, 0.415348");
-            values ( \
-              "-0.00629855, -0.00804027, -0.0127844, -0.0129815, -1e-22, -2e-22, -0.0029307, -0.0277804, -0.0564436, -0.121448, -0.167008, -0.254927, -0.27732, -0.303079, -0.318687, -0.322687, -0.30942, -0.294114, -0.119805, -0.0755694, -0.042827, -0.0307837, -0.0184371, -0.00902637, -0.00842625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.154396, 0.263403, 0.280469, 0.293038, 0.320599, 0.337664, 0.354545, 0.37998, 0.403881, 0.415057, 0.425417, 0.44635, 0.467282, 0.476839, 0.486704, 0.516949, 0.535455, 0.55577, 0.57815, 0.598618, 0.610167, 0.633266, 0.677385, 0.728794");
-            values ( \
-              "-0.0171484, -0.0211255, -0.0592572, -0.0921893, -0.176331, -0.220576, -0.258626, -0.305167, -0.335943, -0.34589, -0.35272, -0.357153, -0.342429, -0.324548, -0.294964, -0.184047, -0.129388, -0.0851117, -0.052426, -0.0331397, -0.0254927, -0.0148639, -0.00484554, -0.00124037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.173206, 0.374269, 0.409637, 0.458836, 0.495089, 0.544428, 0.600834, 0.650284, 0.696347, 0.741175, 0.785943, 0.806669, 0.828063, 0.892156, 0.918847, 0.963975, 0.986999, 1.02339, 1.06947, 1.09626, 1.14986, 1.24261, 1.33537");
-            values ( \
-              "-0.0146869, -0.0445963, -0.0902475, -0.164197, -0.213048, -0.270281, -0.32186, -0.352906, -0.370673, -0.374792, -0.359657, -0.339665, -0.307236, -0.19241, -0.152549, -0.100002, -0.0798911, -0.0554286, -0.0344232, -0.0259818, -0.0145522, -0.00492746, -0.00166675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.203905, 0.599188, 0.670888, 0.861897, 0.954652, 1.04924, 1.0922, 1.17811, 1.24609, 1.30192, 1.39468, 1.43418, 1.46633, 1.49966, 1.55014, 1.61089, 1.69901, 1.75602, 1.81435, 1.89212, 1.91997, 1.97291, 2.01761, 2.09378, 2.12396, 2.18432, 2.27708, 2.36983, 2.46259, 2.55534, 2.74085");
-            values ( \
-              "-0.0219996, -0.0476631, -0.0912228, -0.217586, -0.269071, -0.312324, -0.328818, -0.355293, -0.370321, -0.378702, -0.383248, -0.380649, -0.375683, -0.366886, -0.34291, -0.296724, -0.221764, -0.178752, -0.141229, -0.101076, -0.0894922, -0.070545, -0.0575817, -0.0402723, -0.0350242, -0.0262387, -0.0168097, -0.0106363, -0.00680697, -0.0042566, -0.00168452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.235403, 0.245588, 0.249487, 0.25481, 0.262513, 0.266519, 0.269963, 0.273419, 0.27728, 0.286267, 0.2933, 0.29645, 0.300083");
-            values ( \
-              "-0.00128606, -0.0630495, -0.106584, -0.141362, -0.177253, -0.188568, -0.192247, -0.184125, -0.154818, -0.055609, -0.0200806, -0.0125042, -0.00834585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.243122, 0.252672, 0.259149, 0.2655, 0.274123, 0.277206, 0.282543, 0.287218, 0.29296, 0.295796, 0.298518, 0.302238, 0.304146, 0.307961, 0.32127, 0.326956, 0.33385, 0.339956, 0.344108, 0.352412, 0.357414");
-            values ( \
-              "-0.0136564, -0.0480262, -0.0895795, -0.142213, -0.197451, -0.211633, -0.234231, -0.249616, -0.262385, -0.265709, -0.266244, -0.260904, -0.254583, -0.233687, -0.104268, -0.0658584, -0.0363477, -0.0211116, -0.0144721, -0.00663041, -0.0047354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.150855, 0.177721, 0.192917, 0.198514, 0.202202, 0.218553, 0.231726, 0.254842, 0.26481, 0.273248, 0.278458, 0.285501, 0.302546, 0.311023, 0.320217, 0.327254, 0.338652, 0.349276, 0.359604, 0.370068, 0.374579, 0.379392, 0.394289, 0.402947, 0.413776, 0.419225, 0.426492, 0.433441, 0.444052, 0.458199, 0.458336");
-            values ( \
-              "-0.00629049, -0.00949418, -0.0129339, -0.0127273, -0.0123245, -0.00687735, -1e-22, -2e-22, -0.0109392, -0.0401168, -0.0624582, -0.0955716, -0.1876, -0.223376, -0.25625, -0.277063, -0.303366, -0.318444, -0.322941, -0.308933, -0.294147, -0.269014, -0.168274, -0.119936, -0.0755829, -0.0593049, -0.0424884, -0.0307569, -0.0186181, -0.00926749, -0.00922849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.20179, 0.308622, 0.325528, 0.338411, 0.365963, 0.382939, 0.399906, 0.426169, 0.44926, 0.470848, 0.491749, 0.512674, 0.522231, 0.532097, 0.562332, 0.580849, 0.60116, 0.613058, 0.623525, 0.644003, 0.655564, 0.678684, 0.722721, 0.774036");
-            values ( \
-              "-0.0179941, -0.0209644, -0.0584298, -0.0921637, -0.176454, -0.220294, -0.258734, -0.306414, -0.335939, -0.3528, -0.357268, -0.342446, -0.324576, -0.294977, -0.184066, -0.129373, -0.0851057, -0.0659611, -0.0524384, -0.0331389, -0.0254883, -0.0148508, -0.0048556, -0.00124513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.218805, 0.424033, 0.557414, 0.589484, 0.645864, 0.6953, 0.741365, 0.786194, 0.830963, 0.851696, 0.873097, 0.937161, 0.963876, 1.00905, 1.032, 1.06837, 1.11449, 1.14133, 1.19501, 1.28776, 1.38052");
-            values ( \
-              "-0.0101045, -0.0501567, -0.234615, -0.270107, -0.322024, -0.352712, -0.370827, -0.37462, -0.359808, -0.339668, -0.307196, -0.192448, -0.15253, -0.0999375, -0.079912, -0.0554578, -0.0344277, -0.0259657, -0.0145349, -0.00491605, -0.0016683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.251237, 0.646672, 0.716749, 0.852886, 0.93965, 1.01509, 1.08824, 1.13839, 1.24707, 1.34832, 1.44663, 1.48001, 1.5124, 1.54597, 1.59645, 1.6572, 1.74532, 1.82177, 1.86066, 1.93843, 2.01922, 2.06667, 2.14078, 2.22944, 2.3222, 2.41495, 2.5077, 2.60046, 2.78597");
-            values ( \
-              "-0.0215451, -0.0484017, -0.0915775, -0.183726, -0.235618, -0.276473, -0.309053, -0.329056, -0.361234, -0.378966, -0.383282, -0.380889, -0.375575, -0.367061, -0.342757, -0.296887, -0.221608, -0.165275, -0.141375, -0.100931, -0.0704263, -0.0569697, -0.0400331, -0.0262839, -0.0170198, -0.0105917, -0.00695588, -0.00417557, -0.00158964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.337692, 0.345555, 0.349445, 0.354765, 0.362921, 0.366484, 0.36993, 0.37337, 0.375436, 0.386149, 0.389488, 0.393589, 0.397844, 0.404482");
-            values ( \
-              "-0.0177685, -0.0642252, -0.105919, -0.141017, -0.179159, -0.188213, -0.192884, -0.18462, -0.171816, -0.0561767, -0.0351074, -0.0191244, -0.0100841, -0.00437569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.341964, 0.353478, 0.359206, 0.365565, 0.374187, 0.381107, 0.387251, 0.392996, 0.398553, 0.402358, 0.404182, 0.40704, 0.421285, 0.427448, 0.434044, 0.439937, 0.443951, 0.451977, 0.457266");
-            values ( \
-              "-0.00653345, -0.0523335, -0.0869334, -0.145755, -0.197711, -0.229196, -0.25007, -0.2627, -0.266473, -0.260494, -0.254762, -0.240227, -0.104509, -0.0633183, -0.0359267, -0.0211267, -0.0148164, -0.00685796, -0.00482512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.247274, 0.249225, 0.262997, 0.296701, 0.313635, 0.330118, 0.335605, 0.35124, 0.363509, 0.369935, 0.375165, 0.385768, 0.400259, 0.411255, 0.420238, 0.427111, 0.438511, 0.449137, 0.459465, 0.46978, 0.474434, 0.479238, 0.494174, 0.502778, 0.513636, 0.51917, 0.526548, 0.533321, 0.543675, 0.557481, 0.560972");
-            values ( \
-              "-0.00443344, -0.00546344, -0.00663197, -0.0129322, -0.00879337, -0.000728485, -1e-22, -2e-22, -0.00761314, -0.027956, -0.0483972, -0.0966475, -0.17745, -0.224648, -0.256619, -0.277065, -0.303234, -0.318481, -0.322838, -0.309246, -0.294169, -0.269107, -0.168136, -0.120091, -0.0755915, -0.059087, -0.0421091, -0.0307333, -0.0188361, -0.00954618, -0.00851534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.300612, 0.40847, 0.425225, 0.438399, 0.465947, 0.482859, 0.49989, 0.525153, 0.54011, 0.549221, 0.570779, 0.577454, 0.591669, 0.61252, 0.61836, 0.624589, 0.636455, 0.655147, 0.666995, 0.678972, 0.701119, 0.712807, 0.723327, 0.743907, 0.755572, 0.778901, 0.822679, 0.873733");
-            values ( \
-              "-0.0178524, -0.0206699, -0.0577564, -0.0922548, -0.17638, -0.220227, -0.258662, -0.304908, -0.325676, -0.335856, -0.352649, -0.355612, -0.357013, -0.342587, -0.33292, -0.318252, -0.279011, -0.208836, -0.168818, -0.134135, -0.0850037, -0.0661892, -0.0525563, -0.0331373, -0.0254302, -0.0147372, -0.00486002, -0.00125522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.320592, 0.519661, 0.640317, 0.690009, 0.715274, 0.746192, 0.79568, 0.841759, 0.886596, 0.932039, 0.952008, 0.973308, 1.03783, 1.06417, 1.10857, 1.14625, 1.16947, 1.21493, 1.2411, 1.29342, 1.37388");
-            values ( \
-              "-0.0153633, -0.0443788, -0.212644, -0.270633, -0.295579, -0.321341, -0.353099, -0.370269, -0.375045, -0.359, -0.339734, -0.307554, -0.192003, -0.152709, -0.100834, -0.0696437, -0.0550657, -0.0344128, -0.0261607, -0.0148586, -0.0063422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.355173, 0.727247, 0.81718, 0.953097, 1.04585, 1.11524, 1.1879, 1.23854, 1.3472, 1.44844, 1.54676, 1.5801, 1.62457, 1.64611, 1.68919, 1.75725, 1.89681, 1.94655, 2.04527, 2.10694, 2.15011, 2.23427, 2.28763, 2.39215, 2.4849, 2.57766, 2.66118");
-            values ( \
-              "-0.0313561, -0.0382848, -0.091466, -0.183799, -0.23917, -0.276466, -0.308975, -0.329023, -0.361178, -0.378899, -0.383213, -0.380826, -0.372996, -0.367001, -0.34725, -0.296924, -0.182746, -0.149795, -0.0983284, -0.0747603, -0.0614434, -0.0414649, -0.032286, -0.0196082, -0.0124876, -0.00793017, -0.00531677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.541473, 0.550091, 0.550422, 0.553607, 0.559676, 0.567839, 0.57142, 0.574862, 0.578308, 0.580381, 0.591093, 0.598549, 0.602764, 0.608731");
-            values ( \
-              "-0.0057817, -0.0645559, -0.0623523, -0.0980731, -0.140091, -0.178384, -0.188178, -0.192386, -0.184583, -0.171633, -0.0561715, -0.019094, -0.0101153, -0.00496976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.550234, 0.557319, 0.563973, 0.570416, 0.579092, 0.586036, 0.592196, 0.597951, 0.603516, 0.607614, 0.612017, 0.615375, 0.626262, 0.632428, 0.639034, 0.644919, 0.648926, 0.656941, 0.658799");
-            values ( \
-              "-0.037334, -0.0458142, -0.085073, -0.144447, -0.19679, -0.228553, -0.249584, -0.262317, -0.266183, -0.259481, -0.240002, -0.212522, -0.104505, -0.0632815, -0.0359072, -0.0211084, -0.0148401, -0.00685548, -0.00614442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.438037, 0.447239, 0.473746, 0.481954, 0.486809, 0.492675, 0.497725, 0.50367, 0.507801, 0.512055, 0.516731, 0.521022, 0.53764, 0.563083, 0.569829, 0.573086, 0.578, 0.582944, 0.590738, 0.595764, 0.599766, 0.602921, 0.605268, 0.616228, 0.625538, 0.63238, 0.643773, 0.654396, 0.664722, 0.675034, 0.67971, 0.684536, 0.699368, 0.708114, 0.71434, 0.718895, 0.724204, 0.731283, 0.736686, 0.742204, 0.749561, 0.764275, 0.764729");
-            values ( \
-              "-0.00279359, -0.00321451, -0.00581092, -0.00835545, -0.00862331, -0.0111431, -0.011269, -0.0124104, -0.0112568, -0.0108085, -0.00914637, -0.00817133, -1e-22, -2e-22, -0.0115694, -0.021479, -0.039308, -0.0601061, -0.0972067, -0.123012, -0.150816, -0.163977, -0.176662, -0.223705, -0.256868, -0.277343, -0.303281, -0.318657, -0.322819, -0.309375, -0.294113, -0.26885, -0.168512, -0.119687, -0.0920974, -0.0755633, -0.0596659, -0.0431404, -0.0335586, -0.0259231, -0.0182721, -0.00881498, -0.00869161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.50777, 0.61667, 0.644092, 0.67154, 0.705467, 0.731721, 0.741759, 0.754789, 0.771432, 0.786091, 0.797205, 0.818031, 0.824225, 0.830831, 0.860744, 0.88447, 0.906628, 0.928934, 0.949442, 0.961033, 0.984215, 1.01237");
-            values ( \
-              "-0.0132375, -0.0257681, -0.0938202, -0.178498, -0.260359, -0.307346, -0.321341, -0.336393, -0.350241, -0.356769, -0.356682, -0.342896, -0.332236, -0.31629, -0.208599, -0.134209, -0.085037, -0.0524661, -0.0331336, -0.0254753, -0.0148134, -0.00842632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.525538, 0.727211, 0.759969, 0.821174, 0.894672, 0.950972, 1.00048, 1.04654, 1.09137, 1.13681, 1.15684, 1.17821, 1.24241, 1.26902, 1.31398, 1.33726, 1.37373, 1.41967, 1.44632, 1.49963, 1.59238, 1.68513");
-            values ( \
-              "-0.0124077, -0.0478166, -0.0901859, -0.181468, -0.270951, -0.321231, -0.353501, -0.370101, -0.375366, -0.358972, -0.339656, -0.307346, -0.192291, -0.152607, -0.10021, -0.0798244, -0.0553342, -0.0344099, -0.0260335, -0.0146109, -0.00496383, -0.00166298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.555771, 0.924617, 1.02179, 1.15793, 1.2437, 1.32003, 1.39325, 1.44333, 1.55201, 1.65325, 1.75157, 1.78494, 1.82944, 1.85092, 1.89389, 1.96207, 2.10202, 2.15097, 2.24809, 2.29088, 2.36008, 2.44091, 2.49127, 2.58751, 2.68026, 2.77302, 2.86577, 2.88108");
-            values ( \
-              "-0.0339145, -0.0347228, -0.0909909, -0.183618, -0.235306, -0.276321, -0.309257, -0.328916, -0.361112, -0.37885, -0.383182, -0.380796, -0.372992, -0.366979, -0.347325, -0.296908, -0.182465, -0.150036, -0.0991969, -0.0821027, -0.0600073, -0.0411321, -0.0324814, -0.0205377, -0.0130843, -0.00831099, -0.00527016, -0.00505371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.960622, 0.965181, 0.969191, 0.973167, 0.978571, 0.982937, 0.986805, 0.990391, 0.993855, 0.997314, 0.999156, 1.00117, 1.0066, 1.01014, 1.01345, 1.01758, 1.02183, 1.02585, 1.02633");
-            values ( \
-              "-0.0205952, -0.0401006, -0.0632901, -0.103785, -0.139251, -0.161075, -0.177847, -0.187136, -0.19195, -0.183968, -0.172984, -0.154343, -0.0886546, -0.0559599, -0.0351426, -0.0190663, -0.0100644, -0.00549792, -0.00521141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.970513, 0.978491, 0.982832, 0.989222, 0.996949, 1.00348, 1.00751, 1.01393, 1.01959, 1.02232, 1.02662, 1.03063, 1.03418, 1.04508, 1.05077, 1.05668, 1.06192, 1.07083, 1.07932");
-            values ( \
-              "-0.0422173, -0.06087, -0.0888231, -0.143337, -0.190927, -0.222098, -0.237901, -0.256467, -0.265237, -0.26571, -0.259128, -0.241367, -0.212237, -0.104238, -0.0658036, -0.0396336, -0.0248723, -0.010985, -0.00549055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.832439, 0.876745, 0.896772, 0.914796, 0.928072, 0.938749, 0.954754, 0.977632, 0.990455, 0.995539, 1.00922, 1.02521, 1.044, 1.051, 1.0624, 1.07303, 1.08336, 1.09368, 1.09832, 1.12663, 1.13753, 1.15072, 1.15724, 1.16723, 1.18055, 1.1832");
-            values ( \
-              "-0.00174301, -0.00346125, -0.00561164, -0.0102015, -0.0106623, -0.00845272, -0.000546738, -1e-22, -0.0171152, -0.0351033, -0.0946278, -0.182389, -0.256083, -0.277058, -0.303103, -0.318477, -0.322738, -0.309268, -0.294195, -0.120307, -0.0756066, -0.0415764, -0.030698, -0.019148, -0.00995903, -0.00914092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.92134, 1.03324, 1.12408, 1.15033, 1.17339, 1.19494, 1.21433, 1.22741, 1.23697, 1.252, 1.29885, 1.32409, 1.34464, 1.37781, 1.41711, 1.42048");
-            values ( \
-              "-0.014676, -0.0220983, -0.260399, -0.307156, -0.336283, -0.352977, -0.357456, -0.351963, -0.341991, -0.308666, -0.145751, -0.0872169, -0.0559768, -0.0265823, -0.0105991, -0.010064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.943439, 1.1424, 1.17889, 1.2156, 1.23926, 1.28444, 1.31297, 1.36938, 1.41894, 1.46504, 1.50989, 1.55468, 1.56461, 1.57521, 1.59641, 1.6614, 1.68736, 1.70189, 1.73097, 1.74926, 1.77057, 1.79347, 1.82553, 1.83827, 1.86376, 1.91474, 2.0075, 2.10025");
-            values ( \
-              "-0.0155392, -0.0437281, -0.0909009, -0.146385, -0.179926, -0.238, -0.26964, -0.321415, -0.35259, -0.37049, -0.37463, -0.359583, -0.351352, -0.339838, -0.307856, -0.191594, -0.152858, -0.133955, -0.101712, -0.0851507, -0.0689369, -0.054694, -0.039265, -0.0344159, -0.0263382, -0.0152043, -0.00515019, -0.00174323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.970649, 1.3701, 1.63274, 1.72549, 1.81998, 1.86294, 1.94887, 2.0167, 2.07261, 2.16537, 2.20478, 2.24982, 2.31141, 2.38148, 2.45462, 2.56415, 2.6303, 2.68476, 2.78303, 2.8602, 2.91036, 3.0042, 3.09695, 3.18971, 3.28246, 3.30155");
-            values ( \
-              "-0.0204654, -0.0480489, -0.217903, -0.269221, -0.312522, -0.328882, -0.355461, -0.370438, -0.378704, -0.38335, -0.38064, -0.372901, -0.348539, -0.296823, -0.234237, -0.1539, -0.116671, -0.09198, -0.0590337, -0.0411682, -0.0325419, -0.0208172, -0.0132621, -0.00842612, -0.0053418, -0.00506834" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00302594, 0.00302601, 0.00302608, 0.00302614, 0.00302617, 0.00302619", \
-            "0.00362261, 0.00362262, 0.00362265, 0.00362268, 0.0036227, 0.00362271", \
-            "0.00398433, 0.00398433, 0.00398433, 0.00398434, 0.00398435, 0.00398436", \
-            "0.00419748, 0.00419746, 0.00419746, 0.00419746, 0.00419746, 0.00419747", \
-            "0.00431044, 0.00431044, 0.00431043, 0.00431043, 0.00431042, 0.00431042", \
-            "0.00437189, 0.00437189, 0.00437189, 0.00437188, 0.00437188, 0.00437187" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0043558, 0.00435575, 0.00435573, 0.00435573, 0.00435573, 0.00435574", \
-            "0.00482436, 0.00482429, 0.00482422, 0.00482419, 0.00482418, 0.00482418", \
-            "0.00506545, 0.00506538, 0.00506529, 0.0050652, 0.00506514, 0.00506511", \
-            "0.00529684, 0.00529724, 0.00529686, 0.00529671, 0.00529661, 0.00529653", \
-            "0.00565441, 0.00565453, 0.00565469, 0.00565482, 0.00565486, 0.00565487", \
-            "0.00654604, 0.00654366, 0.00653689, 0.00653104, 0.00652852, 0.00652764" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.19547, 0.222064, 0.278398, 0.400565, 0.671549, 1.27787", \
-            "0.20153, 0.22811, 0.284462, 0.406731, 0.677495, 1.28394", \
-            "0.216109, 0.242673, 0.299058, 0.421284, 0.692185, 1.29853", \
-            "0.247925, 0.274519, 0.330875, 0.453112, 0.724041, 1.33037", \
-            "0.30246, 0.329089, 0.385492, 0.507718, 0.778658, 1.38508", \
-            "0.389114, 0.415797, 0.472214, 0.594511, 0.865122, 1.47194" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0224524, 0.0362325, 0.0671402, 0.136367, 0.292339, 0.641955", \
-            "0.0224363, 0.0362245, 0.0671442, 0.136469, 0.292165, 0.642033", \
-            "0.0224505, 0.0362311, 0.0671277, 0.13648, 0.292333, 0.642078", \
-            "0.0224411, 0.0362404, 0.0671292, 0.136474, 0.292322, 0.641918", \
-            "0.0225299, 0.0362904, 0.0671236, 0.136448, 0.292315, 0.642033", \
-            "0.0226063, 0.0363309, 0.0671345, 0.136546, 0.292218, 0.641883" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.176874, 0.185956, 0.189815, 0.195148, 0.203308, 0.206871, 0.210315, 0.213753, 0.2158, 0.226524, 0.233975, 0.238149, 0.24292, 0.246343");
-            values ( \
-              "-0.00385656, -0.0651119, -0.104918, -0.140343, -0.178752, -0.188635, -0.192719, -0.185071, -0.172119, -0.0561735, -0.0190844, -0.0102308, -0.00494335, -0.00342273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.185352, 0.193507, 0.199856, 0.2047, 0.20904, 0.214756, 0.221698, 0.227851, 0.23359, 0.23916, 0.244722, 0.247068, 0.251006, 0.263563, 0.268069, 0.274194, 0.280769, 0.285435, 0.293655");
-            values ( \
-              "-0.0223026, -0.0499289, -0.0880397, -0.133451, -0.16342, -0.196116, -0.228754, -0.249639, -0.262737, -0.266736, -0.255612, -0.244286, -0.212603, -0.0914852, -0.0632141, -0.0371964, -0.0207089, -0.0135356, -0.0065165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0847876, 0.107142, 0.121081, 0.132149, 0.14022, 0.148727, 0.167673, 0.171085, 0.194131, 0.207494, 0.216954, 0.226704, 0.239216, 0.255538, 0.268389, 0.279755, 0.290382, 0.300693, 0.311145, 0.315605, 0.320362, 0.335466, 0.343817, 0.354796, 0.360711, 0.368597, 0.374547, 0.383688, 0.395877, 0.396765");
-            values ( \
-              "-0.00622157, -0.00786414, -0.00781556, -0.0110143, -0.0117437, -0.0104464, -0.000860587, -1e-22, -2e-22, -0.0163681, -0.051924, -0.0944301, -0.167887, -0.236817, -0.277763, -0.30381, -0.318471, -0.323581, -0.309197, -0.294677, -0.269886, -0.167635, -0.120895, -0.07571, -0.0581592, -0.0404282, -0.0306502, -0.0199049, -0.0109861, -0.0106841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.139985, 0.176699, 0.21242, 0.230429, 0.2462, 0.259863, 0.280413, 0.307661, 0.341505, 0.367704, 0.382267, 0.407676, 0.421425, 0.433122, 0.453929, 0.460114, 0.466712, 0.520341, 0.542492, 0.564783, 0.585295, 0.596893, 0.620088, 0.639223");
-            values ( \
-              "-0.0649531, -0.0159918, -0.00210811, -0.00082333, -0.01463, -0.0412216, -0.0945596, -0.178623, -0.260393, -0.307668, -0.327503, -0.351045, -0.357145, -0.356971, -0.343265, -0.332483, -0.316517, -0.13423, -0.0850468, -0.0524831, -0.0331375, -0.0254761, -0.0148053, -0.0104984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.158805, 0.363829, 0.397574, 0.458029, 0.53123, 0.587477, 0.636853, 0.682868, 0.727661, 0.773071, 0.793357, 0.814996, 0.87585, 0.906202, 0.956579, 0.995344, 1.02761, 1.06835, 1.09509, 1.14857, 1.24149, 1.33442");
-            values ( \
-              "-0.00973372, -0.0483824, -0.0923267, -0.182708, -0.271745, -0.321734, -0.353991, -0.370351, -0.375684, -0.359128, -0.339451, -0.306509, -0.196895, -0.151355, -0.0941856, -0.0641595, -0.0461262, -0.0302197, -0.0228184, -0.0127592, -0.00431884, -0.00144159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.197395, 0.58574, 0.849939, 0.956979, 1.03727, 1.08023, 1.16615, 1.23389, 1.28984, 1.38276, 1.42084, 1.45358, 1.48752, 1.5554, 1.68635, 1.77949, 1.88285, 1.98509, 2.09695, 2.19387, 2.28119, 2.37411, 2.46704, 2.48094");
-            values ( \
-              "-0.0226344, -0.0472081, -0.218031, -0.276594, -0.312737, -0.328931, -0.355667, -0.370616, -0.378714, -0.3835, -0.38075, -0.376026, -0.36683, -0.331564, -0.222426, -0.154974, -0.100164, -0.0632435, -0.0375576, -0.0238759, -0.0155128, -0.00999073, -0.00619791, -0.00597226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.196395, 0.204956, 0.206037, 0.209907, 0.215234, 0.219124, 0.223386, 0.226953, 0.228441, 0.230387, 0.233805, 0.235476, 0.237681, 0.245541, 0.249207, 0.253518, 0.256468, 0.258788, 0.263427, 0.268466");
-            values ( \
-              "-0.000249105, -0.0609322, -0.0611837, -0.10455, -0.139977, -0.1611, -0.178617, -0.18859, -0.191121, -0.19248, -0.185165, -0.175023, -0.154882, -0.0648195, -0.0389455, -0.0206276, -0.0132998, -0.00941283, -0.00465758, -0.00258378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.204363, 0.2134, 0.218004, 0.219791, 0.223366, 0.231593, 0.240992, 0.247801, 0.252396, 0.255849, 0.259103, 0.26464, 0.267837, 0.270953, 0.281817, 0.287985, 0.290842, 0.294651, 0.300448, 0.304399, 0.312299, 0.32003");
-            values ( \
-              "-0.0160436, -0.0491493, -0.0796094, -0.0859876, -0.122329, -0.179068, -0.225956, -0.249643, -0.260404, -0.265299, -0.266532, -0.255574, -0.239036, -0.212601, -0.104535, -0.0634289, -0.0497526, -0.0356285, -0.0212507, -0.0148627, -0.00708229, -0.0039679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.105151, 0.113952, 0.121953, 0.126187, 0.12939, 0.132974, 0.136115, 0.141021, 0.151885, 0.163727, 0.17285, 0.189663, 0.213307, 0.226567, 0.233213, 0.238951, 0.246709, 0.263307, 0.275507, 0.285268, 0.294421, 0.299727, 0.310339, 0.320666, 0.331118, 0.335587, 0.340353, 0.355417, 0.363823, 0.374773, 0.380603, 0.388376, 0.394507, 0.403917, 0.416464, 0.418121");
-            values ( \
-              "-0.00610459, -0.00741925, -0.0072019, -0.00830223, -0.00737772, -0.00799565, -0.0073193, -0.00756732, -0.0108123, -0.0115247, -0.00875524, -1e-22, -2e-22, -0.0135832, -0.0367267, -0.0607851, -0.0975491, -0.18604, -0.236101, -0.268539, -0.292406, -0.303425, -0.318778, -0.323252, -0.309335, -0.294635, -0.269774, -0.167775, -0.120737, -0.0756964, -0.0583735, -0.0408024, -0.0306738, -0.0196653, -0.0106517, -0.0101066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.159374, 0.196653, 0.218684, 0.234741, 0.252856, 0.267815, 0.28207, 0.300322, 0.322021, 0.33865, 0.361387, 0.387631, 0.410727, 0.432233, 0.453156, 0.47405, 0.483787, 0.493839, 0.522535, 0.535996, 0.558644, 0.579509, 0.60312, 0.617188, 0.633266, 0.665422, 0.685023");
-            values ( \
-              "-0.0638422, -0.0158774, -0.00664698, -0.00157699, -0.00164746, -0.017418, -0.0465225, -0.0937635, -0.162141, -0.206941, -0.259031, -0.307328, -0.336309, -0.353641, -0.35745, -0.343066, -0.324399, -0.293847, -0.188019, -0.14617, -0.0922758, -0.0589822, -0.0347738, -0.0253007, -0.0174651, -0.00804725, -0.0057348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.178201, 0.375426, 0.417744, 0.47819, 0.55143, 0.594635, 0.607516, 0.656813, 0.702914, 0.747685, 0.793105, 0.813284, 0.834808, 0.897241, 0.925798, 0.974035, 1.02253, 1.0719, 1.09833, 1.12852, 1.18891, 1.25406");
-            values ( \
-              "-0.0180385, -0.0385418, -0.0930481, -0.182705, -0.271685, -0.311434, -0.322493, -0.353618, -0.370382, -0.375429, -0.35909, -0.339556, -0.306866, -0.194675, -0.151941, -0.0965876, -0.0597019, -0.0358388, -0.0272219, -0.0197661, -0.010199, -0.00545179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.214631, 0.597544, 0.67935, 0.867115, 0.976038, 1.05801, 1.0993, 1.18187, 1.25957, 1.30888, 1.4018, 1.43987, 1.46936, 1.50656, 1.58095, 1.75238, 1.81379, 1.89463, 1.94889, 2.01492, 2.08447, 2.15179, 2.25125, 2.34417, 2.4371, 2.53002, 2.80879");
-            values ( \
-              "-0.0256876, -0.0433289, -0.0925619, -0.216933, -0.276613, -0.31343, -0.32894, -0.354793, -0.371792, -0.378714, -0.383492, -0.380771, -0.376633, -0.366853, -0.326877, -0.18623, -0.145667, -0.103271, -0.0813891, -0.0603672, -0.0435859, -0.0318766, -0.0198642, -0.0125679, -0.00804661, -0.00502624, -0.00132392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.243167, 0.251406, 0.255259, 0.260587, 0.268749, 0.272312, 0.275755, 0.279193, 0.281251, 0.291963, 0.299415, 0.303585, 0.308351, 0.311232");
-            values ( \
-              "-0.0122241, -0.0646615, -0.104914, -0.140328, -0.178765, -0.188637, -0.192742, -0.185054, -0.172041, -0.0561832, -0.0190825, -0.010236, -0.00495044, -0.00366793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.252301, 0.258845, 0.264127, 0.265207, 0.270998, 0.277586, 0.28402, 0.293213, 0.298951, 0.304523, 0.310162, 0.312408, 0.316371, 0.328931, 0.333436, 0.339559, 0.346136, 0.350804, 0.36014, 0.360846");
-            values ( \
-              "-0.0405018, -0.0495486, -0.0838558, -0.0855763, -0.14083, -0.182361, -0.215538, -0.24981, -0.262368, -0.26692, -0.255148, -0.244381, -0.212574, -0.0914763, -0.0632153, -0.0372051, -0.0207024, -0.0135367, -0.00555985, -0.00534022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.149858, 0.170262, 0.186749, 0.194643, 0.209966, 0.235409, 0.256418, 0.269007, 0.276985, 0.292013, 0.310207, 0.32077, 0.331702, 0.344986, 0.355607, 0.365918, 0.376218, 0.380863, 0.40917, 0.42003, 0.433008, 0.439705, 0.449946, 0.463601, 0.466376");
-            values ( \
-              "-0.00610676, -0.00825672, -0.00758089, -0.0104397, -0.0114093, -1e-22, -2e-22, -0.00598097, -0.0313586, -0.0946862, -0.193937, -0.236333, -0.272163, -0.303503, -0.31897, -0.323248, -0.309729, -0.294568, -0.120251, -0.0756607, -0.0419984, -0.0307537, -0.0189448, -0.00967614, -0.00885014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.205937, 0.318907, 0.345814, 0.373079, 0.40692, 0.433143, 0.456242, 0.477749, 0.498674, 0.520505, 0.529225, 0.588113, 0.608229, 0.629667, 0.650825, 0.687595, 0.703822");
-            values ( \
-              "-0.00977369, -0.0274081, -0.0949242, -0.178542, -0.260361, -0.305987, -0.33753, -0.352414, -0.358596, -0.34163, -0.32456, -0.12838, -0.0847335, -0.0532681, -0.0331529, -0.0141196, -0.0106386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.225036, 0.418148, 0.46311, 0.523524, 0.596724, 0.652967, 0.702334, 0.748349, 0.793141, 0.83855, 0.858863, 0.880529, 0.941009, 0.971786, 1.02215, 1.06042, 1.09222, 1.13416, 1.16168, 1.21673, 1.30965, 1.40258");
-            values ( \
-              "-0.0208646, -0.0358823, -0.0928917, -0.182387, -0.271459, -0.322062, -0.353695, -0.370658, -0.375393, -0.359198, -0.339443, -0.306395, -0.197443, -0.151202, -0.0940949, -0.0644282, -0.0465409, -0.030123, -0.0225471, -0.0123869, -0.00418563, -0.00140293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.263727, 0.653784, 0.915809, 1.00873, 1.10184, 1.14541, 1.23255, 1.29666, 1.35503, 1.44795, 1.48603, 1.51519, 1.55271, 1.62775, 1.79858, 1.8599, 1.97399, 2.06267, 2.13328, 2.19686, 2.29025, 2.38318, 2.53655");
-            values ( \
-              "-0.0216243, -0.0486306, -0.218279, -0.269817, -0.312331, -0.329091, -0.355826, -0.37002, -0.378876, -0.383348, -0.3809, -0.376577, -0.366976, -0.32629, -0.18632, -0.145788, -0.0892971, -0.0598487, -0.0431244, -0.0319644, -0.020487, -0.0130844, -0.0066415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.341678, 0.351413, 0.355286, 0.360615, 0.364505, 0.36877, 0.372338, 0.375772, 0.379191, 0.380861, 0.383067, 0.390921, 0.394598, 0.398907, 0.404169, 0.408802, 0.413469");
-            values ( \
-              "-0.00630218, -0.0610576, -0.104441, -0.139881, -0.161081, -0.178599, -0.188575, -0.192468, -0.185124, -0.175004, -0.154866, -0.0648801, -0.0389215, -0.0206211, -0.00942063, -0.0046663, -0.00273905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.352541, 0.358868, 0.364159, 0.365228, 0.370095, 0.374426, 0.380156, 0.3871, 0.393254, 0.398994, 0.404565, 0.410128, 0.412465, 0.416412, 0.42897, 0.433476, 0.439601, 0.446175, 0.450842, 0.458895");
-            values ( \
-              "-0.043495, -0.0496451, -0.083958, -0.0855755, -0.133164, -0.163223, -0.196014, -0.228691, -0.249564, -0.26271, -0.266681, -0.255614, -0.244313, -0.212588, -0.0914868, -0.0632187, -0.0372034, -0.020704, -0.0135409, -0.00665594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.245751, 0.285604, 0.304885, 0.313332, 0.334318, 0.355645, 0.368159, 0.380934, 0.420573, 0.433431, 0.444787, 0.455416, 0.465718, 0.476018, 0.48067, 0.509, 0.519833, 0.532623, 0.549975, 0.563958, 0.570404");
-            values ( \
-              "-0.00433694, -0.00752741, -0.0116883, -0.0105549, -0.000189154, -1e-22, -0.00454528, -0.0477383, -0.236879, -0.277686, -0.303459, -0.319012, -0.323217, -0.309754, -0.294534, -0.120104, -0.0756502, -0.0423635, -0.0187379, -0.00940335, -0.00754142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.305031, 0.420288, 0.445794, 0.473038, 0.506872, 0.533095, 0.556191, 0.577697, 0.598621, 0.620453, 0.629186, 0.639166, 0.668822, 0.681186, 0.702035, 0.712671, 0.729477, 0.750765, 0.763157, 0.78794, 0.825985");
-            values ( \
-              "-0.00672941, -0.0304209, -0.0950841, -0.178433, -0.260266, -0.306126, -0.337436, -0.352527, -0.358507, -0.341624, -0.32453, -0.294356, -0.185216, -0.146947, -0.0964136, -0.0770102, -0.0534251, -0.0331532, -0.0250187, -0.0139799, -0.00590297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.324054, 0.517698, 0.563044, 0.648721, 0.696673, 0.752938, 0.802273, 0.848286, 0.893076, 0.937807, 0.958826, 0.980522, 1.04059, 1.07321, 1.12309, 1.1561, 1.1785, 1.2214, 1.24514, 1.29263, 1.38555, 1.47847, 1.5714");
-            values ( \
-              "-0.0210387, -0.0353976, -0.0929805, -0.215745, -0.271108, -0.3225, -0.353334, -0.371046, -0.375034, -0.359859, -0.339433, -0.306274, -0.198043, -0.149181, -0.0932026, -0.0672803, -0.0536157, -0.0344, -0.0268335, -0.016106, -0.00545775, -0.00183163, -0.000618797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.359781, 0.743908, 0.824887, 1.01287, 1.12152, 1.20325, 1.24478, 1.32782, 1.4041, 1.45435, 1.54728, 1.58534, 1.61809, 1.65203, 1.71993, 1.85083, 1.94402, 2.00847, 2.06523, 2.16625, 2.24278, 2.29157, 2.38221, 2.47513, 2.56805, 2.66098, 2.7539, 2.93975");
-            values ( \
-              "-0.025111, -0.043858, -0.0926583, -0.217152, -0.276669, -0.313385, -0.32891, -0.354966, -0.371673, -0.378681, -0.383536, -0.380737, -0.376043, -0.366818, -0.331565, -0.222462, -0.15498, -0.118372, -0.0925626, -0.0585421, -0.0410794, -0.0325517, -0.0211278, -0.0135554, -0.00850142, -0.00548879, -0.00337154, -0.00131131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.547156, 0.555082, 0.55642, 0.559095, 0.565733, 0.570086, 0.573938, 0.577513, 0.580965, 0.584412, 0.586395, 0.597201, 0.600527, 0.604651, 0.608846, 0.61364, 0.617613");
-            values ( \
-              "-0.0052084, -0.0578423, -0.0605159, -0.0926759, -0.138781, -0.162544, -0.177675, -0.188429, -0.191972, -0.184994, -0.172336, -0.0561005, -0.0351016, -0.0190622, -0.0102068, -0.00490256, -0.00316012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.559519, 0.570254, 0.57978, 0.585235, 0.592194, 0.598359, 0.604107, 0.609684, 0.615253, 0.617532, 0.621539, 0.634104, 0.638608, 0.644731, 0.648977, 0.655983, 0.665324, 0.667978");
-            values ( \
-              "-0.0486101, -0.0886395, -0.164087, -0.195712, -0.22799, -0.249381, -0.262228, -0.266568, -0.255323, -0.244509, -0.212505, -0.0914413, -0.0631969, -0.0371993, -0.0255129, -0.0135252, -0.00556076, -0.00473307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.436662, 0.488649, 0.504269, 0.512896, 0.522322, 0.539156, 0.568227, 0.580571, 0.585419, 0.597341, 0.61717, 0.626051, 0.637055, 0.650266, 0.660874, 0.671185, 0.681634, 0.686127, 0.714433, 0.725295, 0.738287, 0.744971, 0.755191, 0.768819, 0.769634");
-            values ( \
-              "-0.00273869, -0.00618402, -0.0105448, -0.0112721, -0.00907065, -0.00069206, -1e-22, -0.0255319, -0.0440357, -0.097156, -0.20112, -0.236659, -0.272223, -0.303689, -0.31886, -0.323387, -0.309338, -0.294579, -0.120265, -0.0756626, -0.041972, -0.0307542, -0.0189638, -0.00969794, -0.00945488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.508174, 0.623356, 0.650955, 0.678118, 0.711919, 0.738105, 0.761209, 0.782712, 0.803633, 0.824525, 0.834269, 0.844328, 0.872923, 0.886498, 0.909334, 0.929399, 0.946135, 0.955554, 0.96938, 0.987815, 1.02469, 1.0761");
-            values ( \
-              "-0.0092685, -0.0266175, -0.0944534, -0.177525, -0.25945, -0.307178, -0.336577, -0.35348, -0.357677, -0.342896, -0.32439, -0.29381, -0.188313, -0.146094, -0.0918545, -0.0597393, -0.0411249, -0.033293, -0.0243359, -0.0158783, -0.00642629, -0.00162526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.527693, 0.717391, 0.768507, 0.828915, 0.902024, 0.958249, 1.00763, 1.05364, 1.09843, 1.14384, 1.16416, 1.18583, 1.2462, 1.2771, 1.32746, 1.36559, 1.39725, 1.41873, 1.46729, 1.52279, 1.61572, 1.70864");
-            values ( \
-              "-0.0257291, -0.0297878, -0.0925716, -0.182694, -0.271631, -0.321958, -0.353844, -0.370547, -0.375529, -0.359166, -0.339426, -0.306383, -0.197589, -0.151169, -0.0940791, -0.0645033, -0.0466673, -0.0373634, -0.0224719, -0.0122774, -0.00415039, -0.00138901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.56423, 0.96674, 1.24466, 1.32715, 1.40077, 1.45034, 1.54326, 1.59027, 1.66014, 1.70334, 1.75838, 1.8513, 1.89697, 1.94916, 2.0905, 2.14954, 2.24951, 2.29995, 2.38025, 2.48231, 2.54177, 2.6347, 2.72762, 2.82054, 3.00639, 3.09932");
-            values ( \
-              "-0.01695, -0.0531863, -0.232641, -0.276254, -0.310219, -0.32877, -0.357647, -0.368176, -0.379061, -0.382698, -0.382945, -0.369322, -0.349554, -0.313429, -0.195659, -0.155241, -0.10163, -0.0811354, -0.0562931, -0.034891, -0.0264771, -0.0167396, -0.0107898, -0.00669264, -0.00262719, -0.00180681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.967678, 0.975818, 0.979756, 0.985176, 0.99342, 0.997007, 1.00047, 1.00393, 1.00577, 1.01674, 1.02418, 1.02843, 1.03328, 1.0351");
-            values ( \
-              "-0.016882, -0.062157, -0.103769, -0.138425, -0.177379, -0.187494, -0.191792, -0.184318, -0.173094, -0.0559799, -0.0190829, -0.0101164, -0.00484124, -0.0040479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.976362, 0.983377, 0.988351, 0.989774, 0.996136, 1.0048, 1.01176, 1.01794, 1.0237, 1.02928, 1.03485, 1.03712, 1.04114, 1.05371, 1.05822, 1.06434, 1.06859, 1.07559, 1.08494, 1.08732");
-            values ( \
-              "-0.0344179, -0.0495708, -0.0817615, -0.0839691, -0.142709, -0.195165, -0.227772, -0.248939, -0.262034, -0.266293, -0.255187, -0.244462, -0.212418, -0.0914231, -0.0631819, -0.0371877, -0.0255054, -0.013521, -0.00556193, -0.00481695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.831687, 0.860639, 0.885759, 0.889987, 0.893889, 0.902246, 0.907528, 0.916863, 0.92493, 0.930168, 0.935058, 0.940777, 0.946657, 0.958194, 0.965036, 0.983589, 0.996512, 1.00107, 1.00534, 1.01702, 1.02945, 1.04575, 1.05861, 1.06997, 1.08059, 1.0909, 1.10136, 1.10582, 1.11059, 1.12565, 1.13108, 1.14002, 1.14501, 1.15083, 1.15859, 1.16317, 1.16789, 1.17418, 1.18676, 1.18985");
-            values ( \
-              "-0.00171763, -0.00248706, -0.00368551, -0.00419159, -0.004023, -0.0044448, -0.00508772, -0.0080779, -0.00975066, -0.0103506, -0.0103096, -0.00899241, -0.00674532, -0.00127377, -1e-22, -2e-22, -0.0139174, -0.0289323, -0.04515, -0.0966028, -0.168172, -0.236884, -0.277754, -0.30377, -0.318612, -0.323516, -0.309231, -0.294661, -0.269776, -0.167801, -0.136123, -0.0940089, -0.0756965, -0.0583969, -0.0408459, -0.0330152, -0.0264752, -0.0196447, -0.0106175, -0.00960531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.929832, 0.970314, 1.00666, 1.02354, 1.03756, 1.05207, 1.07092, 1.09798, 1.13175, 1.15794, 1.18103, 1.20925, 1.2234, 1.23324, 1.24452, 1.26589, 1.29854, 1.321, 1.33924, 1.36717, 1.38829, 1.4053, 1.43931, 1.44355");
-            values ( \
-              "-0.0591291, -0.0142729, -0.00144804, -0.00224189, -0.0168816, -0.0462096, -0.0953029, -0.179168, -0.260649, -0.306291, -0.337639, -0.356995, -0.357422, -0.353306, -0.342288, -0.288148, -0.169863, -0.109249, -0.0744332, -0.0401142, -0.0249026, -0.0168283, -0.00736156, -0.0069066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.947157, 1.14801, 1.18824, 1.22751, 1.27527, 1.3215, 1.3535, 1.37751, 1.42704, 1.47302, 1.51782, 1.56257, 1.58348, 1.60507, 1.6666, 1.69619, 1.74612, 1.78742, 1.82188, 1.84542, 1.87995, 1.92598, 2.01806, 2.11098");
-            values ( \
-              "-0.0149462, -0.0413007, -0.0933495, -0.152581, -0.217581, -0.271237, -0.302159, -0.322053, -0.353347, -0.37081, -0.375094, -0.359682, -0.33952, -0.306627, -0.19597, -0.151584, -0.0947475, -0.06295, -0.0441884, -0.0346357, -0.024127, -0.014706, -0.00503448, -0.00169226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.988546, 1.39364, 1.6438, 1.80549, 1.86967, 1.96259, 2.00968, 2.07948, 2.12158, 2.1777, 2.27062, 2.31639, 2.36869, 2.50912, 2.56913, 2.67079, 2.71859, 2.79809, 2.90157, 2.96252, 3.05544, 3.24129, 3.52006");
-            values ( \
-              "-0.0138851, -0.0576072, -0.220971, -0.304117, -0.328816, -0.357692, -0.368238, -0.379102, -0.382672, -0.382962, -0.369348, -0.349511, -0.31329, -0.196192, -0.15509, -0.100772, -0.0813759, -0.0566685, -0.0348887, -0.0262994, -0.0166135, -0.00663713, -0.00180147" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00302549, 0.00302556, 0.00302563, 0.00302569, 0.00302572, 0.00302574", \
-            "0.00362227, 0.00362228, 0.00362231, 0.00362234, 0.00362236, 0.00362238", \
-            "0.00398426, 0.00398425, 0.00398425, 0.00398426, 0.00398427, 0.00398428", \
-            "0.00419765, 0.00419763, 0.00419763, 0.00419763, 0.00419763, 0.00419764", \
-            "0.0043107, 0.0043107, 0.00431069, 0.00431069, 0.00431068, 0.00431068", \
-            "0.00437213, 0.00437213, 0.00437212, 0.00437212, 0.00437211, 0.00437211" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00435509, 0.00435504, 0.00435501, 0.00435501, 0.00435502, 0.00435503", \
-            "0.00482471, 0.00482464, 0.00482456, 0.00482453, 0.00482451, 0.00482451", \
-            "0.00506803, 0.00506796, 0.00506786, 0.00506777, 0.00506771, 0.00506768", \
-            "0.00530103, 0.0053022, 0.00530148, 0.00530145, 0.00530141, 0.00530126", \
-            "0.00565642, 0.00565654, 0.00565671, 0.00565685, 0.0056569, 0.00565691", \
-            "0.00654148, 0.00653825, 0.00653093, 0.0065257, 0.0065235, 0.00652272" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.189642, 0.215899, 0.271882, 0.394142, 0.665214, 1.27171", \
-            "0.195742, 0.221999, 0.277955, 0.400241, 0.671301, 1.27778", \
-            "0.210258, 0.236525, 0.292436, 0.414782, 0.685876, 1.29233", \
-            "0.242101, 0.268344, 0.324294, 0.446592, 0.717731, 1.32421", \
-            "0.296525, 0.322757, 0.378822, 0.501154, 0.772289, 1.37864", \
-            "0.382496, 0.408819, 0.464905, 0.587111, 0.85828, 1.46505" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0222885, 0.0360353, 0.0670447, 0.136565, 0.292325, 0.642051", \
-            "0.0222898, 0.0360832, 0.0670975, 0.136561, 0.292326, 0.641924", \
-            "0.0222908, 0.0360297, 0.0670111, 0.136554, 0.292463, 0.641928", \
-            "0.0222933, 0.036086, 0.067024, 0.136555, 0.292447, 0.64218", \
-            "0.0223164, 0.0361209, 0.0669971, 0.136532, 0.292439, 0.642188", \
-            "0.0224306, 0.0361662, 0.0670663, 0.136448, 0.292439, 0.641792" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.17257, 0.175421, 0.179185, 0.180609, 0.184391, 0.189641, 0.19392, 0.197722, 0.201259, 0.204681, 0.208097, 0.210406, 0.218491, 0.22228, 0.226719, 0.232383, 0.237056, 0.23857");
-            values ( \
-              "-0.023449, -0.0352302, -0.059944, -0.064857, -0.106361, -0.141792, -0.164766, -0.179957, -0.190122, -0.193635, -0.186052, -0.170615, -0.0769714, -0.0460063, -0.0240817, -0.0103508, -0.00507933, -0.00432989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.177392, 0.187561, 0.192569, 0.193838, 0.196376, 0.201023, 0.212506, 0.221597, 0.227316, 0.23206, 0.234457, 0.237652, 0.240018, 0.243173, 0.255375, 0.260748, 0.267409, 0.275395, 0.281585, 0.291642, 0.304655, 0.321032");
-            values ( \
-              "-0.00768697, -0.0502423, -0.0847398, -0.0856299, -0.11484, -0.151766, -0.216975, -0.250819, -0.26353, -0.267804, -0.266037, -0.258568, -0.248679, -0.226973, -0.105623, -0.0685256, -0.0386447, -0.0189114, -0.0106971, -0.00405899, -0.00119864, -0.000300653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0857486, 0.114606, 0.13095, 0.139081, 0.146565, 0.1599, 0.165467, 0.191713, 0.200197, 0.2071, 0.2205, 0.234996, 0.24493, 0.256257, 0.261841, 0.273225, 0.283818, 0.294124, 0.304569, 0.309169, 0.314076, 0.328468, 0.337822, 0.347543, 0.357861, 0.368171, 0.37419, 0.386229, 0.39089");
-            values ( \
-              "-0.00650923, -0.00983408, -0.0131784, -0.0125437, -0.00985108, -0.00183134, -1e-22, -2e-22, -0.013097, -0.0374161, -0.0957962, -0.178811, -0.221752, -0.261849, -0.277909, -0.304209, -0.31912, -0.323584, -0.309392, -0.294153, -0.268111, -0.170272, -0.118038, -0.0779456, -0.049054, -0.0303647, -0.0228591, -0.0127197, -0.010853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.13456, 0.241803, 0.258802, 0.27389, 0.292783, 0.317514, 0.334846, 0.361058, 0.384187, 0.405712, 0.42665, 0.447559, 0.457237, 0.467227, 0.496823, 0.509266, 0.530242, 0.540687, 0.557438, 0.578832, 0.591332, 0.616333, 0.659712");
-            values ( \
-              "-0.0179448, -0.0186278, -0.055195, -0.0946168, -0.154619, -0.220557, -0.258864, -0.307139, -0.335948, -0.353434, -0.357137, -0.342938, -0.324403, -0.294187, -0.185392, -0.146856, -0.0961025, -0.0770843, -0.053547, -0.0331533, -0.024952, -0.0138719, -0.00469802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.151621, 0.351369, 0.391325, 0.451832, 0.525148, 0.557226, 0.581177, 0.630735, 0.676732, 0.721548, 0.766305, 0.787159, 0.808685, 0.87114, 0.899678, 0.94788, 0.996532, 1.04581, 1.07213, 1.1022, 1.16235, 1.22175");
-            values ( \
-              "-0.0154819, -0.0413495, -0.0928693, -0.182695, -0.271635, -0.30157, -0.322373, -0.352729, -0.371122, -0.374528, -0.360034, -0.339563, -0.306784, -0.194683, -0.151908, -0.0965931, -0.0596475, -0.0358479, -0.0272348, -0.0198231, -0.0102403, -0.00590208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.18518, 0.579556, 0.843692, 0.950697, 1.0311, 1.07398, 1.15973, 1.22804, 1.28362, 1.3764, 1.4156, 1.44759, 1.48133, 1.54881, 1.68095, 1.79597, 1.90163, 1.99883, 2.1057, 2.16613, 2.25891, 2.35169, 2.44447, 2.48554");
-            values ( \
-              "-0.0214596, -0.0473144, -0.217994, -0.276501, -0.312673, -0.328891, -0.355511, -0.370582, -0.37868, -0.383419, -0.380624, -0.375916, -0.366818, -0.331798, -0.221756, -0.141168, -0.0893933, -0.0575974, -0.0348985, -0.0263563, -0.0166762, -0.0107558, -0.00667678, -0.00577971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.192613, 0.195722, 0.199575, 0.200653, 0.204444, 0.207716, 0.213971, 0.217777, 0.221314, 0.224736, 0.228152, 0.230467, 0.238546, 0.242336, 0.246777, 0.252436, 0.257106, 0.258571");
-            values ( \
-              "-0.0234467, -0.0368112, -0.0624063, -0.0631292, -0.106479, -0.129915, -0.164761, -0.179923, -0.190128, -0.193619, -0.186048, -0.17056, -0.0769725, -0.0460069, -0.0240728, -0.0103526, -0.0050859, -0.00435909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.195901, 0.207595, 0.213883, 0.220103, 0.231539, 0.240677, 0.247343, 0.251307, 0.255634, 0.258479, 0.264146, 0.276616, 0.28252, 0.290676, 0.302573, 0.311229");
-            values ( \
-              "-0.000113629, -0.0502365, -0.0900759, -0.145176, -0.212428, -0.248172, -0.263455, -0.267679, -0.264256, -0.255466, -0.218718, -0.0964074, -0.0593891, -0.0292201, -0.00970269, -0.00484057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.106077, 0.125068, 0.138875, 0.144114, 0.15446, 0.162967, 0.185201, 0.211052, 0.220346, 0.227381, 0.240509, 0.250433, 0.26908, 0.281894, 0.292057, 0.303828, 0.311855, 0.318658, 0.324523, 0.335042, 0.350686, 0.357509, 0.368226, 0.37533, 0.383123, 0.395486, 0.40361, 0.411111");
-            values ( \
-              "-0.00643793, -0.00812525, -0.0106319, -0.0126861, -0.0131465, -0.0115037, -1e-22, -2e-22, -0.013373, -0.038378, -0.0953107, -0.156584, -0.237648, -0.278368, -0.301967, -0.319162, -0.323454, -0.319762, -0.30923, -0.26237, -0.157083, -0.119978, -0.0759076, -0.0552389, -0.038593, -0.0216326, -0.0146024, -0.0108241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.154182, 0.263651, 0.271873, 0.293962, 0.312871, 0.337485, 0.354891, 0.381125, 0.404229, 0.425753, 0.446691, 0.4676, 0.477268, 0.487248, 0.516955, 0.529274, 0.550044, 0.560811, 0.577658, 0.59888, 0.611201, 0.635844, 0.680183, 0.732204");
-            values ( \
-              "-0.0147703, -0.0217089, -0.0385357, -0.095143, -0.15449, -0.220172, -0.259106, -0.306979, -0.336161, -0.353246, -0.357329, -0.342761, -0.324424, -0.294259, -0.185082, -0.146945, -0.0965833, -0.0769496, -0.0533379, -0.0331516, -0.025053, -0.0140548, -0.00454802, -0.00113877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.172078, 0.370511, 0.411358, 0.471857, 0.545164, 0.57728, 0.601194, 0.650754, 0.696748, 0.741565, 0.786321, 0.807176, 0.828703, 0.891146, 0.919697, 0.967923, 1.01648, 1.06582, 1.0922, 1.12235, 1.18265, 1.24346");
-            values ( \
-              "-0.0165528, -0.0402791, -0.0928787, -0.18263, -0.271567, -0.30167, -0.322305, -0.352798, -0.371054, -0.374596, -0.359966, -0.339561, -0.306782, -0.194699, -0.151905, -0.0965696, -0.0596885, -0.0358499, -0.0272209, -0.019793, -0.0102094, -0.00577959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.204761, 0.590215, 0.672993, 0.86055, 0.969723, 1.05207, 1.09302, 1.17491, 1.2539, 1.30263, 1.39541, 1.43461, 1.46689, 1.50034, 1.55082, 1.61156, 1.69968, 1.75669, 1.81502, 1.89279, 1.92064, 1.97356, 2.01805, 2.09439, 2.12462, 2.18509, 2.27787, 2.37065, 2.46343, 2.5562, 2.74176");
-            values ( \
-              "-0.0255149, -0.0428085, -0.0925246, -0.216692, -0.27649, -0.313441, -0.328912, -0.354479, -0.371769, -0.378694, -0.383391, -0.380656, -0.375817, -0.366853, -0.343, -0.296672, -0.221836, -0.178693, -0.141169, -0.101135, -0.0894333, -0.0706001, -0.0575937, -0.040334, -0.0349753, -0.0262744, -0.0167502, -0.0106767, -0.00675269, -0.00430025, -0.00172979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.237866, 0.241378, 0.245892, 0.249674, 0.259206, 0.263008, 0.266546, 0.269968, 0.273385, 0.275688, 0.283779, 0.287568, 0.292006, 0.297673, 0.302348, 0.308148, 0.312783");
-            values ( \
-              "-0.0235701, -0.0387996, -0.0649786, -0.106355, -0.164705, -0.179972, -0.190074, -0.193653, -0.186013, -0.170655, -0.076964, -0.0460084, -0.0240818, -0.0103446, -0.00507899, -0.00220371, -0.00173793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.246266, 0.253084, 0.257903, 0.259356, 0.262264, 0.265595, 0.27096, 0.278038, 0.283787, 0.287123, 0.292841, 0.29757, 0.300012, 0.303268, 0.307588, 0.321, 0.326689, 0.332683, 0.337834, 0.340727, 0.346515, 0.356325, 0.361174");
-            values ( \
-              "-0.0360549, -0.0503621, -0.0830662, -0.0864262, -0.118093, -0.145375, -0.179897, -0.217018, -0.240303, -0.250804, -0.263502, -0.267853, -0.266052, -0.258223, -0.235977, -0.104788, -0.0661265, -0.0395283, -0.0249857, -0.0192869, -0.0113008, -0.00444679, -0.00324773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.151178, 0.160084, 0.177711, 0.192725, 0.201744, 0.209457, 0.217209, 0.224873, 0.230397, 0.255771, 0.268545, 0.272317, 0.275818, 0.280509, 0.283986, 0.285934, 0.288935, 0.29591, 0.302869, 0.314596, 0.325703, 0.327421, 0.330855, 0.337725, 0.340852, 0.345021, 0.349365, 0.358052, 0.359661, 0.36288, 0.369318, 0.371532, 0.374484, 0.379448, 0.394191, 0.398309, 0.403042, 0.40712, 0.41249, 0.416011, 0.420706, 0.428807, 0.433578, 0.440675, 0.448903, 0.453503");
-            values ( \
-              "-0.00641505, -0.00721669, -0.00946637, -0.0128216, -0.0128364, -0.0109535, -0.0069034, -0.00226876, -1e-22, -2e-22, -0.0215729, -0.0356941, -0.0500712, -0.0717854, -0.0899485, -0.094378, -0.114727, -0.156094, -0.189537, -0.237406, -0.272815, -0.278204, -0.286608, -0.30211, -0.30767, -0.313832, -0.319074, -0.323427, -0.322982, -0.321039, -0.311033, -0.305301, -0.294737, -0.268889, -0.168831, -0.144302, -0.119379, -0.100818, -0.079881, -0.0683963, -0.0554735, -0.038046, -0.0304579, -0.0217957, -0.014781, -0.0124162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.201265, 0.311586, 0.339373, 0.360821, 0.377818, 0.400295, 0.426553, 0.449666, 0.471189, 0.492126, 0.513035, 0.522704, 0.532685, 0.562387, 0.57471, 0.595487, 0.606243, 0.623087, 0.644314, 0.656642, 0.681297, 0.72221");
-            values ( \
-              "-0.0108101, -0.0264876, -0.0944929, -0.161992, -0.207607, -0.259034, -0.307008, -0.33614, -0.35329, -0.357298, -0.342803, -0.324427, -0.294252, -0.185093, -0.14694, -0.0965651, -0.0769558, -0.0533467, -0.0331531, -0.0250481, -0.0140497, -0.00527379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.218397, 0.421425, 0.456686, 0.517201, 0.552411, 0.590434, 0.64671, 0.696084, 0.74212, 0.786929, 0.832353, 0.852561, 0.874117, 0.936158, 0.965166, 0.981492, 1.01414, 1.04058, 1.0595, 1.09735, 1.11056, 1.14047, 1.17465, 1.24301, 1.33579, 1.42857");
-            values ( \
-              "-0.011255, -0.0467092, -0.0922468, -0.182226, -0.227535, -0.271296, -0.321879, -0.353524, -0.370487, -0.375273, -0.359086, -0.339504, -0.30674, -0.195228, -0.151781, -0.130764, -0.0957799, -0.073887, -0.0610965, -0.0413499, -0.0360578, -0.0264026, -0.0183569, -0.00859968, -0.00288982, -0.000984363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.252444, 0.641449, 0.908326, 1.01624, 1.09745, 1.13952, 1.22366, 1.29677, 1.34915, 1.44193, 1.48112, 1.5134, 1.54686, 1.59733, 1.74619, 1.86153, 1.96715, 2.06777, 2.17121, 2.23022, 2.323, 2.41577, 2.50855, 2.56377");
-            values ( \
-              "-0.023448, -0.0453488, -0.217473, -0.276517, -0.313013, -0.328896, -0.355096, -0.371158, -0.378678, -0.383419, -0.38063, -0.375851, -0.366825, -0.343033, -0.221868, -0.141136, -0.0894003, -0.0567071, -0.0349333, -0.0264924, -0.016831, -0.0107881, -0.006764, -0.00577505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.337985, 0.340869, 0.344722, 0.345999, 0.349783, 0.352241, 0.359316, 0.363119, 0.366657, 0.370079, 0.373496, 0.37581, 0.38389, 0.38768, 0.392121, 0.397781, 0.402451, 0.404252");
-            values ( \
-              "-0.0235529, -0.0356141, -0.0610656, -0.0641948, -0.106129, -0.124638, -0.164828, -0.179822, -0.190166, -0.193537, -0.186087, -0.170549, -0.0769707, -0.0460018, -0.0240734, -0.0103554, -0.00508269, -0.00419049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.342384, 0.353037, 0.359321, 0.363836, 0.368965, 0.376869, 0.380957, 0.387071, 0.392787, 0.396971, 0.401056, 0.403926, 0.409593, 0.421889, 0.42949, 0.436173, 0.441123, 0.447724, 0.45449");
-            values ( \
-              "-0.00499677, -0.0502949, -0.0896451, -0.131787, -0.168203, -0.21193, -0.229945, -0.250955, -0.26367, -0.267496, -0.264054, -0.255696, -0.218474, -0.0979163, -0.0521348, -0.0289039, -0.0184984, -0.0101629, -0.00592989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.24694, 0.301976, 0.331706, 0.358658, 0.365494, 0.375661, 0.385739, 0.395639, 0.414288, 0.427099, 0.437549, 0.449033, 0.45933, 0.469624, 0.474374, 0.502982, 0.512728, 0.523164, 0.533386, 0.551172, 0.557314");
-            values ( \
-              "-0.00451739, -0.0127143, -1e-22, -2e-22, -0.0133606, -0.0511922, -0.095867, -0.156524, -0.237644, -0.278363, -0.30252, -0.31917, -0.323379, -0.309729, -0.294138, -0.118283, -0.0780395, -0.048854, -0.0303654, -0.0128986, -0.0103936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.301353, 0.408574, 0.439301, 0.466421, 0.500214, 0.52643, 0.549551, 0.571073, 0.592011, 0.613854, 0.622557, 0.632504, 0.662357, 0.681397, 0.701557, 0.723196, 0.744209, 0.756315, 0.780528, 0.822863");
-            values ( \
-              "-0.0159561, -0.0210759, -0.0942894, -0.178256, -0.259776, -0.306344, -0.336776, -0.352675, -0.357894, -0.341426, -0.324487, -0.294498, -0.184797, -0.128582, -0.0848073, -0.0530852, -0.0331481, -0.0251766, -0.0142752, -0.0050266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.316739, 0.507054, 0.55671, 0.617161, 0.69039, 0.746645, 0.796063, 0.842097, 0.886906, 0.932329, 0.952537, 0.974093, 1.03613, 1.06514, 1.11413, 1.15944, 1.21051, 1.24057, 1.27492, 1.34362, 1.39514");
-            values ( \
-              "-0.0246983, -0.0311751, -0.0924557, -0.182472, -0.271457, -0.321708, -0.353692, -0.370348, -0.375426, -0.359061, -0.339496, -0.30675, -0.19523, -0.151785, -0.0957715, -0.0611193, -0.0360646, -0.0263708, -0.0182966, -0.00853892, -0.00538879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.349753, 0.455427, 0.5096, 0.56735, 0.604129, 0.650964, 0.71473, 0.818386, 0.953786, 1.04656, 1.11577, 1.18836, 1.23901, 1.34765, 1.44887, 1.54717, 1.58029, 1.62444, 1.64651, 1.69065, 1.75766, 1.89345, 1.95068, 2.05552, 2.11442, 2.19296, 2.24708, 2.3074, 2.38782, 2.4806, 2.57338, 2.66464");
-            values ( \
-              "-0.112904, -0.0289784, -0.0203443, -0.0137269, -0.011408, -0.0135449, -0.032521, -0.0919373, -0.184171, -0.239411, -0.276706, -0.309023, -0.329215, -0.361346, -0.379046, -0.383335, -0.380961, -0.373074, -0.367099, -0.346576, -0.29699, -0.185443, -0.14752, -0.0941452, -0.0723745, -0.0503822, -0.0391201, -0.0294481, -0.0200231, -0.0127443, -0.00810098, -0.00517662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.541849, 0.549191, 0.550792, 0.553788, 0.559878, 0.56716, 0.571513, 0.574937, 0.57836, 0.580583, 0.588764, 0.592554, 0.596977, 0.602688, 0.6074, 0.619209");
-            values ( \
-              "-0.00334352, -0.058167, -0.0624557, -0.099302, -0.141627, -0.176873, -0.189427, -0.193592, -0.185522, -0.171161, -0.0768836, -0.0459978, -0.0241176, -0.0102909, -0.0050389, -0.00279736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.550035, 0.557434, 0.562537, 0.56398, 0.570331, 0.582873, 0.588528, 0.593934, 0.597707, 0.600525, 0.603249, 0.608108, 0.610345, 0.613328, 0.627576, 0.632121, 0.638308, 0.644785, 0.649386, 0.65859, 0.670914, 0.686137");
-            values ( \
-              "-0.0327232, -0.0468955, -0.0808514, -0.0838698, -0.14374, -0.216064, -0.239124, -0.255355, -0.263021, -0.266436, -0.267045, -0.258445, -0.24895, -0.229031, -0.0919046, -0.0632888, -0.0370278, -0.020766, -0.0136737, -0.00567882, -0.00173449, -0.000460616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.438009, 0.473662, 0.502473, 0.510325, 0.535306, 0.560417, 0.567032, 0.576807, 0.591066, 0.600977, 0.619527, 0.632334, 0.643664, 0.654264, 0.659069, 0.664537, 0.67478, 0.677864, 0.707074, 0.717811, 0.730611, 0.742078, 0.749786, 0.765203, 0.767748");
-            values ( \
-              "-0.00285097, -0.00582979, -0.0124096, -0.0120286, -1e-22, -2e-22, -0.00407718, -0.0351028, -0.0964823, -0.157396, -0.23786, -0.278494, -0.303979, -0.31906, -0.322767, -0.323081, -0.310054, -0.300806, -0.12384, -0.0784364, -0.044016, -0.0257851, -0.0178525, -0.00829112, -0.00764114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.506038, 0.616796, 0.644612, 0.665889, 0.683204, 0.705439, 0.731701, 0.754796, 0.776316, 0.797251, 0.818158, 0.827842, 0.837838, 0.867341, 0.87989, 0.901041, 0.911211, 0.927879, 0.949421, 0.962075, 0.987384, 1.02869");
-            values ( \
-              "-0.0100948, -0.0268237, -0.0947842, -0.1618, -0.208266, -0.259243, -0.307026, -0.336281, -0.353252, -0.357405, -0.342748, -0.324402, -0.294158, -0.185663, -0.146783, -0.0956937, -0.077204, -0.0537304, -0.0331578, -0.0248636, -0.0137186, -0.00504789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.524879, 0.709568, 0.762311, 0.805522, 0.857219, 0.895964, 0.952227, 1.00159, 1.04763, 1.09243, 1.13718, 1.15812, 1.17974, 1.24097, 1.2709, 1.32129, 1.36056, 1.3933, 1.41985, 1.45875, 1.51063, 1.58184");
-            values ( \
-              "-0.0281255, -0.0283301, -0.0928117, -0.158371, -0.227381, -0.270738, -0.322547, -0.352944, -0.371111, -0.374697, -0.359973, -0.339482, -0.306503, -0.1964, -0.15145, -0.0942246, -0.063907, -0.0456814, -0.0347127, -0.023081, -0.0131699, -0.00647669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.562892, 0.925817, 1.02291, 1.19593, 1.32006, 1.39114, 1.44335, 1.53612, 1.58374, 1.65322, 1.746, 1.78466, 1.82884, 1.85087, 1.89493, 1.96202, 2.09807, 2.15477, 2.19238, 2.25971, 2.31909, 2.39825, 2.45172, 2.51142, 2.59102, 2.6838, 2.77658, 2.86935, 2.96213, 3.14769");
-            values ( \
-              "-0.0336008, -0.0355038, -0.0920708, -0.207409, -0.276327, -0.308686, -0.328878, -0.357198, -0.367892, -0.378759, -0.383355, -0.380743, -0.373268, -0.366896, -0.346815, -0.296796, -0.185264, -0.147688, -0.126221, -0.0942209, -0.0722798, -0.050149, -0.0390718, -0.0294997, -0.0201277, -0.0128238, -0.00813952, -0.00516452, -0.00326585, -0.00130585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.96197, 0.968503, 0.969507, 0.973383, 0.976408, 0.983031, 0.990423, 0.993862, 0.997297, 0.999417, 1.00772, 1.01151, 1.01592, 1.02168, 1.02643, 1.03265, 1.05227");
-            values ( \
-              "-0.0226659, -0.0618216, -0.0601793, -0.104363, -0.126403, -0.163368, -0.18905, -0.192762, -0.185349, -0.171655, -0.076794, -0.0459136, -0.0241671, -0.0102567, -0.00497534, -0.00203338, -0.000297759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.970422, 0.976826, 0.982047, 0.983123, 0.987963, 0.99226, 1.00184, 1.00753, 1.01161, 1.01673, 1.02077, 1.02504, 1.02789, 1.03356, 1.04579, 1.05201, 1.05643, 1.06025, 1.06494, 1.07121, 1.08199, 1.08208");
-            values ( \
-              "-0.0415951, -0.050414, -0.0845626, -0.0861772, -0.133801, -0.163758, -0.215838, -0.239045, -0.251846, -0.262979, -0.267171, -0.263809, -0.255232, -0.218439, -0.0982951, -0.0590492, -0.0403342, -0.0287919, -0.0189035, -0.0105346, -0.00375367, -0.00373504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.832475, 0.858339, 0.896596, 0.914676, 0.927012, 0.936786, 0.95452, 0.980158, 0.988495, 0.996694, 1.00995, 1.02637, 1.03844, 1.05126, 1.06263, 1.07322, 1.08353, 1.09397, 1.09859, 1.12743, 1.13705, 1.14653, 1.15755, 1.16411, 1.17723, 1.17969");
-            values ( \
-              "-0.00177642, -0.00236443, -0.00547815, -0.0100496, -0.0113972, -0.00882895, -1e-22, -2e-22, -0.0109759, -0.0392212, -0.0972871, -0.18811, -0.237451, -0.278134, -0.304245, -0.319204, -0.323585, -0.309405, -0.2941, -0.117062, -0.0775806, -0.0507141, -0.0303836, -0.0222845, -0.011718, -0.010809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.921132, 1.0338, 1.06375, 1.09072, 1.12449, 1.15068, 1.1653, 1.19057, 1.20462, 1.21606, 1.2265, 1.23734, 1.24804, 1.29146, 1.3035, 1.32564, 1.3477, 1.36839, 1.38017, 1.40372, 1.44266");
-            values ( \
-              "-0.0118317, -0.0232567, -0.0966132, -0.178959, -0.260205, -0.307371, -0.327242, -0.350624, -0.356861, -0.356955, -0.353038, -0.341524, -0.32102, -0.168808, -0.133971, -0.0849158, -0.052682, -0.0331387, -0.0253637, -0.0146223, -0.00585982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.942387, 1.13718, 1.16392, 1.24148, 1.28526, 1.31463, 1.37089, 1.4203, 1.46634, 1.51114, 1.55589, 1.5768, 1.59839, 1.66, 1.6895, 1.73928, 1.78124, 1.81624, 1.83882, 1.87192, 1.91606, 2.00435, 2.01224");
-            values ( \
-              "-0.0192436, -0.0372124, -0.0699902, -0.182075, -0.238219, -0.271091, -0.322104, -0.353331, -0.370719, -0.375074, -0.359596, -0.339487, -0.306622, -0.195842, -0.15161, -0.0949114, -0.0626448, -0.0437156, -0.034611, -0.0244757, -0.0152403, -0.00550251, -0.005192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.980889, 1.38406, 1.64068, 1.77835, 1.86305, 1.97148, 2.02394, 2.07259, 2.17095, 2.20447, 2.24916, 2.27029, 2.31257, 2.38142, 2.45239, 2.55332, 2.59679, 2.65476, 2.69834, 2.74715, 2.79723, 2.86756, 2.95045, 3.04323, 3.13601, 3.22879, 3.32156, 3.50712");
-            values ( \
-              "-0.0146188, -0.0561534, -0.223158, -0.295094, -0.329337, -0.36136, -0.371593, -0.379014, -0.383314, -0.380898, -0.372909, -0.367076, -0.347704, -0.296986, -0.236039, -0.160768, -0.134459, -0.104884, -0.0865918, -0.0695566, -0.0553055, -0.0398073, -0.0269121, -0.0171876, -0.0109311, -0.00693997, -0.00439452, -0.00175922" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00302593, 0.003026, 0.00302607, 0.00302613, 0.00302616, 0.00302618", \
-            "0.00362261, 0.00362262, 0.00362265, 0.00362268, 0.0036227, 0.00362271", \
-            "0.00398433, 0.00398433, 0.00398433, 0.00398434, 0.00398435, 0.00398436", \
-            "0.00419751, 0.0041975, 0.00419749, 0.00419748, 0.00419749, 0.00419749", \
-            "0.00431044, 0.00431044, 0.00431044, 0.00431043, 0.00431043, 0.00431043", \
-            "0.0043719, 0.0043719, 0.00437189, 0.00437189, 0.00437188, 0.00437188" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00435586, 0.0043558, 0.00435578, 0.00435578, 0.00435578, 0.00435579", \
-            "0.00482437, 0.0048243, 0.00482424, 0.0048242, 0.00482419, 0.00482419", \
-            "0.0050655, 0.00506544, 0.00506534, 0.00506525, 0.00506519, 0.00506516", \
-            "0.00529496, 0.00529566, 0.00529557, 0.00529555, 0.00529551, 0.00529545", \
-            "0.00565433, 0.00565445, 0.00565461, 0.00565474, 0.00565478, 0.00565478", \
-            "0.00655763, 0.00655522, 0.00654833, 0.00654242, 0.00653968, 0.00653876" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.188164, 0.214717, 0.271036, 0.393316, 0.664242, 1.27066", \
-            "0.19423, 0.220777, 0.277079, 0.399374, 0.670258, 1.27676", \
-            "0.208693, 0.235238, 0.291545, 0.413816, 0.684776, 1.29116", \
-            "0.239323, 0.265857, 0.322189, 0.444465, 0.715427, 1.32194", \
-            "0.289529, 0.31615, 0.372532, 0.494808, 0.765658, 1.3723", \
-            "0.369882, 0.396514, 0.45283, 0.575218, 0.845967, 1.45305" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0223511, 0.0361227, 0.0669888, 0.136272, 0.292101, 0.641741", \
-            "0.0223467, 0.036122, 0.0669516, 0.136272, 0.291965, 0.642028", \
-            "0.0223462, 0.0361228, 0.0669246, 0.136287, 0.292098, 0.641759", \
-            "0.0223548, 0.0361263, 0.0669829, 0.136264, 0.292088, 0.641733", \
-            "0.0224474, 0.0361514, 0.0669346, 0.13625, 0.291917, 0.641849", \
-            "0.0224786, 0.036206, 0.0669816, 0.136164, 0.291966, 0.641661" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.17111, 0.178974, 0.185017, 0.192313, 0.199674, 0.203105, 0.20653, 0.2088, 0.219262, 0.222629, 0.226738, 0.230818, 0.235482, 0.237246");
-            values ( \
-              "-0.0254666, -0.0637644, -0.123385, -0.164642, -0.189956, -0.192873, -0.185972, -0.170665, -0.0563768, -0.0350497, -0.0190551, -0.0103717, -0.00507832, -0.00427227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.1827, 0.192756, 0.197358, 0.201994, 0.21433, 0.220459, 0.226181, 0.231738, 0.237287, 0.239709, 0.243572, 0.254514, 0.259806, 0.265182, 0.26932, 0.273839, 0.277342, 0.284347, 0.296715, 0.311597");
-            values ( \
-              "-0.0543514, -0.0920824, -0.134067, -0.166595, -0.229861, -0.250418, -0.263513, -0.267184, -0.256126, -0.244175, -0.21281, -0.10377, -0.0677337, -0.0427629, -0.0296215, -0.0197849, -0.014399, -0.00752232, -0.00221942, -0.000590343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0891577, 0.115434, 0.135365, 0.144015, 0.161409, 0.167295, 0.184084, 0.196857, 0.20588, 0.219722, 0.23191, 0.24697, 0.254575, 0.260823, 0.272192, 0.282784, 0.293083, 0.303522, 0.308129, 0.336885, 0.346543, 0.35657, 0.36709, 0.373331, 0.385813, 0.393244");
-            values ( \
-              "-0.00524271, -0.00737255, -0.0113439, -0.00969943, -0.00134598, -1e-22, -2e-22, -0.00866604, -0.0387299, -0.0980178, -0.169485, -0.233752, -0.259932, -0.278238, -0.304321, -0.319399, -0.323722, -0.309607, -0.294275, -0.11748, -0.077745, -0.0495676, -0.0303817, -0.0226262, -0.0123039, -0.00943756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.139655, 0.247137, 0.274006, 0.295037, 0.311938, 0.334261, 0.360423, 0.383462, 0.404941, 0.425845, 0.44672, 0.456634, 0.466868, 0.493047, 0.510153, 0.533018, 0.544986, 0.560944, 0.577066, 0.603174, 0.637984, 0.654469");
-            values ( \
-              "-0.00671041, -0.0306613, -0.0974629, -0.164213, -0.209533, -0.259946, -0.308294, -0.336625, -0.35421, -0.35752, -0.343426, -0.324092, -0.29265, -0.195451, -0.142021, -0.0890722, -0.068991, -0.0485202, -0.033813, -0.0185954, -0.00797573, -0.00603345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.159261, 0.357138, 0.391565, 0.451179, 0.524066, 0.580136, 0.629529, 0.675513, 0.720283, 0.765678, 0.786171, 0.80803, 0.865848, 0.90124, 0.950938, 0.977559, 1.01305, 1.04668, 1.10133, 1.1742, 1.26665, 1.35911");
-            values ( \
-              "-0.00913296, -0.0498971, -0.0948804, -0.183808, -0.27227, -0.322263, -0.354153, -0.370705, -0.375696, -0.359239, -0.339258, -0.305786, -0.201228, -0.148078, -0.0926437, -0.0712503, -0.049586, -0.035026, -0.0196669, -0.00873431, -0.00293397, -0.0010186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.193042, 0.299395, 0.34347, 0.399761, 0.436771, 0.483864, 0.546493, 0.653732, 0.753278, 0.857833, 0.949521, 1.02392, 1.07275, 1.16521, 1.21345, 1.28244, 1.37489, 1.41561, 1.44723, 1.48001, 1.53048, 1.5912, 1.67931, 1.73632, 1.79465, 1.87243, 1.90027, 1.95317, 2.0043, 2.10438, 2.1618, 2.25426, 2.34671, 2.43917, 2.53162, 2.71653");
-            values ( \
-              "-0.112958, -0.0279662, -0.0209587, -0.0142831, -0.0118115, -0.0137347, -0.0319843, -0.0933477, -0.161757, -0.227236, -0.276788, -0.310406, -0.329264, -0.357358, -0.368162, -0.378995, -0.383456, -0.380701, -0.375733, -0.367005, -0.343003, -0.296764, -0.221804, -0.178759, -0.141221, -0.101085, -0.089481, -0.0705717, -0.055882, -0.034997, -0.0266223, -0.0170547, -0.0108372, -0.00691756, -0.00436153, -0.00174247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.191817, 0.198987, 0.202601, 0.208007, 0.216115, 0.219662, 0.223092, 0.226552, 0.228744, 0.236925, 0.240399, 0.245138, 0.250822, 0.255509, 0.262871, 0.283564");
-            values ( \
-              "-0.024046, -0.065808, -0.107017, -0.141828, -0.179961, -0.189094, -0.193731, -0.18526, -0.171081, -0.0768448, -0.0480455, -0.0241221, -0.0103061, -0.00508563, -0.0016529, -0.000223633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.200939, 0.206791, 0.212841, 0.217464, 0.222036, 0.227517, 0.234414, 0.240543, 0.246265, 0.251822, 0.257372, 0.259793, 0.263656, 0.274598, 0.27989, 0.285266, 0.289404, 0.293923, 0.297426, 0.304431, 0.309622");
-            values ( \
-              "-0.0467462, -0.0539471, -0.0921808, -0.134186, -0.166273, -0.197538, -0.229801, -0.250479, -0.263454, -0.267243, -0.256067, -0.24417, -0.212806, -0.103769, -0.0677308, -0.0427655, -0.0296186, -0.0197828, -0.0144013, -0.0075204, -0.00529675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.109333, 0.111629, 0.11972, 0.123196, 0.127559, 0.130855, 0.136234, 0.145965, 0.154242, 0.163067, 0.179719, 0.185484, 0.212862, 0.221953, 0.230028, 0.2399, 0.249297, 0.257588, 0.267222, 0.274075, 0.279402, 0.284118, 0.290407, 0.296099, 0.302895, 0.313186, 0.323628, 0.328226, 0.333129, 0.347525, 0.356863, 0.363558, 0.366584, 0.371677, 0.376916, 0.384208, 0.38721, 0.393215, 0.405225, 0.41456");
-            values ( \
-              "-0.00528342, -0.00527903, -0.00671346, -0.006229, -0.00695403, -0.00666674, -0.00742339, -0.0104444, -0.0114534, -0.0101711, -0.00214265, -1e-22, -2e-22, -0.0240351, -0.0553785, -0.101551, -0.155106, -0.195063, -0.23429, -0.257935, -0.273972, -0.28645, -0.30058, -0.310687, -0.319275, -0.323664, -0.309545, -0.294283, -0.268222, -0.170278, -0.118106, -0.0889515, -0.0779801, -0.0622164, -0.0490393, -0.0349441, -0.0303744, -0.0228787, -0.0127509, -0.00901558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.162893, 0.265731, 0.294057, 0.31443, 0.333283, 0.354305, 0.380438, 0.403491, 0.424971, 0.445874, 0.46675, 0.476664, 0.486898, 0.513075, 0.530183, 0.553048, 0.565015, 0.580972, 0.597096, 0.623204, 0.658016, 0.673492");
-            values ( \
-              "-0.0103222, -0.0278407, -0.0974689, -0.162314, -0.21283, -0.259983, -0.308272, -0.336631, -0.354208, -0.357524, -0.343425, -0.324093, -0.292649, -0.195457, -0.142019, -0.0890712, -0.0689909, -0.048522, -0.0338138, -0.0185956, -0.00797442, -0.00615166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.179889, 0.37409, 0.471958, 0.544897, 0.576872, 0.600788, 0.650263, 0.696208, 0.740984, 0.785702, 0.806858, 0.921838, 0.971561, 1.03427, 1.06744, 1.12143, 1.1898");
-            values ( \
-              "-0.0128183, -0.045438, -0.183989, -0.272356, -0.302408, -0.322865, -0.353344, -0.371363, -0.374937, -0.360098, -0.339313, -0.148194, -0.0926898, -0.0493518, -0.0350302, -0.0198199, -0.00941653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.212551, 0.635846, 0.80823, 0.900685, 0.969676, 1.04211, 1.09275, 1.2013, 1.30244, 1.39018, 1.42174, 1.46017, 1.5, 1.57966, 1.74618, 1.80694, 1.88588, 1.94312, 2.01248, 2.12469, 2.22609, 2.314, 2.49891, 2.499");
-            values ( \
-              "-0.00441346, -0.0694418, -0.184794, -0.240262, -0.276958, -0.309609, -0.329348, -0.361416, -0.37906, -0.38349, -0.381963, -0.377161, -0.366932, -0.323013, -0.186011, -0.145855, -0.104272, -0.0811689, -0.0592921, -0.0349784, -0.0217545, -0.0140798, -0.00564712, -0.00564571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.236642, 0.238868, 0.244392, 0.247266, 0.249933, 0.257717, 0.26153, 0.265077, 0.268507, 0.271932, 0.274175, 0.281175, 0.284667, 0.288027, 0.292136, 0.29623, 0.300908, 0.306734");
-            values ( \
-              "-0.0257799, -0.0346712, -0.0658397, -0.0991462, -0.119552, -0.164202, -0.179477, -0.189571, -0.193276, -0.185635, -0.170921, -0.0889809, -0.0563766, -0.0350857, -0.0190805, -0.0103402, -0.00507358, -0.00239566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.240026, 0.248507, 0.252148, 0.2582, 0.262848, 0.267354, 0.27288, 0.279779, 0.285907, 0.29163, 0.297187, 0.302736, 0.305157, 0.30902, 0.31996, 0.325254, 0.330632, 0.334769, 0.339287, 0.342788, 0.34979, 0.352063");
-            values ( \
-              "-0.00169599, -0.0341145, -0.0537697, -0.0920995, -0.134392, -0.166041, -0.19746, -0.229859, -0.250409, -0.263514, -0.267176, -0.256129, -0.244179, -0.212811, -0.103794, -0.0677387, -0.0427593, -0.0296212, -0.0197874, -0.014402, -0.00752654, -0.00655158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.154158, 0.180437, 0.188615, 0.200361, 0.20909, 0.226237, 0.232066, 0.248508, 0.260925, 0.266768, 0.274752, 0.285089, 0.297258, 0.316039, 0.326171, 0.337521, 0.340796, 0.348123, 0.35278, 0.357685, 0.362531, 0.367073, 0.3721, 0.378802, 0.388702, 0.400359, 0.410107, 0.415043, 0.422967, 0.432427, 0.437633, 0.448046, 0.46125");
-            values ( \
-              "-0.00521585, -0.00720072, -0.00979943, -0.01134, -0.00979453, -0.00158835, -1e-22, -2e-22, -0.00557396, -0.0226709, -0.0532449, -0.101522, -0.169561, -0.247069, -0.278265, -0.304177, -0.309913, -0.319358, -0.322763, -0.323447, -0.32039, -0.313279, -0.299169, -0.265036, -0.196532, -0.126447, -0.0838061, -0.0674505, -0.0471058, -0.030315, -0.0237933, -0.0143309, -0.00815277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.203974, 0.307217, 0.323892, 0.339125, 0.365811, 0.382389, 0.399419, 0.425529, 0.448602, 0.470083, 0.490989, 0.511866, 0.521741, 0.531934, 0.558664, 0.57509, 0.598057, 0.610328, 0.626689, 0.642268, 0.65071, 0.667594, 0.701363, 0.75014, 0.809756");
-            values ( \
-              "-0.0152139, -0.0210528, -0.0572651, -0.0975589, -0.179314, -0.221987, -0.260472, -0.307659, -0.337106, -0.353681, -0.357987, -0.342942, -0.324163, -0.292938, -0.193804, -0.1426, -0.0892705, -0.0686966, -0.047858, -0.0337589, -0.0278912, -0.018908, -0.00834894, -0.0023212, -0.000445718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.226188, 0.427528, 0.517106, 0.56227, 0.589942, 0.646113, 0.695404, 0.741387, 0.786157, 0.830868, 0.852073, 0.873963, 0.931369, 0.96727, 1.01693, 1.04321, 1.07824, 1.11249, 1.16805, 1.24212, 1.27405");
-            values ( \
-              "-0.00493109, -0.0554644, -0.1834, -0.241162, -0.271802, -0.322821, -0.353692, -0.371168, -0.375246, -0.359873, -0.339256, -0.305651, -0.201845, -0.14785, -0.0925171, -0.0713974, -0.0499645, -0.0350688, -0.0195052, -0.00851177, -0.00656529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.260327, 0.364816, 0.408842, 0.465575, 0.50211, 0.549926, 0.611207, 0.718943, 0.853385, 0.938898, 1.01487, 1.08786, 1.13795, 1.2465, 1.27868, 1.34765, 1.4401, 1.48083, 1.51244, 1.54522, 1.59569, 1.65641, 1.74452, 1.80153, 1.85986, 1.93764, 1.96548, 2.01838, 2.06245, 2.13911, 2.16944, 2.2301, 2.32256, 2.41501, 2.50747, 2.59992, 2.78483");
-            values ( \
-              "-0.11555, -0.0279078, -0.0209116, -0.0141989, -0.0118494, -0.0138174, -0.0318045, -0.0933095, -0.184694, -0.236276, -0.276912, -0.309807, -0.329314, -0.361392, -0.368105, -0.379042, -0.3834, -0.380735, -0.375693, -0.367039, -0.342966, -0.296799, -0.221768, -0.178794, -0.141254, -0.101053, -0.0895121, -0.0705457, -0.0577667, -0.0402956, -0.0350332, -0.0261951, -0.0168169, -0.0106471, -0.00683346, -0.00427115, -0.00169305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.33534, 0.343055, 0.34909, 0.356393, 0.363756, 0.367187, 0.370612, 0.37287, 0.383347, 0.386712, 0.390821, 0.394907, 0.399576, 0.40246");
-            values ( \
-              "-0.025815, -0.0641331, -0.123235, -0.164533, -0.18986, -0.1929, -0.1859, -0.170752, -0.0563659, -0.0350548, -0.0190573, -0.0103635, -0.00507075, -0.00375436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.346971, 0.356906, 0.361513, 0.366133, 0.371585, 0.378484, 0.384613, 0.390336, 0.395894, 0.401443, 0.403861, 0.407728, 0.418657, 0.423959, 0.429343, 0.433479, 0.437991, 0.44848, 0.452528");
-            values ( \
-              "-0.0561408, -0.0921143, -0.134052, -0.166457, -0.197451, -0.2298, -0.250406, -0.263463, -0.267184, -0.256088, -0.244183, -0.212802, -0.103877, -0.0677516, -0.0427479, -0.0296148, -0.0197932, -0.00753917, -0.00579983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.249724, 0.298629, 0.330531, 0.346865, 0.359141, 0.373637, 0.41197, 0.422954, 0.436111, 0.446695, 0.456991, 0.467276, 0.472042, 0.500865, 0.520015, 0.530983, 0.550578, 0.555405");
-            values ( \
-              "-0.00419696, -0.0113792, -1e-22, -2e-22, -0.00481803, -0.0547179, -0.237781, -0.273435, -0.30428, -0.319487, -0.323665, -0.309978, -0.294259, -0.11713, -0.050629, -0.0303921, -0.0117715, -0.00999299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.304253, 0.411396, 0.438135, 0.459216, 0.475899, 0.498359, 0.524529, 0.547553, 0.569032, 0.589935, 0.610809, 0.620731, 0.630972, 0.65705, 0.67428, 0.697118, 0.709056, 0.724973, 0.741149, 0.767332, 0.802244, 0.818806");
-            values ( \
-              "-0.00646433, -0.0310378, -0.0975934, -0.164492, -0.209228, -0.260012, -0.308329, -0.336662, -0.354211, -0.357546, -0.343419, -0.32408, -0.292602, -0.195753, -0.141918, -0.0890541, -0.0690212, -0.0485855, -0.0338183, -0.0185651, -0.00794079, -0.00599812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.325968, 0.50781, 0.555905, 0.615478, 0.68835, 0.744391, 0.793804, 0.839786, 0.884555, 0.929949, 0.950448, 0.972314, 1.03004, 1.06554, 1.11523, 1.14178, 1.17717, 1.21094, 1.26579, 1.33892, 1.43137, 1.52383");
-            values ( \
-              "-0.0231109, -0.0346913, -0.0950668, -0.183948, -0.272372, -0.322206, -0.35423, -0.370657, -0.375762, -0.359237, -0.339253, -0.305767, -0.201356, -0.148034, -0.0926214, -0.0712873, -0.0496643, -0.0350313, -0.0196274, -0.00868888, -0.00291735, -0.00101409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.36262, 0.464116, 0.563077, 0.599071, 0.645893, 0.705392, 0.795615, 0.952623, 1.04508, 1.11409, 1.18654, 1.23718, 1.34572, 1.44687, 1.54514, 1.58004, 1.64444, 1.69491, 1.92019, 2.03686, 2.1176, 2.2401, 2.32623, 2.41869, 2.51114, 2.6036, 2.63032");
-            values ( \
-              "-0.119872, -0.0278367, -0.0143084, -0.0119806, -0.013357, -0.029787, -0.0791457, -0.185092, -0.239898, -0.277244, -0.309298, -0.329613, -0.36165, -0.379284, -0.383525, -0.380939, -0.367235, -0.342777, -0.165232, -0.100872, -0.0703847, -0.0398176, -0.0264454, -0.0172313, -0.010662, -0.00709212, -0.00625273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.536002, 0.53912, 0.542821, 0.543951, 0.546209, 0.55025, 0.555749, 0.560352, 0.562665, 0.564689, 0.568128, 0.571566, 0.573594, 0.580824, 0.584337, 0.587663, 0.591782, 0.595963, 0.600741, 0.607977");
-            values ( \
-              "-0.0249756, -0.0376057, -0.0619326, -0.0615239, -0.0932875, -0.124268, -0.155207, -0.17575, -0.183465, -0.188579, -0.192722, -0.185049, -0.172292, -0.0888848, -0.0561809, -0.0351507, -0.0191021, -0.0102195, -0.00494154, -0.00171263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.540505, 0.551635, 0.557851, 0.562087, 0.567878, 0.579465, 0.585599, 0.591327, 0.596887, 0.602439, 0.604868, 0.61969, 0.624968, 0.630334, 0.634475, 0.639005, 0.649541, 0.657077");
-            values ( \
-              "-0.00436445, -0.0527569, -0.0892678, -0.132286, -0.171781, -0.23016, -0.249699, -0.26375, -0.266651, -0.256351, -0.244049, -0.103598, -0.0676997, -0.0427777, -0.0296308, -0.0197744, -0.00750113, -0.00427704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.439921, 0.476124, 0.490148, 0.499, 0.508547, 0.526348, 0.532463, 0.556409, 0.559504, 0.565694, 0.570888, 0.583321, 0.585097, 0.59718, 0.602964, 0.613281, 0.624604, 0.629005, 0.63739, 0.642425, 0.647974, 0.65826, 0.668544, 0.673329, 0.678267, 0.692179, 0.702365, 0.713458, 0.719809, 0.728276, 0.737969, 0.745672, 0.757256");
-            values ( \
-              "-0.0023066, -0.00549398, -0.00943117, -0.0108208, -0.00965373, -0.00160516, -1e-22, -2e-22, -0.00371237, -0.0203944, -0.0388053, -0.0955233, -0.099751, -0.170143, -0.196966, -0.238064, -0.274697, -0.286313, -0.304461, -0.312681, -0.3195, -0.323713, -0.30997, -0.294201, -0.267829, -0.172927, -0.116019, -0.0719945, -0.0541461, -0.0365483, -0.0232131, -0.0160627, -0.00959231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.508295, 0.608507, 0.639189, 0.659837, 0.677669, 0.699355, 0.725475, 0.748521, 0.769997, 0.790899, 0.811772, 0.821682, 0.831912, 0.858145, 0.875182, 0.898062, 0.910047, 0.926026, 0.942119, 0.968184, 1.00294, 1.02002");
-            values ( \
-              "-0.0139874, -0.0237057, -0.0975573, -0.162963, -0.210866, -0.260564, -0.307931, -0.337104, -0.353854, -0.357938, -0.343073, -0.324105, -0.292699, -0.195283, -0.142086, -0.0890882, -0.0689792, -0.0484823, -0.0338085, -0.0186105, -0.00799771, -0.00597852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.525836, 0.71391, 0.756952, 0.816504, 0.889406, 0.933403, 0.945389, 0.994623, 1.04067, 1.08544, 1.13084, 1.14036, 1.15123, 1.17298, 1.23232, 1.26583, 1.28576, 1.31565, 1.34357, 1.38078, 1.41207, 1.46331, 1.53162, 1.62408, 1.71653");
-            values ( \
-              "-0.0177622, -0.0400973, -0.0958308, -0.184046, -0.2724, -0.312684, -0.322969, -0.353948, -0.370608, -0.375593, -0.359197, -0.351412, -0.339359, -0.306141, -0.19905, -0.148839, -0.123892, -0.0930355, -0.0706408, -0.0482715, -0.0349314, -0.0203514, -0.00955858, -0.00322166, -0.00110952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.559239, 0.9538, 1.222, 1.31445, 1.38876, 1.52993, 1.57813, 1.64714, 1.73959, 1.78026, 1.82674, 1.87971, 1.95647, 2.0405, 2.15237, 2.26013, 2.34275, 2.45863, 2.52779, 2.60682, 2.69928, 2.79173, 2.8769");
-            values ( \
-              "-0.0171222, -0.0535574, -0.227367, -0.276613, -0.310881, -0.357755, -0.368516, -0.378709, -0.383792, -0.380478, -0.37258, -0.352131, -0.296353, -0.224855, -0.145475, -0.0915759, -0.0631265, -0.0367272, -0.0265206, -0.0181128, -0.0115639, -0.00733425, -0.00531314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.948734, 0.954979, 0.95693, 0.960762, 0.966105, 0.970432, 0.974284, 0.977851, 0.981299, 0.984742, 0.986757, 0.994006, 0.997521, 1.00085, 1.00497, 1.00915, 1.01393, 1.02138, 1.04224");
-            values ( \
-              "-0.0116384, -0.0553046, -0.0637299, -0.106685, -0.139832, -0.162597, -0.178307, -0.188438, -0.192447, -0.184937, -0.172237, -0.0888297, -0.0561515, -0.0351245, -0.0190848, -0.0102131, -0.00493724, -0.00162045, -0.00024428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.957275, 0.96482, 0.969005, 0.970914, 0.97473, 0.981886, 0.992609, 0.998757, 1.00449, 1.01006, 1.01562, 1.018, 1.02191, 1.03272, 1.03812, 1.04357, 1.04769, 1.05214, 1.06246, 1.06675");
-            values ( \
-              "-0.0244953, -0.0535818, -0.081187, -0.088006, -0.128009, -0.17657, -0.229607, -0.2493, -0.263354, -0.266413, -0.256104, -0.244142, -0.212649, -0.104849, -0.0679043, -0.0425802, -0.029544, -0.0198631, -0.00769312, -0.00580885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.831676, 0.885302, 0.911604, 0.921929, 0.941664, 0.968355, 0.98254, 0.985804, 0.997749, 1.01541, 1.03436, 1.04793, 1.05483, 1.06082, 1.07112, 1.08156, 1.08614, 1.11468, 1.12446, 1.13505, 1.14515, 1.16256, 1.17821");
-            values ( \
-              "-0.00136188, -0.00415523, -0.00992926, -0.00886231, -0.000583025, -1e-22, -0.0336037, -0.0465117, -0.0979875, -0.194534, -0.26517, -0.299686, -0.311836, -0.319205, -0.323622, -0.309512, -0.294345, -0.118654, -0.0781879, -0.0485916, -0.0303704, -0.0131439, -0.00668352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.921847, 1.01997, 1.03564, 1.05236, 1.0789, 1.09092, 1.11243, 1.13857, 1.16161, 1.18309, 1.20399, 1.21581, 1.22509, 1.2352, 1.24593, 1.27926, 1.29129, 1.31195, 1.33281, 1.34886, 1.36562, 1.37847, 1.40417, 1.43913");
-            values ( \
-              "-0.0164932, -0.0209501, -0.0544293, -0.0977629, -0.179649, -0.211288, -0.260658, -0.307937, -0.337201, -0.353834, -0.358009, -0.352507, -0.342488, -0.322838, -0.289077, -0.168501, -0.133695, -0.0874829, -0.0557974, -0.0389303, -0.0266766, -0.019911, -0.0108204, -0.00522426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.935002, 1.13116, 1.17047, 1.21591, 1.24904, 1.28771, 1.3324, 1.35853, 1.40792, 1.45396, 1.49874, 1.54414, 1.56458, 1.58638, 1.64502, 1.67939, 1.69985, 1.72916, 1.75648, 1.7929, 1.82527, 1.84287, 1.87809, 1.94851, 2.04096, 2.13342");
-            values ( \
-              "-0.012595, -0.0444836, -0.0956959, -0.164199, -0.209181, -0.255925, -0.301024, -0.322268, -0.353851, -0.370805, -0.375476, -0.359251, -0.339324, -0.305964, -0.200059, -0.148481, -0.122981, -0.0928473, -0.0709133, -0.0488787, -0.0349803, -0.0291207, -0.0200372, -0.00916306, -0.00309144, -0.00106074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.96842, 1.3963, 1.56764, 1.6448, 1.7288, 1.80236, 1.8518, 1.94425, 1.99234, 2.0614, 2.15385, 2.1944, 2.24073, 2.29441, 2.37003, 2.45385, 2.56698, 2.63114, 2.67463, 2.75566, 2.84286, 2.8726, 2.94303, 3.02351, 3.11597, 3.20842, 3.28802");
-            values ( \
-              "-0.00227161, -0.0706717, -0.185147, -0.232211, -0.27709, -0.310474, -0.329394, -0.357604, -0.368349, -0.379023, -0.383601, -0.380721, -0.37249, -0.35173, -0.296964, -0.225579, -0.145229, -0.110615, -0.0914473, -0.0635114, -0.0422717, -0.0367728, -0.0263792, -0.0178996, -0.0114141, -0.00725145, -0.0053857" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00302346, 0.00302353, 0.0030236, 0.00302365, 0.00302369, 0.0030237", \
-            "0.00361995, 0.00361996, 0.00361999, 0.00362002, 0.00362004, 0.00362005", \
-            "0.00398343, 0.00398342, 0.00398342, 0.00398343, 0.00398345, 0.00398345", \
-            "0.0041984, 0.00419841, 0.00419839, 0.00419839, 0.00419839, 0.0041984", \
-            "0.0043129, 0.00431289, 0.00431289, 0.00431288, 0.00431288, 0.00431288", \
-            "0.00437462, 0.00437461, 0.00437461, 0.00437461, 0.0043746, 0.0043746" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00434917, 0.00434912, 0.0043491, 0.0043491, 0.0043491, 0.00434911", \
-            "0.00482504, 0.00482498, 0.00482491, 0.00482487, 0.00482486, 0.00482486", \
-            "0.0050856, 0.00508553, 0.00508543, 0.00508534, 0.00508528, 0.00508525", \
-            "0.00535421, 0.00535414, 0.00535413, 0.00535409, 0.005354, 0.00535395", \
-            "0.0057781, 0.00577823, 0.0057784, 0.00577855, 0.00577862, 0.00577863", \
-            "0.00676631, 0.00676104, 0.00675647, 0.00674991, 0.00674695, 0.00674585" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.189575, 0.215837, 0.271782, 0.394076, 0.66514, 1.27163", \
-            "0.195681, 0.221935, 0.277884, 0.400183, 0.67117, 1.27799", \
-            "0.210195, 0.236466, 0.292426, 0.414718, 0.685839, 1.29253", \
-            "0.242021, 0.268284, 0.324219, 0.446554, 0.717715, 1.32419", \
-            "0.29649, 0.322707, 0.378831, 0.501021, 0.772241, 1.37882", \
-            "0.382489, 0.408814, 0.464902, 0.587198, 0.857975, 1.46521" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0222889, 0.0360784, 0.067037, 0.136562, 0.292328, 0.642064", \
-            "0.022291, 0.0360329, 0.0670674, 0.136564, 0.292293, 0.642358", \
-            "0.022286, 0.0360852, 0.0670574, 0.13656, 0.292455, 0.642181", \
-            "0.0222951, 0.036088, 0.0670501, 0.13656, 0.292441, 0.642102", \
-            "0.0223299, 0.0361688, 0.0670274, 0.136486, 0.292434, 0.642143", \
-            "0.0224309, 0.0361687, 0.0670678, 0.136531, 0.292802, 0.641943" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.170656, 0.180356, 0.184153, 0.1894, 0.197481, 0.201018, 0.20444, 0.207893, 0.210158, 0.218251, 0.22204, 0.226477, 0.232145, 0.236823, 0.246638");
-            values ( \
-              "-0.00567938, -0.0619156, -0.106964, -0.142165, -0.180303, -0.189776, -0.193962, -0.185575, -0.170684, -0.076958, -0.0460146, -0.0240801, -0.0103384, -0.0050817, -0.00318321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.182207, 0.189815, 0.203043, 0.213161, 0.218452, 0.224417, 0.232009, 0.234653, 0.238484, 0.243778, 0.257423, 0.261773, 0.267951, 0.274431, 0.279034, 0.286011, 0.288327");
-            values ( \
-              "-0.0464929, -0.0642311, -0.164946, -0.219924, -0.24097, -0.258057, -0.267957, -0.265979, -0.255532, -0.222233, -0.0903764, -0.06317, -0.0369138, -0.0209146, -0.0135344, -0.00727954, -0.0062783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0856273, 0.0988922, 0.132949, 0.140317, 0.148888, 0.162013, 0.184598, 0.196371, 0.203521, 0.211114, 0.220305, 0.230222, 0.248904, 0.261721, 0.272143, 0.28366, 0.293956, 0.304253, 0.309009, 0.313918, 0.32829, 0.337682, 0.347395, 0.357668, 0.368011, 0.374066, 0.386174, 0.392855");
-            values ( \
-              "-0.00656293, -0.00767126, -0.0131887, -0.0122289, -0.0085202, -0.000564105, -1e-22, -0.00294662, -0.0243955, -0.0547211, -0.095813, -0.156076, -0.237474, -0.278252, -0.302377, -0.319113, -0.323353, -0.309697, -0.294099, -0.268055, -0.170377, -0.117942, -0.0779101, -0.0491318, -0.030368, -0.0228173, -0.0126573, -0.00999476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.136245, 0.243636, 0.251684, 0.273798, 0.300918, 0.318391, 0.334729, 0.360968, 0.384072, 0.405596, 0.426534, 0.447444, 0.457109, 0.467085, 0.496807, 0.509114, 0.529859, 0.540665, 0.557524, 0.578725, 0.591024, 0.615623, 0.660051, 0.71217");
-            values ( \
-              "-0.0153052, -0.021955, -0.0384709, -0.0951065, -0.177462, -0.222686, -0.259131, -0.306927, -0.3362, -0.3532, -0.35737, -0.342717, -0.32443, -0.294282, -0.185054, -0.146957, -0.0966431, -0.0769323, -0.0533116, -0.0331506, -0.0250661, -0.0140764, -0.00454341, -0.00113273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.154039, 0.35079, 0.391192, 0.451705, 0.525021, 0.557115, 0.581051, 0.630611, 0.676607, 0.721424, 0.766181, 0.787032, 0.808557, 0.871038, 0.899546, 0.947699, 0.996559, 1.04572, 1.07189, 1.1018, 1.16162, 1.22496");
-            values ( \
-              "-0.0168124, -0.0407043, -0.0927552, -0.182569, -0.271514, -0.30169, -0.322255, -0.352839, -0.371007, -0.374639, -0.35992, -0.339562, -0.306793, -0.194643, -0.151921, -0.0966496, -0.0595532, -0.0358364, -0.0272714, -0.0198795, -0.0103134, -0.00565258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.188839, 0.575617, 0.65337, 0.761553, 0.842291, 0.935067, 1.03134, 1.07344, 1.15766, 1.23054, 1.28308, 1.37585, 1.41508, 1.44631, 1.48079, 1.54975, 1.67756, 1.73667, 1.78944, 1.86777, 1.90221, 1.99052, 2.06333, 2.12422, 2.21648, 2.30925, 2.40203, 2.4948, 2.58758, 2.77313");
-            values ( \
-              "-0.0239152, -0.0453706, -0.092399, -0.166552, -0.217391, -0.268862, -0.312894, -0.32898, -0.355017, -0.371033, -0.378767, -0.383323, -0.380717, -0.375962, -0.366914, -0.330657, -0.22399, -0.179128, -0.144836, -0.1038, -0.0892619, -0.0599477, -0.0426585, -0.0321133, -0.020717, -0.0131491, -0.00840021, -0.00527751, -0.00339049, -0.00137546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.192533, 0.195718, 0.199501, 0.200588, 0.204381, 0.207616, 0.213909, 0.217715, 0.221252, 0.224674, 0.228091, 0.230404, 0.238485, 0.242275, 0.246715, 0.252375, 0.257046, 0.258521");
-            values ( \
-              "-0.0233687, -0.0370805, -0.0622868, -0.063159, -0.106446, -0.129655, -0.164746, -0.179913, -0.190118, -0.193614, -0.186042, -0.170577, -0.0769712, -0.0460071, -0.0240747, -0.0103517, -0.00508492, -0.00435374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.195926, 0.207587, 0.214397, 0.219691, 0.225056, 0.232559, 0.241619, 0.247337, 0.252075, 0.25449, 0.257709, 0.259969, 0.262982, 0.276832, 0.282251, 0.288878, 0.297116, 0.302927, 0.310568");
-            values ( \
-              "-0.00028733, -0.0502033, -0.0931887, -0.142732, -0.17754, -0.217151, -0.250792, -0.263493, -0.267852, -0.266075, -0.258401, -0.248994, -0.228841, -0.0944932, -0.0605875, -0.033977, -0.0162111, -0.00957729, -0.00521029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.105789, 0.153889, 0.162484, 0.183205, 0.2076, 0.220254, 0.233897, 0.268732, 0.281553, 0.292896, 0.30351, 0.313816, 0.324264, 0.328841, 0.357387, 0.367172, 0.3778, 0.38788, 0.405229, 0.415782");
-            values ( \
-              "-0.00645743, -0.0132436, -0.0115696, -1e-22, -2e-22, -0.0137309, -0.0681617, -0.23718, -0.278053, -0.303969, -0.319054, -0.323459, -0.309343, -0.294211, -0.11868, -0.0781873, -0.0485233, -0.0303572, -0.0131807, -0.00879719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.153912, 0.267824, 0.293927, 0.313319, 0.336548, 0.354888, 0.381119, 0.404225, 0.425749, 0.446687, 0.467596, 0.477277, 0.48727, 0.516822, 0.529315, 0.550375, 0.56069, 0.577401, 0.598865, 0.611439, 0.636586, 0.676587");
-            values ( \
-              "-0.00712689, -0.0298396, -0.0945316, -0.156226, -0.21823, -0.258768, -0.307288, -0.335843, -0.353545, -0.357032, -0.343046, -0.324403, -0.29416, -0.185525, -0.146816, -0.0959039, -0.0771433, -0.053636, -0.0331577, -0.0249072, -0.0138016, -0.00538455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.171977, 0.367978, 0.41139, 0.471899, 0.523289, 0.545192, 0.588997, 0.601319, 0.650639, 0.696745, 0.741551, 0.786985, 0.807059, 0.828471, 0.892481, 0.91924, 0.96451, 0.98731, 1.02364, 1.06983, 1.09675, 1.1506, 1.24337, 1.33615");
-            values ( \
-              "-0.0190504, -0.0374558, -0.0930275, -0.182566, -0.246359, -0.271524, -0.311687, -0.322338, -0.353471, -0.370191, -0.375329, -0.358994, -0.33962, -0.307213, -0.192459, -0.152541, -0.0998615, -0.0799241, -0.0554872, -0.034413, -0.0259586, -0.0144804, -0.00491558, -0.00164819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.2055, 0.583637, 0.901577, 0.970657, 1.04321, 1.09386, 1.20248, 1.3037, 1.39136, 1.42321, 1.46155, 1.5013, 1.58078, 1.74749, 1.80828, 1.8887, 1.94401, 2.01173, 2.08344, 2.14499, 2.2363, 2.32907, 2.51462, 2.51697");
-            values ( \
-              "-0.0288612, -0.0391395, -0.239657, -0.276753, -0.309169, -0.32921, -0.361308, -0.378993, -0.38328, -0.381765, -0.377119, -0.366786, -0.323185, -0.185939, -0.1458, -0.103548, -0.0813519, -0.0598826, -0.0426844, -0.0321666, -0.0208664, -0.0131411, -0.00523843, -0.00520634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.238319, 0.245972, 0.250461, 0.257372, 0.263072, 0.266609, 0.270032, 0.273486, 0.275743, 0.283844, 0.287634, 0.292069, 0.297742, 0.302423, 0.30376");
-            values ( \
-              "-0.0248289, -0.0676341, -0.112167, -0.155453, -0.180348, -0.189607, -0.194051, -0.185586, -0.170756, -0.0769278, -0.0460335, -0.0240641, -0.0103142, -0.00509622, -0.00442324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.247134, 0.255008, 0.259151, 0.268276, 0.27941, 0.288403, 0.29261, 0.296572, 0.298156, 0.300903, 0.303748, 0.309415, 0.317346, 0.321946, 0.329193, 0.335844, 0.340144, 0.348519, 0.358752, 0.372394, 0.389951");
-            values ( \
-              "-0.0422074, -0.0636132, -0.0908548, -0.164643, -0.224142, -0.25493, -0.263627, -0.267469, -0.267412, -0.264045, -0.255658, -0.218508, -0.135553, -0.0961387, -0.0526904, -0.0292885, -0.0199157, -0.00922706, -0.00359023, -0.00086516, -0.000339975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.150855, 0.177942, 0.192961, 0.209848, 0.22799, 0.250971, 0.262983, 0.271121, 0.285745, 0.295703, 0.314359, 0.327177, 0.338532, 0.349136, 0.359435, 0.369882, 0.374466, 0.403033, 0.412804, 0.423364, 0.433494, 0.450996, 0.453919");
-            values ( \
-              "-0.00649781, -0.0093489, -0.0130704, -0.0107769, -0.00041825, -1e-22, -0.00579229, -0.0321621, -0.0950346, -0.156344, -0.237529, -0.2783, -0.304195, -0.318962, -0.323621, -0.309328, -0.294192, -0.118538, -0.078132, -0.0486352, -0.030356, -0.0130772, -0.0118724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.201696, 0.308806, 0.339245, 0.366377, 0.400198, 0.426463, 0.449539, 0.471062, 0.492, 0.513842, 0.522538, 0.532475, 0.56237, 0.581351, 0.601528, 0.623248, 0.644201, 0.656247, 0.680337, 0.721449");
-            values ( \
-              "-0.0157259, -0.0215023, -0.0942253, -0.178264, -0.259836, -0.30631, -0.336846, -0.352586, -0.357966, -0.341429, -0.324504, -0.294561, -0.184716, -0.12867, -0.0848404, -0.0530129, -0.0331472, -0.0252113, -0.014339, -0.00534167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.219429, 0.42104, 0.546674, 0.590476, 0.646814, 0.696182, 0.742218, 0.787027, 0.832451, 0.852663, 0.874224, 0.936199, 0.965282, 1.01438, 1.05922, 1.11043, 1.14171, 1.17745, 1.24893, 1.285");
-            values ( \
-              "-0.0120331, -0.0461341, -0.220367, -0.27121, -0.321925, -0.353495, -0.370525, -0.375242, -0.359098, -0.339502, -0.306721, -0.195324, -0.151754, -0.0956446, -0.0613338, -0.036147, -0.0260906, -0.0178321, -0.00803666, -0.00596069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.253941, 0.629709, 0.946969, 1.01604, 1.08859, 1.13924, 1.24786, 1.34907, 1.44736, 1.48044, 1.51212, 1.54669, 1.61581, 1.74313, 1.85587, 1.93687, 2.05072, 2.11912, 2.17063, 2.24138, 2.30977, 2.40254, 2.56647");
-            values ( \
-              "-0.0290136, -0.0395547, -0.239606, -0.276821, -0.30911, -0.329277, -0.361374, -0.379058, -0.383343, -0.380977, -0.375839, -0.367099, -0.33039, -0.224103, -0.144428, -0.102268, -0.0615979, -0.044675, -0.0350877, -0.0250806, -0.018213, -0.0114488, -0.00535792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.337925, 0.340844, 0.344626, 0.345959, 0.348581, 0.352065, 0.354997, 0.359278, 0.363081, 0.366619, 0.370042, 0.373459, 0.375769, 0.377297, 0.382111, 0.383854, 0.387644, 0.389546, 0.392084, 0.394241, 0.397746, 0.402418, 0.40344");
-            values ( \
-              "-0.0234558, -0.0356397, -0.0605921, -0.0643785, -0.0961063, -0.123692, -0.141575, -0.164819, -0.179792, -0.190168, -0.193511, -0.186098, -0.170582, -0.155446, -0.0954919, -0.0769691, -0.0460006, -0.0350293, -0.0240786, -0.0174755, -0.0103538, -0.00507897, -0.00457367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.240346, 0.249169, 0.249757, 0.253285, 0.28745, 0.297428, 0.309044, 0.342059, 0.351153, 0.353825, 0.360107, 0.366333, 0.377155, 0.381742, 0.387858, 0.393576, 0.397989, 0.401384, 0.404716, 0.410374, 0.418647, 0.425707, 0.430527, 0.437054, 0.439892");
-            values ( \
-              "-0.00251494, -0.00306255, -0.00385347, -0.00333039, -0.00556101, -0.0040788, -1e-22, -2e-22, -0.0354769, -0.0502451, -0.0894305, -0.144958, -0.2094, -0.22984, -0.250906, -0.263614, -0.267627, -0.264945, -0.255685, -0.218604, -0.132343, -0.0765989, -0.051064, -0.0286957, -0.0227169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.246943, 0.272721, 0.289665, 0.300312, 0.308561, 0.328965, 0.353131, 0.36571, 0.372642, 0.385688, 0.395615, 0.414328, 0.427149, 0.437783, 0.4491, 0.459393, 0.46969, 0.474422, 0.502973, 0.512756, 0.523368, 0.53346, 0.539254, 0.550842, 0.554837");
-            values ( \
-              "-0.00454512, -0.0082539, -0.0122045, -0.0128596, -0.0112092, -1e-22, -2e-22, -0.0133618, -0.0381351, -0.0955758, -0.155762, -0.23736, -0.278179, -0.302731, -0.319101, -0.323313, -0.309696, -0.294186, -0.11865, -0.0781781, -0.0485521, -0.0303614, -0.0230994, -0.0131625, -0.0114998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.300562, 0.412446, 0.439179, 0.466294, 0.500116, 0.526361, 0.549457, 0.57098, 0.591918, 0.61376, 0.622453, 0.632388, 0.662296, 0.681258, 0.701441, 0.723186, 0.74412, 0.756145, 0.780196, 0.824143, 0.875562");
-            values ( \
-              "-0.00870741, -0.028576, -0.0942939, -0.178336, -0.259893, -0.306224, -0.336898, -0.352537, -0.358014, -0.341436, -0.32451, -0.294584, -0.18469, -0.128699, -0.0848508, -0.0529897, -0.0331468, -0.0252231, -0.0143593, -0.00470632, -0.00120219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.323048, 0.51977, 0.556749, 0.60505, 0.641581, 0.690446, 0.746757, 0.796161, 0.842195, 0.887002, 0.931751, 0.952657, 0.974238, 1.03593, 1.06534, 1.11496, 1.15757, 1.19312, 1.21473, 1.24642, 1.28868, 1.3732, 1.39425");
-            values ( \
-              "-0.0142777, -0.0447339, -0.0924638, -0.165105, -0.214237, -0.271019, -0.322128, -0.353306, -0.37074, -0.375049, -0.35962, -0.339495, -0.306641, -0.195729, -0.15164, -0.0950739, -0.0623476, -0.0432528, -0.0345872, -0.0248268, -0.0157897, -0.00600984, -0.00510139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.349646, 0.759193, 1.014, 1.16658, 1.23886, 1.33163, 1.37832, 1.44843, 1.49553, 1.54665, 1.63942, 1.68633, 1.73995, 1.86452, 1.94645, 1.99369, 2.07472, 2.18923, 2.30804, 2.39131, 2.48408, 2.57686, 2.66963, 2.94796");
-            values ( \
-              "-0.0144562, -0.0556347, -0.221635, -0.300592, -0.328784, -0.357595, -0.368064, -0.379003, -0.38285, -0.382868, -0.36935, -0.348913, -0.311415, -0.206569, -0.14996, -0.12281, -0.0861765, -0.0510278, -0.0294324, -0.0195111, -0.012632, -0.00781512, -0.0051589, -0.00115323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.542001, 0.549667, 0.55075, 0.553581, 0.559834, 0.567936, 0.57148, 0.574907, 0.578328, 0.580587, 0.58873, 0.592521, 0.596952, 0.602641, 0.607335, 0.618426");
-            values ( \
-              "-0.00510974, -0.0624043, -0.0630182, -0.0977366, -0.141259, -0.179586, -0.189796, -0.193394, -0.185825, -0.170892, -0.0769102, -0.0459968, -0.0240931, -0.0103185, -0.00505714, -0.00295024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.550038, 0.55739, 0.562464, 0.563933, 0.570283, 0.582819, 0.588485, 0.593152, 0.597669, 0.60213, 0.605353, 0.608812, 0.614474, 0.626673, 0.632941, 0.641173, 0.645854, 0.652096, 0.663274, 0.666612");
-            values ( \
-              "-0.0331916, -0.0469316, -0.0806671, -0.0839985, -0.143733, -0.216051, -0.239154, -0.253496, -0.263018, -0.267211, -0.264859, -0.25536, -0.218522, -0.0986406, -0.0590635, -0.0287433, -0.0188844, -0.0106024, -0.00356163, -0.0031945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.437882, 0.473806, 0.502526, 0.510406, 0.518981, 0.533296, 0.562705, 0.569131, 0.578548, 0.591059, 0.606809, 0.624823, 0.632334, 0.643679, 0.654276, 0.664581, 0.674872, 0.679641, 0.708478, 0.718101, 0.72761, 0.7386, 0.745147, 0.758239, 0.76061");
-            values ( \
-              "-0.00286377, -0.00586222, -0.0124731, -0.0120188, -0.0087229, -0.000474634, -1e-22, -0.00951972, -0.0419245, -0.0980631, -0.185142, -0.255745, -0.278247, -0.304123, -0.319302, -0.323483, -0.309799, -0.294104, -0.11708, -0.0775881, -0.0506619, -0.0303841, -0.0222981, -0.011745, -0.0108675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.506155, 0.616235, 0.644573, 0.671656, 0.705443, 0.731654, 0.744522, 0.754698, 0.776283, 0.797179, 0.818091, 0.827754, 0.837729, 0.879761, 0.900487, 0.911323, 0.928192, 0.949376, 0.961659, 0.986224, 1.01051");
-            values ( \
-              "-0.0112109, -0.0257368, -0.0952134, -0.178938, -0.260185, -0.30734, -0.325063, -0.335811, -0.353418, -0.357058, -0.342939, -0.32442, -0.294275, -0.146958, -0.0966827, -0.076922, -0.0532947, -0.0331533, -0.0250729, -0.0140966, -0.00885742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.525018, 0.709655, 0.762261, 0.806501, 0.855121, 0.895868, 0.952192, 1.00156, 1.04759, 1.0924, 1.13714, 1.15809, 1.1797, 1.24092, 1.27086, 1.32126, 1.3605, 1.39323, 1.41981, 1.45876, 1.5107, 1.58115");
-            values ( \
-              "-0.0280819, -0.0284432, -0.0927904, -0.159865, -0.224863, -0.270656, -0.32257, -0.352925, -0.371131, -0.374678, -0.359993, -0.339482, -0.306498, -0.196423, -0.151444, -0.0942206, -0.0639182, -0.0456983, -0.0347133, -0.0230693, -0.0131537, -0.00654087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.563756, 0.991311, 1.15928, 1.25206, 1.32123, 1.39381, 1.44446, 1.55309, 1.65431, 1.7526, 1.78571, 1.82986, 1.85194, 1.89611, 1.96309, 2.09878, 2.1562, 2.26101, 2.31974, 2.39805, 2.4524, 2.51294, 2.59366, 2.68644, 2.77921, 2.85491");
-            values ( \
-              "-0.00355451, -0.0715409, -0.18433, -0.239416, -0.276814, -0.309008, -0.329291, -0.361396, -0.379085, -0.383365, -0.380991, -0.373065, -0.367125, -0.346544, -0.297012, -0.185512, -0.147462, -0.0941208, -0.072411, -0.0504635, -0.0391399, -0.029432, -0.0199836, -0.0127183, -0.00808529, -0.00566368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.961776, 0.969505, 0.973367, 0.978696, 0.983018, 0.98685, 0.990409, 0.993849, 0.997283, 0.999388, 1.00771, 1.0115, 1.0159, 1.02167, 1.02643, 1.03265, 1.05229");
-            values ( \
-              "-0.0197377, -0.0640444, -0.104385, -0.140131, -0.163271, -0.178753, -0.188971, -0.192824, -0.185298, -0.171792, -0.0767782, -0.0459233, -0.0241745, -0.0102402, -0.00497256, -0.00202136, -0.000289163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.97048, 0.976723, 0.983029, 0.987863, 0.99219, 1.00175, 1.01064, 1.01665, 1.02068, 1.02495, 1.0278, 1.03348, 1.0457, 1.05194, 1.06017, 1.06484, 1.07108, 1.08191, 1.08505");
-            values ( \
-              "-0.0441115, -0.0502718, -0.0861707, -0.133712, -0.163862, -0.21579, -0.249456, -0.262961, -0.267166, -0.263806, -0.255219, -0.218441, -0.0983365, -0.0590125, -0.028775, -0.0189305, -0.0105753, -0.00375347, -0.00339019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.832334, 0.858373, 0.896404, 0.914444, 0.92682, 0.936652, 0.954448, 0.980027, 0.988513, 0.996542, 1.00994, 1.02642, 1.03843, 1.05126, 1.06262, 1.07322, 1.08352, 1.09397, 1.09858, 1.1274, 1.13703, 1.14671, 1.15755, 1.164, 1.17689, 1.17976");
-            values ( \
-              "-0.00178043, -0.00237679, -0.0054436, -0.0100347, -0.0114177, -0.00887922, -1e-22, -2e-22, -0.0110254, -0.038643, -0.09716, -0.18837, -0.237456, -0.27811, -0.304252, -0.319185, -0.323593, -0.309394, -0.294108, -0.117197, -0.0776312, -0.0503033, -0.0303799, -0.0224023, -0.0119202, -0.01084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.920721, 1.03274, 1.04121, 1.06366, 1.08477, 1.10234, 1.12439, 1.15061, 1.17372, 1.19523, 1.21598, 1.22057, 1.22531, 1.23479, 1.2373, 1.24232, 1.25085, 1.26094, 1.27982, 1.29154, 1.30339, 1.31918, 1.32558, 1.33766, 1.34808, 1.36273, 1.36845, 1.37991, 1.40282, 1.44637, 1.49706");
-            values ( \
-              "-0.0134242, -0.0214823, -0.0385448, -0.0961233, -0.162013, -0.20911, -0.259241, -0.307257, -0.336193, -0.353446, -0.355956, -0.354505, -0.352382, -0.344346, -0.341202, -0.333009, -0.312563, -0.278549, -0.207992, -0.168542, -0.134292, -0.0973119, -0.0850787, -0.0657052, -0.0522847, -0.037679, -0.0331223, -0.0255458, -0.0149598, -0.00497198, -0.00130026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.941714, 1.13609, 1.16277, 1.23992, 1.2815, 1.3135, 1.36997, 1.41944, 1.46552, 1.51037, 1.55515, 1.56512, 1.57575, 1.59703, 1.66168, 1.68791, 1.70264, 1.7321, 1.74974, 1.7703, 1.79343, 1.82573, 1.83872, 1.8647, 1.91666, 2.00943, 2.10221");
-            values ( \
-              "-0.0197674, -0.0365943, -0.0691191, -0.180387, -0.234093, -0.269848, -0.321647, -0.352681, -0.370578, -0.374666, -0.359631, -0.351347, -0.339774, -0.307618, -0.191905, -0.152739, -0.133614, -0.101062, -0.0851307, -0.0694445, -0.0549705, -0.0393684, -0.0344194, -0.0262034, -0.0149579, -0.00506354, -0.00171395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.981173, 1.37515, 1.65622, 1.74032, 1.81403, 1.86347, 1.95625, 2.00365, 2.07324, 2.16602, 2.2045, 2.24848, 2.27085, 2.31557, 2.38251, 2.51571, 2.57705, 2.61781, 2.68114, 2.73636, 2.81, 2.86913, 2.93414, 3.02083, 3.11361, 3.20638, 3.29916, 3.39193, 3.57748");
-            values ( \
-              "-0.0189804, -0.0508685, -0.231927, -0.276398, -0.310365, -0.328831, -0.357645, -0.368247, -0.378625, -0.383669, -0.380634, -0.373586, -0.366761, -0.346632, -0.296302, -0.186962, -0.146301, -0.12332, -0.0936455, -0.0731905, -0.0521373, -0.0395745, -0.029139, -0.019182, -0.0122276, -0.00774875, -0.00492644, -0.00310463, -0.0012376" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00302579, 0.00302585, 0.00302593, 0.00302598, 0.00302601, 0.00302603", \
-            "0.00362247, 0.00362248, 0.00362251, 0.00362254, 0.00362256, 0.00362257", \
-            "0.00398429, 0.00398429, 0.00398429, 0.0039843, 0.00398431, 0.00398432", \
-            "0.00419757, 0.00419755, 0.00419755, 0.00419755, 0.00419755, 0.00419756", \
-            "0.00431054, 0.00431054, 0.00431054, 0.00431053, 0.00431053, 0.00431053", \
-            "0.00437199, 0.00437199, 0.00437198, 0.00437198, 0.00437197, 0.00437197" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00435556, 0.00435551, 0.00435548, 0.00435548, 0.00435549, 0.00435549", \
-            "0.00482445, 0.00482438, 0.00482431, 0.00482428, 0.00482427, 0.00482427", \
-            "0.00506649, 0.00506642, 0.00506632, 0.00506623, 0.00506617, 0.00506614", \
-            "0.00529631, 0.00529758, 0.00529705, 0.00529665, 0.0052966, 0.00529655", \
-            "0.0056547, 0.00565483, 0.00565499, 0.00565512, 0.00565516, 0.00565516", \
-            "0.00655637, 0.00655393, 0.00654702, 0.00654111, 0.00653835, 0.00653743" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.19547, 0.222064, 0.278398, 0.400565, 0.671549, 1.27787", \
-            "0.20153, 0.22811, 0.284462, 0.406731, 0.677495, 1.28394", \
-            "0.216109, 0.242673, 0.299058, 0.421284, 0.692185, 1.29853", \
-            "0.247925, 0.274519, 0.330875, 0.453112, 0.724041, 1.33037", \
-            "0.30246, 0.329089, 0.385492, 0.507718, 0.778658, 1.38508", \
-            "0.389114, 0.415797, 0.472214, 0.594511, 0.865122, 1.47194" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0224524, 0.0362325, 0.0671402, 0.136367, 0.292339, 0.641955", \
-            "0.0224363, 0.0362245, 0.0671442, 0.136469, 0.292165, 0.642033", \
-            "0.0224505, 0.0362311, 0.0671277, 0.13648, 0.292333, 0.642078", \
-            "0.0224411, 0.0362404, 0.0671292, 0.136474, 0.292322, 0.641918", \
-            "0.0225299, 0.0362904, 0.0671236, 0.136448, 0.292315, 0.642033", \
-            "0.0226063, 0.0363309, 0.0671345, 0.136546, 0.292218, 0.641883" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.176874, 0.185956, 0.189815, 0.195148, 0.203308, 0.206871, 0.210315, 0.213753, 0.2158, 0.226524, 0.233975, 0.238149, 0.24292, 0.246343");
-            values ( \
-              "-0.00385656, -0.0651119, -0.104918, -0.140343, -0.178752, -0.188635, -0.192719, -0.185071, -0.172119, -0.0561735, -0.0190844, -0.0102308, -0.00494335, -0.00342273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.185352, 0.193507, 0.199856, 0.2047, 0.20904, 0.214756, 0.221698, 0.227851, 0.23359, 0.23916, 0.244722, 0.247068, 0.251006, 0.263563, 0.268069, 0.274194, 0.280769, 0.285435, 0.293655");
-            values ( \
-              "-0.0223026, -0.0499289, -0.0880397, -0.133451, -0.16342, -0.196116, -0.228754, -0.249639, -0.262737, -0.266736, -0.255612, -0.244286, -0.212603, -0.0914852, -0.0632141, -0.0371964, -0.0207089, -0.0135356, -0.0065165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0847876, 0.107142, 0.121081, 0.132149, 0.14022, 0.148727, 0.167673, 0.171085, 0.194131, 0.207494, 0.216954, 0.226704, 0.239216, 0.255538, 0.268389, 0.279755, 0.290382, 0.300693, 0.311145, 0.315605, 0.320362, 0.335466, 0.343817, 0.354796, 0.360711, 0.368597, 0.374547, 0.383688, 0.395877, 0.396765");
-            values ( \
-              "-0.00622157, -0.00786414, -0.00781556, -0.0110143, -0.0117437, -0.0104464, -0.000860587, -1e-22, -2e-22, -0.0163681, -0.051924, -0.0944301, -0.167887, -0.236817, -0.277763, -0.30381, -0.318471, -0.323581, -0.309197, -0.294677, -0.269886, -0.167635, -0.120895, -0.07571, -0.0581592, -0.0404282, -0.0306502, -0.0199049, -0.0109861, -0.0106841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.139985, 0.176699, 0.21242, 0.230429, 0.2462, 0.259863, 0.280413, 0.307661, 0.341505, 0.367704, 0.382267, 0.407676, 0.421425, 0.433122, 0.453929, 0.460114, 0.466712, 0.520341, 0.542492, 0.564783, 0.585295, 0.596893, 0.620088, 0.639223");
-            values ( \
-              "-0.0649531, -0.0159918, -0.00210811, -0.00082333, -0.01463, -0.0412216, -0.0945596, -0.178623, -0.260393, -0.307668, -0.327503, -0.351045, -0.357145, -0.356971, -0.343265, -0.332483, -0.316517, -0.13423, -0.0850468, -0.0524831, -0.0331375, -0.0254761, -0.0148053, -0.0104984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.158805, 0.363829, 0.397574, 0.458029, 0.53123, 0.587477, 0.636853, 0.682868, 0.727661, 0.773071, 0.793357, 0.814996, 0.87585, 0.906202, 0.956579, 0.995344, 1.02761, 1.06835, 1.09509, 1.14857, 1.24149, 1.33442");
-            values ( \
-              "-0.00973372, -0.0483824, -0.0923267, -0.182708, -0.271745, -0.321734, -0.353991, -0.370351, -0.375684, -0.359128, -0.339451, -0.306509, -0.196895, -0.151355, -0.0941856, -0.0641595, -0.0461262, -0.0302197, -0.0228184, -0.0127592, -0.00431884, -0.00144159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.197395, 0.58574, 0.849939, 0.956979, 1.03727, 1.08023, 1.16615, 1.23389, 1.28984, 1.38276, 1.42084, 1.45358, 1.48752, 1.5554, 1.68635, 1.77949, 1.88285, 1.98509, 2.09695, 2.19387, 2.28119, 2.37411, 2.46704, 2.48094");
-            values ( \
-              "-0.0226344, -0.0472081, -0.218031, -0.276594, -0.312737, -0.328931, -0.355667, -0.370616, -0.378714, -0.3835, -0.38075, -0.376026, -0.36683, -0.331564, -0.222426, -0.154974, -0.100164, -0.0632435, -0.0375576, -0.0238759, -0.0155128, -0.00999073, -0.00619791, -0.00597226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.196395, 0.204956, 0.206037, 0.209907, 0.215234, 0.219124, 0.223386, 0.226953, 0.228441, 0.230387, 0.233805, 0.235476, 0.237681, 0.245541, 0.249207, 0.253518, 0.256468, 0.258788, 0.263427, 0.268466");
-            values ( \
-              "-0.000249105, -0.0609322, -0.0611837, -0.10455, -0.139977, -0.1611, -0.178617, -0.18859, -0.191121, -0.19248, -0.185165, -0.175023, -0.154882, -0.0648195, -0.0389455, -0.0206276, -0.0132998, -0.00941283, -0.00465758, -0.00258378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.204363, 0.2134, 0.218004, 0.219791, 0.223366, 0.231593, 0.240992, 0.247801, 0.252396, 0.255849, 0.259103, 0.26464, 0.267837, 0.270953, 0.281817, 0.287985, 0.290842, 0.294651, 0.300448, 0.304399, 0.312299, 0.32003");
-            values ( \
-              "-0.0160436, -0.0491493, -0.0796094, -0.0859876, -0.122329, -0.179068, -0.225956, -0.249643, -0.260404, -0.265299, -0.266532, -0.255574, -0.239036, -0.212601, -0.104535, -0.0634289, -0.0497526, -0.0356285, -0.0212507, -0.0148627, -0.00708229, -0.0039679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.105151, 0.113952, 0.121953, 0.126187, 0.12939, 0.132974, 0.136115, 0.141021, 0.151885, 0.163727, 0.17285, 0.189663, 0.213307, 0.226567, 0.233213, 0.238951, 0.246709, 0.263307, 0.275507, 0.285268, 0.294421, 0.299727, 0.310339, 0.320666, 0.331118, 0.335587, 0.340353, 0.355417, 0.363823, 0.374773, 0.380603, 0.388376, 0.394507, 0.403917, 0.416464, 0.418121");
-            values ( \
-              "-0.00610459, -0.00741925, -0.0072019, -0.00830223, -0.00737772, -0.00799565, -0.0073193, -0.00756732, -0.0108123, -0.0115247, -0.00875524, -1e-22, -2e-22, -0.0135832, -0.0367267, -0.0607851, -0.0975491, -0.18604, -0.236101, -0.268539, -0.292406, -0.303425, -0.318778, -0.323252, -0.309335, -0.294635, -0.269774, -0.167775, -0.120737, -0.0756964, -0.0583735, -0.0408024, -0.0306738, -0.0196653, -0.0106517, -0.0101066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.159374, 0.196653, 0.218684, 0.234741, 0.252856, 0.267815, 0.28207, 0.300322, 0.322021, 0.33865, 0.361387, 0.387631, 0.410727, 0.432233, 0.453156, 0.47405, 0.483787, 0.493839, 0.522535, 0.535996, 0.558644, 0.579509, 0.60312, 0.617188, 0.633266, 0.665422, 0.685023");
-            values ( \
-              "-0.0638422, -0.0158774, -0.00664698, -0.00157699, -0.00164746, -0.017418, -0.0465225, -0.0937635, -0.162141, -0.206941, -0.259031, -0.307328, -0.336309, -0.353641, -0.35745, -0.343066, -0.324399, -0.293847, -0.188019, -0.14617, -0.0922758, -0.0589822, -0.0347738, -0.0253007, -0.0174651, -0.00804725, -0.0057348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.178201, 0.375426, 0.417744, 0.47819, 0.55143, 0.594635, 0.607516, 0.656813, 0.702914, 0.747685, 0.793105, 0.813284, 0.834808, 0.897241, 0.925798, 0.974035, 1.02253, 1.0719, 1.09833, 1.12852, 1.18891, 1.25406");
-            values ( \
-              "-0.0180385, -0.0385418, -0.0930481, -0.182705, -0.271685, -0.311434, -0.322493, -0.353618, -0.370382, -0.375429, -0.35909, -0.339556, -0.306866, -0.194675, -0.151941, -0.0965876, -0.0597019, -0.0358388, -0.0272219, -0.0197661, -0.010199, -0.00545179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.214631, 0.597544, 0.67935, 0.867115, 0.976038, 1.05801, 1.0993, 1.18187, 1.25957, 1.30888, 1.4018, 1.43987, 1.46936, 1.50656, 1.58095, 1.75238, 1.81379, 1.89463, 1.94889, 2.01492, 2.08447, 2.15179, 2.25125, 2.34417, 2.4371, 2.53002, 2.80879");
-            values ( \
-              "-0.0256876, -0.0433289, -0.0925619, -0.216933, -0.276613, -0.31343, -0.32894, -0.354793, -0.371792, -0.378714, -0.383492, -0.380771, -0.376633, -0.366853, -0.326877, -0.18623, -0.145667, -0.103271, -0.0813891, -0.0603672, -0.0435859, -0.0318766, -0.0198642, -0.0125679, -0.00804661, -0.00502624, -0.00132392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.243167, 0.251406, 0.255259, 0.260587, 0.268749, 0.272312, 0.275755, 0.279193, 0.281251, 0.291963, 0.299415, 0.303585, 0.308351, 0.311232");
-            values ( \
-              "-0.0122241, -0.0646615, -0.104914, -0.140328, -0.178765, -0.188637, -0.192742, -0.185054, -0.172041, -0.0561832, -0.0190825, -0.010236, -0.00495044, -0.00366793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.252301, 0.258845, 0.264127, 0.265207, 0.270998, 0.277586, 0.28402, 0.293213, 0.298951, 0.304523, 0.310162, 0.312408, 0.316371, 0.328931, 0.333436, 0.339559, 0.346136, 0.350804, 0.36014, 0.360846");
-            values ( \
-              "-0.0405018, -0.0495486, -0.0838558, -0.0855763, -0.14083, -0.182361, -0.215538, -0.24981, -0.262368, -0.26692, -0.255148, -0.244381, -0.212574, -0.0914763, -0.0632153, -0.0372051, -0.0207024, -0.0135367, -0.00555985, -0.00534022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.149858, 0.170262, 0.186749, 0.194643, 0.209966, 0.235409, 0.256418, 0.269007, 0.276985, 0.292013, 0.310207, 0.32077, 0.331702, 0.344986, 0.355607, 0.365918, 0.376218, 0.380863, 0.40917, 0.42003, 0.433008, 0.439705, 0.449946, 0.463601, 0.466376");
-            values ( \
-              "-0.00610676, -0.00825672, -0.00758089, -0.0104397, -0.0114093, -1e-22, -2e-22, -0.00598097, -0.0313586, -0.0946862, -0.193937, -0.236333, -0.272163, -0.303503, -0.31897, -0.323248, -0.309729, -0.294568, -0.120251, -0.0756607, -0.0419984, -0.0307537, -0.0189448, -0.00967614, -0.00885014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.205937, 0.318907, 0.345814, 0.373079, 0.40692, 0.433143, 0.456242, 0.477749, 0.498674, 0.520505, 0.529225, 0.588113, 0.608229, 0.629667, 0.650825, 0.687595, 0.703822");
-            values ( \
-              "-0.00977369, -0.0274081, -0.0949242, -0.178542, -0.260361, -0.305987, -0.33753, -0.352414, -0.358596, -0.34163, -0.32456, -0.12838, -0.0847335, -0.0532681, -0.0331529, -0.0141196, -0.0106386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.225036, 0.418148, 0.46311, 0.523524, 0.596724, 0.652967, 0.702334, 0.748349, 0.793141, 0.83855, 0.858863, 0.880529, 0.941009, 0.971786, 1.02215, 1.06042, 1.09222, 1.13416, 1.16168, 1.21673, 1.30965, 1.40258");
-            values ( \
-              "-0.0208646, -0.0358823, -0.0928917, -0.182387, -0.271459, -0.322062, -0.353695, -0.370658, -0.375393, -0.359198, -0.339443, -0.306395, -0.197443, -0.151202, -0.0940949, -0.0644282, -0.0465409, -0.030123, -0.0225471, -0.0123869, -0.00418563, -0.00140293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.263727, 0.653784, 0.915809, 1.00873, 1.10184, 1.14541, 1.23255, 1.29666, 1.35503, 1.44795, 1.48603, 1.51519, 1.55271, 1.62775, 1.79858, 1.8599, 1.97399, 2.06267, 2.13328, 2.19686, 2.29025, 2.38318, 2.53655");
-            values ( \
-              "-0.0216243, -0.0486306, -0.218279, -0.269817, -0.312331, -0.329091, -0.355826, -0.37002, -0.378876, -0.383348, -0.3809, -0.376577, -0.366976, -0.32629, -0.18632, -0.145788, -0.0892971, -0.0598487, -0.0431244, -0.0319644, -0.020487, -0.0130844, -0.0066415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.341678, 0.351413, 0.355286, 0.360615, 0.364505, 0.36877, 0.372338, 0.375772, 0.379191, 0.380861, 0.383067, 0.390921, 0.394598, 0.398907, 0.404169, 0.408802, 0.413469");
-            values ( \
-              "-0.00630218, -0.0610576, -0.104441, -0.139881, -0.161081, -0.178599, -0.188575, -0.192468, -0.185124, -0.175004, -0.154866, -0.0648801, -0.0389215, -0.0206211, -0.00942063, -0.0046663, -0.00273905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.352541, 0.358868, 0.364159, 0.365228, 0.370095, 0.374426, 0.380156, 0.3871, 0.393254, 0.398994, 0.404565, 0.410128, 0.412465, 0.416412, 0.42897, 0.433476, 0.439601, 0.446175, 0.450842, 0.458895");
-            values ( \
-              "-0.043495, -0.0496451, -0.083958, -0.0855755, -0.133164, -0.163223, -0.196014, -0.228691, -0.249564, -0.26271, -0.266681, -0.255614, -0.244313, -0.212588, -0.0914868, -0.0632187, -0.0372034, -0.020704, -0.0135409, -0.00665594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.245751, 0.285604, 0.304885, 0.313332, 0.334318, 0.355645, 0.368159, 0.380934, 0.420573, 0.433431, 0.444787, 0.455416, 0.465718, 0.476018, 0.48067, 0.509, 0.519833, 0.532623, 0.549975, 0.563958, 0.570404");
-            values ( \
-              "-0.00433694, -0.00752741, -0.0116883, -0.0105549, -0.000189154, -1e-22, -0.00454528, -0.0477383, -0.236879, -0.277686, -0.303459, -0.319012, -0.323217, -0.309754, -0.294534, -0.120104, -0.0756502, -0.0423635, -0.0187379, -0.00940335, -0.00754142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.305031, 0.420288, 0.445794, 0.473038, 0.506872, 0.533095, 0.556191, 0.577697, 0.598621, 0.620453, 0.629186, 0.639166, 0.668822, 0.681186, 0.702035, 0.712671, 0.729477, 0.750765, 0.763157, 0.78794, 0.825985");
-            values ( \
-              "-0.00672941, -0.0304209, -0.0950841, -0.178433, -0.260266, -0.306126, -0.337436, -0.352527, -0.358507, -0.341624, -0.32453, -0.294356, -0.185216, -0.146947, -0.0964136, -0.0770102, -0.0534251, -0.0331532, -0.0250187, -0.0139799, -0.00590297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.324054, 0.517698, 0.563044, 0.648721, 0.696673, 0.752938, 0.802273, 0.848286, 0.893076, 0.937807, 0.958826, 0.980522, 1.04059, 1.07321, 1.12309, 1.1561, 1.1785, 1.2214, 1.24514, 1.29263, 1.38555, 1.47847, 1.5714");
-            values ( \
-              "-0.0210387, -0.0353976, -0.0929805, -0.215745, -0.271108, -0.3225, -0.353334, -0.371046, -0.375034, -0.359859, -0.339433, -0.306274, -0.198043, -0.149181, -0.0932026, -0.0672803, -0.0536157, -0.0344, -0.0268335, -0.016106, -0.00545775, -0.00183163, -0.000618797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.359781, 0.743908, 0.824887, 1.01287, 1.12152, 1.20325, 1.24478, 1.32782, 1.4041, 1.45435, 1.54728, 1.58534, 1.61809, 1.65203, 1.71993, 1.85083, 1.94402, 2.00847, 2.06523, 2.16625, 2.24278, 2.29157, 2.38221, 2.47513, 2.56805, 2.66098, 2.7539, 2.93975");
-            values ( \
-              "-0.025111, -0.043858, -0.0926583, -0.217152, -0.276669, -0.313385, -0.32891, -0.354966, -0.371673, -0.378681, -0.383536, -0.380737, -0.376043, -0.366818, -0.331565, -0.222462, -0.15498, -0.118372, -0.0925626, -0.0585421, -0.0410794, -0.0325517, -0.0211278, -0.0135554, -0.00850142, -0.00548879, -0.00337154, -0.00131131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.547156, 0.555082, 0.55642, 0.559095, 0.565733, 0.570086, 0.573938, 0.577513, 0.580965, 0.584412, 0.586395, 0.597201, 0.600527, 0.604651, 0.608846, 0.61364, 0.617613");
-            values ( \
-              "-0.0052084, -0.0578423, -0.0605159, -0.0926759, -0.138781, -0.162544, -0.177675, -0.188429, -0.191972, -0.184994, -0.172336, -0.0561005, -0.0351016, -0.0190622, -0.0102068, -0.00490256, -0.00316012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.559519, 0.570254, 0.57978, 0.585235, 0.592194, 0.598359, 0.604107, 0.609684, 0.615253, 0.617532, 0.621539, 0.634104, 0.638608, 0.644731, 0.648977, 0.655983, 0.665324, 0.667978");
-            values ( \
-              "-0.0486101, -0.0886395, -0.164087, -0.195712, -0.22799, -0.249381, -0.262228, -0.266568, -0.255323, -0.244509, -0.212505, -0.0914413, -0.0631969, -0.0371993, -0.0255129, -0.0135252, -0.00556076, -0.00473307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.436662, 0.488649, 0.504269, 0.512896, 0.522322, 0.539156, 0.568227, 0.580571, 0.585419, 0.597341, 0.61717, 0.626051, 0.637055, 0.650266, 0.660874, 0.671185, 0.681634, 0.686127, 0.714433, 0.725295, 0.738287, 0.744971, 0.755191, 0.768819, 0.769634");
-            values ( \
-              "-0.00273869, -0.00618402, -0.0105448, -0.0112721, -0.00907065, -0.00069206, -1e-22, -0.0255319, -0.0440357, -0.097156, -0.20112, -0.236659, -0.272223, -0.303689, -0.31886, -0.323387, -0.309338, -0.294579, -0.120265, -0.0756626, -0.041972, -0.0307542, -0.0189638, -0.00969794, -0.00945488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.508174, 0.623356, 0.650955, 0.678118, 0.711919, 0.738105, 0.761209, 0.782712, 0.803633, 0.824525, 0.834269, 0.844328, 0.872923, 0.886498, 0.909334, 0.929399, 0.946135, 0.955554, 0.96938, 0.987815, 1.02469, 1.0761");
-            values ( \
-              "-0.0092685, -0.0266175, -0.0944534, -0.177525, -0.25945, -0.307178, -0.336577, -0.35348, -0.357677, -0.342896, -0.32439, -0.29381, -0.188313, -0.146094, -0.0918545, -0.0597393, -0.0411249, -0.033293, -0.0243359, -0.0158783, -0.00642629, -0.00162526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.527693, 0.717391, 0.768507, 0.828915, 0.902024, 0.958249, 1.00763, 1.05364, 1.09843, 1.14384, 1.16416, 1.18583, 1.2462, 1.2771, 1.32746, 1.36559, 1.39725, 1.41873, 1.46729, 1.52279, 1.61572, 1.70864");
-            values ( \
-              "-0.0257291, -0.0297878, -0.0925716, -0.182694, -0.271631, -0.321958, -0.353844, -0.370547, -0.375529, -0.359166, -0.339426, -0.306383, -0.197589, -0.151169, -0.0940791, -0.0645033, -0.0466673, -0.0373634, -0.0224719, -0.0122774, -0.00415039, -0.00138901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.56423, 0.96674, 1.24466, 1.32715, 1.40077, 1.45034, 1.54326, 1.59027, 1.66014, 1.70334, 1.75838, 1.8513, 1.89697, 1.94916, 2.0905, 2.14954, 2.24951, 2.29995, 2.38025, 2.48231, 2.54177, 2.6347, 2.72762, 2.82054, 3.00639, 3.09932");
-            values ( \
-              "-0.01695, -0.0531863, -0.232641, -0.276254, -0.310219, -0.32877, -0.357647, -0.368176, -0.379061, -0.382698, -0.382945, -0.369322, -0.349554, -0.313429, -0.195659, -0.155241, -0.10163, -0.0811354, -0.0562931, -0.034891, -0.0264771, -0.0167396, -0.0107898, -0.00669264, -0.00262719, -0.00180681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.967678, 0.975818, 0.979756, 0.985176, 0.99342, 0.997007, 1.00047, 1.00393, 1.00577, 1.01674, 1.02418, 1.02843, 1.03328, 1.0351");
-            values ( \
-              "-0.016882, -0.062157, -0.103769, -0.138425, -0.177379, -0.187494, -0.191792, -0.184318, -0.173094, -0.0559799, -0.0190829, -0.0101164, -0.00484124, -0.0040479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.976362, 0.983377, 0.988351, 0.989774, 0.996136, 1.0048, 1.01176, 1.01794, 1.0237, 1.02928, 1.03485, 1.03712, 1.04114, 1.05371, 1.05822, 1.06434, 1.06859, 1.07559, 1.08494, 1.08732");
-            values ( \
-              "-0.0344179, -0.0495708, -0.0817615, -0.0839691, -0.142709, -0.195165, -0.227772, -0.248939, -0.262034, -0.266293, -0.255187, -0.244462, -0.212418, -0.0914231, -0.0631819, -0.0371877, -0.0255054, -0.013521, -0.00556193, -0.00481695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.831687, 0.860639, 0.885759, 0.889987, 0.893889, 0.902246, 0.907528, 0.916863, 0.92493, 0.930168, 0.935058, 0.940777, 0.946657, 0.958194, 0.965036, 0.983589, 0.996512, 1.00107, 1.00534, 1.01702, 1.02945, 1.04575, 1.05861, 1.06997, 1.08059, 1.0909, 1.10136, 1.10582, 1.11059, 1.12565, 1.13108, 1.14002, 1.14501, 1.15083, 1.15859, 1.16317, 1.16789, 1.17418, 1.18676, 1.18985");
-            values ( \
-              "-0.00171763, -0.00248706, -0.00368551, -0.00419159, -0.004023, -0.0044448, -0.00508772, -0.0080779, -0.00975066, -0.0103506, -0.0103096, -0.00899241, -0.00674532, -0.00127377, -1e-22, -2e-22, -0.0139174, -0.0289323, -0.04515, -0.0966028, -0.168172, -0.236884, -0.277754, -0.30377, -0.318612, -0.323516, -0.309231, -0.294661, -0.269776, -0.167801, -0.136123, -0.0940089, -0.0756965, -0.0583969, -0.0408459, -0.0330152, -0.0264752, -0.0196447, -0.0106175, -0.00960531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.929832, 0.970314, 1.00666, 1.02354, 1.03756, 1.05207, 1.07092, 1.09798, 1.13175, 1.15794, 1.18103, 1.20925, 1.2234, 1.23324, 1.24452, 1.26589, 1.29854, 1.321, 1.33924, 1.36717, 1.38829, 1.4053, 1.43931, 1.44355");
-            values ( \
-              "-0.0591291, -0.0142729, -0.00144804, -0.00224189, -0.0168816, -0.0462096, -0.0953029, -0.179168, -0.260649, -0.306291, -0.337639, -0.356995, -0.357422, -0.353306, -0.342288, -0.288148, -0.169863, -0.109249, -0.0744332, -0.0401142, -0.0249026, -0.0168283, -0.00736156, -0.0069066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.947157, 1.14801, 1.18824, 1.22751, 1.27527, 1.3215, 1.3535, 1.37751, 1.42704, 1.47302, 1.51782, 1.56257, 1.58348, 1.60507, 1.6666, 1.69619, 1.74612, 1.78742, 1.82188, 1.84542, 1.87995, 1.92598, 2.01806, 2.11098");
-            values ( \
-              "-0.0149462, -0.0413007, -0.0933495, -0.152581, -0.217581, -0.271237, -0.302159, -0.322053, -0.353347, -0.37081, -0.375094, -0.359682, -0.33952, -0.306627, -0.19597, -0.151584, -0.0947475, -0.06295, -0.0441884, -0.0346357, -0.024127, -0.014706, -0.00503448, -0.00169226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.988546, 1.39364, 1.6438, 1.80549, 1.86967, 1.96259, 2.00968, 2.07948, 2.12158, 2.1777, 2.27062, 2.31639, 2.36869, 2.50912, 2.56913, 2.67079, 2.71859, 2.79809, 2.90157, 2.96252, 3.05544, 3.24129, 3.52006");
-            values ( \
-              "-0.0138851, -0.0576072, -0.220971, -0.304117, -0.328816, -0.357692, -0.368238, -0.379102, -0.382672, -0.382962, -0.369348, -0.349511, -0.31329, -0.196192, -0.15509, -0.100772, -0.0813759, -0.0566685, -0.0348887, -0.0262994, -0.0166135, -0.00663713, -0.00180147" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00302549, 0.00302556, 0.00302563, 0.00302569, 0.00302572, 0.00302574", \
-            "0.00362227, 0.00362228, 0.00362231, 0.00362234, 0.00362236, 0.00362238", \
-            "0.00398426, 0.00398425, 0.00398425, 0.00398426, 0.00398427, 0.00398428", \
-            "0.00419765, 0.00419763, 0.00419763, 0.00419763, 0.00419763, 0.00419764", \
-            "0.0043107, 0.0043107, 0.00431069, 0.00431069, 0.00431068, 0.00431068", \
-            "0.00437213, 0.00437213, 0.00437212, 0.00437212, 0.00437211, 0.00437211" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00435509, 0.00435504, 0.00435501, 0.00435501, 0.00435502, 0.00435503", \
-            "0.00482471, 0.00482464, 0.00482456, 0.00482453, 0.00482451, 0.00482451", \
-            "0.00506803, 0.00506796, 0.00506786, 0.00506777, 0.00506771, 0.00506768", \
-            "0.00530103, 0.0053022, 0.00530148, 0.00530145, 0.00530141, 0.00530126", \
-            "0.00565642, 0.00565654, 0.00565671, 0.00565685, 0.0056569, 0.00565691", \
-            "0.00654148, 0.00653825, 0.00653093, 0.0065257, 0.0065235, 0.00652272" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(CK * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.207538, 0.237167, 0.297248, 0.421823, 0.692076, 1.29482", \
-            "0.212236, 0.241957, 0.30198, 0.426529, 0.696707, 1.29967", \
-            "0.222625, 0.252307, 0.312363, 0.43697, 0.707126, 1.31069", \
-            "0.239119, 0.268776, 0.328842, 0.453447, 0.72368, 1.32663", \
-            "0.255255, 0.284811, 0.34493, 0.469575, 0.739905, 1.34328", \
-            "0.263283, 0.292964, 0.352992, 0.477754, 0.747718, 1.35137" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0282398, 0.0447293, 0.0816023, 0.165823, 0.357076, 0.786829", \
-            "0.0282306, 0.0447327, 0.0815766, 0.165713, 0.356918, 0.786915", \
-            "0.0282042, 0.0447279, 0.0816043, 0.16586, 0.357118, 0.78679", \
-            "0.0282733, 0.044717, 0.0815657, 0.165839, 0.357119, 0.786775", \
-            "0.0282128, 0.0447541, 0.0816084, 0.165838, 0.357115, 0.786828", \
-            "0.028288, 0.0447415, 0.0816071, 0.165825, 0.356845, 0.786898" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.184439, 0.187824, 0.190393, 0.194535, 0.199889, 0.205852, 0.210666, 0.215019, 0.219202, 0.223385, 0.226032, 0.23201, 0.234807, 0.239112, 0.243249, 0.245922, 0.250538, 0.254303, 0.257677, 0.261798, 0.267861, 0.272809, 0.28295, 0.296473, 0.300225");
-            values ( \
-              "0.0110839, 0.0177831, 0.0304841, 0.0538304, 0.0925316, 0.126536, 0.145725, 0.155621, 0.15781, 0.152486, 0.141952, 0.100303, 0.0834488, 0.0621289, 0.0467634, 0.0390111, 0.028349, 0.021842, 0.0173219, 0.0130439, 0.00867799, 0.00630682, 0.00337958, 0.0015422, 0.00134509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.0581108, 0.103974, 0.131306, 0.164917, 0.18934, 0.202272, 0.228058, 0.237973, 0.242362, 0.249021, 0.255678, 0.259718, 0.275175, 0.284657, 0.296293, 0.306358, 0.319521, 0.334982, 0.33947");
-            values ( \
-              "0.000983132, 0.000117869, 0.00265371, 1e-22, 2e-22, 0.0286122, 0.183546, 0.214644, 0.220715, 0.221699, 0.212191, 0.198297, 0.116702, 0.0785962, 0.0470675, 0.0294666, 0.0157048, 0.00754573, 0.00633712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0628585, 0.103816, 0.130981, 0.1486, 0.1747, 0.188939, 0.201064, 0.215675, 0.226894, 0.232197, 0.261212, 0.271104, 0.284471, 0.296813, 0.30898, 0.323711, 0.332545, 0.356137, 0.375412, 0.39122, 0.412095, 0.434406, 0.458549, 0.483951");
-            values ( \
-              "0.0014, 0.000446254, 0.00575208, 0.00698236, 0.00411011, 1e-22, 2e-22, 0.00637504, 0.0335713, 0.0539892, 0.196317, 0.232297, 0.262434, 0.273052, 0.271131, 0.251854, 0.228594, 0.149531, 0.0972096, 0.0660418, 0.0380575, 0.0204996, 0.0103406, 0.00496881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.162431, 0.265018, 0.289243, 0.3352, 0.356843, 0.383583, 0.408518, 0.433293, 0.458058, 0.464469, 0.487348, 0.534628, 0.571144, 0.604254, 0.637666, 0.681017, 0.727612, 0.768035, 0.805729");
-            values ( \
-              "0.0080763, 0.0232113, 0.0776302, 0.217825, 0.262736, 0.29338, 0.302299, 0.297659, 0.280869, 0.273888, 0.240971, 0.159379, 0.106274, 0.0705021, 0.0452117, 0.0246489, 0.0126417, 0.00690141, 0.004468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.189158, 0.353977, 0.383512, 0.493394, 0.538695, 0.59607, 0.649801, 0.703273, 0.771157, 0.820069, 0.997912, 1.0706, 1.11693, 1.1679, 1.24777, 1.34832, 1.44916, 1.46499");
-            values ( \
-              "0.0238108, 0.0302766, 0.062109, 0.229118, 0.274731, 0.306561, 0.314702, 0.309604, 0.284255, 0.251344, 0.113131, 0.0739987, 0.0554545, 0.0399409, 0.0234245, 0.011787, 0.00571295, 0.00524889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.228377, 0.597175, 0.788864, 0.836882, 0.932918, 1.01348, 1.07055, 1.1714, 1.18923, 1.22488, 1.29619, 1.37259, 1.42978, 1.53062, 1.5653, 1.80709, 1.96381, 2.09623, 2.18994, 2.27443, 2.35408, 2.45492, 2.54268, 2.67694, 2.77779, 2.97948, 3.09861");
-            values ( \
-              "0.0148967, 0.0576398, 0.196378, 0.227228, 0.275064, 0.299969, 0.311073, 0.31947, 0.319861, 0.319288, 0.315263, 0.3058, 0.295302, 0.267817, 0.256149, 0.165198, 0.113613, 0.0798666, 0.0612449, 0.0478973, 0.0377995, 0.027776, 0.021239, 0.0139803, 0.0101456, 0.00533488, 0.00383292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.202712, 0.208621, 0.225583, 0.22928, 0.232689, 0.235518, 0.237812, 0.241979, 0.243979, 0.252307, 0.258176, 0.265786, 0.272756, 0.27664, 0.286641, 0.300912, 0.310919");
-            values ( \
-              "0.0107493, 0.0280719, 0.131458, 0.145546, 0.153731, 0.15709, 0.157613, 0.152289, 0.145063, 0.0898168, 0.0602378, 0.0358273, 0.0220832, 0.0169084, 0.00858365, 0.0035265, 0.00259205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.0772443, 0.11567, 0.158128, 0.186267, 0.209818, 0.221542, 0.246768, 0.254255, 0.261081, 0.267741, 0.274389, 0.278492, 0.293986, 0.303886, 0.314631, 0.32535, 0.338333, 0.353197, 0.359187");
-            values ( \
-              "0.00110509, 1e-22, 0.00255119, 1e-22, 2e-22, 0.0314942, 0.183546, 0.209706, 0.220981, 0.221947, 0.212289, 0.198117, 0.116241, 0.0768405, 0.0478482, 0.0290659, 0.015625, 0.00772085, 0.0060539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.081425, 0.115397, 0.124798, 0.148115, 0.164097, 0.181657, 0.193041, 0.20515, 0.217051, 0.231652, 0.243335, 0.249273, 0.257691, 0.273204, 0.289654, 0.302992, 0.307381, 0.315324, 0.327495, 0.339664, 0.342212, 0.350946, 0.374602, 0.393802, 0.410198, 0.420946, 0.430411, 0.443029, 0.452732, 0.463514, 0.47789, 0.506643, 0.521221");
-            values ( \
-              "0.00132622, 0.000278787, 0.000659914, 0.00558773, 0.00701721, 0.00603314, 0.00414206, 0.000683564, 1e-22, 0.00281792, 0.0270358, 0.0479226, 0.0852689, 0.166517, 0.232428, 0.262183, 0.267412, 0.272796, 0.270785, 0.256992, 0.251813, 0.228936, 0.149731, 0.0975334, 0.0652972, 0.0493064, 0.0382891, 0.0270579, 0.0206266, 0.0152348, 0.0101027, 0.00423223, 0.00311312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.182855, 0.279838, 0.290688, 0.303395, 0.343175, 0.365378, 0.375822, 0.396708, 0.402463, 0.413973, 0.427371, 0.452108, 0.468244, 0.477827, 0.496994, 0.547213, 0.580509, 0.595696, 0.612179, 0.634157, 0.660449, 0.677612, 0.701449, 0.711207, 0.730722, 0.769753, 0.841365, 0.925448");
-            values ( \
-              "0.0138469, 0.0175411, 0.0347936, 0.0645016, 0.187797, 0.243628, 0.262499, 0.288605, 0.292789, 0.29902, 0.301647, 0.297491, 0.287928, 0.279548, 0.255647, 0.169579, 0.118627, 0.0992775, 0.0810236, 0.061007, 0.0428643, 0.0337905, 0.0241344, 0.0209969, 0.0158722, 0.00888353, 0.00279328, 0.000655629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.206632, 0.385588, 0.418167, 0.487559, 0.535412, 0.557822, 0.60264, 0.615071, 0.639933, 0.668717, 0.722702, 0.736249, 0.750699, 0.777724, 0.831772, 0.854165, 0.936012, 0.96435, 1.01635, 1.04377, 1.06191, 1.09066, 1.13603, 1.15241, 1.18516, 1.24578, 1.26647, 1.28732, 1.31511, 1.3707, 1.47154, 1.57239, 1.67324, 1.77408");
-            values ( \
-              "0.0130587, 0.0419198, 0.0842262, 0.195012, 0.254644, 0.274785, 0.301343, 0.305913, 0.31173, 0.314362, 0.308999, 0.305676, 0.301283, 0.290379, 0.25704, 0.239417, 0.171568, 0.149584, 0.113425, 0.0971479, 0.0873962, 0.0735082, 0.0554115, 0.0499458, 0.0403936, 0.0269608, 0.0234589, 0.0203882, 0.0168934, 0.0115021, 0.0055851, 0.00268259, 0.00129765, 0.000613736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.0927656, 0.115558, 0.130591, 0.183367, 0.220737, 0.268569, 0.425823, 0.46517, 0.527038, 0.618485, 0.807209, 0.908745, 0.962549, 1.0634, 1.14033, 1.20748, 1.30833, 1.35966, 1.48838, 1.56876, 1.95294, 2.1045, 2.29796, 2.47655, 2.69141, 2.94657");
-            values ( \
-              "0.00098731, 0.000995475, 0.00313268, 0.0317553, 0.0389616, 0.0362625, 0.0154131, 0.0138711, 0.0212393, 0.059454, 0.196387, 0.256361, 0.279043, 0.30703, 0.317001, 0.319671, 0.315874, 0.310416, 0.285561, 0.261285, 0.122246, 0.0820814, 0.0471579, 0.0274996, 0.0141196, 0.00678356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.244874, 0.25281, 0.259668, 0.265617, 0.269844, 0.271569, 0.274756, 0.276533, 0.278932, 0.283095, 0.2851, 0.287169, 0.293416, 0.2993, 0.303146, 0.306876, 0.309813, 0.313851, 0.317765, 0.321766, 0.32775, 0.335617, 0.341957, 0.354637, 0.372777");
-            values ( \
-              "0.000351716, 0.0453781, 0.0927629, 0.126659, 0.144046, 0.149109, 0.155414, 0.157274, 0.157692, 0.152455, 0.145113, 0.133763, 0.0898612, 0.0602015, 0.0462843, 0.0358816, 0.0292736, 0.0221058, 0.0168884, 0.01285, 0.00858082, 0.00521152, 0.00354111, 0.00167891, 0.000642101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.260438, 0.268794, 0.282158, 0.287598, 0.295084, 0.301909, 0.308568, 0.315216, 0.319318, 0.334811, 0.344709, 0.355458, 0.366172, 0.379156, 0.394021, 0.407002, 0.420661");
-            values ( \
-              "0.0553288, 0.0673157, 0.155992, 0.183494, 0.209847, 0.220908, 0.222057, 0.212215, 0.198127, 0.116248, 0.0768531, 0.0478468, 0.0290696, 0.0156263, 0.00772106, 0.00410846, 0.00245365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.123486, 0.163714, 0.169424, 0.190341, 0.204192, 0.221198, 0.232832, 0.246654, 0.258419, 0.273072, 0.28459, 0.290357, 0.298584, 0.314425, 0.33086, 0.34423, 0.356578, 0.368745, 0.3809, 0.39231, 0.415902, 0.435176, 0.45099, 0.462058, 0.471858, 0.484924, 0.49417, 0.50452, 0.518321, 0.545923, 0.562405");
-            values ( \
-              "0.00125126, 0.000495123, 0.00108588, 0.00570201, 0.00699471, 0.00622108, 0.00446656, 0.000641827, 1e-22, 0.00309499, 0.0271404, 0.0474095, 0.0836315, 0.166519, 0.232388, 0.262265, 0.273173, 0.270996, 0.257125, 0.228605, 0.14953, 0.0972099, 0.0660358, 0.0494551, 0.0380594, 0.0265534, 0.0205005, 0.0153271, 0.0103388, 0.00450295, 0.00315437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.231873, 0.321359, 0.336968, 0.351165, 0.38431, 0.401447, 0.416909, 0.443642, 0.468585, 0.493365, 0.518134, 0.52453, 0.54742, 0.594711, 0.631224, 0.664321, 0.697749, 0.715739, 0.741096, 0.787679, 0.828089, 0.863917");
-            values ( \
-              "0.0160346, 0.0181289, 0.0457411, 0.0832293, 0.187739, 0.232626, 0.262393, 0.293366, 0.302108, 0.297748, 0.280731, 0.273879, 0.240962, 0.159365, 0.106268, 0.0705098, 0.0452077, 0.0352909, 0.0246482, 0.0126436, 0.0069038, 0.00459003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.131878, 0.169617, 0.213049, 0.232705, 0.246254, 0.272486, 0.358091, 0.374167, 0.394412, 0.419899, 0.45034, 0.529056, 0.566856, 0.599177, 0.65661, 0.710329, 0.763805, 0.817266, 0.831682, 0.880604, 0.980063, 1.05845, 1.13113, 1.17747, 1.22845, 1.30831, 1.40884, 1.50969, 1.54828");
-            values ( \
-              "0.00111186, 0.00225238, 0.0219755, 0.0265542, 0.0271076, 0.0245914, 0.0098738, 0.0107666, 0.0170686, 0.0349594, 0.0705846, 0.195121, 0.244155, 0.274699, 0.306315, 0.314839, 0.309422, 0.291658, 0.284263, 0.251349, 0.169648, 0.113134, 0.0740027, 0.055457, 0.0399418, 0.0234265, 0.0117897, 0.00571148, 0.00458144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.295241, 0.632321, 0.699931, 0.8486, 0.949446, 1.00431, 1.10515, 1.13073, 1.18187, 1.24914, 1.34999, 1.40127, 1.46964, 1.5302, 1.61094, 1.65374, 1.88997, 2.033, 2.1647, 2.25428, 2.32591, 2.40858, 2.50942, 2.60512, 2.7469, 2.84775, 2.94859, 3.04944, 3.25113, 3.55367");
-            values ( \
-              "0.0267068, 0.0445954, 0.0861735, 0.196412, 0.256058, 0.279311, 0.307113, 0.311267, 0.317028, 0.319768, 0.315864, 0.310409, 0.29944, 0.285555, 0.261074, 0.24592, 0.156929, 0.110944, 0.0779666, 0.0605232, 0.0491564, 0.0383861, 0.0282866, 0.0210348, 0.0135045, 0.00987368, 0.00711254, 0.00521165, 0.00274847, 0.000994707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.328544, 0.335378, 0.350379, 0.355208, 0.359563, 0.363752, 0.370448, 0.380556, 0.387637, 0.392993, 0.403045, 0.412807, 0.425584, 0.432423");
-            values ( \
-              "0.0104455, 0.0325394, 0.125778, 0.145689, 0.155201, 0.157872, 0.142599, 0.0768415, 0.0472146, 0.0328214, 0.0163555, 0.00844359, 0.00381537, 0.00309802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.338873, 0.349626, 0.363096, 0.372353, 0.378504, 0.382463, 0.386649, 0.393306, 0.399962, 0.404005, 0.419462, 0.428964, 0.440554, 0.45065, 0.463801, 0.479227, 0.492735, 0.496405");
-            values ( \
-              "0.00990529, 0.0448768, 0.132676, 0.183553, 0.205991, 0.215107, 0.220752, 0.221737, 0.212222, 0.19831, 0.116693, 0.078518, 0.0471119, 0.0294532, 0.0157056, 0.00755561, 0.00391304, 0.00349127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.203841, 0.248943, 0.271413, 0.288107, 0.296442, 0.314423, 0.328324, 0.339175, 0.354179, 0.366246, 0.37431, 0.384212, 0.399061, 0.415508, 0.428837, 0.43416, 0.441167, 0.453338, 0.465508, 0.468056, 0.476792, 0.500447, 0.519648, 0.536033, 0.546787, 0.556257, 0.568884, 0.578579, 0.589353, 0.603718, 0.63245, 0.648034");
-            values ( \
-              "0.000516806, 0.000486952, 0.0051588, 0.00703111, 0.00694486, 0.00508483, 0.00156852, 1e-22, 2e-22, 0.0190663, 0.0447094, 0.0887492, 0.16671, 0.232539, 0.262192, 0.268341, 0.272765, 0.270807, 0.256963, 0.251816, 0.228932, 0.149728, 0.0975275, 0.0653114, 0.0493093, 0.0382852, 0.0270493, 0.0206244, 0.0152365, 0.0101072, 0.00423691, 0.00303928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.315537, 0.405203, 0.420797, 0.469139, 0.50174, 0.528455, 0.553393, 0.57817, 0.609342, 0.632226, 0.67951, 0.716025, 0.74913, 0.782547, 0.825897, 0.872487, 0.912905, 0.93831");
-            values ( \
-              "0.0167453, 0.0169475, 0.0433352, 0.187959, 0.262603, 0.293413, 0.302189, 0.297725, 0.273884, 0.240967, 0.159373, 0.106271, 0.0705039, 0.0452113, 0.0246496, 0.0126415, 0.00690325, 0.00526237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.330606, 0.504386, 0.534962, 0.613567, 0.653212, 0.68369, 0.741127, 0.794846, 0.848322, 0.901783, 0.916198, 0.965121, 1.06458, 1.14297, 1.21565, 1.26199, 1.31296, 1.39282, 1.49336, 1.59421, 1.6462");
-            values ( \
-              "0.0184096, 0.0349442, 0.0707326, 0.195117, 0.246201, 0.274686, 0.30632, 0.314831, 0.309427, 0.291651, 0.284264, 0.25135, 0.169648, 0.113134, 0.0740028, 0.055457, 0.0399417, 0.0234265, 0.0117897, 0.00571155, 0.00418906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.381706, 0.758335, 0.933043, 0.990131, 1.08877, 1.18961, 1.21518, 1.26631, 1.33359, 1.43443, 1.4857, 1.55406, 1.61468, 1.70965, 1.97615, 2.11824, 2.24195, 2.33676, 2.41398, 2.49533, 2.59618, 2.68842, 2.82569, 2.92653, 3.12823, 3.26707");
-            values ( \
-              "0.00898977, 0.0679704, 0.196248, 0.23246, 0.279443, 0.307028, 0.311397, 0.316935, 0.319887, 0.315764, 0.310315, 0.299545, 0.285636, 0.256173, 0.156288, 0.11069, 0.079536, 0.0608501, 0.048617, 0.038122, 0.0280724, 0.0211241, 0.0137667, 0.0100282, 0.00528217, 0.00355524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.497582, 0.501035, 0.504887, 0.509836, 0.517057, 0.521865, 0.526209, 0.53039, 0.53457, 0.53723, 0.545265, 0.550222, 0.55975, 0.56476, 0.569829, 0.579625, 0.587204, 0.593292, 0.598165");
-            values ( \
-              "0.0145899, 0.0281037, 0.0487893, 0.084709, 0.126707, 0.14603, 0.155647, 0.157998, 0.152493, 0.141971, 0.087694, 0.0624862, 0.0337596, 0.0234161, 0.0166317, 0.00854088, 0.00527261, 0.00364736, 0.00289288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.353295, 0.414839, 0.449634, 0.478833, 0.501483, 0.514847, 0.539144, 0.546645, 0.553469, 0.558713, 0.56689, 0.597193, 0.615761, 0.623835, 0.640564, 0.64415");
-            values ( \
-              "0.000102657, 0.000344581, 0.00253328, 1e-22, 2e-22, 0.0355284, 0.182995, 0.209509, 0.220667, 0.222196, 0.211531, 0.0739349, 0.0318887, 0.021755, 0.00982828, 0.00853102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.357269, 0.414784, 0.454305, 0.478205, 0.499602, 0.515002, 0.528248, 0.538134, 0.543718, 0.565837, 0.582273, 0.595647, 0.607992, 0.620159, 0.634889, 0.643715, 0.667312, 0.686581, 0.702437, 0.723257, 0.74557, 0.769796, 0.797484, 0.799238");
-            values ( \
-              "0.000165812, 0.000712644, 0.00698623, 0.00557869, 0.000150581, 1e-22, 0.00896071, 0.0338454, 0.0556387, 0.166385, 0.232254, 0.262364, 0.273045, 0.271091, 0.25185, 0.228622, 0.149548, 0.0972368, 0.0659804, 0.0380768, 0.0205102, 0.0103208, 0.00448261, 0.00433972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.477354, 0.58187, 0.595768, 0.609002, 0.635585, 0.646766, 0.668227, 0.694949, 0.719888, 0.744664, 0.769431, 0.775838, 0.783161, 0.79872, 0.846003, 0.859525, 0.882518, 0.901305, 0.915625, 0.934034, 0.94904, 0.967033, 0.992391, 1.01877, 1.03898, 1.0794, 1.15616, 1.24707");
-            values ( \
-              "0.00141908, 0.0323378, 0.0643082, 0.10293, 0.187874, 0.21816, 0.262741, 0.293274, 0.302327, 0.297592, 0.280912, 0.273892, 0.264643, 0.240975, 0.159377, 0.138137, 0.106274, 0.0845705, 0.0705037, 0.0553302, 0.0452111, 0.0352927, 0.0246489, 0.0169252, 0.012642, 0.00690217, 0.00194604, 0.000382872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.501501, 0.672977, 0.816383, 0.85099, 0.908341, 0.962137, 1.01561, 1.08349, 1.13241, 1.23187, 1.31026, 1.38294, 1.42928, 1.48025, 1.56011, 1.66065, 1.7615, 1.79816");
-            values ( \
-              "0.018524, 0.0360813, 0.24158, 0.274715, 0.306291, 0.314844, 0.309424, 0.284264, 0.251351, 0.169648, 0.113134, 0.0740023, 0.0554568, 0.0399419, 0.0234264, 0.0117895, 0.00571155, 0.00463781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.541733, 0.876937, 0.949447, 1.09972, 1.20056, 1.25551, 1.35635, 1.43314, 1.50037, 1.60122, 1.65251, 1.7209, 1.78138, 1.87644, 2.15819, 2.28948, 2.46159, 2.60239, 2.77982, 2.92577, 3.02662, 3.32916, 3.36283");
-            values ( \
-              "0.0286749, 0.0414396, 0.084741, 0.196326, 0.255846, 0.279327, 0.306983, 0.316918, 0.319841, 0.315766, 0.310318, 0.299512, 0.28564, 0.256161, 0.150927, 0.109399, 0.068602, 0.0456375, 0.0266878, 0.0169871, 0.0123166, 0.00475056, 0.00449379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.836348, 0.842839, 0.858073, 0.862905, 0.867265, 0.871456, 0.878151, 0.888255, 0.895339, 0.900729, 0.910662, 0.920456, 0.933375, 0.938066");
-            values ( \
-              "0.0109614, 0.0319307, 0.125847, 0.145484, 0.155144, 0.157703, 0.142567, 0.0769051, 0.0472504, 0.032769, 0.0164675, 0.00848025, 0.00379412, 0.00330714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.665442, 0.753479, 0.779094, 0.82083, 0.833961, 0.844775, 0.853743, 0.856318, 0.880145, 0.88764, 0.894469, 0.901131, 0.907782, 0.91186, 0.927334, 0.93706, 0.948162, 0.958603, 0.971645, 0.986689, 0.996753");
-            values ( \
-              "2.77675e-05, 0.000406674, 0.00245082, 1e-22, 2e-22, 0.00143919, 0.0269111, 0.0395264, 0.183467, 0.209619, 0.22085, 0.221915, 0.212216, 0.198211, 0.116466, 0.0775856, 0.0475645, 0.0292628, 0.015686, 0.00768845, 0.0049074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.674059, 0.753821, 0.779151, 0.802164, 0.818733, 0.840536, 0.856018, 0.869259, 0.879147, 0.884624, 0.906841, 0.92329, 0.936665, 0.94901, 0.961178, 0.975903, 0.984711, 1.00831, 1.02756, 1.0435, 1.06419, 1.08646, 1.11076, 1.13513");
-            values ( \
-              "5.3774e-05, 0.00067297, 0.00513328, 0.0068854, 0.00561049, 0.00017313, 1e-22, 0.00912467, 0.0339726, 0.0553064, 0.166249, 0.232194, 0.262323, 0.273034, 0.271091, 0.251884, 0.228721, 0.14963, 0.0973406, 0.0659171, 0.0381746, 0.0205882, 0.0103428, 0.00519636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.820862, 0.914533, 0.928391, 0.976884, 1.00951, 1.03623, 1.06117, 1.08595, 1.11345, 1.13999, 1.18726, 1.21611, 1.26569, 1.2975, 1.33835, 1.38206, 1.42809");
-            values ( \
-              "0.0136598, 0.0191328, 0.0433019, 0.187586, 0.262428, 0.293443, 0.302135, 0.297808, 0.278005, 0.241024, 0.159442, 0.116415, 0.0634708, 0.0414175, 0.0233448, 0.0124284, 0.00634031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.691987, 0.742801, 0.753355, 0.758557, 0.769171, 0.794271, 0.810374, 0.821829, 0.835305, 0.846305, 0.873178, 0.905164, 0.938331, 0.94906, 0.962553, 0.983914, 1.00798, 1.03848, 1.06331, 1.12103, 1.16365, 1.19116, 1.21562, 1.23232, 1.24814, 1.26795, 1.30193, 1.34882, 1.35544, 1.36869, 1.38926, 1.41102, 1.45453, 1.50482, 1.5825, 1.62812, 1.65667, 1.70052, 1.75063, 1.79303, 1.82334, 1.88192, 1.91889, 1.94296, 1.99109, 2.08734, 2.18819, 2.28903, 2.38988");
-            values ( \
-              "0.000186864, 0.000708756, 0.00120109, 0.00193335, 0.00555835, 0.0173848, 0.0234993, 0.0260182, 0.0269473, 0.0266876, 0.023195, 0.0166384, 0.0109085, 0.0101298, 0.0101525, 0.0161074, 0.0314049, 0.0654606, 0.102407, 0.195297, 0.249293, 0.274887, 0.291169, 0.29873, 0.304769, 0.309996, 0.313743, 0.310028, 0.308709, 0.305583, 0.299199, 0.290183, 0.264481, 0.224988, 0.161168, 0.127552, 0.109204, 0.0846443, 0.0623087, 0.0476323, 0.039092, 0.0264611, 0.0206165, 0.017539, 0.0125793, 0.00634672, 0.00304199, 0.00148097, 0.000691673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.698951, 0.759273, 0.811582, 0.847193, 0.873986, 0.918948, 1.04412, 1.08716, 1.14099, 1.21632, 1.24522, 1.44114, 1.54198, 1.597, 1.69785, 1.77474, 1.84192, 1.94276, 1.99409, 2.06251, 2.12284, 2.21801, 2.49706, 2.5979, 2.72105, 2.81763, 2.92824, 3.10652, 3.19595, 3.35005, 3.65259, 3.70618");
-            values ( \
-              "0.000200273, 0.00208448, 0.0291955, 0.0383598, 0.0387133, 0.0344205, 0.0168586, 0.0140436, 0.0171534, 0.0406641, 0.0550026, 0.196077, 0.255675, 0.279205, 0.306916, 0.31688, 0.319809, 0.315749, 0.3103, 0.299495, 0.285663, 0.25616, 0.151867, 0.119115, 0.0861598, 0.0658223, 0.047789, 0.0279165, 0.0211675, 0.0130671, 0.00503122, 0.0045996" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00321262, 0.00321268, 0.00321274, 0.00321279, 0.00321283, 0.00321285", \
-            "0.00417712, 0.00417711, 0.00417711, 0.00417713, 0.00417714, 0.00417715", \
-            "0.00481237, 0.00481235, 0.00481232, 0.0048123, 0.00481229, 0.00481229", \
-            "0.00521521, 0.00521519, 0.00521516, 0.00521512, 0.0052151, 0.00521509", \
-            "0.00547362, 0.0054736, 0.00547358, 0.00547356, 0.00547353, 0.00547351", \
-            "0.00564418, 0.00564417, 0.00564416, 0.00564413, 0.0056441, 0.00564408" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0050638, 0.00506365, 0.00506353, 0.00506346, 0.00506343, 0.00506342", \
-            "0.00542591, 0.00542574, 0.00542555, 0.0054254, 0.0054253, 0.00542525", \
-            "0.0052829, 0.00528276, 0.00528253, 0.00528227, 0.00528208, 0.00528196", \
-            "0.00554749, 0.00554762, 0.00554765, 0.00554753, 0.00554732, 0.00554715", \
-            "0.00595058, 0.0059493, 0.00594822, 0.00594763, 0.00594752, 0.00594735", \
-            "0.00571902, 0.00572187, 0.00576156, 0.00575325, 0.00572505, 0.00572216" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302084", \
-            "0.00361677", \
-            "0.00398308", \
-            "0.00419798", \
-            "0.00431267", \
-            "0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434342", \
-            "0.00482999", \
-            "0.00510835", \
-            "0.00542427", \
-            "0.00593602", \
-            "0.00683618" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(!CK * D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.208571, 0.238367, 0.29861, 0.4233, 0.693218, 1.29604", \
-            "0.213306, 0.243125, 0.30341, 0.427946, 0.697975, 1.30099", \
-            "0.223665, 0.253455, 0.313701, 0.4384, 0.708326, 1.31102", \
-            "0.24026, 0.270009, 0.330306, 0.454869, 0.725022, 1.32852", \
-            "0.256586, 0.286332, 0.346598, 0.471244, 0.741421, 1.34428", \
-            "0.264854, 0.294682, 0.355017, 0.47976, 0.749909, 1.35341" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0281466, 0.0446411, 0.0815342, 0.165841, 0.356954, 0.786684", \
-            "0.0281379, 0.0446458, 0.0815691, 0.165776, 0.356953, 0.786967", \
-            "0.0281462, 0.0446411, 0.0815342, 0.165841, 0.356959, 0.786886", \
-            "0.0281572, 0.0446373, 0.0815283, 0.165815, 0.35714, 0.786883", \
-            "0.0281346, 0.0446606, 0.0815608, 0.165719, 0.35705, 0.787038", \
-            "0.0281812, 0.0446878, 0.0815513, 0.165678, 0.357032, 0.786914" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.186212, 0.190781, 0.194348, 0.206712, 0.211525, 0.215869, 0.220045, 0.224221, 0.226625, 0.236556, 0.243319, 0.250217, 0.258559, 0.268572, 0.285039, 0.297564");
-            values ( \
-              "0.0126672, 0.0282359, 0.0471222, 0.126418, 0.145995, 0.155729, 0.158253, 0.152871, 0.143753, 0.0787331, 0.0493399, 0.0307658, 0.0171357, 0.00858921, 0.00298708, 0.00150981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.197828, 0.206306, 0.219714, 0.228986, 0.236482, 0.243305, 0.249954, 0.256593, 0.260523, 0.274375, 0.28461, 0.29377, 0.303851, 0.313371, 0.329744, 0.341988, 0.353853");
-            values ( \
-              "0.0235374, 0.0459423, 0.132421, 0.183323, 0.209584, 0.221285, 0.222323, 0.212924, 0.19947, 0.125228, 0.0819222, 0.0548505, 0.0344493, 0.0219036, 0.00997631, 0.00545939, 0.00346397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0627478, 0.103239, 0.135678, 0.145983, 0.162305, 0.173242, 0.185586, 0.19912, 0.212687, 0.224012, 0.230918, 0.240708, 0.255912, 0.272389, 0.285726, 0.290676, 0.298052, 0.310217, 0.322379, 0.324918, 0.333621, 0.357277, 0.376449, 0.392977, 0.403643, 0.413022, 0.425527, 0.435335, 0.446214, 0.460719, 0.48973, 0.499995");
-            values ( \
-              "0.001415, 0.00037663, 0.00617573, 0.00693754, 0.00613876, 0.00454958, 0.00135199, 1e-22, 0.00156626, 0.0218252, 0.0441206, 0.0867889, 0.166082, 0.232288, 0.262201, 0.268085, 0.272889, 0.270982, 0.257126, 0.251997, 0.229191, 0.14987, 0.0976607, 0.0651493, 0.0492928, 0.0383618, 0.027193, 0.0206672, 0.0152218, 0.0100542, 0.00417426, 0.00339804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.162656, 0.260643, 0.277789, 0.325975, 0.358588, 0.385307, 0.410247, 0.435023, 0.466191, 0.489076, 0.536365, 0.57288, 0.605984, 0.639411, 0.682765, 0.729355, 0.769773, 0.797985");
-            values ( \
-              "0.0149594, 0.0153765, 0.0436936, 0.187518, 0.262359, 0.293566, 0.302031, 0.297905, 0.273878, 0.240956, 0.159359, 0.106259, 0.0704992, 0.0452035, 0.0246458, 0.0126418, 0.00690337, 0.00508361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.187893, 0.36205, 0.517534, 0.539813, 0.584371, 0.622495, 0.650736, 0.705655, 0.759751, 0.812703, 1.00327, 1.06325, 1.14536, 1.23806, 1.34226, 1.44315, 1.44323");
-            values ( \
-              "0.0177139, 0.036326, 0.254551, 0.2747, 0.301093, 0.311728, 0.314349, 0.308767, 0.290359, 0.257837, 0.110502, 0.0778497, 0.0465632, 0.0252118, 0.0123469, 0.00601416, 0.00601178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.233847, 0.559269, 0.660163, 0.762345, 0.789167, 0.84281, 0.943704, 0.988703, 1.03177, 1.07113, 1.1321, 1.18965, 1.29054, 1.34187, 1.4103, 1.43043, 1.47069, 1.55119, 1.56583, 1.78058, 1.84574, 1.94663, 1.99616, 2.0667, 2.16074, 2.2179, 2.28126, 2.36123, 2.46213, 2.51756, 2.61845, 2.71934, 2.82024, 2.92113, 3.02202, 3.12292, 3.22381, 3.3247, 3.52649");
-            values ( \
-              "0.0322223, 0.0379946, 0.0995034, 0.176867, 0.195783, 0.230381, 0.278683, 0.293382, 0.303929, 0.310901, 0.317464, 0.319572, 0.315723, 0.310297, 0.29938, 0.295218, 0.285555, 0.261163, 0.256079, 0.175114, 0.151615, 0.118796, 0.104647, 0.0867109, 0.0666814, 0.0566043, 0.0470369, 0.037052, 0.0272317, 0.0229769, 0.0167715, 0.0122181, 0.0088709, 0.00644187, 0.00466189, 0.00338181, 0.00244116, 0.00177196, 0.000928102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.205055, 0.213033, 0.225479, 0.23132, 0.234622, 0.237807, 0.240793, 0.243002, 0.247245, 0.252738, 0.259548, 0.265832, 0.276116, 0.28726, 0.304392, 0.306631");
-            values ( \
-              "0.0126188, 0.0468647, 0.126369, 0.148802, 0.155532, 0.158102, 0.156599, 0.152411, 0.133813, 0.0936367, 0.0589264, 0.0383121, 0.0186984, 0.00865021, 0.00288303, 0.00262935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.21728, 0.224961, 0.242029, 0.247699, 0.255194, 0.262018, 0.268668, 0.275307, 0.279223, 0.293083, 0.303205, 0.312614, 0.323926, 0.331842, 0.33914, 0.348698, 0.361441, 0.375209");
-            values ( \
-              "0.0311304, 0.0455604, 0.154156, 0.183092, 0.209703, 0.221119, 0.222444, 0.21279, 0.199523, 0.125265, 0.082348, 0.054531, 0.0322865, 0.0221554, 0.0156006, 0.00985791, 0.00525651, 0.00303271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0816163, 0.124584, 0.165148, 0.182046, 0.20559, 0.219527, 0.232495, 0.244012, 0.249469, 0.27479, 0.291257, 0.304633, 0.316972, 0.329132, 0.343849, 0.395494, 0.411414, 0.432144, 0.454448, 0.478786, 0.492355");
-            values ( \
-              "0.00132921, 0.000555293, 0.00692857, 0.00605835, 0.000999257, 1e-22, 0.00262795, 0.0248273, 0.0428363, 0.165876, 0.23216, 0.262365, 0.273256, 0.27122, 0.25204, 0.0973226, 0.0659208, 0.0381205, 0.0205345, 0.0102989, 0.00744658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.181705, 0.27925, 0.296215, 0.310148, 0.344578, 0.365954, 0.377167, 0.399595, 0.412269, 0.428723, 0.453502, 0.484697, 0.507595, 0.568416, 0.591408, 0.624515, 0.65794, 0.701295, 0.747888, 0.788309, 0.818939");
-            values ( \
-              "0.0150932, 0.0153798, 0.0433031, 0.0793986, 0.187999, 0.242082, 0.262607, 0.290009, 0.297836, 0.301817, 0.297496, 0.273805, 0.240926, 0.138116, 0.106259, 0.0704967, 0.0452034, 0.0246452, 0.0126417, 0.00690245, 0.0049272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.207208, 0.381329, 0.42077, 0.488711, 0.536873, 0.559052, 0.603411, 0.616316, 0.642126, 0.669973, 0.724924, 0.738114, 0.753188, 0.778987, 0.830586, 0.86024, 0.949105, 1.00403, 1.02596, 1.06184, 1.10284, 1.13776, 1.17842, 1.23263, 1.2634, 1.29486, 1.33681, 1.4207, 1.5216, 1.62249, 1.72338");
-            values ( \
-              "0.0177202, 0.0363504, 0.0860918, 0.194728, 0.254674, 0.274689, 0.301026, 0.305857, 0.311806, 0.314332, 0.308759, 0.305485, 0.300853, 0.290356, 0.25882, 0.235467, 0.162067, 0.122322, 0.108215, 0.0881134, 0.0686542, 0.0551954, 0.04252, 0.0297459, 0.0241516, 0.019538, 0.0147181, 0.00810812, 0.00395611, 0.00186308, 0.000932646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.0927514, 0.115292, 0.131426, 0.181142, 0.219949, 0.27502, 0.44244, 0.494434, 0.579014, 0.890884, 0.964062, 1.06496, 1.14173, 1.209, 1.30989, 1.3611, 1.49042, 1.57181, 1.97715, 2.12163, 2.28829, 2.47093, 2.56415, 2.72093, 2.9336");
-            values ( \
-              "0.00100523, 0.000959484, 0.00306146, 0.0300776, 0.0384738, 0.0356745, 0.0144415, 0.0153449, 0.0382532, 0.246474, 0.27898, 0.307013, 0.316973, 0.319649, 0.315864, 0.310426, 0.285408, 0.260779, 0.115415, 0.078563, 0.0487975, 0.0281533, 0.021073, 0.0128925, 0.0073358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.246094, 0.250619, 0.254374, 0.266604, 0.271416, 0.27576, 0.279936, 0.284112, 0.286517, 0.296449, 0.303223, 0.310073, 0.318469, 0.328484, 0.344811, 0.357091");
-            values ( \
-              "0.0126687, 0.0279776, 0.0480282, 0.126424, 0.146001, 0.155731, 0.158255, 0.152871, 0.143746, 0.0787192, 0.0492958, 0.0308394, 0.0171124, 0.00857685, 0.00300974, 0.00154914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.257702, 0.266188, 0.279595, 0.288867, 0.296362, 0.303185, 0.309835, 0.316473, 0.320404, 0.334256, 0.344492, 0.35365, 0.363731, 0.373253, 0.389624, 0.401863, 0.413735");
-            values ( \
-              "0.0234607, 0.0459508, 0.132428, 0.183326, 0.209582, 0.221286, 0.222321, 0.212925, 0.199469, 0.125227, 0.0819189, 0.0548526, 0.0344495, 0.0219019, 0.00997727, 0.00546087, 0.00346373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.123458, 0.162984, 0.195549, 0.205852, 0.222184, 0.233105, 0.245458, 0.258965, 0.27255, 0.283867, 0.290793, 0.300576, 0.315786, 0.332263, 0.3456, 0.350542, 0.357926, 0.370091, 0.382253, 0.384792, 0.393495, 0.417151, 0.436323, 0.45285, 0.463516, 0.472896, 0.485402, 0.495209, 0.506088, 0.520592, 0.549601, 0.560573");
-            values ( \
-              "0.00125824, 0.000403769, 0.00619675, 0.00693217, 0.00613123, 0.00455979, 0.00134578, 1e-22, 0.00154603, 0.0217833, 0.044121, 0.0867614, 0.166084, 0.23229, 0.262203, 0.268076, 0.272891, 0.27098, 0.257127, 0.251996, 0.229191, 0.14987, 0.0976608, 0.0651498, 0.0492933, 0.0383618, 0.0271924, 0.0206674, 0.0152222, 0.0100543, 0.00417475, 0.003345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.222516, 0.320529, 0.337712, 0.385868, 0.418479, 0.445199, 0.470138, 0.494914, 0.526083, 0.548968, 0.596256, 0.632772, 0.665875, 0.699303, 0.742657, 0.789247, 0.829664, 0.857914");
-            values ( \
-              "0.0149574, 0.0153707, 0.0437607, 0.187529, 0.26236, 0.293558, 0.302035, 0.297899, 0.273878, 0.240956, 0.159358, 0.106259, 0.0704992, 0.0452037, 0.024646, 0.0126417, 0.00690358, 0.00508124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.248348, 0.422437, 0.461966, 0.529909, 0.578025, 0.600251, 0.644701, 0.657516, 0.683145, 0.711172, 0.765177, 0.794075, 0.820187, 0.872412, 0.997622, 1.06847, 1.12768, 1.20271, 1.24012, 1.29323, 1.32654, 1.38804, 1.47002, 1.57092, 1.60181");
-            values ( \
-              "0.0177686, 0.0362739, 0.086073, 0.194733, 0.254614, 0.274695, 0.301054, 0.305867, 0.311767, 0.314342, 0.308968, 0.300959, 0.290358, 0.258366, 0.156376, 0.107418, 0.0759263, 0.0475017, 0.0371647, 0.0261253, 0.0208387, 0.0136976, 0.0077191, 0.00369736, 0.00325963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.132499, 0.166852, 0.220503, 0.259546, 0.314627, 0.481777, 0.533464, 0.617854, 0.718748, 0.900867, 1.00371, 1.06119, 1.13005, 1.17711, 1.24838, 1.29951, 1.36635, 1.46725, 1.533, 1.62428, 2.00656, 2.12298, 2.21404, 2.34621, 2.52513, 2.67754, 2.77843, 3.06585");
-            values ( \
-              "0.000986393, 0.0019346, 0.0300055, 0.0384734, 0.0356779, 0.0144618, 0.015278, 0.0379418, 0.0994235, 0.230004, 0.279329, 0.29717, 0.311031, 0.316441, 0.319616, 0.318688, 0.313978, 0.299795, 0.28467, 0.256195, 0.118518, 0.0872914, 0.0677888, 0.0462406, 0.026929, 0.016799, 0.0121769, 0.00507234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.329934, 0.334163, 0.33613, 0.339929, 0.345567, 0.351546, 0.356364, 0.36071, 0.364887, 0.369064, 0.371448, 0.378141, 0.381364, 0.387977, 0.392398, 0.395373, 0.399345, 0.403214, 0.408372, 0.41321, 0.420884, 0.431114, 0.440931");
-            values ( \
-              "0.0109239, 0.0208644, 0.0308185, 0.0517988, 0.0920759, 0.126331, 0.145841, 0.155712, 0.158164, 0.152879, 0.143829, 0.0975339, 0.0789254, 0.0499863, 0.0370015, 0.0301085, 0.0227454, 0.0173709, 0.0121587, 0.00871279, 0.00525951, 0.00276258, 0.00170154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.199502, 0.248663, 0.281719, 0.312915, 0.336861, 0.347605, 0.349902, 0.373692, 0.379958, 0.383609, 0.387999, 0.394648, 0.401419, 0.405155, 0.416165, 0.428721, 0.43985, 0.450996, 0.460578, 0.466128, 0.479171, 0.493645");
-            values ( \
-              "0.000461097, 1e-22, 0.00272838, 1e-22, 2e-22, 0.0282843, 0.0395914, 0.183075, 0.206153, 0.214853, 0.220817, 0.222276, 0.212375, 0.199675, 0.139435, 0.0840422, 0.0515231, 0.0307143, 0.019436, 0.0148622, 0.00795763, 0.00391743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.203387, 0.220223, 0.248542, 0.255605, 0.270898, 0.288128, 0.310063, 0.323722, 0.334146, 0.345241, 0.356806, 0.368194, 0.376771, 0.386086, 0.400536, 0.417013, 0.430341, 0.436423, 0.442668, 0.454833, 0.466997, 0.478235, 0.501893, 0.521063, 0.537606, 0.548263, 0.557633, 0.570127, 0.579947, 0.590838, 0.605358, 0.634398, 0.648599");
-            values ( \
-              "0.000538579, 0.00109249, 0.000316505, 0.00122145, 0.00469736, 0.0070176, 0.00576795, 0.00331049, 1e-22, 2e-22, 0.00116574, 0.0208902, 0.049005, 0.090787, 0.166308, 0.232437, 0.262271, 0.269026, 0.272939, 0.270894, 0.257188, 0.229202, 0.149872, 0.0976669, 0.0651308, 0.0492874, 0.0383668, 0.0272085, 0.0206695, 0.0152183, 0.0100494, 0.00416617, 0.0030951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.210051, 0.251455, 0.292429, 0.312961, 0.337628, 0.377202, 0.386932, 0.399745, 0.417673, 0.429821, 0.470452, 0.494169, 0.517551, 0.529575, 0.554578, 0.579365, 0.604153, 0.610498, 0.633426, 0.680761, 0.717265, 0.750317, 0.783809, 0.827146, 0.873682, 0.914049, 0.946704");
-            values ( \
-              "0.000610297, 0.00110484, 0.0137237, 0.0155069, 0.0124058, 0.00323753, 0.00348218, 0.0100069, 0.0344373, 0.0621325, 0.188019, 0.246647, 0.282142, 0.292624, 0.301993, 0.297418, 0.280809, 0.273872, 0.240956, 0.159305, 0.10624, 0.0705324, 0.0451855, 0.0246417, 0.0126502, 0.00691306, 0.00480383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.334965, 0.51421, 0.640075, 0.685231, 0.742636, 0.796379, 0.849858, 0.903323, 0.917733, 0.966662, 1.06613, 1.14452, 1.2172, 1.26354, 1.31453, 1.39439, 1.49493, 1.59582, 1.63388");
-            values ( \
-              "0.0126407, 0.0429267, 0.228809, 0.274727, 0.306256, 0.314838, 0.309381, 0.291669, 0.284263, 0.251348, 0.169639, 0.113128, 0.0739993, 0.0554532, 0.0399373, 0.0234245, 0.0117893, 0.00570942, 0.00459566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.377686, 0.716379, 0.784997, 0.934386, 1.03528, 1.09024, 1.19113, 1.2167, 1.26783, 1.33513, 1.43602, 1.45308, 1.48719, 1.55542, 1.61665, 1.71122, 1.78874, 1.93798, 2.00291, 2.10381, 2.15296, 2.22587, 2.31267, 2.36361, 2.41591, 2.47349, 2.57439, 2.64116, 2.70104, 2.78089, 2.88178, 2.98268, 3.08357, 3.18447, 3.28536, 3.38625, 3.48715, 3.68893");
-            values ( \
-              "0.0273953, 0.0434906, 0.085305, 0.196151, 0.255806, 0.27923, 0.306991, 0.31126, 0.316924, 0.319789, 0.315786, 0.314271, 0.310354, 0.299519, 0.285467, 0.256122, 0.227561, 0.170694, 0.14752, 0.11532, 0.101559, 0.0835004, 0.06547, 0.0565691, 0.0485681, 0.0409396, 0.0301597, 0.0245763, 0.0204346, 0.0159433, 0.0115947, 0.00843169, 0.00610571, 0.00443412, 0.00319985, 0.0023262, 0.0016716, 0.000870554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.505136, 0.512427, 0.515328, 0.516295, 0.51735, 0.519461, 0.520516, 0.522627, 0.523218, 0.5244, 0.526173, 0.527558, 0.528351, 0.529145, 0.530438, 0.531731, 0.532771, 0.533811, 0.534851, 0.53595, 0.536768, 0.53747, 0.538171, 0.539107, 0.540293, 0.5433, 0.545847, 0.546468, 0.547876, 0.549379, 0.549806, 0.550232, 0.551086, 0.55194, 0.556933, 0.558193, 0.559453, 0.560918, 0.562384, 0.564348, 0.566312, 0.567567, 0.56945, 0.570078, 0.57202, 0.573963, 0.575406, 0.578292, 0.579735, 0.582488");
-            values ( \
-              "0.0873988, 0.0925336, 0.110311, 0.11589, 0.121319, 0.131261, 0.135775, 0.143889, 0.145938, 0.149293, 0.153166, 0.155871, 0.156433, 0.156809, 0.15701, 0.156735, 0.155995, 0.155062, 0.153937, 0.152539, 0.149909, 0.147073, 0.143863, 0.138998, 0.131788, 0.109804, 0.0922495, 0.0890065, 0.0806426, 0.0730225, 0.0688994, 0.0639097, 0.0621071, 0.0599533, 0.04366, 0.0398138, 0.0363187, 0.0329124, 0.0297691, 0.026054, 0.0226405, 0.0206166, 0.0179251, 0.0171196, 0.0150383, 0.0131776, 0.0119767, 0.00986303, 0.00895028, 0.00744921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.511392, 0.518972, 0.520885, 0.534672, 0.540412, 0.54792, 0.550123, 0.554703, 0.55727, 0.559324, 0.56137, 0.564684, 0.568132, 0.574969, 0.586902, 0.590504, 0.597591, 0.603685, 0.608002, 0.613661, 0.618756, 0.624011, 0.631602, 0.6398, 0.646138, 0.658813, 0.682192, 0.710282");
-            values ( \
-              "0.0446079, 0.0525079, 0.062447, 0.153319, 0.18264, 0.209516, 0.214124, 0.220959, 0.222403, 0.222622, 0.22191, 0.218725, 0.212052, 0.185693, 0.119821, 0.103523, 0.0765728, 0.0587556, 0.0482922, 0.0371655, 0.0292595, 0.0227629, 0.0157893, 0.0106715, 0.00785917, 0.00424903, 0.0012651, 0.000324519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.35712, 0.419306, 0.456509, 0.479931, 0.501146, 0.516467, 0.527494, 0.538236, 0.543367, 0.57309, 0.584015, 0.597403, 0.60974, 0.621899, 0.636617, 0.64543, 0.669017, 0.68827, 0.704156, 0.724925, 0.747228, 0.771506, 0.796531");
-            values ( \
-              "0.000166576, 0.000847555, 0.00697455, 0.00536858, 2.99594e-05, 1e-22, 0.00569485, 0.0292341, 0.0472956, 0.191557, 0.232139, 0.262513, 0.273202, 0.271297, 0.252032, 0.228811, 0.149647, 0.0973051, 0.0659634, 0.0381072, 0.0205275, 0.0103132, 0.00504197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.48142, 0.580202, 0.59455, 0.637592, 0.659924, 0.670234, 0.690853, 0.708896, 0.721773, 0.746995, 0.763645, 0.772225, 0.800596, 0.847843, 0.884391, 0.917597, 0.950901, 0.968914, 0.994289, 1.04098, 1.08149, 1.11295");
-            values ( \
-              "0.00692947, 0.0264189, 0.0558762, 0.188189, 0.243544, 0.262904, 0.28807, 0.298961, 0.301947, 0.297183, 0.287172, 0.27955, 0.240829, 0.159431, 0.106287, 0.0704313, 0.0452367, 0.0353023, 0.0246533, 0.012626, 0.00688568, 0.00486156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.504356, 0.673084, 0.827906, 0.851926, 0.899966, 0.927769, 0.962889, 1.01629, 1.08457, 1.13329, 1.3111, 1.38396, 1.43019, 1.48095, 1.56099, 1.66197, 1.76286, 1.7658");
-            values ( \
-              "0.020031, 0.0352718, 0.252934, 0.274548, 0.302698, 0.310658, 0.314314, 0.309173, 0.284026, 0.251289, 0.113154, 0.0739406, 0.0554484, 0.0399922, 0.0234292, 0.0117554, 0.00569357, 0.00560776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.377276, 0.421702, 0.475299, 0.517295, 0.575544, 0.696457, 0.751233, 0.824268, 0.925162, 1.11591, 1.20775, 1.25598, 1.35244, 1.44261, 1.50098, 1.60187, 1.65315, 1.72151, 1.78219, 1.87713, 2.26321, 2.42791, 2.56314, 2.63491, 2.8054, 2.95338, 3.05427, 3.26833");
-            values ( \
-              "0.000407407, 0.00261556, 0.0309149, 0.0385125, 0.0347682, 0.0178686, 0.0138191, 0.0220825, 0.0673411, 0.206331, 0.258684, 0.278892, 0.305937, 0.317484, 0.31964, 0.315752, 0.310321, 0.299425, 0.285522, 0.256093, 0.117229, 0.075559, 0.051295, 0.0414816, 0.0246967, 0.0156034, 0.0113559, 0.00638927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.838707, 0.846694, 0.859455, 0.865524, 0.86863, 0.872339, 0.875363, 0.877021, 0.880337, 0.887026, 0.896071, 0.9032, 0.911249, 0.921255, 0.938491, 0.944976");
-            values ( \
-              "0.0121204, 0.044626, 0.12572, 0.148909, 0.155129, 0.157705, 0.155586, 0.152134, 0.139006, 0.0915577, 0.0493149, 0.0304044, 0.017193, 0.00859173, 0.00293551, 0.0021502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.850347, 0.858832, 0.863284, 0.872329, 0.876397, 0.881636, 0.889147, 0.895981, 0.902638, 0.909284, 0.913148, 0.916815, 0.92415, 0.931189, 0.93673, 0.941737, 0.947807, 0.954415, 0.958989, 0.962198, 0.968617, 0.974114, 0.979643, 0.987015, 1.00176, 1.02496, 1.05325");
-            values ( \
-              "0.0239772, 0.0454447, 0.070031, 0.131844, 0.156021, 0.182841, 0.209168, 0.221019, 0.222092, 0.212815, 0.199662, 0.181802, 0.139479, 0.106055, 0.0839863, 0.0677225, 0.0516143, 0.038038, 0.0307163, 0.026364, 0.0193943, 0.0148743, 0.0114124, 0.00801834, 0.00386455, 0.00119466, 0.000287318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.674026, 0.752645, 0.763318, 0.786635, 0.798159, 0.81542, 0.826735, 0.838817, 0.853058, 0.865958, 0.87752, 0.883544, 0.893135, 0.908676, 0.925172, 0.938521, 0.943024, 0.950853, 0.96302, 0.975184, 0.977713, 0.986382, 1.01005, 1.02919, 1.0459, 1.05645, 1.0657, 1.07804, 1.08798, 1.09895, 1.11359, 1.14285, 1.15595");
-            values ( \
-              "8.30354e-05, 0.000510287, 0.00155289, 0.00583457, 0.00673996, 0.00602205, 0.00436087, 0.00117081, 1e-22, 0.00236969, 0.0240125, 0.0437391, 0.0850431, 0.165786, 0.232055, 0.262069, 0.267538, 0.272822, 0.270953, 0.257119, 0.252016, 0.229338, 0.149986, 0.0978203, 0.0649655, 0.0492998, 0.0385003, 0.0274248, 0.0207683, 0.0152568, 0.0100404, 0.00413464, 0.00315311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.815597, 0.914123, 0.935785, 0.979221, 1.0017, 1.01185, 1.03216, 1.03848, 1.05112, 1.06338, 1.08928, 1.11382, 1.14219, 1.18941, 1.21633, 1.2515, 1.27183, 1.29598, 1.31279, 1.34817, 1.3691, 1.41096, 1.43597");
-            values ( \
-              "0.0143717, 0.0157903, 0.0551134, 0.188329, 0.243905, 0.263004, 0.287814, 0.293208, 0.299196, 0.302023, 0.296931, 0.279603, 0.240892, 0.159518, 0.119092, 0.0786741, 0.0604682, 0.0437358, 0.0346713, 0.0210096, 0.0155486, 0.00832686, 0.00633589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.844343, 1.03346, 1.06779, 1.11927, 1.14657, 1.1706, 1.1933, 1.21571, 1.23253, 1.25036, 1.26992, 1.30419, 1.35238, 1.35774, 1.36846, 1.38989, 1.41332, 1.46017, 1.50397, 1.58713, 1.63582, 1.66544, 1.69735, 1.7399, 1.766, 1.78484, 1.80995, 1.86017, 1.89573, 1.93182, 1.97994, 2.07617, 2.17706, 2.27795, 2.37885");
-            values ( \
-              "0.0027741, 0.0560022, 0.106143, 0.188997, 0.226165, 0.253948, 0.274009, 0.289843, 0.297461, 0.304462, 0.309702, 0.313578, 0.309717, 0.308632, 0.306175, 0.299739, 0.290154, 0.262129, 0.227628, 0.159388, 0.123885, 0.105184, 0.0874396, 0.0676276, 0.0573812, 0.0509315, 0.043386, 0.0310908, 0.0245612, 0.0192608, 0.0138115, 0.00699233, 0.00333893, 0.00163867, 0.000752054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.699071, 0.753457, 0.764123, 0.818796, 0.855742, 0.921111, 1.03289, 1.08381, 1.14314, 1.24558, 1.44356, 1.54446, 1.59942, 1.70031, 1.77706, 1.84433, 1.94523, 1.99641, 2.12582, 2.22043, 2.52122, 2.6392, 2.78172, 2.9819, 3.14986, 3.39133, 3.64121");
-            values ( \
-              "0.00019484, 0.00103835, 0.00294015, 0.03114, 0.0382616, 0.0344576, 0.0186032, 0.0140823, 0.017252, 0.05386, 0.19608, 0.255715, 0.279198, 0.306934, 0.316879, 0.319802, 0.315751, 0.310317, 0.285509, 0.256153, 0.144381, 0.107789, 0.0732682, 0.0409596, 0.0245537, 0.0115396, 0.00568913" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00321265, 0.0032127, 0.00321276, 0.00321281, 0.00321285, 0.00321287", \
-            "0.00417726, 0.00417726, 0.00417726, 0.00417727, 0.00417729, 0.0041773", \
-            "0.00481236, 0.00481234, 0.00481231, 0.00481229, 0.00481228, 0.00481228", \
-            "0.0052152, 0.00521517, 0.00521514, 0.00521511, 0.00521509, 0.00521507", \
-            "0.0054736, 0.00547359, 0.00547357, 0.00547354, 0.00547352, 0.00547349", \
-            "0.00564411, 0.0056441, 0.00564409, 0.00564406, 0.00564403, 0.00564401" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00506385, 0.0050637, 0.00506358, 0.00506351, 0.00506348, 0.00506348", \
-            "0.00542643, 0.00542626, 0.00542608, 0.00542592, 0.00542582, 0.00542577", \
-            "0.00528266, 0.00528252, 0.00528229, 0.00528203, 0.00528184, 0.00528172", \
-            "0.00554, 0.00554012, 0.00554015, 0.00554004, 0.00553984, 0.00553968", \
-            "0.00594138, 0.00594026, 0.00593931, 0.00593879, 0.00593859, 0.00593855", \
-            "0.0057227, 0.00572577, 0.00576586, 0.00575611, 0.00572844, 0.00572574" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302084", \
-            "0.00361677", \
-            "0.00398308", \
-            "0.00419798", \
-            "0.00431267", \
-            "0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434342", \
-            "0.00482999", \
-            "0.00510835", \
-            "0.00542427", \
-            "0.00593602", \
-            "0.00683618" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(!CK * !D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.208104, 0.237834, 0.298126, 0.422752, 0.692783, 1.29631", \
-            "0.212819, 0.242581, 0.30289, 0.427483, 0.697543, 1.30078", \
-            "0.223217, 0.252869, 0.313224, 0.43781, 0.707828, 1.31123", \
-            "0.239701, 0.269417, 0.329758, 0.454317, 0.724433, 1.32729", \
-            "0.255784, 0.285438, 0.345822, 0.470485, 0.740521, 1.34376", \
-            "0.26337, 0.293571, 0.35392, 0.478574, 0.748587, 1.35258" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.028121, 0.0446876, 0.0815323, 0.165808, 0.356945, 0.786837", \
-            "0.0281539, 0.0446548, 0.0815642, 0.165833, 0.356972, 0.786907", \
-            "0.028118, 0.044697, 0.081557, 0.165723, 0.356896, 0.786944", \
-            "0.0281222, 0.0446352, 0.0815582, 0.165779, 0.356974, 0.787057", \
-            "0.0280987, 0.0447032, 0.0815724, 0.165837, 0.356995, 0.786931", \
-            "0.028156, 0.0447117, 0.0815744, 0.165744, 0.35687, 0.786695" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.187762, 0.195128, 0.200354, 0.206308, 0.212193, 0.215439, 0.218573, 0.221687, 0.223814, 0.228058, 0.233539, 0.241289, 0.249281, 0.257212, 0.264617, 0.270235, 0.279323, 0.29144, 0.296112");
-            values ( \
-              "0.0188402, 0.0548808, 0.0927079, 0.12668, 0.149161, 0.155732, 0.158096, 0.156475, 0.152541, 0.13373, 0.0935989, 0.0552733, 0.0319165, 0.0182473, 0.0108969, 0.00745793, 0.00411907, 0.0020178, 0.00165344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.0581629, 0.103911, 0.138962, 0.16675, 0.19049, 0.204187, 0.228698, 0.236213, 0.243045, 0.249701, 0.256346, 0.2602, 0.271227, 0.283725, 0.294968, 0.309165, 0.323413, 0.338405");
-            values ( \
-              "0.00100085, 9.53108e-05, 0.00287187, 1e-22, 2e-22, 0.0347676, 0.182396, 0.209416, 0.220837, 0.222366, 0.212649, 0.199717, 0.139369, 0.08421, 0.0513675, 0.0264768, 0.01338, 0.00661318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0627311, 0.103601, 0.135557, 0.146067, 0.166157, 0.190502, 0.201528, 0.21292, 0.224005, 0.23039, 0.240124, 0.255417, 0.271886, 0.285222, 0.289995, 0.297547, 0.309711, 0.321873, 0.333127, 0.356779, 0.375958, 0.39243, 0.403127, 0.412537, 0.425084, 0.434848, 0.445684, 0.460132, 0.489028, 0.503134");
-            values ( \
-              "0.00141971, 0.000421024, 0.00623479, 0.00696415, 0.00567861, 1e-22, 2e-22, 0.00248112, 0.023229, 0.0439977, 0.0862957, 0.166297, 0.232434, 0.262316, 0.267856, 0.272986, 0.270909, 0.257203, 0.229152, 0.149845, 0.097628, 0.065221, 0.0493079, 0.0383419, 0.0271485, 0.0206576, 0.0152331, 0.0100798, 0.00420025, 0.00312713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.166004, 0.262028, 0.285611, 0.336958, 0.357924, 0.384592, 0.40956, 0.434331, 0.459093, 0.465509, 0.488382, 0.535663, 0.572179, 0.60529, 0.638703, 0.656696, 0.682054, 0.728637, 0.769047, 0.806494");
-            values ( \
-              "0.0137811, 0.0178939, 0.0646587, 0.219853, 0.262618, 0.293605, 0.30215, 0.297892, 0.280697, 0.273881, 0.240962, 0.159372, 0.106266, 0.0704982, 0.0452125, 0.0352921, 0.0246514, 0.0126447, 0.00690637, 0.00449012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.189822, 0.370658, 0.403128, 0.469629, 0.517504, 0.539937, 0.584804, 0.597196, 0.62198, 0.650846, 0.704827, 0.718207, 0.73248, 0.759856, 0.814607, 0.919023, 0.948542, 0.999036, 1.04208, 1.07153, 1.10952, 1.1394, 1.17789, 1.23183, 1.25594, 1.28871, 1.3324, 1.41977, 1.52063, 1.6215, 1.72236");
-            values ( \
-              "0.0110752, 0.0449686, 0.0885157, 0.194954, 0.254451, 0.274813, 0.301243, 0.305954, 0.31163, 0.314407, 0.308997, 0.305722, 0.301389, 0.290382, 0.256517, 0.170872, 0.148044, 0.113097, 0.0884272, 0.0740836, 0.0585358, 0.0484172, 0.0376789, 0.026294, 0.0223679, 0.0179254, 0.0132801, 0.00716125, 0.00345015, 0.00166491, 0.000792498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.231024, 0.566603, 0.638914, 0.78916, 0.890023, 0.94496, 1.04582, 1.12257, 1.18983, 1.29069, 1.34194, 1.41027, 1.47103, 1.56591, 1.8378, 1.93866, 2.07499, 2.1628, 2.27656, 2.33847, 2.49932, 2.72079, 3.02338, 3.08814");
-            values ( \
-              "0.0285866, 0.0415344, 0.084726, 0.196329, 0.25582, 0.279339, 0.306961, 0.316892, 0.319858, 0.315744, 0.310305, 0.299545, 0.2856, 0.256167, 0.154384, 0.121265, 0.0847729, 0.0663437, 0.0477282, 0.0396972, 0.0243172, 0.0121911, 0.00465713, 0.004178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.210697, 0.216873, 0.21999, 0.222901, 0.225019, 0.226078, 0.228196, 0.229838, 0.230712, 0.232169, 0.233546, 0.23418, 0.234602, 0.235869, 0.237112, 0.238354, 0.239394, 0.240435, 0.241475, 0.242515, 0.243407, 0.244121, 0.245311, 0.246712, 0.247161, 0.249832, 0.252458, 0.253095, 0.254586, 0.255778, 0.256861, 0.258918, 0.261618, 0.263526, 0.264534, 0.265542, 0.26655, 0.267558, 0.269575, 0.27126, 0.272946, 0.274127, 0.275899, 0.276489, 0.278459, 0.280428, 0.28188, 0.284785, 0.286237, 0.289045");
-            values ( \
-              "0.0760043, 0.0777315, 0.0981697, 0.115566, 0.126241, 0.131022, 0.139779, 0.14583, 0.148371, 0.151803, 0.154625, 0.155812, 0.156144, 0.156816, 0.156991, 0.156724, 0.156159, 0.155283, 0.154097, 0.1526, 0.149799, 0.146887, 0.14119, 0.133084, 0.130132, 0.110373, 0.0922514, 0.0889402, 0.079914, 0.0733602, 0.0681795, 0.0594389, 0.0496245, 0.0432137, 0.0401838, 0.0373817, 0.0349625, 0.0326677, 0.0284515, 0.0253907, 0.0225131, 0.0206057, 0.0180498, 0.0172791, 0.0151521, 0.0132534, 0.0120403, 0.00990499, 0.00898282, 0.00744889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.0772554, 0.11559, 0.158232, 0.186626, 0.209902, 0.222298, 0.247387, 0.257333, 0.261705, 0.268356, 0.275004, 0.27884, 0.294096, 0.30615, 0.326078, 0.339343, 0.352707");
-            values ( \
-              "0.00112215, 1e-22, 0.00282186, 1e-22, 2e-22, 0.032337, 0.182845, 0.214669, 0.220837, 0.222108, 0.212719, 0.199751, 0.118819, 0.071704, 0.0287897, 0.01523, 0.00830311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0815654, 0.124852, 0.161292, 0.186348, 0.209579, 0.225464, 0.236604, 0.24648, 0.251369, 0.274206, 0.290668, 0.304039, 0.316377, 0.328537, 0.343254, 0.352062, 0.375652, 0.394902, 0.410809, 0.431554, 0.453856, 0.478164, 0.505481");
-            values ( \
-              "0.00134077, 0.000621843, 0.0069726, 0.00548282, 1e-22, 2e-22, 0.00947703, 0.0340845, 0.0526309, 0.16586, 0.232112, 0.262542, 0.27315, 0.27134, 0.25203, 0.22882, 0.149655, 0.0973184, 0.0659377, 0.0381193, 0.020536, 0.0103067, 0.0045597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.186341, 0.280695, 0.292176, 0.307153, 0.344051, 0.360459, 0.376636, 0.403358, 0.428294, 0.453069, 0.477833, 0.484242, 0.507123, 0.554405, 0.590921, 0.624029, 0.657446, 0.700797, 0.747377, 0.787784, 0.815422");
-            values ( \
-              "0.0144267, 0.0177679, 0.0360742, 0.0722733, 0.18799, 0.231015, 0.262618, 0.29336, 0.302274, 0.297689, 0.28085, 0.273894, 0.240974, 0.159372, 0.106269, 0.0705012, 0.0452104, 0.0246502, 0.0126458, 0.00690625, 0.00512331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.210575, 0.391522, 0.489099, 0.53682, 0.559406, 0.604578, 0.64085, 0.670319, 0.724282, 0.779323, 0.835698, 0.947777, 1.02188, 1.08402, 1.16397, 1.2567, 1.31367, 1.38964, 1.48475");
-            values ( \
-              "0.0102601, 0.0465035, 0.194966, 0.254274, 0.274822, 0.301356, 0.31152, 0.314422, 0.308998, 0.290385, 0.255331, 0.163544, 0.111067, 0.0773345, 0.0468353, 0.0253509, 0.0172412, 0.0101579, 0.00520593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.0929196, 0.115799, 0.130659, 0.187141, 0.22599, 0.291701, 0.419491, 0.452923, 0.516048, 0.616911, 0.808157, 0.906234, 0.963994, 1.06486, 1.1417, 1.20891, 1.30977, 1.36105, 1.49003, 1.57084, 1.96392, 2.17623, 2.30348, 2.48334, 2.6377, 2.73857, 2.93444");
-            values ( \
-              "0.00101493, 0.00099023, 0.00292435, 0.0326189, 0.0385618, 0.0337991, 0.0161602, 0.0139625, 0.0186187, 0.0577119, 0.195968, 0.254435, 0.279079, 0.30703, 0.316989, 0.319691, 0.315861, 0.310416, 0.285503, 0.261068, 0.119313, 0.0673419, 0.0465726, 0.0270164, 0.01672, 0.0122691, 0.00731298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.246099, 0.266156, 0.270958, 0.275295, 0.279467, 0.283639, 0.286102, 0.29608, 0.30306, 0.30869, 0.317399, 0.329151, 0.345353, 0.35642");
-            values ( \
-              "0.00271043, 0.126718, 0.14636, 0.155855, 0.158426, 0.152921, 0.143506, 0.0781426, 0.0482473, 0.0328573, 0.0178467, 0.0079873, 0.00284657, 0.00162858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.119323, 0.163156, 0.197935, 0.248145, 0.263414, 0.294259, 0.302218, 0.308872, 0.319299, 0.346616, 0.366543, 0.379809, 0.397019");
-            values ( \
-              "0.000973132, 0.000122068, 0.0028801, 1e-22, 0.0344034, 0.205936, 0.220636, 0.221966, 0.199953, 0.0718807, 0.0288724, 0.0152749, 0.00699835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.123643, 0.163691, 0.205724, 0.225478, 0.248617, 0.271442, 0.282674, 0.290113, 0.315472, 0.331926, 0.345293, 0.357629, 0.369787, 0.384508, 0.436172, 0.451999, 0.472833, 0.495134, 0.519303, 0.532834");
-            values ( \
-              "0.00126752, 0.000457677, 0.00699552, 0.00576916, 0.000167321, 0.00089619, 0.0196716, 0.0427637, 0.166132, 0.232307, 0.262495, 0.27327, 0.271265, 0.252028, 0.0972725, 0.0660397, 0.0380872, 0.0205182, 0.0103404, 0.0074793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.225585, 0.323631, 0.3397, 0.385595, 0.408201, 0.418237, 0.43831, 0.444866, 0.457662, 0.469774, 0.49506, 0.52023, 0.548585, 0.596315, 0.63264, 0.664261, 0.699623, 0.742553, 0.78786, 0.82708, 0.846727");
-            values ( \
-              "0.0118692, 0.0196318, 0.0490297, 0.188221, 0.244058, 0.262935, 0.287529, 0.293139, 0.29918, 0.301962, 0.297148, 0.279527, 0.240936, 0.158687, 0.106005, 0.0716716, 0.0447958, 0.0245646, 0.0128368, 0.00714593, 0.0058325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.131383, 0.168578, 0.210527, 0.237989, 0.258836, 0.352095, 0.369195, 0.391646, 0.420879, 0.458611, 0.529348, 0.576787, 0.599658, 0.645399, 0.680009, 0.710589, 0.770066, 0.829648, 0.880862, 1.06028, 1.12439, 1.20292, 1.24003, 1.31569, 1.36125, 1.45236, 1.51329");
-            values ( \
-              "0.00112516, 0.00202233, 0.020755, 0.0268728, 0.0261736, 0.0106287, 0.0101745, 0.0157012, 0.0353155, 0.0819896, 0.194638, 0.254133, 0.274558, 0.30176, 0.311489, 0.314243, 0.307731, 0.285261, 0.251226, 0.11219, 0.0772415, 0.0472146, 0.0370811, 0.0223538, 0.0163833, 0.0086091, 0.00591862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.29913, 0.428551, 0.478501, 0.524044, 0.597923, 0.698786, 0.848763, 0.949625, 1.00474, 1.10561, 1.13124, 1.18251, 1.24969, 1.35055, 1.40184, 1.47023, 1.53076, 1.62579, 1.88834, 2.03237, 2.17464, 2.27586, 2.38644, 2.5551, 2.69779, 2.79865, 3.10124, 3.14942");
-            values ( \
-              "0.0960007, 0.0198397, 0.014637, 0.0144688, 0.0298388, 0.0845577, 0.195875, 0.255561, 0.279121, 0.306897, 0.311198, 0.316881, 0.319758, 0.315767, 0.310325, 0.299471, 0.285595, 0.256135, 0.157689, 0.111266, 0.0759433, 0.0569178, 0.041089, 0.0245753, 0.0158688, 0.0114636, 0.00444676, 0.00410025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.330825, 0.333965, 0.338538, 0.350804, 0.355606, 0.359944, 0.364116, 0.368289, 0.370755, 0.380736, 0.38772, 0.393311, 0.402128, 0.413927, 0.42864, 0.440768");
-            values ( \
-              "0.0144707, 0.0238493, 0.0485301, 0.126645, 0.146358, 0.155787, 0.158458, 0.15285, 0.143485, 0.0781142, 0.0482186, 0.0329216, 0.0177532, 0.00791429, 0.00309585, 0.00160314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.340891, 0.350149, 0.353191, 0.363649, 0.369856, 0.372936, 0.379095, 0.383059, 0.38724, 0.393888, 0.400534, 0.404394, 0.408065, 0.415407, 0.42243, 0.427959, 0.432992, 0.4391, 0.445686, 0.453427, 0.45822, 0.464975, 0.472865, 0.483384, 0.504423, 0.529419, 0.561409");
-            values ( \
-              "0.018609, 0.0450174, 0.0613631, 0.131977, 0.168313, 0.182971, 0.205995, 0.215298, 0.220797, 0.222294, 0.212624, 0.199671, 0.181795, 0.139418, 0.106085, 0.0840516, 0.0676964, 0.0515035, 0.0379994, 0.0263849, 0.0210109, 0.0151455, 0.0103686, 0.00623816, 0.00210536, 0.000624762, 0.000113634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.204091, 0.251211, 0.289548, 0.308632, 0.342501, 0.354681, 0.366338, 0.374766, 0.405694, 0.416694, 0.430065, 0.442402, 0.454561, 0.46781, 0.520934, 0.536805, 0.55759, 0.579891, 0.604136, 0.618141");
-            values ( \
-              "0.000521418, 0.000604387, 0.00704595, 0.00596592, 1e-22, 2e-22, 0.0170789, 0.0424476, 0.191449, 0.23206, 0.262675, 0.273097, 0.271433, 0.255024, 0.0973031, 0.0659827, 0.0381063, 0.0205294, 0.010321, 0.00736899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.308619, 0.407717, 0.414189, 0.422535, 0.437829, 0.469821, 0.491447, 0.502445, 0.524441, 0.538402, 0.553999, 0.578766, 0.603575, 0.610014, 0.617373, 0.632878, 0.6623, 0.693652, 0.716676, 0.735506, 0.749816, 0.768212, 0.783194, 0.801193, 0.826556, 0.852949, 0.873168, 0.913605, 0.990695, 1.08202");
-            values ( \
-              "0.0117007, 0.0194144, 0.0292542, 0.0457916, 0.0865402, 0.187911, 0.242427, 0.26263, 0.289545, 0.298114, 0.301837, 0.297421, 0.280748, 0.273754, 0.264499, 0.240915, 0.189061, 0.138185, 0.106275, 0.0845301, 0.0704791, 0.055322, 0.0452206, 0.0352972, 0.0246525, 0.016926, 0.0126409, 0.00690066, 0.00193151, 0.0003759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.337311, 0.518357, 0.614929, 0.662578, 0.685233, 0.730542, 0.766407, 0.796147, 0.850102, 0.90513, 0.961499, 1.07348, 1.14768, 1.20988, 1.28977, 1.3825, 1.43955, 1.51562, 1.61368");
-            values ( \
-              "0.00966896, 0.0476199, 0.194968, 0.254206, 0.274818, 0.301417, 0.311479, 0.314421, 0.309003, 0.2904, 0.25535, 0.163646, 0.111086, 0.0773176, 0.046845, 0.0253568, 0.0172364, 0.0101452, 0.0050482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.376119, 0.731251, 0.788731, 0.93358, 0.97578, 1.04394, 1.0889, 1.15839, 1.21518, 1.31605, 1.33389, 1.36957, 1.44094, 1.47346, 1.51682, 1.57446, 1.67532, 1.70998, 1.95179, 2.1085, 2.16973, 2.24091, 2.33463, 2.41913, 2.49877, 2.59963, 2.73945, 2.83312, 2.93398, 3.13571, 3.33743, 3.64002");
-            values ( \
-              "0.0205157, 0.0515523, 0.0884062, 0.196077, 0.223826, 0.260262, 0.279124, 0.299924, 0.311021, 0.31941, 0.319843, 0.31924, 0.315251, 0.311885, 0.305846, 0.295302, 0.267798, 0.256154, 0.165183, 0.113604, 0.0968511, 0.0798717, 0.0612401, 0.0478928, 0.0378031, 0.0277711, 0.0180487, 0.0134802, 0.00977784, 0.00513927, 0.00268891, 0.00103349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.49724, 0.504086, 0.505042, 0.511629, 0.515464, 0.517572, 0.521787, 0.523534, 0.525863, 0.5267, 0.528373, 0.530867, 0.535022, 0.536901, 0.53884, 0.54461, 0.547745, 0.552329, 0.556026, 0.558886, 0.564076, 0.566563, 0.570015, 0.573763, 0.579211, 0.581769, 0.585357, 0.590141, 0.59971, 0.61737, 0.638981, 0.665591");
-            values ( \
-              "0.0011859, 0.0429655, 0.0463949, 0.0926894, 0.115828, 0.126639, 0.144277, 0.149333, 0.154491, 0.155636, 0.157573, 0.158016, 0.153034, 0.14627, 0.136036, 0.0945777, 0.0768117, 0.056123, 0.0435766, 0.0357073, 0.0247777, 0.0208575, 0.0164114, 0.0126619, 0.00872044, 0.00736693, 0.00583672, 0.00430339, 0.00237433, 0.000821174, 0.000279142, 9.03877e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.353346, 0.415009, 0.449612, 0.47685, 0.499825, 0.515058, 0.539874, 0.547403, 0.554233, 0.5595, 0.567638, 0.597179, 0.614869, 0.625454, 0.640435, 0.643685");
-            values ( \
-              "0.000103759, 0.000330888, 0.00286325, 1e-22, 2e-22, 0.0327498, 0.181934, 0.20927, 0.220814, 0.222304, 0.21213, 0.0762917, 0.0343424, 0.0207375, 0.010075, 0.00886374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.357076, 0.420017, 0.454094, 0.481301, 0.506387, 0.520088, 0.531754, 0.53966, 0.550231, 0.566648, 0.583109, 0.596501, 0.608827, 0.620988, 0.635705, 0.644508, 0.668102, 0.687348, 0.703289, 0.723996, 0.736952, 0.746298, 0.770665, 0.798513, 0.807493");
-            values ( \
-              "0.000167537, 0.00102895, 0.00703292, 0.00509028, 1e-22, 2e-22, 0.0149389, 0.0367542, 0.0813135, 0.165938, 0.232077, 0.262485, 0.273136, 0.271293, 0.252015, 0.228832, 0.149664, 0.0973359, 0.0658942, 0.0381324, 0.0266827, 0.0205433, 0.0102928, 0.00444632, 0.00372141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.481541, 0.575675, 0.583642, 0.599575, 0.636651, 0.654345, 0.669233, 0.695957, 0.720895, 0.745671, 0.770436, 0.776843, 0.799725, 0.847009, 0.883525, 0.91663, 0.95005, 0.968042, 0.9934, 1.01977, 1.03998, 1.08038, 1.11974");
-            values ( \
-              "0.0121238, 0.0210096, 0.0339731, 0.071801, 0.188003, 0.233944, 0.262601, 0.293356, 0.302245, 0.297698, 0.28083, 0.273892, 0.240972, 0.15937, 0.106268, 0.0705026, 0.0452099, 0.0352924, 0.0246502, 0.0169288, 0.0126462, 0.0069068, 0.00436772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.502149, 0.675179, 0.714065, 0.780813, 0.828469, 0.851154, 0.896522, 0.932242, 0.962083, 1.01604, 1.04183, 1.07105, 1.12742, 1.23933, 1.31361, 1.37584, 1.45569, 1.50571, 1.54842, 1.60553, 1.68167, 1.78253, 1.83875");
-            values ( \
-              "0.017183, 0.0380159, 0.0879657, 0.194753, 0.254132, 0.274706, 0.301436, 0.31147, 0.314369, 0.308989, 0.302015, 0.290403, 0.255364, 0.163714, 0.111096, 0.077308, 0.0468497, 0.033755, 0.0253589, 0.017231, 0.0101383, 0.00489741, 0.00384652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.378477, 0.41313, 0.423161, 0.469921, 0.50234, 0.52912, 0.567808, 0.689639, 0.745465, 0.8199, 0.920762, 1.10042, 1.19828, 1.25627, 1.35714, 1.43402, 1.50121, 1.60207, 1.65336, 1.78229, 1.87731, 2.26132, 2.45496, 2.61131, 2.71218, 2.8243, 2.98065, 3.08151, 3.25221");
-            values ( \
-              "0.000419388, 0.00127092, 0.00318735, 0.0286887, 0.0377986, 0.0382611, 0.0355442, 0.0186621, 0.0139306, 0.0210343, 0.0646589, 0.195932, 0.25423, 0.279079, 0.306966, 0.316936, 0.31972, 0.315816, 0.31037, 0.285551, 0.256093, 0.117832, 0.0700338, 0.0445213, 0.0328677, 0.0233202, 0.0143282, 0.0104071, 0.00678165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.839089, 0.846743, 0.851995, 0.857961, 0.863823, 0.867111, 0.870285, 0.873318, 0.875498, 0.879745, 0.885225, 0.893001, 0.900939, 0.905435, 0.911413, 0.916399, 0.924913, 0.930878, 0.942809, 0.953026");
-            values ( \
-              "0.0145222, 0.054785, 0.0925413, 0.126389, 0.148773, 0.155469, 0.157904, 0.156378, 0.152384, 0.13367, 0.0936181, 0.0551493, 0.031959, 0.0232642, 0.0154104, 0.0108631, 0.00621693, 0.00419053, 0.00202773, 0.00125128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.665434, 0.740503, 0.756669, 0.77187, 0.787738, 0.790958, 0.811222, 0.820516, 0.843611, 0.855997, 0.861812, 0.869719, 0.875366, 0.880947, 0.88847, 0.895308, 0.901968, 0.908617, 0.912441, 0.923561, 0.927688, 0.934458, 0.939749, 0.948145, 0.954069, 0.959667, 0.967132, 0.97292, 0.979579, 0.988457, 0.995661");
-            values ( \
-              "2.76055e-05, 0.000157781, 0.000431912, 0.0018049, 0.00272097, 0.00269033, 0.000635834, 1e-22, 2e-22, 0.0332653, 0.0647109, 0.119101, 0.15376, 0.182282, 0.209133, 0.220747, 0.222174, 0.212632, 0.199789, 0.139019, 0.118925, 0.0900037, 0.0717539, 0.0493087, 0.0374922, 0.0288291, 0.0201169, 0.0152628, 0.0110981, 0.0071968, 0.00548951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.673672, 0.752181, 0.76189, 0.795211, 0.813969, 0.847312, 0.860228, 0.871921, 0.880979, 0.889662, 0.913408, 0.924066, 0.937444, 0.949785, 0.961947, 0.974097, 0.976658, 0.985439, 1.00904, 1.02826, 1.04431, 1.05523, 1.06486, 1.0777, 1.08713, 1.09762, 1.1116, 1.13957, 1.15313");
-            values ( \
-              "5.39083e-05, 0.000584645, 0.00152272, 0.00683144, 0.00611498, 1e-22, 2e-22, 0.0134828, 0.0382873, 0.0737133, 0.192587, 0.232007, 0.262393, 0.273141, 0.271259, 0.257171, 0.252044, 0.228943, 0.149756, 0.0974553, 0.0657982, 0.0494683, 0.0382408, 0.0268497, 0.0206273, 0.0153614, 0.0103044, 0.00443355, 0.00334296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.823593, 0.919782, 0.932311, 0.977916, 0.999944, 1.01055, 1.03176, 1.04805, 1.06209, 1.08683, 1.11444, 1.14096, 1.18818, 1.21622, 1.26529, 1.30063, 1.32567, 1.35656, 1.37737, 1.41899, 1.42758");
-            values ( \
-              "0.00863009, 0.0252012, 0.0497161, 0.188009, 0.243133, 0.26273, 0.288785, 0.298711, 0.301861, 0.297435, 0.277829, 0.240983, 0.159514, 0.117549, 0.064772, 0.0403043, 0.0283656, 0.0183006, 0.0135413, 0.00727357, 0.0066839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.691627, 0.742848, 0.752049, 0.75689, 0.766981, 0.795021, 0.806121, 0.813935, 0.823243, 0.831888, 0.84771, 0.860167, 0.93342, 0.946126, 0.956326, 0.973231, 1.00093, 1.03638, 1.06202, 1.12175, 1.16847, 1.19201, 1.21561, 1.23241, 1.24899, 1.26874, 1.30279, 1.35034, 1.35631, 1.36826, 1.38946, 1.41188, 1.45673, 1.50575, 1.58333, 1.6289, 1.65752, 1.7015, 1.75177, 1.76745, 1.79362, 1.82354, 1.88256, 1.91992, 1.94436, 1.99322, 2.09094, 2.19181, 2.29267, 2.39353");
-            values ( \
-              "0.000188795, 0.000713831, 0.00107553, 0.00159118, 0.00443667, 0.0175221, 0.0217704, 0.0241066, 0.0259285, 0.0267713, 0.0263729, 0.0248413, 0.0120206, 0.0104566, 0.010021, 0.01209, 0.0253217, 0.0613536, 0.0990293, 0.19478, 0.253626, 0.274611, 0.290864, 0.298349, 0.304733, 0.309958, 0.313724, 0.309906, 0.308704, 0.305922, 0.299435, 0.290185, 0.263554, 0.224939, 0.161201, 0.12762, 0.109217, 0.0845881, 0.0622084, 0.0563154, 0.0477204, 0.0392672, 0.0265023, 0.020594, 0.0174762, 0.0124695, 0.0062201, 0.00297992, 0.00145104, 0.000676898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.698609, 0.74376, 0.762734, 0.814947, 0.848934, 0.881457, 0.927429, 1.06023, 1.08954, 1.13703, 1.2149, 1.25909, 1.44308, 1.54394, 1.59859, 1.69945, 1.77597, 1.84335, 1.94421, 1.9954, 2.06365, 2.12473, 2.21939, 2.44483, 2.61424, 2.78157, 2.86961, 2.97986, 3.16879, 3.39174, 3.65818");
-            values ( \
-              "0.000202509, 0.000745531, 0.00290522, 0.0301508, 0.0380893, 0.0376534, 0.0335884, 0.015567, 0.0138793, 0.0165765, 0.0393797, 0.0627997, 0.19683, 0.256366, 0.279489, 0.307214, 0.31707, 0.319835, 0.315872, 0.310421, 0.299504, 0.285483, 0.256108, 0.171164, 0.114652, 0.0731273, 0.0568911, 0.0411228, 0.0231262, 0.0115244, 0.0052474" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0032126, 0.00321265, 0.00321271, 0.00321277, 0.0032128, 0.00321282", \
-            "0.00417711, 0.0041771, 0.00417711, 0.00417712, 0.00417714, 0.00417715", \
-            "0.00481238, 0.00481235, 0.00481232, 0.0048123, 0.00481229, 0.00481229", \
-            "0.00521524, 0.00521522, 0.00521518, 0.00521515, 0.00521513, 0.00521512", \
-            "0.00547365, 0.00547364, 0.00547362, 0.00547359, 0.00547356, 0.00547354", \
-            "0.0056445, 0.00564421, 0.0056442, 0.00564417, 0.00564414, 0.00564412" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00506389, 0.00506374, 0.00506362, 0.00506355, 0.00506353, 0.00506351", \
-            "0.00542603, 0.00542587, 0.00542567, 0.00542552, 0.00542542, 0.00542537", \
-            "0.00528319, 0.00528305, 0.00528281, 0.00528255, 0.00528235, 0.00528224", \
-            "0.0055477, 0.00554782, 0.00554785, 0.00554772, 0.00554751, 0.00554734", \
-            "0.00595151, 0.00595029, 0.00594922, 0.00594862, 0.00594837, 0.0059483", \
-            "0.00571766, 0.00572235, 0.00576231, 0.00575347, 0.00572526, 0.00572235" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302084", \
-            "0.00361677", \
-            "0.00398308", \
-            "0.00419798", \
-            "0.00431267", \
-            "0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434342", \
-            "0.00482999", \
-            "0.00510835", \
-            "0.00542427", \
-            "0.00593602", \
-            "0.00683618" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.208571, 0.238367, 0.29861, 0.4233, 0.693218, 1.29604", \
-            "0.213306, 0.243125, 0.30341, 0.427946, 0.697975, 1.30099", \
-            "0.223665, 0.253455, 0.313701, 0.4384, 0.708326, 1.31102", \
-            "0.24026, 0.270009, 0.330306, 0.454869, 0.725022, 1.32852", \
-            "0.256586, 0.286332, 0.346598, 0.471244, 0.741421, 1.34428", \
-            "0.264854, 0.294682, 0.355017, 0.47976, 0.749909, 1.35341" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0281466, 0.0446411, 0.0815342, 0.165841, 0.356954, 0.786684", \
-            "0.0281379, 0.0446458, 0.0815691, 0.165776, 0.356953, 0.786967", \
-            "0.0281462, 0.0446411, 0.0815342, 0.165841, 0.356959, 0.786886", \
-            "0.0281572, 0.0446373, 0.0815283, 0.165815, 0.35714, 0.786883", \
-            "0.0281346, 0.0446606, 0.0815608, 0.165719, 0.35705, 0.787038", \
-            "0.0281812, 0.0446878, 0.0815513, 0.165678, 0.357032, 0.786914" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.186212, 0.190781, 0.194348, 0.206712, 0.211525, 0.215869, 0.220045, 0.224221, 0.226625, 0.236556, 0.243319, 0.250217, 0.258559, 0.268572, 0.285039, 0.297564");
-            values ( \
-              "0.0126672, 0.0282359, 0.0471222, 0.126418, 0.145995, 0.155729, 0.158253, 0.152871, 0.143753, 0.0787331, 0.0493399, 0.0307658, 0.0171357, 0.00858921, 0.00298708, 0.00150981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.197828, 0.206306, 0.219714, 0.228986, 0.236482, 0.243305, 0.249954, 0.256593, 0.260523, 0.274375, 0.28461, 0.29377, 0.303851, 0.313371, 0.329744, 0.341988, 0.353853");
-            values ( \
-              "0.0235374, 0.0459423, 0.132421, 0.183323, 0.209584, 0.221285, 0.222323, 0.212924, 0.19947, 0.125228, 0.0819222, 0.0548505, 0.0344493, 0.0219036, 0.00997631, 0.00545939, 0.00346397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0627478, 0.103239, 0.135678, 0.145983, 0.162305, 0.173242, 0.185586, 0.19912, 0.212687, 0.224012, 0.230918, 0.240708, 0.255912, 0.272389, 0.285726, 0.290676, 0.298052, 0.310217, 0.322379, 0.324918, 0.333621, 0.357277, 0.376449, 0.392977, 0.403643, 0.413022, 0.425527, 0.435335, 0.446214, 0.460719, 0.48973, 0.499995");
-            values ( \
-              "0.001415, 0.00037663, 0.00617573, 0.00693754, 0.00613876, 0.00454958, 0.00135199, 1e-22, 0.00156626, 0.0218252, 0.0441206, 0.0867889, 0.166082, 0.232288, 0.262201, 0.268085, 0.272889, 0.270982, 0.257126, 0.251997, 0.229191, 0.14987, 0.0976607, 0.0651493, 0.0492928, 0.0383618, 0.027193, 0.0206672, 0.0152218, 0.0100542, 0.00417426, 0.00339804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.162656, 0.260643, 0.277789, 0.325975, 0.358588, 0.385307, 0.410247, 0.435023, 0.466191, 0.489076, 0.536365, 0.57288, 0.605984, 0.639411, 0.682765, 0.729355, 0.769773, 0.797985");
-            values ( \
-              "0.0149594, 0.0153765, 0.0436936, 0.187518, 0.262359, 0.293566, 0.302031, 0.297905, 0.273878, 0.240956, 0.159359, 0.106259, 0.0704992, 0.0452035, 0.0246458, 0.0126418, 0.00690337, 0.00508361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.187893, 0.36205, 0.517534, 0.539813, 0.584371, 0.622495, 0.650736, 0.705655, 0.759751, 0.812703, 1.00327, 1.06325, 1.14536, 1.23806, 1.34226, 1.44315, 1.44323");
-            values ( \
-              "0.0177139, 0.036326, 0.254551, 0.2747, 0.301093, 0.311728, 0.314349, 0.308767, 0.290359, 0.257837, 0.110502, 0.0778497, 0.0465632, 0.0252118, 0.0123469, 0.00601416, 0.00601178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.233847, 0.559269, 0.660163, 0.762345, 0.789167, 0.84281, 0.943704, 0.988703, 1.03177, 1.07113, 1.1321, 1.18965, 1.29054, 1.34187, 1.4103, 1.43043, 1.47069, 1.55119, 1.56583, 1.78058, 1.84574, 1.94663, 1.99616, 2.0667, 2.16074, 2.2179, 2.28126, 2.36123, 2.46213, 2.51756, 2.61845, 2.71934, 2.82024, 2.92113, 3.02202, 3.12292, 3.22381, 3.3247, 3.52649");
-            values ( \
-              "0.0322223, 0.0379946, 0.0995034, 0.176867, 0.195783, 0.230381, 0.278683, 0.293382, 0.303929, 0.310901, 0.317464, 0.319572, 0.315723, 0.310297, 0.29938, 0.295218, 0.285555, 0.261163, 0.256079, 0.175114, 0.151615, 0.118796, 0.104647, 0.0867109, 0.0666814, 0.0566043, 0.0470369, 0.037052, 0.0272317, 0.0229769, 0.0167715, 0.0122181, 0.0088709, 0.00644187, 0.00466189, 0.00338181, 0.00244116, 0.00177196, 0.000928102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.205055, 0.213033, 0.225479, 0.23132, 0.234622, 0.237807, 0.240793, 0.243002, 0.247245, 0.252738, 0.259548, 0.265832, 0.276116, 0.28726, 0.304392, 0.306631");
-            values ( \
-              "0.0126188, 0.0468647, 0.126369, 0.148802, 0.155532, 0.158102, 0.156599, 0.152411, 0.133813, 0.0936367, 0.0589264, 0.0383121, 0.0186984, 0.00865021, 0.00288303, 0.00262935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.21728, 0.224961, 0.242029, 0.247699, 0.255194, 0.262018, 0.268668, 0.275307, 0.279223, 0.293083, 0.303205, 0.312614, 0.323926, 0.331842, 0.33914, 0.348698, 0.361441, 0.375209");
-            values ( \
-              "0.0311304, 0.0455604, 0.154156, 0.183092, 0.209703, 0.221119, 0.222444, 0.21279, 0.199523, 0.125265, 0.082348, 0.054531, 0.0322865, 0.0221554, 0.0156006, 0.00985791, 0.00525651, 0.00303271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0816163, 0.124584, 0.165148, 0.182046, 0.20559, 0.219527, 0.232495, 0.244012, 0.249469, 0.27479, 0.291257, 0.304633, 0.316972, 0.329132, 0.343849, 0.395494, 0.411414, 0.432144, 0.454448, 0.478786, 0.492355");
-            values ( \
-              "0.00132921, 0.000555293, 0.00692857, 0.00605835, 0.000999257, 1e-22, 0.00262795, 0.0248273, 0.0428363, 0.165876, 0.23216, 0.262365, 0.273256, 0.27122, 0.25204, 0.0973226, 0.0659208, 0.0381205, 0.0205345, 0.0102989, 0.00744658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.181705, 0.27925, 0.296215, 0.310148, 0.344578, 0.365954, 0.377167, 0.399595, 0.412269, 0.428723, 0.453502, 0.484697, 0.507595, 0.568416, 0.591408, 0.624515, 0.65794, 0.701295, 0.747888, 0.788309, 0.818939");
-            values ( \
-              "0.0150932, 0.0153798, 0.0433031, 0.0793986, 0.187999, 0.242082, 0.262607, 0.290009, 0.297836, 0.301817, 0.297496, 0.273805, 0.240926, 0.138116, 0.106259, 0.0704967, 0.0452034, 0.0246452, 0.0126417, 0.00690245, 0.0049272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.207208, 0.381329, 0.42077, 0.488711, 0.536873, 0.559052, 0.603411, 0.616316, 0.642126, 0.669973, 0.724924, 0.738114, 0.753188, 0.778987, 0.830586, 0.86024, 0.949105, 1.00403, 1.02596, 1.06184, 1.10284, 1.13776, 1.17842, 1.23263, 1.2634, 1.29486, 1.33681, 1.4207, 1.5216, 1.62249, 1.72338");
-            values ( \
-              "0.0177202, 0.0363504, 0.0860918, 0.194728, 0.254674, 0.274689, 0.301026, 0.305857, 0.311806, 0.314332, 0.308759, 0.305485, 0.300853, 0.290356, 0.25882, 0.235467, 0.162067, 0.122322, 0.108215, 0.0881134, 0.0686542, 0.0551954, 0.04252, 0.0297459, 0.0241516, 0.019538, 0.0147181, 0.00810812, 0.00395611, 0.00186308, 0.000932646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.0927514, 0.115292, 0.131426, 0.181142, 0.219949, 0.27502, 0.44244, 0.494434, 0.579014, 0.890884, 0.964062, 1.06496, 1.14173, 1.209, 1.30989, 1.3611, 1.49042, 1.57181, 1.97715, 2.12163, 2.28829, 2.47093, 2.56415, 2.72093, 2.9336");
-            values ( \
-              "0.00100523, 0.000959484, 0.00306146, 0.0300776, 0.0384738, 0.0356745, 0.0144415, 0.0153449, 0.0382532, 0.246474, 0.27898, 0.307013, 0.316973, 0.319649, 0.315864, 0.310426, 0.285408, 0.260779, 0.115415, 0.078563, 0.0487975, 0.0281533, 0.021073, 0.0128925, 0.0073358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.246094, 0.250619, 0.254374, 0.266604, 0.271416, 0.27576, 0.279936, 0.284112, 0.286517, 0.296449, 0.303223, 0.310073, 0.318469, 0.328484, 0.344811, 0.357091");
-            values ( \
-              "0.0126687, 0.0279776, 0.0480282, 0.126424, 0.146001, 0.155731, 0.158255, 0.152871, 0.143746, 0.0787192, 0.0492958, 0.0308394, 0.0171124, 0.00857685, 0.00300974, 0.00154914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.257702, 0.266188, 0.279595, 0.288867, 0.296362, 0.303185, 0.309835, 0.316473, 0.320404, 0.334256, 0.344492, 0.35365, 0.363731, 0.373253, 0.389624, 0.401863, 0.413735");
-            values ( \
-              "0.0234607, 0.0459508, 0.132428, 0.183326, 0.209582, 0.221286, 0.222321, 0.212925, 0.199469, 0.125227, 0.0819189, 0.0548526, 0.0344495, 0.0219019, 0.00997727, 0.00546087, 0.00346373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.123458, 0.162984, 0.195549, 0.205852, 0.222184, 0.233105, 0.245458, 0.258965, 0.27255, 0.283867, 0.290793, 0.300576, 0.315786, 0.332263, 0.3456, 0.350542, 0.357926, 0.370091, 0.382253, 0.384792, 0.393495, 0.417151, 0.436323, 0.45285, 0.463516, 0.472896, 0.485402, 0.495209, 0.506088, 0.520592, 0.549601, 0.560573");
-            values ( \
-              "0.00125824, 0.000403769, 0.00619675, 0.00693217, 0.00613123, 0.00455979, 0.00134578, 1e-22, 0.00154603, 0.0217833, 0.044121, 0.0867614, 0.166084, 0.23229, 0.262203, 0.268076, 0.272891, 0.27098, 0.257127, 0.251996, 0.229191, 0.14987, 0.0976608, 0.0651498, 0.0492933, 0.0383618, 0.0271924, 0.0206674, 0.0152222, 0.0100543, 0.00417475, 0.003345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.222516, 0.320529, 0.337712, 0.385868, 0.418479, 0.445199, 0.470138, 0.494914, 0.526083, 0.548968, 0.596256, 0.632772, 0.665875, 0.699303, 0.742657, 0.789247, 0.829664, 0.857914");
-            values ( \
-              "0.0149574, 0.0153707, 0.0437607, 0.187529, 0.26236, 0.293558, 0.302035, 0.297899, 0.273878, 0.240956, 0.159358, 0.106259, 0.0704992, 0.0452037, 0.024646, 0.0126417, 0.00690358, 0.00508124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.248348, 0.422437, 0.461966, 0.529909, 0.578025, 0.600251, 0.644701, 0.657516, 0.683145, 0.711172, 0.765177, 0.794075, 0.820187, 0.872412, 0.997622, 1.06847, 1.12768, 1.20271, 1.24012, 1.29323, 1.32654, 1.38804, 1.47002, 1.57092, 1.60181");
-            values ( \
-              "0.0177686, 0.0362739, 0.086073, 0.194733, 0.254614, 0.274695, 0.301054, 0.305867, 0.311767, 0.314342, 0.308968, 0.300959, 0.290358, 0.258366, 0.156376, 0.107418, 0.0759263, 0.0475017, 0.0371647, 0.0261253, 0.0208387, 0.0136976, 0.0077191, 0.00369736, 0.00325963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.132499, 0.166852, 0.220503, 0.259546, 0.314627, 0.481777, 0.533464, 0.617854, 0.718748, 0.900867, 1.00371, 1.06119, 1.13005, 1.17711, 1.24838, 1.29951, 1.36635, 1.46725, 1.533, 1.62428, 2.00656, 2.12298, 2.21404, 2.34621, 2.52513, 2.67754, 2.77843, 3.06585");
-            values ( \
-              "0.000986393, 0.0019346, 0.0300055, 0.0384734, 0.0356779, 0.0144618, 0.015278, 0.0379418, 0.0994235, 0.230004, 0.279329, 0.29717, 0.311031, 0.316441, 0.319616, 0.318688, 0.313978, 0.299795, 0.28467, 0.256195, 0.118518, 0.0872914, 0.0677888, 0.0462406, 0.026929, 0.016799, 0.0121769, 0.00507234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.329934, 0.334163, 0.33613, 0.339929, 0.345567, 0.351546, 0.356364, 0.36071, 0.364887, 0.369064, 0.371448, 0.378141, 0.381364, 0.387977, 0.392398, 0.395373, 0.399345, 0.403214, 0.408372, 0.41321, 0.420884, 0.431114, 0.440931");
-            values ( \
-              "0.0109239, 0.0208644, 0.0308185, 0.0517988, 0.0920759, 0.126331, 0.145841, 0.155712, 0.158164, 0.152879, 0.143829, 0.0975339, 0.0789254, 0.0499863, 0.0370015, 0.0301085, 0.0227454, 0.0173709, 0.0121587, 0.00871279, 0.00525951, 0.00276258, 0.00170154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.199502, 0.248663, 0.281719, 0.312915, 0.336861, 0.347605, 0.349902, 0.373692, 0.379958, 0.383609, 0.387999, 0.394648, 0.401419, 0.405155, 0.416165, 0.428721, 0.43985, 0.450996, 0.460578, 0.466128, 0.479171, 0.493645");
-            values ( \
-              "0.000461097, 1e-22, 0.00272838, 1e-22, 2e-22, 0.0282843, 0.0395914, 0.183075, 0.206153, 0.214853, 0.220817, 0.222276, 0.212375, 0.199675, 0.139435, 0.0840422, 0.0515231, 0.0307143, 0.019436, 0.0148622, 0.00795763, 0.00391743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.203387, 0.220223, 0.248542, 0.255605, 0.270898, 0.288128, 0.310063, 0.323722, 0.334146, 0.345241, 0.356806, 0.368194, 0.376771, 0.386086, 0.400536, 0.417013, 0.430341, 0.436423, 0.442668, 0.454833, 0.466997, 0.478235, 0.501893, 0.521063, 0.537606, 0.548263, 0.557633, 0.570127, 0.579947, 0.590838, 0.605358, 0.634398, 0.648599");
-            values ( \
-              "0.000538579, 0.00109249, 0.000316505, 0.00122145, 0.00469736, 0.0070176, 0.00576795, 0.00331049, 1e-22, 2e-22, 0.00116574, 0.0208902, 0.049005, 0.090787, 0.166308, 0.232437, 0.262271, 0.269026, 0.272939, 0.270894, 0.257188, 0.229202, 0.149872, 0.0976669, 0.0651308, 0.0492874, 0.0383668, 0.0272085, 0.0206695, 0.0152183, 0.0100494, 0.00416617, 0.0030951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.210051, 0.251455, 0.292429, 0.312961, 0.337628, 0.377202, 0.386932, 0.399745, 0.417673, 0.429821, 0.470452, 0.494169, 0.517551, 0.529575, 0.554578, 0.579365, 0.604153, 0.610498, 0.633426, 0.680761, 0.717265, 0.750317, 0.783809, 0.827146, 0.873682, 0.914049, 0.946704");
-            values ( \
-              "0.000610297, 0.00110484, 0.0137237, 0.0155069, 0.0124058, 0.00323753, 0.00348218, 0.0100069, 0.0344373, 0.0621325, 0.188019, 0.246647, 0.282142, 0.292624, 0.301993, 0.297418, 0.280809, 0.273872, 0.240956, 0.159305, 0.10624, 0.0705324, 0.0451855, 0.0246417, 0.0126502, 0.00691306, 0.00480383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.334965, 0.51421, 0.640075, 0.685231, 0.742636, 0.796379, 0.849858, 0.903323, 0.917733, 0.966662, 1.06613, 1.14452, 1.2172, 1.26354, 1.31453, 1.39439, 1.49493, 1.59582, 1.63388");
-            values ( \
-              "0.0126407, 0.0429267, 0.228809, 0.274727, 0.306256, 0.314838, 0.309381, 0.291669, 0.284263, 0.251348, 0.169639, 0.113128, 0.0739993, 0.0554532, 0.0399373, 0.0234245, 0.0117893, 0.00570942, 0.00459566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.377686, 0.716379, 0.784997, 0.934386, 1.03528, 1.09024, 1.19113, 1.2167, 1.26783, 1.33513, 1.43602, 1.45308, 1.48719, 1.55542, 1.61665, 1.71122, 1.78874, 1.93798, 2.00291, 2.10381, 2.15296, 2.22587, 2.31267, 2.36361, 2.41591, 2.47349, 2.57439, 2.64116, 2.70104, 2.78089, 2.88178, 2.98268, 3.08357, 3.18447, 3.28536, 3.38625, 3.48715, 3.68893");
-            values ( \
-              "0.0273953, 0.0434906, 0.085305, 0.196151, 0.255806, 0.27923, 0.306991, 0.31126, 0.316924, 0.319789, 0.315786, 0.314271, 0.310354, 0.299519, 0.285467, 0.256122, 0.227561, 0.170694, 0.14752, 0.11532, 0.101559, 0.0835004, 0.06547, 0.0565691, 0.0485681, 0.0409396, 0.0301597, 0.0245763, 0.0204346, 0.0159433, 0.0115947, 0.00843169, 0.00610571, 0.00443412, 0.00319985, 0.0023262, 0.0016716, 0.000870554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.505136, 0.512427, 0.515328, 0.516295, 0.51735, 0.519461, 0.520516, 0.522627, 0.523218, 0.5244, 0.526173, 0.527558, 0.528351, 0.529145, 0.530438, 0.531731, 0.532771, 0.533811, 0.534851, 0.53595, 0.536768, 0.53747, 0.538171, 0.539107, 0.540293, 0.5433, 0.545847, 0.546468, 0.547876, 0.549379, 0.549806, 0.550232, 0.551086, 0.55194, 0.556933, 0.558193, 0.559453, 0.560918, 0.562384, 0.564348, 0.566312, 0.567567, 0.56945, 0.570078, 0.57202, 0.573963, 0.575406, 0.578292, 0.579735, 0.582488");
-            values ( \
-              "0.0873988, 0.0925336, 0.110311, 0.11589, 0.121319, 0.131261, 0.135775, 0.143889, 0.145938, 0.149293, 0.153166, 0.155871, 0.156433, 0.156809, 0.15701, 0.156735, 0.155995, 0.155062, 0.153937, 0.152539, 0.149909, 0.147073, 0.143863, 0.138998, 0.131788, 0.109804, 0.0922495, 0.0890065, 0.0806426, 0.0730225, 0.0688994, 0.0639097, 0.0621071, 0.0599533, 0.04366, 0.0398138, 0.0363187, 0.0329124, 0.0297691, 0.026054, 0.0226405, 0.0206166, 0.0179251, 0.0171196, 0.0150383, 0.0131776, 0.0119767, 0.00986303, 0.00895028, 0.00744921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.511392, 0.518972, 0.520885, 0.534672, 0.540412, 0.54792, 0.550123, 0.554703, 0.55727, 0.559324, 0.56137, 0.564684, 0.568132, 0.574969, 0.586902, 0.590504, 0.597591, 0.603685, 0.608002, 0.613661, 0.618756, 0.624011, 0.631602, 0.6398, 0.646138, 0.658813, 0.682192, 0.710282");
-            values ( \
-              "0.0446079, 0.0525079, 0.062447, 0.153319, 0.18264, 0.209516, 0.214124, 0.220959, 0.222403, 0.222622, 0.22191, 0.218725, 0.212052, 0.185693, 0.119821, 0.103523, 0.0765728, 0.0587556, 0.0482922, 0.0371655, 0.0292595, 0.0227629, 0.0157893, 0.0106715, 0.00785917, 0.00424903, 0.0012651, 0.000324519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.35712, 0.419306, 0.456509, 0.479931, 0.501146, 0.516467, 0.527494, 0.538236, 0.543367, 0.57309, 0.584015, 0.597403, 0.60974, 0.621899, 0.636617, 0.64543, 0.669017, 0.68827, 0.704156, 0.724925, 0.747228, 0.771506, 0.796531");
-            values ( \
-              "0.000166576, 0.000847555, 0.00697455, 0.00536858, 2.99594e-05, 1e-22, 0.00569485, 0.0292341, 0.0472956, 0.191557, 0.232139, 0.262513, 0.273202, 0.271297, 0.252032, 0.228811, 0.149647, 0.0973051, 0.0659634, 0.0381072, 0.0205275, 0.0103132, 0.00504197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.48142, 0.580202, 0.59455, 0.637592, 0.659924, 0.670234, 0.690853, 0.708896, 0.721773, 0.746995, 0.763645, 0.772225, 0.800596, 0.847843, 0.884391, 0.917597, 0.950901, 0.968914, 0.994289, 1.04098, 1.08149, 1.11295");
-            values ( \
-              "0.00692947, 0.0264189, 0.0558762, 0.188189, 0.243544, 0.262904, 0.28807, 0.298961, 0.301947, 0.297183, 0.287172, 0.27955, 0.240829, 0.159431, 0.106287, 0.0704313, 0.0452367, 0.0353023, 0.0246533, 0.012626, 0.00688568, 0.00486156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.504356, 0.673084, 0.827906, 0.851926, 0.899966, 0.927769, 0.962889, 1.01629, 1.08457, 1.13329, 1.3111, 1.38396, 1.43019, 1.48095, 1.56099, 1.66197, 1.76286, 1.7658");
-            values ( \
-              "0.020031, 0.0352718, 0.252934, 0.274548, 0.302698, 0.310658, 0.314314, 0.309173, 0.284026, 0.251289, 0.113154, 0.0739406, 0.0554484, 0.0399922, 0.0234292, 0.0117554, 0.00569357, 0.00560776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.377276, 0.421702, 0.475299, 0.517295, 0.575544, 0.696457, 0.751233, 0.824268, 0.925162, 1.11591, 1.20775, 1.25598, 1.35244, 1.44261, 1.50098, 1.60187, 1.65315, 1.72151, 1.78219, 1.87713, 2.26321, 2.42791, 2.56314, 2.63491, 2.8054, 2.95338, 3.05427, 3.26833");
-            values ( \
-              "0.000407407, 0.00261556, 0.0309149, 0.0385125, 0.0347682, 0.0178686, 0.0138191, 0.0220825, 0.0673411, 0.206331, 0.258684, 0.278892, 0.305937, 0.317484, 0.31964, 0.315752, 0.310321, 0.299425, 0.285522, 0.256093, 0.117229, 0.075559, 0.051295, 0.0414816, 0.0246967, 0.0156034, 0.0113559, 0.00638927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.838707, 0.846694, 0.859455, 0.865524, 0.86863, 0.872339, 0.875363, 0.877021, 0.880337, 0.887026, 0.896071, 0.9032, 0.911249, 0.921255, 0.938491, 0.944976");
-            values ( \
-              "0.0121204, 0.044626, 0.12572, 0.148909, 0.155129, 0.157705, 0.155586, 0.152134, 0.139006, 0.0915577, 0.0493149, 0.0304044, 0.017193, 0.00859173, 0.00293551, 0.0021502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.850347, 0.858832, 0.863284, 0.872329, 0.876397, 0.881636, 0.889147, 0.895981, 0.902638, 0.909284, 0.913148, 0.916815, 0.92415, 0.931189, 0.93673, 0.941737, 0.947807, 0.954415, 0.958989, 0.962198, 0.968617, 0.974114, 0.979643, 0.987015, 1.00176, 1.02496, 1.05325");
-            values ( \
-              "0.0239772, 0.0454447, 0.070031, 0.131844, 0.156021, 0.182841, 0.209168, 0.221019, 0.222092, 0.212815, 0.199662, 0.181802, 0.139479, 0.106055, 0.0839863, 0.0677225, 0.0516143, 0.038038, 0.0307163, 0.026364, 0.0193943, 0.0148743, 0.0114124, 0.00801834, 0.00386455, 0.00119466, 0.000287318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.674026, 0.752645, 0.763318, 0.786635, 0.798159, 0.81542, 0.826735, 0.838817, 0.853058, 0.865958, 0.87752, 0.883544, 0.893135, 0.908676, 0.925172, 0.938521, 0.943024, 0.950853, 0.96302, 0.975184, 0.977713, 0.986382, 1.01005, 1.02919, 1.0459, 1.05645, 1.0657, 1.07804, 1.08798, 1.09895, 1.11359, 1.14285, 1.15595");
-            values ( \
-              "8.30354e-05, 0.000510287, 0.00155289, 0.00583457, 0.00673996, 0.00602205, 0.00436087, 0.00117081, 1e-22, 0.00236969, 0.0240125, 0.0437391, 0.0850431, 0.165786, 0.232055, 0.262069, 0.267538, 0.272822, 0.270953, 0.257119, 0.252016, 0.229338, 0.149986, 0.0978203, 0.0649655, 0.0492998, 0.0385003, 0.0274248, 0.0207683, 0.0152568, 0.0100404, 0.00413464, 0.00315311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.815597, 0.914123, 0.935785, 0.979221, 1.0017, 1.01185, 1.03216, 1.03848, 1.05112, 1.06338, 1.08928, 1.11382, 1.14219, 1.18941, 1.21633, 1.2515, 1.27183, 1.29598, 1.31279, 1.34817, 1.3691, 1.41096, 1.43597");
-            values ( \
-              "0.0143717, 0.0157903, 0.0551134, 0.188329, 0.243905, 0.263004, 0.287814, 0.293208, 0.299196, 0.302023, 0.296931, 0.279603, 0.240892, 0.159518, 0.119092, 0.0786741, 0.0604682, 0.0437358, 0.0346713, 0.0210096, 0.0155486, 0.00832686, 0.00633589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.844343, 1.03346, 1.06779, 1.11927, 1.14657, 1.1706, 1.1933, 1.21571, 1.23253, 1.25036, 1.26992, 1.30419, 1.35238, 1.35774, 1.36846, 1.38989, 1.41332, 1.46017, 1.50397, 1.58713, 1.63582, 1.66544, 1.69735, 1.7399, 1.766, 1.78484, 1.80995, 1.86017, 1.89573, 1.93182, 1.97994, 2.07617, 2.17706, 2.27795, 2.37885");
-            values ( \
-              "0.0027741, 0.0560022, 0.106143, 0.188997, 0.226165, 0.253948, 0.274009, 0.289843, 0.297461, 0.304462, 0.309702, 0.313578, 0.309717, 0.308632, 0.306175, 0.299739, 0.290154, 0.262129, 0.227628, 0.159388, 0.123885, 0.105184, 0.0874396, 0.0676276, 0.0573812, 0.0509315, 0.043386, 0.0310908, 0.0245612, 0.0192608, 0.0138115, 0.00699233, 0.00333893, 0.00163867, 0.000752054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.699071, 0.753457, 0.764123, 0.818796, 0.855742, 0.921111, 1.03289, 1.08381, 1.14314, 1.24558, 1.44356, 1.54446, 1.59942, 1.70031, 1.77706, 1.84433, 1.94523, 1.99641, 2.12582, 2.22043, 2.52122, 2.6392, 2.78172, 2.9819, 3.14986, 3.39133, 3.64121");
-            values ( \
-              "0.00019484, 0.00103835, 0.00294015, 0.03114, 0.0382616, 0.0344576, 0.0186032, 0.0140823, 0.017252, 0.05386, 0.19608, 0.255715, 0.279198, 0.306934, 0.316879, 0.319802, 0.315751, 0.310317, 0.285509, 0.256153, 0.144381, 0.107789, 0.0732682, 0.0409596, 0.0245537, 0.0115396, 0.00568913" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00321265, 0.0032127, 0.00321276, 0.00321281, 0.00321285, 0.00321287", \
-            "0.00417726, 0.00417726, 0.00417726, 0.00417727, 0.00417729, 0.0041773", \
-            "0.00481236, 0.00481234, 0.00481231, 0.00481229, 0.00481228, 0.00481228", \
-            "0.0052152, 0.00521517, 0.00521514, 0.00521511, 0.00521509, 0.00521507", \
-            "0.0054736, 0.00547359, 0.00547357, 0.00547354, 0.00547352, 0.00547349", \
-            "0.00564411, 0.0056441, 0.00564409, 0.00564406, 0.00564403, 0.00564401" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00506385, 0.0050637, 0.00506358, 0.00506351, 0.00506348, 0.00506348", \
-            "0.00542643, 0.00542626, 0.00542608, 0.00542592, 0.00542582, 0.00542577", \
-            "0.00528266, 0.00528252, 0.00528229, 0.00528203, 0.00528184, 0.00528172", \
-            "0.00554, 0.00554012, 0.00554015, 0.00554004, 0.00553984, 0.00553968", \
-            "0.00594138, 0.00594026, 0.00593931, 0.00593879, 0.00593859, 0.00593855", \
-            "0.0057227, 0.00572577, 0.00576586, 0.00575611, 0.00572844, 0.00572574" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302084", \
-            "0.00361677", \
-            "0.00398308", \
-            "0.00419798", \
-            "0.00431267", \
-            "0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434342", \
-            "0.00482999", \
-            "0.00510835", \
-            "0.00542427", \
-            "0.00593602", \
-            "0.00683618" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(CK & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.195642, 0.225255, 0.285333, 0.409668, 0.679667, 1.28275", \
-            "0.201532, 0.23117, 0.291202, 0.415651, 0.685784, 1.28892", \
-            "0.214771, 0.244414, 0.304472, 0.428949, 0.69904, 1.30223", \
-            "0.243021, 0.272649, 0.332686, 0.457209, 0.727473, 1.33039", \
-            "0.299422, 0.329646, 0.390305, 0.515214, 0.785637, 1.38818", \
-            "0.393755, 0.42601, 0.48936, 0.616344, 0.887114, 1.49155" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0282151, 0.0447534, 0.0815898, 0.165829, 0.357074, 0.787081", \
-            "0.0281921, 0.044727, 0.0815528, 0.165778, 0.35712, 0.787004", \
-            "0.0282171, 0.0446796, 0.0815781, 0.16584, 0.35707, 0.787084", \
-            "0.0282296, 0.0447135, 0.0815801, 0.165778, 0.357118, 0.787061", \
-            "0.0287017, 0.0450221, 0.0816544, 0.16578, 0.357053, 0.787227", \
-            "0.0299551, 0.046232, 0.0824374, 0.165903, 0.356965, 0.786751" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.173407, 0.175829, 0.182134, 0.193788, 0.198598, 0.202943, 0.207124, 0.211304, 0.213962, 0.22272, 0.231153, 0.238369, 0.245535, 0.255644, 0.270473, 0.282285");
-            values ( \
-              "0.0131971, 0.0186456, 0.051879, 0.126765, 0.145868, 0.155758, 0.157861, 0.152607, 0.141982, 0.0835516, 0.0468501, 0.028532, 0.0173902, 0.0087396, 0.00343645, 0.00237881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.0267195, 0.0323911, 0.0915054, 0.11531, 0.129856, 0.155289, 0.177943, 0.192122, 0.216098, 0.223606, 0.230442, 0.237104, 0.243756, 0.247775, 0.263206, 0.272556, 0.285842, 0.297608, 0.311026, 0.32622");
-            values ( \
-              "0.00297544, 1e-22, 0.000208083, 0.00262936, 0.00260131, 1e-22, 2e-22, 0.0366313, 0.18298, 0.209279, 0.220886, 0.221854, 0.212373, 0.19853, 0.116954, 0.0791976, 0.0439427, 0.025365, 0.0133067, 0.00682555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.029868, 0.0349072, 0.091325, 0.115066, 0.138144, 0.153203, 0.173903, 0.189133, 0.202433, 0.214001, 0.219397, 0.242717, 0.259153, 0.272523, 0.284863, 0.297027, 0.311758, 0.363457, 0.379237, 0.40014, 0.422449, 0.446541, 0.462886");
-            values ( \
-              "0.00147025, 1e-22, 0.000480328, 0.0052035, 0.00698254, 0.00586261, 0.000989149, 1e-22, 0.00468202, 0.0303754, 0.0503925, 0.166172, 0.232192, 0.262569, 0.273008, 0.271276, 0.251888, 0.0972071, 0.0660809, 0.0380525, 0.0204978, 0.0103515, 0.0068904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.15499, 0.252068, 0.275636, 0.310339, 0.335029, 0.35947, 0.371441, 0.396448, 0.421238, 0.446027, 0.452368, 0.475299, 0.522636, 0.559137, 0.592184, 0.625675, 0.669006, 0.715537, 0.755899, 0.787328");
-            values ( \
-              "0.0106667, 0.0218989, 0.0730423, 0.182299, 0.24459, 0.282143, 0.292578, 0.301948, 0.297405, 0.280783, 0.273873, 0.240961, 0.159313, 0.106249, 0.0705416, 0.0451903, 0.0246443, 0.0126513, 0.00691321, 0.00488066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.175985, 0.337619, 0.367232, 0.456559, 0.506028, 0.558014, 0.583762, 0.637616, 0.691126, 0.758897, 0.807966, 0.985843, 1.05846, 1.10484, 1.15589, 1.2357, 1.33609, 1.4382");
-            values ( \
-              "0.0266514, 0.0268695, 0.0564267, 0.194888, 0.256161, 0.294783, 0.305689, 0.314362, 0.309308, 0.284252, 0.251308, 0.113118, 0.0740186, 0.0554558, 0.0399214, 0.0234229, 0.0117993, 0.00568945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.0588872, 0.0785582, 0.0910378, 0.0970304, 0.15445, 0.176305, 0.191415, 0.203968, 0.247926, 0.355717, 0.389654, 0.418242, 0.46469, 0.532343, 0.636241, 0.775604, 0.854331, 0.931277, 1.03518, 1.05777, 1.10297, 1.17621, 1.28011, 1.2942, 1.32239, 1.36829, 1.41688, 1.51404, 1.55233, 1.79267, 1.8586, 1.94995, 2.01382, 2.08432, 2.17743, 2.26058, 2.34054, 2.44444, 2.52896, 2.64747, 2.75136, 2.85526, 2.95916, 3.06306, 3.16696, 3.47866");
-            values ( \
-              "0.000837283, 0.000850977, 0.00139505, 0.00231852, 0.0326186, 0.0378224, 0.0388484, 0.0390264, 0.0351091, 0.0197326, 0.0159961, 0.0139631, 0.0158461, 0.032684, 0.0922333, 0.196039, 0.24447, 0.279123, 0.30756, 0.311237, 0.31644, 0.319786, 0.315568, 0.314286, 0.311114, 0.304576, 0.29525, 0.269092, 0.256081, 0.165807, 0.142558, 0.113945, 0.0964805, 0.0795592, 0.061237, 0.0480936, 0.037795, 0.02763, 0.0212193, 0.014661, 0.0106503, 0.00756941, 0.00552268, 0.00387368, 0.00286387, 0.000982909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.194293, 0.201612, 0.207706, 0.213639, 0.219409, 0.222768, 0.226012, 0.228827, 0.231158, 0.235408, 0.241052, 0.243451, 0.246601, 0.250836, 0.255857, 0.259942, 0.264315, 0.272024, 0.27656, 0.28319, 0.292031, 0.308321, 0.349693");
-            values ( \
-              "0.0077486, 0.0504684, 0.0930714, 0.126833, 0.148821, 0.155564, 0.157952, 0.156451, 0.152097, 0.133183, 0.0921391, 0.078411, 0.0632399, 0.0473178, 0.0335796, 0.0252439, 0.0186888, 0.0110185, 0.00814047, 0.00532537, 0.00309274, 0.00116571, 0.000168903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.0537426, 0.059071, 0.0735439, 0.0909066, 0.112802, 0.130836, 0.14898, 0.172739, 0.194263, 0.209074, 0.216528, 0.235964, 0.243457, 0.250286, 0.256945, 0.263593, 0.267647, 0.2831, 0.292686, 0.304065, 0.314293, 0.319673, 0.327388, 0.335971, 0.342645, 0.35058");
-            values ( \
-              "0.000907772, 1e-22, 0.000853344, 1e-22, 0.000158968, 0.00227034, 0.00273693, 1e-22, 2e-22, 0.0232442, 0.0630792, 0.183335, 0.209569, 0.221, 0.221973, 0.212406, 0.19842, 0.116692, 0.0781969, 0.0473396, 0.0294034, 0.0228029, 0.0157124, 0.0104457, 0.00761289, 0.00544807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0636948, 0.0732525, 0.112428, 0.136288, 0.151887, 0.17052, 0.190882, 0.205338, 0.219785, 0.23173, 0.237552, 0.247191, 0.262466, 0.278909, 0.292238, 0.296947, 0.304565, 0.316733, 0.328899, 0.331451, 0.3402, 0.363844, 0.383054, 0.399352, 0.410153, 0.419671, 0.432362, 0.441989, 0.452699, 0.46698, 0.495541, 0.509108");
-            values ( \
-              "0.000441239, 0.00111861, 0.000477978, 0.00534872, 0.00699968, 0.00611822, 0.00177435, 1e-22, 0.00157578, 0.0244837, 0.0440419, 0.0864882, 0.166583, 0.232496, 0.262199, 0.267919, 0.272776, 0.270948, 0.256939, 0.251849, 0.228907, 0.149714, 0.0974909, 0.0654234, 0.0493369, 0.0382564, 0.0269759, 0.0206093, 0.0152527, 0.0101409, 0.00427723, 0.00322375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.171454, 0.269011, 0.280234, 0.292517, 0.332304, 0.354336, 0.36493, 0.386116, 0.402479, 0.416477, 0.441221, 0.466047, 0.472569, 0.495364, 0.5248, 0.556075, 0.579145, 0.598043, 0.612333, 0.630708, 0.64565, 0.663658, 0.689028, 0.715454, 0.735702, 0.776198, 0.834728");
-            values ( \
-              "0.0135674, 0.0176499, 0.0356331, 0.064459, 0.18788, 0.243389, 0.262526, 0.288926, 0.298835, 0.301663, 0.297516, 0.280624, 0.273652, 0.2409, 0.18904, 0.138282, 0.106295, 0.0844764, 0.0704497, 0.055314, 0.0452378, 0.0353051, 0.0246549, 0.0169189, 0.0126292, 0.00688757, 0.0031163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.192115, 0.363963, 0.39439, 0.476775, 0.517166, 0.546902, 0.604267, 0.65806, 0.711536, 0.764997, 0.779412, 0.828335, 0.954696, 1.00618, 1.07886, 1.1252, 1.17618, 1.25604, 1.35657, 1.46047, 1.50153");
-            values ( \
-              "0.0208421, 0.0316639, 0.065566, 0.195192, 0.246921, 0.274765, 0.306208, 0.314913, 0.309343, 0.291734, 0.284266, 0.251352, 0.148741, 0.113135, 0.0740035, 0.0554576, 0.0399423, 0.0234271, 0.0117903, 0.00557533, 0.00441085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.0789985, 0.118654, 0.17092, 0.20633, 0.253826, 0.422221, 0.464122, 0.534926, 0.628206, 0.795993, 0.895447, 0.951734, 1.05563, 1.12339, 1.19664, 1.31462, 1.43729, 1.57274, 1.97094, 2.10405, 2.28157, 2.51159, 2.77877, 2.89808");
-            values ( \
-              "0.000782694, 0.00284241, 0.0312161, 0.0386468, 0.0366435, 0.0148598, 0.0141226, 0.0265273, 0.0725967, 0.196293, 0.255197, 0.279274, 0.307591, 0.316459, 0.319843, 0.314316, 0.295255, 0.256086, 0.113753, 0.0797463, 0.0479719, 0.0238839, 0.010363, 0.00786702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.237711, 0.244666, 0.257799, 0.262609, 0.266954, 0.271136, 0.275317, 0.27794, 0.286714, 0.295125, 0.303447, 0.308735, 0.321396, 0.338118");
-            values ( \
-              "0.0138307, 0.0435827, 0.126455, 0.146129, 0.155494, 0.158086, 0.152385, 0.142158, 0.0836569, 0.0469635, 0.0264673, 0.0183938, 0.00778642, 0.00316008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.249904, 0.257295, 0.270607, 0.27984, 0.286201, 0.289513, 0.294127, 0.300776, 0.307426, 0.311481, 0.326933, 0.336518, 0.347899, 0.358126, 0.37122, 0.386478, 0.399829, 0.404892");
-            values ( \
-              "0.0334093, 0.0462633, 0.133021, 0.183707, 0.206946, 0.214606, 0.220873, 0.222005, 0.2123, 0.198401, 0.116691, 0.0782003, 0.0473405, 0.0294037, 0.0157115, 0.00761485, 0.0039687, 0.00337737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.113465, 0.146952, 0.158451, 0.178175, 0.185289, 0.194798, 0.199301, 0.213705, 0.22457, 0.235061, 0.247716, 0.262279, 0.274174, 0.282982, 0.289236, 0.312627, 0.322989, 0.336347, 0.348685, 0.360848, 0.374104, 0.384417, 0.408001, 0.42728, 0.443041, 0.454137, 0.463964, 0.477068, 0.486273, 0.496584, 0.510332, 0.537829, 0.555659");
-            values ( \
-              "0.00116014, 0.000346656, 0.000735117, 0.00499713, 0.00535264, 0.00696855, 0.00698875, 0.00618811, 0.00450187, 0.00172368, 1e-22, 6.80067e-05, 0.020254, 0.0495043, 0.0769533, 0.194563, 0.232306, 0.262674, 0.273048, 0.2713, 0.254902, 0.228597, 0.149534, 0.0971959, 0.0661077, 0.0494727, 0.0380426, 0.0265132, 0.020491, 0.0153364, 0.0103624, 0.00452741, 0.00306034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.224482, 0.315676, 0.331871, 0.389593, 0.408825, 0.435539, 0.460478, 0.485255, 0.510022, 0.516424, 0.53931, 0.586596, 0.62311, 0.656212, 0.689633, 0.707625, 0.732982, 0.779569, 0.819984, 0.862019");
-            values ( \
-              "0.0131598, 0.0213745, 0.0526753, 0.224017, 0.262425, 0.293563, 0.302037, 0.297871, 0.28064, 0.273875, 0.240957, 0.159368, 0.106268, 0.0705058, 0.0452105, 0.0352911, 0.0246495, 0.0126423, 0.0069036, 0.00418838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.236262, 0.41495, 0.446738, 0.508687, 0.544762, 0.590924, 0.64832, 0.702039, 0.755509, 0.808966, 0.823398, 0.872305, 0.971759, 1.05015, 1.12283, 1.16917, 1.22014, 1.3, 1.34693, 1.40056, 1.50446, 1.56655");
-            values ( \
-              "0.0152547, 0.0380663, 0.0773644, 0.176897, 0.227885, 0.274882, 0.30643, 0.314846, 0.309469, 0.291636, 0.284263, 0.251353, 0.169651, 0.113134, 0.0740001, 0.0554563, 0.0399432, 0.0234262, 0.0170499, 0.011788, 0.00557578, 0.00381357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.122611, 0.15836, 0.213626, 0.248963, 0.296577, 0.467735, 0.514268, 0.58466, 0.686316, 0.839732, 0.942076, 0.99569, 1.09959, 1.16747, 1.24066, 1.35865, 1.48132, 1.61678, 2.01498, 2.14808, 2.32561, 2.50926, 2.72974, 2.95819");
-            values ( \
-              "0.000812148, 0.00178584, 0.0306573, 0.0386025, 0.0368247, 0.014713, 0.0144813, 0.0283696, 0.0821954, 0.195873, 0.256399, 0.279086, 0.307572, 0.316477, 0.31974, 0.314237, 0.295293, 0.256121, 0.113754, 0.0797303, 0.0479978, 0.0275865, 0.0138571, 0.00752384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.334248, 0.341466, 0.354154, 0.358968, 0.363316, 0.367499, 0.371682, 0.37427, 0.383062, 0.391449, 0.400198, 0.407774, 0.422164, 0.43699");
-            values ( \
-              "0.0142189, 0.045938, 0.126316, 0.146021, 0.155419, 0.158017, 0.152355, 0.142308, 0.083768, 0.0470753, 0.0257594, 0.0152835, 0.00588084, 0.00273293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.34645, 0.353511, 0.366939, 0.376186, 0.383675, 0.390502, 0.39716, 0.403806, 0.407872, 0.42333, 0.432988, 0.444214, 0.454553, 0.467617, 0.482771, 0.496024, 0.501727");
-            values ( \
-              "0.0380546, 0.0453339, 0.132486, 0.183365, 0.20986, 0.220888, 0.222176, 0.212268, 0.198387, 0.116614, 0.0778952, 0.0474769, 0.0293343, 0.0156976, 0.00764528, 0.00400481, 0.00333214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.19363, 0.249106, 0.292105, 0.310577, 0.344437, 0.359052, 0.371108, 0.379347, 0.403056, 0.419482, 0.432846, 0.445184, 0.457347, 0.472079, 0.523787, 0.539518, 0.560476, 0.582784, 0.606789, 0.622524");
-            values ( \
-              "0.000315507, 0.000399543, 0.00699879, 0.00616856, 1e-22, 0.000323273, 0.0213103, 0.0488734, 0.166526, 0.232458, 0.262486, 0.273195, 0.271143, 0.251895, 0.0971753, 0.0661471, 0.03803, 0.020484, 0.0103755, 0.00702993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.311597, 0.411942, 0.42097, 0.432076, 0.472872, 0.494845, 0.505487, 0.526771, 0.542845, 0.557035, 0.581781, 0.606605, 0.613119, 0.635921, 0.665355, 0.696639, 0.719704, 0.738595, 0.752887, 0.771263, 0.786209, 0.804216, 0.829586, 0.856008, 0.876254, 0.916744, 0.974544");
-            values ( \
-              "0.0104303, 0.0208053, 0.0360158, 0.0617924, 0.187906, 0.243297, 0.262524, 0.289017, 0.298764, 0.301674, 0.297514, 0.280629, 0.273663, 0.240901, 0.189043, 0.138273, 0.106293, 0.0844824, 0.0704534, 0.055315, 0.045236, 0.0353046, 0.0246544, 0.0169192, 0.0126304, 0.00688844, 0.0031636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.332071, 0.504106, 0.534538, 0.617456, 0.660349, 0.687596, 0.745028, 0.798754, 0.85223, 0.905691, 0.920107, 0.969029, 1.09539, 1.14688, 1.21955, 1.2659, 1.31687, 1.39673, 1.49727, 1.60117, 1.64132");
-            values ( \
-              "0.0210443, 0.0312151, 0.0648342, 0.19519, 0.249616, 0.27477, 0.306237, 0.314913, 0.309345, 0.291733, 0.284267, 0.251353, 0.148741, 0.113135, 0.0740035, 0.0554577, 0.0399425, 0.0234272, 0.0117903, 0.00557535, 0.00443653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.384932, 0.756271, 0.930105, 0.980267, 1.02135, 1.09251, 1.19641, 1.21902, 1.26426, 1.33746, 1.44136, 1.45545, 1.52953, 1.57812, 1.67529, 1.71357, 1.95392, 2.02325, 2.11178, 2.17394, 2.24488, 2.33838, 2.4224, 2.50216, 2.60606, 2.65242, 2.74018, 2.81327, 2.91716, 3.02106, 3.22886, 3.43666, 3.64446");
-            values ( \
-              "0.0112711, 0.0642404, 0.191354, 0.224415, 0.247533, 0.279182, 0.307538, 0.31127, 0.316439, 0.319805, 0.315561, 0.31429, 0.304573, 0.295261, 0.269081, 0.256095, 0.165776, 0.141446, 0.113744, 0.0967323, 0.0797537, 0.0612471, 0.0479652, 0.0377997, 0.0275506, 0.0238901, 0.018215, 0.0145091, 0.0104393, 0.00751845, 0.00387672, 0.00199622, 0.00102972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.53741, 0.545483, 0.547837, 0.557858, 0.56102, 0.565996, 0.570448, 0.574705, 0.578963, 0.581948, 0.589742, 0.596687, 0.600247, 0.605106, 0.6129, 0.619036, 0.624467, 0.631821, 0.641625, 0.644143");
-            values ( \
-              "0.0079639, 0.0308427, 0.0421363, 0.105058, 0.121611, 0.141938, 0.152428, 0.15554, 0.150708, 0.139093, 0.0870489, 0.0541907, 0.0426563, 0.0305323, 0.0178386, 0.0117534, 0.00818518, 0.00513226, 0.0028104, 0.00254786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.55461, 0.56481, 0.57408, 0.578259, 0.58354, 0.591135, 0.598028, 0.604734, 0.611428, 0.615114, 0.630348, 0.637028, 0.642593, 0.647015, 0.65291, 0.65757, 0.662028, 0.667484, 0.675262, 0.680636, 0.690951, 0.704706, 0.728441, 0.757224");
-            values ( \
-              "0.040672, 0.0678919, 0.129047, 0.153756, 0.180387, 0.207258, 0.219214, 0.220727, 0.211489, 0.199319, 0.119425, 0.0908739, 0.0717307, 0.0591011, 0.0452029, 0.0365049, 0.0296445, 0.0228543, 0.0157652, 0.0121846, 0.00745045, 0.00385028, 0.00113728, 0.00030411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.352365, 0.449876, 0.479026, 0.501642, 0.519442, 0.540914, 0.550247, 0.565876, 0.574282, 0.583335, 0.586126, 0.596649, 0.61106, 0.627583, 0.640947, 0.647156, 0.653299, 0.665484, 0.677668, 0.6888, 0.712531, 0.731644, 0.74873, 0.759096, 0.768162, 0.780251, 0.790493, 0.804329, 0.822777, 0.854917");
-            values ( \
-              "0.000101222, 0.000592306, 0.00443987, 0.00598443, 0.00518236, 0.00103508, 1e-22, 0.000771739, 0.0125389, 0.0350438, 0.0452096, 0.0910237, 0.165853, 0.2318, 0.261682, 0.268541, 0.272446, 0.270485, 0.256891, 0.229332, 0.149967, 0.0979133, 0.0644284, 0.0491317, 0.0385639, 0.0276677, 0.0207778, 0.0140696, 0.00825319, 0.00325513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.519927, 0.617534, 0.631739, 0.64689, 0.681439, 0.703079, 0.714039, 0.735957, 0.750144, 0.765591, 0.790357, 0.821616, 0.844474, 0.905237, 0.928267, 0.961413, 0.994782, 1.03815, 1.08477, 1.12523, 1.15669");
-            values ( \
-              "0.012963, 0.0174825, 0.0409682, 0.079477, 0.188265, 0.242496, 0.262732, 0.289424, 0.298147, 0.301832, 0.297399, 0.273745, 0.240918, 0.138202, 0.106283, 0.0704785, 0.0452234, 0.0246517, 0.0126367, 0.00689557, 0.00486425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.549829, 0.708543, 0.738564, 0.850046, 0.895938, 0.953314, 1.00704, 1.0605, 1.12015, 1.14489, 1.1773, 1.30365, 1.36625, 1.42783, 1.47416, 1.52513, 1.60499, 1.65192, 1.70555, 1.80945, 1.90759");
-            values ( \
-              "0.0266432, 0.0282494, 0.0593589, 0.22849, 0.274782, 0.306622, 0.314703, 0.309635, 0.28863, 0.274432, 0.251345, 0.148755, 0.1063, 0.073998, 0.0554547, 0.0399422, 0.0234248, 0.0170517, 0.0117867, 0.00557731, 0.0027894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.368698, 0.434191, 0.449184, 0.464309, 0.518619, 0.540124, 0.565155, 0.582637, 0.601953, 0.629495, 0.72206, 0.772233, 0.825751, 0.906753, 1.01065, 1.16016, 1.25503, 1.29981, 1.36934, 1.42616, 1.53005, 1.54512, 1.57526, 1.63553, 1.66264, 1.71686, 1.7855, 1.8894, 1.98442, 2.1671, 2.271, 2.32676, 2.41156, 2.50848, 2.5728, 2.64751, 2.72393, 2.82783, 2.8731, 2.96365, 3.06755, 3.17145, 3.27535, 3.37925, 3.58704, 3.89874");
-            values ( \
-              "0.00017496, 0.000503687, 0.00122841, 0.00490371, 0.0294498, 0.0351394, 0.0376143, 0.0373405, 0.0361655, 0.0333909, 0.0201485, 0.0148275, 0.0149577, 0.0347647, 0.0961341, 0.20653, 0.260244, 0.278794, 0.299919, 0.310795, 0.319569, 0.319701, 0.319463, 0.316452, 0.314136, 0.307543, 0.295254, 0.266885, 0.232978, 0.1637, 0.128285, 0.111584, 0.089172, 0.0681454, 0.0566829, 0.04555, 0.0362119, 0.0263891, 0.0229345, 0.0173326, 0.0124761, 0.00900376, 0.00644374, 0.00464865, 0.0023948, 0.000861261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.960386, 0.967184, 0.971612, 0.991544, 0.997073, 1.00154, 1.00598, 1.01042, 1.01799, 1.02634, 1.03382, 1.04552, 1.06124, 1.0843, 1.08533");
-            values ( \
-              "0.00618696, 0.018598, 0.0338115, 0.129976, 0.14457, 0.14926, 0.146003, 0.129295, 0.0800116, 0.0455873, 0.0274842, 0.0124081, 0.0045677, 0.00124532, 0.00123286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.972015, 0.986198, 0.987766, 1.00873, 1.01833, 1.02306, 1.02707, 1.03396, 1.04086, 1.04544, 1.06138, 1.07202, 1.08212, 1.0891, 1.09773, 1.1057, 1.11503, 1.12237, 1.13705, 1.1528");
-            values ( \
-              "0.00427322, 0.0372528, 0.0427878, 0.154821, 0.193582, 0.205584, 0.211967, 0.215197, 0.207495, 0.192798, 0.111679, 0.0717511, 0.0459792, 0.0333726, 0.0222661, 0.0152394, 0.00982673, 0.00694358, 0.00344856, 0.00188477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.985818, 1.01345, 1.02094, 1.04686, 1.05892, 1.06291, 1.07255, 1.07588, 1.08253, 1.09018, 1.09735, 1.10964, 1.11214, 1.12072, 1.1446, 1.16369, 1.18161, 1.19165, 1.20042, 1.21595, 1.23509, 1.24987, 1.26912, 1.28804");
-            values ( \
-              "0.0116136, 0.036247, 0.0617637, 0.180681, 0.22556, 0.236791, 0.256975, 0.261685, 0.267783, 0.270123, 0.268192, 0.255183, 0.250331, 0.228569, 0.149527, 0.0978175, 0.0630624, 0.0485183, 0.0383889, 0.0251077, 0.0150392, 0.00988035, 0.00563969, 0.00365817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.676762, 0.849301, 0.870242, 0.921858, 0.942428, 0.972221, 1.01324, 1.02457, 1.03539, 1.05261, 1.06561, 1.07939, 1.11524, 1.13696, 1.14796, 1.16997, 1.18416, 1.19966, 1.20784, 1.22449, 1.24695, 1.25634, 1.27854, 1.33578, 1.36066, 1.38625, 1.40685, 1.4318, 1.47343, 1.50372, 1.5441, 1.59793");
-            values ( \
-              "2.57819e-05, 0.000467629, 0.00213366, 0.00932632, 0.0107195, 0.00971641, 0.00385561, 0.0034777, 0.00609858, 0.0211748, 0.043028, 0.0768586, 0.187288, 0.241543, 0.261671, 0.288664, 0.297444, 0.301113, 0.300982, 0.296832, 0.282476, 0.272704, 0.240736, 0.143625, 0.108229, 0.0793564, 0.0606201, 0.0433694, 0.0241792, 0.0156465, 0.00868332, 0.0041199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.687129, 0.83623, 0.857489, 0.869779, 0.892987, 0.921289, 0.941763, 0.956784, 0.971446, 0.985229, 1.00055, 1.024, 1.08379, 1.09652, 1.11566, 1.14165, 1.17273, 1.19748, 1.26014, 1.27701, 1.30901, 1.33028, 1.37282, 1.38756, 1.41511, 1.44124, 1.49454, 1.51049, 1.5267, 1.55027, 1.59741, 1.64029, 1.72449, 1.77377, 1.80237, 1.83316, 1.87421, 1.9023, 1.92258, 1.94962, 2.0037, 2.04516, 2.06623, 2.10838, 2.19269, 2.29659, 2.40049, 2.50438");
-            values ( \
-              "3.75875e-05, 0.000298164, 0.00112395, 0.00270737, 0.00734145, 0.0144445, 0.0185199, 0.0204275, 0.0212235, 0.0211451, 0.020357, 0.0181681, 0.0104941, 0.0103143, 0.0136984, 0.027602, 0.059043, 0.0944688, 0.194739, 0.21811, 0.255492, 0.274268, 0.300251, 0.305526, 0.312126, 0.314088, 0.309166, 0.305145, 0.300049, 0.290328, 0.262011, 0.228185, 0.159063, 0.123185, 0.105171, 0.088007, 0.0687211, 0.0576019, 0.0506594, 0.0426136, 0.0297286, 0.0225198, 0.0195788, 0.0146403, 0.0081156, 0.00379585, 0.00181309, 0.000817985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.697552, 0.859076, 0.958242, 1.00199, 1.0637, 1.19024, 1.23648, 1.27927, 1.32261, 1.43618, 1.58282, 1.68372, 1.73817, 1.84207, 1.90943, 1.98289, 2.10084, 2.17487, 2.22349, 2.32072, 2.59927, 2.77635, 2.96514, 3.06323, 3.13257, 3.29319, 3.51163, 3.77428");
-            values ( \
-              "4.48191e-05, 0.00128369, 0.0281525, 0.0335001, 0.0321673, 0.0164415, 0.0140178, 0.0173216, 0.0275992, 0.0882748, 0.197347, 0.256508, 0.279788, 0.307756, 0.316523, 0.320038, 0.314453, 0.304714, 0.295209, 0.269162, 0.165862, 0.108132, 0.0646626, 0.0486791, 0.0394705, 0.0241611, 0.0121944, 0.00580826" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00700975", \
-            "0.0089409", \
-            "0.0101363", \
-            "0.0107197", \
-            "0.0109821", \
-            "0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100549", \
-            "0.010318", \
-            "0.00954327", \
-            "0.00887701", \
-            "0.0086128", \
-            "0.00849665" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00693227, 0.00693411, 0.00693624, 0.00693794, 0.00693897, 0.0069395", \
-            "0.00818412, 0.00818476, 0.00818585, 0.00818703, 0.0081879, 0.00818841", \
-            "0.00894326, 0.00894334, 0.0089436, 0.00894411, 0.00894463, 0.00894501", \
-            "0.00939005, 0.00939007, 0.00939012, 0.00939026, 0.00939049, 0.00939071", \
-            "0.00962368, 0.00962369, 0.0096237, 0.00962376, 0.00962384, 0.00962395", \
-            "0.00976321, 0.00976322, 0.00976325, 0.00976328, 0.00976332, 0.00976337" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00970712, 0.00970769, 0.00970908, 0.00971075, 0.00971201, 0.00971274", \
-            "0.0106317, 0.0106318, 0.0106321, 0.0106329, 0.0106338, 0.0106344", \
-            "0.0110157, 0.0110161, 0.0110166, 0.0110171, 0.0110177, 0.0110183", \
-            "0.011276, 0.0112748, 0.0112737, 0.011273, 0.0112729, 0.011273", \
-            "0.0119058, 0.0119038, 0.0119005, 0.0118962, 0.0118925, 0.0118901", \
-            "0.0119878, 0.0120525, 0.0120947, 0.0121207, 0.0121362, 0.0121441" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & D & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.1941, 0.223837, 0.284048, 0.408505, 0.678285, 1.28157", \
-            "0.200044, 0.229723, 0.290025, 0.414473, 0.684466, 1.28703", \
-            "0.213441, 0.24314, 0.303413, 0.427874, 0.697857, 1.3007", \
-            "0.242202, 0.271933, 0.33215, 0.456692, 0.726651, 1.32934", \
-            "0.29938, 0.329541, 0.390436, 0.515422, 0.785502, 1.38865", \
-            "0.394276, 0.426704, 0.490161, 0.616796, 0.887344, 1.4916" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0281071, 0.0446226, 0.0815305, 0.165774, 0.356966, 0.787094", \
-            "0.0281021, 0.0446604, 0.081542, 0.165768, 0.357139, 0.786972", \
-            "0.0280936, 0.0446487, 0.0815365, 0.165764, 0.35717, 0.786741", \
-            "0.0281032, 0.0446259, 0.0815352, 0.165759, 0.356959, 0.787", \
-            "0.028559, 0.04492, 0.0816057, 0.16579, 0.356805, 0.787002", \
-            "0.0299489, 0.0461837, 0.0823465, 0.1659, 0.357132, 0.786787" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.172221, 0.176915, 0.179914, 0.192267, 0.197068, 0.201407, 0.205578, 0.209748, 0.212204, 0.222168, 0.229132, 0.234834, 0.2433, 0.251476, 0.25619, 0.263838, 0.274036, 0.288581");
-            values ( \
-              "0.0138494, 0.0312469, 0.0475946, 0.126775, 0.146227, 0.156003, 0.158408, 0.153075, 0.143624, 0.0782863, 0.0483754, 0.0327719, 0.0180947, 0.0102552, 0.00748384, 0.00455725, 0.00241412, 0.00107029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.184441, 0.191673, 0.20909, 0.214464, 0.221956, 0.228776, 0.235422, 0.242184, 0.245982, 0.259831, 0.270026, 0.279259, 0.288578, 0.298727, 0.315227, 0.327604, 0.339659");
-            values ( \
-              "0.0366642, 0.0447636, 0.155995, 0.183077, 0.209952, 0.221142, 0.222668, 0.212516, 0.199565, 0.125294, 0.0820952, 0.0547831, 0.0356203, 0.0220044, 0.00995891, 0.00540771, 0.00337552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0351929, 0.0939461, 0.131984, 0.147876, 0.183398, 0.197038, 0.207866, 0.21603, 0.248073, 0.257957, 0.271313, 0.283643, 0.295798, 0.31052, 0.3622, 0.377902, 0.398873, 0.421167, 0.445115, 0.459431");
-            values ( \
-              "9.92114e-05, 0.000719229, 0.00694368, 0.00615319, 1e-22, 0.000302052, 0.0175212, 0.0422335, 0.196364, 0.232405, 0.262705, 0.273304, 0.271416, 0.25207, 0.097216, 0.0662061, 0.0380439, 0.020495, 0.0103947, 0.00734351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.147755, 0.245753, 0.255245, 0.263814, 0.279036, 0.311092, 0.332413, 0.343703, 0.366284, 0.378502, 0.395262, 0.420044, 0.444841, 0.451217, 0.458504, 0.474125, 0.503539, 0.534972, 0.557945, 0.576701, 0.591031, 0.609451, 0.624476, 0.642465, 0.667819, 0.69418, 0.714371, 0.754753, 0.82908, 0.916996");
-            values ( \
-              "0.0148871, 0.0154285, 0.0288678, 0.0457471, 0.0863724, 0.18793, 0.241898, 0.26263, 0.290127, 0.297693, 0.301855, 0.297485, 0.280718, 0.273833, 0.264677, 0.240942, 0.189088, 0.138086, 0.106258, 0.0845923, 0.0705151, 0.0553326, 0.0452027, 0.0352896, 0.0246489, 0.0169313, 0.0126512, 0.00691168, 0.00205159, 0.000436143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.0537816, 0.0940716, 0.137371, 0.159765, 0.185351, 0.277035, 0.292665, 0.312046, 0.338795, 0.372803, 0.455489, 0.500588, 0.525699, 0.57592, 0.597227, 0.636715, 0.658874, 0.690058, 0.743678, 0.757503, 0.806951, 0.906595, 0.98492, 1.05733, 1.12515, 1.16364, 1.21759, 1.31819, 1.40559, 1.48236");
-            values ( \
-              "0.000852669, 0.00176835, 0.0209982, 0.0264835, 0.026202, 0.0108197, 0.0100178, 0.0136164, 0.0283824, 0.0650755, 0.194852, 0.251982, 0.274567, 0.303702, 0.309896, 0.314423, 0.313444, 0.309007, 0.291346, 0.284354, 0.251276, 0.169508, 0.113078, 0.0740881, 0.0484125, 0.0376961, 0.0262845, 0.0132874, 0.00714992, 0.00436153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.216805, 0.59711, 0.774617, 0.868883, 0.93045, 1.03437, 1.10219, 1.17542, 1.29341, 1.36748, 1.41608, 1.51329, 1.55154, 1.79188, 1.94975, 2.08285, 2.17635, 2.26037, 2.34012, 2.44404, 2.52798, 2.66829, 2.77221, 2.98005, 3.11179");
-            values ( \
-              "0.0094008, 0.0659732, 0.195962, 0.252415, 0.279103, 0.307529, 0.316426, 0.319782, 0.314279, 0.304571, 0.295256, 0.269071, 0.256089, 0.165792, 0.113763, 0.0797298, 0.0612726, 0.0479944, 0.0377723, 0.0275793, 0.0212532, 0.0137087, 0.00992352, 0.00513365, 0.00356082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.191957, 0.195269, 0.200025, 0.212169, 0.216969, 0.221304, 0.225474, 0.229643, 0.232112, 0.242085, 0.249066, 0.254602, 0.26194, 0.273022, 0.28826, 0.302048, 0.302555");
-            values ( \
-              "0.0135121, 0.0234897, 0.0489993, 0.126769, 0.146374, 0.155958, 0.158508, 0.153015, 0.143574, 0.0781694, 0.0482507, 0.0330625, 0.0197764, 0.00914661, 0.0034389, 0.00145644, 0.00144076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.0551456, 0.104428, 0.144553, 0.173602, 0.196606, 0.210235, 0.234511, 0.24202, 0.248851, 0.255504, 0.262144, 0.266004, 0.277003, 0.289576, 0.300653, 0.310225, 0.321454, 0.3288, 0.339874, 0.348493");
-            values ( \
-              "0.00065787, 1e-22, 0.0028049, 1e-22, 2e-22, 0.0357303, 0.182608, 0.209484, 0.221056, 0.222407, 0.212846, 0.199781, 0.139529, 0.084022, 0.0516229, 0.0331017, 0.0193949, 0.0136318, 0.00800179, 0.00557728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0622769, 0.10981, 0.14911, 0.169725, 0.192161, 0.208375, 0.219806, 0.231736, 0.23664, 0.267448, 0.277898, 0.291259, 0.303593, 0.315749, 0.330468, 0.382131, 0.397923, 0.418792, 0.441088, 0.465194, 0.478684");
-            values ( \
-              "0.000570909, 0.000438307, 0.00703853, 0.00596036, 0.000932569, 1e-22, 0.00331271, 0.0278785, 0.0447367, 0.193777, 0.232246, 0.262651, 0.273247, 0.271406, 0.252067, 0.0972669, 0.0660913, 0.0380795, 0.0205144, 0.0103574, 0.00749771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.172547, 0.269073, 0.285202, 0.301495, 0.33107, 0.35279, 0.363694, 0.385501, 0.390338, 0.400012, 0.415241, 0.44, 0.464812, 0.471276, 0.494118, 0.523543, 0.554874, 0.57791, 0.611063, 0.629454, 0.644425, 0.662426, 0.687791, 0.714188, 0.734411, 0.774856, 0.83196");
-            values ( \
-              "0.0124305, 0.0195546, 0.0490567, 0.0937962, 0.188288, 0.242326, 0.262968, 0.289128, 0.293251, 0.298068, 0.302056, 0.297229, 0.280956, 0.273749, 0.240932, 0.189042, 0.138206, 0.106285, 0.0704729, 0.0553182, 0.0452241, 0.0353018, 0.0246528, 0.0169251, 0.0126416, 0.00689854, 0.00317262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.196606, 0.36268, 0.397683, 0.475301, 0.516264, 0.545463, 0.602875, 0.656635, 0.710114, 0.763577, 0.777986, 0.826915, 0.926378, 1.00477, 1.07744, 1.12378, 1.17477, 1.25462, 1.35512, 1.45904, 1.50764");
-            values ( \
-              "0.0227608, 0.0317126, 0.0720128, 0.19497, 0.247481, 0.274637, 0.306249, 0.314835, 0.309397, 0.291667, 0.284267, 0.251351, 0.169644, 0.113132, 0.0740043, 0.0554579, 0.039942, 0.0234288, 0.0117944, 0.0055772, 0.00419807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.0762854, 0.103445, 0.114207, 0.168126, 0.180761, 0.192462, 0.206043, 0.229166, 0.271295, 0.374234, 0.426958, 0.473598, 0.554913, 0.658832, 0.770889, 0.840231, 0.932687, 0.983718, 1.05176, 1.07597, 1.12439, 1.1945, 1.29842, 1.31254, 1.34079, 1.38668, 1.43524, 1.53236, 1.57071, 1.81105, 1.88039, 1.96892, 2.03108, 2.10203, 2.19553, 2.27955, 2.3593, 2.46322, 2.54716, 2.68676, 2.79068, 2.8946, 2.99852, 3.20635, 3.51811");
-            values ( \
-              "0.000779778, 0.00100026, 0.00206182, 0.0304785, 0.0348985, 0.0373027, 0.0385783, 0.0381096, 0.0346334, 0.0198487, 0.014468, 0.0147781, 0.034093, 0.0949735, 0.179421, 0.225841, 0.272518, 0.290416, 0.306902, 0.310889, 0.31648, 0.319578, 0.315493, 0.314154, 0.311062, 0.30447, 0.295243, 0.269047, 0.256102, 0.165763, 0.141455, 0.113735, 0.0967284, 0.0797555, 0.0612462, 0.0479689, 0.0377978, 0.027554, 0.0212774, 0.0137639, 0.00992207, 0.00711988, 0.0051216, 0.00263477, 0.000959615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.237979, 0.245293, 0.250526, 0.256469, 0.260737, 0.262318, 0.26559, 0.268749, 0.269764, 0.271794, 0.273958, 0.278197, 0.283331, 0.285469, 0.288978, 0.293476, 0.298526, 0.302688, 0.306547, 0.31255, 0.318001, 0.321781, 0.329024, 0.338681, 0.355617, 0.401812");
-            values ( \
-              "0.0187246, 0.0548889, 0.0929332, 0.126892, 0.144415, 0.149222, 0.155865, 0.158261, 0.15821, 0.156666, 0.152659, 0.133856, 0.096032, 0.0831594, 0.065348, 0.0479783, 0.0338364, 0.0253854, 0.019278, 0.0126787, 0.00885819, 0.00676201, 0.00421724, 0.00243563, 0.000771918, 1.7222e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.10785, 0.156266, 0.18808, 0.218237, 0.241306, 0.254136, 0.278744, 0.286258, 0.293076, 0.299727, 0.306491, 0.310243, 0.321191, 0.333943, 0.344676, 0.354478, 0.366204, 0.383436, 0.392302");
-            values ( \
-              "0.000532825, 0.000268816, 0.00280672, 1e-22, 2e-22, 0.034315, 0.182616, 0.209792, 0.220946, 0.222617, 0.212425, 0.199724, 0.139725, 0.0834974, 0.0520926, 0.0330471, 0.0189022, 0.00826316, 0.00563971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.112088, 0.113919, 0.135679, 0.156049, 0.184881, 0.19604, 0.212231, 0.222891, 0.23543, 0.248136, 0.26158, 0.27242, 0.280211, 0.288379, 0.311687, 0.321951, 0.335309, 0.347641, 0.359796, 0.37194, 0.374516, 0.38335, 0.40692, 0.426187, 0.441938, 0.453029, 0.462853, 0.475952, 0.485149, 0.495448, 0.509181, 0.536647, 0.551187");
-            values ( \
-              "0.000738231, 0.00150574, 0.000488536, 0.000632486, 0.00610164, 0.00698579, 0.00616231, 0.00458178, 0.00133329, 1e-22, 0.000883944, 0.0188559, 0.0430456, 0.0775451, 0.194651, 0.232334, 0.262668, 0.273285, 0.271401, 0.257206, 0.25207, 0.228759, 0.149618, 0.0972431, 0.0661445, 0.0494999, 0.0380633, 0.0265262, 0.0205056, 0.0153514, 0.0103747, 0.0045379, 0.00332395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.211968, 0.309929, 0.319491, 0.328181, 0.343685, 0.375268, 0.397075, 0.407886, 0.429508, 0.434526, 0.444564, 0.459428, 0.484181, 0.508996, 0.515482, 0.522894, 0.538306, 0.567735, 0.599047, 0.622095, 0.64096, 0.65526, 0.673645, 0.688607, 0.70661, 0.731976, 0.75838, 0.778608, 0.819065, 0.893409, 0.981362");
-            values ( \
-              "0.0148779, 0.0154489, 0.0290015, 0.0461463, 0.0877932, 0.187975, 0.242884, 0.262678, 0.289277, 0.292992, 0.298465, 0.301815, 0.297463, 0.280729, 0.273716, 0.264372, 0.24092, 0.189046, 0.138231, 0.106286, 0.0845004, 0.0704642, 0.0553167, 0.0452289, 0.035303, 0.0246544, 0.0169247, 0.0126392, 0.0068969, 0.00204643, 0.000434655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.236805, 0.40049, 0.433697, 0.535346, 0.555102, 0.589523, 0.646959, 0.700714, 0.754197, 0.807665, 0.822062, 0.871002, 0.997377, 1.05996, 1.12153, 1.16787, 1.21886, 1.29871, 1.3456, 1.3992, 1.50312, 1.57826");
-            values ( \
-              "0.0262859, 0.0266235, 0.060976, 0.217276, 0.241439, 0.274637, 0.30612, 0.314889, 0.309288, 0.291743, 0.284269, 0.251351, 0.14873, 0.106291, 0.0740057, 0.055458, 0.0399407, 0.0234288, 0.0170544, 0.0117953, 0.00557759, 0.00344501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.120575, 0.144575, 0.155936, 0.161635, 0.212269, 0.22452, 0.236377, 0.249747, 0.272959, 0.314884, 0.420671, 0.447725, 0.477775, 0.535052, 0.637909, 0.694057, 0.81279, 0.890148, 0.995158, 1.04025, 1.08209, 1.12046, 1.1814, 1.23896, 1.34288, 1.357, 1.38523, 1.43112, 1.47969, 1.57682, 1.61516, 1.8555, 1.95383, 2.01844, 2.11751, 2.22143, 2.31943, 2.38871, 2.49263, 2.54941, 2.66409, 2.76801, 2.87193, 2.97585, 3.07977, 3.28761, 3.59937");
-            values ( \
-              "0.000846826, 0.000945609, 0.00163254, 0.00296457, 0.0304476, 0.0347633, 0.0372581, 0.038551, 0.0381223, 0.0346935, 0.0195472, 0.0164436, 0.0141256, 0.0167428, 0.0522639, 0.0885176, 0.177809, 0.229313, 0.279676, 0.294095, 0.30421, 0.310962, 0.317508, 0.319606, 0.315503, 0.314174, 0.311069, 0.304487, 0.295243, 0.269053, 0.256098, 0.16577, 0.131888, 0.112286, 0.0863529, 0.0645771, 0.0486217, 0.0395474, 0.0288616, 0.0242271, 0.0170108, 0.0122467, 0.00883193, 0.00632454, 0.00455748, 0.00234614, 0.000846791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.339078, 0.34491, 0.346474, 0.348471, 0.351335, 0.353464, 0.354529, 0.355594, 0.356659, 0.358262, 0.35976, 0.361022, 0.362198, 0.363375, 0.36416, 0.364944, 0.365729, 0.366767, 0.367801, 0.368835, 0.369367, 0.369898, 0.370962, 0.372022, 0.373082, 0.374142, 0.375203, 0.375932, 0.378464, 0.379879, 0.380587, 0.383035, 0.384241, 0.385206, 0.386171, 0.388101, 0.389066, 0.39293, 0.395083, 0.397235, 0.399388, 0.401766, 0.403717, 0.405017, 0.406317, 0.408918, 0.410219, 0.411519, 0.413589, 0.417259");
-            values ( \
-              "0.0741083, 0.0756945, 0.0863763, 0.0992394, 0.116304, 0.126952, 0.131796, 0.13632, 0.140523, 0.146245, 0.150566, 0.153572, 0.155568, 0.157045, 0.157743, 0.158209, 0.158446, 0.157905, 0.15709, 0.156001, 0.154932, 0.153709, 0.150799, 0.147283, 0.143152, 0.138407, 0.133048, 0.127929, 0.10882, 0.0990357, 0.0944143, 0.0796342, 0.0731092, 0.0683621, 0.0641474, 0.0563864, 0.0528401, 0.040292, 0.0346419, 0.0299548, 0.0258246, 0.021909, 0.0188923, 0.0171941, 0.0156524, 0.0131802, 0.0120726, 0.0110507, 0.00966148, 0.00743381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.344596, 0.352751, 0.369782, 0.375508, 0.382999, 0.389819, 0.396467, 0.403102, 0.407026, 0.420876, 0.431061, 0.440316, 0.44963, 0.459753, 0.46698, 0.476294, 0.488714, 0.503535");
-            values ( \
-              "0.0270006, 0.0452304, 0.153833, 0.183233, 0.209769, 0.221281, 0.22249, 0.212929, 0.199565, 0.12529, 0.0821328, 0.0547571, 0.0356119, 0.0220279, 0.0155605, 0.00994624, 0.0053926, 0.00289873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.190983, 0.248933, 0.288728, 0.309046, 0.32007, 0.331345, 0.345188, 0.357979, 0.36899, 0.376947, 0.384416, 0.409724, 0.418791, 0.432152, 0.444484, 0.456639, 0.468782, 0.47136, 0.480196, 0.503764, 0.523033, 0.538772, 0.54987, 0.559701, 0.572809, 0.581996, 0.592287, 0.606007, 0.633449, 0.652174");
-            values ( \
-              "0.000286879, 0.000462787, 0.00695107, 0.00613831, 0.00457775, 0.00156059, 1e-22, 0.000467563, 0.0182747, 0.0426104, 0.0737993, 0.199779, 0.232324, 0.2627, 0.273255, 0.271433, 0.257172, 0.252066, 0.228748, 0.149615, 0.0972378, 0.0661585, 0.0495041, 0.0380595, 0.0265146, 0.020504, 0.0153544, 0.0103787, 0.00454478, 0.002978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.308726, 0.40705, 0.423408, 0.438093, 0.472324, 0.493956, 0.504924, 0.526862, 0.540973, 0.556465, 0.581227, 0.612491, 0.63534, 0.696102, 0.719133, 0.752281, 0.785649, 0.829013, 0.875627, 0.916067, 0.946544");
-            values ( \
-              "0.014708, 0.0155096, 0.042121, 0.0799608, 0.188058, 0.242667, 0.262686, 0.289629, 0.298269, 0.301815, 0.297506, 0.27375, 0.240922, 0.138201, 0.106279, 0.070475, 0.0452236, 0.0246537, 0.0126416, 0.00690049, 0.0049103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.333451, 0.500744, 0.535078, 0.616588, 0.661304, 0.686836, 0.7379, 0.756721, 0.797774, 0.851112, 0.876946, 0.906728, 0.963071, 1.09254, 1.14421, 1.22041, 1.28113, 1.31312, 1.37172, 1.44842, 1.50501, 1.60892, 1.66257");
-            values ( \
-              "0.0238467, 0.0289979, 0.0666388, 0.194788, 0.251511, 0.274563, 0.304012, 0.309523, 0.314409, 0.309052, 0.302057, 0.290405, 0.25528, 0.150202, 0.114188, 0.0731988, 0.0500491, 0.0406899, 0.02754, 0.0164154, 0.0111005, 0.00525446, 0.00419756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.38633, 0.770691, 0.92988, 0.971107, 1.00985, 1.0839, 1.13499, 1.17821, 1.21741, 1.27841, 1.33597, 1.43989, 1.45403, 1.48233, 1.5282, 1.57674, 1.67382, 1.71222, 1.95257, 2.0219, 2.11044, 2.1726, 2.24355, 2.33705, 2.42106, 2.50082, 2.60474, 2.68868, 2.82353, 2.92745, 3.03137, 3.13529, 3.34313, 3.65489");
-            values ( \
-              "0.00517881, 0.0740008, 0.19184, 0.219259, 0.241883, 0.276238, 0.293164, 0.303802, 0.310744, 0.317374, 0.319484, 0.315457, 0.314102, 0.311028, 0.304429, 0.295231, 0.269042, 0.256104, 0.165752, 0.141466, 0.113723, 0.0967155, 0.0797678, 0.0612333, 0.0479556, 0.0378113, 0.0275404, 0.0212927, 0.0139887, 0.0100582, 0.00724518, 0.0051846, 0.00265938, 0.000990961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.538957, 0.547393, 0.560888, 0.565841, 0.570276, 0.574518, 0.578761, 0.581625, 0.590368, 0.598738, 0.604874, 0.613197, 0.623254, 0.640269, 0.642802");
-            values ( \
-              "0.0101932, 0.0408513, 0.122277, 0.142484, 0.152964, 0.156087, 0.151326, 0.140399, 0.082709, 0.0466912, 0.0306232, 0.0171506, 0.0086043, 0.00287616, 0.00275788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.554406, 0.562106, 0.574002, 0.580383, 0.58351, 0.589765, 0.593781, 0.597995, 0.60469, 0.611383, 0.614827, 0.629958, 0.635971, 0.642151, 0.651786, 0.657211, 0.661861, 0.667222, 0.674894, 0.683408, 0.690024, 0.703258, 0.725941, 0.753257");
-            values ( \
-              "0.0509392, 0.0531737, 0.128369, 0.164487, 0.179885, 0.203114, 0.212932, 0.219141, 0.220891, 0.21193, 0.200767, 0.121202, 0.0948102, 0.0728224, 0.0474209, 0.0368736, 0.0296509, 0.0229735, 0.0158603, 0.0105592, 0.00768722, 0.00402892, 0.00126903, 0.000331089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.346489, 0.432997, 0.439417, 0.448219, 0.454744, 0.475844, 0.487321, 0.491037, 0.501267, 0.513479, 0.525771, 0.538568, 0.550312, 0.556086, 0.565038, 0.573472, 0.581618, 0.585857, 0.591803, 0.598897, 0.608668, 0.616414, 0.627785, 0.634048, 0.64112, 0.653505, 0.665682, 0.677849, 0.680367, 0.683245, 0.689, 0.707833, 0.7127, 0.719189, 0.731818, 0.73661, 0.741815, 0.748756, 0.759193, 0.76224, 0.768334, 0.780522, 0.790649, 0.795206, 0.80432, 0.822549, 0.857466, 0.898099");
-            values ( \
-              "7.78396e-05, 0.000197582, 0.000252689, 0.000547088, 0.00103346, 0.00402658, 0.00537323, 0.00570235, 0.00582117, 0.00543327, 0.00426659, 0.00155788, 1e-22, 2e-22, 0.000215001, 0.0110865, 0.0294587, 0.0437127, 0.0675528, 0.101784, 0.153003, 0.189216, 0.231632, 0.248267, 0.261408, 0.272781, 0.270835, 0.257113, 0.251989, 0.245398, 0.229441, 0.165359, 0.15002, 0.130827, 0.0978951, 0.0874485, 0.0769877, 0.0646383, 0.0491947, 0.0454064, 0.0385316, 0.0275625, 0.0207626, 0.0182911, 0.0141235, 0.00833941, 0.00278805, 0.000759549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.526157, 0.617708, 0.622878, 0.629554, 0.642907, 0.653225, 0.675618, 0.693518, 0.714169, 0.740849, 0.765788, 0.790557, 0.815316, 0.821739, 0.829079, 0.844605, 0.874015, 0.891883, 0.905404, 0.9284, 0.947193, 0.961513, 0.979919, 0.994922, 1.01292, 1.03827, 1.0495, 1.06465, 1.08485, 1.12526, 1.19938, 1.28706");
-            values ( \
-              "0.0148526, 0.0176056, 0.0247243, 0.0362781, 0.0677934, 0.0979998, 0.170685, 0.220807, 0.262747, 0.293682, 0.302193, 0.297909, 0.280715, 0.273888, 0.264647, 0.240971, 0.189106, 0.159376, 0.138147, 0.106268, 0.0845641, 0.0704976, 0.0553297, 0.045214, 0.0352936, 0.0246525, 0.0210244, 0.0169302, 0.0126463, 0.00690751, 0.00205792, 0.000441163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.550611, 0.735579, 0.826598, 0.871971, 0.896801, 0.946463, 0.969415, 1.00002, 1.02333, 1.06109, 1.09496, 1.11664, 1.16001, 1.28811, 1.36853, 1.45615, 1.52914, 1.60647, 1.69627, 1.79205, 1.82866");
-            values ( \
-              "0.00469214, 0.054415, 0.195256, 0.251966, 0.274913, 0.303182, 0.309876, 0.314278, 0.314139, 0.309046, 0.299427, 0.290176, 0.26474, 0.161242, 0.10524, 0.0624065, 0.0389941, 0.023229, 0.0125804, 0.00642655, 0.00520264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.36618, 0.456737, 0.526154, 0.564922, 0.62625, 0.781523, 0.838882, 0.933443, 1.1456, 1.30131, 1.40523, 1.47279, 1.54617, 1.66415, 1.78682, 1.92227, 2.32539, 2.54007, 2.66191, 2.8697, 3.13023, 3.22374");
-            values ( \
-              "0.000145628, 0.00238265, 0.0313737, 0.0370639, 0.0341854, 0.0142946, 0.0163391, 0.0462847, 0.196083, 0.27924, 0.30769, 0.316533, 0.319769, 0.314246, 0.29532, 0.256142, 0.112315, 0.0624665, 0.0437678, 0.0232861, 0.0102774, 0.00833959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.959528, 0.968186, 0.970368, 0.993, 0.997731, 1.00219, 1.00663, 1.01107, 1.01865, 1.02694, 1.03388, 1.04161, 1.05176, 1.06682, 1.0775");
-            values ( \
-              "0.00462129, 0.019626, 0.0267522, 0.132487, 0.144367, 0.149353, 0.146148, 0.129821, 0.0803335, 0.046019, 0.0287434, 0.0168337, 0.00843568, 0.00333724, 0.00242965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.972196, 0.986753, 0.988981, 1.00668, 1.01268, 1.0207, 1.02787, 1.03475, 1.04163, 1.0458, 1.06143, 1.07169, 1.08137, 1.09339, 1.10695, 1.11541, 1.12668, 1.14138");
-            values ( \
-              "0.00349684, 0.0369605, 0.0453555, 0.14048, 0.168878, 0.198235, 0.212163, 0.2159, 0.208058, 0.195153, 0.115153, 0.0751501, 0.0491752, 0.0281423, 0.0147235, 0.00984066, 0.00573643, 0.00310223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.989702, 1.0145, 1.01969, 1.02793, 1.0394, 1.04922, 1.05974, 1.07339, 1.08589, 1.09817, 1.11043, 1.11144, 1.11548, 1.11977, 1.14506, 1.15092, 1.16264, 1.16936, 1.17678, 1.18667, 1.19506, 1.20496, 1.21595, 1.22943, 1.23796, 1.25502, 1.27612, 1.2971");
-            values ( \
-              "0.0162694, 0.037067, 0.0545836, 0.0876857, 0.14368, 0.187948, 0.226053, 0.258393, 0.270018, 0.269366, 0.255557, 0.253795, 0.245211, 0.233921, 0.150519, 0.133059, 0.102058, 0.0871033, 0.0725775, 0.0563437, 0.0451868, 0.0346042, 0.025548, 0.0182845, 0.0144025, 0.00883115, 0.00475869, 0.00287968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.670408, 0.84955, 0.869528, 0.917548, 0.943156, 0.961106, 0.977912, 1.01772, 1.02731, 1.03984, 1.0523, 1.05729, 1.07244, 1.08824, 1.11566, 1.13544, 1.14834, 1.17516, 1.20012, 1.20805, 1.22492, 1.23567, 1.24681, 1.25779, 1.27896, 1.32036, 1.35254, 1.36565, 1.38492, 1.40358, 1.4247, 1.43619, 1.45239, 1.47526, 1.50155, 1.53661, 1.59763, 1.67673");
-            values ( \
-              "2.3665e-05, 0.000475185, 0.00199769, 0.00871991, 0.0105877, 0.0105335, 0.00905873, 0.0037374, 0.00375356, 0.00854605, 0.0200381, 0.027068, 0.0573857, 0.101418, 0.187374, 0.237926, 0.261715, 0.292898, 0.301531, 0.301622, 0.297273, 0.291282, 0.283249, 0.271691, 0.240801, 0.168926, 0.119686, 0.102613, 0.0810911, 0.0636429, 0.0481752, 0.041068, 0.0329359, 0.0237092, 0.0162585, 0.0098067, 0.00368495, 0.0010499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.678071, 0.857812, 0.877933, 0.942844, 0.976931, 1.01679, 1.09316, 1.11081, 1.13122, 1.16637, 1.26071, 1.30989, 1.36235, 1.38807, 1.44194, 1.49546, 1.54898, 1.56322, 1.6123, 1.73876, 1.80128, 1.8628, 1.90919, 1.96025, 2.04004, 2.08687, 2.14039, 2.24431, 2.30931");
-            values ( \
-              "3.02827e-05, 0.00112417, 0.00402584, 0.0183768, 0.0210787, 0.0190652, 0.0102998, 0.0123333, 0.020308, 0.0504928, 0.194663, 0.255743, 0.294876, 0.305383, 0.314508, 0.309084, 0.291638, 0.284263, 0.251316, 0.148673, 0.106285, 0.0740239, 0.0554592, 0.0399228, 0.0234274, 0.0170598, 0.0118065, 0.00558195, 0.00373649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.687023, 0.837689, 0.85778, 0.887895, 0.961215, 0.981036, 1.01782, 1.0406, 1.06694, 1.16327, 1.21584, 1.2501, 1.26855, 1.30544, 1.34445, 1.42246, 1.58153, 1.68388, 1.73694, 1.84086, 1.86331, 1.90822, 1.98168, 2.0856, 2.09964, 2.17365, 2.22229, 2.31956, 2.42914, 2.59808, 2.69642, 2.76101, 2.86005, 2.96397, 3.06202, 3.13133, 3.23525, 3.29198, 3.40656, 3.51048, 3.6144, 3.71832, 3.82224, 4.03008, 4.34184");
-            values ( \
-              "3.85398e-05, 0.000394096, 0.00129113, 0.00713896, 0.028735, 0.0315902, 0.0338553, 0.0340439, 0.0323831, 0.0193553, 0.0143739, 0.014309, 0.0159658, 0.0228972, 0.0360498, 0.0793422, 0.197096, 0.25722, 0.27962, 0.307831, 0.311496, 0.316596, 0.319928, 0.315628, 0.314358, 0.30463, 0.295286, 0.269069, 0.229984, 0.165793, 0.131861, 0.112307, 0.0863406, 0.0645984, 0.0486306, 0.039528, 0.0288685, 0.0242137, 0.0170256, 0.01224, 0.00884423, 0.00631655, 0.00456835, 0.00235615, 0.000838069" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00700975", \
-            "0.0089409", \
-            "0.0101363", \
-            "0.0107197", \
-            "0.0109821", \
-            "0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100549", \
-            "0.010318", \
-            "0.00954327", \
-            "0.00887701", \
-            "0.0086128", \
-            "0.00849665" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00634806, 0.00634974, 0.00635169, 0.00635325, 0.00635421, 0.0063547", \
-            "0.00741359, 0.00741417, 0.00741516, 0.00741624, 0.00741704, 0.00741751", \
-            "0.00806918, 0.00806927, 0.00806952, 0.00806999, 0.00807049, 0.00807084", \
-            "0.00846527, 0.0084653, 0.00846535, 0.0084655, 0.00846572, 0.00846594", \
-            "0.00867959, 0.00867961, 0.00867962, 0.0086797, 0.0086798, 0.00867991", \
-            "0.00880098, 0.008801, 0.00880103, 0.00880106, 0.00880111, 0.00880118" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00889243, 0.00889295, 0.00889421, 0.00889574, 0.0088969, 0.00889758", \
-            "0.00997679, 0.00997702, 0.00997755, 0.00997847, 0.00997939, 0.00998001", \
-            "0.0106092, 0.0106097, 0.0106104, 0.0106111, 0.0106119, 0.0106125", \
-            "0.0109671, 0.0109657, 0.0109644, 0.0109636, 0.0109634, 0.0109635", \
-            "0.0113551, 0.0113535, 0.0113501, 0.0113459, 0.0113422, 0.0113399", \
-            "0.0112806, 0.0113305, 0.0113575, 0.0113727, 0.0113821, 0.0113859" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & ~D & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.196091, 0.225853, 0.286158, 0.410743, 0.680596, 1.2837", \
-            "0.201959, 0.231734, 0.292049, 0.416628, 0.686559, 1.28966", \
-            "0.215211, 0.244954, 0.305201, 0.429781, 0.699834, 1.30276", \
-            "0.243473, 0.273192, 0.333527, 0.458162, 0.728202, 1.331", \
-            "0.299992, 0.330282, 0.391198, 0.516156, 0.786473, 1.38972", \
-            "0.394645, 0.42713, 0.490564, 0.617271, 0.888008, 1.49199" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0281527, 0.0446377, 0.0815644, 0.165852, 0.356803, 0.787122", \
-            "0.0281722, 0.0446293, 0.0815732, 0.165806, 0.35715, 0.787106", \
-            "0.0281452, 0.0446586, 0.0815412, 0.165697, 0.357085, 0.787063", \
-            "0.0281545, 0.0446727, 0.0815655, 0.165828, 0.356941, 0.787257", \
-            "0.0285978, 0.0450036, 0.0816485, 0.165773, 0.356812, 0.787137", \
-            "0.0300133, 0.0462121, 0.082356, 0.165924, 0.357265, 0.786777" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.172867, 0.17737, 0.179163, 0.182569, 0.188466, 0.194441, 0.199256, 0.203601, 0.207777, 0.211953, 0.214361, 0.220985, 0.224299, 0.231094, 0.237868, 0.242115, 0.246363, 0.252028, 0.256392, 0.263295, 0.272499, 0.281541");
-            values ( \
-              "0.0110104, 0.0222543, 0.0315567, 0.0502527, 0.0920217, 0.126313, 0.145996, 0.155679, 0.158276, 0.152816, 0.143714, 0.0978464, 0.0786584, 0.0491894, 0.0309419, 0.0229258, 0.0170544, 0.0115218, 0.00854519, 0.00543278, 0.00304426, 0.00195681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.026698, 0.0324129, 0.0489104, 0.0914436, 0.12646, 0.15629, 0.179858, 0.190852, 0.193079, 0.216761, 0.223065, 0.2266, 0.231067, 0.237716, 0.244362, 0.248234, 0.259211, 0.271893, 0.282786, 0.292496, 0.304021, 0.311106, 0.321801, 0.329392");
-            values ( \
-              "0.00300997, 1e-22, 0.000911159, 0.00019959, 0.00287374, 1e-22, 2e-22, 0.0289931, 0.0400267, 0.183156, 0.206259, 0.214707, 0.220851, 0.222259, 0.212648, 0.199611, 0.139548, 0.083664, 0.0518393, 0.0330335, 0.0190886, 0.0135915, 0.00813194, 0.00594018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0299383, 0.0350115, 0.0913587, 0.1311, 0.150246, 0.173273, 0.188868, 0.201337, 0.213133, 0.217978, 0.250153, 0.260077, 0.273445, 0.285782, 0.297942, 0.312661, 0.364316, 0.380194, 0.400971, 0.423272, 0.447531, 0.460498");
-            values ( \
-              "0.00143734, 1e-22, 0.000465087, 0.00704285, 0.00605939, 0.00121982, 1e-22, 0.00250353, 0.0257346, 0.0416234, 0.19596, 0.232171, 0.262522, 0.273174, 0.271315, 0.252021, 0.0973019, 0.0659737, 0.0381078, 0.0205296, 0.0103185, 0.0075857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.157889, 0.253379, 0.264396, 0.280189, 0.313241, 0.330964, 0.345843, 0.372575, 0.397516, 0.422294, 0.447061, 0.45346, 0.476348, 0.523638, 0.560152, 0.593251, 0.626679, 0.64467, 0.670026, 0.716597, 0.756997, 0.79628");
-            values ( \
-              "0.0108182, 0.0224961, 0.0423202, 0.0833323, 0.187646, 0.233946, 0.26239, 0.293406, 0.302119, 0.297777, 0.280731, 0.273884, 0.240963, 0.15936, 0.106262, 0.0705057, 0.0452082, 0.0352902, 0.0246503, 0.0126465, 0.00690843, 0.00437303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.17275, 0.347688, 0.504665, 0.527461, 0.573053, 0.608109, 0.638392, 0.697998, 0.755356, 0.803512, 0.989713, 1.05198, 1.1318, 1.22453, 1.28168, 1.35789, 1.43724");
-            values ( \
-              "0.0185152, 0.0344092, 0.254203, 0.274546, 0.301695, 0.311539, 0.314239, 0.307694, 0.286374, 0.255195, 0.111126, 0.0772794, 0.0468686, 0.0253752, 0.0172397, 0.0101196, 0.00604958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.0581207, 0.0962762, 0.178719, 0.200368, 0.241502, 0.411578, 0.460303, 0.541118, 0.872856, 0.931868, 1.0358, 1.10363, 1.17686, 1.29486, 1.41753, 1.51476, 1.9512, 2.0843, 2.26183, 2.34158, 2.49185, 2.75602, 2.9331");
-            values ( \
-              "0.000868504, 0.00213416, 0.0379095, 0.0384455, 0.0358165, 0.0143787, 0.0151817, 0.0359285, 0.253612, 0.279088, 0.307476, 0.316382, 0.319796, 0.314294, 0.295236, 0.269077, 0.113759, 0.079738, 0.0479783, 0.0377865, 0.0238776, 0.0104692, 0.00672247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.192064, 0.198045, 0.202148, 0.214135, 0.218958, 0.223306, 0.227486, 0.231664, 0.234014, 0.242846, 0.250273, 0.255369, 0.263259, 0.269571, 0.275379, 0.282906, 0.288951, 0.301041, 0.303574");
-            values ( \
-              "0.0100414, 0.0267843, 0.0491478, 0.126116, 0.145736, 0.155647, 0.158111, 0.152856, 0.143955, 0.0852781, 0.0510533, 0.0360604, 0.0208272, 0.0134339, 0.00896747, 0.00548327, 0.00376851, 0.0017611, 0.00166529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.0532029, 0.112326, 0.145938, 0.176639, 0.200474, 0.212162, 0.24028, 0.250446, 0.257088, 0.267628, 0.291481, 0.312214, 0.327246, 0.342253, 0.346626");
-            values ( \
-              "0.000944822, 0.000135155, 0.0028538, 1e-22, 0.000956502, 0.0387402, 0.199555, 0.221076, 0.22225, 0.19954, 0.082876, 0.0324941, 0.0158327, 0.0076959, 0.00692142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0635961, 0.112578, 0.153616, 0.176746, 0.198169, 0.213332, 0.224371, 0.239848, 0.270367, 0.279891, 0.293264, 0.305604, 0.317765, 0.33248, 0.384115, 0.400094, 0.420757, 0.44306, 0.467494, 0.481189");
-            values ( \
-              "0.000416463, 0.000449796, 0.0069586, 0.00530638, 1e-22, 2e-22, 0.00715907, 0.049714, 0.197556, 0.232039, 0.26248, 0.273131, 0.2713, 0.252017, 0.0973599, 0.0658459, 0.0381476, 0.0205513, 0.0102776, 0.0074084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.176967, 0.268926, 0.281933, 0.293416, 0.344663, 0.365606, 0.39227, 0.417239, 0.44201, 0.466771, 0.473189, 0.496061, 0.543341, 0.579857, 0.612968, 0.646381, 0.664374, 0.689733, 0.736316, 0.776727, 0.82507");
-            values ( \
-              "0.015794, 0.0169791, 0.037724, 0.0651029, 0.220001, 0.26257, 0.293675, 0.302089, 0.297959, 0.280632, 0.273883, 0.240965, 0.159373, 0.106267, 0.0704982, 0.0452125, 0.0352924, 0.0246513, 0.0126448, 0.00690618, 0.00378693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.192827, 0.365881, 0.404019, 0.477294, 0.510952, 0.547488, 0.604857, 0.658654, 0.712134, 0.780001, 0.828937, 1.00679, 1.07946, 1.12581, 1.1768, 1.25665, 1.35715, 1.4504");
-            values ( \
-              "0.0200526, 0.0326535, 0.0782003, 0.194749, 0.239271, 0.274549, 0.306301, 0.314757, 0.309448, 0.284263, 0.251345, 0.11313, 0.0740034, 0.0554563, 0.0399394, 0.023427, 0.0117928, 0.00621551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.078168, 0.117861, 0.199587, 0.261758, 0.430644, 0.477852, 0.555541, 0.897524, 0.952045, 1.05598, 1.12379, 1.19703, 1.31503, 1.4377, 1.57316, 1.97126, 2.10459, 2.28189, 2.46561, 2.67089, 2.92537");
-            values ( \
-              "0.000786123, 0.00263305, 0.0379735, 0.035781, 0.0144164, 0.0149534, 0.0336351, 0.255945, 0.279034, 0.307578, 0.316471, 0.319722, 0.314226, 0.295295, 0.256123, 0.113776, 0.0797097, 0.0480047, 0.0275816, 0.0145528, 0.00713647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.237228, 0.240637, 0.242878, 0.246962, 0.252361, 0.258325, 0.263134, 0.267481, 0.271656, 0.275832, 0.278272, 0.284797, 0.288236, 0.295186, 0.301133, 0.305808, 0.311806, 0.316845, 0.325248, 0.331141, 0.342927, 0.347666");
-            values ( \
-              "0.0117835, 0.0196588, 0.0307131, 0.0535527, 0.0924057, 0.126501, 0.145973, 0.155784, 0.158248, 0.152881, 0.143564, 0.098326, 0.0783395, 0.0484659, 0.0322913, 0.0232002, 0.0153305, 0.0108015, 0.00619589, 0.00423286, 0.00203357, 0.00167988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.109947, 0.155557, 0.190283, 0.220119, 0.243465, 0.254916, 0.280639, 0.288141, 0.294968, 0.30162, 0.30826, 0.312177, 0.326039, 0.336165, 0.345573, 0.356891, 0.364811, 0.381663, 0.391551");
-            values ( \
-              "0.000542316, 0.000157738, 0.00285829, 1e-22, 2e-22, 0.0296791, 0.183097, 0.209478, 0.221174, 0.222283, 0.212847, 0.199485, 0.125238, 0.0823235, 0.0545246, 0.0322764, 0.022146, 0.00985738, 0.00628744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.113325, 0.158798, 0.187611, 0.198303, 0.22271, 0.247375, 0.262665, 0.273683, 0.282182, 0.291585, 0.307278, 0.323752, 0.33497, 0.341341, 0.349422, 0.361586, 0.373748, 0.376289, 0.384998, 0.408652, 0.427828, 0.444319, 0.455005, 0.464405, 0.476938, 0.486716, 0.497565, 0.512031, 0.540962, 0.552433");
-            values ( \
-              "0.0010834, 0.0007409, 0.00629461, 0.00691582, 0.00495943, 1e-22, 9.829e-05, 0.0176533, 0.0437316, 0.0843328, 0.165984, 0.23219, 0.2588, 0.267454, 0.272839, 0.27105, 0.257058, 0.251987, 0.229159, 0.149854, 0.0976409, 0.0651964, 0.0493051, 0.0383509, 0.027163, 0.0206633, 0.015232, 0.0100713, 0.00419378, 0.003322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.116022, 0.124094, 0.14711, 0.158708, 0.167009, 0.190663, 0.198149, 0.211825, 0.222725, 0.235607, 0.247892, 0.273777, 0.282554, 0.291607, 0.302941, 0.310265, 0.315029, 0.321381, 0.330523, 0.34639, 0.377136, 0.39933, 0.409769, 0.430648, 0.436405, 0.44792, 0.46131, 0.48649, 0.494144, 0.502307, 0.511761, 0.53067, 0.540178, 0.581148, 0.592255, 0.614467, 0.629629, 0.646086, 0.668029, 0.677886, 0.694452, 0.711594, 0.735409, 0.745142, 0.764607, 0.803538, 0.875425, 0.959824");
-            values ( \
-              "0.00138272, 0.000977501, 0.000764592, 0.00131124, 0.00325635, 0.0116547, 0.0134816, 0.0152365, 0.0153391, 0.0140141, 0.0115797, 0.00503415, 0.00344959, 0.00307965, 0.00749647, 0.0136375, 0.0193693, 0.0291171, 0.047271, 0.0903378, 0.188001, 0.243517, 0.262714, 0.288503, 0.292979, 0.298941, 0.301806, 0.297211, 0.293308, 0.28785, 0.279561, 0.256034, 0.240678, 0.169571, 0.15159, 0.118589, 0.0992727, 0.0810469, 0.0610555, 0.0535337, 0.0428242, 0.0337688, 0.0241279, 0.0209983, 0.0158861, 0.00890548, 0.00278562, 0.000648311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.240521, 0.407937, 0.445677, 0.543218, 0.591064, 0.648494, 0.70222, 0.755693, 0.823578, 0.87249, 1.05034, 1.12302, 1.16936, 1.22033, 1.30019, 1.40073, 1.50466, 1.52517");
-            values ( \
-              "0.0224669, 0.0314309, 0.075437, 0.225627, 0.274802, 0.306406, 0.314827, 0.309467, 0.284263, 0.251351, 0.113132, 0.0740003, 0.0554561, 0.0399426, 0.0234273, 0.0117909, 0.00557544, 0.00499364" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.121946, 0.146914, 0.158515, 0.164326, 0.219853, 0.240733, 0.256049, 0.277232, 0.308166, 0.42046, 0.468622, 0.509905, 0.57741, 0.678338, 0.840452, 0.923023, 0.996079, 1.10001, 1.16769, 1.24101, 1.34494, 1.359, 1.38711, 1.43305, 1.48167, 1.5789, 1.61712, 1.85746, 2.01521, 2.07771, 2.14857, 2.24199, 2.32584, 2.40564, 2.50957, 2.5685, 2.6438, 2.81823, 3.02609, 3.13003, 3.23396, 3.54576");
-            values ( \
-              "0.000826126, 0.000965412, 0.00182297, 0.00338868, 0.0327092, 0.0376243, 0.0385103, 0.037836, 0.0355704, 0.0198255, 0.0147963, 0.0141227, 0.0259238, 0.0761605, 0.196102, 0.246638, 0.279105, 0.307636, 0.316503, 0.319738, 0.315629, 0.314227, 0.311193, 0.304518, 0.295321, 0.268998, 0.256153, 0.165721, 0.113723, 0.0966218, 0.0797735, 0.0611855, 0.047932, 0.0378575, 0.0275053, 0.0229442, 0.0181655, 0.0104769, 0.0053805, 0.00395192, 0.0027384, 0.00108182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.333638, 0.336709, 0.342399, 0.354584, 0.359399, 0.363743, 0.36792, 0.372135, 0.374498, 0.384431, 0.391178, 0.398178, 0.406419, 0.416441, 0.433222, 0.441519");
-            values ( \
-              "0.0120355, 0.019007, 0.0485679, 0.126256, 0.146075, 0.155584, 0.15833, 0.152664, 0.143733, 0.07872, 0.0493975, 0.0305842, 0.017165, 0.008604, 0.00293362, 0.00254706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.189576, 0.249278, 0.286513, 0.31624, 0.339518, 0.35153, 0.377021, 0.384527, 0.391356, 0.39801, 0.404653, 0.408545, 0.422419, 0.43235, 0.44219, 0.453086, 0.468033, 0.483897, 0.487479");
-            values ( \
-              "0.000230782, 0.000175619, 0.00287896, 1e-22, 2e-22, 0.0306983, 0.182832, 0.20945, 0.221022, 0.222302, 0.212751, 0.199566, 0.125297, 0.0830666, 0.0539743, 0.03257, 0.0159289, 0.00742995, 0.0064908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.193127, 0.248966, 0.289454, 0.315893, 0.340854, 0.35151, 0.362435, 0.372222, 0.378812, 0.387766, 0.403725, 0.420176, 0.433533, 0.445889, 0.458049, 0.470197, 0.48158, 0.505168, 0.524421, 0.540305, 0.551326, 0.561075, 0.574075, 0.583377, 0.593777, 0.607645, 0.63538, 0.652705");
-            values ( \
-              "0.00031084, 0.000392655, 0.00686991, 0.00550164, 1e-22, 2e-22, 0.00405543, 0.0232133, 0.0445835, 0.0832204, 0.166228, 0.23231, 0.26231, 0.273328, 0.271148, 0.257297, 0.228806, 0.149641, 0.0973016, 0.0659684, 0.0494586, 0.038109, 0.0266359, 0.0205299, 0.0153262, 0.0103176, 0.00447201, 0.00306586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.319903, 0.413789, 0.424225, 0.437126, 0.473709, 0.492259, 0.506282, 0.533002, 0.55794, 0.582714, 0.607478, 0.613889, 0.636768, 0.68405, 0.720566, 0.753674, 0.78709, 0.805083, 0.830441, 0.856817, 0.87702, 0.917428, 0.957583");
-            values ( \
-              "0.0113446, 0.0224355, 0.041043, 0.073199, 0.188118, 0.235928, 0.262655, 0.293368, 0.30229, 0.297685, 0.280861, 0.273895, 0.240975, 0.159374, 0.10627, 0.0705012, 0.0452106, 0.0352931, 0.0246502, 0.0169284, 0.012646, 0.00690617, 0.00431558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.332955, 0.508141, 0.546823, 0.617924, 0.66496, 0.688232, 0.734776, 0.767011, 0.799175, 0.852535, 0.863513, 0.896969, 0.930607, 0.969415, 1.09778, 1.14782, 1.21891, 1.28836, 1.32661, 1.38037, 1.47964, 1.56581, 1.65762");
-            values ( \
-              "0.0185405, 0.0341801, 0.0813695, 0.194657, 0.253662, 0.27458, 0.302046, 0.311171, 0.3143, 0.309138, 0.306525, 0.295363, 0.278249, 0.251304, 0.147293, 0.112841, 0.0745098, 0.0481953, 0.0375839, 0.0262392, 0.0133846, 0.00727144, 0.00387962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.381884, 0.517074, 0.544181, 0.569029, 0.615099, 0.69793, 0.801863, 0.929321, 0.98225, 1.03167, 1.09236, 1.19629, 1.21897, 1.26434, 1.33746, 1.44139, 1.45547, 1.48363, 1.52956, 1.57815, 1.67535, 1.71362, 1.95396, 2.0233, 2.11183, 2.17399, 2.24493, 2.33843, 2.42246, 2.50221, 2.60615, 2.69007, 2.82572, 2.92966, 3.03359, 3.13752, 3.34539, 3.65719");
-            values ( \
-              "0.0910918, 0.0198108, 0.016587, 0.0145802, 0.0146122, 0.0342074, 0.0950392, 0.190441, 0.225276, 0.252502, 0.278854, 0.30735, 0.311103, 0.316323, 0.319716, 0.315512, 0.314246, 0.311081, 0.304549, 0.295233, 0.269068, 0.256079, 0.165787, 0.141431, 0.113757, 0.096747, 0.079736, 0.0612627, 0.0479826, 0.037782, 0.0275655, 0.021268, 0.0139296, 0.0100546, 0.0072032, 0.00519288, 0.00267393, 0.000966613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.539883, 0.543735, 0.547235, 0.5586, 0.566407, 0.570849, 0.575097, 0.579366, 0.582124, 0.590908, 0.5993, 0.605826, 0.61367, 0.623393, 0.636766, 0.64641");
-            values ( \
-              "0.011744, 0.021518, 0.0376133, 0.107364, 0.142395, 0.152623, 0.156041, 0.151019, 0.140685, 0.082928, 0.046751, 0.0298516, 0.017312, 0.00888129, 0.00376348, 0.00276857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.550277, 0.560234, 0.579796, 0.58423, 0.591865, 0.59877, 0.605478, 0.612173, 0.61561, 0.632402, 0.643303, 0.651819, 0.657724, 0.663264, 0.670651, 0.676556, 0.68488, 0.695979, 0.712265");
-            values ( \
-              "0.0195163, 0.0396503, 0.156992, 0.17916, 0.206598, 0.219028, 0.220812, 0.21182, 0.200705, 0.113241, 0.0717611, 0.0490932, 0.0373416, 0.028797, 0.0202176, 0.0152211, 0.0102128, 0.00595999, 0.00293955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.35165, 0.44887, 0.499556, 0.525485, 0.549767, 0.566204, 0.575532, 0.586817, 0.628007, 0.641399, 0.653739, 0.663077, 0.665888, 0.678414, 0.691093, 0.727919, 0.747826, 0.766212, 0.791057, 0.804476, 0.822368, 0.852987");
-            values ( \
-              "0.000101189, 0.000535976, 0.005803, 0.00422013, 1e-22, 0.000895814, 0.0145166, 0.0464281, 0.231388, 0.261675, 0.272368, 0.272127, 0.270538, 0.255924, 0.224067, 0.108044, 0.0666449, 0.0411169, 0.0207211, 0.0141998, 0.00845689, 0.00357135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.526086, 0.61831, 0.632091, 0.647125, 0.682331, 0.704202, 0.71495, 0.736446, 0.751885, 0.766496, 0.791248, 0.822561, 0.845378, 0.906113, 0.929166, 0.962337, 0.995677, 1.03905, 1.08569, 1.12615, 1.15986");
-            values ( \
-              "0.0148748, 0.0173353, 0.0396664, 0.0772578, 0.188038, 0.242966, 0.262666, 0.289112, 0.298528, 0.301791, 0.297441, 0.273699, 0.240915, 0.138242, 0.106287, 0.0704599, 0.0452312, 0.0246549, 0.0126368, 0.00689523, 0.00472255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.550664, 0.735113, 0.827484, 0.874723, 0.897733, 0.943754, 0.977483, 1.00864, 1.06255, 1.07403, 1.11074, 1.13104, 1.17892, 1.27388, 1.35076, 1.41558, 1.46308, 1.50476, 1.56033, 1.59736, 1.69369, 1.79763, 1.85531");
-            values ( \
-              "0.0056755, 0.0527905, 0.195252, 0.253814, 0.274975, 0.30166, 0.31118, 0.314536, 0.309024, 0.306262, 0.293538, 0.283455, 0.251193, 0.17311, 0.116857, 0.0804763, 0.0599919, 0.0460151, 0.0319258, 0.0248969, 0.0129285, 0.006107, 0.00432267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.368747, 0.435265, 0.449555, 0.458315, 0.525886, 0.535475, 0.556665, 0.583944, 0.606269, 0.632655, 0.746217, 0.767184, 0.809117, 0.890386, 0.994319, 1.14593, 1.24799, 1.302, 1.40593, 1.42855, 1.4738, 1.54701, 1.65094, 1.66501, 1.73907, 1.78768, 1.8849, 1.99455, 2.16348, 2.26147, 2.34209, 2.43649, 2.54042, 2.58835, 2.66412, 2.76504, 2.8451, 2.92232, 3.02528, 3.12922, 3.33708, 3.44102, 3.54495, 3.85675");
-            values ( \
-              "0.000176737, 0.000509952, 0.00116801, 0.00274807, 0.0311234, 0.0337203, 0.0366691, 0.0369929, 0.0359799, 0.0334504, 0.0173936, 0.015409, 0.0138811, 0.0281503, 0.083175, 0.195759, 0.25599, 0.279103, 0.307416, 0.311262, 0.316334, 0.319828, 0.315484, 0.314329, 0.304622, 0.295196, 0.269117, 0.230022, 0.165833, 0.131937, 0.107732, 0.0837352, 0.0626255, 0.054422, 0.0435509, 0.0322067, 0.0251181, 0.0197898, 0.0144167, 0.0103018, 0.00529412, 0.00387537, 0.00269945, 0.00105152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.958464, 0.970339, 0.993423, 0.99817, 1.00264, 1.00709, 1.01153, 1.01913, 1.02744, 1.03434, 1.04218, 1.05236, 1.06753, 1.07385");
-            values ( \
-              "0.00348964, 0.0242862, 0.131995, 0.144111, 0.14907, 0.14601, 0.12962, 0.0801478, 0.045879, 0.0287279, 0.0167182, 0.00837124, 0.00329986, 0.00277847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.655669, 0.836231, 0.902118, 0.970575, 0.983571, 0.98822, 1.02067, 1.02785, 1.03473, 1.04162, 1.04579, 1.07176, 1.09352, 1.10711, 1.1229, 1.12736");
-            values ( \
-              "9.23636e-06, 5.95886e-05, 0.00150237, 4.76128e-05, 0.0253811, 0.0422561, 0.198175, 0.211997, 0.215812, 0.207887, 0.195, 0.0748584, 0.0279663, 0.0146137, 0.00690283, 0.00589413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.995593, 1.01481, 1.02257, 1.03011, 1.04829, 1.06013, 1.06826, 1.07372, 1.08465, 1.08948, 1.09591, 1.0985, 1.10368, 1.11111, 1.12385, 1.14516, 1.15039, 1.16085, 1.1699, 1.18085, 1.1921, 1.19692, 1.20448, 1.21589, 1.22869, 1.23627, 1.25144, 1.27134, 1.31115, 1.35748");
-            values ( \
-              "0.0318234, 0.0369883, 0.0634323, 0.0968292, 0.181921, 0.226013, 0.247346, 0.257376, 0.268995, 0.27055, 0.269743, 0.268612, 0.264576, 0.254656, 0.223059, 0.151433, 0.135757, 0.107455, 0.0868406, 0.0661585, 0.0493977, 0.043452, 0.0354434, 0.0259092, 0.0185737, 0.0150251, 0.00975604, 0.00545936, 0.00152299, 0.000320941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.676179, 0.849354, 0.874333, 0.919316, 0.938268, 0.954963, 0.981389, 1.01684, 1.02681, 1.04143, 1.0511, 1.06163, 1.07538, 1.11622, 1.1401, 1.14883, 1.16349, 1.17554, 1.2006, 1.20831, 1.22542, 1.23658, 1.25656, 1.27945, 1.32368, 1.35905, 1.3933, 1.41382, 1.43159, 1.45529, 1.47546, 1.50246, 1.53846, 1.6083, 1.60994");
-            values ( \
-              "2.52676e-05, 0.000460136, 0.00253407, 0.00885027, 0.0103598, 0.0107516, 0.00869463, 0.00373885, 0.00367162, 0.00909789, 0.0180199, 0.0333061, 0.06336, 0.187399, 0.24583, 0.261595, 0.281261, 0.291955, 0.301277, 0.301143, 0.296916, 0.290975, 0.273541, 0.240748, 0.164201, 0.111526, 0.0733894, 0.055961, 0.0440417, 0.0317813, 0.0238023, 0.0161601, 0.0095835, 0.00304476, 0.00300044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.685491, 0.836007, 0.857049, 0.882866, 0.937492, 0.954424, 0.969349, 0.98156, 0.995199, 1.02729, 1.08179, 1.08978, 1.10043, 1.11665, 1.14681, 1.18844, 1.26019, 1.28427, 1.33072, 1.38818, 1.44198, 1.49548, 1.54896, 1.56332, 1.57973, 1.61229, 1.73868, 1.80126, 1.83347, 1.86281, 1.90917, 1.96017, 2.01961, 2.04001, 2.08689, 2.14048, 2.24441, 2.34834, 2.45227, 2.55621");
-            values ( \
-              "3.62109e-05, 0.000298042, 0.00107685, 0.00510882, 0.0174683, 0.0199893, 0.0209835, 0.0209814, 0.0203054, 0.0180375, 0.0109257, 0.0104005, 0.0106555, 0.0138937, 0.0310927, 0.079761, 0.193604, 0.226426, 0.274337, 0.305947, 0.314789, 0.30925, 0.291705, 0.284264, 0.274478, 0.25134, 0.148717, 0.106289, 0.0882461, 0.0740093, 0.055458, 0.0399365, 0.0268444, 0.023428, 0.017054, 0.0117968, 0.00557694, 0.00262875, 0.00122572, 0.000579611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.695635, 0.836647, 0.857817, 0.888315, 0.955062, 0.981871, 1.01708, 1.04089, 1.06901, 1.16541, 1.21595, 1.24834, 1.26523, 1.29901, 1.33682, 1.41245, 1.58231, 1.67502, 1.73745, 1.84139, 1.90867, 1.98218, 2.08612, 2.10014, 2.17414, 2.22279, 2.32009, 2.35823, 2.59857, 2.7615, 2.86054, 2.96447, 3.06251, 3.13181, 3.29248, 3.40709, 3.51102, 3.61495, 3.82282, 3.9283");
-            values ( \
-              "4.50503e-05, 0.000369516, 0.0012439, 0.0070898, 0.0273155, 0.0316731, 0.0336608, 0.0338847, 0.0320982, 0.0192259, 0.0144415, 0.0141954, 0.0155165, 0.0211419, 0.0327713, 0.0721403, 0.197258, 0.252695, 0.279591, 0.3079, 0.316643, 0.319893, 0.31567, 0.314325, 0.304601, 0.295319, 0.269029, 0.256127, 0.165771, 0.112286, 0.0863565, 0.0645803, 0.0486213, 0.0395387, 0.0242221, 0.017017, 0.0122449, 0.00883606, 0.00456089, 0.00343864" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00700975", \
-            "0.0089409", \
-            "0.0101363", \
-            "0.0107197", \
-            "0.0109821", \
-            "0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100549", \
-            "0.010318", \
-            "0.00954327", \
-            "0.00887701", \
-            "0.0086128", \
-            "0.00849665" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00693505, 0.00693689, 0.00693901, 0.00694071, 0.00694174, 0.00694228", \
-            "0.00818578, 0.00818645, 0.00818755, 0.00818876, 0.00818964, 0.00819015", \
-            "0.00894351, 0.00894359, 0.00894386, 0.00894438, 0.00894492, 0.0089453", \
-            "0.00939003, 0.00939005, 0.00939009, 0.00939023, 0.00939046, 0.0093907", \
-            "0.0096237, 0.00962371, 0.00962371, 0.00962377, 0.00962385, 0.00962397", \
-            "0.00976328, 0.00976329, 0.00976332, 0.00976334, 0.00976338, 0.00976344" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00970941, 0.00971002, 0.00971145, 0.00971314, 0.00971441, 0.00971515", \
-            "0.0106309, 0.010631, 0.0106314, 0.0106322, 0.0106331, 0.0106337", \
-            "0.0110157, 0.0110161, 0.0110165, 0.011017, 0.0110176, 0.0110182", \
-            "0.0112749, 0.0112737, 0.0112725, 0.0112719, 0.0112717, 0.0112718", \
-            "0.0118945, 0.0118925, 0.0118891, 0.0118847, 0.0118809, 0.0118785", \
-            "0.0124495, 0.0124993, 0.0125274, 0.0125436, 0.0125532, 0.0125582" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.196091, 0.225853, 0.286158, 0.410743, 0.680596, 1.2837", \
-            "0.201959, 0.231734, 0.292049, 0.416628, 0.686559, 1.28966", \
-            "0.215211, 0.244954, 0.305201, 0.429781, 0.699834, 1.30276", \
-            "0.243473, 0.273192, 0.333527, 0.458162, 0.728202, 1.331", \
-            "0.299992, 0.330282, 0.391198, 0.516156, 0.786473, 1.38972", \
-            "0.394645, 0.42713, 0.490564, 0.617271, 0.888008, 1.49199" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0281527, 0.0446377, 0.0815644, 0.165852, 0.356803, 0.787122", \
-            "0.0281722, 0.0446293, 0.0815732, 0.165806, 0.35715, 0.787106", \
-            "0.0281452, 0.0446586, 0.0815412, 0.165697, 0.357085, 0.787063", \
-            "0.0281545, 0.0446727, 0.0815655, 0.165828, 0.356941, 0.787257", \
-            "0.0285978, 0.0450036, 0.0816485, 0.165773, 0.356812, 0.787137", \
-            "0.0300133, 0.0462121, 0.082356, 0.165924, 0.357265, 0.786777" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.172867, 0.17737, 0.179163, 0.182569, 0.188466, 0.194441, 0.199256, 0.203601, 0.207777, 0.211953, 0.214361, 0.220985, 0.224299, 0.231094, 0.237868, 0.242115, 0.246363, 0.252028, 0.256392, 0.263295, 0.272499, 0.281541");
-            values ( \
-              "0.0110104, 0.0222543, 0.0315567, 0.0502527, 0.0920217, 0.126313, 0.145996, 0.155679, 0.158276, 0.152816, 0.143714, 0.0978464, 0.0786584, 0.0491894, 0.0309419, 0.0229258, 0.0170544, 0.0115218, 0.00854519, 0.00543278, 0.00304426, 0.00195681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00817674");
-            index_3 ("0.026698, 0.0324129, 0.0489104, 0.0914436, 0.12646, 0.15629, 0.179858, 0.190852, 0.193079, 0.216761, 0.223065, 0.2266, 0.231067, 0.237716, 0.244362, 0.248234, 0.259211, 0.271893, 0.282786, 0.292496, 0.304021, 0.311106, 0.321801, 0.329392");
-            values ( \
-              "0.00300997, 1e-22, 0.000911159, 0.00019959, 0.00287374, 1e-22, 2e-22, 0.0289931, 0.0400267, 0.183156, 0.206259, 0.214707, 0.220851, 0.222259, 0.212648, 0.199611, 0.139548, 0.083664, 0.0518393, 0.0330335, 0.0190886, 0.0135915, 0.00813194, 0.00594018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0183662");
-            index_3 ("0.0299383, 0.0350115, 0.0913587, 0.1311, 0.150246, 0.173273, 0.188868, 0.201337, 0.213133, 0.217978, 0.250153, 0.260077, 0.273445, 0.285782, 0.297942, 0.312661, 0.364316, 0.380194, 0.400971, 0.423272, 0.447531, 0.460498");
-            values ( \
-              "0.00143734, 1e-22, 0.000465087, 0.00704285, 0.00605939, 0.00121982, 1e-22, 0.00250353, 0.0257346, 0.0416234, 0.19596, 0.232171, 0.262522, 0.273174, 0.271315, 0.252021, 0.0973019, 0.0659737, 0.0381078, 0.0205296, 0.0103185, 0.0075857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0412535");
-            index_3 ("0.157889, 0.253379, 0.264396, 0.280189, 0.313241, 0.330964, 0.345843, 0.372575, 0.397516, 0.422294, 0.447061, 0.45346, 0.476348, 0.523638, 0.560152, 0.593251, 0.626679, 0.64467, 0.670026, 0.716597, 0.756997, 0.79628");
-            values ( \
-              "0.0108182, 0.0224961, 0.0423202, 0.0833323, 0.187646, 0.233946, 0.26239, 0.293406, 0.302119, 0.297777, 0.280731, 0.273884, 0.240963, 0.15936, 0.106262, 0.0705057, 0.0452082, 0.0352902, 0.0246503, 0.0126465, 0.00690843, 0.00437303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.092662");
-            index_3 ("0.17275, 0.347688, 0.504665, 0.527461, 0.573053, 0.608109, 0.638392, 0.697998, 0.755356, 0.803512, 0.989713, 1.05198, 1.1318, 1.22453, 1.28168, 1.35789, 1.43724");
-            values ( \
-              "0.0185152, 0.0344092, 0.254203, 0.274546, 0.301695, 0.311539, 0.314239, 0.307694, 0.286374, 0.255195, 0.111126, 0.0772794, 0.0468686, 0.0253752, 0.0172397, 0.0101196, 0.00604958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.208133");
-            index_3 ("0.0581207, 0.0962762, 0.178719, 0.200368, 0.241502, 0.411578, 0.460303, 0.541118, 0.872856, 0.931868, 1.0358, 1.10363, 1.17686, 1.29486, 1.41753, 1.51476, 1.9512, 2.0843, 2.26183, 2.34158, 2.49185, 2.75602, 2.9331");
-            values ( \
-              "0.000868504, 0.00213416, 0.0379095, 0.0384455, 0.0358165, 0.0143787, 0.0151817, 0.0359285, 0.253612, 0.279088, 0.307476, 0.316382, 0.319796, 0.314294, 0.295236, 0.269077, 0.113759, 0.079738, 0.0479783, 0.0377865, 0.0238776, 0.0104692, 0.00672247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.192064, 0.198045, 0.202148, 0.214135, 0.218958, 0.223306, 0.227486, 0.231664, 0.234014, 0.242846, 0.250273, 0.255369, 0.263259, 0.269571, 0.275379, 0.282906, 0.288951, 0.301041, 0.303574");
-            values ( \
-              "0.0100414, 0.0267843, 0.0491478, 0.126116, 0.145736, 0.155647, 0.158111, 0.152856, 0.143955, 0.0852781, 0.0510533, 0.0360604, 0.0208272, 0.0134339, 0.00896747, 0.00548327, 0.00376851, 0.0017611, 0.00166529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00817674");
-            index_3 ("0.0532029, 0.112326, 0.145938, 0.176639, 0.200474, 0.212162, 0.24028, 0.250446, 0.257088, 0.267628, 0.291481, 0.312214, 0.327246, 0.342253, 0.346626");
-            values ( \
-              "0.000944822, 0.000135155, 0.0028538, 1e-22, 0.000956502, 0.0387402, 0.199555, 0.221076, 0.22225, 0.19954, 0.082876, 0.0324941, 0.0158327, 0.0076959, 0.00692142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0183662");
-            index_3 ("0.0635961, 0.112578, 0.153616, 0.176746, 0.198169, 0.213332, 0.224371, 0.239848, 0.270367, 0.279891, 0.293264, 0.305604, 0.317765, 0.33248, 0.384115, 0.400094, 0.420757, 0.44306, 0.467494, 0.481189");
-            values ( \
-              "0.000416463, 0.000449796, 0.0069586, 0.00530638, 1e-22, 2e-22, 0.00715907, 0.049714, 0.197556, 0.232039, 0.26248, 0.273131, 0.2713, 0.252017, 0.0973599, 0.0658459, 0.0381476, 0.0205513, 0.0102776, 0.0074084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0412535");
-            index_3 ("0.176967, 0.268926, 0.281933, 0.293416, 0.344663, 0.365606, 0.39227, 0.417239, 0.44201, 0.466771, 0.473189, 0.496061, 0.543341, 0.579857, 0.612968, 0.646381, 0.664374, 0.689733, 0.736316, 0.776727, 0.82507");
-            values ( \
-              "0.015794, 0.0169791, 0.037724, 0.0651029, 0.220001, 0.26257, 0.293675, 0.302089, 0.297959, 0.280632, 0.273883, 0.240965, 0.159373, 0.106267, 0.0704982, 0.0452125, 0.0352924, 0.0246513, 0.0126448, 0.00690618, 0.00378693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.092662");
-            index_3 ("0.192827, 0.365881, 0.404019, 0.477294, 0.510952, 0.547488, 0.604857, 0.658654, 0.712134, 0.780001, 0.828937, 1.00679, 1.07946, 1.12581, 1.1768, 1.25665, 1.35715, 1.4504");
-            values ( \
-              "0.0200526, 0.0326535, 0.0782003, 0.194749, 0.239271, 0.274549, 0.306301, 0.314757, 0.309448, 0.284263, 0.251345, 0.11313, 0.0740034, 0.0554563, 0.0399394, 0.023427, 0.0117928, 0.00621551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.208133");
-            index_3 ("0.078168, 0.117861, 0.199587, 0.261758, 0.430644, 0.477852, 0.555541, 0.897524, 0.952045, 1.05598, 1.12379, 1.19703, 1.31503, 1.4377, 1.57316, 1.97126, 2.10459, 2.28189, 2.46561, 2.67089, 2.92537");
-            values ( \
-              "0.000786123, 0.00263305, 0.0379735, 0.035781, 0.0144164, 0.0149534, 0.0336351, 0.255945, 0.279034, 0.307578, 0.316471, 0.319722, 0.314226, 0.295295, 0.256123, 0.113776, 0.0797097, 0.0480047, 0.0275816, 0.0145528, 0.00713647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.237228, 0.240637, 0.242878, 0.246962, 0.252361, 0.258325, 0.263134, 0.267481, 0.271656, 0.275832, 0.278272, 0.284797, 0.288236, 0.295186, 0.301133, 0.305808, 0.311806, 0.316845, 0.325248, 0.331141, 0.342927, 0.347666");
-            values ( \
-              "0.0117835, 0.0196588, 0.0307131, 0.0535527, 0.0924057, 0.126501, 0.145973, 0.155784, 0.158248, 0.152881, 0.143564, 0.098326, 0.0783395, 0.0484659, 0.0322913, 0.0232002, 0.0153305, 0.0108015, 0.00619589, 0.00423286, 0.00203357, 0.00167988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00817674");
-            index_3 ("0.109947, 0.155557, 0.190283, 0.220119, 0.243465, 0.254916, 0.280639, 0.288141, 0.294968, 0.30162, 0.30826, 0.312177, 0.326039, 0.336165, 0.345573, 0.356891, 0.364811, 0.381663, 0.391551");
-            values ( \
-              "0.000542316, 0.000157738, 0.00285829, 1e-22, 2e-22, 0.0296791, 0.183097, 0.209478, 0.221174, 0.222283, 0.212847, 0.199485, 0.125238, 0.0823235, 0.0545246, 0.0322764, 0.022146, 0.00985738, 0.00628744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0183662");
-            index_3 ("0.113325, 0.158798, 0.187611, 0.198303, 0.22271, 0.247375, 0.262665, 0.273683, 0.282182, 0.291585, 0.307278, 0.323752, 0.33497, 0.341341, 0.349422, 0.361586, 0.373748, 0.376289, 0.384998, 0.408652, 0.427828, 0.444319, 0.455005, 0.464405, 0.476938, 0.486716, 0.497565, 0.512031, 0.540962, 0.552433");
-            values ( \
-              "0.0010834, 0.0007409, 0.00629461, 0.00691582, 0.00495943, 1e-22, 9.829e-05, 0.0176533, 0.0437316, 0.0843328, 0.165984, 0.23219, 0.2588, 0.267454, 0.272839, 0.27105, 0.257058, 0.251987, 0.229159, 0.149854, 0.0976409, 0.0651964, 0.0493051, 0.0383509, 0.027163, 0.0206633, 0.015232, 0.0100713, 0.00419378, 0.003322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0412535");
-            index_3 ("0.116022, 0.124094, 0.14711, 0.158708, 0.167009, 0.190663, 0.198149, 0.211825, 0.222725, 0.235607, 0.247892, 0.273777, 0.282554, 0.291607, 0.302941, 0.310265, 0.315029, 0.321381, 0.330523, 0.34639, 0.377136, 0.39933, 0.409769, 0.430648, 0.436405, 0.44792, 0.46131, 0.48649, 0.494144, 0.502307, 0.511761, 0.53067, 0.540178, 0.581148, 0.592255, 0.614467, 0.629629, 0.646086, 0.668029, 0.677886, 0.694452, 0.711594, 0.735409, 0.745142, 0.764607, 0.803538, 0.875425, 0.959824");
-            values ( \
-              "0.00138272, 0.000977501, 0.000764592, 0.00131124, 0.00325635, 0.0116547, 0.0134816, 0.0152365, 0.0153391, 0.0140141, 0.0115797, 0.00503415, 0.00344959, 0.00307965, 0.00749647, 0.0136375, 0.0193693, 0.0291171, 0.047271, 0.0903378, 0.188001, 0.243517, 0.262714, 0.288503, 0.292979, 0.298941, 0.301806, 0.297211, 0.293308, 0.28785, 0.279561, 0.256034, 0.240678, 0.169571, 0.15159, 0.118589, 0.0992727, 0.0810469, 0.0610555, 0.0535337, 0.0428242, 0.0337688, 0.0241279, 0.0209983, 0.0158861, 0.00890548, 0.00278562, 0.000648311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.092662");
-            index_3 ("0.240521, 0.407937, 0.445677, 0.543218, 0.591064, 0.648494, 0.70222, 0.755693, 0.823578, 0.87249, 1.05034, 1.12302, 1.16936, 1.22033, 1.30019, 1.40073, 1.50466, 1.52517");
-            values ( \
-              "0.0224669, 0.0314309, 0.075437, 0.225627, 0.274802, 0.306406, 0.314827, 0.309467, 0.284263, 0.251351, 0.113132, 0.0740003, 0.0554561, 0.0399426, 0.0234273, 0.0117909, 0.00557544, 0.00499364" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.208133");
-            index_3 ("0.121946, 0.146914, 0.158515, 0.164326, 0.219853, 0.240733, 0.256049, 0.277232, 0.308166, 0.42046, 0.468622, 0.509905, 0.57741, 0.678338, 0.840452, 0.923023, 0.996079, 1.10001, 1.16769, 1.24101, 1.34494, 1.359, 1.38711, 1.43305, 1.48167, 1.5789, 1.61712, 1.85746, 2.01521, 2.07771, 2.14857, 2.24199, 2.32584, 2.40564, 2.50957, 2.5685, 2.6438, 2.81823, 3.02609, 3.13003, 3.23396, 3.54576");
-            values ( \
-              "0.000826126, 0.000965412, 0.00182297, 0.00338868, 0.0327092, 0.0376243, 0.0385103, 0.037836, 0.0355704, 0.0198255, 0.0147963, 0.0141227, 0.0259238, 0.0761605, 0.196102, 0.246638, 0.279105, 0.307636, 0.316503, 0.319738, 0.315629, 0.314227, 0.311193, 0.304518, 0.295321, 0.268998, 0.256153, 0.165721, 0.113723, 0.0966218, 0.0797735, 0.0611855, 0.047932, 0.0378575, 0.0275053, 0.0229442, 0.0181655, 0.0104769, 0.0053805, 0.00395192, 0.0027384, 0.00108182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.333638, 0.336709, 0.342399, 0.354584, 0.359399, 0.363743, 0.36792, 0.372135, 0.374498, 0.384431, 0.391178, 0.398178, 0.406419, 0.416441, 0.433222, 0.441519");
-            values ( \
-              "0.0120355, 0.019007, 0.0485679, 0.126256, 0.146075, 0.155584, 0.15833, 0.152664, 0.143733, 0.07872, 0.0493975, 0.0305842, 0.017165, 0.008604, 0.00293362, 0.00254706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00817674");
-            index_3 ("0.189576, 0.249278, 0.286513, 0.31624, 0.339518, 0.35153, 0.377021, 0.384527, 0.391356, 0.39801, 0.404653, 0.408545, 0.422419, 0.43235, 0.44219, 0.453086, 0.468033, 0.483897, 0.487479");
-            values ( \
-              "0.000230782, 0.000175619, 0.00287896, 1e-22, 2e-22, 0.0306983, 0.182832, 0.20945, 0.221022, 0.222302, 0.212751, 0.199566, 0.125297, 0.0830666, 0.0539743, 0.03257, 0.0159289, 0.00742995, 0.0064908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0183662");
-            index_3 ("0.193127, 0.248966, 0.289454, 0.315893, 0.340854, 0.35151, 0.362435, 0.372222, 0.378812, 0.387766, 0.403725, 0.420176, 0.433533, 0.445889, 0.458049, 0.470197, 0.48158, 0.505168, 0.524421, 0.540305, 0.551326, 0.561075, 0.574075, 0.583377, 0.593777, 0.607645, 0.63538, 0.652705");
-            values ( \
-              "0.00031084, 0.000392655, 0.00686991, 0.00550164, 1e-22, 2e-22, 0.00405543, 0.0232133, 0.0445835, 0.0832204, 0.166228, 0.23231, 0.26231, 0.273328, 0.271148, 0.257297, 0.228806, 0.149641, 0.0973016, 0.0659684, 0.0494586, 0.038109, 0.0266359, 0.0205299, 0.0153262, 0.0103176, 0.00447201, 0.00306586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0412535");
-            index_3 ("0.319903, 0.413789, 0.424225, 0.437126, 0.473709, 0.492259, 0.506282, 0.533002, 0.55794, 0.582714, 0.607478, 0.613889, 0.636768, 0.68405, 0.720566, 0.753674, 0.78709, 0.805083, 0.830441, 0.856817, 0.87702, 0.917428, 0.957583");
-            values ( \
-              "0.0113446, 0.0224355, 0.041043, 0.073199, 0.188118, 0.235928, 0.262655, 0.293368, 0.30229, 0.297685, 0.280861, 0.273895, 0.240975, 0.159374, 0.10627, 0.0705012, 0.0452106, 0.0352931, 0.0246502, 0.0169284, 0.012646, 0.00690617, 0.00431558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.092662");
-            index_3 ("0.332955, 0.508141, 0.546823, 0.617924, 0.66496, 0.688232, 0.734776, 0.767011, 0.799175, 0.852535, 0.863513, 0.896969, 0.930607, 0.969415, 1.09778, 1.14782, 1.21891, 1.28836, 1.32661, 1.38037, 1.47964, 1.56581, 1.65762");
-            values ( \
-              "0.0185405, 0.0341801, 0.0813695, 0.194657, 0.253662, 0.27458, 0.302046, 0.311171, 0.3143, 0.309138, 0.306525, 0.295363, 0.278249, 0.251304, 0.147293, 0.112841, 0.0745098, 0.0481953, 0.0375839, 0.0262392, 0.0133846, 0.00727144, 0.00387962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.208133");
-            index_3 ("0.381884, 0.517074, 0.544181, 0.569029, 0.615099, 0.69793, 0.801863, 0.929321, 0.98225, 1.03167, 1.09236, 1.19629, 1.21897, 1.26434, 1.33746, 1.44139, 1.45547, 1.48363, 1.52956, 1.57815, 1.67535, 1.71362, 1.95396, 2.0233, 2.11183, 2.17399, 2.24493, 2.33843, 2.42246, 2.50221, 2.60615, 2.69007, 2.82572, 2.92966, 3.03359, 3.13752, 3.34539, 3.65719");
-            values ( \
-              "0.0910918, 0.0198108, 0.016587, 0.0145802, 0.0146122, 0.0342074, 0.0950392, 0.190441, 0.225276, 0.252502, 0.278854, 0.30735, 0.311103, 0.316323, 0.319716, 0.315512, 0.314246, 0.311081, 0.304549, 0.295233, 0.269068, 0.256079, 0.165787, 0.141431, 0.113757, 0.096747, 0.079736, 0.0612627, 0.0479826, 0.037782, 0.0275655, 0.021268, 0.0139296, 0.0100546, 0.0072032, 0.00519288, 0.00267393, 0.000966613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.539883, 0.543735, 0.547235, 0.5586, 0.566407, 0.570849, 0.575097, 0.579366, 0.582124, 0.590908, 0.5993, 0.605826, 0.61367, 0.623393, 0.636766, 0.64641");
-            values ( \
-              "0.011744, 0.021518, 0.0376133, 0.107364, 0.142395, 0.152623, 0.156041, 0.151019, 0.140685, 0.082928, 0.046751, 0.0298516, 0.017312, 0.00888129, 0.00376348, 0.00276857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00817674");
-            index_3 ("0.550277, 0.560234, 0.579796, 0.58423, 0.591865, 0.59877, 0.605478, 0.612173, 0.61561, 0.632402, 0.643303, 0.651819, 0.657724, 0.663264, 0.670651, 0.676556, 0.68488, 0.695979, 0.712265");
-            values ( \
-              "0.0195163, 0.0396503, 0.156992, 0.17916, 0.206598, 0.219028, 0.220812, 0.21182, 0.200705, 0.113241, 0.0717611, 0.0490932, 0.0373416, 0.028797, 0.0202176, 0.0152211, 0.0102128, 0.00595999, 0.00293955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0183662");
-            index_3 ("0.35165, 0.44887, 0.499556, 0.525485, 0.549767, 0.566204, 0.575532, 0.586817, 0.628007, 0.641399, 0.653739, 0.663077, 0.665888, 0.678414, 0.691093, 0.727919, 0.747826, 0.766212, 0.791057, 0.804476, 0.822368, 0.852987");
-            values ( \
-              "0.000101189, 0.000535976, 0.005803, 0.00422013, 1e-22, 0.000895814, 0.0145166, 0.0464281, 0.231388, 0.261675, 0.272368, 0.272127, 0.270538, 0.255924, 0.224067, 0.108044, 0.0666449, 0.0411169, 0.0207211, 0.0141998, 0.00845689, 0.00357135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0412535");
-            index_3 ("0.526086, 0.61831, 0.632091, 0.647125, 0.682331, 0.704202, 0.71495, 0.736446, 0.751885, 0.766496, 0.791248, 0.822561, 0.845378, 0.906113, 0.929166, 0.962337, 0.995677, 1.03905, 1.08569, 1.12615, 1.15986");
-            values ( \
-              "0.0148748, 0.0173353, 0.0396664, 0.0772578, 0.188038, 0.242966, 0.262666, 0.289112, 0.298528, 0.301791, 0.297441, 0.273699, 0.240915, 0.138242, 0.106287, 0.0704599, 0.0452312, 0.0246549, 0.0126368, 0.00689523, 0.00472255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.092662");
-            index_3 ("0.550664, 0.735113, 0.827484, 0.874723, 0.897733, 0.943754, 0.977483, 1.00864, 1.06255, 1.07403, 1.11074, 1.13104, 1.17892, 1.27388, 1.35076, 1.41558, 1.46308, 1.50476, 1.56033, 1.59736, 1.69369, 1.79763, 1.85531");
-            values ( \
-              "0.0056755, 0.0527905, 0.195252, 0.253814, 0.274975, 0.30166, 0.31118, 0.314536, 0.309024, 0.306262, 0.293538, 0.283455, 0.251193, 0.17311, 0.116857, 0.0804763, 0.0599919, 0.0460151, 0.0319258, 0.0248969, 0.0129285, 0.006107, 0.00432267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.208133");
-            index_3 ("0.368747, 0.435265, 0.449555, 0.458315, 0.525886, 0.535475, 0.556665, 0.583944, 0.606269, 0.632655, 0.746217, 0.767184, 0.809117, 0.890386, 0.994319, 1.14593, 1.24799, 1.302, 1.40593, 1.42855, 1.4738, 1.54701, 1.65094, 1.66501, 1.73907, 1.78768, 1.8849, 1.99455, 2.16348, 2.26147, 2.34209, 2.43649, 2.54042, 2.58835, 2.66412, 2.76504, 2.8451, 2.92232, 3.02528, 3.12922, 3.33708, 3.44102, 3.54495, 3.85675");
-            values ( \
-              "0.000176737, 0.000509952, 0.00116801, 0.00274807, 0.0311234, 0.0337203, 0.0366691, 0.0369929, 0.0359799, 0.0334504, 0.0173936, 0.015409, 0.0138811, 0.0281503, 0.083175, 0.195759, 0.25599, 0.279103, 0.307416, 0.311262, 0.316334, 0.319828, 0.315484, 0.314329, 0.304622, 0.295196, 0.269117, 0.230022, 0.165833, 0.131937, 0.107732, 0.0837352, 0.0626255, 0.054422, 0.0435509, 0.0322067, 0.0251181, 0.0197898, 0.0144167, 0.0103018, 0.00529412, 0.00387537, 0.00269945, 0.00105152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.958464, 0.970339, 0.993423, 0.99817, 1.00264, 1.00709, 1.01153, 1.01913, 1.02744, 1.03434, 1.04218, 1.05236, 1.06753, 1.07385");
-            values ( \
-              "0.00348964, 0.0242862, 0.131995, 0.144111, 0.14907, 0.14601, 0.12962, 0.0801478, 0.045879, 0.0287279, 0.0167182, 0.00837124, 0.00329986, 0.00277847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00817674");
-            index_3 ("0.655669, 0.836231, 0.902118, 0.970575, 0.983571, 0.98822, 1.02067, 1.02785, 1.03473, 1.04162, 1.04579, 1.07176, 1.09352, 1.10711, 1.1229, 1.12736");
-            values ( \
-              "9.23636e-06, 5.95886e-05, 0.00150237, 4.76128e-05, 0.0253811, 0.0422561, 0.198175, 0.211997, 0.215812, 0.207887, 0.195, 0.0748584, 0.0279663, 0.0146137, 0.00690283, 0.00589413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0183662");
-            index_3 ("0.995593, 1.01481, 1.02257, 1.03011, 1.04829, 1.06013, 1.06826, 1.07372, 1.08465, 1.08948, 1.09591, 1.0985, 1.10368, 1.11111, 1.12385, 1.14516, 1.15039, 1.16085, 1.1699, 1.18085, 1.1921, 1.19692, 1.20448, 1.21589, 1.22869, 1.23627, 1.25144, 1.27134, 1.31115, 1.35748");
-            values ( \
-              "0.0318234, 0.0369883, 0.0634323, 0.0968292, 0.181921, 0.226013, 0.247346, 0.257376, 0.268995, 0.27055, 0.269743, 0.268612, 0.264576, 0.254656, 0.223059, 0.151433, 0.135757, 0.107455, 0.0868406, 0.0661585, 0.0493977, 0.043452, 0.0354434, 0.0259092, 0.0185737, 0.0150251, 0.00975604, 0.00545936, 0.00152299, 0.000320941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0412535");
-            index_3 ("0.676179, 0.849354, 0.874333, 0.919316, 0.938268, 0.954963, 0.981389, 1.01684, 1.02681, 1.04143, 1.0511, 1.06163, 1.07538, 1.11622, 1.1401, 1.14883, 1.16349, 1.17554, 1.2006, 1.20831, 1.22542, 1.23658, 1.25656, 1.27945, 1.32368, 1.35905, 1.3933, 1.41382, 1.43159, 1.45529, 1.47546, 1.50246, 1.53846, 1.6083, 1.60994");
-            values ( \
-              "2.52676e-05, 0.000460136, 0.00253407, 0.00885027, 0.0103598, 0.0107516, 0.00869463, 0.00373885, 0.00367162, 0.00909789, 0.0180199, 0.0333061, 0.06336, 0.187399, 0.24583, 0.261595, 0.281261, 0.291955, 0.301277, 0.301143, 0.296916, 0.290975, 0.273541, 0.240748, 0.164201, 0.111526, 0.0733894, 0.055961, 0.0440417, 0.0317813, 0.0238023, 0.0161601, 0.0095835, 0.00304476, 0.00300044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.092662");
-            index_3 ("0.685491, 0.836007, 0.857049, 0.882866, 0.937492, 0.954424, 0.969349, 0.98156, 0.995199, 1.02729, 1.08179, 1.08978, 1.10043, 1.11665, 1.14681, 1.18844, 1.26019, 1.28427, 1.33072, 1.38818, 1.44198, 1.49548, 1.54896, 1.56332, 1.57973, 1.61229, 1.73868, 1.80126, 1.83347, 1.86281, 1.90917, 1.96017, 2.01961, 2.04001, 2.08689, 2.14048, 2.24441, 2.34834, 2.45227, 2.55621");
-            values ( \
-              "3.62109e-05, 0.000298042, 0.00107685, 0.00510882, 0.0174683, 0.0199893, 0.0209835, 0.0209814, 0.0203054, 0.0180375, 0.0109257, 0.0104005, 0.0106555, 0.0138937, 0.0310927, 0.079761, 0.193604, 0.226426, 0.274337, 0.305947, 0.314789, 0.30925, 0.291705, 0.284264, 0.274478, 0.25134, 0.148717, 0.106289, 0.0882461, 0.0740093, 0.055458, 0.0399365, 0.0268444, 0.023428, 0.017054, 0.0117968, 0.00557694, 0.00262875, 0.00122572, 0.000579611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.208133");
-            index_3 ("0.695635, 0.836647, 0.857817, 0.888315, 0.955062, 0.981871, 1.01708, 1.04089, 1.06901, 1.16541, 1.21595, 1.24834, 1.26523, 1.29901, 1.33682, 1.41245, 1.58231, 1.67502, 1.73745, 1.84139, 1.90867, 1.98218, 2.08612, 2.10014, 2.17414, 2.22279, 2.32009, 2.35823, 2.59857, 2.7615, 2.86054, 2.96447, 3.06251, 3.13181, 3.29248, 3.40709, 3.51102, 3.61495, 3.82282, 3.9283");
-            values ( \
-              "4.50503e-05, 0.000369516, 0.0012439, 0.0070898, 0.0273155, 0.0316731, 0.0336608, 0.0338847, 0.0320982, 0.0192259, 0.0144415, 0.0141954, 0.0155165, 0.0211419, 0.0327713, 0.0721403, 0.197258, 0.252695, 0.279591, 0.3079, 0.316643, 0.319893, 0.31567, 0.314325, 0.304601, 0.295319, 0.269029, 0.256127, 0.165771, 0.112286, 0.0863565, 0.0645803, 0.0486213, 0.0395387, 0.0242221, 0.017017, 0.0122449, 0.00883606, 0.00456089, 0.00343864" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00700975", \
-            "0.0089409", \
-            "0.0101363", \
-            "0.0107197", \
-            "0.0109821", \
-            "0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100549", \
-            "0.010318", \
-            "0.00954327", \
-            "0.00887701", \
-            "0.0086128", \
-            "0.00849665" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00693505, 0.00693689, 0.00693901, 0.00694071, 0.00694174, 0.00694228", \
-            "0.00818578, 0.00818645, 0.00818755, 0.00818876, 0.00818964, 0.00819015", \
-            "0.00894351, 0.00894359, 0.00894386, 0.00894438, 0.00894492, 0.0089453", \
-            "0.00939003, 0.00939005, 0.00939009, 0.00939023, 0.00939046, 0.0093907", \
-            "0.0096237, 0.00962371, 0.00962371, 0.00962377, 0.00962385, 0.00962397", \
-            "0.00976328, 0.00976329, 0.00976332, 0.00976334, 0.00976338, 0.00976344" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.00970941, 0.00971002, 0.00971145, 0.00971314, 0.00971441, 0.00971515", \
-            "0.0106309, 0.010631, 0.0106314, 0.0106322, 0.0106331, 0.0106337", \
-            "0.0110157, 0.0110161, 0.0110165, 0.011017, 0.0110176, 0.0110182", \
-            "0.0112749, 0.0112737, 0.0112725, 0.0112719, 0.0112717, 0.0112718", \
-            "0.0118945, 0.0118925, 0.0118891, 0.0118847, 0.0118809, 0.0118785", \
-            "0.0124495, 0.0124993, 0.0125274, 0.0125436, 0.0125532, 0.0125582" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0180345, 0.0180508, 0.0179618, 0.0175544, 0.0164573, 0.0140056", \
-            "0.0178538, 0.0178676, 0.0177819, 0.0173702, 0.0162744, 0.0138094", \
-            "0.0175409, 0.0175597, 0.0174715, 0.0170586, 0.0159622, 0.0135176", \
-            "0.0173369, 0.0173502, 0.0172661, 0.0168676, 0.0157642, 0.0133112", \
-            "0.0176661, 0.0176789, 0.0175615, 0.0171635, 0.0160634, 0.0135956", \
-            "0.0200662, 0.0200624, 0.0199745, 0.0195925, 0.0184537, 0.0159541" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0190692, 0.0190462, 0.0188919, 0.0183889, 0.0171457, 0.0143432", \
-            "0.0189475, 0.0189246, 0.0187705, 0.0182625, 0.0170191, 0.0142087", \
-            "0.0186958, 0.0186738, 0.0185185, 0.0180157, 0.0167766, 0.0139687", \
-            "0.0185558, 0.0185332, 0.0183792, 0.0178724, 0.0166283, 0.0138286", \
-            "0.0186988, 0.0186781, 0.0185197, 0.0180131, 0.0167737, 0.0139578", \
-            "0.0198052, 0.0197972, 0.0196494, 0.0191777, 0.0179321, 0.0151009" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168587" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0391863, 0.0391557, 0.0389808, 0.0384353, 0.0371452, 0.0343272", \
-            "0.0390782, 0.0390511, 0.0388771, 0.0383304, 0.0370403, 0.034218", \
-            "0.0389375, 0.0389073, 0.038733, 0.0381871, 0.0368991, 0.0340764", \
-            "0.038989, 0.0389568, 0.0387804, 0.0382355, 0.0369515, 0.0341308", \
-            "0.039312, 0.0392793, 0.0390949, 0.0385656, 0.0372775, 0.0344684", \
-            "0.0405964, 0.0405628, 0.0403491, 0.0398281, 0.038572, 0.0357726" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0332681, 0.0332872, 0.0332027, 0.0327987, 0.0317226, 0.0292564", \
-            "0.0331665, 0.0331876, 0.0331074, 0.0327032, 0.0316252, 0.0291626", \
-            "0.0330074, 0.0330275, 0.0329424, 0.0325402, 0.0314643, 0.0290074", \
-            "0.0328589, 0.0328801, 0.0328039, 0.0324069, 0.0313346, 0.0288607", \
-            "0.0330389, 0.0330137, 0.0329368, 0.0325655, 0.0314853, 0.0290469", \
-            "0.0342281, 0.0342303, 0.034159, 0.0338229, 0.0327024, 0.0301926" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0386489, 0.0386205, 0.0384425, 0.0378886, 0.0366036, 0.0337901", \
-            "0.0385408, 0.0385139, 0.0383365, 0.0377837, 0.036498, 0.0336852", \
-            "0.0383983, 0.038371, 0.0381926, 0.0376421, 0.0363575, 0.0335377", \
-            "0.0384615, 0.0384231, 0.0382487, 0.0376978, 0.0364186, 0.033608", \
-            "0.0387298, 0.0387088, 0.0385394, 0.0379865, 0.0367151, 0.033905", \
-            "0.0401496, 0.0401125, 0.0399182, 0.0393484, 0.0381199, 0.0353189" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168587" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.032716, 0.032694, 0.0325312, 0.0320052, 0.0307446, 0.0279394", \
-            "0.0326108, 0.0325868, 0.032425, 0.0319001, 0.0306405, 0.0278351", \
-            "0.0324682, 0.0324452, 0.0322823, 0.0317578, 0.0305001, 0.0276947", \
-            "0.0325276, 0.0325044, 0.032337, 0.0318147, 0.0305614, 0.0277592", \
-            "0.0328838, 0.0328635, 0.0326995, 0.032164, 0.0309223, 0.0281236", \
-            "0.0342036, 0.0341643, 0.0339872, 0.0334676, 0.0322124, 0.0294187" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0274704, 0.0274944, 0.027407, 0.0270067, 0.0259212, 0.0234473", \
-            "0.0273751, 0.0273994, 0.0273109, 0.0269109, 0.0258254, 0.0233518", \
-            "0.02721, 0.027233, 0.0271466, 0.0267487, 0.0256647, 0.0231912", \
-            "0.0270684, 0.0270854, 0.0270051, 0.0266146, 0.0255338, 0.023086", \
-            "0.0272076, 0.0272252, 0.0271546, 0.0267792, 0.0256815, 0.0232277", \
-            "0.0284525, 0.0284596, 0.0283775, 0.0280589, 0.0269226, 0.0244213" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0323336, 0.0323121, 0.0321444, 0.031616, 0.0303625, 0.0275611", \
-            "0.0322315, 0.0322099, 0.0320419, 0.0315133, 0.0302593, 0.0274578", \
-            "0.032089, 0.032068, 0.0319014, 0.0313721, 0.0301191, 0.0273181", \
-            "0.0321531, 0.032133, 0.0319608, 0.0314359, 0.0301877, 0.0273778", \
-            "0.0324485, 0.0324367, 0.032245, 0.0317422, 0.0305063, 0.0277005", \
-            "0.0338904, 0.0338621, 0.0336808, 0.0331692, 0.0318977, 0.0291277" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168587" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0245281, 0.0245133, 0.0243624, 0.0238518, 0.0226065, 0.0198012", \
-            "0.0244267, 0.0244117, 0.0242596, 0.0237494, 0.0225054, 0.0197064", \
-            "0.0243344, 0.0243189, 0.0241675, 0.0236578, 0.0224146, 0.019608", \
-            "0.0244703, 0.0244522, 0.0242977, 0.0237914, 0.022554, 0.0197517", \
-            "0.0249301, 0.0249023, 0.0247442, 0.0242413, 0.0230111, 0.0202238", \
-            "0.0264051, 0.0263656, 0.0262023, 0.0256829, 0.0244586, 0.0216975" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0274099, 0.0274248, 0.0273426, 0.026943, 0.0258613, 0.0234049", \
-            "0.0273102, 0.0273308, 0.0272492, 0.0268472, 0.025767, 0.0233032", \
-            "0.0271495, 0.0271642, 0.0270833, 0.0266847, 0.0256026, 0.023126", \
-            "0.0270088, 0.0270385, 0.0269509, 0.0265574, 0.025483, 0.0230266", \
-            "0.0271663, 0.0271768, 0.0270973, 0.0267074, 0.0256336, 0.0231766", \
-            "0.0283941, 0.0284123, 0.0283408, 0.0280159, 0.0268825, 0.0244831" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0323921, 0.0323704, 0.0322028, 0.0316735, 0.0304189, 0.0276174", \
-            "0.0322881, 0.0322665, 0.0321001, 0.0315699, 0.0303156, 0.0275139", \
-            "0.0321462, 0.0321244, 0.0319573, 0.0314292, 0.0301758, 0.0273745", \
-            "0.0322007, 0.0321846, 0.0320151, 0.0314875, 0.0302406, 0.027442", \
-            "0.0324982, 0.032486, 0.0323225, 0.0317735, 0.0305557, 0.0277555", \
-            "0.0339251, 0.0338979, 0.033716, 0.0332754, 0.0319877, 0.0291601" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168587" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0332681, 0.0332872, 0.0332027, 0.0327987, 0.0317226, 0.0292564", \
-            "0.0331665, 0.0331876, 0.0331074, 0.0327032, 0.0316252, 0.0291626", \
-            "0.0330074, 0.0330275, 0.0329424, 0.0325402, 0.0314643, 0.0290074", \
-            "0.0328589, 0.0328801, 0.0328039, 0.0324069, 0.0313346, 0.0288607", \
-            "0.0330389, 0.0330137, 0.0329368, 0.0325655, 0.0314853, 0.0290469", \
-            "0.0342281, 0.0342303, 0.034159, 0.0338229, 0.0327024, 0.0301926" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0391863, 0.0391557, 0.0389808, 0.0384353, 0.0371452, 0.0343272", \
-            "0.0390782, 0.0390511, 0.0388771, 0.0383304, 0.0370403, 0.034218", \
-            "0.0389375, 0.0389073, 0.038733, 0.0381871, 0.0368991, 0.0340764", \
-            "0.038989, 0.0389568, 0.0387804, 0.0382355, 0.0369515, 0.0341308", \
-            "0.039312, 0.0392793, 0.0390949, 0.0385656, 0.0372775, 0.0344684", \
-            "0.0405964, 0.0405628, 0.0403491, 0.0398281, 0.038572, 0.0357726" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.037416, 0.0374322, 0.0373526, 0.0369361, 0.0358353, 0.0333246", \
-            "0.0372873, 0.0373093, 0.037225, 0.0368106, 0.0357115, 0.0332195", \
-            "0.0371084, 0.0371316, 0.037046, 0.0366379, 0.0355444, 0.0330436", \
-            "0.0368692, 0.0368903, 0.0368048, 0.0364149, 0.0353429, 0.0328709", \
-            "0.0366108, 0.0366154, 0.036556, 0.0361992, 0.0351628, 0.0326881", \
-            "0.0372058, 0.0371772, 0.0370456, 0.0366754, 0.0356283, 0.0332589" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.0253554, 0.0253868, 0.0252971, 0.0248837, 0.0237809, 0.0212904", \
-            "0.0252912, 0.0253087, 0.0252306, 0.0248212, 0.0237201, 0.0212182", \
-            "0.025203, 0.0252174, 0.0251389, 0.0247348, 0.02364, 0.0211635", \
-            "0.0250674, 0.0250884, 0.0250011, 0.0246153, 0.0235408, 0.0210557", \
-            "0.0249433, 0.0249373, 0.0248652, 0.024513, 0.0234705, 0.0210176", \
-            "0.0252937, 0.0252503, 0.0251523, 0.0247662, 0.0237174, 0.0213326" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.031467, 0.0314881, 0.0314065, 0.0309927, 0.0298846, 0.0273838", \
-            "0.0313344, 0.0313579, 0.0312754, 0.0308675, 0.0297613, 0.0272594", \
-            "0.0311584, 0.0311757, 0.0311019, 0.0306963, 0.0295976, 0.0271032", \
-            "0.0309489, 0.0309592, 0.030879, 0.030496, 0.0294181, 0.0269315", \
-            "0.03075, 0.0307689, 0.0306997, 0.0303447, 0.0293049, 0.0268362", \
-            "0.0313916, 0.0313592, 0.0312326, 0.0308623, 0.0297616, 0.0274285" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588", \
-            "-0.00294866, -0.00662316, -0.0148767, -0.0334153, -0.0750562, -0.168588" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00817674, 0.0183662, 0.0412535, 0.092662, 0.208133");
-          values ( \
-            "0.037416, 0.0374322, 0.0373526, 0.0369361, 0.0358353, 0.0333246", \
-            "0.0372873, 0.0373093, 0.037225, 0.0368106, 0.0357115, 0.0332195", \
-            "0.0371084, 0.0371316, 0.037046, 0.0366379, 0.0355444, 0.0330436", \
-            "0.0368692, 0.0368903, 0.0368048, 0.0364149, 0.0353429, 0.0328709", \
-            "0.0366108, 0.0366154, 0.036556, 0.0361992, 0.0351628, 0.0326881", \
-            "0.0372058, 0.0371772, 0.0370456, 0.0366754, 0.0356283, 0.0332589" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-    }
-    pin (QN) {
-      direction : output;
-      function : "IQN";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.201264;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.251549, 0.265022, 0.29164, 0.345818, 0.462459, 0.720712", \
-            "0.256203, 0.26968, 0.296293, 0.350466, 0.467115, 0.725364", \
-            "0.265574, 0.279056, 0.305663, 0.359847, 0.476504, 0.734753", \
-            "0.279637, 0.293126, 0.319782, 0.373918, 0.490566, 0.748815", \
-            "0.293835, 0.307305, 0.333926, 0.388113, 0.504768, 0.763026", \
-            "0.298126, 0.311615, 0.338251, 0.392468, 0.509196, 0.767624" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0519464, 0.0653155, 0.0966117, 0.169754, 0.338763, 0.720317", \
-            "0.0519692, 0.0653097, 0.0966095, 0.169759, 0.338772, 0.720317", \
-            "0.0519644, 0.0653092, 0.0966228, 0.169783, 0.338771, 0.720317", \
-            "0.0519749, 0.0653166, 0.096636, 0.169776, 0.338774, 0.720318", \
-            "0.0520164, 0.0653595, 0.0966581, 0.169789, 0.338779, 0.720321", \
-            "0.0519856, 0.0653425, 0.0966817, 0.169802, 0.338981, 0.720514" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.217311, 0.230602, 0.255436, 0.301914, 0.393944, 0.59112", \
-            "0.221637, 0.234914, 0.259737, 0.306208, 0.398255, 0.595425", \
-            "0.230984, 0.244265, 0.269131, 0.315594, 0.407666, 0.604825", \
-            "0.245146, 0.258418, 0.283258, 0.329807, 0.421896, 0.6191", \
-            "0.258159, 0.271363, 0.296121, 0.342608, 0.43474, 0.631844", \
-            "0.262528, 0.275836, 0.300724, 0.347253, 0.439072, 0.63573" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0468888, 0.057415, 0.0801328, 0.129874, 0.24306, 0.505127", \
-            "0.0468999, 0.0574129, 0.0801321, 0.129877, 0.243057, 0.505124", \
-            "0.0468947, 0.0574215, 0.0801317, 0.129881, 0.243057, 0.505119", \
-            "0.0469347, 0.0574409, 0.0800751, 0.129878, 0.243057, 0.505126", \
-            "0.0467949, 0.0572574, 0.079978, 0.129819, 0.242978, 0.505152", \
-            "0.0473432, 0.0578067, 0.0803783, 0.129965, 0.242744, 0.504528" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.211527, 0.218054, 0.2226, 0.234089, 0.238957, 0.243205, 0.247218, 0.251261, 0.255069, 0.262454, 0.271273, 0.273858, 0.278639, 0.291925, 0.301695, 0.305344, 0.311278, 0.314562, 0.33442, 0.345745, 0.351587, 0.359376, 0.371672, 0.390978, 0.41797");
-            values ( \
-              "0.00863714, 0.0315566, 0.0439622, 0.0686459, 0.0758141, 0.0798453, 0.0822772, 0.0837483, 0.084231, 0.0816585, 0.0766976, 0.0764374, 0.0748446, 0.0459542, 0.0292117, 0.0248338, 0.0239952, 0.0227623, 0.0110383, 0.00650125, 0.00493356, 0.00338911, 0.00189307, 0.000734791, 0.000218206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.21163, 0.221075, 0.228128, 0.236793, 0.243129, 0.251429, 0.256643, 0.26468, 0.266563, 0.270328, 0.276494, 0.28684, 0.289651, 0.293399, 0.297791, 0.310295, 0.319738, 0.334112, 0.342661, 0.376517, 0.385673, 0.398801, 0.416304, 0.444617, 0.479903");
-            values ( \
-              "0.0016095, 0.0603051, 0.0889943, 0.117052, 0.130839, 0.14149, 0.145613, 0.148736, 0.148851, 0.148175, 0.14485, 0.13754, 0.137225, 0.134083, 0.125016, 0.0901085, 0.0680389, 0.0405577, 0.0332353, 0.0141005, 0.0102842, 0.00640915, 0.00337142, 0.00104323, 0.000293367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.217203, 0.233282, 0.239993, 0.247127, 0.252486, 0.259432, 0.267218, 0.274124, 0.286998, 0.297066, 0.302991, 0.318269, 0.325123, 0.334882, 0.359981, 0.383163, 0.399312, 0.40916, 0.419845, 0.438341, 0.464483, 0.488503, 0.514301, 0.545315, 0.586504, 0.644319");
-            values ( \
-              "0.0531923, 0.145082, 0.17284, 0.192936, 0.203946, 0.214995, 0.223161, 0.227432, 0.228324, 0.223871, 0.219621, 0.207727, 0.200569, 0.180078, 0.119964, 0.0764043, 0.0528556, 0.043508, 0.0365613, 0.026653, 0.0158739, 0.00937169, 0.00504238, 0.00236131, 0.000772497, 0.00016742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.224868, 0.239156, 0.249603, 0.257983, 0.269177, 0.281733, 0.287012, 0.294051, 0.307529, 0.331509, 0.361607, 0.384449, 0.398278, 0.414776, 0.44637, 0.481675, 0.507109, 0.52986, 0.546115, 0.567666, 0.585995, 0.607354, 0.637091, 0.673936, 0.707113, 0.750499, 0.801761, 0.868211");
-            values ( \
-              "0.187877, 0.204046, 0.243123, 0.264994, 0.285515, 0.298732, 0.301632, 0.303736, 0.303531, 0.294392, 0.275465, 0.258186, 0.242548, 0.218867, 0.169648, 0.121132, 0.0920392, 0.0702669, 0.0575625, 0.045296, 0.0371827, 0.0293384, 0.0208842, 0.013386, 0.00873943, 0.00497058, 0.00241813, 0.000973573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.229432, 0.254502, 0.267219, 0.283642, 0.298799, 0.314339, 0.329179, 0.351727, 0.375024, 0.473837, 0.528605, 0.57498, 0.706782, 0.758159, 0.820987, 0.86499, 0.894916, 0.947552, 1.00771, 1.04953, 1.11241, 1.18939, 1.27252, 1.35922");
-            values ( \
-              "0.260708, 0.287793, 0.320645, 0.345836, 0.356934, 0.360558, 0.359928, 0.354997, 0.347555, 0.308862, 0.28352, 0.252453, 0.148771, 0.11438, 0.0802754, 0.0616407, 0.0517772, 0.038039, 0.0264651, 0.0204872, 0.013813, 0.00838739, 0.00480018, 0.00344085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.237257, 0.282395, 0.308908, 0.332705, 0.391078, 0.4719, 0.732025, 0.848901, 0.980674, 1.29438, 1.44418, 1.51511, 1.63843, 1.71882, 1.87592, 2.10676, 2.4393, 2.44343");
-            values ( \
-              "0.370215, 0.370258, 0.391903, 0.396945, 0.39089, 0.375443, 0.323474, 0.295999, 0.251632, 0.134373, 0.0916272, 0.0752253, 0.0531289, 0.0422856, 0.0267413, 0.0133045, 0.00472418, 0.00469291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.230205, 0.236767, 0.241887, 0.24734, 0.252572, 0.257669, 0.261767, 0.265934, 0.269978, 0.273782, 0.281104, 0.285171, 0.290003, 0.296579, 0.302941, 0.308468, 0.3215, 0.326078, 0.330034, 0.335226, 0.3464, 0.354462, 0.365772, 0.366748, 0.3687, 0.379948, 0.394428, 0.417379, 0.44491");
-            values ( \
-              "0.00851011, 0.031479, 0.0454614, 0.058507, 0.0681761, 0.075743, 0.0797801, 0.0822082, 0.083802, 0.0841726, 0.0817504, 0.0790881, 0.0767054, 0.0753511, 0.0627192, 0.0499274, 0.0271731, 0.0243237, 0.0238805, 0.021978, 0.0147068, 0.0102339, 0.00593627, 0.00598272, 0.00514012, 0.00294425, 0.00171053, 0.00034, 0.00031164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.230129, 0.239675, 0.245699, 0.256597, 0.260464, 0.269391, 0.27524, 0.283473, 0.28518, 0.288594, 0.295093, 0.305433, 0.309353, 0.312734, 0.338288, 0.352542, 0.357547, 0.384715, 0.401118, 0.411692, 0.425791, 0.449274, 0.479857, 0.518991");
-            values ( \
-              "0.000914549, 0.0604035, 0.0853379, 0.12019, 0.1287, 0.140857, 0.145619, 0.148758, 0.148836, 0.148296, 0.144845, 0.137456, 0.136766, 0.132819, 0.0680484, 0.040738, 0.0356982, 0.0196636, 0.0114981, 0.00789481, 0.00474146, 0.00186548, 0.000584671, 8.94601e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.235825, 0.244189, 0.251997, 0.258626, 0.26578, 0.278155, 0.285942, 0.292841, 0.305545, 0.310396, 0.321707, 0.336983, 0.344521, 0.386383, 0.401462, 0.412247, 0.41846, 0.428167, 0.444735, 0.471023, 0.486574, 0.508172, 0.533038, 0.564029, 0.575497");
-            values ( \
-              "0.0513325, 0.104805, 0.144966, 0.172445, 0.192684, 0.214908, 0.223255, 0.227339, 0.228453, 0.226845, 0.219697, 0.207784, 0.199474, 0.104151, 0.0771213, 0.0604563, 0.0524062, 0.0432525, 0.0330163, 0.0204087, 0.0147609, 0.0091134, 0.00510379, 0.00230932, 0.0018955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.243401, 0.257761, 0.26804, 0.280881, 0.289511, 0.302092, 0.314474, 0.32612, 0.347557, 0.375889, 0.403048, 0.416796, 0.433366, 0.471918, 0.491704, 0.507552, 0.527803, 0.542401, 0.560207, 0.583861, 0.609223, 0.642177, 0.666983, 0.705911, 0.746021, 0.792149, 0.849944, 0.924556");
-            values ( \
-              "0.186572, 0.204046, 0.242667, 0.273966, 0.287925, 0.299871, 0.304125, 0.303573, 0.295733, 0.278128, 0.258164, 0.242677, 0.218834, 0.15955, 0.132178, 0.112204, 0.0899912, 0.0757082, 0.0607444, 0.0464142, 0.0352969, 0.0243835, 0.018226, 0.0112941, 0.0067168, 0.0036137, 0.00161063, 0.00053698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.248394, 0.273169, 0.286199, 0.294932, 0.302416, 0.317385, 0.333022, 0.347954, 0.370502, 0.393799, 0.433314, 0.492664, 0.544131, 0.566889, 0.586607, 0.609258, 0.686737, 0.712391, 0.746597, 0.794361, 0.834369, 0.865431, 0.909335, 0.947543, 0.987954, 1.04525, 1.06944, 1.11783, 1.18302, 1.26512, 1.34826, 1.43139, 1.51452");
-            values ( \
-              "0.26513, 0.287485, 0.321099, 0.336463, 0.345865, 0.35679, 0.360588, 0.359881, 0.355038, 0.347511, 0.332793, 0.308805, 0.285264, 0.2716, 0.257751, 0.240451, 0.178006, 0.158395, 0.134055, 0.104108, 0.0829055, 0.068854, 0.0530466, 0.0424546, 0.0334571, 0.0236025, 0.020368, 0.0150185, 0.00988986, 0.00572436, 0.00328359, 0.00185057, 0.00105988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.255839, 0.304844, 0.330697, 0.351361, 0.377805, 0.443814, 0.750689, 0.867565, 0.999338, 1.31304, 1.46284, 1.53377, 1.6571, 1.73748, 1.89459, 2.12543, 2.44585");
-            values ( \
-              "0.364632, 0.375003, 0.393193, 0.396777, 0.395637, 0.384526, 0.323544, 0.296069, 0.251702, 0.134444, 0.0915557, 0.075297, 0.0532005, 0.042214, 0.0266698, 0.0133753, 0.0051074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.269246, 0.276975, 0.282092, 0.285463, 0.293097, 0.297874, 0.302042, 0.306136, 0.310179, 0.313984, 0.321197, 0.325999, 0.330206, 0.33675, 0.343194, 0.348676, 0.349881, 0.352292, 0.357113, 0.361662, 0.365087, 0.369364, 0.370225, 0.371948, 0.375392, 0.37948, 0.384826, 0.386791, 0.39072, 0.396007, 0.40366, 0.40701, 0.427111, 0.446348, 0.471538, 0.501749");
-            values ( \
-              "0.00258533, 0.0313524, 0.0455161, 0.0538232, 0.0688669, 0.0758536, 0.0797632, 0.0823078, 0.0837312, 0.08425, 0.0817417, 0.0787181, 0.0767447, 0.0753914, 0.0626147, 0.0498877, 0.0481395, 0.0430404, 0.0353955, 0.0272082, 0.0248095, 0.0239678, 0.0240854, 0.023259, 0.0220358, 0.0192589, 0.0161394, 0.0145436, 0.0125974, 0.00958371, 0.00698349, 0.00562732, 0.00202071, 0.00104279, 8.73537e-05, 0.000252616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.269251, 0.285997, 0.293436, 0.296811, 0.300603, 0.304221, 0.310813, 0.315527, 0.323896, 0.325466, 0.328605, 0.335375, 0.345716, 0.347376, 0.350696, 0.355445, 0.369593, 0.382652, 0.393851, 0.398122, 0.430632, 0.444891, 0.452989, 0.468745, 0.493793, 0.526044");
-            values ( \
-              "0.00198213, 0.0854253, 0.11064, 0.12002, 0.128405, 0.134286, 0.14191, 0.145654, 0.148722, 0.148876, 0.148325, 0.144898, 0.137524, 0.137514, 0.135999, 0.128036, 0.0889866, 0.0597351, 0.0394168, 0.0355955, 0.0164471, 0.0101095, 0.00772297, 0.00415967, 0.0016806, 0.000349045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.274777, 0.284439, 0.295936, 0.302112, 0.306026, 0.311264, 0.317739, 0.322275, 0.32746, 0.333078, 0.344315, 0.347392, 0.353548, 0.361942, 0.38085, 0.385689, 0.393861, 0.409321, 0.420298, 0.427294, 0.441285, 0.452575, 0.458918, 0.468564, 0.479182, 0.496661, 0.511004, 0.523163, 0.534138, 0.548771, 0.574039, 0.604571, 0.644836, 0.701974");
-            values ( \
-              "0.030417, 0.104727, 0.161452, 0.18267, 0.192763, 0.203651, 0.21407, 0.219516, 0.224177, 0.227313, 0.22868, 0.227968, 0.225269, 0.21956, 0.204428, 0.197535, 0.180017, 0.141692, 0.117161, 0.102874, 0.0778657, 0.0604155, 0.0521575, 0.0432081, 0.0363759, 0.026997, 0.0205694, 0.0159949, 0.0126151, 0.00908919, 0.00496778, 0.00234047, 0.000803952, 0.000164579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.281744, 0.290761, 0.296924, 0.301994, 0.311441, 0.316864, 0.324818, 0.334193, 0.342371, 0.354653, 0.366418, 0.388669, 0.390736, 0.403138, 0.416191, 0.446022, 0.451415, 0.461799, 0.512175, 0.524399, 0.540698, 0.565844, 0.579841, 0.589076, 0.605228, 0.626662, 0.64473, 0.666147, 0.695931, 0.708268, 0.732944, 0.765299, 0.807926, 0.857644, 0.92222, 1.00535");
-            values ( \
-              "0.159401, 0.163675, 0.198555, 0.221019, 0.251548, 0.264963, 0.28051, 0.293116, 0.29976, 0.304049, 0.303526, 0.295301, 0.294219, 0.286698, 0.278093, 0.255271, 0.249605, 0.236257, 0.159737, 0.142381, 0.120977, 0.0922223, 0.0782986, 0.0699644, 0.0574597, 0.0452269, 0.0372248, 0.0294097, 0.0208683, 0.018036, 0.0133358, 0.00885454, 0.00503981, 0.0025598, 0.00101529, 0.000290032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.286137, 0.305501, 0.317717, 0.331265, 0.342615, 0.358678, 0.373751, 0.388155, 0.410701, 0.434, 0.481987, 0.532866, 0.587584, 0.606875, 0.626692, 0.649458, 0.726942, 0.752438, 0.786433, 0.834473, 0.874892, 0.906325, 0.949724, 0.987387, 1.02793, 1.08532, 1.10964, 1.15827, 1.22258, 1.30361, 1.38675, 1.46988, 1.55302");
-            values ( \
-              "0.224303, 0.259934, 0.300294, 0.330341, 0.345874, 0.357415, 0.360591, 0.359957, 0.354978, 0.347576, 0.329501, 0.308879, 0.283505, 0.271742, 0.257836, 0.240451, 0.178003, 0.15851, 0.134303, 0.104157, 0.0827475, 0.0685666, 0.0529925, 0.042546, 0.033502, 0.0236219, 0.020368, 0.0149959, 0.00993217, 0.00579304, 0.00332291, 0.00187349, 0.00107263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.299599, 0.39167, 0.448629, 0.530856, 0.790995, 0.907871, 1.03964, 1.35335, 1.50315, 1.57408, 1.6974, 1.77779, 1.9349, 2.16247, 2.49241");
-            values ( \
-              "0.390474, 0.396872, 0.391114, 0.375436, 0.323482, 0.296007, 0.25164, 0.134382, 0.091618, 0.0752345, 0.053138, 0.0422765, 0.0267323, 0.0134472, 0.00484905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.349424, 0.369592, 0.375899, 0.380085, 0.383852, 0.389825, 0.396198, 0.404104, 0.412416, 0.419034, 0.434556, 0.443971, 0.447376, 0.452447, 0.457519, 0.46901, 0.480562, 0.488781, 0.501809, 0.517862, 0.540517, 0.567249");
-            values ( \
-              "0.00174238, 0.0579953, 0.0698253, 0.0758361, 0.0793388, 0.0829766, 0.0842939, 0.081412, 0.0766896, 0.0752326, 0.0430608, 0.0271712, 0.0246944, 0.0239739, 0.0219777, 0.0146473, 0.00867308, 0.00585266, 0.00310411, 0.00154299, 0.000402695, 0.000234751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.355006, 0.362069, 0.368112, 0.378921, 0.382659, 0.389471, 0.397671, 0.407591, 0.411668, 0.417523, 0.427861, 0.432496, 0.436958, 0.438824, 0.453343, 0.462865, 0.476562, 0.481762, 0.500343, 0.508971, 0.524484, 0.531038, 0.557253, 0.58359, 0.617355");
-            values ( \
-              "0.0227534, 0.0601237, 0.0850887, 0.119952, 0.128062, 0.138313, 0.14566, 0.14891, 0.147943, 0.144911, 0.137523, 0.136315, 0.129367, 0.125004, 0.0849969, 0.0638063, 0.0385984, 0.0343115, 0.023997, 0.0185015, 0.0110089, 0.00871787, 0.00321541, 0.00131727, 0.000177447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.355088, 0.366694, 0.372359, 0.37765, 0.380799, 0.384389, 0.388244, 0.393153, 0.399553, 0.4029, 0.407363, 0.415368, 0.429703, 0.444042, 0.451454, 0.467259, 0.476155, 0.495463, 0.508931, 0.517507, 0.531851, 0.537712, 0.54824, 0.55833, 0.574526, 0.591624, 0.609082, 0.630979, 0.651542, 0.681407, 0.71789, 0.772266");
-            values ( \
-              "0.00806237, 0.104443, 0.134493, 0.158935, 0.171213, 0.182507, 0.192547, 0.202778, 0.213388, 0.217676, 0.222146, 0.227372, 0.227877, 0.219956, 0.21493, 0.199398, 0.179757, 0.132594, 0.104277, 0.0880664, 0.0648125, 0.056499, 0.0451902, 0.0382828, 0.0291205, 0.0211502, 0.0147246, 0.00900915, 0.00571651, 0.00259119, 0.00115472, 0.000128037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.366422, 0.381203, 0.392964, 0.406758, 0.41617, 0.424497, 0.436785, 0.448546, 0.470361, 0.498321, 0.525484, 0.537747, 0.555792, 0.605678, 0.641087, 0.669542, 0.686097, 0.708287, 0.748914, 0.777996, 0.815276, 0.847309, 0.88853, 0.9374, 0.938281");
-            values ( \
-              "0.199421, 0.208738, 0.24981, 0.280135, 0.292905, 0.299726, 0.30402, 0.303513, 0.295513, 0.278111, 0.258114, 0.244551, 0.218899, 0.143486, 0.0994966, 0.0714034, 0.0583442, 0.0454416, 0.0292173, 0.0208722, 0.0132942, 0.0088818, 0.00514077, 0.00265934, 0.0026452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.372984, 0.408228, 0.41722, 0.424918, 0.440316, 0.455735, 0.47046, 0.493009, 0.516306, 0.564294, 0.615174, 0.669892, 0.689172, 0.708995, 0.80925, 0.868723, 0.916777, 0.957216, 0.988667, 1.03204, 1.06968, 1.11022, 1.16762, 1.24059, 1.30405, 1.38403, 1.46716, 1.63343");
-            values ( \
-              "0.285577, 0.319912, 0.3362, 0.345691, 0.357084, 0.360461, 0.359989, 0.354905, 0.347628, 0.329558, 0.308939, 0.283504, 0.271748, 0.25784, 0.178002, 0.134315, 0.104159, 0.0827397, 0.0685521, 0.0529898, 0.0425506, 0.0335041, 0.023623, 0.0149948, 0.00998764, 0.00586959, 0.0033671, 0.00108698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.381087, 0.473948, 0.499591, 0.56641, 0.802627, 0.95642, 1.0505, 1.43564, 1.58544, 1.65637, 1.7797, 1.93405, 2.01719, 2.11256, 2.24803, 2.4143, 2.58057, 2.67053");
-            values ( \
-              "0.38396, 0.396754, 0.395557, 0.38441, 0.338007, 0.304913, 0.277256, 0.134323, 0.0916782, 0.0751737, 0.0530766, 0.0340143, 0.0267943, 0.0200163, 0.0132483, 0.00790117, 0.00466743, 0.00398643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.515761, 0.523942, 0.529073, 0.535284, 0.544437, 0.547612, 0.550186, 0.556978, 0.561031, 0.567124, 0.577261, 0.58388, 0.590128, 0.595721, 0.60882, 0.612226, 0.616433, 0.617297, 0.622483, 0.633866, 0.645157, 0.651367, 0.653611, 0.658098, 0.667072, 0.705813, 0.732417");
-            values ( \
-              "0.000874965, 0.0311743, 0.0454902, 0.0600044, 0.0753689, 0.0785652, 0.0804753, 0.0837104, 0.0838814, 0.082481, 0.0765248, 0.0754023, 0.0629874, 0.0498211, 0.0269848, 0.0248623, 0.0239027, 0.0241308, 0.0220675, 0.0144954, 0.00863471, 0.00682552, 0.00572008, 0.00501839, 0.00290573, 0.000258781, 0.000368145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.515651, 0.537492, 0.543618, 0.554843, 0.562432, 0.572358, 0.582294, 0.595749, 0.599177, 0.625547, 0.639887, 0.644492, 0.68173, 0.696152, 0.705558, 0.72437, 0.750616, 0.78534");
-            values ( \
-              "0.00518923, 0.101531, 0.119757, 0.138766, 0.145552, 0.14889, 0.144903, 0.137164, 0.133939, 0.0679039, 0.0404878, 0.0358554, 0.0144846, 0.00869576, 0.00628217, 0.00300052, 0.00114786, 0.000214613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.521195, 0.531429, 0.536601, 0.54292, 0.549123, 0.55803, 0.563873, 0.568501, 0.574497, 0.580126, 0.591383, 0.594449, 0.60058, 0.609002, 0.625766, 0.628736, 0.634059, 0.64093, 0.662087, 0.677526, 0.692097, 0.701439, 0.707934, 0.717068, 0.731639, 0.750985, 0.772597, 0.785476, 0.800194, 0.825751, 0.857977, 0.900515");
-            values ( \
-              "0.0231241, 0.104442, 0.132266, 0.161249, 0.182531, 0.20254, 0.212364, 0.218337, 0.223943, 0.227159, 0.228567, 0.227894, 0.225202, 0.219517, 0.206362, 0.203477, 0.195091, 0.179875, 0.128724, 0.096783, 0.071952, 0.0578555, 0.0500351, 0.0421638, 0.0332739, 0.0235746, 0.0151962, 0.0115039, 0.00820902, 0.00447248, 0.00197635, 0.000658904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.527602, 0.537464, 0.549884, 0.563592, 0.581043, 0.589128, 0.601417, 0.613183, 0.634929, 0.662962, 0.690125, 0.702671, 0.720437, 0.770096, 0.804834, 0.83513, 0.851363, 0.87321, 0.913241, 0.941963, 0.981278, 1.01331, 1.05466, 1.10336, 1.12262");
-            values ( \
-              "0.131954, 0.163428, 0.225196, 0.264343, 0.29297, 0.299601, 0.303946, 0.303473, 0.295529, 0.278094, 0.258109, 0.244189, 0.21888, 0.143793, 0.100479, 0.0705761, 0.0579276, 0.0453097, 0.029326, 0.0210417, 0.0130693, 0.00873141, 0.00503772, 0.00261628, 0.00231298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.538246, 0.572982, 0.589526, 0.604991, 0.620383, 0.635077, 0.657627, 0.680925, 0.779793, 0.831294, 0.85382, 0.87363, 0.99938, 1.03339, 1.08141, 1.1218, 1.1532, 1.20921, 1.23435, 1.27488, 1.33227, 1.40519, 1.46834, 1.54794, 1.63107, 1.71421, 1.88047");
-            values ( \
-              "0.297236, 0.320012, 0.345635, 0.356932, 0.360524, 0.359866, 0.354997, 0.347523, 0.308835, 0.285204, 0.271728, 0.257826, 0.158499, 0.134282, 0.104152, 0.0827597, 0.0685883, 0.0493118, 0.0425381, 0.0334974, 0.0236201, 0.0149975, 0.0100095, 0.00589877, 0.0033838, 0.00190884, 0.00060526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.545993, 0.63869, 0.695161, 0.77789, 1.03803, 1.15491, 1.28668, 1.60039, 1.75019, 1.82112, 1.94445, 2.02483, 2.18194, 2.41278, 2.73322");
-            values ( \
-              "0.385494, 0.39662, 0.391132, 0.375393, 0.323517, 0.296042, 0.251675, 0.134417, 0.0915829, 0.0752694, 0.0531727, 0.0422413, 0.0266974, 0.0133471, 0.00507891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.85189, 0.866256, 0.870213, 0.876968, 0.882065, 0.886481, 0.890331, 0.894372, 0.898186, 0.905248, 0.910996, 0.914423, 0.920777, 0.923114, 0.936445, 0.945745, 0.94919, 0.954406, 0.960587, 0.976175, 0.988697, 0.990631, 0.9945, 1.01672, 1.03623");
-            values ( \
-              "0.000207258, 0.0454402, 0.0550049, 0.0681907, 0.0757546, 0.0799575, 0.0822222, 0.0837046, 0.084129, 0.081792, 0.0781226, 0.0767939, 0.0754753, 0.071553, 0.0432377, 0.0274985, 0.0248207, 0.0240976, 0.0214982, 0.0116102, 0.00640817, 0.00614314, 0.00483224, 0.00155705, 0.000925075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.857243, 0.864083, 0.870124, 0.877562, 0.883354, 0.889321, 0.894255, 0.899698, 0.907213, 0.909624, 0.914446, 0.919564, 0.929913, 0.933724, 0.937162, 0.94087, 0.953379, 0.96275, 0.976946, 0.982068, 1.00903, 1.02534, 1.03572, 1.04957, 1.07202, 1.10132, 1.13856");
-            values ( \
-              "0.0255052, 0.0600815, 0.0849783, 0.110266, 0.125415, 0.135479, 0.141156, 0.145475, 0.148534, 0.148727, 0.14762, 0.144716, 0.137345, 0.136754, 0.132878, 0.124982, 0.0902196, 0.0681547, 0.0409223, 0.0357151, 0.0197911, 0.0116213, 0.00803677, 0.00487944, 0.00200751, 0.000668996, 0.000115285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.857063, 0.868418, 0.883638, 0.890142, 0.901426, 0.908261, 0.916073, 0.923441, 0.931415, 0.950819, 0.961262, 0.976687, 0.999114, 1.01096, 1.03342, 1.05014, 1.08258, 1.10751, 1.12883, 1.17527, 1.18358");
-            values ( \
-              "0.0104558, 0.104559, 0.174978, 0.192902, 0.213415, 0.221285, 0.226706, 0.228671, 0.227758, 0.216072, 0.207311, 0.185223, 0.128599, 0.10382, 0.0651538, 0.0452374, 0.0261542, 0.0159411, 0.00994679, 0.00325721, 0.00290163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.863644, 0.883232, 0.889775, 0.894609, 0.901006, 0.908456, 0.917451, 0.92652, 0.938833, 0.950585, 0.972005, 0.980689, 1.00038, 1.02755, 1.04143, 1.05787, 1.10638, 1.13806, 1.1567, 1.1767, 1.19795, 1.22197, 1.23071, 1.2545, 1.2765, 1.29266, 1.32497, 1.35834, 1.40111, 1.45039, 1.51774");
-            values ( \
-              "0.0967253, 0.208834, 0.234049, 0.248722, 0.264745, 0.279417, 0.291888, 0.299509, 0.303824, 0.303338, 0.295575, 0.290722, 0.277999, 0.258103, 0.242509, 0.218864, 0.145492, 0.105305, 0.0855184, 0.0671433, 0.0521216, 0.0399224, 0.0363335, 0.0280131, 0.0218224, 0.018001, 0.0121258, 0.00789565, 0.00449822, 0.00227571, 0.000880234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.874448, 0.901806, 0.90928, 0.926729, 0.9427, 0.957841, 0.972302, 0.994864, 1.01817, 1.11708, 1.1686, 1.21576, 1.35456, 1.40844, 1.45642, 1.48969, 1.54655, 1.61293, 1.67001, 1.74183, 1.80487, 1.88426, 1.9674, 1.99666");
-            values ( \
-              "0.293932, 0.299893, 0.31819, 0.345533, 0.356984, 0.36037, 0.35967, 0.354829, 0.347347, 0.308707, 0.28518, 0.254277, 0.145565, 0.110124, 0.0840643, 0.0689573, 0.0493349, 0.0333777, 0.0235407, 0.0150463, 0.0100829, 0.00592147, 0.00342627, 0.00309373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.882705, 0.944736, 0.976032, 1.00171, 1.06855, 1.34954, 1.49241, 1.62442, 1.93528, 2.09311, 2.162, 2.28211, 2.35604, 2.51542, 2.75606, 3.06251");
-            values ( \
-              "0.383959, 0.387909, 0.396379, 0.395409, 0.384299, 0.328947, 0.296186, 0.251654, 0.135286, 0.0902733, 0.0745727, 0.0531568, 0.0430347, 0.0270312, 0.0131198, 0.0053471" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0100272, 0.0100294, 0.0100318, 0.0100336, 0.0100347, 0.0100352", \
-            "0.0119863, 0.0119871, 0.0119884, 0.0119897, 0.0119906, 0.0119911", \
-            "0.0132868, 0.0132868, 0.0132872, 0.0132879, 0.0132886, 0.0132891", \
-            "0.0142214, 0.0142212, 0.0142211, 0.0142211, 0.0142215, 0.0142218", \
-            "0.0148087, 0.0148086, 0.0148084, 0.0148081, 0.014808, 0.014808", \
-            "0.0152479, 0.0152478, 0.0152478, 0.0152475, 0.0152473, 0.015247" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0141126, 0.0141116, 0.0141116, 0.0141123, 0.0141129, 0.0141133", \
-            "0.0149549, 0.0149534, 0.0149517, 0.0149509, 0.0149508, 0.0149509", \
-            "0.0150459, 0.0150452, 0.0150439, 0.0150425, 0.0150417, 0.0150413", \
-            "0.0155533, 0.0155532, 0.0155527, 0.0155516, 0.0155503, 0.0155496", \
-            "0.0132472, 0.0132466, 0.0132464, 0.0132461, 0.0132453, 0.0132443", \
-            "0.0124538, 0.0125226, 0.0126142, 0.0126653, 0.0126918, 0.0127012" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.16724, 0.201646, 0.209483, 0.213377, 0.221339, 0.228843, 0.236169, 0.243489, 0.24873, 0.265726, 0.273557, 0.284711, 0.290057, 0.303071, 0.309243, 0.338439");
-            values ( \
-              "-0.000794751, -0.0642229, -0.0750925, -0.0793311, -0.0855817, -0.0889099, -0.0902079, -0.0878281, -0.0817731, -0.0329061, -0.0191719, -0.00613268, -0.00410573, -0.00447135, -0.00417381, -0.00141319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.176343, 0.182906, 0.203734, 0.217574, 0.223193, 0.232862, 0.24206, 0.251041, 0.260016, 0.263075, 0.266232, 0.284324, 0.291983, 0.296986, 0.307002, 0.319676, 0.324329, 0.333074, 0.356032, 0.400632, 0.448204");
-            values ( \
-              "-0.042474, -0.0467551, -0.106699, -0.13701, -0.145821, -0.156443, -0.162014, -0.163919, -0.15846, -0.154042, -0.147029, -0.0724109, -0.0489483, -0.0373785, -0.0210959, -0.00740671, -0.00496824, -0.00375625, -0.00421238, -0.00128395, -0.000198136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.175589, 0.188167, 0.192659, 0.202007, 0.209363, 0.219547, 0.226588, 0.241035, 0.254232, 0.266962, 0.279521, 0.292396, 0.29432, 0.296885, 0.301411, 0.317406, 0.325286, 0.333817, 0.339304, 0.346493, 0.352571, 0.360142, 0.371136, 0.380481, 0.387084, 0.40029, 0.420245, 0.443123, 0.548682");
-            values ( \
-              "-0.0218146, -0.0820261, -0.097238, -0.137359, -0.163567, -0.194771, -0.212766, -0.239547, -0.253519, -0.260003, -0.25989, -0.246675, -0.243442, -0.237572, -0.223119, -0.151885, -0.119768, -0.0909547, -0.0755572, -0.0587914, -0.047348, -0.0359063, -0.0235812, -0.0157425, -0.0112706, -0.00525047, -0.00296269, -0.00342773, -0.00058698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.185818, 0.203999, 0.218509, 0.225088, 0.238244, 0.250461, 0.272462, 0.293148, 0.313464, 0.335044, 0.345275, 0.355376, 0.375579, 0.397407, 0.413835, 0.42844, 0.438218, 0.450968, 0.46143, 0.474659, 0.493529, 0.516322, 0.534407, 0.568929, 0.612977, 0.819735");
-            values ( \
-              "-0.133424, -0.170574, -0.230959, -0.253281, -0.29043, -0.31607, -0.345849, -0.357621, -0.35967, -0.350191, -0.340202, -0.325139, -0.270408, -0.196284, -0.148724, -0.114408, -0.0953555, -0.0746896, -0.0609231, -0.0468432, -0.0318593, -0.0195847, -0.0126985, -0.00483842, -0.00268533, -0.000480803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.191863, 0.220463, 0.236219, 0.251015, 0.275161, 0.292637, 0.322816, 0.34633, 0.367859, 0.408223, 0.431505, 0.464156, 0.486042, 0.528733, 0.563723, 0.593412, 0.618629, 0.651711, 0.678002, 0.699744, 0.726066, 0.763479, 0.805289, 0.837865, 0.902247, 0.966628, 1.03101, 1.28853");
-            values ( \
-              "-0.205946, -0.262147, -0.317071, -0.355666, -0.39851, -0.417214, -0.434152, -0.437441, -0.436133, -0.425631, -0.414864, -0.392331, -0.369066, -0.295661, -0.228283, -0.1788, -0.143634, -0.106418, -0.0831707, -0.0676175, -0.05237, -0.0361392, -0.0236985, -0.0167721, -0.00787372, -0.00403822, -0.00253954, -0.00068176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.200229, 0.237148, 0.253588, 0.296625, 0.327078, 0.351071, 0.373273, 0.410776, 0.447953, 0.524093, 0.602465, 0.684446, 0.719644, 0.772731, 0.837112, 0.945055, 1.04193, 1.11429, 1.17868, 1.23606, 1.28949, 1.35387, 1.41981, 1.52354, 1.58792, 1.71668, 1.84544, 2.23173");
-            values ( \
-              "-0.322786, -0.338125, -0.382783, -0.451149, -0.473363, -0.481299, -0.485183, -0.485522, -0.482588, -0.47073, -0.453893, -0.429947, -0.415835, -0.38727, -0.333768, -0.232224, -0.158579, -0.117224, -0.0884615, -0.0685784, -0.0539755, -0.040083, -0.0296413, -0.0181287, -0.0131084, -0.00694207, -0.00385577, -0.000910954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.189688, 0.197737, 0.20776, 0.2228, 0.231653, 0.239598, 0.247106, 0.254431, 0.26175, 0.266991, 0.283987, 0.291817, 0.302961, 0.308308, 0.327529, 0.359104, 0.360322");
-            values ( \
-              "-0.00985961, -0.0227363, -0.0437173, -0.0685616, -0.0795051, -0.0855738, -0.088918, -0.0902177, -0.0878362, -0.0817785, -0.0329066, -0.0191723, -0.00614059, -0.0041062, -0.00417136, -0.00118972, -0.00115452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.189451, 0.201278, 0.212502, 0.222157, 0.232818, 0.241556, 0.251238, 0.26042, 0.269401, 0.278376, 0.281438, 0.284598, 0.302684, 0.310355, 0.315351, 0.325356, 0.338033, 0.342689, 0.351442, 0.374402, 0.414437");
-            values ( \
-              "-0.00285547, -0.0466603, -0.0802763, -0.106818, -0.1312, -0.145855, -0.156419, -0.162058, -0.163879, -0.158501, -0.154042, -0.147011, -0.0724129, -0.0489196, -0.0373674, -0.0211052, -0.00740987, -0.00496914, -0.00375681, -0.00421275, -0.00158266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.188637, 0.226912, 0.237681, 0.244856, 0.259289, 0.272499, 0.285229, 0.297788, 0.310341, 0.312586, 0.319678, 0.335672, 0.352085, 0.364759, 0.370837, 0.378408, 0.389403, 0.405351, 0.418557, 0.438513, 0.461391, 0.514192");
-            values ( \
-              "-0.00357348, -0.160881, -0.19448, -0.212709, -0.239596, -0.253458, -0.260067, -0.259829, -0.247087, -0.243445, -0.223121, -0.15189, -0.0909543, -0.0587925, -0.04735, -0.0359053, -0.0235827, -0.0112717, -0.00524917, -0.00296379, -0.0034266, -0.00200564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.202516, 0.215249, 0.227032, 0.243287, 0.257687, 0.268664, 0.290666, 0.311354, 0.33167, 0.354709, 0.363468, 0.373583, 0.393811, 0.432021, 0.446727, 0.456477, 0.479596, 0.492839, 0.51172, 0.534558, 0.552684, 0.587215, 0.631309, 0.67528");
-            values ( \
-              "-0.12985, -0.136612, -0.192284, -0.253171, -0.293498, -0.315957, -0.345926, -0.357533, -0.359745, -0.348989, -0.340219, -0.325133, -0.270326, -0.148776, -0.114237, -0.0952589, -0.0609729, -0.0468665, -0.031871, -0.0195728, -0.0126743, -0.00482963, -0.0026827, -0.0022141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.21114, 0.243932, 0.269224, 0.288215, 0.310866, 0.340725, 0.348851, 0.365103, 0.386056, 0.426424, 0.449712, 0.46259, 0.482354, 0.504239, 0.54693, 0.581921, 0.611609, 0.636827, 0.669909, 0.6962, 0.717942, 0.744264, 0.781677, 0.823487, 0.856063, 0.920445, 0.984826, 1.04921, 1.30673");
-            values ( \
-              "-0.21295, -0.282546, -0.355763, -0.390972, -0.41737, -0.433975, -0.435897, -0.437403, -0.436181, -0.425628, -0.414856, -0.407164, -0.392328, -0.369068, -0.295658, -0.228281, -0.178804, -0.143632, -0.106416, -0.0831731, -0.06762, -0.0523677, -0.0361415, -0.0237007, -0.0167698, -0.00787592, -0.00403597, -0.00254173, -0.000683953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.223784, 0.272199, 0.297351, 0.315234, 0.345698, 0.369684, 0.391881, 0.429383, 0.46656, 0.542699, 0.62107, 0.70305, 0.738248, 0.791335, 0.855716, 0.968188, 1.06063, 1.12517, 1.18955, 1.28295, 1.34733, 1.44996, 1.51434, 1.64311, 1.77187, 1.85243");
-            values ( \
-              "-0.36589, -0.382862, -0.430254, -0.451196, -0.473351, -0.481334, -0.48516, -0.48555, -0.482563, -0.47071, -0.453869, -0.429921, -0.415861, -0.387244, -0.333794, -0.228296, -0.158534, -0.121123, -0.0915399, -0.0604874, -0.0450553, -0.0280681, -0.0206447, -0.0109428, -0.00586508, -0.0042768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.22573, 0.260108, 0.267941, 0.271835, 0.279799, 0.287304, 0.294631, 0.301951, 0.307193, 0.324189, 0.33202, 0.343166, 0.348513, 0.367725, 0.399278, 0.399487");
-            values ( \
-              "-0.00093469, -0.0641602, -0.0750417, -0.0793447, -0.0855432, -0.0889238, -0.0901781, -0.0878418, -0.0817685, -0.0329053, -0.0191713, -0.00613912, -0.00410661, -0.00417225, -0.00119131, -0.00118527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.235556, 0.244309, 0.252636, 0.262342, 0.270055, 0.277113, 0.28173, 0.291428, 0.300597, 0.309579, 0.318555, 0.32161, 0.324762, 0.342864, 0.350537, 0.355532, 0.365534, 0.378213, 0.38287, 0.391625, 0.414586, 0.456009");
-            values ( \
-              "-0.0463671, -0.0538114, -0.0801538, -0.106808, -0.125117, -0.138693, -0.1458, -0.156422, -0.162022, -0.16388, -0.158473, -0.154044, -0.147046, -0.072411, -0.0489127, -0.0373648, -0.0211065, -0.00740992, -0.00496906, -0.00375724, -0.00421279, -0.00149126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.238621, 0.250548, 0.26099, 0.267842, 0.278513, 0.285092, 0.299519, 0.312735, 0.325466, 0.338024, 0.350578, 0.352824, 0.359916, 0.375904, 0.383788, 0.392324, 0.404996, 0.411071, 0.418643, 0.429638, 0.445588, 0.458797, 0.478755, 0.501633, 0.60719");
-            values ( \
-              "-0.0720962, -0.0954033, -0.139005, -0.163341, -0.196317, -0.212587, -0.239701, -0.253358, -0.260163, -0.259741, -0.247173, -0.243445, -0.223115, -0.151908, -0.119769, -0.0909433, -0.0587906, -0.0473533, -0.0359069, -0.0235836, -0.011271, -0.00524795, -0.00296389, -0.00342643, -0.00058558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.244328, 0.262274, 0.283446, 0.296324, 0.308825, 0.330828, 0.351517, 0.371833, 0.392135, 0.394871, 0.403627, 0.413746, 0.433985, 0.45566, 0.472177, 0.486925, 0.496663, 0.519744, 0.532992, 0.551877, 0.574734, 0.592876, 0.627412, 0.671525, 0.878358");
-            values ( \
-              "-0.136562, -0.170097, -0.253158, -0.289693, -0.315998, -0.345844, -0.357576, -0.359684, -0.351161, -0.348984, -0.34022, -0.325132, -0.270289, -0.196658, -0.148798, -0.114166, -0.0952206, -0.0609922, -0.0468773, -0.0318747, -0.019567, -0.0126656, -0.00482497, -0.0026827, -0.000478791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.25115, 0.284189, 0.309472, 0.328374, 0.351115, 0.380788, 0.389101, 0.405725, 0.426306, 0.466675, 0.489964, 0.502841, 0.522605, 0.54449, 0.587181, 0.622171, 0.651859, 0.677077, 0.71016, 0.736451, 0.758192, 0.784514, 0.821927, 0.863738, 0.896314, 0.960695, 1.02508, 1.08946, 1.34698");
-            values ( \
-              "-0.209427, -0.28256, -0.355742, -0.390818, -0.417364, -0.433906, -0.435883, -0.437405, -0.436173, -0.425624, -0.414854, -0.407162, -0.392327, -0.369067, -0.295659, -0.228281, -0.178805, -0.143631, -0.106415, -0.0831732, -0.0676204, -0.0523676, -0.0361418, -0.0237009, -0.0167695, -0.00787612, -0.00403573, -0.00254195, -0.000684183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.261112, 0.356017, 0.387211, 0.419438, 0.459206, 0.507465, 0.613834, 0.726261, 0.779055, 0.832144, 1.10131, 1.23897, 1.34783, 1.47973, 1.64893, 1.67905");
-            values ( \
-              "-0.320144, -0.451049, -0.473506, -0.48335, -0.485905, -0.482553, -0.464538, -0.435685, -0.415923, -0.387192, -0.158663, -0.0881826, -0.0541888, -0.0295241, -0.0130541, -0.0119809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.309569, 0.330141, 0.345305, 0.354174, 0.362121, 0.369635, 0.376964, 0.384287, 0.3895, 0.406529, 0.41436, 0.425506, 0.430855, 0.450059, 0.480979");
-            values ( \
-              "-0.00185914, -0.0432585, -0.0684581, -0.0794231, -0.0855036, -0.0888608, -0.0901726, -0.0878014, -0.081807, -0.0328998, -0.019169, -0.00613858, -0.00410729, -0.00417279, -0.001251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.309485, 0.340173, 0.355325, 0.363949, 0.373656, 0.382823, 0.391807, 0.400786, 0.403825, 0.406962, 0.425096, 0.432775, 0.437767, 0.447766, 0.460448, 0.465107, 0.473871, 0.496836, 0.541449, 0.589052");
-            values ( \
-              "-9.90094e-05, -0.0951993, -0.131295, -0.145729, -0.156364, -0.161978, -0.163839, -0.158449, -0.154048, -0.147114, -0.0724067, -0.0488978, -0.0373584, -0.0211083, -0.00740903, -0.004968, -0.003758, -0.00421233, -0.00128106, -0.000196805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.320493, 0.328901, 0.350108, 0.360914, 0.367362, 0.380257, 0.390058, 0.394993, 0.404861, 0.413359, 0.420221, 0.432762, 0.437942, 0.442312, 0.464728, 0.47889, 0.494111, 0.503894, 0.512936, 0.52513, 0.534642, 0.553664, 0.598734, 0.637638");
-            values ( \
-              "-0.0739593, -0.0813676, -0.163271, -0.196703, -0.212511, -0.237398, -0.248985, -0.253395, -0.259031, -0.260928, -0.259646, -0.247095, -0.236563, -0.222358, -0.124611, -0.0785181, -0.0459402, -0.0320172, -0.0225675, -0.0129838, -0.00764156, -0.00303068, -0.00314186, -0.00217104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.326376, 0.340061, 0.349644, 0.365896, 0.378296, 0.391271, 0.413272, 0.43396, 0.454276, 0.477317, 0.486083, 0.49619, 0.516403, 0.538184, 0.55464, 0.569289, 0.579055, 0.602226, 0.615461, 0.634336, 0.657149, 0.675252, 0.709777, 0.753845, 0.960618");
-            values ( \
-              "-0.145861, -0.14763, -0.192485, -0.253254, -0.288545, -0.31605, -0.345841, -0.357596, -0.359676, -0.348985, -0.340208, -0.325131, -0.270373, -0.196402, -0.148744, -0.114333, -0.0953119, -0.0609456, -0.0468523, -0.0318652, -0.0195801, -0.0126869, -0.00483535, -0.00268346, -0.000479161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.333616, 0.366554, 0.39186, 0.41086, 0.433501, 0.463298, 0.471486, 0.487863, 0.508692, 0.54906, 0.572348, 0.585226, 0.60499, 0.626875, 0.669566, 0.704556, 0.734245, 0.759463, 0.792545, 0.818836, 0.840577, 0.8669, 0.904313, 0.946124, 0.9787, 1.04308, 1.10746, 1.17184, 1.42937");
-            values ( \
-              "-0.210438, -0.282584, -0.355808, -0.390961, -0.417398, -0.433925, -0.435917, -0.437379, -0.4362, -0.425624, -0.414856, -0.407162, -0.392329, -0.369066, -0.29566, -0.228282, -0.178803, -0.143632, -0.106416, -0.0831724, -0.0676195, -0.0523682, -0.036141, -0.0237001, -0.0167701, -0.00787536, -0.00403641, -0.00254126, -0.000683496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.346015, 0.394812, 0.419928, 0.437841, 0.468394, 0.492332, 0.514487, 0.551988, 0.589166, 0.651209, 0.743678, 0.808059, 0.860857, 0.913943, 0.978324, 1.0908, 1.18324, 1.24778, 1.31216, 1.40555, 1.46994, 1.57257, 1.63695, 1.76571, 1.95886, 1.96586");
-            values ( \
-              "-0.359917, -0.382983, -0.430197, -0.451261, -0.473365, -0.481391, -0.485124, -0.485589, -0.482525, -0.473005, -0.453835, -0.435654, -0.41589, -0.387222, -0.333817, -0.228279, -0.158548, -0.121112, -0.0915508, -0.0604803, -0.0450615, -0.0280624, -0.0206503, -0.0109482, -0.00442, -0.00435913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.47388, 0.493717, 0.508946, 0.517786, 0.525715, 0.533204, 0.540509, 0.547807, 0.552095, 0.555763, 0.569543, 0.577649, 0.584946, 0.589652, 0.595176, 0.60937, 0.641667");
-            values ( \
-              "-0.00272037, -0.0430976, -0.0686458, -0.0796465, -0.0857655, -0.0891555, -0.0904872, -0.0880974, -0.0836745, -0.0755822, -0.033907, -0.0193937, -0.0100619, -0.00569616, -0.0040106, -0.00440708, -0.00167964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.473863, 0.498585, 0.508313, 0.519472, 0.52764, 0.537284, 0.546431, 0.555359, 0.559801, 0.564375, 0.571635, 0.588111, 0.595482, 0.602814, 0.606506, 0.613891, 0.620662, 0.624914, 0.633179, 0.655868, 0.668732, 0.68249, 0.717487, 0.741909");
-            values ( \
-              "-0.000246433, -0.0803473, -0.106989, -0.13257, -0.14621, -0.156816, -0.162634, -0.165086, -0.162342, -0.158586, -0.143235, -0.0739367, -0.0509154, -0.0342298, -0.0280754, -0.017753, -0.010399, -0.00668348, -0.00384015, -0.00426276, -0.00384876, -0.00260549, -0.000691037, -0.000377711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.485332, 0.496339, 0.506982, 0.513795, 0.52244, 0.530978, 0.545365, 0.550115, 0.558513, 0.57117, 0.583724, 0.59629, 0.598601, 0.601242, 0.605712, 0.629497, 0.63819, 0.643634, 0.650775, 0.656758, 0.664353, 0.675365, 0.684756, 0.691398, 0.704682, 0.724716, 0.747742, 0.853926");
-            values ( \
-              "-0.0824541, -0.0951396, -0.139481, -0.163917, -0.191108, -0.213365, -0.240361, -0.246282, -0.254142, -0.260123, -0.259454, -0.246992, -0.243138, -0.237049, -0.222715, -0.119838, -0.0905415, -0.0753154, -0.0587082, -0.0474474, -0.0359495, -0.0236023, -0.0157235, -0.0112365, -0.00520677, -0.0029634, -0.00341044, -0.000553903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.488002, 0.499678, 0.52238, 0.529438, 0.543554, 0.554713, 0.565132, 0.571049, 0.576606, 0.587237, 0.597292, 0.617616, 0.637941, 0.649345, 0.659563, 0.679999, 0.700862, 0.71785, 0.733378, 0.742899, 0.755359, 0.763864, 0.775204, 0.796288, 0.806801, 0.823822, 0.846517, 0.882405, 0.929131, 1.08829");
-            values ( \
-              "-0.121028, -0.128481, -0.229863, -0.253857, -0.293895, -0.317859, -0.332942, -0.339547, -0.345329, -0.352746, -0.357419, -0.359093, -0.351108, -0.340137, -0.324993, -0.269598, -0.198623, -0.149191, -0.112851, -0.0944937, -0.0744169, -0.0630753, -0.0504129, -0.032784, -0.0263398, -0.0181512, -0.0102815, -0.00388013, -0.00260703, -0.000727414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.497756, 0.530117, 0.555331, 0.568521, 0.587596, 0.596882, 0.615453, 0.63488, 0.672165, 0.70982, 0.732549, 0.74871, 0.781033, 0.790432, 0.809229, 0.862862, 0.893281, 0.916015, 0.941563, 0.975627, 1.00517, 1.02657, 1.06685, 1.11149, 1.14709, 1.21147, 1.27585, 1.34023, 1.53338");
-            values ( \
-              "-0.219279, -0.282937, -0.357543, -0.382052, -0.407984, -0.416406, -0.429117, -0.435082, -0.436299, -0.426642, -0.416618, -0.407145, -0.380125, -0.369089, -0.34024, -0.237356, -0.18539, -0.152442, -0.121318, -0.0883195, -0.0667101, -0.0542348, -0.0363571, -0.0231587, -0.0158361, -0.00739432, -0.00388659, -0.00244113, -0.000915674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.485412, 0.518387, 0.540834, 0.558263, 0.576558, 0.601267, 0.620363, 0.646472, 0.677961, 0.715589, 0.752665, 0.812408, 0.861585, 0.971556, 1.02435, 1.07744, 1.14182, 1.24206, 1.33785, 1.39631, 1.45254, 1.50169, 1.55787, 1.60753, 1.67192, 1.70572, 1.7701, 1.89886, 2.02762, 2.15639, 2.54267");
-            values ( \
-              "-0.0817961, -0.244593, -0.335132, -0.384455, -0.419496, -0.451106, -0.465592, -0.478829, -0.484455, -0.48596, -0.482022, -0.473826, -0.464369, -0.436028, -0.416241, -0.386871, -0.334114, -0.238675, -0.164266, -0.129262, -0.101178, -0.0815433, -0.0634444, -0.0509861, -0.0376143, -0.0324978, -0.0237139, -0.012582, -0.00661483, -0.00363093, -0.00077225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.813119, 0.820141, 0.838576, 0.8509, 0.854836, 0.862898, 0.870476, 0.877861, 0.885241, 0.889275, 0.893229, 0.907585, 0.915402, 0.926402, 0.931807, 0.944736, 0.95142, 0.974497");
-            values ( \
-              "-0.0146245, -0.0227541, -0.0563138, -0.0739862, -0.078294, -0.0846154, -0.0881362, -0.0895509, -0.0873745, -0.0832874, -0.0750957, -0.0327423, -0.0191062, -0.00622181, -0.00413524, -0.00448861, -0.00414817, -0.00197526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.816882, 0.823642, 0.845145, 0.853984, 0.862692, 0.86899, 0.874542, 0.883796, 0.892824, 0.901847, 0.90723, 0.911802, 0.926185, 0.934054, 0.938946, 0.948778, 0.961539, 0.966294, 0.975307, 0.998031, 1.03263");
-            values ( \
-              "-0.0416747, -0.0449547, -0.104982, -0.125411, -0.141196, -0.149536, -0.155044, -0.161043, -0.16316, -0.157994, -0.148925, -0.133412, -0.072345, -0.048389, -0.0371717, -0.0212086, -0.00740183, -0.00494343, -0.00377645, -0.00420956, -0.00192678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.820949, 0.8331, 0.843452, 0.856921, 0.868076, 0.876061, 0.882587, 0.895918, 0.90866, 0.921246, 0.933826, 0.938466, 0.943068, 0.967072, 0.980941, 0.994396, 1.00194, 1.01291, 1.02877, 1.04189, 1.06156, 1.08408, 1.13554");
-            values ( \
-              "-0.0732683, -0.0931676, -0.135498, -0.180814, -0.210515, -0.227075, -0.237701, -0.252431, -0.259403, -0.259414, -0.246921, -0.237875, -0.223382, -0.119621, -0.0758406, -0.0472127, -0.0358332, -0.0235487, -0.0112987, -0.00528893, -0.00298635, -0.00342483, -0.00203716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.827249, 0.844756, 0.86647, 0.880386, 0.892035, 0.914108, 0.934821, 0.955142, 0.975448, 0.977982, 0.986093, 0.997028, 1.01887, 1.04031, 1.05552, 1.06939, 1.0794, 1.09605, 1.11646, 1.13524, 1.15763, 1.17537, 1.21005, 1.2537, 1.41142");
-            values ( \
-              "-0.144464, -0.165646, -0.250449, -0.28998, -0.314528, -0.345213, -0.357339, -0.359798, -0.351394, -0.349426, -0.341511, -0.325451, -0.265194, -0.192393, -0.148803, -0.11594, -0.0962087, -0.069868, -0.0466617, -0.0318092, -0.0197181, -0.0128905, -0.00489514, -0.00285271, -0.000886166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.834295, 0.865905, 0.883204, 0.892529, 0.91118, 0.93433, 0.963446, 0.972319, 0.990066, 1.0095, 1.04969, 1.07278, 1.10562, 1.12747, 1.17008, 1.21589, 1.25419, 1.27929, 1.31372, 1.34253, 1.36342, 1.40425, 1.44991, 1.4865, 1.55088, 1.61526, 1.66288");
-            values ( \
-              "-0.219492, -0.274288, -0.330035, -0.353448, -0.38915, -0.416846, -0.433889, -0.436026, -0.437896, -0.43669, -0.426417, -0.415787, -0.393083, -0.369723, -0.296066, -0.209479, -0.15198, -0.121387, -0.0880204, -0.066954, -0.0546942, -0.0364696, -0.0229846, -0.0155317, -0.00724631, -0.00384495, -0.00278705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.841825, 0.938243, 0.967545, 0.992162, 1.01487, 1.05234, 1.1499, 1.22948, 1.32553, 1.38991, 1.4599, 1.68328, 1.81822, 1.93219, 1.99657, 2.09754, 2.27773");
-            values ( \
-              "-0.300622, -0.450622, -0.4728, -0.481625, -0.48579, -0.486426, -0.474279, -0.458029, -0.429851, -0.401676, -0.350696, -0.158411, -0.0890629, -0.0534883, -0.0398173, -0.0249111, -0.0106974" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0102842", \
-            "0.0115377", \
-            "0.012224", \
-            "0.0126354", \
-            "0.01285", \
-            "0.0129686" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.012371", \
-            "0.012736", \
-            "0.0131097", \
-            "0.0137913", \
-            "0.0147697", \
-            "0.0158889" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(CK * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.166098, 0.179065, 0.203653, 0.250466, 0.342364, 0.539069", \
-            "0.17086, 0.183835, 0.208432, 0.255228, 0.347129, 0.543824", \
-            "0.181197, 0.194158, 0.218749, 0.265568, 0.357483, 0.554183", \
-            "0.197713, 0.210677, 0.235267, 0.282106, 0.374024, 0.570735", \
-            "0.213893, 0.226833, 0.251439, 0.298315, 0.390282, 0.586977", \
-            "0.221529, 0.234529, 0.259184, 0.306179, 0.398228, 0.594928" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.044757, 0.0557037, 0.0794246, 0.129813, 0.24244, 0.503872", \
-            "0.0447571, 0.055738, 0.0794721, 0.129714, 0.242299, 0.503872", \
-            "0.0447603, 0.0557056, 0.079423, 0.129795, 0.242366, 0.50387", \
-            "0.0447761, 0.0557547, 0.0794312, 0.129791, 0.242407, 0.503867", \
-            "0.0449223, 0.0558647, 0.0794709, 0.129761, 0.242443, 0.503879", \
-            "0.0452872, 0.056237, 0.0798339, 0.129941, 0.242268, 0.503961" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00321262, 0.00321267, 0.00321274, 0.00321279, 0.00321283, 0.00321285", \
-            "0.00417712, 0.00417711, 0.00417711, 0.00417713, 0.00417714, 0.00417715", \
-            "0.00481237, 0.00481235, 0.00481232, 0.0048123, 0.00481229, 0.00481229", \
-            "0.00521521, 0.00521519, 0.00521516, 0.00521512, 0.0052151, 0.00521509", \
-            "0.00547558, 0.00547556, 0.00547554, 0.00547551, 0.00547548, 0.00547547", \
-            "0.00564315, 0.00564314, 0.00564313, 0.0056431, 0.00564307, 0.00564305" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0050638, 0.00506365, 0.00506353, 0.00506346, 0.00506343, 0.00506342", \
-            "0.00542591, 0.00542575, 0.00542556, 0.0054254, 0.00542531, 0.00542526", \
-            "0.0052829, 0.00528276, 0.00528253, 0.00528228, 0.00528208, 0.00528197", \
-            "0.00554749, 0.00554761, 0.00554765, 0.00554753, 0.00554733, 0.00554716", \
-            "0.00594741, 0.0059462, 0.00594515, 0.00594458, 0.00594438, 0.00594432", \
-            "0.00572024, 0.00572276, 0.00576227, 0.00575538, 0.00572628, 0.00572324" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.127778, 0.142293, 0.154996, 0.163171, 0.170615, 0.177782, 0.184829, 0.191873, 0.197415, 0.213914, 0.221685, 0.232637, 0.237646, 0.254658, 0.280747");
-            values ( \
-              "-0.0184073, -0.0525307, -0.0748803, -0.0855358, -0.0901644, -0.093099, -0.0930373, -0.0902061, -0.0824052, -0.0330398, -0.0192612, -0.00647236, -0.00384096, -0.00403828, -0.00210506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.130891, 0.136152, 0.15035, 0.162315, 0.171898, 0.175302, 0.181619, 0.187942, 0.194913, 0.199275, 0.207999, 0.211325, 0.214455, 0.218036, 0.231873, 0.239499, 0.244895, 0.250404, 0.264802, 0.272042, 0.277801, 0.300794, 0.3435, 0.350586");
-            values ( \
-              "-0.0542858, -0.0570181, -0.106556, -0.136541, -0.153146, -0.156968, -0.162315, -0.165607, -0.167226, -0.166754, -0.160126, -0.154367, -0.146959, -0.133754, -0.0739597, -0.0500776, -0.0374204, -0.0275796, -0.0102446, -0.00513387, -0.00366964, -0.0043384, -0.00165641, -0.00143669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.134275, 0.144186, 0.159434, 0.167999, 0.175665, 0.183326, 0.189548, 0.201991, 0.210086, 0.215109, 0.22428, 0.227617, 0.233922, 0.240564, 0.251315, 0.272393, 0.286222, 0.29453, 0.302109, 0.312214, 0.320982, 0.335631, 0.351167, 0.373081, 0.395963, 0.503431");
-            values ( \
-              "-0.0933002, -0.112825, -0.177173, -0.203513, -0.222446, -0.236966, -0.245926, -0.256997, -0.260299, -0.261047, -0.259905, -0.258407, -0.253498, -0.244249, -0.215641, -0.124419, -0.0795142, -0.0597062, -0.045624, -0.0314142, -0.0224508, -0.0114227, -0.00450527, -0.00289072, -0.00338939, -0.000481173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.140859, 0.15904, 0.174308, 0.183627, 0.201434, 0.213206, 0.230672, 0.25343, 0.261952, 0.277108, 0.282425, 0.292784, 0.303869, 0.324342, 0.350607, 0.372655, 0.397954, 0.411734, 0.430107, 0.464495, 0.491799, 0.527416, 0.60342");
-            values ( \
-              "-0.191011, -0.209657, -0.265716, -0.290639, -0.325608, -0.339332, -0.350529, -0.356388, -0.356776, -0.353709, -0.350715, -0.342102, -0.326333, -0.27046, -0.181919, -0.123664, -0.0766582, -0.0585435, -0.0403433, -0.0195919, -0.0098152, -0.00374467, -0.00264516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.144387, 0.16891, 0.185137, 0.199297, 0.208106, 0.226547, 0.250611, 0.264455, 0.27935, 0.295569, 0.312624, 0.338902, 0.353863, 0.383786, 0.410073, 0.434, 0.476409, 0.512249, 0.542692, 0.565818, 0.598338, 0.625216, 0.648168, 0.674186, 0.711363, 0.752292, 0.784091, 0.847548, 0.911005, 0.974462, 1.22829");
-            values ( \
-              "-0.248873, -0.272328, -0.327047, -0.361094, -0.376795, -0.400126, -0.419538, -0.427984, -0.434464, -0.438077, -0.438653, -0.434223, -0.429381, -0.415003, -0.396086, -0.370454, -0.296627, -0.227276, -0.176664, -0.144428, -0.107528, -0.0835649, -0.0671408, -0.0521321, -0.0360539, -0.0238521, -0.0170238, -0.00810016, -0.00414364, -0.0026152, -0.000715845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.152992, 0.194444, 0.225371, 0.269743, 0.284454, 0.300866, 0.318393, 0.343148, 0.364992, 0.43359, 0.535526, 0.579846, 0.632098, 0.695555, 0.720405, 0.766291, 0.916837, 0.989569, 1.06482, 1.12632, 1.18255, 1.23624, 1.2997, 1.36752, 1.47142, 1.53487, 1.66179, 1.7887, 1.83739");
-            values ( \
-              "-0.364061, -0.371063, -0.425147, -0.466478, -0.475815, -0.482231, -0.486708, -0.488361, -0.4878, -0.478841, -0.458213, -0.44685, -0.430335, -0.402677, -0.387458, -0.351079, -0.211702, -0.158464, -0.115537, -0.0884072, -0.0688963, -0.0540339, -0.040421, -0.0295199, -0.018016, -0.0131854, -0.00706987, -0.00397741, -0.00359541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.146226, 0.16082, 0.173644, 0.181818, 0.189263, 0.19643, 0.203477, 0.210521, 0.216059, 0.232562, 0.251285, 0.256294, 0.273306, 0.29139");
-            values ( \
-              "-0.0166936, -0.0521857, -0.074937, -0.085483, -0.0902126, -0.0930534, -0.0930788, -0.0901662, -0.0824126, -0.0330383, -0.00647208, -0.00384143, -0.00403798, -0.00269787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.146157, 0.154854, 0.164642, 0.18102, 0.190295, 0.195833, 0.200323, 0.209223, 0.218004, 0.226784, 0.232073, 0.236765, 0.248347, 0.258272, 0.269105, 0.28349, 0.287863, 0.292033, 0.300372, 0.32401, 0.368495, 0.368861");
-            values ( \
-              "-0.0104905, -0.0568179, -0.0930703, -0.136497, -0.152746, -0.158723, -0.162322, -0.166405, -0.166774, -0.160039, -0.150045, -0.133903, -0.0825626, -0.0499867, -0.0276333, -0.0102843, -0.00665903, -0.00463617, -0.00340299, -0.00423812, -0.00132435, -0.00131572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.152473, 0.159344, 0.174436, 0.183799, 0.194342, 0.208252, 0.221199, 0.2338, 0.245235, 0.248579, 0.253037, 0.259274, 0.270986, 0.289882, 0.301482, 0.308757, 0.318359, 0.333581, 0.341041, 0.349881, 0.361667, 0.379593, 0.42504, 0.502755");
-            values ( \
-              "-0.0943274, -0.0957851, -0.164007, -0.195289, -0.222363, -0.246002, -0.257289, -0.26105, -0.259058, -0.257153, -0.25317, -0.24438, -0.212008, -0.12916, -0.0893621, -0.0697805, -0.0498564, -0.0285047, -0.0212698, -0.0143556, -0.00748932, -0.00310448, -0.00315555, -0.000819884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.159678, 0.177832, 0.193101, 0.202417, 0.216229, 0.220676, 0.23322, 0.239451, 0.249082, 0.265435, 0.275772, 0.280733, 0.290657, 0.301254, 0.318419, 0.322694, 0.331245, 0.368766, 0.381978, 0.391721, 0.402439, 0.42124, 0.430222, 0.448186, 0.469533, 0.483591, 0.511707, 0.547542, 0.595378, 0.754125");
-            values ( \
-              "-0.191552, -0.209691, -0.265747, -0.290595, -0.319656, -0.326286, -0.34042, -0.344949, -0.350336, -0.355029, -0.356749, -0.356763, -0.355549, -0.35072, -0.333307, -0.326486, -0.307145, -0.183484, -0.146337, -0.122841, -0.100529, -0.0700007, -0.058807, -0.0408294, -0.0262442, -0.0194427, -0.00945843, -0.00365794, -0.00246787, -0.000768751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.164289, 0.193792, 0.206098, 0.218354, 0.233062, 0.244667, 0.267286, 0.288822, 0.31322, 0.330172, 0.345454, 0.365829, 0.386101, 0.411312, 0.450319, 0.4678, 0.540513, 0.578516, 0.619146, 0.64463, 0.665058, 0.691663, 0.729275, 0.771996, 0.805383, 0.86884, 0.932297, 0.995754, 1.24958");
-            values ( \
-              "-0.25528, -0.295358, -0.333091, -0.361572, -0.386028, -0.39947, -0.418299, -0.430919, -0.438009, -0.438593, -0.436915, -0.431823, -0.423712, -0.409378, -0.3737, -0.347941, -0.210185, -0.152067, -0.105304, -0.0828905, -0.0682261, -0.05269, -0.0362773, -0.0235636, -0.0165259, -0.00783311, -0.00406065, -0.00255703, -0.000698505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.173295, 0.220707, 0.254726, 0.303218, 0.337116, 0.361518, 0.383068, 0.452261, 0.554198, 0.598518, 0.65077, 0.714227, 0.739078, 0.784964, 0.935509, 1.00824, 1.08349, 1.14499, 1.20122, 1.25491, 1.31837, 1.38619, 1.49009, 1.55355, 1.68046, 1.80737, 1.86018");
-            values ( \
-              "-0.376333, -0.387881, -0.437537, -0.475905, -0.486749, -0.488344, -0.487856, -0.478858, -0.458228, -0.446864, -0.430321, -0.402691, -0.387445, -0.351091, -0.211691, -0.158452, -0.115526, -0.0884187, -0.0689078, -0.0540224, -0.0404326, -0.0295082, -0.0180043, -0.0131972, -0.00708159, -0.0039891, -0.00357482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.18724, 0.193066, 0.20216, 0.209669, 0.222935, 0.230379, 0.237547, 0.244594, 0.251638, 0.257184, 0.27368, 0.281453, 0.292407, 0.297417, 0.314426, 0.346672");
-            values ( \
-              "-0.0164411, -0.0286355, -0.0529392, -0.0672962, -0.0856272, -0.0900688, -0.0931744, -0.0929575, -0.0902704, -0.082396, -0.0330377, -0.0192604, -0.00646944, -0.00383944, -0.00403948, -0.00164942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.190456, 0.196125, 0.20601, 0.217505, 0.222294, 0.231864, 0.235361, 0.241599, 0.247683, 0.254894, 0.259255, 0.267977, 0.271338, 0.274453, 0.278017, 0.291855, 0.29948, 0.304876, 0.310387, 0.324784, 0.332023, 0.337781, 0.360774, 0.400793");
-            values ( \
-              "-0.0465375, -0.0567564, -0.09338, -0.125974, -0.136486, -0.153163, -0.157035, -0.162302, -0.165512, -0.16722, -0.166744, -0.160123, -0.154296, -0.146902, -0.133747, -0.0739568, -0.0500777, -0.0374196, -0.0275784, -0.0102437, -0.00513416, -0.0036709, -0.00433889, -0.00182471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.193766, 0.20092, 0.215251, 0.227505, 0.235568, 0.243248, 0.24945, 0.261854, 0.275008, 0.284062, 0.287518, 0.293822, 0.300466, 0.311222, 0.332286, 0.346137, 0.361998, 0.372085, 0.38087, 0.395547, 0.411084, 0.433003, 0.455889, 0.50297");
-            values ( \
-              "-0.0944265, -0.0976587, -0.162662, -0.202596, -0.222319, -0.237143, -0.245811, -0.25709, -0.261048, -0.259941, -0.258406, -0.253495, -0.244255, -0.215626, -0.124454, -0.0794735, -0.0456421, -0.0314477, -0.0224622, -0.0114135, -0.00449951, -0.00289183, -0.00338815, -0.00211419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.200187, 0.218927, 0.234188, 0.243369, 0.262835, 0.280533, 0.290808, 0.303688, 0.321808, 0.335562, 0.342272, 0.352628, 0.363728, 0.383916, 0.411138, 0.432125, 0.462398, 0.490589, 0.520283, 0.541887, 0.574945, 0.617167, 0.666496");
-            values ( \
-              "-0.178891, -0.209834, -0.265823, -0.290336, -0.327845, -0.345206, -0.350534, -0.354565, -0.356848, -0.354307, -0.350776, -0.34212, -0.326351, -0.271407, -0.17985, -0.124552, -0.0700215, -0.0398064, -0.0214555, -0.012774, -0.00509417, -0.00263803, -0.00211306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.203955, 0.229443, 0.245486, 0.259506, 0.273561, 0.296763, 0.321885, 0.339292, 0.362198, 0.376406, 0.394919, 0.413767, 0.445337, 0.466701, 0.493913, 0.530363, 0.577571, 0.619725, 0.649806, 0.675326, 0.698566, 0.726603, 0.755727, 0.78757, 0.832386, 0.872775, 0.936232, 0.999689, 1.06099");
-            values ( \
-              "-0.239625, -0.275014, -0.328428, -0.361679, -0.385204, -0.409757, -0.42659, -0.434397, -0.438581, -0.4384, -0.43516, -0.429456, -0.413978, -0.39883, -0.370489, -0.308258, -0.217543, -0.15226, -0.116224, -0.0916628, -0.0735639, -0.0561519, -0.0421671, -0.0306959, -0.0193374, -0.0123431, -0.00584851, -0.0033746, -0.00276686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.21232, 0.254197, 0.285164, 0.329678, 0.360825, 0.378371, 0.402534, 0.422123, 0.456203, 0.493477, 0.595419, 0.639739, 0.69199, 0.755448, 0.780298, 0.826184, 0.975356, 1.04945, 1.12501, 1.18634, 1.24219, 1.29597, 1.35942, 1.46809, 1.52697, 1.65388, 1.84425, 1.87624");
-            values ( \
-              "-0.354267, -0.370703, -0.425082, -0.466585, -0.482313, -0.486715, -0.488389, -0.487956, -0.48446, -0.478828, -0.458193, -0.446831, -0.430354, -0.402658, -0.387476, -0.35106, -0.212841, -0.158481, -0.115405, -0.0883422, -0.0689602, -0.0540881, -0.0404373, -0.0243936, -0.018416, -0.00983399, -0.00404165, -0.00378545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.271134, 0.286563, 0.2943, 0.307575, 0.315023, 0.322193, 0.329242, 0.336288, 0.341821, 0.358334, 0.366106, 0.377059, 0.382072, 0.399082, 0.432895");
-            values ( \
-              "-0.0116175, -0.0521546, -0.0670836, -0.0854689, -0.09014, -0.093049, -0.0930268, -0.0901683, -0.0824099, -0.033031, -0.0192576, -0.00646702, -0.00383927, -0.00404058, -0.00153293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.274508, 0.295009, 0.306992, 0.316356, 0.32631, 0.335206, 0.34399, 0.352771, 0.358056, 0.384264, 0.395097, 0.409481, 0.418024, 0.426361, 0.449998, 0.46359");
-            values ( \
-              "-0.0378043, -0.106464, -0.136482, -0.152741, -0.162287, -0.16636, -0.166749, -0.160001, -0.150032, -0.0499806, -0.0276335, -0.0102847, -0.00463862, -0.00340561, -0.00423814, -0.00364236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.277768, 0.285166, 0.303946, 0.313171, 0.32018, 0.327809, 0.334064, 0.346573, 0.353678, 0.359634, 0.36869, 0.372136, 0.378441, 0.385084, 0.395974, 0.416732, 0.431202, 0.446376, 0.453716, 0.464558, 0.479489, 0.491744, 0.512028, 0.534218, 0.638985");
-            values ( \
-              "-0.0801044, -0.0964446, -0.177016, -0.205437, -0.222302, -0.237003, -0.245813, -0.257106, -0.260023, -0.261025, -0.259927, -0.258407, -0.253494, -0.24427, -0.215134, -0.125126, -0.0782801, -0.0460485, -0.0351955, -0.0233315, -0.0118158, -0.00580761, -0.00284525, -0.00340741, -0.000621414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.284421, 0.303628, 0.318881, 0.341272, 0.347885, 0.365219, 0.38487, 0.399267, 0.406492, 0.420943, 0.42696, 0.437316, 0.448408, 0.468821, 0.495048, 0.517217, 0.528067, 0.54708, 0.574592, 0.595376, 0.60906, 0.636427, 0.672052, 0.765855");
-            values ( \
-              "-0.169132, -0.21013, -0.266015, -0.318558, -0.328416, -0.345249, -0.353715, -0.356666, -0.356888, -0.354099, -0.350801, -0.342174, -0.326368, -0.270675, -0.182182, -0.123622, -0.100952, -0.0700118, -0.040361, -0.0262434, -0.0196, -0.00976742, -0.00375782, -0.00240391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.288275, 0.314911, 0.329054, 0.342876, 0.358418, 0.377215, 0.408715, 0.423941, 0.439745, 0.456954, 0.483733, 0.49844, 0.527856, 0.555657, 0.578574, 0.620563, 0.66473, 0.708949, 0.748115, 0.771763, 0.806964, 0.829169, 0.861439, 0.890425, 0.929071, 0.981925, 1.04538, 1.10884, 1.14769");
-            values ( \
-              "-0.229863, -0.278253, -0.325399, -0.359028, -0.385174, -0.405824, -0.427915, -0.434579, -0.438105, -0.43873, -0.43419, -0.429397, -0.415315, -0.395213, -0.37045, -0.297441, -0.213264, -0.146317, -0.102552, -0.0820607, -0.0585871, -0.0471251, -0.0342295, -0.0255527, -0.0169488, -0.009223, -0.00455593, -0.00283966, -0.00252343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.296859, 0.33906, 0.369778, 0.414527, 0.445704, 0.463283, 0.486047, 0.504776, 0.540902, 0.578177, 0.680129, 0.724449, 0.776701, 0.840158, 0.865008, 0.910894, 1.06075, 1.13417, 1.20957, 1.27099, 1.32702, 1.38076, 1.44422, 1.51216, 1.61236, 1.67582, 1.80274, 1.92965, 1.98501");
-            values ( \
-              "-0.350563, -0.371114, -0.425019, -0.46679, -0.482445, -0.486771, -0.48841, -0.488075, -0.484498, -0.478825, -0.45818, -0.446819, -0.430365, -0.402647, -0.387487, -0.351049, -0.212288, -0.158488, -0.115486, -0.0883593, -0.0689137, -0.0540754, -0.0404149, -0.029534, -0.0183604, -0.0134131, -0.00717828, -0.00402356, -0.00358172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.438547, 0.453365, 0.466285, 0.474514, 0.482009, 0.489186, 0.496253, 0.503317, 0.508708, 0.52539, 0.533166, 0.544115, 0.550375, 0.565943, 0.592278");
-            values ( \
-              "-0.0182734, -0.0513492, -0.0743621, -0.0849917, -0.0898889, -0.0927299, -0.0928753, -0.0899612, -0.0825526, -0.0329938, -0.0192398, -0.00644868, -0.00364614, -0.00399502, -0.00208111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.439136, 0.45755, 0.468546, 0.482613, 0.4855, 0.492846, 0.501761, 0.505344, 0.510549, 0.519322, 0.52214, 0.525146, 0.529336, 0.540946, 0.550003, 0.564328, 0.578405, 0.582484, 0.589834, 0.611317, 0.646873");
-            values ( \
-              "-0.0213833, -0.0937983, -0.124904, -0.151992, -0.155352, -0.161885, -0.166115, -0.166759, -0.166496, -0.159772, -0.155041, -0.148495, -0.133691, -0.0824077, -0.05226, -0.0249432, -0.00866341, -0.00583633, -0.00388033, -0.00436031, -0.00214472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.443633, 0.451705, 0.464652, 0.47073, 0.47968, 0.487042, 0.494717, 0.500964, 0.513457, 0.521183, 0.526573, 0.535686, 0.545404, 0.552014, 0.555689, 0.560341, 0.583881, 0.598214, 0.606069, 0.613352, 0.620675, 0.631508, 0.64638, 0.658582, 0.67893, 0.701099, 0.805834");
-            values ( \
-              "-0.0674133, -0.0943827, -0.153682, -0.175765, -0.203671, -0.221521, -0.236451, -0.245239, -0.256692, -0.259807, -0.260698, -0.259647, -0.253294, -0.244405, -0.237412, -0.224066, -0.124111, -0.0780316, -0.059449, -0.0459163, -0.0351622, -0.0232799, -0.0118249, -0.00584639, -0.00283592, -0.00341909, -0.000628689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.450426, 0.470362, 0.485693, 0.494961, 0.507775, 0.515468, 0.53211, 0.542495, 0.552939, 0.573412, 0.583617, 0.593933, 0.610624, 0.615371, 0.624866, 0.661075, 0.684653, 0.695272, 0.709496, 0.722761, 0.740448, 0.75504, 0.776538, 0.805201, 0.841125, 1.04841");
-            values ( \
-              "-0.156421, -0.208766, -0.26516, -0.289835, -0.317388, -0.328903, -0.344895, -0.350221, -0.353845, -0.356704, -0.355257, -0.350724, -0.333884, -0.32653, -0.304474, -0.184659, -0.122207, -0.100283, -0.0763739, -0.0589142, -0.0412321, -0.0305885, -0.0192748, -0.00928877, -0.00354447, -0.00070316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.457116, 0.486388, 0.51097, 0.52507, 0.537061, 0.55713, 0.577992, 0.59077, 0.606752, 0.624171, 0.650203, 0.665289, 0.69546, 0.721006, 0.745428, 0.787814, 0.823768, 0.871241, 0.909642, 0.936593, 0.959692, 0.985677, 1.02283, 1.06365, 1.09536, 1.15877, 1.22223, 1.28568, 1.53951");
-            values ( \
-              "-0.260055, -0.295034, -0.36135, -0.384876, -0.399048, -0.416156, -0.42903, -0.434384, -0.438005, -0.438601, -0.434244, -0.429372, -0.414856, -0.396512, -0.370454, -0.296678, -0.227113, -0.152301, -0.10765, -0.0836058, -0.0670786, -0.0520986, -0.0360417, -0.0238693, -0.0170529, -0.00812093, -0.00414989, -0.00261973, -0.000717259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.463801, 0.50582, 0.536614, 0.575013, 0.604732, 0.619328, 0.633429, 0.6552, 0.681473, 0.745072, 0.783592, 0.861822, 0.891369, 0.943621, 1.00708, 1.03193, 1.07781, 1.18332, 1.24413, 1.30111, 1.37146, 1.43492, 1.49967, 1.55138, 1.61483, 1.65899, 1.71417, 1.77763, 1.84109, 1.90454, 1.968, 2.09492, 2.22183, 2.47566");
-            values ( \
-              "-0.352567, -0.370453, -0.424568, -0.461859, -0.479512, -0.484281, -0.48697, -0.488454, -0.487387, -0.478783, -0.471764, -0.454572, -0.446801, -0.430383, -0.40263, -0.387502, -0.351036, -0.250543, -0.199122, -0.158473, -0.117997, -0.0895122, -0.0671517, -0.0531636, -0.0397287, -0.0323999, -0.0250398, -0.0184936, -0.0135256, -0.0098741, -0.00724088, -0.00406039, -0.00242253, -0.00100195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.774421, 0.79232, 0.799683, 0.806288, 0.814623, 0.821876, 0.829441, 0.834498, 0.840087, 0.84373, 0.849619, 0.860676, 0.865973, 0.873649, 0.884234, 0.889275, 0.895462, 0.906643, 0.949317, 0.987269");
-            values ( \
-              "-0.00351576, -0.0474543, -0.0621403, -0.0732158, -0.0835734, -0.0890467, -0.0919012, -0.0925816, -0.0915698, -0.0891661, -0.0806492, -0.0458007, -0.0324922, -0.019082, -0.00670365, -0.00391043, -0.00355093, -0.00406148, -0.000879174, -0.000147122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.778775, 0.798143, 0.813876, 0.82263, 0.833457, 0.842416, 0.851266, 0.860106, 0.865074, 0.870117, 0.881726, 0.891687, 0.902533, 0.916951, 0.925601, 0.934084, 0.957766, 0.997512");
-            values ( \
-              "-0.0250256, -0.0922254, -0.133856, -0.149691, -0.160713, -0.165208, -0.165715, -0.1593, -0.150254, -0.133509, -0.0823873, -0.0498599, -0.0275817, -0.0102237, -0.00460483, -0.00344276, -0.00422934, -0.00162245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.782513, 0.810942, 0.827439, 0.835203, 0.841451, 0.853947, 0.867161, 0.87639, 0.879722, 0.88605, 0.892708, 0.903931, 0.924019, 0.939639, 0.953633, 0.961127, 0.972077, 0.987562, 1.00033, 1.02052, 1.04299, 1.08891");
-            values ( \
-              "-0.0577462, -0.173037, -0.219786, -0.234996, -0.243975, -0.255611, -0.259865, -0.258903, -0.257471, -0.252702, -0.243629, -0.213624, -0.126527, -0.0762643, -0.04677, -0.0355575, -0.0234906, -0.0115754, -0.0055084, -0.00288538, -0.00340519, -0.00217804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.789307, 0.802775, 0.810706, 0.826186, 0.835672, 0.84856, 0.855774, 0.864623, 0.872804, 0.883279, 0.892378, 0.90784, 0.914181, 0.924394, 0.934718, 0.951844, 0.956168, 0.964816, 1.00221, 1.02524, 1.03594, 1.05473, 1.0637, 1.08163, 1.10302, 1.11711, 1.14529, 1.18112, 1.28086");
-            values ( \
-              "-0.155693, -0.166246, -0.205626, -0.263029, -0.288752, -0.316296, -0.327252, -0.337079, -0.343918, -0.349485, -0.352704, -0.356118, -0.356406, -0.355148, -0.35054, -0.333209, -0.32639, -0.306774, -0.18359, -0.122762, -0.100492, -0.0699981, -0.0588192, -0.0408671, -0.0262431, -0.0194277, -0.00943165, -0.00365431, -0.0022349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.797132, 0.827128, 0.83712, 0.851812, 0.865624, 0.877589, 0.888853, 0.902751, 0.915292, 0.929213, 0.936939, 0.947241, 0.95811, 0.96891, 0.987436, 1.0063, 1.03905, 1.0569, 1.0807, 1.09805, 1.12118, 1.16329, 1.19728, 1.21595, 1.24147, 1.25878, 1.2805, 1.31051, 1.3448, 1.37045, 1.39758, 1.43376, 1.49721, 1.56067, 1.62413, 1.66222");
-            values ( \
-              "-0.248479, -0.293224, -0.324737, -0.36016, -0.383388, -0.397737, -0.408442, -0.418963, -0.426689, -0.43345, -0.435824, -0.437779, -0.438627, -0.438152, -0.435118, -0.429278, -0.413292, -0.400765, -0.377631, -0.353358, -0.311164, -0.229354, -0.172955, -0.146987, -0.117122, -0.0998228, -0.0813609, -0.0610431, -0.0435845, -0.0337707, -0.0256834, -0.0175532, -0.00835019, -0.00424825, -0.00265595, -0.00236521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.807652, 0.868073, 0.888576, 0.922811, 0.953929, 0.971566, 0.992138, 1.00911, 1.06517, 1.12465, 1.1849, 1.26039, 1.32268, 1.37289, 1.43635, 1.55093, 1.63753, 1.70481, 1.76826, 1.80807, 1.87129, 1.93474, 2.02834, 2.08747, 2.21439, 2.40476, 2.4623");
-            values ( \
-              "-0.36836, -0.411082, -0.436555, -0.466572, -0.482262, -0.486704, -0.488201, -0.488184, -0.482074, -0.471736, -0.458996, -0.438479, -0.415229, -0.387564, -0.334941, -0.227208, -0.161533, -0.122007, -0.0926692, -0.0777535, -0.058581, -0.043851, -0.0284361, -0.0215077, -0.0115368, -0.00465906, -0.00412275" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302084", \
-            "0.00361677", \
-            "0.00398308", \
-            "0.00419798", \
-            "0.00431267", \
-            "0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434342", \
-            "0.00482999", \
-            "0.00510835", \
-            "0.00542427", \
-            "0.00593602", \
-            "0.00683618" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(!CK * D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.167353, 0.180402, 0.205118, 0.25222, 0.343783, 0.540413", \
-            "0.172112, 0.185159, 0.209896, 0.256978, 0.348541, 0.545172", \
-            "0.182446, 0.19549, 0.220209, 0.267322, 0.358893, 0.555526", \
-            "0.199047, 0.212065, 0.236808, 0.283943, 0.375512, 0.572163", \
-            "0.215392, 0.228387, 0.253217, 0.300332, 0.391977, 0.588617", \
-            "0.223376, 0.236405, 0.261246, 0.308544, 0.400261, 0.596923" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0450841, 0.0561036, 0.0799003, 0.129958, 0.241817, 0.503734", \
-            "0.045088, 0.056107, 0.0799245, 0.129864, 0.241822, 0.503734", \
-            "0.0450863, 0.0561048, 0.079898, 0.129781, 0.241826, 0.503733", \
-            "0.0451022, 0.0561088, 0.0799114, 0.129853, 0.241876, 0.503732", \
-            "0.0452552, 0.0562399, 0.0800131, 0.129912, 0.241912, 0.503747", \
-            "0.0456673, 0.0566345, 0.0803668, 0.13014, 0.241901, 0.503833" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00321265, 0.0032127, 0.00321276, 0.00321281, 0.00321285, 0.00321287", \
-            "0.00417726, 0.00417726, 0.00417726, 0.00417727, 0.00417729, 0.0041773", \
-            "0.00481236, 0.00481234, 0.00481231, 0.00481229, 0.00481228, 0.00481228", \
-            "0.0052152, 0.00521517, 0.00521514, 0.00521511, 0.00521509, 0.00521508", \
-            "0.00547557, 0.00547555, 0.00547553, 0.0054755, 0.00547547, 0.00547546", \
-            "0.00564309, 0.00564308, 0.00564306, 0.00564304, 0.00564301, 0.00564299" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00506385, 0.0050637, 0.00506358, 0.00506351, 0.00506349, 0.00506348", \
-            "0.00542643, 0.00542626, 0.00542608, 0.00542592, 0.00542582, 0.00542577", \
-            "0.00528266, 0.00528252, 0.00528229, 0.00528204, 0.00528184, 0.00528172", \
-            "0.00554, 0.00554012, 0.00554016, 0.00554004, 0.00553985, 0.00553968", \
-            "0.00593831, 0.00593721, 0.00593624, 0.00593572, 0.00593553, 0.00593548", \
-            "0.00572375, 0.00572648, 0.00576635, 0.0057582, 0.00572974, 0.00572656" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.129546, 0.137378, 0.143603, 0.150626, 0.164108, 0.17165, 0.178849, 0.185933, 0.193013, 0.199489, 0.216363, 0.223722, 0.233851, 0.239087, 0.256002, 0.284733");
-            values ( \
-              "-0.025948, -0.036483, -0.0535182, -0.0663996, -0.0843642, -0.0895298, -0.0925057, -0.0926755, -0.0898032, -0.0801546, -0.0303614, -0.0179855, -0.0062651, -0.00377439, -0.0041526, -0.00195922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.132614, 0.13998, 0.148513, 0.156959, 0.173593, 0.182919, 0.191845, 0.200671, 0.209493, 0.21222, 0.215128, 0.219549, 0.231225, 0.241236, 0.252118, 0.266567, 0.271026, 0.275344, 0.28398, 0.307763, 0.343719");
-            values ( \
-              "-0.06267, -0.0658108, -0.0977698, -0.120625, -0.152917, -0.161441, -0.165861, -0.165711, -0.159151, -0.154549, -0.148328, -0.132892, -0.081805, -0.0496049, -0.0275324, -0.0101181, -0.00655853, -0.00463523, -0.00361351, -0.00423722, -0.00222662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.135577, 0.146036, 0.150133, 0.160597, 0.168542, 0.17862, 0.186472, 0.197664, 0.203933, 0.213651, 0.222625, 0.229278, 0.238697, 0.242366, 0.249008, 0.25686, 0.275687, 0.287299, 0.298151, 0.306024, 0.312934, 0.327457, 0.334298, 0.342595, 0.359188, 0.404072, 0.445067");
-            values ( \
-              "-0.0973595, -0.116101, -0.135973, -0.174987, -0.199771, -0.224765, -0.23876, -0.251496, -0.255336, -0.258251, -0.257678, -0.255345, -0.248187, -0.243179, -0.229282, -0.19947, -0.118894, -0.0814687, -0.0558482, -0.0421046, -0.0328218, -0.0182779, -0.0132132, -0.00853569, -0.00338749, -0.00314533, -0.00208518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.13861, 0.152804, 0.16018, 0.175575, 0.187167, 0.200268, 0.211321, 0.222246, 0.249055, 0.263731, 0.269964, 0.284142, 0.294405, 0.305448, 0.327144, 0.348494, 0.363626, 0.377475, 0.387435, 0.404023, 0.424367, 0.443085, 0.465412, 0.483084, 0.518162, 0.56149, 0.76861");
-            values ( \
-              "-0.144392, -0.171993, -0.207026, -0.264168, -0.294868, -0.32, -0.332904, -0.340762, -0.354756, -0.358108, -0.357916, -0.352594, -0.343721, -0.327634, -0.266657, -0.193309, -0.149383, -0.116303, -0.0965206, -0.0700941, -0.0468117, -0.0318995, -0.0197983, -0.0129842, -0.00482076, -0.00266272, -0.000500125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.145708, 0.16813, 0.186472, 0.200948, 0.212174, 0.242879, 0.255534, 0.268504, 0.281046, 0.296858, 0.31516, 0.32371, 0.33511, 0.363188, 0.393897, 0.425305, 0.435401, 0.455594, 0.506794, 0.527595, 0.561178, 0.596183, 0.625054, 0.651777, 0.687407, 0.716242, 0.756748, 0.810757, 0.874218, 1.00114, 1.19152");
-            values ( \
-              "-0.260363, -0.262004, -0.325904, -0.35948, -0.377507, -0.410738, -0.421998, -0.431089, -0.436664, -0.440282, -0.440272, -0.439187, -0.436762, -0.426825, -0.409657, -0.382611, -0.370562, -0.338654, -0.239299, -0.202631, -0.152065, -0.110904, -0.0845215, -0.0654834, -0.0462781, -0.0346626, -0.0229641, -0.0127635, -0.00589485, -0.00211096, -0.000923286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.15134, 0.185225, 0.213987, 0.247047, 0.273397, 0.305619, 0.323568, 0.34927, 0.397765, 0.426582, 0.473572, 0.551805, 0.633608, 0.697069, 0.72192, 0.767808, 0.873312, 0.918354, 0.991089, 1.06634, 1.12784, 1.18407, 1.23776, 1.30122, 1.34882, 1.40949, 1.53641, 1.66333, 1.79025, 2.17102");
-            values ( \
-              "-0.335299, -0.339522, -0.403366, -0.445121, -0.470334, -0.485655, -0.488585, -0.489692, -0.484975, -0.480215, -0.471895, -0.454659, -0.43047, -0.402504, -0.387593, -0.350921, -0.250454, -0.211814, -0.158566, -0.115635, -0.0883093, -0.0688062, -0.0541221, -0.0403337, -0.0323666, -0.024361, -0.0131146, -0.00700149, -0.00391129, -0.000930177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.147066, 0.152609, 0.162205, 0.174561, 0.182843, 0.19038, 0.197581, 0.204665, 0.211745, 0.218211, 0.235075, 0.25263, 0.257875, 0.274728, 0.294187");
-            values ( \
-              "-0.0192536, -0.0280969, -0.0533353, -0.0739554, -0.0844115, -0.0894744, -0.0925422, -0.0926326, -0.0898355, -0.080178, -0.0304043, -0.00622652, -0.0037645, -0.00415218, -0.00266677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.150649, 0.158824, 0.167201, 0.182149, 0.192302, 0.201633, 0.21056, 0.219386, 0.228209, 0.230937, 0.233847, 0.238265, 0.249941, 0.259952, 0.270834, 0.285284, 0.289742, 0.29406, 0.302696, 0.32648, 0.362099");
-            values ( \
-              "-0.0514791, -0.0665571, -0.0978032, -0.134656, -0.152963, -0.161369, -0.165911, -0.165653, -0.159197, -0.154543, -0.148317, -0.13289, -0.0818034, -0.049605, -0.0275321, -0.0101178, -0.00655847, -0.00463521, -0.00361373, -0.00423731, -0.0022455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.153643, 0.16437, 0.168797, 0.182496, 0.195633, 0.208987, 0.213436, 0.222629, 0.231511, 0.235338, 0.241647, 0.24797, 0.257295, 0.261056, 0.267696, 0.275549, 0.294377, 0.30667, 0.314946, 0.322349, 0.33222, 0.341134, 0.355999, 0.371906, 0.393899, 0.416992, 0.525454");
-            values ( \
-              "-0.0863747, -0.114687, -0.135781, -0.185581, -0.221138, -0.244297, -0.248966, -0.255446, -0.258153, -0.258258, -0.257573, -0.255385, -0.248269, -0.243213, -0.229258, -0.199508, -0.118931, -0.0796414, -0.0598575, -0.0460057, -0.0318691, -0.0226884, -0.0114104, -0.00433542, -0.00298429, -0.00330015, -0.000387501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.16074, 0.178876, 0.194285, 0.205814, 0.218978, 0.230155, 0.240957, 0.267794, 0.282442, 0.288513, 0.302849, 0.315424, 0.324154, 0.341615, 0.374102, 0.391613, 0.417405, 0.447651, 0.464192, 0.48452, 0.511625, 0.547334, 0.616216");
-            values ( \
-              "-0.194572, -0.206947, -0.264136, -0.294711, -0.319984, -0.333009, -0.340757, -0.354766, -0.358107, -0.357935, -0.352595, -0.341008, -0.327692, -0.280776, -0.172046, -0.126313, -0.0775691, -0.04262, -0.0303422, -0.0196047, -0.00993977, -0.00371815, -0.00271968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.164644, 0.195042, 0.205224, 0.219706, 0.230923, 0.261635, 0.287277, 0.299802, 0.315625, 0.333913, 0.353887, 0.370234, 0.396679, 0.412653, 0.444601, 0.473287, 0.546814, 0.592995, 0.614747, 0.643728, 0.670709, 0.706683, 0.735172, 0.775179, 0.828521, 0.891981, 1.0189, 1.20928");
-            values ( \
-              "-0.240645, -0.293883, -0.325941, -0.359413, -0.377541, -0.410694, -0.431062, -0.436696, -0.440251, -0.440302, -0.436737, -0.431627, -0.41946, -0.409671, -0.382002, -0.340536, -0.201882, -0.13531, -0.111118, -0.0845808, -0.0653655, -0.0460504, -0.0345931, -0.0230339, -0.0129228, -0.00595483, -0.00211678, -0.000935623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.169992, 0.204035, 0.232686, 0.26576, 0.292098, 0.324318, 0.342282, 0.367951, 0.416479, 0.445257, 0.492285, 0.570519, 0.652322, 0.715782, 0.740634, 0.786522, 0.892025, 0.937068, 1.0098, 1.08506, 1.14656, 1.20278, 1.25647, 1.31993, 1.36754, 1.42821, 1.55513, 1.68205, 1.80897, 2.18973");
-            values ( \
-              "-0.333814, -0.33982, -0.403322, -0.445112, -0.470319, -0.485649, -0.488586, -0.489691, -0.484974, -0.480222, -0.471894, -0.454659, -0.43047, -0.402504, -0.387593, -0.35092, -0.250453, -0.211816, -0.158568, -0.115637, -0.0883075, -0.0688044, -0.054124, -0.0403318, -0.0323648, -0.0243592, -0.0131128, -0.00699968, -0.00390949, -0.000928431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.189427, 0.197254, 0.203361, 0.210762, 0.224002, 0.231537, 0.238737, 0.245821, 0.252901, 0.259364, 0.276223, 0.283598, 0.293798, 0.299047, 0.315883, 0.344675");
-            values ( \
-              "-0.0259725, -0.0364549, -0.0532092, -0.0667941, -0.084356, -0.0895336, -0.0924941, -0.0926809, -0.0897945, -0.0801859, -0.0304195, -0.0180026, -0.00621595, -0.00376174, -0.00415151, -0.00195375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.192496, 0.19985, 0.208392, 0.216835, 0.233478, 0.242799, 0.251725, 0.260551, 0.269373, 0.272099, 0.275007, 0.279429, 0.291105, 0.301116, 0.311998, 0.326448, 0.330906, 0.335225, 0.343861, 0.367645, 0.403641");
-            values ( \
-              "-0.0628491, -0.0657549, -0.0977555, -0.120607, -0.152918, -0.161438, -0.165858, -0.16571, -0.15915, -0.154548, -0.148331, -0.132891, -0.0818037, -0.049605, -0.0275317, -0.0101175, -0.00655874, -0.00463466, -0.00361391, -0.00423757, -0.00222468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.195457, 0.205936, 0.210023, 0.220484, 0.228424, 0.238513, 0.246492, 0.257545, 0.263817, 0.273539, 0.282499, 0.289161, 0.29858, 0.302249, 0.308891, 0.316742, 0.33557, 0.34736, 0.355961, 0.363877, 0.37443, 0.387295, 0.396503, 0.412358, 0.434318, 0.481626, 0.50861");
-            values ( \
-              "-0.0970213, -0.116209, -0.136014, -0.175016, -0.19979, -0.224799, -0.238978, -0.251503, -0.255347, -0.258255, -0.257682, -0.255351, -0.248186, -0.243183, -0.229279, -0.199474, -0.118898, -0.0809866, -0.0602528, -0.0454749, -0.0306227, -0.0182743, -0.0118604, -0.0044901, -0.00297719, -0.00284708, -0.00205027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.198569, 0.212842, 0.220171, 0.229758, 0.23556, 0.247163, 0.260247, 0.265726, 0.271249, 0.276853, 0.282223, 0.30037, 0.309014, 0.320539, 0.323706, 0.330042, 0.342712, 0.34412, 0.352567, 0.365389, 0.374751, 0.387234, 0.407677, 0.423237, 0.437962, 0.447684, 0.460366, 0.470771, 0.483954, 0.502758, 0.511956, 0.525469, 0.543485, 0.578513, 0.622222, 0.829619");
-            values ( \
-              "-0.143226, -0.172374, -0.207168, -0.244232, -0.264257, -0.294957, -0.320044, -0.327138, -0.332885, -0.337352, -0.340784, -0.350857, -0.354764, -0.357825, -0.358119, -0.357911, -0.353504, -0.352605, -0.345654, -0.327821, -0.305781, -0.265249, -0.19532, -0.14983, -0.114841, -0.0957047, -0.0749804, -0.0611731, -0.0470171, -0.0319897, -0.0263971, -0.0196906, -0.0127874, -0.00475604, -0.00263613, -0.000475993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.205587, 0.2282, 0.246414, 0.260861, 0.272072, 0.302786, 0.315443, 0.328422, 0.340951, 0.356771, 0.375072, 0.383601, 0.394974, 0.422615, 0.453802, 0.486359, 0.495318, 0.513237, 0.588482, 0.614756, 0.633796, 0.655684, 0.684786, 0.712055, 0.748413, 0.776515, 0.808762, 0.851757, 0.915218, 0.978678, 1.04214, 1.29598");
-            values ( \
-              "-0.258563, -0.262792, -0.326074, -0.359542, -0.377531, -0.410764, -0.422022, -0.431112, -0.436676, -0.44029, -0.440276, -0.439193, -0.436775, -0.427041, -0.409657, -0.381339, -0.370563, -0.342662, -0.201022, -0.160628, -0.135753, -0.111337, -0.084666, -0.0652525, -0.0457796, -0.0345334, -0.0249217, -0.0158481, -0.00738039, -0.0039929, -0.00241583, -0.000624069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.211196, 0.245234, 0.27387, 0.306957, 0.333315, 0.36554, 0.383476, 0.409184, 0.457673, 0.486432, 0.533479, 0.611713, 0.693516, 0.756976, 0.781828, 0.827716, 0.933219, 0.978262, 1.051, 1.12625, 1.18775, 1.24398, 1.29767, 1.36113, 1.40873, 1.4694, 1.59632, 1.72324, 1.85016, 2.23092");
-            values ( \
-              "-0.333671, -0.33992, -0.403359, -0.445147, -0.470355, -0.485665, -0.488589, -0.489693, -0.484975, -0.480225, -0.471894, -0.454658, -0.43047, -0.402505, -0.387591, -0.350923, -0.250455, -0.211813, -0.158565, -0.115634, -0.08831, -0.0688069, -0.0541214, -0.0403343, -0.0323672, -0.0243615, -0.0131152, -0.0070021, -0.00391191, -0.00093081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.27087, 0.288235, 0.295129, 0.308734, 0.316267, 0.323469, 0.330555, 0.337636, 0.344029, 0.360811, 0.368269, 0.378849, 0.38417, 0.40058, 0.431039");
-            values ( \
-              "-0.00663938, -0.0536175, -0.0662424, -0.0844165, -0.0894018, -0.0925602, -0.0925769, -0.0898622, -0.0803418, -0.0307305, -0.0180944, -0.00596582, -0.00369931, -0.00414917, -0.00182526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.278229, 0.288169, 0.292588, 0.302843, 0.318283, 0.327592, 0.33654, 0.345364, 0.35419, 0.35978, 0.364246, 0.375922, 0.385936, 0.396817, 0.411267, 0.415727, 0.420048, 0.428689, 0.452472, 0.486201");
-            values ( \
-              "-0.0676769, -0.0795371, -0.0960943, -0.123649, -0.15301, -0.161475, -0.165616, -0.165819, -0.158964, -0.148416, -0.132882, -0.081805, -0.049598, -0.027532, -0.0101178, -0.0065578, -0.0046344, -0.00361426, -0.00423708, -0.00198179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.278392, 0.294923, 0.304166, 0.312783, 0.321758, 0.333349, 0.340444, 0.348736, 0.358148, 0.361447, 0.367757, 0.374082, 0.383695, 0.387169, 0.393814, 0.420491, 0.432785, 0.441059, 0.448464, 0.458338, 0.472203, 0.482111, 0.498016, 0.520085, 0.567544, 0.589132");
-            values ( \
-              "-0.0661618, -0.136139, -0.170671, -0.198273, -0.221149, -0.241754, -0.249736, -0.255346, -0.258211, -0.258223, -0.257585, -0.255363, -0.247944, -0.243206, -0.229255, -0.11891, -0.0796194, -0.0598787, -0.0460235, -0.0318443, -0.0183025, -0.0114309, -0.00431686, -0.00300251, -0.00280944, -0.00218244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.284681, 0.299943, 0.314201, 0.320283, 0.332445, 0.34497, 0.354951, 0.366941, 0.38513, 0.393645, 0.404998, 0.408424, 0.415276, 0.42885, 0.446393, 0.450196, 0.457804, 0.500511, 0.517436, 0.527079, 0.547559, 0.562475, 0.578385, 0.591461, 0.60515, 0.623402, 0.656896, 0.697267, 0.901305");
-            values ( \
-              "-0.166174, -0.184255, -0.243667, -0.264038, -0.296547, -0.319824, -0.33194, -0.340799, -0.350894, -0.354746, -0.357805, -0.358135, -0.35785, -0.352636, -0.333976, -0.327877, -0.310507, -0.170752, -0.12667, -0.105962, -0.0714907, -0.0532961, -0.0386607, -0.0295084, -0.0220539, -0.0144769, -0.00566084, -0.00279732, -0.000578777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.287745, 0.309121, 0.321048, 0.333519, 0.345679, 0.356856, 0.387614, 0.400377, 0.413495, 0.425772, 0.441632, 0.462734, 0.479607, 0.499986, 0.518122, 0.538622, 0.577698, 0.594573, 0.668358, 0.705801, 0.746076, 0.771775, 0.792605, 0.819117, 0.856661, 0.899094, 0.932226, 0.995686, 1.05915, 1.12261, 1.37645");
-            values ( \
-              "-0.212272, -0.245844, -0.294137, -0.332208, -0.359506, -0.377397, -0.41078, -0.422133, -0.431287, -0.436693, -0.440321, -0.440065, -0.436853, -0.430181, -0.421913, -0.409653, -0.373661, -0.348835, -0.209216, -0.15206, -0.105646, -0.082996, -0.0680507, -0.0526005, -0.0362405, -0.0236089, -0.0166064, -0.00787438, -0.00407367, -0.0025649, -0.000700396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.298901, 0.339353, 0.359665, 0.391875, 0.417894, 0.450174, 0.468389, 0.493907, 0.528058, 0.571637, 0.618392, 0.696626, 0.778429, 0.841889, 0.86674, 0.912628, 1.01813, 1.06317, 1.13591, 1.21116, 1.27266, 1.32889, 1.38258, 1.44604, 1.51387, 1.61777, 1.68123, 1.80815, 1.93507, 2.31583");
-            values ( \
-              "-0.361256, -0.365891, -0.404509, -0.445054, -0.469997, -0.485469, -0.488727, -0.489576, -0.486796, -0.480293, -0.471779, -0.454547, -0.430361, -0.402612, -0.387487, -0.351026, -0.25055, -0.211719, -0.158474, -0.115544, -0.0883998, -0.0688948, -0.0540338, -0.0404214, -0.0295084, -0.0180019, -0.0131981, -0.00708424, -0.00399334, -0.00101056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.439504, 0.445023, 0.454554, 0.463054, 0.475723, 0.483299, 0.490525, 0.49763, 0.50473, 0.509952, 0.526836, 0.534617, 0.54586, 0.550216, 0.567621, 0.600496");
-            values ( \
-              "-0.0202969, -0.0272618, -0.0515679, -0.0671904, -0.0838872, -0.0891768, -0.092186, -0.0924555, -0.0896017, -0.0826375, -0.0330548, -0.0191523, -0.00605159, -0.00399197, -0.00410233, -0.00163221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.439487, 0.448158, 0.459956, 0.468469, 0.475011, 0.485179, 0.491678, 0.499125, 0.503503, 0.512346, 0.521189, 0.526797, 0.531259, 0.545218, 0.550192, 0.555922, 0.565639, 0.579463, 0.586458, 0.591991, 0.614553, 0.647526");
-            values ( \
-              "-0.0137123, -0.0538113, -0.0963979, -0.119523, -0.133865, -0.152224, -0.158803, -0.163664, -0.165309, -0.165499, -0.15869, -0.1482, -0.132738, -0.0733078, -0.0572337, -0.0429009, -0.0251177, -0.00911127, -0.00492251, -0.00392162, -0.00443437, -0.0022259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.446459, 0.456947, 0.461508, 0.470632, 0.480751, 0.488523, 0.502564, 0.515612, 0.527626, 0.536801, 0.547385, 0.554102, 0.559197, 0.563479, 0.585949, 0.599036, 0.60774, 0.615707, 0.62633, 0.63453, 0.648245, 0.66409, 0.685723, 0.708605, 0.760196");
-            values ( \
-              "-0.0921893, -0.112051, -0.134275, -0.168864, -0.200849, -0.220333, -0.244623, -0.255097, -0.258234, -0.256959, -0.251235, -0.243376, -0.23313, -0.219995, -0.124121, -0.081442, -0.0601072, -0.0452437, -0.0306621, -0.0221652, -0.011729, -0.0046756, -0.00282284, -0.00344958, -0.00206362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.450563, 0.463702, 0.47171, 0.487162, 0.498889, 0.5119, 0.522887, 0.542545, 0.56033, 0.565988, 0.578333, 0.584211, 0.595838, 0.614001, 0.617201, 0.6236, 0.636399, 0.670613, 0.689759, 0.703527, 0.715303, 0.729542, 0.748528, 0.771232, 0.787196, 0.818111, 0.85691, 0.938245");
-            values ( \
-              "-0.15868, -0.167868, -0.20605, -0.263517, -0.294586, -0.319553, -0.332419, -0.345501, -0.354382, -0.356339, -0.357949, -0.35728, -0.352457, -0.332902, -0.327754, -0.3136, -0.274376, -0.161786, -0.114819, -0.0884548, -0.0704409, -0.0531871, -0.0362248, -0.0224429, -0.0156596, -0.00669899, -0.00296683, -0.00204029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.457153, 0.480005, 0.498548, 0.51267, 0.522424, 0.529751, 0.554587, 0.574079, 0.592842, 0.60252, 0.616204, 0.629764, 0.65688, 0.667051, 0.685854, 0.705667, 0.744757, 0.761531, 0.835496, 0.872845, 0.893285, 0.91306, 0.938795, 0.959692, 0.986189, 1.02372, 1.04129, 1.06611, 1.0992, 1.16266, 1.22612, 1.28958, 1.54342");
-            values ( \
-              "-0.254756, -0.262448, -0.326603, -0.359179, -0.374919, -0.384004, -0.410723, -0.426983, -0.436573, -0.439186, -0.440571, -0.439913, -0.433835, -0.430187, -0.421576, -0.409679, -0.373637, -0.348983, -0.209053, -0.152059, -0.126719, -0.105704, -0.0830141, -0.0680216, -0.0525856, -0.0362345, -0.0303934, -0.0236164, -0.0166194, -0.00788133, -0.00407647, -0.00256664, -0.000700734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.462858, 0.49633, 0.507297, 0.525874, 0.575841, 0.604232, 0.620082, 0.635351, 0.661674, 0.696442, 0.735814, 0.785367, 0.863602, 0.945404, 1.00886, 1.03372, 1.0796, 1.18511, 1.24591, 1.30291, 1.37326, 1.43672, 1.50144, 1.55316, 1.61662, 1.71598, 1.77944, 1.90636, 2.09675, 2.47751");
-            values ( \
-              "-0.333206, -0.33722, -0.368229, -0.40324, -0.462256, -0.48077, -0.485978, -0.488584, -0.489461, -0.486616, -0.480763, -0.471766, -0.454541, -0.430358, -0.40261, -0.38749, -0.351023, -0.25054, -0.199124, -0.158471, -0.117993, -0.0895081, -0.0671573, -0.0531657, -0.0397307, -0.0250387, -0.0184909, -0.00987194, -0.00406081, -0.00100277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.779758, 0.78788, 0.794357, 0.803965, 0.816364, 0.824031, 0.831321, 0.838477, 0.845628, 0.850867, 0.867789, 0.875594, 0.886878, 0.89227, 0.9087, 0.94613");
-            values ( \
-              "-0.0236459, -0.0317702, -0.0487008, -0.0663456, -0.0827235, -0.0883504, -0.0914193, -0.0919085, -0.0891157, -0.0823144, -0.0329846, -0.0190967, -0.0059897, -0.00374237, -0.00416341, -0.00129266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.783599, 0.794452, 0.809922, 0.815477, 0.825781, 0.835218, 0.844248, 0.853136, 0.862026, 0.867302, 0.872117, 0.883827, 0.893877, 0.904773, 0.91926, 0.92376, 0.928159, 0.936958, 0.960771, 1.00085");
-            values ( \
-              "-0.0559688, -0.0740133, -0.119679, -0.132151, -0.15059, -0.159854, -0.164303, -0.164726, -0.158106, -0.148585, -0.132417, -0.0816094, -0.0494734, -0.027476, -0.0100488, -0.00650397, -0.00460455, -0.00365681, -0.0042299, -0.00199607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.783779, 0.811587, 0.818774, 0.829244, 0.836918, 0.843346, 0.856497, 0.860656, 0.869252, 0.8756, 0.881958, 0.891744, 0.895087, 0.901754, 0.909612, 0.928466, 0.941858, 0.949324, 0.955935, 0.963378, 0.974268, 0.989591, 1.00221, 1.02242, 1.04489, 1.0911");
-            values ( \
-              "-0.0552192, -0.167388, -0.190787, -0.218434, -0.23339, -0.242747, -0.25392, -0.255663, -0.256948, -0.256317, -0.254385, -0.246949, -0.242586, -0.228693, -0.19924, -0.118844, -0.0767289, -0.0591965, -0.0468017, -0.0356688, -0.0235365, -0.0116566, -0.00561496, -0.00288112, -0.00341583, -0.00218202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.790995, 0.803958, 0.812319, 0.827952, 0.840384, 0.852839, 0.863143, 0.874926, 0.89322, 0.901648, 0.912884, 0.91653, 0.923823, 0.93698, 0.954703, 0.958346, 0.965634, 0.980209, 1.00046, 1.01615, 1.03108, 1.04074, 1.05336, 1.06365, 1.07686, 1.09568, 1.10491, 1.11849, 1.13659, 1.17168, 1.21535, 1.37854");
-            values ( \
-              "-0.162882, -0.162915, -0.202817, -0.261381, -0.294332, -0.318135, -0.330462, -0.339297, -0.349881, -0.353874, -0.357129, -0.357546, -0.35728, -0.352262, -0.333479, -0.327659, -0.311202, -0.265156, -0.195852, -0.149915, -0.114498, -0.0954927, -0.0749298, -0.0612812, -0.0470537, -0.032021, -0.0264064, -0.0196707, -0.0127273, -0.00473849, -0.00263264, -0.00083444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.798389, 0.828831, 0.840181, 0.853595, 0.867708, 0.907985, 0.921337, 0.933937, 0.950758, 0.970927, 0.989392, 1.00822, 1.04572, 1.06296, 1.08135, 1.1023, 1.17578, 1.22438, 1.25692, 1.28629, 1.31559, 1.35467, 1.38184, 1.42485, 1.4822, 1.54566, 1.64078");
-            values ( \
-              "-0.242222, -0.291565, -0.327026, -0.357586, -0.379143, -0.421147, -0.430542, -0.436358, -0.440064, -0.439832, -0.436304, -0.430101, -0.410561, -0.39754, -0.379194, -0.349487, -0.210561, -0.138865, -0.103189, -0.0782561, -0.0590581, -0.0401459, -0.0306129, -0.0196615, -0.0102437, -0.00493824, -0.00270619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.80405, 0.847722, 0.867377, 0.884482, 0.926148, 0.958544, 0.976581, 1.00236, 1.0508, 1.07899, 1.1266, 1.19006, 1.25687, 1.32398, 1.37485, 1.43831, 1.55289, 1.6395, 1.70676, 1.77022, 1.81004, 1.87326, 1.93672, 2.03031, 2.08942, 2.21634, 2.40672, 2.47033");
-            values ( \
-              "-0.31559, -0.365346, -0.402606, -0.424822, -0.469709, -0.485353, -0.488406, -0.489549, -0.484931, -0.480346, -0.471906, -0.458087, -0.440143, -0.415507, -0.387552, -0.334934, -0.227204, -0.16153, -0.122008, -0.0926708, -0.0777515, -0.0585771, -0.0438494, -0.0284355, -0.0215104, -0.0115384, -0.00465819, -0.00406533" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302084", \
-            "0.00361677", \
-            "0.00398308", \
-            "0.00419798", \
-            "0.00431267", \
-            "0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434342", \
-            "0.00482999", \
-            "0.00510835", \
-            "0.00542427", \
-            "0.00593602", \
-            "0.00683618" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(!CK * !D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.166934, 0.179932, 0.204643, 0.251726, 0.343283, 0.539924", \
-            "0.171689, 0.184686, 0.209398, 0.256461, 0.348046, 0.544682", \
-            "0.182016, 0.195013, 0.219723, 0.266817, 0.358383, 0.555027", \
-            "0.198515, 0.211501, 0.236226, 0.283338, 0.374926, 0.571567", \
-            "0.214704, 0.227675, 0.252403, 0.299545, 0.391188, 0.58783", \
-            "0.222388, 0.235394, 0.260168, 0.307444, 0.399152, 0.595832" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0450055, 0.0559907, 0.0798223, 0.12994, 0.241828, 0.503751", \
-            "0.0450084, 0.0559924, 0.0798229, 0.129962, 0.241918, 0.503751", \
-            "0.0450078, 0.0559739, 0.0798198, 0.129944, 0.241825, 0.503751", \
-            "0.0450235, 0.0560081, 0.079842, 0.129757, 0.24191, 0.50375", \
-            "0.0451667, 0.0561327, 0.079909, 0.129852, 0.241857, 0.503763", \
-            "0.0455493, 0.0565073, 0.080224, 0.130082, 0.241946, 0.503856" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0032126, 0.00321265, 0.00321271, 0.00321277, 0.0032128, 0.00321282", \
-            "0.00417711, 0.0041771, 0.00417711, 0.00417712, 0.00417714, 0.00417715", \
-            "0.00481238, 0.00481235, 0.00481232, 0.0048123, 0.00481229, 0.00481229", \
-            "0.00521524, 0.00521522, 0.00521518, 0.00521515, 0.00521513, 0.00521512", \
-            "0.00547562, 0.0054756, 0.00547558, 0.00547555, 0.00547552, 0.0054755", \
-            "0.00564319, 0.00564318, 0.00564317, 0.00564314, 0.00564311, 0.00564309" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00506389, 0.00506374, 0.00506362, 0.00506356, 0.00506353, 0.00506351", \
-            "0.00542603, 0.00542587, 0.00542568, 0.00542552, 0.00542543, 0.00542537", \
-            "0.00528319, 0.00528305, 0.00528282, 0.00528256, 0.00528236, 0.00528224", \
-            "0.0055477, 0.00554782, 0.00554785, 0.00554773, 0.00554752, 0.00554734", \
-            "0.00594834, 0.00594718, 0.00594614, 0.00594554, 0.00594531, 0.00594524", \
-            "0.00572075, 0.00572328, 0.00576297, 0.0057557, 0.00572677, 0.00572391" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.125792, 0.133594, 0.143227, 0.150469, 0.163785, 0.171304, 0.17849, 0.185561, 0.192627, 0.199186, 0.208678, 0.216797, 0.225116, 0.231076, 0.235965, 0.241829, 0.255484, 0.297666, 0.298701");
-            values ( \
-              "-0.00507081, -0.0285714, -0.0533796, -0.0667559, -0.0845265, -0.0897032, -0.0926744, -0.0928528, -0.0899385, -0.08003, -0.0488719, -0.0286775, -0.0156378, -0.00855745, -0.00461333, -0.00352632, -0.00421546, -0.000947474, -0.000927816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.128992, 0.136744, 0.148257, 0.156657, 0.163146, 0.173242, 0.179713, 0.187114, 0.191475, 0.200284, 0.209094, 0.214859, 0.219146, 0.230819, 0.24082, 0.246235, 0.251705, 0.259, 0.266152, 0.270603, 0.274905, 0.283509, 0.307255, 0.352394, 0.373747");
-            values ( \
-              "-0.0196871, -0.0558398, -0.0978948, -0.120749, -0.134996, -0.153121, -0.15964, -0.164401, -0.165995, -0.166079, -0.159142, -0.148093, -0.132972, -0.0818252, -0.0496242, -0.037163, -0.0275335, -0.0178426, -0.0101283, -0.00656512, -0.00463797, -0.00360093, -0.00423897, -0.00122383, -0.000515846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.135478, 0.145662, 0.149759, 0.160209, 0.168044, 0.17856, 0.186697, 0.197201, 0.203475, 0.213156, 0.22219, 0.228791, 0.238119, 0.241874, 0.248512, 0.256366, 0.275194, 0.286726, 0.294857, 0.301843, 0.31279, 0.322485, 0.336002, 0.351725, 0.373437, 0.396291, 0.44216");
-            values ( \
-              "-0.103084, -0.116047, -0.136183, -0.175291, -0.19979, -0.225875, -0.240124, -0.251871, -0.255695, -0.258561, -0.257902, -0.255508, -0.248379, -0.243232, -0.229313, -0.19947, -0.118886, -0.0816884, -0.0618324, -0.0483006, -0.0323999, -0.0221193, -0.0117999, -0.00475763, -0.00280584, -0.00346605, -0.0022314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.138549, 0.152195, 0.159768, 0.175157, 0.186684, 0.199813, 0.2109, 0.221764, 0.248709, 0.263234, 0.268576, 0.283625, 0.292356, 0.304967, 0.326619, 0.348086, 0.363183, 0.376928, 0.386916, 0.403557, 0.423929, 0.442638, 0.464921, 0.482554, 0.517591, 0.56086, 0.76798");
-            values ( \
-              "-0.152438, -0.171094, -0.207226, -0.264552, -0.295216, -0.320453, -0.33336, -0.341074, -0.354854, -0.358064, -0.357963, -0.352534, -0.345216, -0.327576, -0.266793, -0.193084, -0.149308, -0.116466, -0.0966061, -0.0700877, -0.0467822, -0.0318892, -0.0198121, -0.0130038, -0.00483811, -0.00266293, -0.00049855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.145948, 0.175839, 0.18491, 0.193396, 0.200565, 0.211421, 0.220428, 0.242466, 0.255573, 0.268295, 0.280639, 0.296399, 0.314781, 0.323273, 0.334597, 0.354897, 0.392383, 0.409879, 0.428542, 0.435014, 0.447959, 0.505622, 0.541682, 0.567818, 0.582669, 0.612371, 0.628979, 0.641372, 0.657897, 0.690946, 0.717985, 0.74643, 0.784357, 0.847805, 0.911254, 0.974703, 1.03815, 1.2285");
-            values ( \
-              "-0.246858, -0.294048, -0.322834, -0.344749, -0.359742, -0.377244, -0.387901, -0.410742, -0.422458, -0.431097, -0.436635, -0.440124, -0.440202, -0.4391, -0.436686, -0.430089, -0.41055, -0.397309, -0.378568, -0.370475, -0.351148, -0.240807, -0.179189, -0.14274, -0.124905, -0.0948823, -0.0811241, -0.072103, -0.061513, -0.0444748, -0.0339965, -0.0255143, -0.0170944, -0.00811315, -0.00416182, -0.0026093, -0.00179467, -0.000711168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.15733, 0.214438, 0.259483, 0.288176, 0.304821, 0.323159, 0.348613, 0.382561, 0.426981, 0.536629, 0.580986, 0.633218, 0.696666, 0.767416, 0.917963, 0.990697, 1.06595, 1.12745, 1.18368, 1.23737, 1.33832, 1.4091, 1.47255, 1.59945, 1.72635, 1.85324, 2.17049");
-            values ( \
-              "-0.385582, -0.40454, -0.458833, -0.479267, -0.485161, -0.488712, -0.489359, -0.486871, -0.480266, -0.45823, -0.446852, -0.430279, -0.402702, -0.351105, -0.211659, -0.158419, -0.115491, -0.088452, -0.0689431, -0.0539865, -0.033926, -0.0244833, -0.0179648, -0.00956088, -0.00520368, -0.00299648, -0.00104267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.14662, 0.152256, 0.161798, 0.174192, 0.182448, 0.189982, 0.197168, 0.204239, 0.211306, 0.217884, 0.235644, 0.242807, 0.254316, 0.260102, 0.273746, 0.29698");
-            values ( \
-              "-0.0182656, -0.0283067, -0.0532468, -0.0740684, -0.0845704, -0.0896396, -0.0927256, -0.0927988, -0.0899848, -0.079983, -0.0283475, -0.0168861, -0.00477662, -0.00353094, -0.0042318, -0.0024276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.146593, 0.155425, 0.166924, 0.175388, 0.181836, 0.191925, 0.201273, 0.20898, 0.212568, 0.217351, 0.222166, 0.227929, 0.235021, 0.249574, 0.259428, 0.270463, 0.284935, 0.292328, 0.298258, 0.321426, 0.363312");
-            values ( \
-              "-0.010743, -0.0555567, -0.097852, -0.120861, -0.134963, -0.153121, -0.161814, -0.165637, -0.166502, -0.166468, -0.164635, -0.158749, -0.143372, -0.0815913, -0.0498562, -0.0274201, -0.0100351, -0.00507797, -0.00381259, -0.00440721, -0.00162424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.154072, 0.164112, 0.168465, 0.178915, 0.186771, 0.197243, 0.205371, 0.21591, 0.222183, 0.231838, 0.240951, 0.2475, 0.256833, 0.260582, 0.267221, 0.275074, 0.293902, 0.305563, 0.316393, 0.32397, 0.330958, 0.345668, 0.35272, 0.361324, 0.37853, 0.423501, 0.464345");
-            values ( \
-              "-0.101587, -0.114821, -0.136156, -0.175278, -0.199837, -0.225817, -0.240067, -0.251865, -0.255695, -0.258552, -0.257885, -0.255509, -0.248369, -0.243234, -0.22931, -0.199473, -0.118888, -0.0813311, -0.0557938, -0.0425201, -0.0330495, -0.0182842, -0.0130783, -0.00828245, -0.0032409, -0.00311964, -0.00207435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.157917, 0.171296, 0.184459, 0.193832, 0.204199, 0.218507, 0.232496, 0.239673, 0.261382, 0.271818, 0.281893, 0.295863, 0.30229, 0.312594, 0.323645, 0.345347, 0.366697, 0.381832, 0.395584, 0.405583, 0.422231, 0.442607, 0.461314, 0.474776, 0.501216, 0.536259, 0.579572, 0.786875");
-            values ( \
-              "-0.167208, -0.173344, -0.231494, -0.264268, -0.292623, -0.320127, -0.335784, -0.34066, -0.352294, -0.356232, -0.358107, -0.355826, -0.352569, -0.343556, -0.327654, -0.266555, -0.193315, -0.149348, -0.116543, -0.0965829, -0.0700495, -0.0467386, -0.0319266, -0.0239829, -0.0129654, -0.00488033, -0.00262112, -0.000455635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.161216, 0.18435, 0.196394, 0.210814, 0.219175, 0.230082, 0.26108, 0.279705, 0.299249, 0.309602, 0.322972, 0.336223, 0.362724, 0.373516, 0.392317, 0.412136, 0.451231, 0.467997, 0.541978, 0.579319, 0.599751, 0.619529, 0.645267, 0.666171, 0.692666, 0.730196, 0.747763, 0.772577, 0.805662, 0.869111, 0.932559, 0.996008, 1.2498");
-            values ( \
-              "-0.206452, -0.253496, -0.300606, -0.341948, -0.359747, -0.377319, -0.410715, -0.426571, -0.436615, -0.439306, -0.440555, -0.439854, -0.433975, -0.430117, -0.42153, -0.409632, -0.373624, -0.348983, -0.209039, -0.152058, -0.126728, -0.105709, -0.083016, -0.0680193, -0.0525842, -0.0362343, -0.0303937, -0.0236173, -0.0166206, -0.00788332, -0.00407756, -0.00256757, -0.000701182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.170423, 0.212585, 0.241425, 0.291125, 0.306655, 0.323313, 0.341614, 0.367095, 0.401053, 0.445364, 0.555084, 0.599442, 0.651673, 0.715122, 0.739984, 0.785872, 0.936418, 1.00915, 1.08441, 1.14591, 1.20213, 1.25582, 1.35677, 1.42756, 1.491, 1.6179, 1.7448, 1.8717, 2.18894");
-            values ( \
-              "-0.33195, -0.365679, -0.416045, -0.469723, -0.479291, -0.485165, -0.488719, -0.489354, -0.486871, -0.480279, -0.458231, -0.446851, -0.430281, -0.4027, -0.387412, -0.351101, -0.211668, -0.158429, -0.115502, -0.0884412, -0.0689313, -0.0539986, -0.0339385, -0.024469, -0.0179796, -0.00957592, -0.00521896, -0.00301202, -0.00102644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.185456, 0.193464, 0.202945, 0.210661, 0.223658, 0.231183, 0.238369, 0.24544, 0.252507, 0.25907, 0.268535, 0.276712, 0.284989, 0.290898, 0.295777, 0.301625, 0.315279, 0.343911, 0.357369, 0.365114");
-            values ( \
-              "-0.00432807, -0.0284482, -0.0530308, -0.0672838, -0.0845283, -0.0896891, -0.0926808, -0.0928414, -0.0899455, -0.0800186, -0.0489455, -0.0286103, -0.0156481, -0.00862057, -0.00464595, -0.00352677, -0.00421905, -0.001707, -0.000956347, -0.000807567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.188656, 0.196632, 0.20314, 0.208145, 0.216553, 0.223037, 0.233141, 0.242456, 0.249009, 0.255788, 0.260165, 0.26892, 0.272453, 0.275517, 0.279027, 0.292969, 0.300669, 0.3061, 0.311608, 0.326065, 0.330507, 0.33478, 0.343325, 0.367054, 0.399749");
-            values ( \
-              "-0.0177152, -0.0557018, -0.080474, -0.097911, -0.120773, -0.134972, -0.15314, -0.161806, -0.16522, -0.166681, -0.166082, -0.159251, -0.153241, -0.145842, -0.132861, -0.0733448, -0.0496914, -0.0371854, -0.0274934, -0.0100975, -0.00655104, -0.00463697, -0.00359815, -0.00424315, -0.00205818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.195118, 0.205468, 0.209637, 0.220083, 0.22794, 0.238381, 0.246496, 0.257074, 0.263346, 0.273022, 0.282069, 0.288661, 0.297991, 0.301744, 0.308383, 0.316236, 0.335064, 0.346467, 0.354366, 0.362092, 0.366619, 0.375412, 0.383242, 0.392058, 0.403814, 0.422689, 0.443644, 0.546809");
-            values ( \
-              "-0.0981171, -0.115844, -0.136188, -0.175293, -0.199915, -0.225782, -0.240027, -0.251877, -0.255709, -0.258561, -0.257901, -0.255516, -0.248376, -0.243237, -0.229312, -0.199474, -0.118889, -0.0820416, -0.0626301, -0.0476615, -0.0402837, -0.0292109, -0.0213177, -0.0143501, -0.0076353, -0.00289825, -0.00342122, -0.000876138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.198203, 0.212293, 0.21967, 0.23505, 0.246624, 0.259701, 0.27067, 0.28165, 0.308555, 0.323118, 0.328692, 0.343515, 0.350865, 0.364855, 0.386466, 0.40803, 0.423095, 0.436753, 0.446764, 0.463447, 0.483841, 0.502542, 0.524787, 0.542386, 0.577417, 0.620598, 0.827664");
-            values ( \
-              "-0.14578, -0.172208, -0.207384, -0.264652, -0.295381, -0.320502, -0.333279, -0.341095, -0.354854, -0.358077, -0.357949, -0.352535, -0.346595, -0.327545, -0.266988, -0.192919, -0.149253, -0.116613, -0.0966817, -0.0700848, -0.0467584, -0.0318837, -0.0198254, -0.0130203, -0.0048491, -0.00266343, -0.00049735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.205459, 0.235743, 0.244911, 0.253338, 0.260464, 0.27129, 0.280255, 0.302361, 0.315478, 0.32821, 0.340532, 0.356298, 0.374682, 0.383147, 0.394433, 0.414789, 0.452274, 0.469781, 0.488455, 0.507808, 0.53361, 0.565768, 0.601743, 0.627629, 0.642305, 0.671657, 0.68873, 0.701476, 0.71847, 0.752459, 0.778402, 0.805783, 0.842291, 0.90574, 0.969189, 1.03264, 1.09609, 1.28643");
-            values ( \
-              "-0.239567, -0.294274, -0.323207, -0.344919, -0.359797, -0.377246, -0.387853, -0.410771, -0.422487, -0.431129, -0.436647, -0.440136, -0.440205, -0.439107, -0.436706, -0.43009, -0.410553, -0.397301, -0.378543, -0.351219, -0.303251, -0.240331, -0.178931, -0.142843, -0.125195, -0.0954268, -0.0812353, -0.0719597, -0.0611101, -0.0437626, -0.0338166, -0.0256544, -0.0174653, -0.00830853, -0.00422645, -0.00264782, -0.00180826, -0.000719784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.21668, 0.274418, 0.319352, 0.348034, 0.364669, 0.383047, 0.408469, 0.442445, 0.486875, 0.596517, 0.640874, 0.693105, 0.756554, 0.781417, 0.827304, 0.975078, 1.05057, 1.12643, 1.18759, 1.24305, 1.29692, 1.36037, 1.42868, 1.52689, 1.65379, 1.78068, 1.87285");
-            values ( \
-              "-0.377915, -0.404792, -0.458801, -0.479234, -0.485186, -0.488691, -0.489387, -0.486848, -0.480243, -0.45821, -0.446834, -0.430296, -0.402685, -0.387425, -0.351089, -0.213941, -0.158432, -0.115205, -0.0883421, -0.0690921, -0.054075, -0.0405236, -0.0294544, -0.0184659, -0.00983515, -0.00534407, -0.00369315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.270972, 0.287156, 0.295835, 0.308436, 0.315963, 0.323152, 0.330224, 0.337292, 0.340584, 0.343925, 0.35578, 0.361982, 0.368806, 0.379628, 0.385251, 0.40006, 0.429474");
-            values ( \
-              "-0.00929775, -0.0520563, -0.0680982, -0.0846604, -0.0895021, -0.0927998, -0.0926939, -0.0900539, -0.085619, -0.0798498, -0.0418213, -0.0276694, -0.0168782, -0.00516025, -0.00356384, -0.00423717, -0.00193936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.270796, 0.281132, 0.285161, 0.292544, 0.301403, 0.317693, 0.321626, 0.329833, 0.335943, 0.344753, 0.353564, 0.359272, 0.363615, 0.375287, 0.385292, 0.390706, 0.396174, 0.403465, 0.410621, 0.415073, 0.419378, 0.427988, 0.451736, 0.496881, 0.518238");
-            values ( \
-              "-0.00263056, -0.054041, -0.0702457, -0.0975615, -0.121546, -0.153185, -0.157244, -0.163491, -0.165942, -0.166053, -0.159136, -0.148233, -0.132972, -0.0818308, -0.0496188, -0.0371602, -0.0275351, -0.0178474, -0.0101289, -0.0065653, -0.00463747, -0.00360206, -0.00423878, -0.00122284, -0.000515479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.278312, 0.285734, 0.29848, 0.310688, 0.320981, 0.328542, 0.334919, 0.347673, 0.351904, 0.36062, 0.366927, 0.373251, 0.382776, 0.386332, 0.392973, 0.400823, 0.41965, 0.433048, 0.440504, 0.4471, 0.454541, 0.465428, 0.480743, 0.493356, 0.51363, 0.536094, 0.641625");
-            values ( \
-              "-0.0817765, -0.0943121, -0.153231, -0.194563, -0.221438, -0.23606, -0.245099, -0.255782, -0.257479, -0.258593, -0.25776, -0.255608, -0.248092, -0.24334, -0.229242, -0.199563, -0.118969, -0.0767485, -0.05922, -0.0468375, -0.0356929, -0.0235539, -0.0116774, -0.0056211, -0.00286066, -0.00341127, -0.000599758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.281956, 0.292146, 0.304426, 0.313718, 0.319792, 0.33194, 0.344441, 0.349381, 0.35436, 0.360507, 0.366385, 0.384466, 0.393267, 0.405002, 0.407851, 0.41355, 0.424948, 0.42825, 0.434855, 0.448064, 0.449551, 0.458476, 0.497949, 0.508861, 0.518201, 0.529009, 0.5434, 0.557084, 0.575329, 0.589356, 0.59624, 0.610009, 0.637546, 0.673414, 0.721563, 0.88024");
-            values ( \
-              "-0.138853, -0.147076, -0.207437, -0.243771, -0.26469, -0.296818, -0.320488, -0.326976, -0.332321, -0.337381, -0.341119, -0.35097, -0.354868, -0.357861, -0.358096, -0.357953, -0.354456, -0.352544, -0.347309, -0.330289, -0.327747, -0.306951, -0.17641, -0.146032, -0.123443, -0.100971, -0.0766073, -0.0585961, -0.0405463, -0.0303991, -0.0263127, -0.0195098, -0.00975969, -0.00364932, -0.00252443, -0.000715836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.290672, 0.320355, 0.337476, 0.345056, 0.35597, 0.386948, 0.406692, 0.425154, 0.433082, 0.447652, 0.462093, 0.48945, 0.499378, 0.518184, 0.537997, 0.577091, 0.593859, 0.667837, 0.70518, 0.725613, 0.745391, 0.771128, 0.79203, 0.818525, 0.856057, 0.873624, 0.898439, 0.931525, 0.994974, 1.05842, 1.12187, 1.37567");
-            values ( \
-              "-0.250472, -0.294375, -0.343985, -0.359895, -0.37744, -0.410809, -0.427412, -0.436687, -0.438865, -0.44057, -0.439876, -0.433725, -0.430128, -0.421547, -0.409632, -0.373633, -0.348981, -0.209042, -0.152058, -0.126726, -0.105708, -0.0830154, -0.0680197, -0.0525844, -0.0362342, -0.0303935, -0.0236168, -0.0166201, -0.00788296, -0.00407763, -0.0025675, -0.000701099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.295825, 0.338643, 0.358842, 0.404019, 0.43275, 0.449425, 0.467675, 0.493196, 0.527196, 0.571258, 0.681144, 0.725501, 0.777732, 0.841181, 0.866044, 0.911931, 1.06248, 1.13521, 1.21047, 1.27197, 1.32819, 1.38188, 1.48283, 1.55362, 1.61707, 1.74396, 1.87086, 1.95645");
-            values ( \
-              "-0.320646, -0.365852, -0.404415, -0.458937, -0.479347, -0.485206, -0.488738, -0.48936, -0.486867, -0.480311, -0.458235, -0.446856, -0.430276, -0.402705, -0.387406, -0.351107, -0.21166, -0.158419, -0.115492, -0.0884506, -0.0689412, -0.0539884, -0.0339281, -0.0244802, -0.017968, -0.00956425, -0.00520718, -0.00371863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.438586, 0.444365, 0.453922, 0.466702, 0.475022, 0.482581, 0.489792, 0.496883, 0.503969, 0.50924, 0.526046, 0.533819, 0.545035, 0.550171, 0.566756, 0.6035");
-            values ( \
-              "-0.0181502, -0.0272692, -0.0516955, -0.073546, -0.0840622, -0.0893632, -0.0923773, -0.0926435, -0.0897573, -0.0826526, -0.0330908, -0.019174, -0.00608078, -0.00377569, -0.00409275, -0.00133327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.441434, 0.447491, 0.459001, 0.468361, 0.484455, 0.4925, 0.496507, 0.502759, 0.508075, 0.511557, 0.518521, 0.524606, 0.530438, 0.538166, 0.550152, 0.555875, 0.562809, 0.567125, 0.58069, 0.586245, 0.59003, 0.621417, 0.65029, 0.651647");
-            values ( \
-              "-0.0443279, -0.0523406, -0.0961543, -0.121476, -0.152747, -0.160375, -0.163021, -0.165606, -0.166334, -0.165791, -0.161313, -0.151271, -0.133276, -0.0978009, -0.0550567, -0.0413276, -0.0282589, -0.0220617, -0.00749019, -0.00472321, -0.00406918, -0.00407219, -0.00194289, -0.00189073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.444317, 0.452198, 0.460812, 0.471361, 0.486861, 0.493314, 0.501744, 0.508492, 0.51477, 0.524435, 0.533576, 0.54012, 0.549514, 0.555371, 0.559703, 0.566157, 0.586464, 0.595187, 0.607645, 0.613472, 0.62109, 0.632098, 0.648053, 0.661255, 0.68146, 0.704268, 0.757153");
-            values ( \
-              "-0.0719154, -0.0938286, -0.134581, -0.174143, -0.218862, -0.23181, -0.244472, -0.251401, -0.255279, -0.258201, -0.257594, -0.255269, -0.248137, -0.239739, -0.229478, -0.206006, -0.119087, -0.0899726, -0.0586624, -0.0476935, -0.0361221, -0.0237459, -0.0114064, -0.0052929, -0.00290333, -0.0034043, -0.00199071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.450884, 0.471042, 0.486475, 0.498184, 0.511173, 0.522106, 0.533148, 0.558395, 0.562963, 0.57462, 0.579663, 0.587314, 0.595002, 0.61038, 0.616398, 0.627354, 0.661462, 0.67524, 0.685965, 0.700266, 0.714365, 0.732669, 0.752811, 0.76285, 0.778533, 0.799442, 0.835081, 0.881955, 1.03826");
-            values ( \
-              "-0.154848, -0.206443, -0.264077, -0.294955, -0.32017, -0.332835, -0.340767, -0.354124, -0.355592, -0.357774, -0.357716, -0.356037, -0.352359, -0.337036, -0.327658, -0.30101, -0.186553, -0.147255, -0.121395, -0.0928071, -0.0707029, -0.0492127, -0.0326026, -0.0264409, -0.0187848, -0.0112083, -0.00413994, -0.00255192, -0.000811316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.454229, 0.475169, 0.487262, 0.49705, 0.509965, 0.523348, 0.553831, 0.572275, 0.582346, 0.592022, 0.609216, 0.629008, 0.64747, 0.666301, 0.703803, 0.707255, 0.721061, 0.73947, 0.746417, 0.76031, 0.811412, 0.842498, 0.872155, 0.885402, 0.907079, 0.935993, 0.962817, 0.998582, 1.02728, 1.04072, 1.06759, 1.12134, 1.18479, 1.24824, 1.31169, 1.50203");
-            values ( \
-              "-0.219193, -0.244537, -0.293859, -0.32476, -0.355812, -0.377503, -0.410871, -0.42622, -0.432377, -0.436433, -0.440178, -0.43982, -0.436335, -0.430066, -0.410565, -0.408168, -0.397498, -0.379127, -0.370475, -0.34958, -0.251425, -0.196028, -0.151995, -0.135147, -0.11092, -0.0846115, -0.0655022, -0.0461243, -0.0346996, -0.0303148, -0.0230541, -0.0127612, -0.00598256, -0.0034337, -0.00217696, -0.000865954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.464492, 0.51272, 0.525652, 0.554169, 0.58728, 0.603194, 0.619231, 0.634673, 0.660919, 0.695588, 0.735523, 0.784706, 0.862941, 0.944744, 1.00819, 1.03306, 1.07894, 1.18445, 1.24525, 1.30224, 1.3726, 1.43605, 1.50081, 1.55251, 1.61596, 1.66012, 1.71531, 1.77876, 1.90566, 1.9691, 2.096, 2.47669");
-            values ( \
-              "-0.338314, -0.381752, -0.403747, -0.440207, -0.47175, -0.480527, -0.485848, -0.488479, -0.489383, -0.486572, -0.480663, -0.471759, -0.454547, -0.430365, -0.402613, -0.387496, -0.351019, -0.250536, -0.199128, -0.158475, -0.117997, -0.0895098, -0.0671465, -0.0531652, -0.0397267, -0.0323977, -0.0250375, -0.0184958, -0.00987694, -0.00724033, -0.0040597, -0.00100088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.772531, 0.802272, 0.80702, 0.815465, 0.823108, 0.830378, 0.837516, 0.844648, 0.849897, 0.866775, 0.874568, 0.885818, 0.891181, 0.907674, 0.941922");
-            values ( \
-              "-0.00101471, -0.0652165, -0.0722898, -0.0829693, -0.0885761, -0.09167, -0.0921353, -0.0893287, -0.0824366, -0.0330263, -0.0191252, -0.00602761, -0.00374238, -0.00415701, -0.00153336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.778664, 0.798537, 0.814686, 0.824967, 0.829856, 0.843381, 0.852253, 0.861123, 0.866456, 0.89294, 0.903829, 0.918305, 0.927171, 0.935927, 0.959716, 0.973211");
-            values ( \
-              "-0.0215282, -0.0916126, -0.132121, -0.151333, -0.156434, -0.164698, -0.165095, -0.158407, -0.148662, -0.049504, -0.0274928, -0.0100717, -0.00461164, -0.00364158, -0.00423193, -0.00347896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.783441, 0.791893, 0.800847, 0.811624, 0.827243, 0.834189, 0.842288, 0.84907, 0.855374, 0.865053, 0.874295, 0.880812, 0.890332, 0.893932, 0.900591, 0.908452, 0.927304, 0.93877, 0.946792, 0.954162, 0.965193, 0.974775, 0.987893, 1.00357, 1.02515, 1.04794, 1.09474");
-            values ( \
-              "-0.0651609, -0.0893724, -0.130818, -0.171408, -0.216921, -0.230927, -0.243163, -0.250268, -0.254249, -0.257311, -0.256808, -0.254584, -0.24749, -0.242624, -0.228855, -0.199187, -0.118768, -0.081821, -0.0622079, -0.047949, -0.0320655, -0.0219597, -0.011934, -0.00484793, -0.00280293, -0.00348306, -0.00222143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.790943, 0.811374, 0.826974, 0.839214, 0.851807, 0.862352, 0.873853, 0.892054, 0.900694, 0.915425, 0.921848, 0.935869, 0.953348, 0.957249, 0.965052, 1.00736, 1.02462, 1.03448, 1.05043, 1.06145, 1.08082, 1.09731, 1.11748, 1.14438, 1.17969, 1.26056, 1.38199");
-            values ( \
-              "-0.152973, -0.203426, -0.262067, -0.294481, -0.31891, -0.331343, -0.339808, -0.350102, -0.354089, -0.35757, -0.357397, -0.35222, -0.333809, -0.327595, -0.309778, -0.171365, -0.126404, -0.105318, -0.077627, -0.0626138, -0.0425385, -0.0302885, -0.0196316, -0.010038, -0.00377378, -0.00211861, -0.000812412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.795876, 0.821921, 0.839529, 0.852554, 0.864316, 0.894582, 0.920026, 0.9328, 0.948735, 0.967052, 0.986272, 1.00329, 1.02973, 1.04572, 1.07771, 1.08724, 1.1063, 1.15939, 1.17991, 1.21301, 1.25191, 1.286, 1.31407, 1.35723, 1.38092, 1.41972, 1.47145, 1.5349, 1.59835, 1.85214");
-            values ( \
-              "-0.227517, -0.2697, -0.328718, -0.358334, -0.377255, -0.410034, -0.430444, -0.436236, -0.439873, -0.439933, -0.436663, -0.431379, -0.419385, -0.409537, -0.38198, -0.370509, -0.340696, -0.237926, -0.20179, -0.15202, -0.107124, -0.0777803, -0.0593369, -0.0386844, -0.0305368, -0.0205083, -0.0114998, -0.00545337, -0.00322618, -0.000831535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.807044, 0.855187, 0.898731, 0.924665, 0.957021, 0.975324, 1.00092, 1.03518, 1.07831, 1.18881, 1.25856, 1.32325, 1.37362, 1.43707, 1.55167, 1.63826, 1.70552, 1.76897, 1.87208, 1.93553, 2.02905, 2.08811, 2.21501, 2.40536, 2.4617");
-            values ( \
-              "-0.367964, -0.384599, -0.444379, -0.469385, -0.485031, -0.488387, -0.489323, -0.486651, -0.48031, -0.458181, -0.439248, -0.415283, -0.387552, -0.334943, -0.227195, -0.161531, -0.122015, -0.0926782, -0.058564, -0.0438394, -0.0284398, -0.0215168, -0.0115427, -0.00466222, -0.00413665" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302084", \
-            "0.00361677", \
-            "0.00398308", \
-            "0.00419798", \
-            "0.00431267", \
-            "0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434342", \
-            "0.00482999", \
-            "0.00510835", \
-            "0.00542427", \
-            "0.00593602", \
-            "0.00683618" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.167353, 0.180402, 0.205118, 0.25222, 0.343783, 0.540413", \
-            "0.172112, 0.185159, 0.209896, 0.256978, 0.348541, 0.545172", \
-            "0.182446, 0.19549, 0.220209, 0.267322, 0.358893, 0.555526", \
-            "0.199047, 0.212065, 0.236808, 0.283943, 0.375512, 0.572163", \
-            "0.215392, 0.228387, 0.253217, 0.300332, 0.391977, 0.588617", \
-            "0.223376, 0.236405, 0.261246, 0.308544, 0.400261, 0.596923" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0450841, 0.0561036, 0.0799003, 0.129958, 0.241817, 0.503734", \
-            "0.045088, 0.056107, 0.0799245, 0.129864, 0.241822, 0.503734", \
-            "0.0450863, 0.0561048, 0.079898, 0.129781, 0.241826, 0.503733", \
-            "0.0451022, 0.0561088, 0.0799114, 0.129853, 0.241876, 0.503732", \
-            "0.0452552, 0.0562399, 0.0800131, 0.129912, 0.241912, 0.503747", \
-            "0.0456673, 0.0566345, 0.0803668, 0.13014, 0.241901, 0.503833" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00321265, 0.0032127, 0.00321276, 0.00321281, 0.00321285, 0.00321287", \
-            "0.00417726, 0.00417726, 0.00417726, 0.00417727, 0.00417729, 0.0041773", \
-            "0.00481236, 0.00481234, 0.00481231, 0.00481229, 0.00481228, 0.00481228", \
-            "0.0052152, 0.00521517, 0.00521514, 0.00521511, 0.00521509, 0.00521508", \
-            "0.00547557, 0.00547555, 0.00547553, 0.0054755, 0.00547547, 0.00547546", \
-            "0.00564309, 0.00564308, 0.00564306, 0.00564304, 0.00564301, 0.00564299" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00506385, 0.0050637, 0.00506358, 0.00506351, 0.00506349, 0.00506348", \
-            "0.00542643, 0.00542626, 0.00542608, 0.00542592, 0.00542582, 0.00542577", \
-            "0.00528266, 0.00528252, 0.00528229, 0.00528204, 0.00528184, 0.00528172", \
-            "0.00554, 0.00554012, 0.00554016, 0.00554004, 0.00553985, 0.00553968", \
-            "0.00593831, 0.00593721, 0.00593624, 0.00593572, 0.00593553, 0.00593548", \
-            "0.00572375, 0.00572648, 0.00576635, 0.0057582, 0.00572974, 0.00572656" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.129546, 0.137378, 0.143603, 0.150626, 0.164108, 0.17165, 0.178849, 0.185933, 0.193013, 0.199489, 0.216363, 0.223722, 0.233851, 0.239087, 0.256002, 0.284733");
-            values ( \
-              "-0.025948, -0.036483, -0.0535182, -0.0663996, -0.0843642, -0.0895298, -0.0925057, -0.0926755, -0.0898032, -0.0801546, -0.0303614, -0.0179855, -0.0062651, -0.00377439, -0.0041526, -0.00195922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.132614, 0.13998, 0.148513, 0.156959, 0.173593, 0.182919, 0.191845, 0.200671, 0.209493, 0.21222, 0.215128, 0.219549, 0.231225, 0.241236, 0.252118, 0.266567, 0.271026, 0.275344, 0.28398, 0.307763, 0.343719");
-            values ( \
-              "-0.06267, -0.0658108, -0.0977698, -0.120625, -0.152917, -0.161441, -0.165861, -0.165711, -0.159151, -0.154549, -0.148328, -0.132892, -0.081805, -0.0496049, -0.0275324, -0.0101181, -0.00655853, -0.00463523, -0.00361351, -0.00423722, -0.00222662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.135577, 0.146036, 0.150133, 0.160597, 0.168542, 0.17862, 0.186472, 0.197664, 0.203933, 0.213651, 0.222625, 0.229278, 0.238697, 0.242366, 0.249008, 0.25686, 0.275687, 0.287299, 0.298151, 0.306024, 0.312934, 0.327457, 0.334298, 0.342595, 0.359188, 0.404072, 0.445067");
-            values ( \
-              "-0.0973595, -0.116101, -0.135973, -0.174987, -0.199771, -0.224765, -0.23876, -0.251496, -0.255336, -0.258251, -0.257678, -0.255345, -0.248187, -0.243179, -0.229282, -0.19947, -0.118894, -0.0814687, -0.0558482, -0.0421046, -0.0328218, -0.0182779, -0.0132132, -0.00853569, -0.00338749, -0.00314533, -0.00208518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.13861, 0.152804, 0.16018, 0.175575, 0.187167, 0.200268, 0.211321, 0.222246, 0.249055, 0.263731, 0.269964, 0.284142, 0.294405, 0.305448, 0.327144, 0.348494, 0.363626, 0.377475, 0.387435, 0.404023, 0.424367, 0.443085, 0.465412, 0.483084, 0.518162, 0.56149, 0.76861");
-            values ( \
-              "-0.144392, -0.171993, -0.207026, -0.264168, -0.294868, -0.32, -0.332904, -0.340762, -0.354756, -0.358108, -0.357916, -0.352594, -0.343721, -0.327634, -0.266657, -0.193309, -0.149383, -0.116303, -0.0965206, -0.0700941, -0.0468117, -0.0318995, -0.0197983, -0.0129842, -0.00482076, -0.00266272, -0.000500125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.145708, 0.16813, 0.186472, 0.200948, 0.212174, 0.242879, 0.255534, 0.268504, 0.281046, 0.296858, 0.31516, 0.32371, 0.33511, 0.363188, 0.393897, 0.425305, 0.435401, 0.455594, 0.506794, 0.527595, 0.561178, 0.596183, 0.625054, 0.651777, 0.687407, 0.716242, 0.756748, 0.810757, 0.874218, 1.00114, 1.19152");
-            values ( \
-              "-0.260363, -0.262004, -0.325904, -0.35948, -0.377507, -0.410738, -0.421998, -0.431089, -0.436664, -0.440282, -0.440272, -0.439187, -0.436762, -0.426825, -0.409657, -0.382611, -0.370562, -0.338654, -0.239299, -0.202631, -0.152065, -0.110904, -0.0845215, -0.0654834, -0.0462781, -0.0346626, -0.0229641, -0.0127635, -0.00589485, -0.00211096, -0.000923286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.15134, 0.185225, 0.213987, 0.247047, 0.273397, 0.305619, 0.323568, 0.34927, 0.397765, 0.426582, 0.473572, 0.551805, 0.633608, 0.697069, 0.72192, 0.767808, 0.873312, 0.918354, 0.991089, 1.06634, 1.12784, 1.18407, 1.23776, 1.30122, 1.34882, 1.40949, 1.53641, 1.66333, 1.79025, 2.17102");
-            values ( \
-              "-0.335299, -0.339522, -0.403366, -0.445121, -0.470334, -0.485655, -0.488585, -0.489692, -0.484975, -0.480215, -0.471895, -0.454659, -0.43047, -0.402504, -0.387593, -0.350921, -0.250454, -0.211814, -0.158566, -0.115635, -0.0883093, -0.0688062, -0.0541221, -0.0403337, -0.0323666, -0.024361, -0.0131146, -0.00700149, -0.00391129, -0.000930177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.147066, 0.152609, 0.162205, 0.174561, 0.182843, 0.19038, 0.197581, 0.204665, 0.211745, 0.218211, 0.235075, 0.25263, 0.257875, 0.274728, 0.294187");
-            values ( \
-              "-0.0192536, -0.0280969, -0.0533353, -0.0739554, -0.0844115, -0.0894744, -0.0925422, -0.0926326, -0.0898355, -0.080178, -0.0304043, -0.00622652, -0.0037645, -0.00415218, -0.00266677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.150649, 0.158824, 0.167201, 0.182149, 0.192302, 0.201633, 0.21056, 0.219386, 0.228209, 0.230937, 0.233847, 0.238265, 0.249941, 0.259952, 0.270834, 0.285284, 0.289742, 0.29406, 0.302696, 0.32648, 0.362099");
-            values ( \
-              "-0.0514791, -0.0665571, -0.0978032, -0.134656, -0.152963, -0.161369, -0.165911, -0.165653, -0.159197, -0.154543, -0.148317, -0.13289, -0.0818034, -0.049605, -0.0275321, -0.0101178, -0.00655847, -0.00463521, -0.00361373, -0.00423731, -0.0022455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.153643, 0.16437, 0.168797, 0.182496, 0.195633, 0.208987, 0.213436, 0.222629, 0.231511, 0.235338, 0.241647, 0.24797, 0.257295, 0.261056, 0.267696, 0.275549, 0.294377, 0.30667, 0.314946, 0.322349, 0.33222, 0.341134, 0.355999, 0.371906, 0.393899, 0.416992, 0.525454");
-            values ( \
-              "-0.0863747, -0.114687, -0.135781, -0.185581, -0.221138, -0.244297, -0.248966, -0.255446, -0.258153, -0.258258, -0.257573, -0.255385, -0.248269, -0.243213, -0.229258, -0.199508, -0.118931, -0.0796414, -0.0598575, -0.0460057, -0.0318691, -0.0226884, -0.0114104, -0.00433542, -0.00298429, -0.00330015, -0.000387501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.16074, 0.178876, 0.194285, 0.205814, 0.218978, 0.230155, 0.240957, 0.267794, 0.282442, 0.288513, 0.302849, 0.315424, 0.324154, 0.341615, 0.374102, 0.391613, 0.417405, 0.447651, 0.464192, 0.48452, 0.511625, 0.547334, 0.616216");
-            values ( \
-              "-0.194572, -0.206947, -0.264136, -0.294711, -0.319984, -0.333009, -0.340757, -0.354766, -0.358107, -0.357935, -0.352595, -0.341008, -0.327692, -0.280776, -0.172046, -0.126313, -0.0775691, -0.04262, -0.0303422, -0.0196047, -0.00993977, -0.00371815, -0.00271968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.164644, 0.195042, 0.205224, 0.219706, 0.230923, 0.261635, 0.287277, 0.299802, 0.315625, 0.333913, 0.353887, 0.370234, 0.396679, 0.412653, 0.444601, 0.473287, 0.546814, 0.592995, 0.614747, 0.643728, 0.670709, 0.706683, 0.735172, 0.775179, 0.828521, 0.891981, 1.0189, 1.20928");
-            values ( \
-              "-0.240645, -0.293883, -0.325941, -0.359413, -0.377541, -0.410694, -0.431062, -0.436696, -0.440251, -0.440302, -0.436737, -0.431627, -0.41946, -0.409671, -0.382002, -0.340536, -0.201882, -0.13531, -0.111118, -0.0845808, -0.0653655, -0.0460504, -0.0345931, -0.0230339, -0.0129228, -0.00595483, -0.00211678, -0.000935623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.169992, 0.204035, 0.232686, 0.26576, 0.292098, 0.324318, 0.342282, 0.367951, 0.416479, 0.445257, 0.492285, 0.570519, 0.652322, 0.715782, 0.740634, 0.786522, 0.892025, 0.937068, 1.0098, 1.08506, 1.14656, 1.20278, 1.25647, 1.31993, 1.36754, 1.42821, 1.55513, 1.68205, 1.80897, 2.18973");
-            values ( \
-              "-0.333814, -0.33982, -0.403322, -0.445112, -0.470319, -0.485649, -0.488586, -0.489691, -0.484974, -0.480222, -0.471894, -0.454659, -0.43047, -0.402504, -0.387593, -0.35092, -0.250453, -0.211816, -0.158568, -0.115637, -0.0883075, -0.0688044, -0.054124, -0.0403318, -0.0323648, -0.0243592, -0.0131128, -0.00699968, -0.00390949, -0.000928431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.189427, 0.197254, 0.203361, 0.210762, 0.224002, 0.231537, 0.238737, 0.245821, 0.252901, 0.259364, 0.276223, 0.283598, 0.293798, 0.299047, 0.315883, 0.344675");
-            values ( \
-              "-0.0259725, -0.0364549, -0.0532092, -0.0667941, -0.084356, -0.0895336, -0.0924941, -0.0926809, -0.0897945, -0.0801859, -0.0304195, -0.0180026, -0.00621595, -0.00376174, -0.00415151, -0.00195375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.192496, 0.19985, 0.208392, 0.216835, 0.233478, 0.242799, 0.251725, 0.260551, 0.269373, 0.272099, 0.275007, 0.279429, 0.291105, 0.301116, 0.311998, 0.326448, 0.330906, 0.335225, 0.343861, 0.367645, 0.403641");
-            values ( \
-              "-0.0628491, -0.0657549, -0.0977555, -0.120607, -0.152918, -0.161438, -0.165858, -0.16571, -0.15915, -0.154548, -0.148331, -0.132891, -0.0818037, -0.049605, -0.0275317, -0.0101175, -0.00655874, -0.00463466, -0.00361391, -0.00423757, -0.00222468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.195457, 0.205936, 0.210023, 0.220484, 0.228424, 0.238513, 0.246492, 0.257545, 0.263817, 0.273539, 0.282499, 0.289161, 0.29858, 0.302249, 0.308891, 0.316742, 0.33557, 0.34736, 0.355961, 0.363877, 0.37443, 0.387295, 0.396503, 0.412358, 0.434318, 0.481626, 0.50861");
-            values ( \
-              "-0.0970213, -0.116209, -0.136014, -0.175016, -0.19979, -0.224799, -0.238978, -0.251503, -0.255347, -0.258255, -0.257682, -0.255351, -0.248186, -0.243183, -0.229279, -0.199474, -0.118898, -0.0809866, -0.0602528, -0.0454749, -0.0306227, -0.0182743, -0.0118604, -0.0044901, -0.00297719, -0.00284708, -0.00205027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.198569, 0.212842, 0.220171, 0.229758, 0.23556, 0.247163, 0.260247, 0.265726, 0.271249, 0.276853, 0.282223, 0.30037, 0.309014, 0.320539, 0.323706, 0.330042, 0.342712, 0.34412, 0.352567, 0.365389, 0.374751, 0.387234, 0.407677, 0.423237, 0.437962, 0.447684, 0.460366, 0.470771, 0.483954, 0.502758, 0.511956, 0.525469, 0.543485, 0.578513, 0.622222, 0.829619");
-            values ( \
-              "-0.143226, -0.172374, -0.207168, -0.244232, -0.264257, -0.294957, -0.320044, -0.327138, -0.332885, -0.337352, -0.340784, -0.350857, -0.354764, -0.357825, -0.358119, -0.357911, -0.353504, -0.352605, -0.345654, -0.327821, -0.305781, -0.265249, -0.19532, -0.14983, -0.114841, -0.0957047, -0.0749804, -0.0611731, -0.0470171, -0.0319897, -0.0263971, -0.0196906, -0.0127874, -0.00475604, -0.00263613, -0.000475993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.205587, 0.2282, 0.246414, 0.260861, 0.272072, 0.302786, 0.315443, 0.328422, 0.340951, 0.356771, 0.375072, 0.383601, 0.394974, 0.422615, 0.453802, 0.486359, 0.495318, 0.513237, 0.588482, 0.614756, 0.633796, 0.655684, 0.684786, 0.712055, 0.748413, 0.776515, 0.808762, 0.851757, 0.915218, 0.978678, 1.04214, 1.29598");
-            values ( \
-              "-0.258563, -0.262792, -0.326074, -0.359542, -0.377531, -0.410764, -0.422022, -0.431112, -0.436676, -0.44029, -0.440276, -0.439193, -0.436775, -0.427041, -0.409657, -0.381339, -0.370563, -0.342662, -0.201022, -0.160628, -0.135753, -0.111337, -0.084666, -0.0652525, -0.0457796, -0.0345334, -0.0249217, -0.0158481, -0.00738039, -0.0039929, -0.00241583, -0.000624069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.211196, 0.245234, 0.27387, 0.306957, 0.333315, 0.36554, 0.383476, 0.409184, 0.457673, 0.486432, 0.533479, 0.611713, 0.693516, 0.756976, 0.781828, 0.827716, 0.933219, 0.978262, 1.051, 1.12625, 1.18775, 1.24398, 1.29767, 1.36113, 1.40873, 1.4694, 1.59632, 1.72324, 1.85016, 2.23092");
-            values ( \
-              "-0.333671, -0.33992, -0.403359, -0.445147, -0.470355, -0.485665, -0.488589, -0.489693, -0.484975, -0.480225, -0.471894, -0.454658, -0.43047, -0.402505, -0.387591, -0.350923, -0.250455, -0.211813, -0.158565, -0.115634, -0.08831, -0.0688069, -0.0541214, -0.0403343, -0.0323672, -0.0243615, -0.0131152, -0.0070021, -0.00391191, -0.00093081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.27087, 0.288235, 0.295129, 0.308734, 0.316267, 0.323469, 0.330555, 0.337636, 0.344029, 0.360811, 0.368269, 0.378849, 0.38417, 0.40058, 0.431039");
-            values ( \
-              "-0.00663938, -0.0536175, -0.0662424, -0.0844165, -0.0894018, -0.0925602, -0.0925769, -0.0898622, -0.0803418, -0.0307305, -0.0180944, -0.00596582, -0.00369931, -0.00414917, -0.00182526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.278229, 0.288169, 0.292588, 0.302843, 0.318283, 0.327592, 0.33654, 0.345364, 0.35419, 0.35978, 0.364246, 0.375922, 0.385936, 0.396817, 0.411267, 0.415727, 0.420048, 0.428689, 0.452472, 0.486201");
-            values ( \
-              "-0.0676769, -0.0795371, -0.0960943, -0.123649, -0.15301, -0.161475, -0.165616, -0.165819, -0.158964, -0.148416, -0.132882, -0.081805, -0.049598, -0.027532, -0.0101178, -0.0065578, -0.0046344, -0.00361426, -0.00423708, -0.00198179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.278392, 0.294923, 0.304166, 0.312783, 0.321758, 0.333349, 0.340444, 0.348736, 0.358148, 0.361447, 0.367757, 0.374082, 0.383695, 0.387169, 0.393814, 0.420491, 0.432785, 0.441059, 0.448464, 0.458338, 0.472203, 0.482111, 0.498016, 0.520085, 0.567544, 0.589132");
-            values ( \
-              "-0.0661618, -0.136139, -0.170671, -0.198273, -0.221149, -0.241754, -0.249736, -0.255346, -0.258211, -0.258223, -0.257585, -0.255363, -0.247944, -0.243206, -0.229255, -0.11891, -0.0796194, -0.0598787, -0.0460235, -0.0318443, -0.0183025, -0.0114309, -0.00431686, -0.00300251, -0.00280944, -0.00218244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.284681, 0.299943, 0.314201, 0.320283, 0.332445, 0.34497, 0.354951, 0.366941, 0.38513, 0.393645, 0.404998, 0.408424, 0.415276, 0.42885, 0.446393, 0.450196, 0.457804, 0.500511, 0.517436, 0.527079, 0.547559, 0.562475, 0.578385, 0.591461, 0.60515, 0.623402, 0.656896, 0.697267, 0.901305");
-            values ( \
-              "-0.166174, -0.184255, -0.243667, -0.264038, -0.296547, -0.319824, -0.33194, -0.340799, -0.350894, -0.354746, -0.357805, -0.358135, -0.35785, -0.352636, -0.333976, -0.327877, -0.310507, -0.170752, -0.12667, -0.105962, -0.0714907, -0.0532961, -0.0386607, -0.0295084, -0.0220539, -0.0144769, -0.00566084, -0.00279732, -0.000578777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.287745, 0.309121, 0.321048, 0.333519, 0.345679, 0.356856, 0.387614, 0.400377, 0.413495, 0.425772, 0.441632, 0.462734, 0.479607, 0.499986, 0.518122, 0.538622, 0.577698, 0.594573, 0.668358, 0.705801, 0.746076, 0.771775, 0.792605, 0.819117, 0.856661, 0.899094, 0.932226, 0.995686, 1.05915, 1.12261, 1.37645");
-            values ( \
-              "-0.212272, -0.245844, -0.294137, -0.332208, -0.359506, -0.377397, -0.41078, -0.422133, -0.431287, -0.436693, -0.440321, -0.440065, -0.436853, -0.430181, -0.421913, -0.409653, -0.373661, -0.348835, -0.209216, -0.15206, -0.105646, -0.082996, -0.0680507, -0.0526005, -0.0362405, -0.0236089, -0.0166064, -0.00787438, -0.00407367, -0.0025649, -0.000700396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.298901, 0.339353, 0.359665, 0.391875, 0.417894, 0.450174, 0.468389, 0.493907, 0.528058, 0.571637, 0.618392, 0.696626, 0.778429, 0.841889, 0.86674, 0.912628, 1.01813, 1.06317, 1.13591, 1.21116, 1.27266, 1.32889, 1.38258, 1.44604, 1.51387, 1.61777, 1.68123, 1.80815, 1.93507, 2.31583");
-            values ( \
-              "-0.361256, -0.365891, -0.404509, -0.445054, -0.469997, -0.485469, -0.488727, -0.489576, -0.486796, -0.480293, -0.471779, -0.454547, -0.430361, -0.402612, -0.387487, -0.351026, -0.25055, -0.211719, -0.158474, -0.115544, -0.0883998, -0.0688948, -0.0540338, -0.0404214, -0.0295084, -0.0180019, -0.0131981, -0.00708424, -0.00399334, -0.00101056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.439504, 0.445023, 0.454554, 0.463054, 0.475723, 0.483299, 0.490525, 0.49763, 0.50473, 0.509952, 0.526836, 0.534617, 0.54586, 0.550216, 0.567621, 0.600496");
-            values ( \
-              "-0.0202969, -0.0272618, -0.0515679, -0.0671904, -0.0838872, -0.0891768, -0.092186, -0.0924555, -0.0896017, -0.0826375, -0.0330548, -0.0191523, -0.00605159, -0.00399197, -0.00410233, -0.00163221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.439487, 0.448158, 0.459956, 0.468469, 0.475011, 0.485179, 0.491678, 0.499125, 0.503503, 0.512346, 0.521189, 0.526797, 0.531259, 0.545218, 0.550192, 0.555922, 0.565639, 0.579463, 0.586458, 0.591991, 0.614553, 0.647526");
-            values ( \
-              "-0.0137123, -0.0538113, -0.0963979, -0.119523, -0.133865, -0.152224, -0.158803, -0.163664, -0.165309, -0.165499, -0.15869, -0.1482, -0.132738, -0.0733078, -0.0572337, -0.0429009, -0.0251177, -0.00911127, -0.00492251, -0.00392162, -0.00443437, -0.0022259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.446459, 0.456947, 0.461508, 0.470632, 0.480751, 0.488523, 0.502564, 0.515612, 0.527626, 0.536801, 0.547385, 0.554102, 0.559197, 0.563479, 0.585949, 0.599036, 0.60774, 0.615707, 0.62633, 0.63453, 0.648245, 0.66409, 0.685723, 0.708605, 0.760196");
-            values ( \
-              "-0.0921893, -0.112051, -0.134275, -0.168864, -0.200849, -0.220333, -0.244623, -0.255097, -0.258234, -0.256959, -0.251235, -0.243376, -0.23313, -0.219995, -0.124121, -0.081442, -0.0601072, -0.0452437, -0.0306621, -0.0221652, -0.011729, -0.0046756, -0.00282284, -0.00344958, -0.00206362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.450563, 0.463702, 0.47171, 0.487162, 0.498889, 0.5119, 0.522887, 0.542545, 0.56033, 0.565988, 0.578333, 0.584211, 0.595838, 0.614001, 0.617201, 0.6236, 0.636399, 0.670613, 0.689759, 0.703527, 0.715303, 0.729542, 0.748528, 0.771232, 0.787196, 0.818111, 0.85691, 0.938245");
-            values ( \
-              "-0.15868, -0.167868, -0.20605, -0.263517, -0.294586, -0.319553, -0.332419, -0.345501, -0.354382, -0.356339, -0.357949, -0.35728, -0.352457, -0.332902, -0.327754, -0.3136, -0.274376, -0.161786, -0.114819, -0.0884548, -0.0704409, -0.0531871, -0.0362248, -0.0224429, -0.0156596, -0.00669899, -0.00296683, -0.00204029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.457153, 0.480005, 0.498548, 0.51267, 0.522424, 0.529751, 0.554587, 0.574079, 0.592842, 0.60252, 0.616204, 0.629764, 0.65688, 0.667051, 0.685854, 0.705667, 0.744757, 0.761531, 0.835496, 0.872845, 0.893285, 0.91306, 0.938795, 0.959692, 0.986189, 1.02372, 1.04129, 1.06611, 1.0992, 1.16266, 1.22612, 1.28958, 1.54342");
-            values ( \
-              "-0.254756, -0.262448, -0.326603, -0.359179, -0.374919, -0.384004, -0.410723, -0.426983, -0.436573, -0.439186, -0.440571, -0.439913, -0.433835, -0.430187, -0.421576, -0.409679, -0.373637, -0.348983, -0.209053, -0.152059, -0.126719, -0.105704, -0.0830141, -0.0680216, -0.0525856, -0.0362345, -0.0303934, -0.0236164, -0.0166194, -0.00788133, -0.00407647, -0.00256664, -0.000700734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.462858, 0.49633, 0.507297, 0.525874, 0.575841, 0.604232, 0.620082, 0.635351, 0.661674, 0.696442, 0.735814, 0.785367, 0.863602, 0.945404, 1.00886, 1.03372, 1.0796, 1.18511, 1.24591, 1.30291, 1.37326, 1.43672, 1.50144, 1.55316, 1.61662, 1.71598, 1.77944, 1.90636, 2.09675, 2.47751");
-            values ( \
-              "-0.333206, -0.33722, -0.368229, -0.40324, -0.462256, -0.48077, -0.485978, -0.488584, -0.489461, -0.486616, -0.480763, -0.471766, -0.454541, -0.430358, -0.40261, -0.38749, -0.351023, -0.25054, -0.199124, -0.158471, -0.117993, -0.0895081, -0.0671573, -0.0531657, -0.0397307, -0.0250387, -0.0184909, -0.00987194, -0.00406081, -0.00100277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.779758, 0.78788, 0.794357, 0.803965, 0.816364, 0.824031, 0.831321, 0.838477, 0.845628, 0.850867, 0.867789, 0.875594, 0.886878, 0.89227, 0.9087, 0.94613");
-            values ( \
-              "-0.0236459, -0.0317702, -0.0487008, -0.0663456, -0.0827235, -0.0883504, -0.0914193, -0.0919085, -0.0891157, -0.0823144, -0.0329846, -0.0190967, -0.0059897, -0.00374237, -0.00416341, -0.00129266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.783599, 0.794452, 0.809922, 0.815477, 0.825781, 0.835218, 0.844248, 0.853136, 0.862026, 0.867302, 0.872117, 0.883827, 0.893877, 0.904773, 0.91926, 0.92376, 0.928159, 0.936958, 0.960771, 1.00085");
-            values ( \
-              "-0.0559688, -0.0740133, -0.119679, -0.132151, -0.15059, -0.159854, -0.164303, -0.164726, -0.158106, -0.148585, -0.132417, -0.0816094, -0.0494734, -0.027476, -0.0100488, -0.00650397, -0.00460455, -0.00365681, -0.0042299, -0.00199607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.783779, 0.811587, 0.818774, 0.829244, 0.836918, 0.843346, 0.856497, 0.860656, 0.869252, 0.8756, 0.881958, 0.891744, 0.895087, 0.901754, 0.909612, 0.928466, 0.941858, 0.949324, 0.955935, 0.963378, 0.974268, 0.989591, 1.00221, 1.02242, 1.04489, 1.0911");
-            values ( \
-              "-0.0552192, -0.167388, -0.190787, -0.218434, -0.23339, -0.242747, -0.25392, -0.255663, -0.256948, -0.256317, -0.254385, -0.246949, -0.242586, -0.228693, -0.19924, -0.118844, -0.0767289, -0.0591965, -0.0468017, -0.0356688, -0.0235365, -0.0116566, -0.00561496, -0.00288112, -0.00341583, -0.00218202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.790995, 0.803958, 0.812319, 0.827952, 0.840384, 0.852839, 0.863143, 0.874926, 0.89322, 0.901648, 0.912884, 0.91653, 0.923823, 0.93698, 0.954703, 0.958346, 0.965634, 0.980209, 1.00046, 1.01615, 1.03108, 1.04074, 1.05336, 1.06365, 1.07686, 1.09568, 1.10491, 1.11849, 1.13659, 1.17168, 1.21535, 1.37854");
-            values ( \
-              "-0.162882, -0.162915, -0.202817, -0.261381, -0.294332, -0.318135, -0.330462, -0.339297, -0.349881, -0.353874, -0.357129, -0.357546, -0.35728, -0.352262, -0.333479, -0.327659, -0.311202, -0.265156, -0.195852, -0.149915, -0.114498, -0.0954927, -0.0749298, -0.0612812, -0.0470537, -0.032021, -0.0264064, -0.0196707, -0.0127273, -0.00473849, -0.00263264, -0.00083444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.798389, 0.828831, 0.840181, 0.853595, 0.867708, 0.907985, 0.921337, 0.933937, 0.950758, 0.970927, 0.989392, 1.00822, 1.04572, 1.06296, 1.08135, 1.1023, 1.17578, 1.22438, 1.25692, 1.28629, 1.31559, 1.35467, 1.38184, 1.42485, 1.4822, 1.54566, 1.64078");
-            values ( \
-              "-0.242222, -0.291565, -0.327026, -0.357586, -0.379143, -0.421147, -0.430542, -0.436358, -0.440064, -0.439832, -0.436304, -0.430101, -0.410561, -0.39754, -0.379194, -0.349487, -0.210561, -0.138865, -0.103189, -0.0782561, -0.0590581, -0.0401459, -0.0306129, -0.0196615, -0.0102437, -0.00493824, -0.00270619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.80405, 0.847722, 0.867377, 0.884482, 0.926148, 0.958544, 0.976581, 1.00236, 1.0508, 1.07899, 1.1266, 1.19006, 1.25687, 1.32398, 1.37485, 1.43831, 1.55289, 1.6395, 1.70676, 1.77022, 1.81004, 1.87326, 1.93672, 2.03031, 2.08942, 2.21634, 2.40672, 2.47033");
-            values ( \
-              "-0.31559, -0.365346, -0.402606, -0.424822, -0.469709, -0.485353, -0.488406, -0.489549, -0.484931, -0.480346, -0.471906, -0.458087, -0.440143, -0.415507, -0.387552, -0.334934, -0.227204, -0.16153, -0.122008, -0.0926708, -0.0777515, -0.0585771, -0.0438494, -0.0284355, -0.0215104, -0.0115384, -0.00465819, -0.00406533" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302084", \
-            "0.00361677", \
-            "0.00398308", \
-            "0.00419798", \
-            "0.00431267", \
-            "0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434342", \
-            "0.00482999", \
-            "0.00510835", \
-            "0.00542427", \
-            "0.00593602", \
-            "0.00683618" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.165347, 0.178525, 0.204868, 0.259302, 0.375969, 0.633641", \
-            "0.171409, 0.184591, 0.210947, 0.265359, 0.382044, 0.639715", \
-            "0.185975, 0.19916, 0.225509, 0.279937, 0.396605, 0.654276", \
-            "0.217798, 0.230969, 0.257309, 0.311742, 0.428425, 0.686111", \
-            "0.272283, 0.285451, 0.311843, 0.366295, 0.483006, 0.740721", \
-            "0.358716, 0.371964, 0.398405, 0.452904, 0.569652, 0.827411" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0504016, 0.0639721, 0.0960941, 0.169974, 0.33846, 0.718992", \
-            "0.0504185, 0.0639761, 0.0961055, 0.169971, 0.338459, 0.718991", \
-            "0.0504293, 0.0639427, 0.0961019, 0.169981, 0.338459, 0.718991", \
-            "0.0504867, 0.064029, 0.0961328, 0.169989, 0.33847, 0.71899", \
-            "0.0509866, 0.0644272, 0.0964406, 0.170102, 0.338453, 0.718991", \
-            "0.0520924, 0.0653208, 0.0969713, 0.170304, 0.338511, 0.718979" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.128408, 0.137534, 0.142075, 0.150255, 0.158191, 0.168153, 0.169315, 0.17164, 0.176815, 0.183591, 0.184804, 0.187232, 0.191219, 0.196815, 0.201433, 0.215426, 0.219267, 0.223397, 0.229, 0.249609, 0.262493, 0.273132, 0.28634, 0.302512, 0.322967, 0.332503");
-            values ( \
-              "0.0106926, 0.0445431, 0.057518, 0.0745855, 0.0839918, 0.0887325, 0.0882318, 0.0883006, 0.0852928, 0.0794783, 0.0795188, 0.0772593, 0.0772172, 0.0652872, 0.0525481, 0.0279552, 0.0239332, 0.023492, 0.0216517, 0.0100591, 0.00556591, 0.00344732, 0.0020392, 0.000997758, 0.00058435, 0.000511808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.131548, 0.142818, 0.149768, 0.154499, 0.164229, 0.170817, 0.180309, 0.189928, 0.200047, 0.209663, 0.223671, 0.232754, 0.247045, 0.252934, 0.289673, 0.299593, 0.319952, 0.338661, 0.364036, 0.36599");
-            values ( \
-              "0.0355674, 0.0922886, 0.117491, 0.129662, 0.146983, 0.152878, 0.154867, 0.149182, 0.140335, 0.129297, 0.0884035, 0.0669816, 0.0406299, 0.0347941, 0.0145641, 0.0103706, 0.0053288, 0.00267391, 0.00124612, 0.00121439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.135036, 0.153606, 0.165431, 0.177782, 0.185974, 0.191661, 0.201815, 0.206959, 0.235957, 0.241321, 0.281626, 0.304783, 0.313957, 0.323957, 0.340814, 0.367549, 0.382997, 0.404305, 0.429612, 0.461269, 0.465504");
-            values ( \
-              "0.0837982, 0.176096, 0.211137, 0.228831, 0.233918, 0.234583, 0.231707, 0.227978, 0.201973, 0.193299, 0.102366, 0.0636909, 0.0518002, 0.0429809, 0.0328372, 0.0201841, 0.0146716, 0.00917651, 0.00505006, 0.00232529, 0.00223386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.139559, 0.162086, 0.172479, 0.184586, 0.197187, 0.209267, 0.220997, 0.245435, 0.275973, 0.298304, 0.310033, 0.379613, 0.420721, 0.443897, 0.459981, 0.487293, 0.520589, 0.550267, 0.587203, 0.619736, 0.662399, 0.694534");
-            values ( \
-              "0.1621, 0.246685, 0.277433, 0.296656, 0.305936, 0.306527, 0.30389, 0.292237, 0.273506, 0.257205, 0.24487, 0.142335, 0.0925094, 0.0702267, 0.0576406, 0.0425672, 0.029495, 0.0209906, 0.01342, 0.00881285, 0.00506468, 0.00341388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.143775, 0.161092, 0.178695, 0.196988, 0.210936, 0.226793, 0.256936, 0.285051, 0.322706, 0.360932, 0.413226, 0.442009, 0.486748, 0.593503, 0.650083, 0.701054, 0.754985, 0.81556, 0.897475, 0.959474, 1.05216, 1.20846, 1.37712, 1.46145");
-            values ( \
-              "0.267709, 0.271394, 0.327925, 0.352793, 0.358761, 0.35977, 0.35465, 0.347002, 0.334793, 0.320451, 0.29869, 0.28474, 0.254484, 0.168464, 0.127768, 0.0969245, 0.0704936, 0.0491937, 0.0301774, 0.0206025, 0.0113712, 0.00390065, 0.00109574, 0.000928186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.15335, 0.259836, 0.320799, 0.422591, 0.645248, 0.761778, 0.893562, 1.05861, 1.20394, 1.3591, 1.51322, 1.6249, 1.70089, 1.78522, 1.93953, 2.10819, 2.27686, 2.61418, 2.86718");
-            values ( \
-              "0.387841, 0.393767, 0.387322, 0.369256, 0.324466, 0.297019, 0.25234, 0.187267, 0.135737, 0.0907015, 0.0588166, 0.0427183, 0.0347746, 0.0266454, 0.0167073, 0.00986676, 0.00573764, 0.00181431, 0.0011966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.150288, 0.154262, 0.164805, 0.173891, 0.181781, 0.189288, 0.1938, 0.213352, 0.224344, 0.236556, 0.250807, 0.276276, 0.285998, 0.305275, 0.341818, 0.352427");
-            values ( \
-              "0.0314941, 0.0345508, 0.0639673, 0.0794514, 0.0864157, 0.0885868, 0.0871901, 0.0731135, 0.0469463, 0.0259855, 0.0208861, 0.00727219, 0.00460291, 0.00187868, 0.000354944, 0.000343596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.153454, 0.161158, 0.169834, 0.179408, 0.184212, 0.190887, 0.194689, 0.200379, 0.209998, 0.220118, 0.229836, 0.238283, 0.248366, 0.262631, 0.269946, 0.309129, 0.323305, 0.331306, 0.347307, 0.369312, 0.395613, 0.425119");
-            values ( \
-              "0.0659862, 0.0845498, 0.117245, 0.139679, 0.14679, 0.15283, 0.154176, 0.154843, 0.149168, 0.140343, 0.129083, 0.103322, 0.0767897, 0.0482805, 0.0368985, 0.0146306, 0.00913194, 0.0071949, 0.0039466, 0.00204019, 0.00067235, 0.000452541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.157515, 0.16849, 0.174715, 0.185414, 0.193804, 0.19995, 0.207974, 0.221976, 0.229392, 0.254173, 0.259203, 0.267319, 0.289034, 0.301627, 0.32508, 0.339425, 0.347629, 0.37218, 0.398452, 0.411401, 0.428666, 0.455148, 0.482758");
-            values ( \
-              "0.131558, 0.153144, 0.179595, 0.210665, 0.224787, 0.230555, 0.234162, 0.231584, 0.226639, 0.204324, 0.19742, 0.180666, 0.128614, 0.102572, 0.0635691, 0.0466272, 0.0406984, 0.0270211, 0.0161458, 0.012226, 0.00836832, 0.00439594, 0.00237762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.15761, 0.174729, 0.184723, 0.190272, 0.204166, 0.21695, 0.228628, 0.240755, 0.265195, 0.293554, 0.318065, 0.338939, 0.383877, 0.422041, 0.447589, 0.475198, 0.507007, 0.52389, 0.556218, 0.581353, 0.620636, 0.65988, 0.7068, 0.83903");
-            values ( \
-              "0.120773, 0.216905, 0.25696, 0.272396, 0.296928, 0.305776, 0.306821, 0.303684, 0.292125, 0.274949, 0.257257, 0.233111, 0.16416, 0.113023, 0.0851112, 0.0607428, 0.0424949, 0.0355456, 0.0244858, 0.0181822, 0.0111518, 0.00689166, 0.00347323, 0.000408632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.166895, 0.192987, 0.206352, 0.21713, 0.231102, 0.246948, 0.277068, 0.315665, 0.342942, 0.407574, 0.433368, 0.462152, 0.50687, 0.613646, 0.670227, 0.721197, 0.775128, 0.835703, 0.864995, 0.917512, 0.979607, 1.07221, 1.14398, 1.22831, 1.39698, 1.48131");
-            values ( \
-              "0.309106, 0.31336, 0.340664, 0.352719, 0.358846, 0.359698, 0.354583, 0.343783, 0.334709, 0.309557, 0.298642, 0.284788, 0.254451, 0.168506, 0.127809, 0.0969641, 0.0705324, 0.0492312, 0.0417371, 0.0302339, 0.0206397, 0.0114135, 0.00740404, 0.00394371, 0.00113303, 0.000895144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.172148, 0.25055, 0.265836, 0.340428, 0.442149, 0.665031, 0.781562, 0.913346, 1.22373, 1.37888, 1.44867, 1.57023, 1.64468, 1.80501, 2.04364, 2.35081");
-            values ( \
-              "0.378064, 0.393002, 0.39366, 0.387116, 0.369071, 0.324242, 0.296793, 0.252111, 0.135505, 0.0909348, 0.0750029, 0.0532328, 0.0429511, 0.0268783, 0.013167, 0.00540886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.194982, 0.204565, 0.210363, 0.215402, 0.219451, 0.227341, 0.233824, 0.234848, 0.240997, 0.242358, 0.245081, 0.250357, 0.256605, 0.263337, 0.268689, 0.281939, 0.285477, 0.28975, 0.290829, 0.292986, 0.2973, 0.301661, 0.307253, 0.308316, 0.310443, 0.314697, 0.320104, 0.32804, 0.331566, 0.338619, 0.35172, 0.370391, 0.390808, 0.398439");
-            values ( \
-              "0.0197223, 0.0491688, 0.0641097, 0.0732743, 0.079577, 0.0865659, 0.0881278, 0.088773, 0.086365, 0.0847729, 0.0831389, 0.0786512, 0.0764058, 0.0623266, 0.049399, 0.0260587, 0.024179, 0.02294, 0.023413, 0.0219129, 0.0205689, 0.0171323, 0.0145638, 0.013138, 0.012829, 0.0098922, 0.00852379, 0.00518967, 0.00521742, 0.00308771, 0.00241661, 0.000537822, 0.000907359, 0.000589562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.197955, 0.202758, 0.212557, 0.220022, 0.226429, 0.231664, 0.236312, 0.245803, 0.254607, 0.255415, 0.25703, 0.264662, 0.270761, 0.276422, 0.292351, 0.301842, 0.314461, 0.31525, 0.316829, 0.319987, 0.324767, 0.331105, 0.338707, 0.339864, 0.342179, 0.346808, 0.353914, 0.364483, 0.368687, 0.393906, 0.444451, 0.474489");
-            values ( \
-              "0.0559325, 0.0659838, 0.108766, 0.130221, 0.142521, 0.148562, 0.152988, 0.155026, 0.149334, 0.149338, 0.14718, 0.140848, 0.136769, 0.125064, 0.0797391, 0.0592327, 0.0374467, 0.0374487, 0.0353609, 0.0340811, 0.0308006, 0.0282014, 0.0230043, 0.0230769, 0.0209488, 0.0190725, 0.0146681, 0.0110365, 0.00888587, 0.00352568, 0.000333845, 0.000666885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.201903, 0.223956, 0.230483, 0.235363, 0.24552, 0.253647, 0.265984, 0.270964, 0.29736, 0.305198, 0.335665, 0.356205, 0.376676, 0.397572, 0.420424, 0.445817, 0.485686, 0.515076");
-            values ( \
-              "0.107696, 0.192159, 0.208794, 0.219172, 0.23096, 0.233684, 0.232648, 0.229943, 0.206877, 0.196929, 0.126325, 0.0868169, 0.0551185, 0.0376994, 0.0254933, 0.0152646, 0.00603598, 0.00376729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.206302, 0.220944, 0.233632, 0.243783, 0.251536, 0.262408, 0.274457, 0.286228, 0.310667, 0.335648, 0.363535, 0.382685, 0.43021, 0.462863, 0.507159, 0.523325, 0.552513, 0.586671, 0.615963, 0.651596, 0.684119, 0.726763, 0.768038");
-            values ( \
-              "0.197573, 0.219965, 0.267402, 0.289188, 0.298353, 0.305988, 0.306373, 0.303988, 0.292351, 0.277145, 0.25736, 0.235338, 0.163117, 0.118812, 0.071945, 0.0589712, 0.0425968, 0.0292394, 0.0208521, 0.0135411, 0.00893475, 0.00510276, 0.00299371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.210441, 0.233966, 0.25283, 0.262293, 0.27642, 0.307654, 0.37443, 0.452741, 0.507319, 0.568946, 0.64646, 0.715389, 0.785907, 0.866225, 0.910161, 0.962583, 1.00869, 1.05692, 1.18903, 1.35769, 1.52636");
-            values ( \
-              "0.280753, 0.300446, 0.343004, 0.352097, 0.359392, 0.357583, 0.339521, 0.310098, 0.285328, 0.241561, 0.17801, 0.128347, 0.0865116, 0.0533935, 0.0412062, 0.0307831, 0.022595, 0.0166662, 0.00688015, 0.00195644, 0.000366446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.220458, 0.220478, 0.386145, 0.42892, 0.710792, 0.827323, 0.959107, 1.12415, 1.26949, 1.42464, 1.57877, 1.69044, 1.76644, 1.85077, 2.00507, 2.16511, 2.33377, 2.6711, 2.9241");
-            values ( \
-              "1e-22, 0.402202, 0.387463, 0.380432, 0.32458, 0.297129, 0.252447, 0.187165, 0.135838, 0.0906024, 0.0587175, 0.0426189, 0.0348735, 0.0265464, 0.0166084, 0.0100433, 0.00580372, 0.00177292, 0.00132228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.294749, 0.307674, 0.315319, 0.319359, 0.323665, 0.327272, 0.33378, 0.336818, 0.340869, 0.350317, 0.356506, 0.358876, 0.368654, 0.381908, 0.385448, 0.390796, 0.39728, 0.408278, 0.414936, 0.428111, 0.438394, 0.451534, 0.470184, 0.512398, 0.536828, 0.565166");
-            values ( \
-              "0.0212478, 0.0571617, 0.07328, 0.0789524, 0.0834673, 0.0860419, 0.0883254, 0.0880518, 0.0859958, 0.0789567, 0.0761093, 0.0721592, 0.0497153, 0.0263543, 0.0238774, 0.0231158, 0.0202659, 0.0134301, 0.0100546, 0.00544744, 0.00339895, 0.00215024, 0.000822731, 0.000129011, 0.000292285, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.299382, 0.308113, 0.315129, 0.319808, 0.326354, 0.336254, 0.339609, 0.34576, 0.353765, 0.365508, 0.374975, 0.383974, 0.396024, 0.410825, 0.41523, 0.421104, 0.455109, 0.465036, 0.485412, 0.503307, 0.528381, 0.536531");
-            values ( \
-              "0.0768262, 0.0907635, 0.1164, 0.129131, 0.141814, 0.152389, 0.15401, 0.154484, 0.150263, 0.140045, 0.129795, 0.102233, 0.0715801, 0.0434356, 0.0369317, 0.0329743, 0.0145834, 0.0103664, 0.00533142, 0.00275131, 0.00129973, 0.00116187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.301429, 0.310261, 0.318862, 0.323584, 0.329939, 0.33494, 0.339141, 0.345169, 0.353328, 0.365602, 0.370792, 0.397055, 0.404891, 0.413825, 0.433383, 0.447227, 0.461461, 0.473744, 0.480734, 0.49031, 0.506337, 0.527217, 0.549087, 0.561729, 0.581805, 0.609366, 0.644432, 0.692759");
-            values ( \
-              "0.108611, 0.13322, 0.174267, 0.191061, 0.208194, 0.218243, 0.224312, 0.230319, 0.233871, 0.232217, 0.229419, 0.206496, 0.19727, 0.177782, 0.130734, 0.102197, 0.0772983, 0.058868, 0.0504678, 0.0424123, 0.0328136, 0.0225859, 0.0144512, 0.0110218, 0.00690992, 0.00361122, 0.00144703, 0.000431809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.302386, 0.316416, 0.320451, 0.329255, 0.333838, 0.343582, 0.351505, 0.362334, 0.374388, 0.386122, 0.410599, 0.439885, 0.463468, 0.482709, 0.530117, 0.545506, 0.563093, 0.586468, 0.606818, 0.622668, 0.645435, 0.666448, 0.694465, 0.726115, 0.742348, 0.774807, 0.815334, 0.864192, 0.923388, 1.00543");
-            values ( \
-              "0.108398, 0.196755, 0.217647, 0.2534, 0.267386, 0.288328, 0.298528, 0.305499, 0.306642, 0.303604, 0.291998, 0.274286, 0.257369, 0.23521, 0.163158, 0.141353, 0.118446, 0.091942, 0.0721899, 0.05939, 0.0459229, 0.036577, 0.0267227, 0.0184397, 0.0151777, 0.0100578, 0.00594377, 0.00302573, 0.00133989, 0.000358652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.309044, 0.32644, 0.338255, 0.351488, 0.362417, 0.376245, 0.39217, 0.422244, 0.461388, 0.494537, 0.552872, 0.607436, 0.654425, 0.759822, 0.830199, 0.90372, 0.954212, 0.980648, 1.05185, 1.10645, 1.17228, 1.23674, 1.31519, 1.39952, 1.43146");
-            values ( \
-              "0.264642, 0.270772, 0.313097, 0.340386, 0.352421, 0.358903, 0.359504, 0.354449, 0.34348, 0.332278, 0.309771, 0.285018, 0.252535, 0.168023, 0.118492, 0.0782241, 0.0576544, 0.0494584, 0.0325017, 0.023306, 0.01547, 0.0102243, 0.00608572, 0.00344929, 0.00309052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.31818, 0.379499, 0.411185, 0.485818, 0.587804, 0.810377, 0.926907, 1.05869, 1.36907, 1.52423, 1.59402, 1.71558, 1.79002, 1.95035, 2.18899, 2.49991");
-            values ( \
-              "0.386817, 0.389907, 0.393673, 0.387112, 0.369006, 0.32423, 0.296783, 0.252103, 0.135498, 0.0909409, 0.0749967, 0.053227, 0.0429571, 0.0268843, 0.0131612, 0.00530815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.499238, 0.505158, 0.514416, 0.523897, 0.531984, 0.53959, 0.545489, 0.552461, 0.559165, 0.563883, 0.574918, 0.587456, 0.600071, 0.623173, 0.635258, 0.650951, 0.682826, 0.702534");
-            values ( \
-              "0.0333312, 0.0360438, 0.0600904, 0.0769172, 0.0846486, 0.0873337, 0.0852459, 0.0801467, 0.0773309, 0.0729217, 0.0469043, 0.0256107, 0.0215126, 0.00873097, 0.00497034, 0.00246721, 0.000638304, 0.00052219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.502496, 0.510302, 0.51951, 0.53105, 0.539381, 0.544554, 0.550704, 0.560375, 0.570553, 0.580272, 0.595531, 0.605474, 0.618684, 0.624021, 0.659567, 0.681867, 0.698038, 0.720202, 0.776344");
-            values ( \
-              "0.0716881, 0.0775406, 0.111933, 0.139138, 0.149371, 0.152555, 0.153324, 0.148041, 0.139647, 0.12905, 0.084687, 0.0623186, 0.0386693, 0.0343689, 0.0148529, 0.00699822, 0.00409504, 0.00182947, 0.000261372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.506612, 0.518538, 0.528253, 0.535067, 0.542008, 0.548221, 0.550134, 0.553961, 0.558227, 0.562254, 0.56641, 0.572424, 0.584437, 0.602229, 0.617256, 0.630234, 0.640601, 0.657084, 0.674635, 0.681448, 0.687414, 0.696727, 0.711208, 0.729352, 0.751872, 0.767737, 0.782697, 0.807261, 0.841944, 0.886898");
-            values ( \
-              "0.12634, 0.147397, 0.186483, 0.205351, 0.21909, 0.226768, 0.228202, 0.230576, 0.232078, 0.23267, 0.23233, 0.23031, 0.221873, 0.205881, 0.184021, 0.150151, 0.126386, 0.0934303, 0.0649587, 0.0556699, 0.0490898, 0.0416391, 0.033039, 0.023972, 0.0152442, 0.0108342, 0.00769148, 0.0043251, 0.00179106, 0.000564653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.506644, 0.528037, 0.53874, 0.548548, 0.557377, 0.567383, 0.577775, 0.591278, 0.615768, 0.646267, 0.668641, 0.682616, 0.749793, 0.790905, 0.830702, 0.857625, 0.890715, 0.920485, 0.957736, 0.990265, 1.05831");
-            values ( \
-              "0.116439, 0.225331, 0.263663, 0.285649, 0.297179, 0.303835, 0.305627, 0.302982, 0.291857, 0.273575, 0.257302, 0.242101, 0.14255, 0.0926574, 0.0573731, 0.042561, 0.0295578, 0.021022, 0.0133895, 0.00878613, 0.00416078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.515869, 0.543163, 0.551811, 0.562747, 0.576915, 0.592534, 0.612966, 0.628491, 0.67679, 0.754239, 0.81254, 0.85628, 0.988834, 1.0334, 1.07165, 1.11684, 1.16884, 1.186, 1.23101, 1.28244, 1.3318, 1.40284, 1.47845, 1.56278, 1.64711, 1.81577");
-            values ( \
-              "0.285155, 0.309845, 0.330129, 0.346253, 0.35632, 0.359098, 0.357031, 0.354062, 0.340231, 0.311384, 0.28498, 0.25504, 0.150125, 0.119732, 0.0971565, 0.0745617, 0.0544977, 0.0494013, 0.0379173, 0.0278565, 0.0206002, 0.0131859, 0.00803504, 0.00458843, 0.00257213, 0.000800396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.521414, 0.570095, 0.581542, 0.598381, 0.622009, 0.6492, 0.680703, 0.725852, 0.778421, 1.01581, 1.07112, 1.13234, 1.21667, 1.26413, 1.45498, 1.57451, 1.65884, 1.72966, 1.79945, 1.88379, 1.92101, 1.99546, 2.07146, 2.15579, 2.25494, 2.39441, 2.56307, 2.73174, 2.98473, 3.23773");
-            values ( \
-              "0.365133, 0.379552, 0.387487, 0.392204, 0.393618, 0.392097, 0.388571, 0.381466, 0.371795, 0.324181, 0.312003, 0.296733, 0.269269, 0.252055, 0.17773, 0.13546, 0.109722, 0.0909755, 0.0749626, 0.0590895, 0.053195, 0.0429891, 0.034505, 0.0269148, 0.0200471, 0.0131376, 0.00781318, 0.00461907, 0.00207258, 0.000939854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.911685, 0.918806, 0.936974, 0.947169, 0.950853, 0.958221, 0.959816, 0.965471, 0.972828, 0.978567, 0.983387, 0.994494, 1.00809, 1.01538, 1.02122, 1.03111, 1.04304, 1.05615, 1.07838, 1.11473, 1.11723");
-            values ( \
-              "0.0127019, 0.0241292, 0.0640907, 0.0782242, 0.0811203, 0.084947, 0.0851092, 0.0833238, 0.0781845, 0.0765618, 0.0725329, 0.0465764, 0.02453, 0.0231332, 0.020663, 0.0145796, 0.00878513, 0.00478714, 0.00185722, 0.000493048, 0.000479725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.915471, 0.922304, 0.937562, 0.948204, 0.95305, 0.960227, 0.963483, 0.970041, 0.979864, 0.987826, 0.990147, 0.994789, 1.00109, 1.01437, 1.02019, 1.03334, 1.04017, 1.07938, 1.09343, 1.10131, 1.11709, 1.14078, 1.16741, 1.19746");
-            values ( \
-              "0.0365339, 0.0490987, 0.103495, 0.130323, 0.138585, 0.14677, 0.148679, 0.150726, 0.146456, 0.140774, 0.138137, 0.136632, 0.124262, 0.0866755, 0.0727343, 0.0473064, 0.0369106, 0.0146292, 0.00917012, 0.00721432, 0.00401865, 0.00192444, 0.000639978, 0.000412232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.91921, 0.927483, 0.936209, 0.94191, 0.946645, 0.953752, 0.963058, 0.97187, 0.977613, 0.9891, 0.997291, 1.02381, 1.02794, 1.03293, 1.05817, 1.07177, 1.08521, 1.09496, 1.10648, 1.11571, 1.12735, 1.13725, 1.1498, 1.16461, 1.18493, 1.21592, 1.24789, 1.29976");
-            values ( \
-              "0.0679736, 0.0898343, 0.134119, 0.159231, 0.176651, 0.198021, 0.216755, 0.22648, 0.229234, 0.229882, 0.226081, 0.204189, 0.199007, 0.190283, 0.130587, 0.102712, 0.0788112, 0.0637304, 0.0493174, 0.0421643, 0.034773, 0.0294655, 0.0236932, 0.0174532, 0.0112457, 0.00546535, 0.00277015, 0.000758542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.923222, 0.934415, 0.946363, 0.952611, 0.959794, 0.964616, 0.971046, 0.979279, 0.98669, 0.998877, 1.01075, 1.0281, 1.03526, 1.04768, 1.06571, 1.08815, 1.10213, 1.11853, 1.15497, 1.18503, 1.21048, 1.22713, 1.23856, 1.25306, 1.27245, 1.28482, 1.30132, 1.33253, 1.35203, 1.38035, 1.41811, 1.4625, 1.51734, 1.58519");
-            values ( \
-              "0.111337, 0.148958, 0.21375, 0.239031, 0.262427, 0.274238, 0.285908, 0.296375, 0.301163, 0.3042, 0.301732, 0.295059, 0.291357, 0.284651, 0.273573, 0.257301, 0.24211, 0.218404, 0.162929, 0.121863, 0.0925921, 0.0761562, 0.0661523, 0.0555769, 0.0448094, 0.0392014, 0.0327067, 0.022911, 0.0181942, 0.0128657, 0.00791799, 0.00438212, 0.00204024, 0.00076719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.933218, 0.956963, 0.968509, 0.986907, 1.00085, 1.01696, 1.04686, 1.08593, 1.11917, 1.17766, 1.22658, 1.26664, 1.39359, 1.47661, 1.52523, 1.55552, 1.60443, 1.65913, 1.72377, 1.79487, 1.85105, 1.93092, 2.01525, 2.05605");
-            values ( \
-              "0.269902, 0.284617, 0.319305, 0.34807, 0.356012, 0.358203, 0.35444, 0.343794, 0.332565, 0.309971, 0.287648, 0.261989, 0.161193, 0.105382, 0.0797569, 0.0664674, 0.0498079, 0.0360948, 0.0243702, 0.0156879, 0.0109496, 0.00646766, 0.00366413, 0.00317791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.943635, 1.03604, 1.09289, 1.17996, 1.43522, 1.51955, 1.6121, 1.99451, 2.14792, 2.21816, 2.34041, 2.41626, 2.5761, 2.67398, 2.8126, 2.98126, 3.14993, 3.2243");
-            values ( \
-              "0.391218, 0.393302, 0.389653, 0.375299, 0.324217, 0.305061, 0.277631, 0.135268, 0.091262, 0.0751138, 0.0531966, 0.0428155, 0.0268429, 0.0200674, 0.0131844, 0.00784021, 0.00463386, 0.00409089" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0030255, 0.00302557, 0.00302564, 0.0030257, 0.00302573, 0.00302575", \
-            "0.00362227, 0.00362228, 0.00362231, 0.00362234, 0.00362236, 0.00362237", \
-            "0.00398426, 0.00398425, 0.00398425, 0.00398426, 0.00398427, 0.00398428", \
-            "0.00419763, 0.00419762, 0.00419762, 0.00419762, 0.00419762, 0.00419762", \
-            "0.00431069, 0.00431069, 0.00431069, 0.00431068, 0.00431068, 0.00431068", \
-            "0.0043728, 0.00437279, 0.00437279, 0.00437279, 0.00437278, 0.00437278" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00435504, 0.00435498, 0.00435496, 0.00435496, 0.00435497, 0.00435497", \
-            "0.00482469, 0.00482462, 0.00482455, 0.00482452, 0.0048245, 0.0048245", \
-            "0.00506798, 0.00506791, 0.00506781, 0.00506772, 0.00506766, 0.00506763", \
-            "0.00530191, 0.00530251, 0.0053018, 0.00530157, 0.00530142, 0.00530133", \
-            "0.00565649, 0.00565661, 0.00565677, 0.00565691, 0.00565697, 0.00565697", \
-            "0.00652834, 0.00652548, 0.00651815, 0.00651292, 0.0065106, 0.00650976" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.159592, 0.172556, 0.198526, 0.252465, 0.369153, 0.626903", \
-            "0.165688, 0.17865, 0.20463, 0.258573, 0.375265, 0.633023", \
-            "0.180222, 0.193179, 0.21915, 0.273094, 0.389786, 0.647545", \
-            "0.212042, 0.225006, 0.250951, 0.304896, 0.421614, 0.679375", \
-            "0.266415, 0.279351, 0.305357, 0.35938, 0.476116, 0.733899", \
-            "0.352219, 0.365215, 0.391246, 0.445285, 0.562059, 0.819878" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0490939, 0.0626694, 0.0948609, 0.169324, 0.338585, 0.719483", \
-            "0.0490824, 0.0626653, 0.0948581, 0.169332, 0.338585, 0.71948", \
-            "0.0490859, 0.062668, 0.0948567, 0.169332, 0.338583, 0.71948", \
-            "0.0491463, 0.0627526, 0.0948922, 0.169332, 0.338582, 0.719473", \
-            "0.0496108, 0.0630763, 0.095126, 0.169484, 0.338602, 0.719474", \
-            "0.0507119, 0.0640122, 0.0956929, 0.169673, 0.338544, 0.719476" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.123138, 0.138692, 0.144698, 0.149131, 0.149785, 0.156639, 0.163844, 0.171149, 0.174862, 0.185308, 0.187394, 0.198064, 0.211569, 0.214711, 0.219065, 0.22685, 0.23649, 0.245027, 0.256062, 0.258524, 0.263447, 0.28914, 0.307384, 0.317639");
-            values ( \
-              "0.00166319, 0.0652788, 0.0783121, 0.0843926, 0.0843615, 0.0903125, 0.0918227, 0.0878237, 0.0836342, 0.0764974, 0.0733696, 0.048066, 0.0251245, 0.0233586, 0.0232608, 0.0198366, 0.0135348, 0.00922043, 0.00541239, 0.00525588, 0.00379789, 0.00109947, 0.000891899, 0.000518746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.128174, 0.134106, 0.138983, 0.14535, 0.149676, 0.155906, 0.165423, 0.174661, 0.184043, 0.185524, 0.20002, 0.204748, 0.218616, 0.22636, 0.238551, 0.243246, 0.244457, 0.246877, 0.250593, 0.257638, 0.2653, 0.267586, 0.272158, 0.280239, 0.290683, 0.295197, 0.29652, 0.299167, 0.30446, 0.312728, 0.35241, 0.379652, 0.410985");
-            values ( \
-              "0.0219267, 0.0767568, 0.10207, 0.12575, 0.137701, 0.149013, 0.158025, 0.158984, 0.152392, 0.150169, 0.136871, 0.125693, 0.0853214, 0.067119, 0.0438107, 0.0370872, 0.0368226, 0.0341705, 0.032842, 0.0282431, 0.0246425, 0.0224338, 0.0205365, 0.0153855, 0.0116354, 0.00925971, 0.00963086, 0.00806295, 0.00745301, 0.00495445, 0.00101115, 0.000972159, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.128196, 0.137971, 0.144537, 0.153324, 0.163982, 0.174149, 0.18207, 0.193875, 0.199668, 0.229292, 0.239329, 0.271951, 0.298257, 0.314773, 0.351823, 0.366748, 0.390373, 0.43638, 0.437157");
-            values ( \
-              "0.00419549, 0.129417, 0.16823, 0.202005, 0.226221, 0.236928, 0.238588, 0.236608, 0.232958, 0.204045, 0.184724, 0.108199, 0.0633925, 0.0445776, 0.0240426, 0.0179323, 0.0108399, 0.0036862, 0.00365575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.137666, 0.153162, 0.162952, 0.172486, 0.180369, 0.190937, 0.208553, 0.227935, 0.248731, 0.291285, 0.32172, 0.374102, 0.413311, 0.450867, 0.4807, 0.5251, 0.554738, 0.600351, 0.687725, 0.702476");
-            values ( \
-              "0.225605, 0.244204, 0.277206, 0.296588, 0.304966, 0.311278, 0.309972, 0.301077, 0.288049, 0.257468, 0.218505, 0.139774, 0.0925864, 0.0589407, 0.0422273, 0.025695, 0.0180667, 0.0101354, 0.00295241, 0.00264726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.141557, 0.167326, 0.173721, 0.190925, 0.209953, 0.238383, 0.31404, 0.406446, 0.435304, 0.479241, 0.587022, 0.643697, 0.6947, 0.748676, 0.809318, 0.890734, 0.95325, 1.04516, 1.20031, 1.36872, 1.45292");
-            values ( \
-              "0.30778, 0.322664, 0.337913, 0.358834, 0.36466, 0.360255, 0.335294, 0.298373, 0.284241, 0.254873, 0.168108, 0.127477, 0.0967059, 0.0703226, 0.0490522, 0.030157, 0.020492, 0.0113223, 0.00384676, 0.00100538, 0.00105341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.150137, 0.150157, 0.398477, 0.638364, 0.754975, 0.886848, 1.05201, 1.19745, 1.353, 1.5069, 1.61848, 1.77873, 1.93341, 2.09831, 2.26672, 2.60353, 2.85614");
-            values ( \
-              "1e-22, 0.413355, 0.37234, 0.32431, 0.296899, 0.252255, 0.187038, 0.135755, 0.0904803, 0.0586877, 0.0426128, 0.0265493, 0.0165833, 0.00986594, 0.00569562, 0.00172645, 0.0013298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.143801, 0.155889, 0.159302, 0.163559, 0.169149, 0.176661, 0.183871, 0.191168, 0.19807, 0.198995, 0.200844, 0.20403, 0.207395, 0.215708, 0.221661, 0.22951, 0.233137, 0.239037, 0.24541, 0.259976, 0.269073, 0.279106, 0.300508, 0.337684, 0.382098, 0.409843");
-            values ( \
-              "0.000974535, 0.0565362, 0.0668105, 0.0761713, 0.0842293, 0.0901629, 0.0916945, 0.0876806, 0.0806879, 0.0807472, 0.0787283, 0.07905, 0.0734015, 0.0533407, 0.0412701, 0.027865, 0.0239587, 0.0231661, 0.020475, 0.0117624, 0.00773886, 0.00479487, 0.00175863, 0.000387124, 5.42834e-05, 0.000196896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.148498, 0.154165, 0.15903, 0.16539, 0.169714, 0.17594, 0.185456, 0.194693, 0.204073, 0.22005, 0.246413, 0.258595, 0.263277, 0.266889, 0.300198, 0.3152, 0.333111, 0.373167, 0.43196");
-            values ( \
-              "0.0261603, 0.0771586, 0.102345, 0.125961, 0.137655, 0.14894, 0.157932, 0.158882, 0.152286, 0.136755, 0.0671855, 0.0439032, 0.0372039, 0.0342994, 0.0155375, 0.00938543, 0.00500701, 0.00109571, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.148612, 0.158078, 0.162054, 0.168999, 0.180026, 0.184492, 0.188345, 0.194206, 0.202163, 0.215902, 0.22455, 0.245121, 0.250987, 0.295381, 0.321216, 0.327248, 0.337331, 0.354385, 0.381728, 0.396829, 0.417391, 0.443117, 0.47426, 0.475768");
-            values ( \
-              "0.00934275, 0.128568, 0.154887, 0.187025, 0.218805, 0.226127, 0.231885, 0.236174, 0.239352, 0.235487, 0.228879, 0.208971, 0.201727, 0.101591, 0.0592984, 0.0517913, 0.0428155, 0.032656, 0.0198848, 0.0145669, 0.00927968, 0.00509013, 0.00237351, 0.00231684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.154472, 0.168192, 0.174631, 0.181247, 0.186879, 0.191262, 0.195539, 0.203445, 0.211001, 0.222777, 0.234446, 0.239824, 0.244941, 0.248005, 0.251285, 0.254375, 0.258199, 0.258645, 0.259536, 0.261317, 0.263995, 0.296519, 0.311356, 0.325225, 0.341791, 0.377999, 0.408413, 0.439951, 0.455038, 0.468253, 0.491065, 0.499128, 0.500723, 0.503913, 0.510293, 0.538666, 0.576367, 0.629454, 0.670555, 0.71958, 0.740503");
-            values ( \
-              "0.138794, 0.219973, 0.249934, 0.272268, 0.286271, 0.29444, 0.299928, 0.307836, 0.310463, 0.311293, 0.307669, 0.304669, 0.302623, 0.300396, 0.299136, 0.296822, 0.295052, 0.294246, 0.294181, 0.292527, 0.291239, 0.268356, 0.25689, 0.242012, 0.217931, 0.162875, 0.121379, 0.0859991, 0.0717176, 0.061422, 0.0468971, 0.0435342, 0.0423264, 0.0413094, 0.0381412, 0.02782, 0.0178482, 0.00911044, 0.0056309, 0.0025747, 0.00222144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.159944, 0.200255, 0.211191, 0.229955, 0.260204, 0.334512, 0.426705, 0.455562, 0.49965, 0.607278, 0.663952, 0.714959, 0.768936, 0.829576, 0.897896, 0.976936, 1.08636, 1.24724, 1.29161");
-            values ( \
-              "0.269324, 0.347475, 0.358871, 0.364628, 0.35982, 0.335182, 0.298335, 0.284277, 0.254724, 0.168149, 0.127519, 0.0967469, 0.0703636, 0.0490948, 0.0327222, 0.0201019, 0.00988816, 0.00320389, 0.00279644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.168952, 0.168972, 0.336, 0.375836, 0.477321, 0.658949, 0.775669, 0.907305, 1.07262, 1.15104, 1.21864, 1.30285, 1.37246, 1.44259, 1.5268, 1.64046, 1.71602, 1.80022, 1.85755, 1.87115, 1.89836, 1.95279, 2.1168, 2.28521, 2.36941, 2.45361, 2.53782, 2.62202, 2.70622, 2.79043, 2.87463");
-            values ( \
-              "1e-22, 0.404808, 0.387127, 0.380327, 0.361008, 0.324299, 0.296687, 0.252212, 0.187107, 0.158001, 0.135503, 0.109235, 0.0908251, 0.0753586, 0.0588671, 0.0425027, 0.0347298, 0.0265395, 0.0229781, 0.0214386, 0.0203773, 0.0167096, 0.00999678, 0.00579991, 0.00502048, 0.00329212, 0.00308762, 0.00180518, 0.00194465, 0.000928032, 0.00127124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.192468, 0.196832, 0.200886, 0.206077, 0.214519, 0.222022, 0.229248, 0.236534, 0.243317, 0.24436, 0.246446, 0.250618, 0.256312, 0.260974, 0.27024, 0.278283, 0.28236, 0.288471, 0.308059, 0.318653, 0.323125, 0.324365, 0.326845, 0.331805, 0.349081, 0.385727, 0.407099, 0.431319, 0.459482");
-            values ( \
-              "0.0186181, 0.0415209, 0.055972, 0.0702856, 0.0843254, 0.090215, 0.0917376, 0.0877581, 0.0807464, 0.080852, 0.0785621, 0.0781687, 0.0657267, 0.052735, 0.0356866, 0.0238078, 0.0234897, 0.0216703, 0.0103539, 0.00625414, 0.00540877, 0.00474188, 0.0045909, 0.00331588, 0.00147184, 0.000271615, 0.000457375, 1e-22, 0.000272903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.192421, 0.199467, 0.208085, 0.210704, 0.218424, 0.226257, 0.235462, 0.240014, 0.248654, 0.258372, 0.259334, 0.261259, 0.265005, 0.269283, 0.283335, 0.291162, 0.303694, 0.308588, 0.312506, 0.343173, 0.359669, 0.365757, 0.387621, 0.41093, 0.436846, 0.46684");
-            values ( \
-              "0.00582047, 0.0772988, 0.117416, 0.126325, 0.14431, 0.154737, 0.15929, 0.158348, 0.153009, 0.143806, 0.14153, 0.141209, 0.136889, 0.128409, 0.0872956, 0.0686175, 0.0444943, 0.0373872, 0.0342732, 0.0168901, 0.00982699, 0.00798722, 0.00373455, 0.00186021, 0.000636227, 0.000427209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.192509, 0.206598, 0.214187, 0.224901, 0.229609, 0.233666, 0.239545, 0.247486, 0.261223, 0.272223, 0.281659, 0.296061, 0.302762, 0.327596, 0.341741, 0.356829, 0.366229, 0.371545, 0.381969, 0.399704, 0.413797, 0.428143, 0.442247, 0.461163, 0.486384, 0.516981, 0.55741, 0.613288");
-            values ( \
-              "0.00625037, 0.149873, 0.186218, 0.217654, 0.225896, 0.231757, 0.236245, 0.239263, 0.235444, 0.22669, 0.217919, 0.202119, 0.18926, 0.129114, 0.0997324, 0.0739029, 0.059764, 0.0529703, 0.0433068, 0.0326736, 0.0256147, 0.0194691, 0.0145537, 0.00962593, 0.00533188, 0.00254726, 0.000887438, 0.000197443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.20178, 0.213068, 0.220791, 0.232145, 0.238198, 0.246281, 0.256278, 0.27389, 0.293237, 0.315918, 0.356627, 0.375614, 0.43846, 0.478233, 0.494673, 0.519517, 0.545296, 0.574597, 0.61757, 0.667974, 0.758473, 0.774964");
-            values ( \
-              "0.203943, 0.217875, 0.253274, 0.285636, 0.297041, 0.30555, 0.31115, 0.309858, 0.300994, 0.286685, 0.257414, 0.234917, 0.141214, 0.0931105, 0.0768083, 0.0567343, 0.0426332, 0.03088, 0.0187005, 0.00992793, 0.00279336, 0.00248435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.205935, 0.227491, 0.235986, 0.245072, 0.256451, 0.270551, 0.28075, 0.305716, 0.376889, 0.446138, 0.471959, 0.50081, 0.544728, 0.652528, 0.709204, 0.760206, 0.814182, 0.874824, 0.904135, 0.956232, 1.01876, 1.10985, 1.18081, 1.26501, 1.43342, 1.51763");
-            values ( \
-              "0.289636, 0.307499, 0.331098, 0.347351, 0.358311, 0.364239, 0.364387, 0.35967, 0.336128, 0.309088, 0.298256, 0.284354, 0.254774, 0.168217, 0.127584, 0.0968136, 0.07043, 0.0491593, 0.0417526, 0.0302648, 0.0205989, 0.0114922, 0.00756053, 0.00397651, 0.00111968, 0.000950602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.214649, 0.214669, 0.381735, 0.420956, 0.523176, 0.704235, 0.820956, 0.952593, 1.11791, 1.19633, 1.26393, 1.34813, 1.41774, 1.48788, 1.57209, 1.68575, 1.7613, 1.84551, 1.91644, 1.99807, 2.16648, 2.33489, 2.5033, 2.6717, 2.92431");
-            values ( \
-              "1e-22, 0.406842, 0.386983, 0.380284, 0.360824, 0.324225, 0.296614, 0.252141, 0.187176, 0.15807, 0.135435, 0.109303, 0.0908927, 0.075291, 0.0589345, 0.04257, 0.0346627, 0.0266066, 0.0215049, 0.0167757, 0.00992267, 0.00578167, 0.00330782, 0.00184118, 0.00119255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.290893, 0.296425, 0.305819, 0.310724, 0.3143, 0.321843, 0.327539, 0.332175, 0.345996, 0.349216, 0.353662, 0.365541, 0.374573, 0.378233, 0.384252, 0.388073, 0.404592, 0.413535, 0.423281, 0.435731, 0.462147, 0.501792, 0.552046");
-            values ( \
-              "0.00719381, 0.0401995, 0.0693996, 0.0789956, 0.0836707, 0.0897278, 0.0913705, 0.0903384, 0.0788797, 0.0788849, 0.0710204, 0.0437183, 0.0281528, 0.0239523, 0.0231193, 0.0215544, 0.0121487, 0.00809586, 0.00511565, 0.00290317, 0.000966659, 0.000279852, 7.33932e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.292364, 0.299326, 0.304263, 0.308051, 0.315004, 0.321274, 0.326287, 0.330811, 0.335505, 0.340061, 0.34865, 0.359411, 0.369144, 0.376653, 0.384072, 0.392029, 0.405847, 0.408729, 0.413428, 0.419026, 0.441624, 0.448279, 0.458291, 0.469531, 0.484518, 0.505514, 0.55916, 0.592386");
-            values ( \
-              "0.00843361, 0.0756845, 0.101235, 0.116902, 0.136819, 0.148283, 0.154165, 0.157432, 0.158834, 0.158471, 0.152699, 0.142302, 0.13012, 0.106285, 0.0856121, 0.0669814, 0.0410842, 0.0375731, 0.0337153, 0.0309265, 0.0178217, 0.0146101, 0.0103746, 0.00707281, 0.00434542, 0.00201333, 0.000308319, 0.000230232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.296267, 0.305611, 0.30968, 0.318516, 0.324164, 0.333483, 0.33931, 0.34732, 0.358872, 0.365436, 0.381677, 0.395788, 0.402529, 0.436966, 0.463522, 0.46948, 0.480259, 0.499512, 0.513433, 0.538879, 0.561278, 0.58652, 0.61697, 0.622721");
-            values ( \
-              "0.0659931, 0.143725, 0.166471, 0.200765, 0.21562, 0.231136, 0.236157, 0.238838, 0.236194, 0.232047, 0.217463, 0.202308, 0.189456, 0.108689, 0.0634083, 0.055258, 0.0444336, 0.0326877, 0.0257044, 0.0155466, 0.00958176, 0.00528167, 0.00255352, 0.00231372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.300528, 0.308326, 0.319941, 0.327607, 0.332016, 0.337975, 0.345391, 0.356176, 0.368003, 0.379622, 0.399339, 0.43349, 0.456526, 0.470405, 0.486965, 0.525665, 0.556298, 0.580068, 0.599425, 0.614173, 0.636777, 0.664199, 0.693853, 0.713685, 0.733665, 0.760305, 0.799313, 0.844814, 0.900742, 0.973667");
-            values ( \
-              "0.184286, 0.189465, 0.24945, 0.27476, 0.285416, 0.296267, 0.304892, 0.310774, 0.310943, 0.307342, 0.297245, 0.27445, 0.257188, 0.241719, 0.218215, 0.159299, 0.11826, 0.0913723, 0.0726058, 0.0606947, 0.0468194, 0.0347761, 0.025043, 0.0197382, 0.0155166, 0.0112368, 0.00668388, 0.00371103, 0.00161921, 0.000630213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.306948, 0.3328, 0.338885, 0.346702, 0.356419, 0.370007, 0.375276, 0.385157, 0.40542, 0.447187, 0.479715, 0.546128, 0.600804, 0.644357, 0.752524, 0.809205, 0.850434, 0.879725, 0.914169, 0.960157, 0.974815, 1.00413, 1.04314, 1.09928, 1.12217, 1.16796, 1.23105, 1.30715, 1.39136, 1.47556, 1.64397");
-            values ( \
-              "0.305793, 0.322576, 0.336632, 0.349035, 0.358374, 0.363741, 0.364269, 0.36365, 0.359527, 0.346135, 0.334927, 0.309281, 0.28455, 0.254848, 0.168414, 0.12778, 0.102527, 0.0867992, 0.0706354, 0.0537203, 0.0493632, 0.0415476, 0.0329893, 0.0234351, 0.0203692, 0.0152848, 0.010192, 0.00616895, 0.00349795, 0.00197312, 0.000622438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.310736, 0.372641, 0.397349, 0.471214, 0.564326, 0.79442, 0.920415, 1.00462, 1.36288, 1.51844, 1.58813, 1.70952, 1.85994, 1.94415, 2.18305, 2.49834");
-            values ( \
-              "0.367349, 0.394761, 0.397416, 0.388275, 0.371936, 0.325934, 0.296397, 0.269265, 0.135346, 0.0908864, 0.074861, 0.0531497, 0.0344733, 0.0269588, 0.0131002, 0.00513488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.492332, 0.504753, 0.508782, 0.513414, 0.519124, 0.526823, 0.534154, 0.541536, 0.548566, 0.549425, 0.550201, 0.551754, 0.553893, 0.555826, 0.557882, 0.56664, 0.570464, 0.580148, 0.583747, 0.58956, 0.592954, 0.597011, 0.608442, 0.615796, 0.627183, 0.633196, 0.641213, 0.65343, 0.671563, 0.69037, 0.69493");
-            values ( \
-              "0.00146802, 0.0513029, 0.0625446, 0.0729753, 0.0817073, 0.0884577, 0.090499, 0.0868568, 0.0800891, 0.080361, 0.0791115, 0.0784558, 0.0787081, 0.0763196, 0.0732658, 0.0522928, 0.0444323, 0.0276987, 0.0238678, 0.0230891, 0.0218337, 0.0197704, 0.0129427, 0.00932415, 0.00545707, 0.00412951, 0.00292377, 0.0016779, 0.000878461, 0.000437595, 0.000417464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.49736, 0.503031, 0.508378, 0.512587, 0.519616, 0.525999, 0.531116, 0.53568, 0.540437, 0.545004, 0.550182, 0.564435, 0.569133, 0.575226, 0.588755, 0.593571, 0.605374, 0.61309, 0.613757, 0.615093, 0.622108, 0.628844, 0.636483, 0.638191, 0.641606, 0.65856, 0.664872, 0.666869, 0.670863, 0.678851, 0.71543, 0.770869");
-            values ( \
-              "0.0346353, 0.0686073, 0.0950083, 0.112536, 0.133339, 0.145722, 0.151682, 0.155888, 0.157087, 0.157569, 0.155044, 0.141588, 0.138406, 0.125998, 0.0861874, 0.0744585, 0.0507426, 0.0378505, 0.0378308, 0.0359742, 0.03158, 0.0286016, 0.0235113, 0.0231014, 0.0204467, 0.0119607, 0.0102528, 0.00899055, 0.00843547, 0.00589164, 0.00147045, 1.08251e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.497357, 0.507142, 0.511395, 0.518782, 0.523282, 0.52969, 0.534447, 0.53848, 0.544307, 0.550185, 0.552431, 0.556925, 0.561542, 0.566213, 0.575555, 0.587196, 0.600717, 0.607614, 0.632632, 0.647407, 0.668679, 0.675187, 0.683865, 0.691988, 0.702865, 0.715339, 0.726765, 0.742028, 0.759414, 0.77905, 0.806208, 0.840246, 0.886536");
-            values ( \
-              "0.0159412, 0.117459, 0.145178, 0.180602, 0.195866, 0.213981, 0.222621, 0.229109, 0.233956, 0.237379, 0.237472, 0.237399, 0.236329, 0.234174, 0.227228, 0.216739, 0.202546, 0.189652, 0.129186, 0.0986234, 0.0634447, 0.0546794, 0.0458164, 0.040115, 0.0337193, 0.0272793, 0.0221137, 0.0162503, 0.0111822, 0.00718585, 0.00373947, 0.0016251, 0.000458959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.50316, 0.520175, 0.52297, 0.533101, 0.542681, 0.550066, 0.555776, 0.561178, 0.568093, 0.574135, 0.584698, 0.603892, 0.634413, 0.661658, 0.680542, 0.730645, 0.760679, 0.784725, 0.806096, 0.822727, 0.844665, 0.863927, 0.885001, 0.914478, 0.950607, 0.982248, 1.02403, 1.07247, 1.13522, 1.21942");
-            values ( \
-              "0.127554, 0.224184, 0.237716, 0.273318, 0.293839, 0.302563, 0.306474, 0.308851, 0.309959, 0.309552, 0.306614, 0.297196, 0.277069, 0.257132, 0.235322, 0.159555, 0.119159, 0.0918722, 0.0712935, 0.0581992, 0.0455245, 0.0369725, 0.0293099, 0.0208413, 0.0134516, 0.00901889, 0.00518494, 0.00268836, 0.00109083, 0.000308878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.511523, 0.537613, 0.543144, 0.55006, 0.561332, 0.568152, 0.574292, 0.57928, 0.585837, 0.595897, 0.603377, 0.614835, 0.652181, 0.682061, 0.751176, 0.776996, 0.805851, 0.849562, 0.95757, 0.98235, 1.01425, 1.05548, 1.08478, 1.11922, 1.16521, 1.17986, 1.20918, 1.24819, 1.30433, 1.32722, 1.37301, 1.43598, 1.5121, 1.5963, 1.6805, 1.84891");
-            values ( \
-              "0.303366, 0.319302, 0.333168, 0.344838, 0.356254, 0.360178, 0.36222, 0.362977, 0.363183, 0.36211, 0.360797, 0.357991, 0.346081, 0.335854, 0.309331, 0.298018, 0.284592, 0.254692, 0.168453, 0.149914, 0.12782, 0.102492, 0.0867622, 0.0706706, 0.0536842, 0.0493984, 0.0415124, 0.0330245, 0.0234, 0.0204042, 0.0152499, 0.0102347, 0.00613862, 0.00353515, 0.00193994, 0.000588383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.520597, 0.520617, 0.696947, 0.766278, 1.009, 1.0932, 1.186, 1.25734, 1.4488, 1.56868, 1.65288, 1.72249, 1.79263, 1.91472, 1.9905, 2.06605, 2.15026, 2.2484, 2.38702, 2.55543, 2.72384, 2.97645, 3.22906");
-            values ( \
-              "1e-22, 0.412842, 0.385046, 0.372516, 0.323977, 0.304906, 0.277447, 0.251897, 0.177489, 0.135189, 0.109548, 0.091139, 0.0750451, 0.0531854, 0.0428163, 0.0344163, 0.0268531, 0.0200642, 0.0131834, 0.00784713, 0.0046427, 0.00208836, 0.000945342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.908017, 0.915584, 0.921037, 0.925077, 0.93204, 0.936976, 0.941483, 0.945064, 0.952226, 0.952671, 0.953559, 0.957741, 0.968221, 0.974433, 0.97675, 0.986458, 0.990253, 0.999848, 1.00334, 1.00755, 1.00857, 1.01061, 1.01433, 1.01701, 1.02016, 1.02265, 1.02599, 1.03022, 1.03462, 1.03929, 1.04612, 1.04816, 1.05225, 1.06043, 1.07265, 1.09078, 1.10963, 1.13098, 1.15488, 1.18315");
-            values ( \
-              "0.00585021, 0.0288449, 0.0433943, 0.0539506, 0.0689893, 0.076397, 0.0819399, 0.0845648, 0.0878658, 0.0875519, 0.0879173, 0.0866103, 0.0790742, 0.0764895, 0.0722009, 0.0498045, 0.0423378, 0.0260525, 0.0240725, 0.0231159, 0.0233802, 0.0221719, 0.0209781, 0.0188341, 0.0175585, 0.0154281, 0.0140934, 0.0111514, 0.00973894, 0.00724339, 0.00582485, 0.0046683, 0.00447273, 0.00253623, 0.00202239, 0.000516559, 0.000792479, 1e-22, 0.000475073, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.907693, 0.924607, 0.937101, 0.949073, 0.958637, 0.963267, 0.971978, 0.992455, 1.01579, 1.02975, 1.03739, 1.07195, 1.10835, 1.13412");
-            values ( \
-              "0.00175055, 0.0831909, 0.124911, 0.147127, 0.154186, 0.154334, 0.150251, 0.130028, 0.0668236, 0.0408598, 0.0337554, 0.0145061, 0.00420063, 0.00233294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.913906, 0.923163, 0.931154, 0.936391, 0.940977, 0.947373, 0.954747, 0.960806, 0.96884, 0.970962, 0.975206, 0.983694, 0.987306, 0.999098, 1.0081, 1.02017, 1.02691, 1.04781, 1.06197, 1.07685, 1.08511, 1.09261, 1.10261, 1.11063, 1.12349, 1.13435, 1.14572, 1.16098, 1.17807, 1.19738, 1.21593, 1.24814, 1.29964");
-            values ( \
-              "0.0411592, 0.0998928, 0.144595, 0.168337, 0.185841, 0.204635, 0.220305, 0.228259, 0.233598, 0.234507, 0.234778, 0.23317, 0.231342, 0.222103, 0.214282, 0.201402, 0.188408, 0.137538, 0.106766, 0.0801293, 0.067137, 0.0564282, 0.0458983, 0.0402097, 0.0326827, 0.0271387, 0.022134, 0.0161551, 0.0111694, 0.00733647, 0.00463406, 0.00231568, 0.00064574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.920409, 0.935003, 0.944444, 0.953338, 0.958638, 0.965704, 0.976029, 0.987398, 0.997348, 1.00828, 1.02269, 1.04601, 1.08051, 1.09927, 1.14734, 1.17698, 1.20904, 1.22936, 1.25035, 1.2669, 1.28784, 1.30784, 1.33536, 1.36649, 1.39823, 1.43932, 1.48768, 1.54848, 1.63227");
-            values ( \
-              "0.140609, 0.19561, 0.24069, 0.270373, 0.282964, 0.294759, 0.304996, 0.308516, 0.307619, 0.303982, 0.296927, 0.281866, 0.256948, 0.235605, 0.162694, 0.122211, 0.0861641, 0.0676877, 0.0528215, 0.0440725, 0.0351413, 0.0280995, 0.0204542, 0.0140403, 0.00937269, 0.0054845, 0.00281469, 0.00121204, 0.000317022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.925057, 0.943686, 0.955772, 0.968726, 0.979921, 0.99346, 1.00353, 1.01993, 1.03347, 1.08141, 1.16994, 1.21576, 1.24149, 1.27655, 1.36362, 1.43578, 1.48396, 1.53684, 1.59854, 1.68274, 1.74277, 1.83245, 1.90745, 1.99166, 2.16007, 2.24427");
-            values ( \
-              "0.231822, 0.264526, 0.311467, 0.340634, 0.353885, 0.361259, 0.362461, 0.360838, 0.357854, 0.342696, 0.309471, 0.289393, 0.273874, 0.248623, 0.178102, 0.125793, 0.0968828, 0.0709666, 0.0492431, 0.0298349, 0.0206405, 0.0116577, 0.00733139, 0.00397256, 0.00117413, 0.000852704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.935131, 1.02414, 1.0975, 1.21771, 1.42761, 1.54432, 1.67596, 1.9873, 2.14111, 2.21125, 2.33334, 2.40912, 2.56888, 2.80564, 3.12986");
-            values ( \
-              "0.3792, 0.396411, 0.387939, 0.366249, 0.323987, 0.296375, 0.251899, 0.135187, 0.0911418, 0.0750435, 0.0531834, 0.0428181, 0.026855, 0.0131823, 0.00496086" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00302594, 0.00302601, 0.00302608, 0.00302614, 0.00302617, 0.00302619", \
-            "0.00362261, 0.00362262, 0.00362265, 0.00362268, 0.0036227, 0.00362271", \
-            "0.00398433, 0.00398433, 0.00398433, 0.00398434, 0.00398435, 0.00398436", \
-            "0.00419748, 0.00419746, 0.00419746, 0.00419746, 0.00419746, 0.00419747", \
-            "0.00431044, 0.00431044, 0.00431043, 0.00431043, 0.00431042, 0.00431042", \
-            "0.00437254, 0.00437254, 0.00437257, 0.00437257, 0.00437256, 0.00437252" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0043558, 0.00435575, 0.00435573, 0.00435573, 0.00435573, 0.00435574", \
-            "0.00482436, 0.00482429, 0.00482422, 0.00482419, 0.00482418, 0.00482418", \
-            "0.00506545, 0.00506538, 0.00506529, 0.0050652, 0.00506514, 0.00506511", \
-            "0.00529684, 0.00529724, 0.00529686, 0.00529671, 0.00529662, 0.00529654", \
-            "0.00565441, 0.00565453, 0.00565469, 0.00565482, 0.00565486, 0.00565487", \
-            "0.00654493, 0.00654265, 0.00653603, 0.00653002, 0.00652745, 0.0065264" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.165449, 0.178469, 0.20474, 0.259089, 0.375808, 0.633506", \
-            "0.171519, 0.18454, 0.210813, 0.265158, 0.381886, 0.639584", \
-            "0.186077, 0.199102, 0.225374, 0.279728, 0.39645, 0.654151", \
-            "0.21791, 0.230923, 0.257183, 0.311541, 0.428281, 0.68599", \
-            "0.272439, 0.28545, 0.311736, 0.366107, 0.482895, 0.740623", \
-            "0.358953, 0.372031, 0.398371, 0.452793, 0.569593, 0.827391" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0495435, 0.0631739, 0.0955064, 0.169701, 0.338363, 0.718971", \
-            "0.0495467, 0.0631765, 0.095509, 0.169705, 0.338363, 0.718971", \
-            "0.0495576, 0.0631792, 0.0955105, 0.169697, 0.338362, 0.718972", \
-            "0.0496025, 0.0632243, 0.0955439, 0.169708, 0.338333, 0.718971", \
-            "0.0500817, 0.0636113, 0.0957973, 0.169802, 0.338409, 0.718966", \
-            "0.0511733, 0.0645044, 0.0963378, 0.170006, 0.338425, 0.718965" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.128503, 0.138149, 0.142514, 0.150397, 0.160884, 0.162215, 0.164875, 0.169526, 0.175934, 0.182843, 0.184801, 0.193245, 0.203809, 0.216344, 0.219647, 0.226062, 0.232425, 0.246444, 0.257774, 0.271626, 0.292117, 0.334434, 0.358563");
-            values ( \
-              "0.00844262, 0.0444296, 0.0565798, 0.0743648, 0.0879352, 0.0880702, 0.0899799, 0.0900957, 0.0877106, 0.0810563, 0.080542, 0.0744322, 0.0481719, 0.0265375, 0.023755, 0.0229032, 0.0198773, 0.0113897, 0.00678414, 0.00357474, 0.00137595, 0.00017535, 0.000246882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.131666, 0.137961, 0.143562, 0.150532, 0.156214, 0.161532, 0.166753, 0.171202, 0.178028, 0.180547, 0.185193, 0.19002, 0.199389, 0.201355, 0.203976, 0.20727, 0.210872, 0.216508, 0.224759, 0.233203, 0.247424, 0.252792, 0.25798, 0.264411, 0.272168, 0.27333, 0.275655, 0.280306, 0.297299, 0.301065, 0.308597, 0.323661, 0.34807, 0.405644");
-            values ( \
-              "0.027431, 0.065556, 0.0913217, 0.118214, 0.134427, 0.145736, 0.152045, 0.155931, 0.157157, 0.157284, 0.154424, 0.150797, 0.141065, 0.139693, 0.138822, 0.133754, 0.125927, 0.108612, 0.0856301, 0.0660465, 0.0394357, 0.0345337, 0.0321485, 0.0283064, 0.0242686, 0.0230447, 0.0221812, 0.0189091, 0.0108264, 0.0100419, 0.00723902, 0.00467101, 0.0015108, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.1352, 0.14951, 0.158746, 0.164829, 0.173954, 0.181185, 0.187928, 0.201954, 0.205448, 0.233788, 0.238501, 0.245844, 0.268346, 0.284993, 0.309192, 0.315789, 0.324959, 0.3365, 0.357558, 0.37192, 0.394808, 0.411739, 0.437455, 0.472144, 0.517396");
-            values ( \
-              "0.0715818, 0.155398, 0.195262, 0.213209, 0.228911, 0.234385, 0.236853, 0.232633, 0.230351, 0.205125, 0.199185, 0.184255, 0.130163, 0.0960193, 0.0573788, 0.0496117, 0.0421119, 0.0349376, 0.0242032, 0.0181789, 0.011088, 0.00758625, 0.00402789, 0.00171681, 0.000453532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.13978, 0.170437, 0.176615, 0.184853, 0.196908, 0.208872, 0.220649, 0.245041, 0.272406, 0.297827, 0.328168, 0.378419, 0.414564, 0.44003, 0.477523, 0.504681, 0.554426, 0.599959, 0.63948, 0.685848, 0.742662, 0.816944");
-            values ( \
-              "0.157497, 0.277691, 0.290401, 0.300613, 0.307994, 0.307302, 0.304179, 0.293076, 0.276103, 0.257613, 0.219068, 0.143147, 0.0982891, 0.0728742, 0.0466549, 0.0346624, 0.0194949, 0.0115895, 0.00649796, 0.00389588, 0.00136172, 0.000817814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.143879, 0.162378, 0.167896, 0.180235, 0.189161, 0.197095, 0.212245, 0.227409, 0.255117, 0.306619, 0.383982, 0.424172, 0.470052, 0.60468, 0.667681, 0.700516, 0.733113, 0.763744, 0.804535, 0.837962, 0.879425, 0.937518, 0.996318, 1.09961, 1.18394, 1.26827, 1.43694");
-            values ( \
-              "0.245588, 0.279878, 0.302628, 0.335014, 0.347514, 0.354881, 0.359561, 0.359872, 0.355686, 0.340162, 0.311568, 0.294275, 0.266863, 0.159903, 0.116403, 0.097188, 0.0804099, 0.067339, 0.0524113, 0.0430673, 0.034143, 0.0235537, 0.0163026, 0.00827186, 0.00509298, 0.0024959, 0.000618484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.153112, 0.21429, 0.250877, 0.338917, 0.435304, 0.621394, 0.76169, 0.846021, 1.20388, 1.35904, 1.42883, 1.55039, 1.70083, 1.84297, 2.02378, 2.36111, 2.39633");
-            values ( \
-              "0.3877, 0.389974, 0.394492, 0.384387, 0.366861, 0.329407, 0.296491, 0.269518, 0.135199, 0.0912339, 0.0747038, 0.0529353, 0.0342457, 0.0224215, 0.0128796, 0.00436083, 0.00410467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.151342, 0.15817, 0.16545, 0.174482, 0.182212, 0.189524, 0.19692, 0.202933, 0.208532, 0.213246, 0.22406, 0.236247, 0.239587, 0.243848, 0.244642, 0.249404, 0.261822, 0.276158, 0.283253, 0.294501, 0.321251, 0.365251, 0.390428");
-            values ( \
-              "0.0327098, 0.0441125, 0.0633972, 0.0807634, 0.0884944, 0.0905253, 0.0867756, 0.0814014, 0.0783003, 0.0741263, 0.0478169, 0.0263323, 0.0241065, 0.0231094, 0.0233854, 0.0216435, 0.0138057, 0.00718575, 0.00515141, 0.00301757, 0.000790271, 8.67422e-07, 0.000301703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.151433, 0.157951, 0.161697, 0.167279, 0.176141, 0.181532, 0.186757, 0.191203, 0.197997, 0.205195, 0.219456, 0.220049, 0.221237, 0.223611, 0.226967, 0.230875, 0.243351, 0.253225, 0.267225, 0.269224, 0.273221, 0.306203, 0.321046, 0.329304, 0.34582, 0.370434, 0.397549, 0.428618");
-            values ( \
-              "0.0248552, 0.0649241, 0.0831021, 0.10646, 0.134703, 0.145242, 0.15251, 0.155452, 0.157618, 0.154873, 0.141435, 0.140177, 0.140106, 0.138707, 0.134736, 0.125558, 0.0893758, 0.066399, 0.0401766, 0.0376307, 0.0346172, 0.0159383, 0.0096981, 0.00740247, 0.00398361, 0.00171246, 0.000545164, 0.000290044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.154805, 0.169457, 0.178694, 0.18475, 0.189713, 0.193902, 0.201134, 0.207877, 0.221727, 0.225387, 0.25367, 0.258246, 0.265364, 0.290802, 0.308371, 0.327498, 0.340534, 0.358542, 0.377136, 0.399998, 0.414735, 0.431578, 0.459517, 0.493442, 0.540109");
-            values ( \
-              "0.0627316, 0.155828, 0.195713, 0.21267, 0.222916, 0.228436, 0.234851, 0.23638, 0.232885, 0.230325, 0.205208, 0.199477, 0.185255, 0.124669, 0.0899088, 0.0595689, 0.0452947, 0.0337788, 0.0243375, 0.0153478, 0.0111555, 0.00755835, 0.00386621, 0.00160703, 0.000468145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.159362, 0.16815, 0.175244, 0.178666, 0.184349, 0.192583, 0.200032, 0.208923, 0.216992, 0.228897, 0.24074, 0.257829, 0.265135, 0.277493, 0.296345, 0.301864, 0.309663, 0.317919, 0.331892, 0.348279, 0.390536, 0.409686, 0.43121, 0.444304, 0.463512, 0.479359, 0.506748, 0.518715, 0.540046, 0.569715, 0.582021, 0.606631, 0.638944, 0.681573, 0.73122, 0.795761, 0.880092");
-            values ( \
-              "0.15588, 0.175647, 0.219362, 0.236011, 0.2592, 0.282179, 0.295037, 0.304281, 0.30736, 0.307708, 0.303658, 0.296593, 0.292596, 0.285465, 0.273438, 0.268787, 0.263798, 0.257324, 0.242336, 0.21853, 0.15454, 0.128304, 0.102492, 0.0883177, 0.0702651, 0.0576846, 0.042513, 0.0375015, 0.0294303, 0.021059, 0.0180029, 0.0134915, 0.00877683, 0.0051502, 0.00245357, 0.00111505, 0.000176273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.163476, 0.200405, 0.209287, 0.217183, 0.232375, 0.262451, 0.289266, 0.308598, 0.346429, 0.407439, 0.462059, 0.490141, 0.523521, 0.612698, 0.673565, 0.712389, 0.738548, 0.773426, 0.82028, 0.866179, 0.920799, 0.964531, 1.01055, 1.07074, 1.14744, 1.23177, 1.3161, 1.48476");
-            values ( \
-              "0.231047, 0.334687, 0.348061, 0.354395, 0.360062, 0.358033, 0.351834, 0.34589, 0.333293, 0.309926, 0.28479, 0.266401, 0.241426, 0.169142, 0.125931, 0.101836, 0.0877311, 0.0716053, 0.0537379, 0.0410312, 0.0299905, 0.0225872, 0.0169382, 0.011891, 0.00685374, 0.00421554, 0.00205288, 0.000504058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.172528, 0.23451, 0.265395, 0.285933, 0.322049, 0.358961, 0.411981, 0.509291, 0.665213, 0.712764, 0.781734, 0.866065, 0.913539, 1.1044, 1.22392, 1.30826, 1.37908, 1.44887, 1.53321, 1.57043, 1.64488, 1.72088, 1.80521, 1.90437, 2.04383, 2.12816, 2.21249, 2.38115, 2.63414, 2.88714");
-            values ( \
-              "0.379258, 0.390525, 0.394383, 0.393487, 0.389339, 0.384128, 0.374916, 0.355959, 0.324147, 0.31376, 0.296747, 0.269261, 0.252059, 0.177728, 0.135457, 0.109722, 0.0909761, 0.0749614, 0.0590898, 0.0531927, 0.0429897, 0.0345031, 0.0269166, 0.0200452, 0.0131358, 0.0101316, 0.00781121, 0.00461686, 0.00207563, 0.000937015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.194411, 0.200301, 0.206549, 0.212455, 0.219995, 0.227725, 0.235037, 0.241586, 0.242417, 0.244078, 0.247072, 0.250313, 0.256175, 0.25876, 0.268156, 0.272464, 0.278009, 0.282169, 0.286617, 0.295544, 0.300248, 0.305402, 0.307366, 0.311292, 0.316939, 0.325188, 0.328126, 0.329046, 0.330885, 0.334564, 0.339739, 0.349317, 0.365518, 0.387054, 0.409778, 0.435053");
-            values ( \
-              "0.0107419, 0.0337881, 0.0525163, 0.0670876, 0.0810114, 0.0886565, 0.0906741, 0.0869844, 0.0869148, 0.0848906, 0.0827162, 0.0798926, 0.0774052, 0.0729155, 0.0509493, 0.042284, 0.0335017, 0.0255772, 0.0237165, 0.0214249, 0.0181524, 0.0155019, 0.0136646, 0.0121979, 0.0088214, 0.00667808, 0.00512579, 0.00570796, 0.00445226, 0.00454147, 0.00285286, 0.00254978, 0.000635197, 0.000858579, 1e-22, 0.000520002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.197428, 0.206986, 0.21287, 0.221581, 0.226927, 0.232151, 0.236599, 0.243389, 0.250592, 0.264871, 0.265447, 0.2666, 0.272098, 0.276272, 0.288747, 0.292986, 0.30658, 0.314622, 0.324178, 0.330297, 0.337682, 0.338751, 0.34089, 0.345167, 0.35148, 0.36644, 0.374766, 0.391419, 0.415818, 0.442996, 0.474022");
-            values ( \
-              "0.0341116, 0.0825736, 0.107276, 0.13492, 0.145077, 0.152641, 0.155283, 0.157771, 0.155043, 0.141592, 0.139978, 0.140304, 0.135384, 0.125398, 0.0891889, 0.0786163, 0.050822, 0.0374406, 0.0312037, 0.028598, 0.0236395, 0.0235777, 0.0217339, 0.0197588, 0.0158115, 0.00951182, 0.00757222, 0.00376624, 0.00190164, 0.000358064, 0.000476469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.20103, 0.207675, 0.214929, 0.224168, 0.235167, 0.246612, 0.253354, 0.267206, 0.299127, 0.303661, 0.3107, 0.336195, 0.35345, 0.373182, 0.377807, 0.387058, 0.405283, 0.419159, 0.444231, 0.465496, 0.489798, 0.518777, 0.532149");
-            values ( \
-              "0.0825928, 0.113998, 0.156054, 0.195919, 0.22308, 0.23505, 0.236162, 0.233086, 0.205232, 0.19957, 0.185582, 0.124862, 0.0905974, 0.0592908, 0.0534201, 0.0444553, 0.0330738, 0.0259929, 0.0157798, 0.00986303, 0.00558024, 0.00271049, 0.00212333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.205804, 0.218267, 0.224089, 0.230054, 0.237999, 0.250587, 0.262416, 0.274373, 0.303009, 0.322901, 0.335136, 0.336084, 0.33798, 0.341772, 0.346858, 0.354859, 0.363329, 0.377299, 0.435988, 0.454495, 0.469415, 0.488446, 0.501271, 0.518371, 0.54165, 0.550263, 0.552049, 0.55562, 0.562762, 0.592067, 0.625886, 0.671267, 0.711297, 0.759068, 0.895609");
-            values ( \
-              "0.17199, 0.206074, 0.23603, 0.260483, 0.282477, 0.301505, 0.307296, 0.307973, 0.296917, 0.285674, 0.277192, 0.277295, 0.275434, 0.273635, 0.268892, 0.264135, 0.257124, 0.242543, 0.154282, 0.128889, 0.111009, 0.0894353, 0.076767, 0.0627204, 0.0473206, 0.0438238, 0.0423309, 0.0413204, 0.0376432, 0.0271007, 0.018158, 0.0102222, 0.00653783, 0.00296393, 0.000144027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.209758, 0.227212, 0.238637, 0.25456, 0.262508, 0.277688, 0.307776, 0.353922, 0.391825, 0.452761, 0.507383, 0.568844, 0.658021, 0.718697, 0.783996, 0.865706, 0.911308, 0.965977, 1.00979, 1.05596, 1.19294, 1.3616, 1.53026");
-            values ( \
-              "0.260664, 0.277869, 0.319161, 0.348176, 0.354207, 0.360242, 0.358219, 0.346075, 0.333452, 0.310116, 0.284967, 0.241607, 0.168966, 0.126233, 0.0874915, 0.0535327, 0.0409051, 0.030191, 0.0224223, 0.016755, 0.00667072, 0.00187528, 0.000328289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.219309, 0.32403, 0.386294, 0.491541, 0.710724, 0.827246, 0.959051, 1.1241, 1.26944, 1.4246, 1.57872, 1.69039, 1.76639, 1.85072, 2.00501, 2.16441, 2.33307, 2.67039, 2.92338");
-            values ( \
-              "0.391259, 0.394341, 0.387163, 0.368759, 0.324496, 0.297095, 0.252409, 0.187193, 0.135809, 0.0906228, 0.058736, 0.0426355, 0.0348575, 0.0265619, 0.0166173, 0.0100713, 0.00582227, 0.00178108, 0.00132221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.296727, 0.303447, 0.310814, 0.315747, 0.319896, 0.326228, 0.330492, 0.334974, 0.341355, 0.348521, 0.353754, 0.358543, 0.367558, 0.370984, 0.381414, 0.384821, 0.389018, 0.392278, 0.396624, 0.41293, 0.42058, 0.426456, 0.432938, 0.441581, 0.458867, 0.479592, 0.484249");
-            values ( \
-              "0.0344606, 0.0434937, 0.0627678, 0.0735871, 0.0803072, 0.0873495, 0.0895912, 0.0902257, 0.0873662, 0.0810818, 0.0781486, 0.0746976, 0.0523031, 0.0453539, 0.0270727, 0.0238728, 0.0234243, 0.0225579, 0.0205127, 0.0109722, 0.00777822, 0.00593102, 0.00441768, 0.00300828, 0.00135512, 0.000582214, 0.000537672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.297261, 0.312672, 0.321346, 0.326755, 0.331998, 0.336447, 0.343198, 0.350455, 0.365312, 0.369529, 0.372686, 0.398467, 0.412723, 0.418015, 0.452766, 0.473829, 0.488817, 0.513051, 0.523374");
-            values ( \
-              "0.0381999, 0.10618, 0.133873, 0.145084, 0.151958, 0.155441, 0.157227, 0.154557, 0.140271, 0.138263, 0.133696, 0.0663255, 0.0396561, 0.0348309, 0.0153928, 0.00749986, 0.00444015, 0.00178071, 0.00149985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.30027, 0.314807, 0.324097, 0.330115, 0.335126, 0.339344, 0.346588, 0.353333, 0.367196, 0.370589, 0.401073, 0.405495, 0.413589, 0.433031, 0.446626, 0.46094, 0.472979, 0.479838, 0.489337, 0.505314, 0.526365, 0.542049, 0.559012, 0.578069, 0.605268, 0.638474, 0.684539");
-            values ( \
-              "0.0694834, 0.154411, 0.194771, 0.212132, 0.222279, 0.228261, 0.234439, 0.236386, 0.23262, 0.23046, 0.203129, 0.196281, 0.178878, 0.131851, 0.103233, 0.0779542, 0.0595574, 0.0510894, 0.0427738, 0.0330433, 0.0226381, 0.016538, 0.0114544, 0.00734286, 0.00384465, 0.00161161, 0.000496674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.304879, 0.313345, 0.323946, 0.329997, 0.335829, 0.342013, 0.350129, 0.362324, 0.374298, 0.386071, 0.402783, 0.410464, 0.437889, 0.463249, 0.47712, 0.544684, 0.558992, 0.585306, 0.609756, 0.625456, 0.646356, 0.661061, 0.680668, 0.711669, 0.748563, 0.777104, 0.819343, 0.869292, 0.931558, 1.01523");
-            values ( \
-              "0.17015, 0.173992, 0.235569, 0.259671, 0.276906, 0.289739, 0.300543, 0.307568, 0.307528, 0.303842, 0.296604, 0.29278, 0.27579, 0.257539, 0.242205, 0.142189, 0.123383, 0.0927998, 0.0693326, 0.0572503, 0.0452856, 0.0386168, 0.0311288, 0.0217866, 0.0139702, 0.00975842, 0.00558748, 0.00283231, 0.00115789, 0.000331292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.309157, 0.327714, 0.338574, 0.345537, 0.354505, 0.362466, 0.377508, 0.392733, 0.407743, 0.420393, 0.453887, 0.501985, 0.549173, 0.600581, 0.620921, 0.643106, 0.76734, 0.795484, 0.849922, 0.905351, 0.950165, 0.980372, 1.01505, 1.05365, 1.10732, 1.17026, 1.23442, 1.31387, 1.3982, 1.48253, 1.6512");
-            values ( \
-              "0.244318, 0.27951, 0.318373, 0.334319, 0.347604, 0.354456, 0.35978, 0.359555, 0.357841, 0.35542, 0.345711, 0.329457, 0.311348, 0.288588, 0.276331, 0.260951, 0.162131, 0.141616, 0.106349, 0.0773515, 0.0590256, 0.0495047, 0.0404084, 0.032112, 0.0231772, 0.0156709, 0.0103618, 0.00614551, 0.00346477, 0.00196679, 0.000624458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.317865, 0.395952, 0.416323, 0.432866, 0.449981, 0.486518, 0.557552, 0.650916, 0.810581, 0.858138, 0.927102, 1.01143, 1.05891, 1.24976, 1.36929, 1.45362, 1.52445, 1.59424, 1.67857, 1.7158, 1.79025, 1.86625, 1.95058, 2.04973, 2.10486, 2.18919, 2.27353, 2.35786, 2.52652, 2.77951, 3.03251");
-            values ( \
-              "0.37907, 0.393077, 0.39424, 0.393362, 0.39155, 0.386772, 0.374877, 0.356702, 0.32415, 0.313756, 0.29675, 0.269258, 0.252063, 0.177732, 0.135461, 0.109718, 0.0909719, 0.0749656, 0.0590856, 0.0531969, 0.0429855, 0.0345073, 0.0269124, 0.0200494, 0.0169692, 0.01314, 0.0101274, 0.00781547, 0.00462113, 0.00207134, 0.000941328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.500312, 0.507383, 0.515176, 0.524598, 0.532524, 0.539958, 0.547441, 0.56386, 0.574459, 0.586901, 0.59026, 0.595324, 0.600303, 0.612512, 0.626561, 0.645656, 0.674282, 0.69175");
-            values ( \
-              "0.0348071, 0.0399127, 0.0599647, 0.0783072, 0.0867232, 0.0892422, 0.0858669, 0.073901, 0.0482805, 0.0264017, 0.024037, 0.0233059, 0.0214455, 0.0138904, 0.00737734, 0.00304217, 0.000783858, 0.000638706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.500441, 0.516911, 0.525992, 0.531748, 0.537125, 0.54159, 0.54848, 0.555126, 0.577637, 0.598228, 0.617951, 0.623782, 0.65729, 0.671706, 0.679728, 0.695075, 0.719396, 0.723601");
-            values ( \
-              "0.033469, 0.100237, 0.129893, 0.142114, 0.149903, 0.153472, 0.156014, 0.153976, 0.134727, 0.0786035, 0.0401378, 0.0347466, 0.0157238, 0.00969726, 0.00746939, 0.00420005, 0.0018216, 0.00171166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.503989, 0.5246, 0.535092, 0.540164, 0.550185, 0.558463, 0.56425, 0.57242, 0.584364, 0.602135, 0.613178, 0.637593, 0.655607, 0.681565, 0.696604, 0.726865, 0.767154, 0.809459");
-            values ( \
-              "0.070536, 0.173068, 0.208496, 0.219632, 0.231764, 0.234566, 0.234569, 0.231543, 0.222421, 0.206699, 0.192736, 0.133154, 0.0964441, 0.0552137, 0.0414528, 0.0248301, 0.0107162, 0.00403103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.508058, 0.517481, 0.528444, 0.542637, 0.549895, 0.556669, 0.567223, 0.577599, 0.591048, 0.615467, 0.646472, 0.670728, 0.684242, 0.698634, 0.748626, 0.790166, 0.814995, 0.830611, 0.856265, 0.885365, 0.915636, 0.939694, 0.985113, 1.02707, 1.0782, 1.09212");
-            values ( \
-              "0.143665, 0.165489, 0.2297, 0.278209, 0.292256, 0.300157, 0.306038, 0.306738, 0.303285, 0.292664, 0.27347, 0.255179, 0.23934, 0.218739, 0.143664, 0.0929592, 0.0691561, 0.057108, 0.0429407, 0.0311956, 0.0220907, 0.0165349, 0.00935099, 0.00542715, 0.0026454, 0.00242058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.512555, 0.526208, 0.533031, 0.539029, 0.550009, 0.555577, 0.561657, 0.567487, 0.579147, 0.592452, 0.612917, 0.631224, 0.678419, 0.707133, 0.757936, 0.812489, 0.859736, 0.965001, 0.991727, 1.03431, 1.07087, 1.10936, 1.16068, 1.18573, 1.21572, 1.25287, 1.31017, 1.33334, 1.37969, 1.44386, 1.52248, 1.60681, 1.69114, 1.77547");
-            values ( \
-              "0.235326, 0.24077, 0.277132, 0.300904, 0.330627, 0.339343, 0.347241, 0.352328, 0.357771, 0.358991, 0.357648, 0.353925, 0.339438, 0.329444, 0.309887, 0.285065, 0.252368, 0.167919, 0.147981, 0.119084, 0.097529, 0.0779504, 0.0571593, 0.0494402, 0.0414854, 0.0332926, 0.0234874, 0.0203785, 0.0152333, 0.0100877, 0.00599314, 0.00339875, 0.00190816, 0.00107342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.521783, 0.569862, 0.595455, 0.616367, 0.66942, 0.762019, 0.991725, 1.13202, 1.26382, 1.57421, 1.72937, 1.79916, 1.92071, 2.07116, 2.15549, 2.39411, 2.71985");
-            values ( \
-              "0.372977, 0.380928, 0.391638, 0.394072, 0.389781, 0.375007, 0.329178, 0.296722, 0.252035, 0.135438, 0.090993, 0.0749451, 0.0531774, 0.0344886, 0.0269308, 0.0131256, 0.0048999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.913043, 0.919652, 0.933033, 0.943215, 0.950213, 0.954186, 0.95922, 0.965837, 0.973047, 0.978771, 0.983502, 0.994177, 1.00678, 1.01012, 1.01439, 1.01932, 1.03683, 1.04866, 1.06155, 1.06868, 1.08179, 1.10213, 1.14859");
-            values ( \
-              "0.0155995, 0.0240851, 0.0541894, 0.0735907, 0.0823996, 0.0852779, 0.0865578, 0.0849231, 0.0793739, 0.0772429, 0.0735711, 0.0478666, 0.0262636, 0.0239356, 0.0235213, 0.0216737, 0.0114616, 0.00670172, 0.00372403, 0.00278575, 0.00148751, 0.000690616, 0.000188174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.917048, 0.927447, 0.938411, 0.944355, 0.950472, 0.956131, 0.960653, 0.968332, 0.974239, 0.989279, 0.990155, 0.991907, 0.99541, 1.00003, 1.01389, 1.02187, 1.03658, 1.03952, 1.04287, 1.07445, 1.08962, 1.10045, 1.11211, 1.13525, 1.16177, 1.1928");
-            values ( \
-              "0.0392501, 0.0641224, 0.10384, 0.122354, 0.136084, 0.145218, 0.149449, 0.153162, 0.152019, 0.140164, 0.138731, 0.138896, 0.136077, 0.127819, 0.0884271, 0.0695559, 0.041777, 0.0376997, 0.0349565, 0.0169803, 0.0102647, 0.00720742, 0.00462685, 0.00210638, 0.000682331, 0.000353175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.920798, 0.928105, 0.942568, 0.954016, 0.963237, 0.970757, 0.977593, 0.990756, 0.993598, 1.02152, 1.03217, 1.06456, 1.0862, 1.10293, 1.12079, 1.15875, 1.18893, 1.21577, 1.23964, 1.25469");
-            values ( \
-              "0.0894061, 0.0894135, 0.161452, 0.20163, 0.220269, 0.228949, 0.231812, 0.230766, 0.229351, 0.206123, 0.193509, 0.116493, 0.0767177, 0.0527032, 0.0382241, 0.0193402, 0.0100427, 0.0053213, 0.00318522, 0.00260172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.925605, 0.940842, 0.947111, 0.954394, 0.961865, 0.965692, 0.969913, 0.978046, 0.98684, 0.998948, 1.01078, 1.02741, 1.03527, 1.04764, 1.06001, 1.06569, 1.07138, 1.07948, 1.08807, 1.10204, 1.11843, 1.15498, 1.18199, 1.20884, 1.21584, 1.22827, 1.24022, 1.25409, 1.27264, 1.28387, 1.29886, 1.32883, 1.35153, 1.36263, 1.38482, 1.42388, 1.46923, 1.52496, 1.59564");
-            values ( \
-              "0.128984, 0.183822, 0.217387, 0.248721, 0.27161, 0.28032, 0.287635, 0.29813, 0.303279, 0.30514, 0.302085, 0.296263, 0.29223, 0.285314, 0.277206, 0.274091, 0.269146, 0.264019, 0.257233, 0.242448, 0.218464, 0.162778, 0.125501, 0.09433, 0.0868035, 0.0749599, 0.0646575, 0.0547939, 0.0445866, 0.0394884, 0.0335101, 0.0238447, 0.0182463, 0.0159552, 0.0121256, 0.00731925, 0.00398392, 0.00182828, 0.000651768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.930576, 0.97038, 0.987044, 1.00195, 1.01746, 1.03263, 1.05922, 1.11711, 1.17755, 1.22696, 1.26429, 1.39683, 1.44785, 1.4912, 1.5448, 1.6057, 1.69014, 1.73427, 1.84137, 2.002, 2.02267");
-            values ( \
-              "0.205568, 0.327033, 0.349891, 0.357404, 0.358113, 0.357509, 0.351818, 0.333141, 0.310007, 0.287476, 0.263692, 0.158683, 0.123001, 0.0971665, 0.0708737, 0.0494266, 0.0299426, 0.0228242, 0.0116673, 0.00402924, 0.00375605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.940811, 0.985743, 1.01197, 1.02422, 1.0505, 1.11242, 1.21564, 1.4353, 1.55193, 1.68348, 1.9946, 2.14802, 2.21825, 2.34051, 2.41636, 2.5762, 2.81269, 3.12508");
-            values ( \
-              "0.367734, 0.37518, 0.389773, 0.392692, 0.393917, 0.386823, 0.368682, 0.324229, 0.29659, 0.252081, 0.13527, 0.0912584, 0.0751167, 0.0531983, 0.0428122, 0.0268407, 0.0131866, 0.00526782" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00302549, 0.00302556, 0.00302563, 0.00302569, 0.00302572, 0.00302574", \
-            "0.00362227, 0.00362228, 0.00362231, 0.00362234, 0.00362236, 0.00362238", \
-            "0.00398426, 0.00398425, 0.00398425, 0.00398426, 0.00398427, 0.00398428", \
-            "0.00419765, 0.00419763, 0.00419763, 0.00419763, 0.00419763, 0.00419764", \
-            "0.0043107, 0.0043107, 0.00431069, 0.00431069, 0.00431068, 0.00431068", \
-            "0.0043728, 0.0043728, 0.0043728, 0.00437279, 0.00437279, 0.00437279" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00435509, 0.00435504, 0.00435501, 0.00435501, 0.00435502, 0.00435503", \
-            "0.00482471, 0.00482464, 0.00482457, 0.00482453, 0.00482451, 0.00482451", \
-            "0.00506803, 0.00506796, 0.00506786, 0.00506777, 0.00506771, 0.00506768", \
-            "0.00530103, 0.0053022, 0.00530148, 0.00530145, 0.00530141, 0.00530126", \
-            "0.00565642, 0.00565654, 0.00565671, 0.00565685, 0.0056569, 0.00565691", \
-            "0.00654053, 0.00653742, 0.00653018, 0.00652471, 0.00652232, 0.00652157" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.159731, 0.172507, 0.19838, 0.252359, 0.369382, 0.627194", \
-            "0.165819, 0.178615, 0.204475, 0.258457, 0.375475, 0.633288", \
-            "0.18035, 0.193129, 0.219002, 0.272979, 0.389998, 0.647806", \
-            "0.21219, 0.224943, 0.2508, 0.304797, 0.421828, 0.679637", \
-            "0.266605, 0.27938, 0.305239, 0.359317, 0.476375, 0.734214", \
-            "0.352479, 0.365313, 0.391239, 0.44532, 0.562419, 0.820484" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0481804, 0.0618224, 0.0942957, 0.169381, 0.3389, 0.719583", \
-            "0.0481801, 0.0618445, 0.0942975, 0.169379, 0.338898, 0.719583", \
-            "0.0481843, 0.0618286, 0.094299, 0.169382, 0.338913, 0.719567", \
-            "0.0482336, 0.0618751, 0.0943237, 0.169389, 0.338944, 0.719553", \
-            "0.0486682, 0.0622171, 0.0945495, 0.16952, 0.338922, 0.719578", \
-            "0.049726, 0.063081, 0.0951015, 0.169707, 0.338883, 0.7197" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.12521, 0.134546, 0.139187, 0.145677, 0.155735, 0.157081, 0.159773, 0.164107, 0.17034, 0.175905, 0.184774, 0.187267, 0.197759, 0.210565, 0.214767, 0.21832, 0.224135, 0.245411, 0.2566, 0.277083, 0.315683, 0.362163");
-            values ( \
-              "0.00286145, 0.0500685, 0.0642757, 0.0794185, 0.092104, 0.092006, 0.0938461, 0.0932354, 0.0904763, 0.0840546, 0.0776932, 0.0745165, 0.0489105, 0.0264419, 0.0231698, 0.0235561, 0.021399, 0.00882744, 0.00513285, 0.00183205, 0.000190457, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.125136, 0.143502, 0.146002, 0.156371, 0.165671, 0.174728, 0.18399, 0.193082, 0.198288, 0.204529, 0.217628, 0.225041, 0.24186, 0.248896, 0.254798, 0.274913, 0.290975, 0.293752, 0.299308, 0.326803, 0.379914, 0.41277");
-            values ( \
-              "0.0087413, 0.117269, 0.127353, 0.152868, 0.161469, 0.161583, 0.1541, 0.143384, 0.13998, 0.127426, 0.0881025, 0.0702716, 0.0383383, 0.0327244, 0.0303747, 0.0180071, 0.010514, 0.010287, 0.0077946, 0.00270281, 0.000104196, 0.000544464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.130398, 0.138514, 0.149968, 0.153781, 0.159233, 0.164147, 0.168386, 0.175383, 0.181998, 0.195526, 0.22805, 0.236588, 0.269676, 0.283512, 0.304463, 0.31481, 0.325223, 0.347314, 0.372518, 0.394281, 0.417933, 0.447574, 0.486684, 0.540439");
-            values ( \
-              "0.0336412, 0.127235, 0.19147, 0.206155, 0.221004, 0.230813, 0.235638, 0.241088, 0.241406, 0.236962, 0.20604, 0.190809, 0.112445, 0.0864312, 0.0544775, 0.0443353, 0.0374436, 0.025749, 0.0156009, 0.009817, 0.00552021, 0.00278714, 0.000903503, 0.000292767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.134408, 0.147487, 0.156001, 0.160585, 0.168237, 0.174314, 0.180877, 0.19079, 0.208009, 0.230858, 0.263812, 0.291122, 0.310816, 0.360152, 0.391759, 0.414634, 0.434104, 0.449164, 0.472027, 0.49747, 0.527082, 0.547738, 0.568834, 0.596963, 0.63652, 0.683163, 0.740126, 0.815721");
-            values ( \
-              "0.120599, 0.215514, 0.259524, 0.274856, 0.294378, 0.303618, 0.308875, 0.313472, 0.310843, 0.299202, 0.276572, 0.25649, 0.23393, 0.159676, 0.117282, 0.0915645, 0.0726279, 0.0604399, 0.0465149, 0.0353072, 0.025418, 0.0198841, 0.0154248, 0.0109246, 0.00647078, 0.00350484, 0.00152527, 0.000547041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.142522, 0.167875, 0.183283, 0.198257, 0.207267, 0.212227, 0.21695, 0.219307, 0.223081, 0.248074, 0.330193, 0.377795, 0.41769, 0.463924, 0.578929, 0.64858, 0.695224, 0.74569, 0.809781, 0.895231, 0.95421, 1.04537, 1.20556, 1.37403, 1.45827");
-            values ( \
-              "0.311102, 0.329579, 0.355464, 0.364064, 0.365225, 0.364276, 0.364656, 0.363486, 0.363703, 0.357016, 0.328727, 0.310884, 0.293968, 0.266556, 0.174742, 0.124595, 0.0966868, 0.0718198, 0.0490426, 0.0294085, 0.020407, 0.0113165, 0.00368328, 0.000932219, 0.00105733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.151678, 0.151698, 0.332401, 0.372992, 0.530794, 0.638988, 0.755592, 0.887487, 1.05265, 1.1981, 1.35358, 1.50754, 1.61914, 1.69518, 1.77941, 1.93401, 2.09709, 2.26556, 2.6025, 2.85521");
-            values ( \
-              "1e-22, 0.418899, 0.382825, 0.376959, 0.346446, 0.324272, 0.2969, 0.252246, 0.187046, 0.135739, 0.0905086, 0.058702, 0.0426249, 0.0348662, 0.0265602, 0.0165997, 0.00993978, 0.00574429, 0.00175164, 0.00132081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.143635, 0.158102, 0.165732, 0.169793, 0.175567, 0.180261, 0.184158, 0.190502, 0.195841, 0.204903, 0.20732, 0.217815, 0.230902, 0.235096, 0.238371, 0.245314, 0.262421, 0.274724, 0.279811, 0.297944, 0.317586");
-            values ( \
-              "1.51186e-05, 0.06093, 0.0792381, 0.0854329, 0.0917722, 0.0937944, 0.0933924, 0.0902206, 0.0843093, 0.0777607, 0.0743539, 0.049049, 0.0258848, 0.0233068, 0.0234325, 0.0207101, 0.010346, 0.00579387, 0.00456281, 0.00194124, 0.001126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.148337, 0.15475, 0.159686, 0.16608, 0.171004, 0.17651, 0.182748, 0.185746, 0.190312, 0.194802, 0.203184, 0.213935, 0.223136, 0.231844, 0.243654, 0.26166, 0.267206, 0.301583, 0.31386, 0.33027, 0.348152, 0.368307");
-            values ( \
-              "0.01539, 0.0759152, 0.101047, 0.126687, 0.141708, 0.152586, 0.159364, 0.160984, 0.162046, 0.161104, 0.154732, 0.143455, 0.132122, 0.104961, 0.0739303, 0.0390154, 0.0341819, 0.0149045, 0.0098362, 0.00550624, 0.00300219, 0.00150119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.148259, 0.158579, 0.169896, 0.179183, 0.184133, 0.188403, 0.195401, 0.202016, 0.215544, 0.219171, 0.248091, 0.256623, 0.28969, 0.303516, 0.32449, 0.334834, 0.367333, 0.392536, 0.414307, 0.437915, 0.467516, 0.483844");
-            values ( \
-              "0.000514606, 0.12726, 0.191115, 0.221003, 0.230499, 0.235887, 0.240782, 0.241703, 0.236644, 0.234022, 0.205997, 0.190759, 0.112468, 0.0864457, 0.0544797, 0.0443201, 0.0257605, 0.015613, 0.00980408, 0.00553673, 0.00278139, 0.00200265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.15889, 0.173745, 0.181167, 0.187103, 0.194125, 0.202864, 0.210926, 0.228103, 0.245388, 0.263635, 0.311256, 0.33094, 0.380286, 0.411859, 0.43476, 0.454268, 0.469364, 0.492189, 0.517549, 0.547109, 0.567838, 0.589009, 0.617237, 0.65678, 0.703425, 0.760374, 0.836006");
-            values ( \
-              "0.241055, 0.249093, 0.276828, 0.291224, 0.303169, 0.310301, 0.313324, 0.310703, 0.302372, 0.290869, 0.256502, 0.233932, 0.159664, 0.117336, 0.0915612, 0.0726119, 0.0603808, 0.0465128, 0.0353403, 0.0254379, 0.0199037, 0.0154284, 0.0108943, 0.0064711, 0.00348783, 0.00153393, 0.000532819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.162794, 0.187874, 0.194461, 0.203251, 0.211187, 0.222749, 0.232265, 0.245947, 0.262439, 0.339799, 0.401075, 0.455802, 0.517356, 0.60666, 0.669821, 0.731256, 0.763304, 0.812132, 0.829751, 0.848682, 0.903842, 0.977445, 1.08253, 1.24551, 1.41399, 1.49822");
-            values ( \
-              "0.318703, 0.328951, 0.343397, 0.354811, 0.361112, 0.364711, 0.364919, 0.36303, 0.358662, 0.332225, 0.309271, 0.284358, 0.241125, 0.168859, 0.12437, 0.0883271, 0.0734455, 0.0543934, 0.0491348, 0.0439752, 0.031672, 0.0201379, 0.0102261, 0.00330678, 0.000898999, 0.000836879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.166894, 0.213497, 0.243387, 0.275112, 0.421362, 0.634851, 0.775415, 0.859651, 1.21792, 1.3734, 1.44313, 1.56456, 1.715, 1.85717, 2.0355, 2.37244, 2.41204");
-            values ( \
-              "0.388048, 0.389851, 0.397527, 0.394877, 0.371672, 0.329184, 0.296302, 0.269368, 0.135139, 0.0911079, 0.0746648, 0.0529444, 0.0342671, 0.0224439, 0.0130043, 0.00442639, 0.00413557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.192115, 0.197382, 0.201504, 0.206763, 0.215152, 0.222499, 0.229526, 0.236686, 0.244365, 0.250227, 0.252688, 0.26338, 0.276354, 0.280532, 0.287746, 0.294763, 0.303067, 0.315578, 0.32024, 0.321826, 0.324998, 0.331342, 0.360529, 0.380173, 0.40242, 0.426719");
-            values ( \
-              "0.00895743, 0.040865, 0.0551291, 0.0696611, 0.085911, 0.0926473, 0.0938743, 0.0894727, 0.0814806, 0.0782669, 0.073903, 0.0484721, 0.0253942, 0.0236851, 0.0221403, 0.018289, 0.0126235, 0.00696783, 0.00610235, 0.00513128, 0.0049459, 0.00319842, 0.000648477, 0.000706178, 1e-22, 0.000389667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.191952, 0.203153, 0.211328, 0.221711, 0.231005, 0.237576, 0.240065, 0.249327, 0.258514, 0.263088, 0.266322, 0.290652, 0.308767, 0.339968, 0.366859, 0.404233, 0.460348");
-            values ( \
-              "0.00719406, 0.0926092, 0.126979, 0.152601, 0.16128, 0.161636, 0.161447, 0.153997, 0.143359, 0.14049, 0.135205, 0.0697005, 0.0366942, 0.0182095, 0.00723161, 0.00163598, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.197581, 0.206587, 0.210617, 0.215224, 0.219294, 0.224605, 0.229597, 0.233901, 0.240901, 0.247516, 0.261044, 0.261161, 0.264669, 0.290344, 0.293601, 0.297855, 0.306954, 0.324803, 0.335222, 0.349115, 0.363112, 0.36994, 0.380302, 0.390682, 0.412825, 0.427196, 0.438028, 0.447376, 0.45984, 0.483509, 0.513079, 0.552103, 0.605712");
-            values ( \
-              "0.0759282, 0.14455, 0.167816, 0.190606, 0.205628, 0.221093, 0.230124, 0.23618, 0.240456, 0.242012, 0.236321, 0.236549, 0.234008, 0.209468, 0.205976, 0.199651, 0.179424, 0.135315, 0.11241, 0.0862639, 0.0639857, 0.0545523, 0.0443319, 0.0375025, 0.0257756, 0.0196541, 0.0156266, 0.0127727, 0.00978624, 0.00553453, 0.00276657, 0.000928532, 0.000272799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.201124, 0.209222, 0.219078, 0.22397, 0.228499, 0.232428, 0.240232, 0.248565, 0.256258, 0.267931, 0.279598, 0.290674, 0.308961, 0.35659, 0.37624, 0.425622, 0.45705, 0.48006, 0.499726, 0.514964, 0.537696, 0.562548, 0.591903, 0.620178, 0.634555, 0.663308, 0.70283, 0.749555, 0.806522, 0.882499");
-            values ( \
-              "0.169488, 0.19027, 0.249061, 0.268585, 0.28197, 0.291601, 0.303582, 0.310945, 0.312794, 0.312444, 0.308181, 0.302428, 0.290908, 0.256538, 0.233941, 0.159625, 0.117548, 0.0915583, 0.0725372, 0.0601505, 0.0464653, 0.0355089, 0.0255599, 0.0183395, 0.0154241, 0.0107572, 0.00644525, 0.00341532, 0.00155161, 0.000490788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.205228, 0.23996, 0.256709, 0.268153, 0.277656, 0.308651, 0.39565, 0.446654, 0.501346, 0.529485, 0.68358, 0.725858, 0.795465, 0.825208, 0.874061, 0.928199, 1.01386, 1.12628, 1.28519");
-            values ( \
-              "0.255772, 0.343504, 0.361229, 0.364781, 0.364991, 0.358475, 0.328619, 0.308907, 0.284167, 0.266246, 0.145587, 0.117618, 0.0795381, 0.0661495, 0.049551, 0.0359813, 0.0213069, 0.010355, 0.00347914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.213357, 0.270304, 0.290181, 0.314222, 0.42184, 0.482685, 0.704488, 0.821103, 0.95298, 1.11815, 1.26359, 1.34783, 1.41907, 1.57304, 1.68463, 1.76067, 1.84491, 1.99951, 2.16798, 2.33645, 2.6734, 2.9261");
-            values ( \
-              "0.394923, 0.394965, 0.397559, 0.395822, 0.379698, 0.368752, 0.3242, 0.296784, 0.252136, 0.187155, 0.13563, 0.109448, 0.0906177, 0.0588108, 0.0427338, 0.0347565, 0.0266694, 0.0167091, 0.0098778, 0.00575102, 0.00182527, 0.00119463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.291596, 0.297041, 0.30653, 0.314964, 0.32083, 0.325319, 0.329365, 0.335616, 0.350029, 0.367986, 0.376204, 0.380349, 0.3836, 0.3904, 0.403106, 0.416316, 0.429937, 0.457027, 0.48");
-            values ( \
-              "0.00833447, 0.0398675, 0.0688956, 0.0853448, 0.0913669, 0.0933667, 0.0934822, 0.0899937, 0.0780346, 0.0395995, 0.0256259, 0.0234801, 0.0232846, 0.0206397, 0.0127346, 0.00688493, 0.00361459, 0.000996272, 0.00071292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.290199, 0.30478, 0.311232, 0.321656, 0.326644, 0.330976, 0.337451, 0.344579, 0.366499, 0.390972, 0.404245, 0.410648, 0.445267, 0.468025, 0.484598, 0.508278, 0.513359");
-            values ( \
-              "0.000542869, 0.0997992, 0.125918, 0.151894, 0.158022, 0.160745, 0.161764, 0.158283, 0.135128, 0.0693009, 0.0433137, 0.0354708, 0.0157945, 0.00718907, 0.00407142, 0.00163178, 0.00150249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.292528, 0.306456, 0.315032, 0.319202, 0.324526, 0.329536, 0.333845, 0.340856, 0.347473, 0.359958, 0.363402, 0.367992, 0.39031, 0.393609, 0.397794, 0.406922, 0.42469, 0.435352, 0.449424, 0.463082, 0.469729, 0.480154, 0.490425, 0.512766, 0.527047, 0.537969, 0.547384, 0.559937, 0.583806, 0.613282, 0.652138, 0.7055");
-            values ( \
-              "0.00208271, 0.142856, 0.188925, 0.205157, 0.220188, 0.230008, 0.235531, 0.240535, 0.241544, 0.237222, 0.234879, 0.231055, 0.209445, 0.205859, 0.199701, 0.179443, 0.135532, 0.112102, 0.085653, 0.0639593, 0.0548058, 0.0443845, 0.0376643, 0.0258173, 0.0196727, 0.015664, 0.0127885, 0.00973288, 0.00551641, 0.00272562, 0.000953743, 0.000249129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.301305, 0.309082, 0.313224, 0.320152, 0.326804, 0.332394, 0.339742, 0.348331, 0.356246, 0.367927, 0.379591, 0.390646, 0.408931, 0.456586, 0.476112, 0.525622, 0.556612, 0.579951, 0.600107, 0.615788, 0.638178, 0.661598, 0.690306, 0.720018, 0.735154, 0.765426, 0.804832, 0.851719, 0.908643, 0.985498");
-            values ( \
-              "0.185906, 0.188821, 0.216411, 0.252906, 0.27691, 0.290969, 0.302937, 0.310433, 0.312896, 0.312161, 0.307928, 0.302405, 0.290901, 0.256519, 0.234123, 0.159634, 0.118064, 0.0916807, 0.0721777, 0.0595679, 0.0462164, 0.0358692, 0.0260465, 0.018393, 0.015294, 0.0104867, 0.00625854, 0.00333399, 0.00148866, 0.000486912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.307475, 0.332931, 0.348322, 0.356263, 0.372244, 0.384161, 0.400984, 0.479392, 0.546213, 0.600887, 0.649663, 0.754344, 0.824582, 0.895976, 0.943745, 0.974463, 1.0492, 1.10222, 1.16318, 1.22604, 1.38522, 1.40881");
-            values ( \
-              "0.309364, 0.328703, 0.354821, 0.360757, 0.364751, 0.3639, 0.360359, 0.333927, 0.309146, 0.284574, 0.25092, 0.167097, 0.11794, 0.0788801, 0.0591579, 0.0494803, 0.0318409, 0.0230653, 0.0157918, 0.0105454, 0.00365026, 0.00337045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.316779, 0.316799, 0.48842, 0.577075, 0.695899, 0.804251, 0.920952, 0.981247, 1.05259, 1.24405, 1.36394, 1.44817, 1.51769, 1.58785, 1.70998, 1.78577, 1.86133, 1.94556, 2.04366, 2.18228, 2.35076, 2.51923, 2.77193, 3.02464");
-            values ( \
-              "1e-22, 0.418721, 0.384544, 0.369525, 0.346141, 0.323968, 0.296366, 0.277439, 0.251891, 0.177478, 0.135179, 0.109546, 0.0911615, 0.075045, 0.0531763, 0.0428211, 0.0344069, 0.0268572, 0.0200573, 0.0131764, 0.00783853, 0.00463372, 0.00209441, 0.000937116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.496297, 0.508932, 0.515308, 0.519801, 0.525802, 0.527327, 0.530376, 0.534467, 0.5408, 0.54636, 0.549445, 0.550182, 0.551655, 0.554174, 0.557798, 0.566206, 0.570315, 0.579533, 0.583111, 0.588865, 0.594822, 0.605845, 0.615538, 0.62351, 0.628886, 0.63752, 0.647229, 0.664159, 0.7059, 0.730012");
-            values ( \
-              "0.0220211, 0.060356, 0.0755294, 0.0828394, 0.0899314, 0.0903367, 0.0923162, 0.0921023, 0.0894702, 0.083525, 0.0816161, 0.0805755, 0.0795028, 0.0794108, 0.0742015, 0.0537373, 0.0450588, 0.0287407, 0.0242554, 0.0233531, 0.0211294, 0.0142375, 0.00919761, 0.00638939, 0.00490692, 0.00328078, 0.00217045, 0.000962565, 0.000187671, 0.000156076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.496193, 0.503554, 0.515735, 0.521001, 0.526444, 0.531543, 0.535894, 0.542441, 0.549616, 0.563188, 0.56424, 0.566344, 0.570399, 0.574963, 0.588671, 0.59572, 0.607696, 0.612855, 0.617208, 0.651004, 0.660998, 0.672747, 0.688411, 0.711939, 0.768421");
-            values ( \
-              "0.011982, 0.0675721, 0.121191, 0.137929, 0.148881, 0.156096, 0.158732, 0.160703, 0.157594, 0.144105, 0.142266, 0.141365, 0.137034, 0.126861, 0.0868238, 0.0702528, 0.0461574, 0.0379952, 0.0342849, 0.0155266, 0.0109809, 0.00728201, 0.00430262, 0.0017028, 0.000177689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.496293, 0.511483, 0.519756, 0.523853, 0.529528, 0.534504, 0.538724, 0.545811, 0.550145, 0.559528, 0.566126, 0.572027, 0.595394, 0.602228, 0.612008, 0.633347, 0.640999, 0.65544, 0.674335, 0.684937, 0.695143, 0.717824, 0.743061, 0.765116, 0.79001, 0.819477, 0.833575");
-            values ( \
-              "0.00842442, 0.139893, 0.183931, 0.200759, 0.216919, 0.227638, 0.232914, 0.23913, 0.240367, 0.238959, 0.235643, 0.231188, 0.209104, 0.20063, 0.17935, 0.127603, 0.11093, 0.0841414, 0.0554071, 0.0446471, 0.0378632, 0.0258105, 0.0156394, 0.00974917, 0.00533644, 0.00268266, 0.00203613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.505936, 0.518061, 0.525696, 0.531135, 0.53728, 0.54477, 0.550131, 0.555731, 0.561272, 0.567545, 0.57196, 0.578299, 0.583136, 0.598537, 0.614932, 0.634412, 0.661727, 0.680524, 0.73079, 0.743242, 0.759844, 0.784589, 0.807066, 0.823384, 0.844991, 0.863452, 0.88471, 0.914333, 0.926545, 0.950968, 0.982581, 1.02437, 1.07274, 1.13556, 1.21979");
-            values ( \
-              "0.178401, 0.211767, 0.251719, 0.27184, 0.287651, 0.301191, 0.306244, 0.309408, 0.31121, 0.31165, 0.311153, 0.309528, 0.307823, 0.30049, 0.290088, 0.27648, 0.256474, 0.235105, 0.159596, 0.142067, 0.120417, 0.0921886, 0.0705702, 0.0578473, 0.0454055, 0.0371905, 0.0294343, 0.0208851, 0.0180933, 0.0133926, 0.00899282, 0.00515742, 0.00268689, 0.00107853, 0.000314202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.509693, 0.526731, 0.537777, 0.549885, 0.567559, 0.581641, 0.61072, 0.689639, 0.74817, 0.794179, 0.829524, 1.00494, 1.09168, 1.12187, 1.16686, 1.24637, 1.3034, 1.37509, 1.5172, 1.58471");
-            values ( \
-              "0.270359, 0.285431, 0.325782, 0.349321, 0.361808, 0.363829, 0.35874, 0.33213, 0.310432, 0.290602, 0.269357, 0.134082, 0.0833467, 0.0695995, 0.0532017, 0.0333923, 0.0235599, 0.0150679, 0.00594224, 0.00390972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.518934, 0.518954, 0.694819, 0.74518, 0.84632, 0.985544, 1.05742, 1.12611, 1.21034, 1.258, 1.449, 1.56861, 1.65285, 1.7241, 1.79382, 1.87806, 1.91526, 1.98965, 2.06569, 2.14993, 2.24929, 2.38876, 2.55723, 2.7257, 2.97841, 3.23112");
-            values ( \
-              "1e-22, 0.404587, 0.384385, 0.376335, 0.357083, 0.32894, 0.313455, 0.296546, 0.269124, 0.251891, 0.177611, 0.135383, 0.109696, 0.0908653, 0.0749077, 0.0590586, 0.053187, 0.0429815, 0.0345096, 0.0269168, 0.0200487, 0.0131415, 0.00782309, 0.00463033, 0.00207182, 0.000948211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.90745, 0.916234, 0.93162, 0.937659, 0.940393, 0.945559, 0.952956, 0.955714, 0.960354, 0.970295, 0.973389, 0.977473, 0.983617, 0.99008, 0.999786, 1.00306, 1.00724, 1.01154, 1.02922, 1.03644, 1.04304, 1.05246, 1.06462, 1.07971, 1.09922, 1.14415");
-            values ( \
-              "0.00152721, 0.0283157, 0.0668861, 0.0782103, 0.0818019, 0.0871791, 0.0900175, 0.0892485, 0.0867181, 0.0784186, 0.0792908, 0.0718707, 0.0566742, 0.0432053, 0.0264913, 0.0239978, 0.0235618, 0.0222055, 0.0117169, 0.0084422, 0.00615738, 0.0039596, 0.00229381, 0.00112953, 0.000548877, 0.000160069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.90756, 0.93332, 0.938772, 0.944709, 0.950091, 0.95449, 0.961506, 0.968503, 0.982607, 0.983281, 0.98463, 0.987327, 0.99054, 1.01142, 1.0283, 1.03207, 1.03526, 1.06841, 1.08274, 1.10055, 1.11865, 1.14025");
-            values ( \
-              "0.00224255, 0.111355, 0.129198, 0.142557, 0.15102, 0.154688, 0.157538, 0.155246, 0.142272, 0.140902, 0.140791, 0.138987, 0.134709, 0.0777765, 0.0435132, 0.0380192, 0.0351675, 0.016264, 0.0100783, 0.00539592, 0.0028934, 0.00135696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.913376, 0.924015, 0.932052, 0.937508, 0.941744, 0.948103, 0.953087, 0.957176, 0.964449, 0.971151, 0.984027, 0.986896, 0.994893, 1.0177, 1.02157, 1.026, 1.04977, 1.05754, 1.06791, 1.08241, 1.09234, 1.09817, 1.10765, 1.12304, 1.14345, 1.16567, 1.1995, 1.23046, 1.24718, 1.29636");
-            values ( \
-              "0.0239855, 0.0986799, 0.144838, 0.172149, 0.18988, 0.209849, 0.221241, 0.227542, 0.234964, 0.23711, 0.234759, 0.233171, 0.226853, 0.205503, 0.199528, 0.190981, 0.132951, 0.115867, 0.0958657, 0.0711331, 0.0565297, 0.0501768, 0.0417958, 0.0326411, 0.0226453, 0.0143336, 0.00656813, 0.0034632, 0.00229679, 0.000669777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.919865, 0.930313, 0.941441, 0.948178, 0.955593, 0.962653, 0.969735, 0.979963, 0.991854, 0.997449, 1.00844, 1.02617, 1.04507, 1.08062, 1.10024, 1.14739, 1.17785, 1.20925, 1.22928, 1.2503, 1.26695, 1.2882, 1.3081, 1.33553, 1.36641, 1.39811, 1.4391, 1.48734, 1.54792, 1.63133");
-            values ( \
-              "0.118064, 0.160864, 0.228974, 0.258099, 0.280679, 0.294696, 0.303049, 0.309347, 0.309579, 0.308637, 0.304513, 0.294993, 0.282188, 0.256239, 0.234204, 0.162846, 0.121349, 0.0861048, 0.06792, 0.0529453, 0.0441135, 0.0350517, 0.0280501, 0.0204404, 0.0140745, 0.00939946, 0.00550952, 0.00283055, 0.00122435, 0.000321463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.930709, 0.95633, 0.972351, 0.986723, 0.995722, 1.00526, 1.0196, 1.03238, 1.11948, 1.17042, 1.21586, 1.27707, 1.38439, 1.44716, 1.52189, 1.59199, 1.67488, 1.74673, 1.84724, 2.00894, 2.0259");
-            values ( \
-              "0.316254, 0.317576, 0.349378, 0.360242, 0.362541, 0.362842, 0.361044, 0.357795, 0.328549, 0.309344, 0.289588, 0.248633, 0.162412, 0.118725, 0.0778555, 0.0513009, 0.0313871, 0.0201813, 0.0105867, 0.00349325, 0.00324101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.936075, 0.987849, 0.998273, 1.01601, 1.03468, 1.15904, 1.4121, 1.52729, 1.58109, 1.65281, 1.90645, 2.06186, 2.15955, 2.22554, 2.33446, 2.46805, 2.55229, 2.67541, 2.83114, 2.99961, 3.16808, 3.26174");
-            values ( \
-              "0.387126, 0.389, 0.393562, 0.396337, 0.39555, 0.377378, 0.327455, 0.301356, 0.2855, 0.260794, 0.163344, 0.112503, 0.0868762, 0.0722877, 0.053156, 0.0362187, 0.028284, 0.0196187, 0.0122264, 0.00726835, 0.00429643, 0.00359236" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00302593, 0.003026, 0.00302607, 0.00302613, 0.00302616, 0.00302617", \
-            "0.00362261, 0.00362262, 0.00362265, 0.00362268, 0.0036227, 0.00362271", \
-            "0.00398433, 0.00398433, 0.00398433, 0.00398434, 0.00398435, 0.00398436", \
-            "0.00419751, 0.0041975, 0.00419749, 0.00419749, 0.00419749, 0.00419749", \
-            "0.00431044, 0.00431044, 0.00431044, 0.00431043, 0.00431043, 0.00431043", \
-            "0.00437258, 0.00437258, 0.00437257, 0.00437257, 0.00437256, 0.00437256" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00435586, 0.0043558, 0.00435578, 0.00435578, 0.00435578, 0.00435579", \
-            "0.00482437, 0.0048243, 0.00482424, 0.0048242, 0.00482419, 0.00482419", \
-            "0.0050655, 0.00506544, 0.00506534, 0.00506525, 0.00506519, 0.00506517", \
-            "0.00529496, 0.00529566, 0.00529557, 0.00529555, 0.00529551, 0.00529546", \
-            "0.00565433, 0.00565445, 0.00565461, 0.00565474, 0.00565478, 0.00565478", \
-            "0.00655636, 0.00655427, 0.00654738, 0.00654133, 0.00653861, 0.00653761" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.158089, 0.171029, 0.197186, 0.251434, 0.368124, 0.625818", \
-            "0.164128, 0.17709, 0.203248, 0.257495, 0.374184, 0.631879", \
-            "0.178613, 0.191549, 0.217706, 0.271959, 0.388649, 0.646338", \
-            "0.209238, 0.222162, 0.248304, 0.302569, 0.419284, 0.676984", \
-            "0.259482, 0.272422, 0.298597, 0.352875, 0.469633, 0.727355", \
-            "0.339951, 0.352943, 0.379164, 0.433484, 0.550239, 0.808034" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0491489, 0.0628065, 0.0952058, 0.169503, 0.338317, 0.718928", \
-            "0.0491436, 0.0628089, 0.0952063, 0.169529, 0.33831, 0.718928", \
-            "0.049155, 0.0628113, 0.095208, 0.169522, 0.338308, 0.718929", \
-            "0.0492333, 0.0628807, 0.0952358, 0.169516, 0.338299, 0.718909", \
-            "0.04969, 0.0632814, 0.0954964, 0.169623, 0.338309, 0.718924", \
-            "0.0506367, 0.0640118, 0.095951, 0.169773, 0.338268, 0.718933" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.123684, 0.136432, 0.142898, 0.147454, 0.153754, 0.157744, 0.162315, 0.169623, 0.177465, 0.183374, 0.185879, 0.196647, 0.201028, 0.209185, 0.212392, 0.216652, 0.217156, 0.220177, 0.236348, 0.2482, 0.255611, 0.267254, 0.301763, 0.347198");
-            values ( \
-              "0.0232003, 0.0592036, 0.0741181, 0.0820545, 0.0885725, 0.0906287, 0.091379, 0.0874684, 0.0804519, 0.0774139, 0.0734759, 0.0482728, 0.0399271, 0.02594, 0.023905, 0.0230549, 0.0232544, 0.0223782, 0.0127353, 0.00740191, 0.00522952, 0.00300908, 0.000518201, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.12612, 0.140875, 0.14877, 0.154339, 0.159508, 0.163907, 0.170598, 0.17778, 0.192561, 0.199229, 0.225656, 0.239914, 0.2449, 0.280047, 0.300731, 0.315347, 0.339337, 0.343528");
-            values ( \
-              "0.0479023, 0.110375, 0.135765, 0.147388, 0.153945, 0.157239, 0.158682, 0.155728, 0.140682, 0.135375, 0.0664767, 0.0396873, 0.0349751, 0.0152822, 0.00760467, 0.00449229, 0.00187673, 0.00175922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.130821, 0.140395, 0.146872, 0.151651, 0.157509, 0.16669, 0.173853, 0.180556, 0.194311, 0.199312, 0.22392, 0.235018, 0.259368, 0.276879, 0.299281, 0.311566, 0.319748, 0.335708, 0.3531, 0.374725, 0.389544, 0.409627, 0.435909, 0.455971");
-            values ( \
-              "0.125786, 0.146414, 0.17948, 0.198195, 0.215015, 0.230698, 0.236496, 0.238043, 0.233773, 0.230156, 0.207076, 0.19301, 0.133089, 0.0973295, 0.0606052, 0.0465302, 0.0405424, 0.0311933, 0.0227427, 0.0146009, 0.0106126, 0.00658039, 0.0035491, 0.0022962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.131277, 0.150199, 0.158821, 0.165206, 0.172634, 0.181419, 0.189431, 0.201266, 0.213084, 0.237425, 0.268023, 0.290185, 0.298247, 0.314371, 0.366426, 0.407339, 0.432546, 0.449472, 0.472026, 0.492663, 0.542538, 0.577727, 0.609779, 0.652055, 0.701213, 0.705285");
-            values ( \
-              "0.119677, 0.233282, 0.267924, 0.284671, 0.297764, 0.305983, 0.30926, 0.308626, 0.304816, 0.293307, 0.273799, 0.257331, 0.249273, 0.22801, 0.14933, 0.0979162, 0.0728301, 0.0593448, 0.0457065, 0.0365141, 0.0206574, 0.0134401, 0.0091795, 0.00503232, 0.00277577, 0.00265727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.137825, 0.159203, 0.175169, 0.182613, 0.189502, 0.203279, 0.219045, 0.234625, 0.26105, 0.288054, 0.328772, 0.379607, 0.434166, 0.478849, 0.585659, 0.642243, 0.683439, 0.71274, 0.747131, 0.793058, 0.807713, 0.837024, 0.876013, 0.932144, 1.00081, 1.06503, 1.14213, 1.22603, 1.30993, 1.47773");
-            values ( \
-              "0.26725, 0.30063, 0.34109, 0.350956, 0.356108, 0.360998, 0.360373, 0.358606, 0.352083, 0.343554, 0.329535, 0.309955, 0.285078, 0.254275, 0.168717, 0.128017, 0.102615, 0.086845, 0.0707483, 0.0537086, 0.0494307, 0.0415166, 0.0330398, 0.0233984, 0.0152475, 0.0101589, 0.00603946, 0.00349473, 0.00191119, 0.000577598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.147806, 0.147826, 0.331325, 0.384117, 0.613335, 0.754059, 0.83796, 1.19626, 1.35224, 1.42171, 1.54276, 1.69311, 1.77701, 2.01624, 2.33975");
-            values ( \
-              "1e-22, 0.405402, 0.38448, 0.375253, 0.32944, 0.296565, 0.269595, 0.135264, 0.0909606, 0.0746661, 0.053004, 0.0343257, 0.0271451, 0.0129518, 0.00476703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.142322, 0.148172, 0.152622, 0.152853, 0.158574, 0.164814, 0.171546, 0.180744, 0.182302, 0.185417, 0.197455, 0.199081, 0.202334, 0.205092, 0.20733, 0.216181, 0.216597, 0.221205, 0.225067, 0.229362, 0.232523, 0.24201, 0.246896, 0.25185, 0.254294, 0.266589, 0.272975, 0.275358, 0.280125, 0.289659, 0.302929, 0.323845, 0.345411, 0.369599");
-            values ( \
-              "0.0107334, 0.0341067, 0.0492082, 0.0489793, 0.0650219, 0.0781208, 0.0870861, 0.0916422, 0.0909271, 0.0906331, 0.0800894, 0.0792022, 0.0786568, 0.0750306, 0.0707717, 0.048975, 0.0489915, 0.0394061, 0.0335385, 0.025487, 0.0240578, 0.0217473, 0.0184077, 0.0158363, 0.0137929, 0.00785203, 0.00636747, 0.005152, 0.00468823, 0.002537, 0.00187375, 0.000330098, 0.000599346, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.145563, 0.156622, 0.16346, 0.169207, 0.1743, 0.183863, 0.193125, 0.202538, 0.211932, 0.216032, 0.219065, 0.24562, 0.259508, 0.265626, 0.285745, 0.294114, 0.310002, 0.312713, 0.318134, 0.344485, 0.39834, 0.431679");
-            values ( \
-              "0.0372689, 0.0935444, 0.120466, 0.136582, 0.147761, 0.157638, 0.158653, 0.151833, 0.141345, 0.139491, 0.135128, 0.0660411, 0.0399139, 0.034017, 0.0236737, 0.0180169, 0.0105938, 0.0103861, 0.00791133, 0.00286723, 8.02079e-05, 0.000530616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.149312, 0.155429, 0.162542, 0.167588, 0.177422, 0.186676, 0.19384, 0.200543, 0.214297, 0.214377, 0.219318, 0.243907, 0.255004, 0.279355, 0.296868, 0.309036, 0.319265, 0.324607, 0.331544, 0.339729, 0.355697, 0.373103, 0.394712, 0.409483, 0.429561, 0.455832, 0.490109, 0.536905");
-            values ( \
-              "0.0978051, 0.115741, 0.158097, 0.182974, 0.215206, 0.231105, 0.236072, 0.23847, 0.23334, 0.233711, 0.23016, 0.207097, 0.19299, 0.13311, 0.0973047, 0.0760703, 0.0605877, 0.0536529, 0.0465165, 0.0405672, 0.031171, 0.0227148, 0.0145799, 0.0106449, 0.0065679, 0.00357548, 0.00138977, 0.000474338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.153934, 0.165783, 0.171287, 0.179025, 0.190013, 0.202249, 0.209471, 0.221302, 0.250433, 0.269812, 0.288237, 0.31023, 0.324199, 0.382869, 0.401865, 0.422949, 0.444993, 0.471987, 0.499055, 0.532197, 0.574343, 0.631367, 0.723472, 0.871862");
-            values ( \
-              "0.19014, 0.20854, 0.238916, 0.268633, 0.293955, 0.306731, 0.308694, 0.308996, 0.297197, 0.285896, 0.274113, 0.257097, 0.242621, 0.154335, 0.128301, 0.103298, 0.0798206, 0.0572964, 0.0423349, 0.0293138, 0.0178391, 0.0086053, 0.00229639, 1.67743e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.157861, 0.179412, 0.189895, 0.199482, 0.209659, 0.224278, 0.254771, 0.281768, 0.318329, 0.348958, 0.399756, 0.454313, 0.49576, 0.605845, 0.66247, 0.703611, 0.732823, 0.767236, 0.813183, 0.827854, 0.857197, 0.896174, 0.952296, 1.02093, 1.08516, 1.16242, 1.24632, 1.33022, 1.49802");
-            values ( \
-              "0.263785, 0.301168, 0.331511, 0.347527, 0.355936, 0.361441, 0.35889, 0.351935, 0.34026, 0.329546, 0.30992, 0.285023, 0.256742, 0.16865, 0.127928, 0.102631, 0.0869077, 0.0707304, 0.0537471, 0.0493975, 0.0415425, 0.0330023, 0.0234305, 0.0152834, 0.0101262, 0.0060671, 0.00345722, 0.00194306, 0.000610912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.167856, 0.167876, 0.351549, 0.503529, 0.706158, 0.858184, 1.21558, 1.3244, 1.44305, 1.56301, 1.71194, 1.85507, 2.02931, 2.19712, 2.36492, 2.86832");
-            values ( \
-              "1e-22, 0.404824, 0.384742, 0.356125, 0.313988, 0.269851, 0.135306, 0.102723, 0.0741804, 0.0527482, 0.0342142, 0.0222755, 0.012995, 0.00757753, 0.00431738, 0.000544037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.18856, 0.198156, 0.203884, 0.208633, 0.212761, 0.220389, 0.227621, 0.234949, 0.242772, 0.248618, 0.251186, 0.261954, 0.27454, 0.277737, 0.28198, 0.282464, 0.283432, 0.285369, 0.292963, 0.301942, 0.315291, 0.319553, 0.321065, 0.324088, 0.330135, 0.360886, 0.381993, 0.405428, 0.430477");
-            values ( \
-              "0.0183113, 0.0497018, 0.0649083, 0.0747783, 0.0821745, 0.0896954, 0.0915244, 0.0876024, 0.0802994, 0.0776163, 0.0733414, 0.0481405, 0.0257636, 0.0240033, 0.0229515, 0.0233494, 0.022619, 0.022515, 0.0184414, 0.0124941, 0.00671773, 0.00599765, 0.0051115, 0.00492011, 0.00330257, 0.000641048, 0.000636476, 1e-22, 0.000338028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.191782, 0.19647, 0.201949, 0.206165, 0.214036, 0.219628, 0.224798, 0.229197, 0.235866, 0.243071, 0.257226, 0.257851, 0.259101, 0.261602, 0.264974, 0.268653, 0.281093, 0.285305, 0.290921, 0.299388, 0.304983, 0.306879, 0.310671, 0.31598, 0.322292, 0.329904, 0.331021, 0.333257, 0.337729, 0.344831, 0.354847, 0.358708, 0.381875, 0.406124, 0.433124, 0.46409");
-            values ( \
-              "0.0579414, 0.0669474, 0.0929077, 0.110596, 0.135934, 0.147065, 0.154194, 0.156931, 0.158946, 0.155995, 0.142097, 0.140396, 0.140559, 0.138615, 0.134845, 0.125766, 0.0894819, 0.0789116, 0.0667782, 0.0500881, 0.0403107, 0.0375155, 0.0348779, 0.0314071, 0.0286938, 0.0237102, 0.0235592, 0.0217223, 0.019581, 0.0153197, 0.0113979, 0.00955674, 0.00407953, 0.00198914, 0.000434457, 0.000458009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.195581, 0.20372, 0.212663, 0.22256, 0.231859, 0.239024, 0.245727, 0.259482, 0.264481, 0.300156, 0.342027, 0.364469, 0.384979, 0.418099, 0.455113, 0.492796");
-            values ( \
-              "0.121689, 0.135086, 0.18179, 0.214375, 0.230386, 0.236751, 0.23776, 0.234031, 0.23014, 0.193084, 0.0973844, 0.0605943, 0.0404937, 0.0228298, 0.0105065, 0.00482349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.200116, 0.216553, 0.222758, 0.226918, 0.230571, 0.237877, 0.246736, 0.25481, 0.266647, 0.278465, 0.295535, 0.315143, 0.327452, 0.328322, 0.330063, 0.333544, 0.339054, 0.347063, 0.355563, 0.369534, 0.385918, 0.422456, 0.448397, 0.472442, 0.489065, 0.511994, 0.535605, 0.544296, 0.561678, 0.592212, 0.618594, 0.658997, 0.698559, 0.745133, 0.802088, 0.87709");
-            values ( \
-              "0.197645, 0.237784, 0.263244, 0.275513, 0.284832, 0.297161, 0.306212, 0.308887, 0.308931, 0.304473, 0.297224, 0.285797, 0.277379, 0.277247, 0.275727, 0.274032, 0.269132, 0.263991, 0.257191, 0.242524, 0.21844, 0.162754, 0.126835, 0.0985828, 0.0811105, 0.0611818, 0.0468963, 0.0424681, 0.0353772, 0.0248327, 0.0181601, 0.0109616, 0.00682205, 0.00337911, 0.00176538, 0.000356706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.203971, 0.226642, 0.2392, 0.247495, 0.254907, 0.269704, 0.300022, 0.327017, 0.363457, 0.394208, 0.445007, 0.499563, 0.540458, 0.651103, 0.707734, 0.748865, 0.778063, 0.81248, 0.85843, 0.873104, 0.902452, 0.941427, 0.997548, 1.06617, 1.1304, 1.20769, 1.29159, 1.37549, 1.54329");
-            values ( \
-              "0.282768, 0.307455, 0.338777, 0.350668, 0.356046, 0.361336, 0.358777, 0.351931, 0.340296, 0.32954, 0.309927, 0.285024, 0.257148, 0.168651, 0.127925, 0.10262, 0.0869055, 0.0707402, 0.0537408, 0.0494046, 0.0415341, 0.0330086, 0.0234232, 0.0152768, 0.0101334, 0.00605928, 0.0034637, 0.00193582, 0.00060387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.213852, 0.213872, 0.390451, 0.433509, 0.539849, 0.702845, 0.819369, 0.90327, 0.951168, 1.14203, 1.26157, 1.34547, 1.41755, 1.48702, 1.57092, 1.60807, 1.68236, 1.75842, 1.84232, 1.94216, 2.08155, 2.24936, 2.41716, 2.66886, 2.92056");
-            values ( \
-              "1e-22, 0.40889, 0.385233, 0.37798, 0.357411, 0.324174, 0.296762, 0.269398, 0.252072, 0.177728, 0.135456, 0.10984, 0.0907711, 0.0748551, 0.0590759, 0.053192, 0.0430078, 0.0345128, 0.0269586, 0.0200362, 0.0131332, 0.00783102, 0.00464152, 0.00209481, 0.000950243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.286939, 0.299952, 0.307275, 0.311438, 0.317744, 0.32181, 0.326352, 0.332743, 0.339396, 0.349945, 0.360445, 0.373226, 0.376436, 0.384692, 0.404165, 0.420026, 0.438776, 0.466819");
-            values ( \
-              "0.0194646, 0.057476, 0.0744081, 0.0814643, 0.0882954, 0.0904663, 0.0910611, 0.0880277, 0.0818684, 0.0744829, 0.0486704, 0.0261016, 0.0238001, 0.0220655, 0.0109918, 0.00528124, 0.00222003, 0.000879838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.29008, 0.294827, 0.304735, 0.312597, 0.318263, 0.323462, 0.327862, 0.334752, 0.341761, 0.363796, 0.389617, 0.403898, 0.40893, 0.444014, 0.464745, 0.479408, 0.503007, 0.510002");
-            values ( \
-              "0.0580642, 0.0652589, 0.109179, 0.134707, 0.146736, 0.153465, 0.156862, 0.15841, 0.15556, 0.134298, 0.0665331, 0.0396942, 0.0349427, 0.0153187, 0.00757647, 0.00450483, 0.00187867, 0.00167947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.294037, 0.30246, 0.306495, 0.31141, 0.315666, 0.321422, 0.326485, 0.33076, 0.337943, 0.344649, 0.357459, 0.360513, 0.37937, 0.395746, 0.404708, 0.424128, 0.4378, 0.446411, 0.460815, 0.46668, 0.477303, 0.496343, 0.510124, 0.524655, 0.53529, 0.544525, 0.556837, 0.5758, 0.602089, 0.638946, 0.687473");
-            values ( \
-              "0.115465, 0.133277, 0.156658, 0.180362, 0.19711, 0.21381, 0.224244, 0.23017, 0.236145, 0.237792, 0.234211, 0.232271, 0.215595, 0.198767, 0.178864, 0.131675, 0.103324, 0.0872627, 0.0642562, 0.0561904, 0.0449611, 0.0330216, 0.0260441, 0.0196531, 0.0157867, 0.012914, 0.009846, 0.00629881, 0.00335485, 0.00126309, 0.000356365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.296017, 0.315629, 0.325739, 0.336871, 0.345691, 0.353717, 0.365563, 0.394093, 0.432178, 0.454482, 0.480341, 0.525821, 0.557322, 0.594503, 0.611854, 0.635473, 0.658641, 0.689532, 0.717306, 0.760638, 0.800291, 0.847752, 0.857647");
-            values ( \
-              "0.14192, 0.23797, 0.274947, 0.297149, 0.305729, 0.308955, 0.30858, 0.297154, 0.273988, 0.257268, 0.225449, 0.156483, 0.114503, 0.0751362, 0.0605992, 0.0462524, 0.0359742, 0.0254418, 0.0183624, 0.0107426, 0.00640703, 0.00336852, 0.00315608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.299649, 0.312997, 0.325115, 0.336753, 0.345633, 0.353546, 0.368468, 0.38366, 0.405292, 0.425285, 0.464837, 0.543644, 0.598238, 0.626356, 0.6597, 0.75939, 0.83095, 0.883677, 0.915621, 0.95907, 0.997326, 1.03766, 1.09487, 1.16733, 1.23167, 1.3106, 1.3945, 1.5623");
-            values ( \
-              "0.236832, 0.25018, 0.306669, 0.336742, 0.349627, 0.356174, 0.360995, 0.360484, 0.357243, 0.352032, 0.33937, 0.309915, 0.284876, 0.26638, 0.241384, 0.16125, 0.112248, 0.0833688, 0.0688922, 0.0531103, 0.0424648, 0.0335135, 0.0235996, 0.0150157, 0.0099789, 0.00586846, 0.00338121, 0.00109192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.313778, 0.313798, 0.515236, 0.577949, 0.801561, 0.885462, 0.978462, 1.04976, 1.24108, 1.36089, 1.44479, 1.51516, 1.58507, 1.7068, 1.78247, 1.85804, 1.94194, 2.04054, 2.1791, 2.3469, 2.5147, 2.7664, 3.01811");
-            values ( \
-              "1e-22, 0.414188, 0.381004, 0.369361, 0.324214, 0.305149, 0.277621, 0.252079, 0.177612, 0.13527, 0.109676, 0.0910477, 0.0750062, 0.0531972, 0.0428342, 0.0344272, 0.0268844, 0.0200601, 0.0131831, 0.0078616, 0.00466015, 0.00210203, 0.000954415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.486499, 0.491242, 0.500392, 0.511892, 0.518363, 0.522418, 0.52707, 0.533535, 0.539235, 0.548167, 0.550084, 0.563699, 0.575533, 0.582088, 0.586881, 0.605106, 0.618443, 0.624673, 0.648357, 0.669093, 0.674678");
-            values ( \
-              "0.0249962, 0.0303401, 0.0557431, 0.0791551, 0.086959, 0.0893714, 0.0897715, 0.0874729, 0.0820238, 0.0769455, 0.0749288, 0.0442695, 0.0245197, 0.0233095, 0.0213491, 0.0108517, 0.00588527, 0.00443818, 0.00148893, 0.000666235, 0.000606625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.489726, 0.497015, 0.507573, 0.51877, 0.528513, 0.537884, 0.54736, 0.553333, 0.561796, 0.567533, 0.582057, 0.591475, 0.605221, 0.61294, 0.644114, 0.654283, 0.666209, 0.682111, 0.70681, 0.765172");
-            values ( \
-              "0.0582691, 0.07109, 0.114896, 0.144239, 0.15533, 0.157076, 0.150743, 0.14455, 0.138329, 0.1279, 0.0861207, 0.0646565, 0.0392534, 0.0329716, 0.0158288, 0.011108, 0.00732267, 0.00430253, 0.00158581, 0.000119305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.49371, 0.503305, 0.511596, 0.522101, 0.531387, 0.53865, 0.545382, 0.554647, 0.564844, 0.588912, 0.59518, 0.604918, 0.625433, 0.645706, 0.664985, 0.669385, 0.678185, 0.697242, 0.714078, 0.728946, 0.753085, 0.771147, 0.799143, 0.82244");
-            values ( \
-              "0.111887, 0.131868, 0.174959, 0.211024, 0.22809, 0.234186, 0.236747, 0.234921, 0.228675, 0.207141, 0.200651, 0.180799, 0.130909, 0.0901125, 0.0596261, 0.0538748, 0.0451404, 0.033054, 0.0246171, 0.0183305, 0.0108944, 0.00724294, 0.00362086, 0.0022273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.49348, 0.514561, 0.528254, 0.538132, 0.546422, 0.554073, 0.564743, 0.577798, 0.60097, 0.617274, 0.654933, 0.668891, 0.747766, 0.772881, 0.788121, 0.8102, 0.841926, 0.866119, 0.910438, 0.932073, 0.96092, 1.00075, 1.04754, 1.10486, 1.18106");
-            values ( \
-              "0.0888348, 0.227549, 0.277855, 0.295918, 0.304611, 0.308071, 0.308123, 0.304231, 0.293994, 0.284025, 0.257323, 0.242412, 0.126861, 0.0973923, 0.0813856, 0.0620242, 0.0432447, 0.0331132, 0.0198449, 0.0152463, 0.0110164, 0.00619674, 0.00363591, 0.00129951, 0.000715049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.502876, 0.526248, 0.537915, 0.546802, 0.554645, 0.565536, 0.58498, 0.609147, 0.632452, 0.69405, 0.735283, 0.799402, 0.840373, 0.95094, 1.00757, 1.05844, 1.11232, 1.17294, 1.24127, 1.29739, 1.32026, 1.43024, 1.59125, 1.75905, 1.84295");
-            values ( \
-              "0.271207, 0.303276, 0.334297, 0.34829, 0.355252, 0.359518, 0.360121, 0.356799, 0.350476, 0.329801, 0.313912, 0.284794, 0.257324, 0.168425, 0.1277, 0.0969278, 0.0705162, 0.0491818, 0.0327866, 0.0236451, 0.0201678, 0.00991253, 0.00324747, 0.000887869, 0.000824937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.513373, 0.513393, 0.677979, 0.749839, 0.841183, 1.00262, 1.05103, 1.11915, 1.20305, 1.25094, 1.44181, 1.56134, 1.64524, 1.71732, 1.7868, 1.8707, 1.90784, 1.98214, 2.05819, 2.14209, 2.24194, 2.29743, 2.38133, 2.46523, 2.54913, 2.71693, 2.96863, 3.22034");
-            values ( \
-              "1e-22, 0.407444, 0.38705, 0.374952, 0.357119, 0.324165, 0.313542, 0.296753, 0.269408, 0.252063, 0.17772, 0.135448, 0.109847, 0.0907774, 0.0748494, 0.0590814, 0.0531867, 0.0430127, 0.0345079, 0.0269632, 0.0200332, 0.0169496, 0.0131306, 0.0101452, 0.00782856, 0.00463925, 0.00209687, 0.000948538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.894912, 0.901735, 0.914662, 0.924593, 0.932761, 0.935321, 0.940332, 0.946093, 0.955893, 0.962109, 0.964408, 0.973975, 0.977854, 0.987397, 0.99077, 0.995842, 1.00111, 1.013, 1.0259, 1.03192, 1.03415, 1.04756, 1.06081, 1.08177, 1.10348, 1.12769");
-            values ( \
-              "0.0131507, 0.0252796, 0.0559788, 0.0753876, 0.0847545, 0.086353, 0.0879326, 0.0861079, 0.0792729, 0.0764249, 0.0728627, 0.0508143, 0.0430336, 0.0265063, 0.0239454, 0.0233055, 0.0213304, 0.0139222, 0.00778287, 0.00615458, 0.0052944, 0.00281048, 0.00177169, 0.00051252, 0.000441293, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.898772, 0.90507, 0.919923, 0.925747, 0.931735, 0.937288, 0.941765, 0.949301, 0.951323, 0.955368, 0.960912, 0.970231, 0.971016, 0.972587, 0.975727, 0.979815, 0.981897, 0.993647, 0.999548, 1.00372, 1.01814, 1.02024, 1.02443, 1.03601, 1.04643, 1.05797, 1.06802, 1.07199, 1.07991, 1.09575, 1.12017, 1.14753, 1.17877");
-            values ( \
-              "0.0425342, 0.0503883, 0.106624, 0.124824, 0.138578, 0.147214, 0.151505, 0.154623, 0.154446, 0.153156, 0.148929, 0.140639, 0.139345, 0.139213, 0.137138, 0.130604, 0.125218, 0.0912535, 0.0767688, 0.0675117, 0.0403634, 0.0377598, 0.034458, 0.0283078, 0.0220335, 0.0156116, 0.0112447, 0.00976755, 0.00746293, 0.0041889, 0.0017388, 0.00060625, 0.000245881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.902444, 0.909908, 0.923997, 0.935097, 0.944326, 0.951753, 0.95854, 0.971547, 0.974564, 1.00756, 1.01293, 1.0519, 1.07843, 1.08549, 1.09488, 1.11069, 1.13146, 1.15307, 1.1653, 1.1845, 1.21576, 1.23511");
-            values ( \
-              "0.082671, 0.0922701, 0.165123, 0.204521, 0.223021, 0.231087, 0.233783, 0.232004, 0.230351, 0.201741, 0.192379, 0.103462, 0.0594471, 0.0508527, 0.0425711, 0.0329695, 0.0227072, 0.0145628, 0.0112807, 0.00711258, 0.00329168, 0.00256441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.907107, 0.916741, 0.928324, 0.935334, 0.944645, 0.955386, 0.967618, 0.979705, 0.991455, 1.01585, 1.04537, 1.06863, 1.08259, 1.14908, 1.19058, 1.22299, 1.24035, 1.25502, 1.289, 1.31801, 1.35202, 1.37775, 1.41943, 1.46846, 1.4809");
-            values ( \
-              "0.149671, 0.152782, 0.221565, 0.251819, 0.27894, 0.296795, 0.305675, 0.306387, 0.303462, 0.293023, 0.274514, 0.257483, 0.242262, 0.14357, 0.0929276, 0.0630241, 0.051401, 0.0437585, 0.0301387, 0.0215816, 0.0143359, 0.0103834, 0.00601421, 0.00308683, 0.00284853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.911991, 0.951351, 0.967839, 0.982646, 0.998092, 1.01323, 1.03973, 1.09808, 1.15807, 1.21274, 1.2561, 1.38891, 1.43837, 1.50744, 1.58575, 1.65986, 1.71313, 1.83895, 1.97821");
-            values ( \
-              "0.207684, 0.33035, 0.352182, 0.358825, 0.359329, 0.358158, 0.352086, 0.332988, 0.310045, 0.284718, 0.255317, 0.15015, 0.116618, 0.0789111, 0.0495009, 0.0319589, 0.0231115, 0.0104294, 0.0045283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.921917, 0.96597, 0.978737, 1.01671, 1.0929, 1.19727, 1.41572, 1.53236, 1.66391, 1.97503, 2.1293, 2.19921, 2.32094, 2.39661, 2.55609, 2.79324, 3.11815");
-            values ( \
-              "0.372801, 0.376805, 0.386528, 0.394427, 0.386879, 0.368403, 0.32424, 0.296604, 0.252091, 0.13527, 0.0910493, 0.0750062, 0.0531961, 0.0428342, 0.0268849, 0.0131824, 0.00493103" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00302346, 0.00302352, 0.0030236, 0.00302365, 0.00302368, 0.0030237", \
-            "0.00361995, 0.00361996, 0.00361999, 0.00362002, 0.00362004, 0.00362005", \
-            "0.00398343, 0.00398342, 0.00398342, 0.00398343, 0.00398345, 0.00398345", \
-            "0.0041984, 0.00419841, 0.00419839, 0.00419839, 0.00419839, 0.0041984", \
-            "0.0043129, 0.00431289, 0.00431289, 0.00431288, 0.00431288, 0.00431288", \
-            "0.00437546, 0.00437546, 0.00437546, 0.00437546, 0.00437545, 0.00437545" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00434917, 0.00434912, 0.0043491, 0.0043491, 0.0043491, 0.00434911", \
-            "0.00482504, 0.00482498, 0.00482491, 0.00482487, 0.00482486, 0.00482486", \
-            "0.0050856, 0.00508553, 0.00508543, 0.00508534, 0.00508528, 0.00508525", \
-            "0.00535421, 0.00535414, 0.00535414, 0.00535409, 0.00535401, 0.00535395", \
-            "0.0057781, 0.00577822, 0.00577839, 0.00577854, 0.00577862, 0.00577863", \
-            "0.00676387, 0.00675897, 0.00675427, 0.00674795, 0.0067447, 0.00674352" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.159663, 0.172447, 0.198317, 0.252296, 0.36931, 0.627123", \
-            "0.16576, 0.178545, 0.204418, 0.2584, 0.375419, 0.633232", \
-            "0.180293, 0.193076, 0.218947, 0.272931, 0.389948, 0.64776", \
-            "0.212109, 0.224892, 0.250754, 0.304752, 0.4218, 0.679612", \
-            "0.266553, 0.279329, 0.305188, 0.359267, 0.476326, 0.734164", \
-            "0.352482, 0.365309, 0.391225, 0.445303, 0.562415, 0.820483" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0481872, 0.061833, 0.0943035, 0.169383, 0.3389, 0.719561", \
-            "0.0481837, 0.0618291, 0.0943024, 0.169382, 0.338901, 0.719583", \
-            "0.0481874, 0.0618324, 0.0943035, 0.169383, 0.338898, 0.719582", \
-            "0.0482455, 0.0618734, 0.0943285, 0.16939, 0.338945, 0.719568", \
-            "0.0486763, 0.0622215, 0.0945543, 0.169523, 0.338886, 0.719578", \
-            "0.0497446, 0.0630863, 0.0951046, 0.169712, 0.338884, 0.719673" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.127029, 0.131761, 0.139048, 0.14954, 0.155528, 0.159594, 0.163911, 0.170193, 0.187074, 0.19757, 0.210386, 0.214599, 0.218127, 0.223946, 0.245226, 0.256412, 0.276885, 0.315551, 0.362115");
-            values ( \
-              "0.0152515, 0.0402217, 0.0643164, 0.0852694, 0.0920398, 0.0938231, 0.0932239, 0.0904144, 0.0745074, 0.0489041, 0.0264188, 0.0231685, 0.0235571, 0.0213953, 0.00882579, 0.00513428, 0.00183679, 0.000193993, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.127094, 0.134584, 0.143199, 0.151317, 0.156307, 0.161265, 0.17223, 0.17919, 0.199687, 0.224596, 0.243753, 0.280802, 0.294383, 0.316932, 0.34088");
-            values ( \
-              "0.00293211, 0.0753162, 0.117173, 0.143059, 0.151967, 0.158695, 0.162252, 0.158737, 0.137992, 0.0712789, 0.036425, 0.0150265, 0.00944077, 0.00412253, 0.0023827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.127206, 0.141276, 0.14519, 0.149899, 0.153867, 0.159251, 0.164204, 0.168476, 0.175476, 0.182092, 0.195622, 0.20297, 0.228137, 0.232421, 0.236688, 0.259405, 0.269758, 0.283562, 0.294245, 0.304588, 0.314926, 0.325357, 0.347416, 0.372619, 0.394345, 0.41796, 0.447626, 0.486774, 0.540588");
-            values ( \
-              "0.000351606, 0.144579, 0.168445, 0.190357, 0.206283, 0.220552, 0.230841, 0.235456, 0.241124, 0.241294, 0.237, 0.230776, 0.206041, 0.199656, 0.190791, 0.13524, 0.112482, 0.0865085, 0.0692479, 0.0544533, 0.044319, 0.0374306, 0.0257547, 0.0156066, 0.00981858, 0.00553528, 0.00278372, 0.000908788, 0.000286142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.136382, 0.159226, 0.163517, 0.174382, 0.183008, 0.190966, 0.202642, 0.214306, 0.225336, 0.24368, 0.291299, 0.311022, 0.360329, 0.39196, 0.41482, 0.434253, 0.449282, 0.472185, 0.497678, 0.527359, 0.554952, 0.597015, 0.636625, 0.683304, 0.702244");
-            values ( \
-              "0.175418, 0.270644, 0.282785, 0.302833, 0.310891, 0.312707, 0.312533, 0.308289, 0.302458, 0.290905, 0.256543, 0.233834, 0.159624, 0.117311, 0.0915011, 0.0727087, 0.0604293, 0.0465784, 0.0353495, 0.0253337, 0.0183157, 0.0108866, 0.00653127, 0.00345116, 0.00282945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.140128, 0.157019, 0.167762, 0.183122, 0.198107, 0.207111, 0.22296, 0.247836, 0.33008, 0.377647, 0.417685, 0.463813, 0.578816, 0.648755, 0.695112, 0.745018, 0.80966, 0.895422, 0.954132, 1.04477, 1.20502, 1.37349, 1.45773");
-            values ( \
-              "0.273392, 0.291995, 0.329429, 0.355313, 0.363977, 0.365166, 0.363672, 0.357041, 0.328715, 0.310889, 0.293911, 0.266546, 0.174752, 0.124419, 0.0966973, 0.0720755, 0.0490558, 0.0293648, 0.0204151, 0.0113665, 0.00370854, 0.000949966, 0.00104528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.1487, 0.14872, 0.328416, 0.378703, 0.568542, 0.723171, 0.815964, 1.19865, 1.30606, 1.42257, 1.5447, 1.69604, 1.83758, 2.01016, 2.17863, 2.3471, 2.85251");
-            values ( \
-              "1e-22, 0.405651, 0.384123, 0.375947, 0.338792, 0.305238, 0.277792, 0.13483, 0.102757, 0.074695, 0.0528263, 0.0340567, 0.0223058, 0.0131068, 0.00765754, 0.00438426, 0.000606965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.143497, 0.159302, 0.165446, 0.169731, 0.175686, 0.179869, 0.184108, 0.19043, 0.195816, 0.204842, 0.207271, 0.217766, 0.230688, 0.234897, 0.238323, 0.245379, 0.262008, 0.274542, 0.279939, 0.299015, 0.317855");
-            values ( \
-              "0.00138287, 0.0642873, 0.0787278, 0.0854646, 0.091848, 0.093695, 0.0933885, 0.0902284, 0.0842784, 0.0777696, 0.0743589, 0.0490447, 0.0261178, 0.0233079, 0.0234177, 0.0206342, 0.0105208, 0.0058283, 0.00452044, 0.00183694, 0.00110025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.148179, 0.154687, 0.15963, 0.166019, 0.171018, 0.176398, 0.181372, 0.185693, 0.192147, 0.19928, 0.213268, 0.213859, 0.21504, 0.217403, 0.220656, 0.241669, 0.258498, 0.262434, 0.26582, 0.300418, 0.31445, 0.3223, 0.337999, 0.361759, 0.388326, 0.418748");
-            values ( \
-              "0.0141041, 0.0758642, 0.101039, 0.126686, 0.141875, 0.152237, 0.158509, 0.160849, 0.162093, 0.158564, 0.143758, 0.142225, 0.142094, 0.1403, 0.136403, 0.0783609, 0.0439247, 0.0380085, 0.0349448, 0.0154109, 0.00959274, 0.00745645, 0.00411352, 0.00186263, 0.000614533, 0.000349643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.148092, 0.158938, 0.170158, 0.179278, 0.184154, 0.188361, 0.195359, 0.201976, 0.215506, 0.219121, 0.248018, 0.256569, 0.289685, 0.303577, 0.324406, 0.334768, 0.367292, 0.392499, 0.414246, 0.437869, 0.467511, 0.481649");
-            values ( \
-              "0.00109465, 0.130207, 0.192461, 0.221363, 0.230516, 0.235906, 0.240704, 0.241725, 0.23658, 0.234017, 0.206038, 0.190791, 0.112398, 0.0862758, 0.0545413, 0.044342, 0.0257655, 0.0156148, 0.00980814, 0.00553877, 0.00277693, 0.00210463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.158624, 0.172291, 0.180925, 0.187019, 0.19404, 0.202779, 0.210841, 0.228026, 0.245296, 0.263557, 0.311174, 0.330887, 0.380203, 0.411826, 0.454137, 0.469177, 0.492061, 0.517551, 0.547206, 0.588856, 0.616918, 0.656503, 0.703162, 0.726907");
-            values ( \
-              "0.241556, 0.242118, 0.276306, 0.291231, 0.303115, 0.310296, 0.313278, 0.310668, 0.302372, 0.290862, 0.2565, 0.23389, 0.159666, 0.117278, 0.0726576, 0.0604569, 0.0465358, 0.0353076, 0.0253844, 0.0154423, 0.0109253, 0.00648858, 0.00349457, 0.00267939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.16253, 0.187801, 0.194429, 0.203199, 0.211117, 0.222674, 0.232207, 0.245902, 0.261979, 0.339614, 0.401017, 0.455735, 0.517289, 0.606596, 0.668423, 0.732037, 0.765797, 0.813958, 0.861231, 0.915145, 0.958932, 1.00455, 1.06538, 1.14141, 1.22565, 1.30988, 1.47836");
-            values ( \
-              "0.313824, 0.328932, 0.343421, 0.354806, 0.361072, 0.364679, 0.364894, 0.363005, 0.358763, 0.332249, 0.309233, 0.284359, 0.241112, 0.168869, 0.12522, 0.0879017, 0.072308, 0.0538081, 0.0407684, 0.0299738, 0.0225334, 0.0169439, 0.0118713, 0.00685365, 0.00423967, 0.00204862, 0.00049609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.16695, 0.213637, 0.229348, 0.245571, 0.26735, 0.352353, 0.44904, 0.658968, 0.775572, 0.907467, 1.07263, 1.21808, 1.37356, 1.52752, 1.63912, 1.71516, 1.79939, 1.95399, 2.11748, 2.28595, 2.6229, 2.8756");
-            values ( \
-              "0.387178, 0.389197, 0.396021, 0.397537, 0.395927, 0.383388, 0.366412, 0.324109, 0.296737, 0.252082, 0.18721, 0.135575, 0.0906726, 0.058866, 0.0427889, 0.0347021, 0.0267242, 0.0167638, 0.0100907, 0.00590059, 0.00191319, 0.00115513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.192375, 0.19718, 0.206562, 0.214955, 0.222298, 0.229325, 0.244166, 0.250044, 0.275906, 0.287674, 0.302691, 0.314537, 0.332367, 0.362457, 0.372902");
-            values ( \
-              "0.0138644, 0.040764, 0.0696779, 0.0859431, 0.0926836, 0.0939108, 0.081421, 0.0783097, 0.0257026, 0.0221486, 0.0126811, 0.00722148, 0.00297196, 0.0005375, 0.000518534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.192512, 0.200041, 0.204983, 0.211377, 0.216753, 0.22176, 0.231055, 0.237673, 0.240116, 0.24938, 0.258565, 0.260529, 0.263147, 0.266378, 0.269919, 0.283028, 0.290455, 0.299459, 0.308896, 0.314439, 0.320685, 0.328401, 0.332083, 0.339447, 0.356104, 0.359823, 0.36726, 0.405001, 0.461409");
-            values ( \
-              "0.00198847, 0.0758615, 0.101219, 0.127047, 0.142341, 0.152657, 0.161325, 0.161547, 0.161489, 0.154041, 0.143298, 0.141507, 0.140526, 0.135156, 0.127454, 0.0880838, 0.0702159, 0.0520388, 0.0365839, 0.0326518, 0.030096, 0.0250456, 0.0235523, 0.0184973, 0.0106295, 0.0100439, 0.00710967, 0.00155645, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.192554, 0.210575, 0.215157, 0.224581, 0.229563, 0.23386, 0.240859, 0.247475, 0.261122, 0.264625, 0.290306, 0.297808, 0.335167, 0.363076, 0.369931, 0.380284, 0.412792, 0.437993, 0.459766, 0.483421, 0.51302, 0.513033");
-            values ( \
-              "0.0133361, 0.167798, 0.190534, 0.221155, 0.230082, 0.236191, 0.240391, 0.242032, 0.236545, 0.233996, 0.209467, 0.199666, 0.112447, 0.063982, 0.0545217, 0.0443182, 0.0257775, 0.0156285, 0.00979169, 0.00554358, 0.00276456, 0.00276394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.201629, 0.226607, 0.240025, 0.248445, 0.25622, 0.267894, 0.290641, 0.308929, 0.356553, 0.376234, 0.425583, 0.457134, 0.499582, 0.514698, 0.537514, 0.562785, 0.592317, 0.62018, 0.66265, 0.702195, 0.748858, 0.770365");
-            values ( \
-              "0.175633, 0.277027, 0.303662, 0.310647, 0.313058, 0.312179, 0.302404, 0.290881, 0.256516, 0.233917, 0.159648, 0.117381, 0.0726134, 0.0603375, 0.0465153, 0.0353801, 0.0254474, 0.0183495, 0.0108616, 0.00647659, 0.00346514, 0.00298722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.20546, 0.222431, 0.227403, 0.239686, 0.256466, 0.267919, 0.277438, 0.29115, 0.307835, 0.385089, 0.446356, 0.501082, 0.562635, 0.651939, 0.71452, 0.75231, 0.776902, 0.809692, 0.858772, 0.892353, 0.942152, 0.998475, 1.02253, 1.13428, 1.2986, 1.46708");
-            values ( \
-              "0.271711, 0.291451, 0.312196, 0.343487, 0.361273, 0.364833, 0.365045, 0.363133, 0.358699, 0.332298, 0.309347, 0.284438, 0.241204, 0.168782, 0.124824, 0.101298, 0.0880601, 0.0730338, 0.0538786, 0.0443146, 0.0329532, 0.0239053, 0.0200786, 0.00971449, 0.00304783, 0.000765409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.214119, 0.214139, 0.397955, 0.417569, 0.543422, 0.752456, 0.821145, 0.90538, 1.14404, 1.26365, 1.37164, 1.48886, 1.61029, 1.76073, 1.9029, 2.07786, 2.24633, 2.4148, 2.49903, 2.58327, 2.66751, 2.75174, 2.83598, 2.92021");
-            values ( \
-              "1e-22, 0.40576, 0.383529, 0.380483, 0.357017, 0.3138, 0.296202, 0.269468, 0.177268, 0.13504, 0.102778, 0.0745657, 0.0528454, 0.0341681, 0.022345, 0.0130446, 0.00763018, 0.00437851, 0.00393962, 0.00244415, 0.00245081, 0.00130071, 0.00157253, 0.000627347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.291295, 0.296918, 0.306434, 0.314876, 0.320816, 0.325094, 0.329283, 0.335528, 0.349939, 0.367894, 0.376113, 0.380266, 0.383523, 0.39034, 0.403002, 0.416118, 0.430055, 0.457513, 0.479277");
-            values ( \
-              "0.00695761, 0.0397036, 0.0688025, 0.0852752, 0.0913898, 0.0933116, 0.0934373, 0.0899915, 0.0780375, 0.0396275, 0.0256487, 0.0234753, 0.0232916, 0.020635, 0.0127525, 0.00692832, 0.00358662, 0.000976476, 0.000713361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.291111, 0.303015, 0.311163, 0.321586, 0.326565, 0.330899, 0.337419, 0.344501, 0.359081, 0.363324, 0.366404, 0.390877, 0.404179, 0.410564, 0.44526, 0.46789, 0.505818");
-            values ( \
-              "0.00300424, 0.0923882, 0.125918, 0.151878, 0.15811, 0.160722, 0.161787, 0.158272, 0.142413, 0.139885, 0.13509, 0.0692675, 0.0433591, 0.0355271, 0.0158095, 0.00715818, 0.00190456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.294415, 0.303777, 0.308155, 0.315028, 0.319169, 0.324632, 0.329567, 0.333813, 0.340823, 0.347442, 0.359987, 0.363255, 0.367613, 0.390284, 0.393094, 0.397148, 0.406313, 0.423871, 0.436893, 0.452009, 0.463056, 0.468276, 0.478717, 0.497038, 0.520322, 0.535318, 0.55404, 0.573596, 0.60043, 0.63407, 0.680164");
-            values ( \
-              "0.0145344, 0.124972, 0.153697, 0.189196, 0.205088, 0.220586, 0.230051, 0.235546, 0.240493, 0.241542, 0.237159, 0.234978, 0.231339, 0.20936, 0.206507, 0.200724, 0.180804, 0.137433, 0.108908, 0.0812377, 0.0639107, 0.0566607, 0.0454435, 0.0337856, 0.0224053, 0.0165904, 0.0110739, 0.00706416, 0.00371384, 0.00158153, 0.000466357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.299987, 0.317551, 0.321754, 0.326343, 0.332345, 0.339359, 0.348117, 0.356186, 0.367869, 0.379532, 0.390599, 0.408881, 0.456527, 0.476089, 0.525562, 0.539091, 0.556671, 0.57992, 0.59994, 0.615498, 0.637975, 0.661813, 0.690698, 0.720002, 0.73492, 0.764757, 0.80419, 0.851022, 0.907945, 0.984525");
-            values ( \
-              "0.133124, 0.241157, 0.259899, 0.275796, 0.291041, 0.302559, 0.310351, 0.312907, 0.312154, 0.307924, 0.302397, 0.290892, 0.256517, 0.234074, 0.159637, 0.140631, 0.117921, 0.0916527, 0.0722743, 0.0597338, 0.0462856, 0.0357599, 0.0259119, 0.0183822, 0.0153268, 0.0105678, 0.00630763, 0.00336204, 0.00150266, 0.000490695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.307585, 0.332903, 0.348304, 0.356234, 0.372211, 0.384152, 0.400956, 0.479415, 0.546187, 0.60086, 0.649711, 0.754354, 0.825027, 0.895521, 0.942904, 0.974405, 1.01218, 1.06254, 1.11979, 1.20481, 1.27384, 1.35808, 1.43036");
-            values ( \
-              "0.312829, 0.328724, 0.354814, 0.360762, 0.364742, 0.363887, 0.360363, 0.333912, 0.309141, 0.284569, 0.25087, 0.167064, 0.117639, 0.0790784, 0.0594508, 0.0494935, 0.039678, 0.0293538, 0.0206898, 0.0120945, 0.00772801, 0.0043807, 0.00335419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.316794, 0.316814, 0.478274, 0.58258, 0.777036, 0.920696, 1.05258, 1.36319, 1.51867, 1.58839, 1.70983, 1.78423, 1.9445, 2.18334, 2.49219");
-            values ( \
-              "1e-22, 0.420329, 0.385943, 0.368448, 0.329571, 0.29654, 0.25189, 0.135383, 0.0908649, 0.0749083, 0.0531873, 0.0429804, 0.0269158, 0.0131425, 0.00534129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.491382, 0.511016, 0.515247, 0.519762, 0.525771, 0.527289, 0.530324, 0.534429, 0.540776, 0.541671, 0.543462, 0.546312, 0.54941, 0.550198, 0.551773, 0.554379, 0.557768, 0.567669, 0.57012, 0.581733, 0.585883, 0.588745, 0.595236, 0.605605, 0.61468, 0.622989, 0.629129, 0.638982, 0.649654, 0.667015, 0.68033");
-            values ( \
-              "0.00143777, 0.065352, 0.0754695, 0.0828349, 0.0899261, 0.0903245, 0.0923046, 0.0920858, 0.0894534, 0.0880688, 0.0869848, 0.0835244, 0.081615, 0.0804676, 0.0794216, 0.0792762, 0.0741724, 0.050288, 0.0453512, 0.0253341, 0.0234829, 0.0234381, 0.0209407, 0.014362, 0.009565, 0.00651959, 0.00486564, 0.00308335, 0.00192178, 0.000871767, 0.000575863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.496282, 0.503494, 0.515682, 0.520898, 0.526391, 0.531494, 0.535843, 0.54241, 0.549567, 0.562963, 0.564187, 0.566634, 0.570587, 0.574916, 0.588624, 0.595359, 0.60746, 0.612799, 0.617422, 0.642082, 0.657927, 0.674256, 0.68505, 0.705952, 0.761356");
-            values ( \
-              "0.0136927, 0.0675071, 0.121177, 0.137771, 0.148867, 0.156082, 0.158704, 0.160687, 0.157583, 0.144318, 0.142224, 0.141204, 0.136531, 0.126914, 0.0868153, 0.0709471, 0.0465053, 0.0379897, 0.0341032, 0.0201359, 0.0121727, 0.00689784, 0.00487143, 0.00215807, 0.00024563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.496373, 0.511151, 0.519642, 0.523794, 0.529452, 0.534438, 0.538667, 0.545755, 0.550141, 0.559466, 0.566071, 0.571997, 0.595341, 0.602161, 0.611954, 0.633294, 0.640945, 0.655408, 0.674275, 0.68488, 0.695103, 0.717772, 0.74301, 0.765031, 0.789962, 0.819471, 0.83393");
-            values ( \
-              "0.00921843, 0.138165, 0.183648, 0.200737, 0.216841, 0.227594, 0.232877, 0.239101, 0.240348, 0.238944, 0.23563, 0.231157, 0.209101, 0.200646, 0.179344, 0.127599, 0.110929, 0.0841062, 0.0554178, 0.0446522, 0.0378578, 0.0258115, 0.0156391, 0.00975624, 0.0053349, 0.00267867, 0.00201683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.505964, 0.517985, 0.525675, 0.531315, 0.537179, 0.544762, 0.550095, 0.555695, 0.561184, 0.567452, 0.571873, 0.583059, 0.600717, 0.634327, 0.661642, 0.680677, 0.730697, 0.760537, 0.784699, 0.806244, 0.822809, 0.844694, 0.863772, 0.884882, 0.914386, 0.950621, 0.982282, 1.02408, 1.07254, 1.13533, 1.21956");
-            values ( \
-              "0.182328, 0.211839, 0.251979, 0.272654, 0.287569, 0.301251, 0.306247, 0.309399, 0.31118, 0.311626, 0.311133, 0.307807, 0.299166, 0.276501, 0.25649, 0.234774, 0.159598, 0.119467, 0.0919827, 0.0712279, 0.0581703, 0.0455163, 0.0370345, 0.0293392, 0.0208607, 0.0134471, 0.00900718, 0.00518168, 0.00268036, 0.00109118, 0.000304163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.509792, 0.52665, 0.537769, 0.54993, 0.567568, 0.581681, 0.598421, 0.610716, 0.689746, 0.747933, 0.797372, 0.823139, 0.857494, 0.978665, 1.03563, 1.09883, 1.14432, 1.17916, 1.2211, 1.27703, 1.32554, 1.3981, 1.47221, 1.55633, 1.64057, 1.80904");
-            values ( \
-              "0.274347, 0.285056, 0.325735, 0.349344, 0.361785, 0.363812, 0.36186, 0.358732, 0.332087, 0.310523, 0.28902, 0.273599, 0.24879, 0.152592, 0.114131, 0.0798382, 0.0606987, 0.0495319, 0.0387412, 0.0276914, 0.0205796, 0.0130395, 0.00805559, 0.00457974, 0.00259791, 0.000822808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.519042, 0.519062, 0.694889, 0.744898, 0.846282, 0.985494, 1.05737, 1.12606, 1.21029, 1.25795, 1.44895, 1.56856, 1.6528, 1.72405, 1.79377, 1.87801, 1.91521, 1.9896, 2.06564, 2.14988, 2.24924, 2.38871, 2.55718, 2.72565, 2.97836, 3.23107");
-            values ( \
-              "1e-22, 0.405296, 0.384364, 0.376376, 0.357082, 0.328941, 0.313455, 0.296546, 0.269124, 0.251891, 0.177611, 0.135383, 0.109696, 0.0908655, 0.0749077, 0.0590587, 0.053187, 0.0429815, 0.0345095, 0.0269168, 0.0200486, 0.0131414, 0.00782301, 0.00463026, 0.00207181, 0.000948177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.907159, 0.916201, 0.933296, 0.937635, 0.94427, 0.948098, 0.95293, 0.958168, 0.960335, 0.964087, 0.974446, 0.976628, 0.987417, 0.999451, 1.00391, 1.00757, 1.01409, 1.02996, 1.0372, 1.04338, 1.05025, 1.05942, 1.07369, 1.09266, 1.1619");
-            values ( \
-              "0.000193268, 0.028823, 0.0702064, 0.0782441, 0.0860154, 0.0885735, 0.0899766, 0.0880098, 0.0867356, 0.0832116, 0.0769233, 0.0734816, 0.0484236, 0.0269732, 0.0234989, 0.0235276, 0.0209294, 0.011366, 0.00810978, 0.00609789, 0.00442985, 0.0028797, 0.00151721, 0.000660687, 9.57242e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.907294, 0.933311, 0.938786, 0.944703, 0.950084, 0.954484, 0.961546, 0.968479, 0.982609, 0.983279, 0.98462, 0.987302, 0.990526, 1.01141, 1.02829, 1.03207, 1.03527, 1.06838, 1.08274, 1.10056, 1.11863, 1.14032");
-            values ( \
-              "0.00114718, 0.11133, 0.129236, 0.142533, 0.151001, 0.154656, 0.157524, 0.155249, 0.142265, 0.140896, 0.140791, 0.139004, 0.134733, 0.0777871, 0.0435224, 0.038014, 0.035155, 0.0162738, 0.0100791, 0.00539451, 0.00289715, 0.0013525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.913116, 0.924559, 0.932033, 0.935928, 0.94172, 0.947859, 0.952968, 0.957157, 0.964433, 0.971133, 0.983919, 0.987057, 0.995151, 1.01774, 1.02162, 1.02602, 1.04981, 1.05741, 1.06754, 1.0823, 1.0925, 1.09899, 1.10817, 1.12263, 1.14164, 1.16361, 1.16555, 1.16943, 1.19145, 1.21595, 1.25029, 1.26949, 1.30788");
-            values ( \
-              "0.021496, 0.102072, 0.144977, 0.164777, 0.189971, 0.20922, 0.221074, 0.227514, 0.234963, 0.237088, 0.234798, 0.233021, 0.226574, 0.205402, 0.199426, 0.190863, 0.132833, 0.116138, 0.0964987, 0.0712967, 0.0563211, 0.0493027, 0.0414716, 0.0328817, 0.023452, 0.0149984, 0.0147768, 0.0132261, 0.00801549, 0.00477582, 0.00213145, 0.00132555, 0.000490705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.919542, 0.941407, 0.955562, 0.97197, 0.979947, 0.991802, 1.00349, 1.02192, 1.08061, 1.10024, 1.16327, 1.20351, 1.23737, 1.267, 1.30791, 1.33543, 1.39831, 1.46097");
-            values ( \
-              "0.109824, 0.228741, 0.280522, 0.305287, 0.308937, 0.309896, 0.306551, 0.297591, 0.256625, 0.233786, 0.140306, 0.0919364, 0.0616057, 0.0440876, 0.0281106, 0.0204641, 0.00937264, 0.00477657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.928633, 0.951814, 0.963447, 0.972355, 0.986774, 0.99578, 1.00533, 1.01965, 1.03227, 1.11951, 1.17045, 1.21589, 1.27717, 1.38451, 1.44763, 1.52147, 1.59174, 1.67579, 1.74679, 1.84643, 2.00806, 2.02225");
-            values ( \
-              "0.276819, 0.302349, 0.334848, 0.349318, 0.360224, 0.362524, 0.362824, 0.361026, 0.357823, 0.328546, 0.30934, 0.289582, 0.248571, 0.162348, 0.118449, 0.07807, 0.051387, 0.0312261, 0.0201839, 0.0106498, 0.00351981, 0.00330762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.938943, 0.938963, 1.15841, 1.41155, 1.53074, 1.62184, 1.98774, 2.14275, 2.21259, 2.33422, 2.409, 2.56914, 2.66816, 2.8074, 3.14147");
-            values ( \
-              "1e-22, 0.409866, 0.377474, 0.327572, 0.300457, 0.271867, 0.135323, 0.0909467, 0.0749476, 0.0531854, 0.0429346, 0.0268981, 0.0200518, 0.0131517, 0.00470447" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00302579, 0.00302585, 0.00302593, 0.00302598, 0.00302601, 0.00302603", \
-            "0.00362247, 0.00362248, 0.00362251, 0.00362254, 0.00362256, 0.00362257", \
-            "0.00398429, 0.00398429, 0.00398429, 0.0039843, 0.00398431, 0.00398432", \
-            "0.00419757, 0.00419755, 0.00419755, 0.00419755, 0.00419755, 0.00419756", \
-            "0.00431054, 0.00431054, 0.00431054, 0.00431053, 0.00431053, 0.00431053", \
-            "0.00437267, 0.00437267, 0.00437266, 0.00437266, 0.00437266, 0.00437265" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00435556, 0.00435551, 0.00435548, 0.00435548, 0.00435549, 0.00435549", \
-            "0.00482445, 0.00482438, 0.00482431, 0.00482428, 0.00482427, 0.00482427", \
-            "0.00506649, 0.00506642, 0.00506632, 0.00506623, 0.00506617, 0.00506614", \
-            "0.00529631, 0.00529758, 0.00529705, 0.0052967, 0.0052966, 0.00529655", \
-            "0.0056547, 0.00565482, 0.00565499, 0.00565512, 0.00565516, 0.00565516", \
-            "0.00655533, 0.00655299, 0.00654606, 0.00654011, 0.00653727, 0.00653624" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.165347, 0.178525, 0.204868, 0.259302, 0.375969, 0.633641", \
-            "0.171409, 0.184591, 0.210947, 0.265359, 0.382044, 0.639715", \
-            "0.185975, 0.19916, 0.225509, 0.279937, 0.396605, 0.654276", \
-            "0.217798, 0.230969, 0.257309, 0.311742, 0.428425, 0.686111", \
-            "0.272283, 0.285451, 0.311843, 0.366295, 0.483006, 0.740721", \
-            "0.358716, 0.371964, 0.398405, 0.452904, 0.569652, 0.827411" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0504016, 0.0639721, 0.0960941, 0.169974, 0.33846, 0.718992", \
-            "0.0504185, 0.0639761, 0.0961055, 0.169971, 0.338459, 0.718991", \
-            "0.0504293, 0.0639427, 0.0961019, 0.169981, 0.338459, 0.718991", \
-            "0.0504867, 0.064029, 0.0961328, 0.169989, 0.33847, 0.71899", \
-            "0.0509866, 0.0644272, 0.0964406, 0.170102, 0.338453, 0.718991", \
-            "0.0520924, 0.0653208, 0.0969713, 0.170304, 0.338511, 0.718979" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.128408, 0.137534, 0.142075, 0.150255, 0.158191, 0.168153, 0.169315, 0.17164, 0.176815, 0.183591, 0.184804, 0.187232, 0.191219, 0.196815, 0.201433, 0.215426, 0.219267, 0.223397, 0.229, 0.249609, 0.262493, 0.273132, 0.28634, 0.302512, 0.322967, 0.332503");
-            values ( \
-              "0.0106926, 0.0445431, 0.057518, 0.0745855, 0.0839918, 0.0887325, 0.0882318, 0.0883006, 0.0852928, 0.0794783, 0.0795188, 0.0772593, 0.0772172, 0.0652872, 0.0525481, 0.0279552, 0.0239332, 0.023492, 0.0216517, 0.0100591, 0.00556591, 0.00344732, 0.0020392, 0.000997758, 0.00058435, 0.000511808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.131548, 0.142818, 0.149768, 0.154499, 0.164229, 0.170817, 0.180309, 0.189928, 0.200047, 0.209663, 0.223671, 0.232754, 0.247045, 0.252934, 0.289673, 0.299593, 0.319952, 0.338661, 0.364036, 0.36599");
-            values ( \
-              "0.0355674, 0.0922886, 0.117491, 0.129662, 0.146983, 0.152878, 0.154867, 0.149182, 0.140335, 0.129297, 0.0884035, 0.0669816, 0.0406299, 0.0347941, 0.0145641, 0.0103706, 0.0053288, 0.00267391, 0.00124612, 0.00121439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.135036, 0.153606, 0.165431, 0.177782, 0.185974, 0.191661, 0.201815, 0.206959, 0.235957, 0.241321, 0.281626, 0.304783, 0.313957, 0.323957, 0.340814, 0.367549, 0.382997, 0.404305, 0.429612, 0.461269, 0.465504");
-            values ( \
-              "0.0837982, 0.176096, 0.211137, 0.228831, 0.233918, 0.234583, 0.231707, 0.227978, 0.201973, 0.193299, 0.102366, 0.0636909, 0.0518002, 0.0429809, 0.0328372, 0.0201841, 0.0146716, 0.00917651, 0.00505006, 0.00232529, 0.00223386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.139559, 0.162086, 0.172479, 0.184586, 0.197187, 0.209267, 0.220997, 0.245435, 0.275973, 0.298304, 0.310033, 0.379613, 0.420721, 0.443897, 0.459981, 0.487293, 0.520589, 0.550267, 0.587203, 0.619736, 0.662399, 0.694534");
-            values ( \
-              "0.1621, 0.246685, 0.277433, 0.296656, 0.305936, 0.306527, 0.30389, 0.292237, 0.273506, 0.257205, 0.24487, 0.142335, 0.0925094, 0.0702267, 0.0576406, 0.0425672, 0.029495, 0.0209906, 0.01342, 0.00881285, 0.00506468, 0.00341388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.143775, 0.161092, 0.178695, 0.196988, 0.210936, 0.226793, 0.256936, 0.285051, 0.322706, 0.360932, 0.413226, 0.442009, 0.486748, 0.593503, 0.650083, 0.701054, 0.754985, 0.81556, 0.897475, 0.959474, 1.05216, 1.20846, 1.37712, 1.46145");
-            values ( \
-              "0.267709, 0.271394, 0.327925, 0.352793, 0.358761, 0.35977, 0.35465, 0.347002, 0.334793, 0.320451, 0.29869, 0.28474, 0.254484, 0.168464, 0.127768, 0.0969245, 0.0704936, 0.0491937, 0.0301774, 0.0206025, 0.0113712, 0.00390065, 0.00109574, 0.000928186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.15335, 0.259836, 0.320799, 0.422591, 0.645248, 0.761778, 0.893562, 1.05861, 1.20394, 1.3591, 1.51322, 1.6249, 1.70089, 1.78522, 1.93953, 2.10819, 2.27686, 2.61418, 2.86718");
-            values ( \
-              "0.387841, 0.393767, 0.387322, 0.369256, 0.324466, 0.297019, 0.25234, 0.187267, 0.135737, 0.0907015, 0.0588166, 0.0427183, 0.0347746, 0.0266454, 0.0167073, 0.00986676, 0.00573764, 0.00181431, 0.0011966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.150288, 0.154262, 0.164805, 0.173891, 0.181781, 0.189288, 0.1938, 0.213352, 0.224344, 0.236556, 0.250807, 0.276276, 0.285998, 0.305275, 0.341818, 0.352427");
-            values ( \
-              "0.0314941, 0.0345508, 0.0639673, 0.0794514, 0.0864157, 0.0885868, 0.0871901, 0.0731135, 0.0469463, 0.0259855, 0.0208861, 0.00727219, 0.00460291, 0.00187868, 0.000354944, 0.000343596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.153454, 0.161158, 0.169834, 0.179408, 0.184212, 0.190887, 0.194689, 0.200379, 0.209998, 0.220118, 0.229836, 0.238283, 0.248366, 0.262631, 0.269946, 0.309129, 0.323305, 0.331306, 0.347307, 0.369312, 0.395613, 0.425119");
-            values ( \
-              "0.0659862, 0.0845498, 0.117245, 0.139679, 0.14679, 0.15283, 0.154176, 0.154843, 0.149168, 0.140343, 0.129083, 0.103322, 0.0767897, 0.0482805, 0.0368985, 0.0146306, 0.00913194, 0.0071949, 0.0039466, 0.00204019, 0.00067235, 0.000452541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.157515, 0.16849, 0.174715, 0.185414, 0.193804, 0.19995, 0.207974, 0.221976, 0.229392, 0.254173, 0.259203, 0.267319, 0.289034, 0.301627, 0.32508, 0.339425, 0.347629, 0.37218, 0.398452, 0.411401, 0.428666, 0.455148, 0.482758");
-            values ( \
-              "0.131558, 0.153144, 0.179595, 0.210665, 0.224787, 0.230555, 0.234162, 0.231584, 0.226639, 0.204324, 0.19742, 0.180666, 0.128614, 0.102572, 0.0635691, 0.0466272, 0.0406984, 0.0270211, 0.0161458, 0.012226, 0.00836832, 0.00439594, 0.00237762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.15761, 0.174729, 0.184723, 0.190272, 0.204166, 0.21695, 0.228628, 0.240755, 0.265195, 0.293554, 0.318065, 0.338939, 0.383877, 0.422041, 0.447589, 0.475198, 0.507007, 0.52389, 0.556218, 0.581353, 0.620636, 0.65988, 0.7068, 0.83903");
-            values ( \
-              "0.120773, 0.216905, 0.25696, 0.272396, 0.296928, 0.305776, 0.306821, 0.303684, 0.292125, 0.274949, 0.257257, 0.233111, 0.16416, 0.113023, 0.0851112, 0.0607428, 0.0424949, 0.0355456, 0.0244858, 0.0181822, 0.0111518, 0.00689166, 0.00347323, 0.000408632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.166895, 0.192987, 0.206352, 0.21713, 0.231102, 0.246948, 0.277068, 0.315665, 0.342942, 0.407574, 0.433368, 0.462152, 0.50687, 0.613646, 0.670227, 0.721197, 0.775128, 0.835703, 0.864995, 0.917512, 0.979607, 1.07221, 1.14398, 1.22831, 1.39698, 1.48131");
-            values ( \
-              "0.309106, 0.31336, 0.340664, 0.352719, 0.358846, 0.359698, 0.354583, 0.343783, 0.334709, 0.309557, 0.298642, 0.284788, 0.254451, 0.168506, 0.127809, 0.0969641, 0.0705324, 0.0492312, 0.0417371, 0.0302339, 0.0206397, 0.0114135, 0.00740404, 0.00394371, 0.00113303, 0.000895144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.172148, 0.25055, 0.265836, 0.340428, 0.442149, 0.665031, 0.781562, 0.913346, 1.22373, 1.37888, 1.44867, 1.57023, 1.64468, 1.80501, 2.04364, 2.35081");
-            values ( \
-              "0.378064, 0.393002, 0.39366, 0.387116, 0.369071, 0.324242, 0.296793, 0.252111, 0.135505, 0.0909348, 0.0750029, 0.0532328, 0.0429511, 0.0268783, 0.013167, 0.00540886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.194982, 0.204565, 0.210363, 0.215402, 0.219451, 0.227341, 0.233824, 0.234848, 0.240997, 0.242358, 0.245081, 0.250357, 0.256605, 0.263337, 0.268689, 0.281939, 0.285477, 0.28975, 0.290829, 0.292986, 0.2973, 0.301661, 0.307253, 0.308316, 0.310443, 0.314697, 0.320104, 0.32804, 0.331566, 0.338619, 0.35172, 0.370391, 0.390808, 0.398439");
-            values ( \
-              "0.0197223, 0.0491688, 0.0641097, 0.0732743, 0.079577, 0.0865659, 0.0881278, 0.088773, 0.086365, 0.0847729, 0.0831389, 0.0786512, 0.0764058, 0.0623266, 0.049399, 0.0260587, 0.024179, 0.02294, 0.023413, 0.0219129, 0.0205689, 0.0171323, 0.0145638, 0.013138, 0.012829, 0.0098922, 0.00852379, 0.00518967, 0.00521742, 0.00308771, 0.00241661, 0.000537822, 0.000907359, 0.000589562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.197955, 0.202758, 0.212557, 0.220022, 0.226429, 0.231664, 0.236312, 0.245803, 0.254607, 0.255415, 0.25703, 0.264662, 0.270761, 0.276422, 0.292351, 0.301842, 0.314461, 0.31525, 0.316829, 0.319987, 0.324767, 0.331105, 0.338707, 0.339864, 0.342179, 0.346808, 0.353914, 0.364483, 0.368687, 0.393906, 0.444451, 0.474489");
-            values ( \
-              "0.0559325, 0.0659838, 0.108766, 0.130221, 0.142521, 0.148562, 0.152988, 0.155026, 0.149334, 0.149338, 0.14718, 0.140848, 0.136769, 0.125064, 0.0797391, 0.0592327, 0.0374467, 0.0374487, 0.0353609, 0.0340811, 0.0308006, 0.0282014, 0.0230043, 0.0230769, 0.0209488, 0.0190725, 0.0146681, 0.0110365, 0.00888587, 0.00352568, 0.000333845, 0.000666885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.201903, 0.223956, 0.230483, 0.235363, 0.24552, 0.253647, 0.265984, 0.270964, 0.29736, 0.305198, 0.335665, 0.356205, 0.376676, 0.397572, 0.420424, 0.445817, 0.485686, 0.515076");
-            values ( \
-              "0.107696, 0.192159, 0.208794, 0.219172, 0.23096, 0.233684, 0.232648, 0.229943, 0.206877, 0.196929, 0.126325, 0.0868169, 0.0551185, 0.0376994, 0.0254933, 0.0152646, 0.00603598, 0.00376729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.206302, 0.220944, 0.233632, 0.243783, 0.251536, 0.262408, 0.274457, 0.286228, 0.310667, 0.335648, 0.363535, 0.382685, 0.43021, 0.462863, 0.507159, 0.523325, 0.552513, 0.586671, 0.615963, 0.651596, 0.684119, 0.726763, 0.768038");
-            values ( \
-              "0.197573, 0.219965, 0.267402, 0.289188, 0.298353, 0.305988, 0.306373, 0.303988, 0.292351, 0.277145, 0.25736, 0.235338, 0.163117, 0.118812, 0.071945, 0.0589712, 0.0425968, 0.0292394, 0.0208521, 0.0135411, 0.00893475, 0.00510276, 0.00299371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.210441, 0.233966, 0.25283, 0.262293, 0.27642, 0.307654, 0.37443, 0.452741, 0.507319, 0.568946, 0.64646, 0.715389, 0.785907, 0.866225, 0.910161, 0.962583, 1.00869, 1.05692, 1.18903, 1.35769, 1.52636");
-            values ( \
-              "0.280753, 0.300446, 0.343004, 0.352097, 0.359392, 0.357583, 0.339521, 0.310098, 0.285328, 0.241561, 0.17801, 0.128347, 0.0865116, 0.0533935, 0.0412062, 0.0307831, 0.022595, 0.0166662, 0.00688015, 0.00195644, 0.000366446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.220458, 0.220478, 0.386145, 0.42892, 0.710792, 0.827323, 0.959107, 1.12415, 1.26949, 1.42464, 1.57877, 1.69044, 1.76644, 1.85077, 2.00507, 2.16511, 2.33377, 2.6711, 2.9241");
-            values ( \
-              "1e-22, 0.402202, 0.387463, 0.380432, 0.32458, 0.297129, 0.252447, 0.187165, 0.135838, 0.0906024, 0.0587175, 0.0426189, 0.0348735, 0.0265464, 0.0166084, 0.0100433, 0.00580372, 0.00177292, 0.00132228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.294749, 0.307674, 0.315319, 0.319359, 0.323665, 0.327272, 0.33378, 0.336818, 0.340869, 0.350317, 0.356506, 0.358876, 0.368654, 0.381908, 0.385448, 0.390796, 0.39728, 0.408278, 0.414936, 0.428111, 0.438394, 0.451534, 0.470184, 0.512398, 0.536828, 0.565166");
-            values ( \
-              "0.0212478, 0.0571617, 0.07328, 0.0789524, 0.0834673, 0.0860419, 0.0883254, 0.0880518, 0.0859958, 0.0789567, 0.0761093, 0.0721592, 0.0497153, 0.0263543, 0.0238774, 0.0231158, 0.0202659, 0.0134301, 0.0100546, 0.00544744, 0.00339895, 0.00215024, 0.000822731, 0.000129011, 0.000292285, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.299382, 0.308113, 0.315129, 0.319808, 0.326354, 0.336254, 0.339609, 0.34576, 0.353765, 0.365508, 0.374975, 0.383974, 0.396024, 0.410825, 0.41523, 0.421104, 0.455109, 0.465036, 0.485412, 0.503307, 0.528381, 0.536531");
-            values ( \
-              "0.0768262, 0.0907635, 0.1164, 0.129131, 0.141814, 0.152389, 0.15401, 0.154484, 0.150263, 0.140045, 0.129795, 0.102233, 0.0715801, 0.0434356, 0.0369317, 0.0329743, 0.0145834, 0.0103664, 0.00533142, 0.00275131, 0.00129973, 0.00116187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.301429, 0.310261, 0.318862, 0.323584, 0.329939, 0.33494, 0.339141, 0.345169, 0.353328, 0.365602, 0.370792, 0.397055, 0.404891, 0.413825, 0.433383, 0.447227, 0.461461, 0.473744, 0.480734, 0.49031, 0.506337, 0.527217, 0.549087, 0.561729, 0.581805, 0.609366, 0.644432, 0.692759");
-            values ( \
-              "0.108611, 0.13322, 0.174267, 0.191061, 0.208194, 0.218243, 0.224312, 0.230319, 0.233871, 0.232217, 0.229419, 0.206496, 0.19727, 0.177782, 0.130734, 0.102197, 0.0772983, 0.058868, 0.0504678, 0.0424123, 0.0328136, 0.0225859, 0.0144512, 0.0110218, 0.00690992, 0.00361122, 0.00144703, 0.000431809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.302386, 0.316416, 0.320451, 0.329255, 0.333838, 0.343582, 0.351505, 0.362334, 0.374388, 0.386122, 0.410599, 0.439885, 0.463468, 0.482709, 0.530117, 0.545506, 0.563093, 0.586468, 0.606818, 0.622668, 0.645435, 0.666448, 0.694465, 0.726115, 0.742348, 0.774807, 0.815334, 0.864192, 0.923388, 1.00543");
-            values ( \
-              "0.108398, 0.196755, 0.217647, 0.2534, 0.267386, 0.288328, 0.298528, 0.305499, 0.306642, 0.303604, 0.291998, 0.274286, 0.257369, 0.23521, 0.163158, 0.141353, 0.118446, 0.091942, 0.0721899, 0.05939, 0.0459229, 0.036577, 0.0267227, 0.0184397, 0.0151777, 0.0100578, 0.00594377, 0.00302573, 0.00133989, 0.000358652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.309044, 0.32644, 0.338255, 0.351488, 0.362417, 0.376245, 0.39217, 0.422244, 0.461388, 0.494537, 0.552872, 0.607436, 0.654425, 0.759822, 0.830199, 0.90372, 0.954212, 0.980648, 1.05185, 1.10645, 1.17228, 1.23674, 1.31519, 1.39952, 1.43146");
-            values ( \
-              "0.264642, 0.270772, 0.313097, 0.340386, 0.352421, 0.358903, 0.359504, 0.354449, 0.34348, 0.332278, 0.309771, 0.285018, 0.252535, 0.168023, 0.118492, 0.0782241, 0.0576544, 0.0494584, 0.0325017, 0.023306, 0.01547, 0.0102243, 0.00608572, 0.00344929, 0.00309052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.31818, 0.379499, 0.411185, 0.485818, 0.587804, 0.810377, 0.926907, 1.05869, 1.36907, 1.52423, 1.59402, 1.71558, 1.79002, 1.95035, 2.18899, 2.49991");
-            values ( \
-              "0.386817, 0.389907, 0.393673, 0.387112, 0.369006, 0.32423, 0.296783, 0.252103, 0.135498, 0.0909409, 0.0749967, 0.053227, 0.0429571, 0.0268843, 0.0131612, 0.00530815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.499238, 0.505158, 0.514416, 0.523897, 0.531984, 0.53959, 0.545489, 0.552461, 0.559165, 0.563883, 0.574918, 0.587456, 0.600071, 0.623173, 0.635258, 0.650951, 0.682826, 0.702534");
-            values ( \
-              "0.0333312, 0.0360438, 0.0600904, 0.0769172, 0.0846486, 0.0873337, 0.0852459, 0.0801467, 0.0773309, 0.0729217, 0.0469043, 0.0256107, 0.0215126, 0.00873097, 0.00497034, 0.00246721, 0.000638304, 0.00052219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.502496, 0.510302, 0.51951, 0.53105, 0.539381, 0.544554, 0.550704, 0.560375, 0.570553, 0.580272, 0.595531, 0.605474, 0.618684, 0.624021, 0.659567, 0.681867, 0.698038, 0.720202, 0.776344");
-            values ( \
-              "0.0716881, 0.0775406, 0.111933, 0.139138, 0.149371, 0.152555, 0.153324, 0.148041, 0.139647, 0.12905, 0.084687, 0.0623186, 0.0386693, 0.0343689, 0.0148529, 0.00699822, 0.00409504, 0.00182947, 0.000261372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.506612, 0.518538, 0.528253, 0.535067, 0.542008, 0.548221, 0.550134, 0.553961, 0.558227, 0.562254, 0.56641, 0.572424, 0.584437, 0.602229, 0.617256, 0.630234, 0.640601, 0.657084, 0.674635, 0.681448, 0.687414, 0.696727, 0.711208, 0.729352, 0.751872, 0.767737, 0.782697, 0.807261, 0.841944, 0.886898");
-            values ( \
-              "0.12634, 0.147397, 0.186483, 0.205351, 0.21909, 0.226768, 0.228202, 0.230576, 0.232078, 0.23267, 0.23233, 0.23031, 0.221873, 0.205881, 0.184021, 0.150151, 0.126386, 0.0934303, 0.0649587, 0.0556699, 0.0490898, 0.0416391, 0.033039, 0.023972, 0.0152442, 0.0108342, 0.00769148, 0.0043251, 0.00179106, 0.000564653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.506644, 0.528037, 0.53874, 0.548548, 0.557377, 0.567383, 0.577775, 0.591278, 0.615768, 0.646267, 0.668641, 0.682616, 0.749793, 0.790905, 0.830702, 0.857625, 0.890715, 0.920485, 0.957736, 0.990265, 1.05831");
-            values ( \
-              "0.116439, 0.225331, 0.263663, 0.285649, 0.297179, 0.303835, 0.305627, 0.302982, 0.291857, 0.273575, 0.257302, 0.242101, 0.14255, 0.0926574, 0.0573731, 0.042561, 0.0295578, 0.021022, 0.0133895, 0.00878613, 0.00416078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.515869, 0.543163, 0.551811, 0.562747, 0.576915, 0.592534, 0.612966, 0.628491, 0.67679, 0.754239, 0.81254, 0.85628, 0.988834, 1.0334, 1.07165, 1.11684, 1.16884, 1.186, 1.23101, 1.28244, 1.3318, 1.40284, 1.47845, 1.56278, 1.64711, 1.81577");
-            values ( \
-              "0.285155, 0.309845, 0.330129, 0.346253, 0.35632, 0.359098, 0.357031, 0.354062, 0.340231, 0.311384, 0.28498, 0.25504, 0.150125, 0.119732, 0.0971565, 0.0745617, 0.0544977, 0.0494013, 0.0379173, 0.0278565, 0.0206002, 0.0131859, 0.00803504, 0.00458843, 0.00257213, 0.000800396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.521414, 0.570095, 0.581542, 0.598381, 0.622009, 0.6492, 0.680703, 0.725852, 0.778421, 1.01581, 1.07112, 1.13234, 1.21667, 1.26413, 1.45498, 1.57451, 1.65884, 1.72966, 1.79945, 1.88379, 1.92101, 1.99546, 2.07146, 2.15579, 2.25494, 2.39441, 2.56307, 2.73174, 2.98473, 3.23773");
-            values ( \
-              "0.365133, 0.379552, 0.387487, 0.392204, 0.393618, 0.392097, 0.388571, 0.381466, 0.371795, 0.324181, 0.312003, 0.296733, 0.269269, 0.252055, 0.17773, 0.13546, 0.109722, 0.0909755, 0.0749626, 0.0590895, 0.053195, 0.0429891, 0.034505, 0.0269148, 0.0200471, 0.0131376, 0.00781318, 0.00461907, 0.00207258, 0.000939854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.911685, 0.918806, 0.936974, 0.947169, 0.950853, 0.958221, 0.959816, 0.965471, 0.972828, 0.978567, 0.983387, 0.994494, 1.00809, 1.01538, 1.02122, 1.03111, 1.04304, 1.05615, 1.07838, 1.11473, 1.11723");
-            values ( \
-              "0.0127019, 0.0241292, 0.0640907, 0.0782242, 0.0811203, 0.084947, 0.0851092, 0.0833238, 0.0781845, 0.0765618, 0.0725329, 0.0465764, 0.02453, 0.0231332, 0.020663, 0.0145796, 0.00878513, 0.00478714, 0.00185722, 0.000493048, 0.000479725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.915471, 0.922304, 0.937562, 0.948204, 0.95305, 0.960227, 0.963483, 0.970041, 0.979864, 0.987826, 0.990147, 0.994789, 1.00109, 1.01437, 1.02019, 1.03334, 1.04017, 1.07938, 1.09343, 1.10131, 1.11709, 1.14078, 1.16741, 1.19746");
-            values ( \
-              "0.0365339, 0.0490987, 0.103495, 0.130323, 0.138585, 0.14677, 0.148679, 0.150726, 0.146456, 0.140774, 0.138137, 0.136632, 0.124262, 0.0866755, 0.0727343, 0.0473064, 0.0369106, 0.0146292, 0.00917012, 0.00721432, 0.00401865, 0.00192444, 0.000639978, 0.000412232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.91921, 0.927483, 0.936209, 0.94191, 0.946645, 0.953752, 0.963058, 0.97187, 0.977613, 0.9891, 0.997291, 1.02381, 1.02794, 1.03293, 1.05817, 1.07177, 1.08521, 1.09496, 1.10648, 1.11571, 1.12735, 1.13725, 1.1498, 1.16461, 1.18493, 1.21592, 1.24789, 1.29976");
-            values ( \
-              "0.0679736, 0.0898343, 0.134119, 0.159231, 0.176651, 0.198021, 0.216755, 0.22648, 0.229234, 0.229882, 0.226081, 0.204189, 0.199007, 0.190283, 0.130587, 0.102712, 0.0788112, 0.0637304, 0.0493174, 0.0421643, 0.034773, 0.0294655, 0.0236932, 0.0174532, 0.0112457, 0.00546535, 0.00277015, 0.000758542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.923222, 0.934415, 0.946363, 0.952611, 0.959794, 0.964616, 0.971046, 0.979279, 0.98669, 0.998877, 1.01075, 1.0281, 1.03526, 1.04768, 1.06571, 1.08815, 1.10213, 1.11853, 1.15497, 1.18503, 1.21048, 1.22713, 1.23856, 1.25306, 1.27245, 1.28482, 1.30132, 1.33253, 1.35203, 1.38035, 1.41811, 1.4625, 1.51734, 1.58519");
-            values ( \
-              "0.111337, 0.148958, 0.21375, 0.239031, 0.262427, 0.274238, 0.285908, 0.296375, 0.301163, 0.3042, 0.301732, 0.295059, 0.291357, 0.284651, 0.273573, 0.257301, 0.24211, 0.218404, 0.162929, 0.121863, 0.0925921, 0.0761562, 0.0661523, 0.0555769, 0.0448094, 0.0392014, 0.0327067, 0.022911, 0.0181942, 0.0128657, 0.00791799, 0.00438212, 0.00204024, 0.00076719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.933218, 0.956963, 0.968509, 0.986907, 1.00085, 1.01696, 1.04686, 1.08593, 1.11917, 1.17766, 1.22658, 1.26664, 1.39359, 1.47661, 1.52523, 1.55552, 1.60443, 1.65913, 1.72377, 1.79487, 1.85105, 1.93092, 2.01525, 2.05605");
-            values ( \
-              "0.269902, 0.284617, 0.319305, 0.34807, 0.356012, 0.358203, 0.35444, 0.343794, 0.332565, 0.309971, 0.287648, 0.261989, 0.161193, 0.105382, 0.0797569, 0.0664674, 0.0498079, 0.0360948, 0.0243702, 0.0156879, 0.0109496, 0.00646766, 0.00366413, 0.00317791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.943635, 1.03604, 1.09289, 1.17996, 1.43522, 1.51955, 1.6121, 1.99451, 2.14792, 2.21816, 2.34041, 2.41626, 2.5761, 2.67398, 2.8126, 2.98126, 3.14993, 3.2243");
-            values ( \
-              "0.391218, 0.393302, 0.389653, 0.375299, 0.324217, 0.305061, 0.277631, 0.135268, 0.091262, 0.0751138, 0.0531966, 0.0428155, 0.0268429, 0.0200674, 0.0131844, 0.00784021, 0.00463386, 0.00409089" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321222", \
-            "0.00417671", \
-            "0.00481137", \
-            "0.00521287", \
-            "0.0054735", \
-            "0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506419", \
-            "0.00542538", \
-            "0.00529296", \
-            "0.00571515", \
-            "0.00598854", \
-            "0.0057461" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0030255, 0.00302557, 0.00302564, 0.0030257, 0.00302573, 0.00302575", \
-            "0.00362227, 0.00362228, 0.00362231, 0.00362234, 0.00362236, 0.00362237", \
-            "0.00398426, 0.00398425, 0.00398425, 0.00398426, 0.00398427, 0.00398428", \
-            "0.00419763, 0.00419762, 0.00419762, 0.00419762, 0.00419762, 0.00419762", \
-            "0.00431069, 0.00431069, 0.00431069, 0.00431068, 0.00431068, 0.00431068", \
-            "0.0043728, 0.00437279, 0.00437279, 0.00437279, 0.00437278, 0.00437278" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00435504, 0.00435498, 0.00435496, 0.00435496, 0.00435497, 0.00435497", \
-            "0.00482469, 0.00482462, 0.00482455, 0.00482452, 0.0048245, 0.0048245", \
-            "0.00506798, 0.00506791, 0.00506781, 0.00506772, 0.00506766, 0.00506763", \
-            "0.00530191, 0.00530251, 0.0053018, 0.00530157, 0.00530142, 0.00530133", \
-            "0.00565649, 0.00565661, 0.00565677, 0.00565691, 0.00565697, 0.00565697", \
-            "0.00652834, 0.00652548, 0.00651815, 0.00651292, 0.0065106, 0.00650976" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(CK & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.154182, 0.167175, 0.191777, 0.238508, 0.33022, 0.526769", \
-            "0.160079, 0.173072, 0.197679, 0.244427, 0.336146, 0.532731", \
-            "0.17331, 0.186283, 0.210887, 0.257666, 0.349408, 0.546007", \
-            "0.201535, 0.214482, 0.239113, 0.285995, 0.377814, 0.574468", \
-            "0.256986, 0.270608, 0.296121, 0.34374, 0.435882, 0.63261", \
-            "0.349078, 0.364357, 0.392585, 0.443349, 0.537128, 0.734498" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0448197, 0.0557967, 0.0795075, 0.129759, 0.242065, 0.503991", \
-            "0.044825, 0.0558053, 0.0794231, 0.12975, 0.242286, 0.50374", \
-            "0.0448444, 0.0558226, 0.0795024, 0.12962, 0.242169, 0.503739", \
-            "0.044992, 0.0559468, 0.0796425, 0.129777, 0.242284, 0.503733", \
-            "0.0486169, 0.0593694, 0.082421, 0.131345, 0.242469, 0.503763", \
-            "0.0576454, 0.0687952, 0.0916679, 0.13858, 0.2462, 0.505101" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00700975", \
-            "0.0089409", \
-            "0.0101363", \
-            "0.0107197", \
-            "0.0109821", \
-            "0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100549", \
-            "0.010318", \
-            "0.00954327", \
-            "0.00887701", \
-            "0.0086128", \
-            "0.00849665" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.114308, 0.129837, 0.13864, 0.142858, 0.151041, 0.158506, 0.165682, 0.172738, 0.179791, 0.185304, 0.196871, 0.201864, 0.20964, 0.220599, 0.22565, 0.231907, 0.242644, 0.285371, 0.323703");
-            values ( \
-              "-0.00969226, -0.0515953, -0.0685316, -0.0747623, -0.0853317, -0.0901066, -0.0929018, -0.0929894, -0.0900383, -0.0823842, -0.045643, -0.032984, -0.0192361, -0.00643087, -0.00382315, -0.00356619, -0.00406053, -0.0008717, -0.000135854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.118401, 0.124201, 0.135509, 0.144408, 0.158281, 0.165014, 0.169779, 0.178689, 0.187481, 0.19627, 0.201604, 0.206263, 0.217854, 0.227787, 0.238622, 0.253002, 0.257372, 0.261525, 0.269833, 0.293453, 0.329509");
-            values ( \
-              "-0.0451105, -0.0558596, -0.0977682, -0.122884, -0.150614, -0.158447, -0.161969, -0.166358, -0.16646, -0.159953, -0.149792, -0.133809, -0.0824849, -0.0499476, -0.0276388, -0.0102912, -0.0066729, -0.0046605, -0.00342764, -0.00424167, -0.00187331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.12182, 0.128786, 0.141562, 0.154643, 0.163759, 0.171503, 0.177659, 0.18997, 0.203241, 0.211719, 0.21576, 0.222067, 0.228711, 0.241101, 0.26292, 0.274381, 0.282537, 0.290481, 0.301073, 0.309354, 0.323208, 0.338983, 0.359462, 0.381985, 0.435044");
-            values ( \
-              "-0.0950985, -0.0952646, -0.155214, -0.199334, -0.222117, -0.236931, -0.245587, -0.256729, -0.26078, -0.259975, -0.258252, -0.253473, -0.244359, -0.209488, -0.115666, -0.079663, -0.0599421, -0.0451946, -0.0306448, -0.0221594, -0.0116951, -0.0046222, -0.00288721, -0.00340533, -0.00199161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.124863, 0.138875, 0.146936, 0.162249, 0.185092, 0.197715, 0.211294, 0.229473, 0.239787, 0.249967, 0.265694, 0.270387, 0.279774, 0.2918, 0.312603, 0.338712, 0.360501, 0.385841, 0.399672, 0.418114, 0.438744, 0.452326, 0.479488, 0.51505, 0.609017");
-            values ( \
-              "-0.13386, -0.168679, -0.208968, -0.265203, -0.318648, -0.335208, -0.346492, -0.354017, -0.356586, -0.357419, -0.354001, -0.3513, -0.34355, -0.326672, -0.26944, -0.181384, -0.123828, -0.0766937, -0.0585089, -0.0402575, -0.0262647, -0.0196247, -0.00987304, -0.00376709, -0.00239913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.132583, 0.162713, 0.186892, 0.21333, 0.23892, 0.253426, 0.267124, 0.283573, 0.300717, 0.325241, 0.341576, 0.374247, 0.392299, 0.416368, 0.432487, 0.449519, 0.516371, 0.5475, 0.581512, 0.610922, 0.638927, 0.676268, 0.703377, 0.734674, 0.776403, 0.837498, 0.904072, 0.970646, 1.17037");
-            values ( \
-              "-0.244807, -0.294478, -0.360186, -0.398616, -0.420294, -0.42919, -0.435102, -0.438586, -0.439032, -0.434771, -0.429561, -0.413382, -0.40067, -0.377201, -0.354711, -0.324526, -0.198445, -0.151969, -0.111824, -0.0849606, -0.0650382, -0.0450193, -0.0344548, -0.0251304, -0.0160651, -0.0078639, -0.0039064, -0.00248547, -0.000847704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.14395, 0.203117, 0.243491, 0.273158, 0.307522, 0.334941, 0.384108, 0.498111, 0.538076, 0.604649, 0.650485, 0.708107, 0.77468, 0.869097, 0.977589, 1.05014, 1.11311, 1.17282, 1.2257, 1.29228, 1.39068, 1.44097, 1.57412, 1.70726, 1.82026");
-            values ( \
-              "-0.380013, -0.410966, -0.456261, -0.476841, -0.487272, -0.488707, -0.48461, -0.463805, -0.454671, -0.435537, -0.418424, -0.387642, -0.331984, -0.242185, -0.158436, -0.116851, -0.0888872, -0.0682126, -0.0536434, -0.0395908, -0.0250796, -0.0196619, -0.0101641, -0.00535314, -0.00335531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.13062, 0.152868, 0.162694, 0.170875, 0.178343, 0.185521, 0.192578, 0.199632, 0.205139, 0.221705, 0.240435, 0.245487, 0.262484, 0.285371");
-            values ( \
-              "-0.00138505, -0.0575674, -0.0747447, -0.0853084, -0.090097, -0.092891, -0.0929845, -0.0900324, -0.0823903, -0.0329834, -0.00643493, -0.00382434, -0.00406051, -0.00235239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.136433, 0.154867, 0.164998, 0.170232, 0.178743, 0.18343, 0.18956, 0.198477, 0.207263, 0.216052, 0.221386, 0.226045, 0.237636, 0.247569, 0.258404, 0.272784, 0.277154, 0.281308, 0.289616, 0.313235, 0.356208");
-            values ( \
-              "-0.0228303, -0.0966036, -0.12504, -0.135952, -0.151744, -0.157131, -0.161921, -0.166406, -0.166407, -0.160001, -0.149795, -0.133805, -0.0824816, -0.0499495, -0.0276368, -0.0102891, -0.00667437, -0.00465857, -0.00342936, -0.00424337, -0.00141751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.140844, 0.1486, 0.157048, 0.16743, 0.174559, 0.183698, 0.191433, 0.197581, 0.209876, 0.219, 0.223163, 0.22942, 0.235669, 0.244808, 0.248639, 0.255237, 0.263095, 0.281926, 0.294219, 0.302551, 0.31, 0.319931, 0.328845, 0.34372, 0.359568, 0.381537, 0.404511, 0.449293");
-            values ( \
-              "-0.0738181, -0.0945868, -0.136232, -0.176781, -0.199313, -0.222151, -0.236946, -0.245578, -0.256728, -0.260204, -0.260751, -0.260537, -0.258237, -0.250196, -0.244501, -0.229833, -0.199603, -0.118787, -0.0795784, -0.0596853, -0.0458174, -0.0317298, -0.0225751, -0.0113695, -0.00436084, -0.00291866, -0.00335736, -0.00214959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.144115, 0.154601, 0.166861, 0.176296, 0.191553, 0.204194, 0.212021, 0.228576, 0.24737, 0.269851, 0.285646, 0.290295, 0.299594, 0.311708, 0.332065, 0.358575, 0.380384, 0.405737, 0.419581, 0.438041, 0.451863, 0.472209, 0.499336, 0.534884, 0.627451");
-            values ( \
-              "-0.131297, -0.146557, -0.208966, -0.245134, -0.29034, -0.317235, -0.328946, -0.344921, -0.353527, -0.357522, -0.353868, -0.351374, -0.343544, -0.326747, -0.27085, -0.18156, -0.123801, -0.0766366, -0.058436, -0.0402975, -0.0303005, -0.019571, -0.00994922, -0.00371102, -0.00236211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.151635, 0.176195, 0.194847, 0.207342, 0.221204, 0.2441, 0.258587, 0.285313, 0.308063, 0.324227, 0.34273, 0.361578, 0.393127, 0.414527, 0.441711, 0.480486, 0.521792, 0.545933, 0.574436, 0.607944, 0.633696, 0.680966, 0.718525, 0.744429, 0.785017, 0.829324, 0.895898, 0.962472, 1.02141");
-            values ( \
-              "-0.242174, -0.269606, -0.331718, -0.360822, -0.384181, -0.409084, -0.420263, -0.43459, -0.439016, -0.43862, -0.435473, -0.429508, -0.414128, -0.398907, -0.370447, -0.303795, -0.223928, -0.183519, -0.143278, -0.105669, -0.0829774, -0.0526146, -0.0362571, -0.027938, -0.0183202, -0.0111501, -0.00511331, -0.00304019, -0.00253562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.161762, 0.210051, 0.223303, 0.243892, 0.278647, 0.29338, 0.309888, 0.327731, 0.356065, 0.404181, 0.441529, 0.48004, 0.558276, 0.639844, 0.663043, 0.709439, 0.728293, 0.765999, 0.885289, 0.928249, 0.99747, 1.07118, 1.13353, 1.19173, 1.24496, 1.31154, 1.35532, 1.41151, 1.46835, 1.53492, 1.60149, 1.66807, 1.80122, 2.20066");
-            values ( \
-              "-0.363509, -0.386782, -0.411052, -0.437022, -0.467679, -0.476869, -0.482995, -0.487267, -0.488663, -0.484581, -0.478735, -0.471826, -0.454622, -0.430473, -0.421712, -0.399214, -0.387522, -0.358193, -0.245421, -0.208912, -0.158523, -0.116361, -0.0886063, -0.0684354, -0.0538823, -0.0396263, -0.0323676, -0.024889, -0.0190412, -0.0136684, -0.00987209, -0.00707952, -0.00385621, -0.000883004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.178058, 0.193615, 0.206683, 0.214871, 0.222344, 0.229525, 0.236584, 0.243639, 0.249134, 0.265715, 0.284448, 0.289502, 0.306495, 0.332227");
-            values ( \
-              "-0.00988147, -0.0513402, -0.0746901, -0.0852261, -0.0900802, -0.0928321, -0.0929863, -0.0899878, -0.082405, -0.0329785, -0.00643046, -0.00382488, -0.00406032, -0.00213938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.181522, 0.199263, 0.214395, 0.222502, 0.228415, 0.242646, 0.25144, 0.260231, 0.265547, 0.291751, 0.302586, 0.316967, 0.325492, 0.333802, 0.357422, 0.372074");
-            values ( \
-              "-0.0348052, -0.0971195, -0.135891, -0.150996, -0.15787, -0.166348, -0.166374, -0.159967, -0.149818, -0.0499453, -0.0276373, -0.0102894, -0.00465932, -0.00342984, -0.00424276, -0.00327955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.185175, 0.192552, 0.201032, 0.211414, 0.226868, 0.237249, 0.248303, 0.254539, 0.268489, 0.275411, 0.279676, 0.285983, 0.292628, 0.304818, 0.321629, 0.337765, 0.346247, 0.355724, 0.362777, 0.376637, 0.394401, 0.412536, 0.457502, 0.494972");
-            values ( \
-              "-0.0812242, -0.09581, -0.136759, -0.176831, -0.220373, -0.239606, -0.252531, -0.256818, -0.260796, -0.260055, -0.258246, -0.253494, -0.24437, -0.210309, -0.135747, -0.0810687, -0.0603598, -0.0431205, -0.0333095, -0.0193406, -0.0077956, -0.00310576, -0.00315713, -0.00221086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.191519, 0.210995, 0.226296, 0.235799, 0.248788, 0.263834, 0.272709, 0.282752, 0.291939, 0.311707, 0.318459, 0.326396, 0.334446, 0.350546, 0.355846, 0.366446, 0.399698, 0.421741, 0.44029, 0.451687, 0.468357, 0.479673, 0.49616, 0.516004, 0.542463, 0.57795, 0.678376");
-            values ( \
-              "-0.165223, -0.208837, -0.265085, -0.290745, -0.31794, -0.337382, -0.344845, -0.350281, -0.353595, -0.357479, -0.357207, -0.355262, -0.351382, -0.335093, -0.326905, -0.30154, -0.190394, -0.129864, -0.0920373, -0.0738523, -0.0532452, -0.0423858, -0.0302481, -0.0197622, -0.0101886, -0.00384231, -0.00233694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.194754, 0.214283, 0.226879, 0.23813, 0.251496, 0.26517, 0.288118, 0.315951, 0.334126, 0.347357, 0.357874, 0.368348, 0.386854, 0.405714, 0.439664, 0.468978, 0.485844, 0.519578, 0.577136, 0.611731, 0.646908, 0.675685, 0.702186, 0.737521, 0.766651, 0.807586, 0.862165, 0.928739, 1.06189, 1.26161");
-            values ( \
-              "-0.240554, -0.243334, -0.294312, -0.329531, -0.360848, -0.384033, -0.409063, -0.428307, -0.436026, -0.43862, -0.439148, -0.438681, -0.435411, -0.429551, -0.412609, -0.389655, -0.370448, -0.313432, -0.204317, -0.152036, -0.110696, -0.0844994, -0.0656162, -0.0464438, -0.0347541, -0.0229373, -0.0125939, -0.00564804, -0.00201065, -0.000802194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.205096, 0.254174, 0.267172, 0.287896, 0.322796, 0.337604, 0.354114, 0.371999, 0.399755, 0.448287, 0.485634, 0.524147, 0.602383, 0.683951, 0.70715, 0.753547, 0.7724, 0.810105, 0.929398, 0.988373, 1.03106, 1.10477, 1.17134, 1.22461, 1.25699, 1.3061, 1.4002, 1.45527, 1.52185, 1.58842, 1.655, 1.78814, 1.92129, 2.18759");
-            values ( \
-              "-0.351309, -0.386942, -0.410667, -0.436906, -0.467779, -0.476943, -0.483081, -0.487292, -0.488674, -0.484578, -0.478745, -0.471819, -0.454614, -0.43048, -0.421705, -0.399221, -0.387515, -0.358205, -0.245428, -0.196231, -0.165369, -0.121708, -0.0910782, -0.0719777, -0.0623134, -0.0498243, -0.0322717, -0.0249843, -0.0181112, -0.0130884, -0.00935319, -0.00496775, -0.00281536, -0.00107573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.273159, 0.289938, 0.30298, 0.311231, 0.318744, 0.325931, 0.333007, 0.340079, 0.345465, 0.362173, 0.380903, 0.385968, 0.402947, 0.428072");
-            values ( \
-              "-0.00782293, -0.0504985, -0.0741781, -0.0846954, -0.0898502, -0.0925083, -0.0928771, -0.0897942, -0.0825121, -0.0329566, -0.00642542, -0.00382775, -0.00406333, -0.00218551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.276795, 0.294771, 0.310626, 0.319538, 0.3281, 0.338967, 0.347774, 0.35658, 0.361852, 0.388117, 0.398954, 0.413339, 0.421873, 0.430194, 0.453813, 0.469658");
-            values ( \
-              "-0.03068, -0.0935708, -0.135075, -0.151431, -0.160069, -0.16597, -0.166239, -0.159668, -0.149804, -0.0499277, -0.027631, -0.0102841, -0.00465758, -0.00343322, -0.00424246, -0.00354647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.280751, 0.288435, 0.303066, 0.313896, 0.323922, 0.331478, 0.337871, 0.350656, 0.357839, 0.363509, 0.372804, 0.376035, 0.382347, 0.388987, 0.402106, 0.420124, 0.435487, 0.45019, 0.457561, 0.468418, 0.483471, 0.49584, 0.516148, 0.538385, 0.643139");
-            values ( \
-              "-0.0791148, -0.0909487, -0.158936, -0.195556, -0.221238, -0.235761, -0.245082, -0.256525, -0.259487, -0.260505, -0.25953, -0.258079, -0.253352, -0.244271, -0.206556, -0.127322, -0.0775273, -0.0462308, -0.0353857, -0.0233498, -0.0117443, -0.00577069, -0.00282202, -0.00343265, -0.000641104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.283964, 0.295021, 0.307367, 0.322714, 0.332419, 0.345832, 0.356746, 0.369172, 0.377618, 0.390008, 0.410436, 0.425626, 0.430898, 0.441239, 0.452312, 0.473336, 0.497088, 0.521996, 0.536096, 0.550974, 0.571262, 0.59001, 0.612447, 0.626507, 0.65307, 0.692864, 0.779046");
-            values ( \
-              "-0.118451, -0.145069, -0.208096, -0.264676, -0.29068, -0.31879, -0.333447, -0.344554, -0.349433, -0.354037, -0.357467, -0.354208, -0.351421, -0.342475, -0.326801, -0.268594, -0.188007, -0.121536, -0.0933653, -0.0700831, -0.0468755, -0.0318918, -0.0197406, -0.014215, -0.00688834, -0.0029415, -0.0019592" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.292067, 0.318258, 0.333938, 0.348084, 0.361584, 0.381716, 0.399552, 0.413979, 0.427867, 0.444031, 0.460942, 0.472976, 0.502305, 0.531916, 0.559105, 0.582427, 0.624861, 0.673076, 0.708448, 0.743811, 0.772486, 0.79875, 0.83377, 0.863217, 0.904609, 0.959798, 1.02637, 1.15952, 1.35924");
-            values ( \
-              "-0.231696, -0.275008, -0.327232, -0.360865, -0.383677, -0.406377, -0.420565, -0.4292, -0.435356, -0.43859, -0.43921, -0.437764, -0.429606, -0.415205, -0.39555, -0.370515, -0.296527, -0.205608, -0.152012, -0.110484, -0.0844591, -0.0657368, -0.0466419, -0.0348303, -0.0228856, -0.0124388, -0.00560981, -0.00201915, -0.000778917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.302166, 0.350803, 0.363982, 0.384583, 0.419578, 0.434405, 0.450954, 0.47063, 0.49199, 0.518435, 0.582274, 0.687318, 0.722302, 0.780548, 0.850157, 0.906676, 1.06896, 1.13817, 1.21188, 1.27423, 1.33244, 1.38567, 1.48666, 1.55221, 1.60637, 1.73952, 1.87266, 1.96195");
-            values ( \
-              "-0.357905, -0.387017, -0.411082, -0.4371, -0.46803, -0.477179, -0.483225, -0.487657, -0.488585, -0.487732, -0.47896, -0.457562, -0.448653, -0.430641, -0.399365, -0.358367, -0.208775, -0.158404, -0.116247, -0.0887216, -0.068544, -0.0537725, -0.0337727, -0.0249873, -0.019194, -0.00990898, -0.00522202, -0.0036832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.471736, 0.485747, 0.495405, 0.506834, 0.51641, 0.523607, 0.53207, 0.539655, 0.547268, 0.552356, 0.571226, 0.579603, 0.589739, 0.595379, 0.614663, 0.645936, 0.651881");
-            values ( \
-              "-0.00973117, -0.0296413, -0.0487608, -0.0660556, -0.0767295, -0.0819637, -0.0857626, -0.0871159, -0.085096, -0.0797028, -0.0298715, -0.0166996, -0.00566251, -0.00380866, -0.00401398, -0.00126513, -0.00114705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.4716, 0.501306, 0.514963, 0.524305, 0.536089, 0.545605, 0.550071, 0.554896, 0.561219, 0.568925, 0.574333, 0.58872, 0.596704, 0.606781, 0.620824, 0.625484, 0.634079, 0.664579, 0.697528");
-            values ( \
-              "-0.00697031, -0.0910494, -0.122073, -0.138234, -0.150866, -0.1567, -0.158154, -0.158304, -0.156595, -0.146718, -0.130962, -0.0719311, -0.0481295, -0.0279588, -0.0109019, -0.00686199, -0.00405916, -0.00412991, -0.00234871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.483847, 0.497073, 0.504852, 0.518618, 0.529531, 0.541742, 0.549795, 0.562687, 0.571169, 0.580544, 0.590509, 0.597223, 0.610541, 0.628123, 0.644301, 0.658468, 0.66594, 0.676876, 0.692297, 0.705006, 0.725068, 0.747547, 0.796198");
-            values ( \
-              "-0.0996153, -0.104231, -0.134441, -0.177896, -0.205101, -0.227659, -0.238003, -0.248712, -0.252529, -0.253626, -0.249076, -0.241079, -0.204917, -0.128686, -0.0765402, -0.0465741, -0.0355457, -0.0233959, -0.0115355, -0.00557461, -0.00289703, -0.00344599, -0.00213944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.487797, 0.510455, 0.528161, 0.540685, 0.550074, 0.565707, 0.57377, 0.583161, 0.598116, 0.618768, 0.634404, 0.639345, 0.649226, 0.6608, 0.681494, 0.707978, 0.729376, 0.740321, 0.759489, 0.768797, 0.787414, 0.801081, 0.817455, 0.839287, 0.873241, 0.915611, 0.996289");
-            values ( \
-              "-0.124072, -0.182538, -0.244998, -0.278815, -0.298809, -0.323511, -0.33314, -0.340943, -0.349319, -0.355166, -0.352544, -0.350322, -0.342061, -0.326369, -0.269521, -0.180818, -0.12398, -0.101467, -0.0702294, -0.0582129, -0.0402326, -0.0301184, -0.0212136, -0.0128769, -0.00470848, -0.00283549, -0.00197672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.49548, 0.519431, 0.529311, 0.539981, 0.550137, 0.567678, 0.576434, 0.585338, 0.605044, 0.618168, 0.632686, 0.64415, 0.658988, 0.673664, 0.692177, 0.711014, 0.743753, 0.761619, 0.78544, 0.802675, 0.825656, 0.867971, 0.901972, 0.922074, 0.948525, 0.977547, 0.9938, 1.01454, 1.03748, 1.07182, 1.11306, 1.16803, 1.23461, 1.30118, 1.36775, 1.56748");
-            values ( \
-              "-0.230001, -0.237291, -0.274557, -0.30755, -0.333846, -0.369075, -0.382871, -0.39398, -0.413886, -0.423961, -0.432161, -0.436111, -0.438316, -0.438326, -0.435132, -0.429494, -0.413258, -0.400694, -0.377689, -0.353572, -0.31152, -0.229298, -0.173061, -0.145071, -0.11424, -0.0872816, -0.0746306, -0.0611421, -0.0490811, -0.0347089, -0.0228026, -0.0126017, -0.00551794, -0.00333767, -0.00190982, -0.000893877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.507925, 0.558111, 0.58844, 0.609896, 0.639338, 0.65615, 0.674126, 0.689586, 0.710199, 0.747183, 0.792269, 0.829401, 0.907636, 0.930957, 0.989204, 1.01241, 1.05883, 1.07765, 1.11531, 1.23469, 1.30126, 1.33768, 1.39588, 1.46239, 1.50164, 1.54825, 1.60084, 1.66741, 1.70501, 1.77159, 1.83816, 1.90473, 1.97131, 2.10446, 2.30418, 2.5039");
-            values ( \
-              "-0.350327, -0.371899, -0.424488, -0.449624, -0.473573, -0.481455, -0.486208, -0.488036, -0.488379, -0.485406, -0.478552, -0.471766, -0.454574, -0.448519, -0.430518, -0.421666, -0.399242, -0.387482, -0.358282, -0.245425, -0.19035, -0.164444, -0.129197, -0.0969381, -0.0815916, -0.0663071, -0.0522839, -0.0384871, -0.0323599, -0.0236504, -0.017172, -0.0123402, -0.00887909, -0.00475354, -0.00209957, -0.00106765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.874898, 0.900918, 0.916561, 0.932866, 0.93763, 0.947674, 0.956957, 0.965907, 0.974734, 0.982626, 0.999061, 1.00789, 1.01734, 1.02352, 1.03584, 1.04146, 1.07324, 1.08826, 1.09306");
-            values ( \
-              "-0.00215744, -0.0230536, -0.042745, -0.0587507, -0.0624145, -0.0684937, -0.0722455, -0.0744534, -0.0741478, -0.0688964, -0.0314532, -0.0178872, -0.00708238, -0.00466097, -0.00475485, -0.00442004, -0.00144423, -0.000730041, -0.000662307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.884158, 0.894521, 0.898832, 0.906296, 0.927648, 0.949141, 0.961041, 0.972108, 0.982744, 0.993297, 1.00378, 1.01878, 1.02732, 1.03772, 1.04637, 1.05459, 1.06022, 1.06635, 1.09003, 1.13444, 1.18108");
-            values ( \
-              "-0.0263501, -0.030136, -0.0343384, -0.0462727, -0.0868842, -0.117658, -0.128568, -0.135665, -0.139489, -0.137848, -0.123002, -0.0715939, -0.0479927, -0.028191, -0.0168735, -0.00856338, -0.00559514, -0.00458193, -0.00465825, -0.00147383, -0.000246584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.889858, 0.906502, 0.94168, 0.952777, 0.967326, 0.975911, 0.985761, 1.00049, 1.00916, 1.01473, 1.02178, 1.02892, 1.04318, 1.06541, 1.08127, 1.09479, 1.10471, 1.11274, 1.12516, 1.1407, 1.16139, 1.1846, 1.23219");
-            values ( \
-              "-0.0481638, -0.0637719, -0.15069, -0.173009, -0.197114, -0.20778, -0.217247, -0.226753, -0.230116, -0.231166, -0.230237, -0.225951, -0.196261, -0.110755, -0.0664296, -0.0415712, -0.0288842, -0.0211623, -0.0118625, -0.00491737, -0.00325867, -0.00346574, -0.00214699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.89928, 0.917704, 0.939646, 0.965613, 0.981124, 0.995334, 1.01834, 1.03482, 1.05122, 1.06698, 1.07258, 1.08325, 1.09448, 1.11671, 1.15324, 1.17732, 1.20108, 1.22524, 1.24254, 1.25892, 1.28954, 1.32549, 1.38517");
-            values ( \
-              "-0.108493, -0.109012, -0.176251, -0.241281, -0.271001, -0.291909, -0.31669, -0.330271, -0.339737, -0.342002, -0.340556, -0.33422, -0.320834, -0.263182, -0.148749, -0.0959685, -0.0606484, -0.0373202, -0.0262344, -0.0183574, -0.00828454, -0.00338049, -0.0025931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.910238, 0.945645, 0.965066, 0.983039, 1.00206, 1.02897, 1.05502, 1.06927, 1.08624, 1.10726, 1.12457, 1.14506, 1.18301, 1.21581, 1.22902, 1.24823, 1.31159, 1.35446, 1.39152, 1.41743, 1.43867, 1.46508, 1.50256, 1.5447, 1.57758, 1.64333, 1.70991, 1.77648, 1.9762");
-            values ( \
-              "-0.164506, -0.212981, -0.267895, -0.308697, -0.342253, -0.380893, -0.410276, -0.420871, -0.428544, -0.431829, -0.430613, -0.425652, -0.4078, -0.380618, -0.364631, -0.334062, -0.213562, -0.148268, -0.105992, -0.0830983, -0.0678735, -0.0525152, -0.0362016, -0.0236524, -0.016687, -0.00768212, -0.00387858, -0.00242819, -0.000873726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.92345, 0.975637, 1.01147, 1.06047, 1.09337, 1.11275, 1.1354, 1.16165, 1.18773, 1.25651, 1.30815, 1.34219, 1.40876, 1.45461, 1.51222, 1.5788, 1.68578, 1.77644, 1.85003, 1.9166, 1.9779, 2.03042, 2.13049, 2.19372, 2.2603, 2.32687, 2.39344, 2.52659, 2.65974, 2.92604");
-            values ( \
-              "-0.26592, -0.310559, -0.381326, -0.450223, -0.474018, -0.480628, -0.483829, -0.4839, -0.481619, -0.472082, -0.462326, -0.454493, -0.435603, -0.418481, -0.38757, -0.332045, -0.231103, -0.161822, -0.118986, -0.0890805, -0.0678689, -0.0535006, -0.0337593, -0.0251668, -0.0182778, -0.0131833, -0.00944687, -0.00501997, -0.00284817, -0.00109523" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00693227, 0.0069341, 0.00693621, 0.0069379, 0.00693894, 0.00693949", \
-            "0.00818412, 0.00818475, 0.00818583, 0.008187, 0.00818788, 0.00818839", \
-            "0.00894326, 0.00894333, 0.00894359, 0.00894409, 0.00894462, 0.00894499", \
-            "0.00939005, 0.00939007, 0.00939012, 0.00939025, 0.00939048, 0.00939071", \
-            "0.00962446, 0.00962447, 0.00962449, 0.00962454, 0.00962462, 0.00962474", \
-            "0.00976276, 0.00976278, 0.0097628, 0.00976282, 0.00976286, 0.00976292" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00970712, 0.00970768, 0.00970905, 0.00971071, 0.00971198, 0.00971272", \
-            "0.0106317, 0.0106318, 0.0106321, 0.0106329, 0.0106337, 0.0106343", \
-            "0.0110157, 0.0110161, 0.0110166, 0.0110171, 0.0110177, 0.0110183", \
-            "0.011276, 0.0112748, 0.0112737, 0.011273, 0.0112728, 0.011273", \
-            "0.0119052, 0.0119032, 0.0118999, 0.0118957, 0.011892, 0.0118896", \
-            "0.0119885, 0.0120527, 0.0120949, 0.0121208, 0.0121364, 0.0121442" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & D & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.152955, 0.165982, 0.190618, 0.237571, 0.32897, 0.525487", \
-            "0.158891, 0.171915, 0.196578, 0.243534, 0.334934, 0.531464", \
-            "0.172302, 0.185309, 0.209987, 0.256951, 0.348389, 0.544914", \
-            "0.201043, 0.213997, 0.238725, 0.285783, 0.377312, 0.573893", \
-            "0.257199, 0.270824, 0.296459, 0.344222, 0.435998, 0.632658", \
-            "0.349773, 0.365107, 0.393597, 0.44424, 0.537426, 0.734706" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0449135, 0.0559087, 0.0796801, 0.12976, 0.241918, 0.50386", \
-            "0.0449184, 0.0559111, 0.079766, 0.129634, 0.241919, 0.50386", \
-            "0.0449381, 0.0559328, 0.0797055, 0.129758, 0.24191, 0.503859", \
-            "0.0450876, 0.056041, 0.0797953, 0.129676, 0.241895, 0.503784", \
-            "0.0487771, 0.0595278, 0.0827145, 0.131154, 0.24201, 0.503878", \
-            "0.0580101, 0.0691776, 0.0918757, 0.137946, 0.245235, 0.504854" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00700975", \
-            "0.0089409", \
-            "0.0101363", \
-            "0.0107197", \
-            "0.0109821", \
-            "0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100549", \
-            "0.010318", \
-            "0.00954327", \
-            "0.00887701", \
-            "0.0086128", \
-            "0.00849665" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.112956, 0.119769, 0.128306, 0.141546, 0.149784, 0.157295, 0.164467, 0.171525, 0.17858, 0.184898, 0.194997, 0.201586, 0.209055, 0.219941, 0.225332, 0.241391, 0.283055, 0.32204");
-            values ( \
-              "-0.00911974, -0.0293782, -0.0512543, -0.0745259, -0.0845814, -0.0900294, -0.0927109, -0.0931369, -0.089974, -0.080677, -0.0477399, -0.0310244, -0.0182561, -0.00581372, -0.00365144, -0.00413971, -0.000914407, -0.000128269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.118835, 0.12935, 0.134318, 0.149152, 0.15927, 0.168562, 0.177452, 0.186248, 0.19504, 0.197787, 0.200717, 0.205069, 0.21672, 0.226715, 0.237576, 0.251997, 0.256431, 0.260701, 0.269241, 0.292187, 0.331113");
-            values ( \
-              "-0.0578742, -0.0812905, -0.0983986, -0.135408, -0.153471, -0.162057, -0.166399, -0.166305, -0.15961, -0.154956, -0.148589, -0.133211, -0.0819616, -0.0496848, -0.0275829, -0.0101689, -0.00659813, -0.00466182, -0.00359364, -0.00426976, -0.00164306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.121657, 0.131767, 0.135892, 0.146294, 0.154085, 0.164902, 0.175744, 0.181115, 0.189466, 0.195865, 0.202114, 0.208421, 0.214732, 0.223292, 0.227783, 0.236767, 0.260994, 0.273369, 0.281651, 0.288988, 0.298771, 0.311588, 0.327887, 0.34348, 0.491478");
-            values ( \
-              "-0.10265, -0.116793, -0.136998, -0.175879, -0.200255, -0.2269, -0.244658, -0.250363, -0.256179, -0.258504, -0.258982, -0.258293, -0.256031, -0.24969, -0.243661, -0.222239, -0.119268, -0.0796585, -0.0598623, -0.0461142, -0.0320248, -0.0192744, -0.00838663, -0.00373064, -0.000548785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.124618, 0.138294, 0.145778, 0.161129, 0.172664, 0.185754, 0.19685, 0.20767, 0.235821, 0.251316, 0.26487, 0.269424, 0.278532, 0.290744, 0.312389, 0.333832, 0.34893, 0.362692, 0.372673, 0.389302, 0.409666, 0.428375, 0.450664, 0.468302, 0.502161, 0.543794, 0.74221");
-            values ( \
-              "-0.152977, -0.172047, -0.207597, -0.264815, -0.295664, -0.320833, -0.333939, -0.341736, -0.355944, -0.358664, -0.355494, -0.352945, -0.345163, -0.327843, -0.266877, -0.193167, -0.149355, -0.116455, -0.0966031, -0.0700964, -0.0467913, -0.0318957, -0.0198136, -0.013001, -0.00502134, -0.00271739, -0.000573173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.131659, 0.161715, 0.171355, 0.185762, 0.199288, 0.228172, 0.253088, 0.266293, 0.281578, 0.299225, 0.325712, 0.340492, 0.370052, 0.397265, 0.420555, 0.462978, 0.512763, 0.546584, 0.581531, 0.610434, 0.637231, 0.672961, 0.701695, 0.742057, 0.795873, 0.862473, 0.976979");
-            values ( \
-              "-0.246547, -0.294083, -0.324962, -0.358998, -0.380401, -0.411427, -0.431201, -0.437088, -0.44053, -0.440588, -0.435436, -0.430205, -0.415651, -0.395769, -0.370494, -0.296631, -0.202934, -0.151965, -0.110863, -0.0846426, -0.0655532, -0.0461091, -0.0347461, -0.0230874, -0.0127049, -0.00578099, -0.00260399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.136847, 0.18077, 0.215298, 0.257619, 0.28843, 0.308554, 0.332617, 0.382799, 0.45854, 0.496758, 0.536744, 0.603343, 0.649114, 0.706782, 0.773381, 0.87913, 0.975888, 1.04723, 1.11226, 1.1704, 1.22364, 1.29024, 1.35278, 1.45686, 1.52346, 1.59006, 1.72326, 1.92305, 2.12285");
-            values ( \
-              "-0.319502, -0.369146, -0.425068, -0.470138, -0.485275, -0.488777, -0.489835, -0.484973, -0.471935, -0.463777, -0.454668, -0.435491, -0.418414, -0.387649, -0.331888, -0.232236, -0.158621, -0.117708, -0.0886054, -0.0684595, -0.0538826, -0.0396361, -0.0297325, -0.0181429, -0.0130127, -0.00939199, -0.00501161, -0.00216962, -0.00112173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.133963, 0.149067, 0.161619, 0.169861, 0.17737, 0.184544, 0.191602, 0.198656, 0.205044, 0.221809, 0.239712, 0.245033, 0.261506, 0.274963");
-            values ( \
-              "-0.0164528, -0.0531471, -0.0742832, -0.0847919, -0.0898072, -0.0928996, -0.0929502, -0.0901394, -0.0805141, -0.0307212, -0.00605123, -0.00370357, -0.00414405, -0.00310163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.133417, 0.142822, 0.149261, 0.154155, 0.162754, 0.179187, 0.188479, 0.19737, 0.206166, 0.214958, 0.217708, 0.220641, 0.224988, 0.236638, 0.246633, 0.257495, 0.271916, 0.276351, 0.280621, 0.289162, 0.312108, 0.34795");
-            values ( \
-              "-0.0066479, -0.0556203, -0.0809324, -0.0983803, -0.121667, -0.153575, -0.161941, -0.166494, -0.166207, -0.159698, -0.154953, -0.14857, -0.133212, -0.0819627, -0.0496842, -0.0275823, -0.0101683, -0.00659737, -0.00466145, -0.00359369, -0.00426974, -0.00185102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.141996, 0.151712, 0.155801, 0.166201, 0.17398, 0.18483, 0.196412, 0.209418, 0.215511, 0.222036, 0.234662, 0.241023, 0.247689, 0.260302, 0.281319, 0.292867, 0.301487, 0.30924, 0.319578, 0.328033, 0.342155, 0.357369, 0.378521, 0.423853, 0.503064");
-            values ( \
-              "-0.112322, -0.116838, -0.137183, -0.176006, -0.200152, -0.227003, -0.245662, -0.256417, -0.258586, -0.2592, -0.256235, -0.251851, -0.243706, -0.208765, -0.117965, -0.0809692, -0.0601893, -0.0456796, -0.031012, -0.0224949, -0.0117455, -0.00463279, -0.00297861, -0.00292388, -0.000506488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.145969, 0.165702, 0.181052, 0.192984, 0.205674, 0.21602, 0.227584, 0.255727, 0.271157, 0.289318, 0.303149, 0.310665, 0.325697, 0.36766, 0.386256, 0.411873, 0.429291, 0.448389, 0.487599, 0.521344, 0.562685, 0.594428");
-            values ( \
-              "-0.161546, -0.207575, -0.26486, -0.296696, -0.320834, -0.333265, -0.341759, -0.355946, -0.358675, -0.352967, -0.339573, -0.327982, -0.288683, -0.152103, -0.108546, -0.0663475, -0.0469404, -0.0317457, -0.0131605, -0.00510622, -0.00272382, -0.00238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.149187, 0.16923, 0.18167, 0.191748, 0.204666, 0.22228, 0.24812, 0.260501, 0.273142, 0.286243, 0.301473, 0.31922, 0.331097, 0.345616, 0.360442, 0.390093, 0.417032, 0.440505, 0.482919, 0.51872, 0.549135, 0.572333, 0.604873, 0.631729, 0.654637, 0.680666, 0.717851, 0.75881, 0.790635, 0.854285, 0.920884, 0.987483, 1.18728");
-            values ( \
-              "-0.232726, -0.243328, -0.294353, -0.326011, -0.357163, -0.384122, -0.411299, -0.422563, -0.431163, -0.437194, -0.440432, -0.440685, -0.439021, -0.435368, -0.430291, -0.415514, -0.395852, -0.370573, -0.296572, -0.227305, -0.176762, -0.144389, -0.107477, -0.0835666, -0.0671725, -0.0521283, -0.0360707, -0.0238583, -0.0170044, -0.00808638, -0.00398568, -0.00251771, -0.000869905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.157436, 0.200412, 0.219362, 0.252193, 0.27772, 0.308571, 0.32866, 0.352738, 0.402905, 0.516864, 0.55685, 0.623449, 0.66922, 0.726888, 0.793487, 0.900389, 0.996019, 1.06481, 1.13141, 1.1923, 1.24491, 1.31151, 1.35418, 1.40862, 1.54182, 1.60842, 1.74162, 1.83522");
-            values ( \
-              "-0.327709, -0.368029, -0.404442, -0.445735, -0.470143, -0.485287, -0.488785, -0.489833, -0.484971, -0.463778, -0.454667, -0.435492, -0.418414, -0.387649, -0.331887, -0.23124, -0.158601, -0.118989, -0.0889688, -0.067896, -0.0535972, -0.0394173, -0.0323641, -0.0250952, -0.0131215, -0.00947468, -0.00505336, -0.00413456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.178695, 0.183856, 0.193297, 0.200982, 0.213962, 0.221474, 0.22865, 0.235711, 0.242767, 0.24915, 0.265909, 0.273306, 0.283854, 0.289183, 0.305615, 0.332556");
-            values ( \
-              "-0.0225014, -0.0283549, -0.0532739, -0.0674497, -0.0846836, -0.0898075, -0.0928259, -0.0929641, -0.0900862, -0.0805206, -0.0307439, -0.0181736, -0.006028, -0.0036991, -0.004144, -0.00205643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.182054, 0.189977, 0.198439, 0.206882, 0.213318, 0.223449, 0.232743, 0.241637, 0.250435, 0.259229, 0.26197, 0.264894, 0.269259, 0.280911, 0.290907, 0.301768, 0.31619, 0.320625, 0.324897, 0.33344, 0.356387, 0.389359");
-            values ( \
-              "-0.0533655, -0.0664628, -0.0982704, -0.121191, -0.135134, -0.153478, -0.161878, -0.16644, -0.166174, -0.159669, -0.154945, -0.148605, -0.1332, -0.081958, -0.0496822, -0.0275812, -0.0101669, -0.00659774, -0.00466046, -0.00359538, -0.00427049, -0.00204409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.184761, 0.195484, 0.199957, 0.213332, 0.226681, 0.240616, 0.243834, 0.253606, 0.262337, 0.266274, 0.272562, 0.278865, 0.287687, 0.291916, 0.298534, 0.306385, 0.325126, 0.333116, 0.338753, 0.348232, 0.359971, 0.370882, 0.386342, 0.399089, 0.418674, 0.463716, 0.54123");
-            values ( \
-              "-0.0845093, -0.115067, -0.13654, -0.185463, -0.221878, -0.245842, -0.249253, -0.256312, -0.258933, -0.259138, -0.258327, -0.256159, -0.249372, -0.243767, -0.229645, -0.199811, -0.119334, -0.0923043, -0.076358, -0.0548364, -0.0358378, -0.0236258, -0.0116316, -0.00554862, -0.00290241, -0.00297301, -0.00069602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.19195, 0.209938, 0.225295, 0.236796, 0.252666, 0.266553, 0.280252, 0.299952, 0.315533, 0.329447, 0.333584, 0.341858, 0.354902, 0.37652, 0.398024, 0.426809, 0.45346, 0.473838, 0.492542, 0.514807, 0.532424, 0.566276, 0.607855, 0.664544");
-            values ( \
-              "-0.197116, -0.207689, -0.264903, -0.295623, -0.324627, -0.338381, -0.346587, -0.355957, -0.358686, -0.355314, -0.352953, -0.346039, -0.327824, -0.267003, -0.19306, -0.116546, -0.0700969, -0.0467786, -0.0318901, -0.01982, -0.0130137, -0.00502673, -0.00271999, -0.00210692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.196165, 0.225936, 0.235751, 0.25017, 0.268921, 0.29237, 0.317324, 0.330486, 0.345719, 0.363438, 0.389881, 0.404683, 0.434288, 0.461366, 0.484746, 0.527164, 0.562943, 0.593338, 0.616587, 0.649139, 0.675981, 0.698858, 0.724894, 0.762084, 0.803065, 0.834909, 0.898597, 0.965196, 1.0318, 1.23159");
-            values ( \
-              "-0.251515, -0.294276, -0.325749, -0.359443, -0.386824, -0.411465, -0.431243, -0.43716, -0.440518, -0.440637, -0.435413, -0.43025, -0.415587, -0.39581, -0.370535, -0.296601, -0.227355, -0.176803, -0.144388, -0.107466, -0.0835444, -0.0671717, -0.0521485, -0.0360599, -0.0238429, -0.0170101, -0.0080674, -0.00399508, -0.00250467, -0.000881226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.201491, 0.244801, 0.263593, 0.296491, 0.322018, 0.352878, 0.372952, 0.397057, 0.447197, 0.561155, 0.601141, 0.66774, 0.713511, 0.771179, 0.837778, 0.943005, 1.04027, 1.11241, 1.17692, 1.23432, 1.28774, 1.35434, 1.41732, 1.52172, 1.58832, 1.72152, 1.85472, 2.18771");
-            values ( \
-              "-0.323469, -0.368341, -0.404389, -0.445793, -0.47019, -0.485315, -0.488792, -0.489842, -0.484976, -0.463774, -0.454671, -0.435488, -0.41841, -0.387653, -0.331883, -0.232696, -0.158622, -0.117323, -0.0885008, -0.0685989, -0.0539673, -0.0396842, -0.0297221, -0.0181121, -0.0129742, -0.00673351, -0.00368643, -0.00112941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.273529, 0.290176, 0.302678, 0.310982, 0.318529, 0.325728, 0.332805, 0.339878, 0.346066, 0.36262, 0.381873, 0.387423, 0.407139, 0.414374");
-            values ( \
-              "-0.0127726, -0.0523171, -0.0736333, -0.0842427, -0.0894752, -0.0925874, -0.092771, -0.0899675, -0.080905, -0.0316084, -0.00538786, -0.00357338, -0.00397731, -0.00334325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.276382, 0.293989, 0.310115, 0.319647, 0.32418, 0.335021, 0.345472, 0.350973, 0.35627, 0.363162, 0.380619, 0.393209, 0.398745, 0.413191, 0.421829, 0.430265, 0.453176, 0.485133");
-            values ( \
-              "-0.0318687, -0.0929904, -0.134427, -0.151789, -0.156945, -0.164675, -0.166515, -0.164464, -0.158785, -0.144172, -0.0715585, -0.0372008, -0.0274476, -0.0100522, -0.00461514, -0.00363718, -0.00431883, -0.00209875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.279879, 0.288055, 0.296669, 0.307203, 0.31714, 0.323597, 0.336511, 0.339707, 0.350571, 0.359587, 0.363251, 0.369546, 0.375855, 0.384797, 0.388911, 0.395534, 0.403383, 0.42213, 0.434187, 0.442691, 0.450257, 0.460344, 0.46904, 0.48355, 0.498887, 0.519833, 0.541787, 0.583645");
-            values ( \
-              "-0.0657807, -0.0939614, -0.134858, -0.174405, -0.205141, -0.220996, -0.244043, -0.24769, -0.256004, -0.258607, -0.25894, -0.258055, -0.25606, -0.249071, -0.243751, -0.229521, -0.199843, -0.119371, -0.0806213, -0.0598801, -0.0457166, -0.0316223, -0.0224584, -0.0114307, -0.00469272, -0.00283727, -0.00345173, -0.00231573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.285508, 0.299219, 0.306837, 0.322234, 0.334981, 0.346898, 0.356023, 0.368822, 0.396856, 0.412815, 0.418015, 0.428415, 0.434972, 0.443158, 0.451889, 0.46935, 0.50182, 0.51933, 0.54512, 0.556037, 0.575362, 0.591902, 0.612231, 0.639337, 0.673773, 0.753984");
-            values ( \
-              "-0.151593, -0.170297, -0.206695, -0.264342, -0.297987, -0.320592, -0.331793, -0.341588, -0.355902, -0.358688, -0.358112, -0.354317, -0.349643, -0.341265, -0.32789, -0.280836, -0.172072, -0.126327, -0.0775757, -0.0626931, -0.0426248, -0.0303435, -0.0196049, -0.00994136, -0.00385564, -0.0026252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.292339, 0.315481, 0.333167, 0.349632, 0.364556, 0.389413, 0.414377, 0.427521, 0.442783, 0.460556, 0.486819, 0.50171, 0.531492, 0.558039, 0.581772, 0.624174, 0.660037, 0.690503, 0.713569, 0.746073, 0.77297, 0.795958, 0.821968, 0.859139, 0.900042, 0.931818, 0.995369, 1.06197, 1.12857, 1.32837");
-            values ( \
-              "-0.255888, -0.265205, -0.326677, -0.364024, -0.385162, -0.411542, -0.431351, -0.437247, -0.440581, -0.440673, -0.435459, -0.430266, -0.415485, -0.396123, -0.370537, -0.296638, -0.227234, -0.176595, -0.144445, -0.107557, -0.0835743, -0.0671249, -0.0521238, -0.0360504, -0.0238554, -0.0170317, -0.00809343, -0.00400319, -0.00250981, -0.000881818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.297691, 0.331605, 0.342408, 0.3604, 0.39369, 0.419166, 0.450037, 0.470133, 0.494356, 0.544374, 0.594567, 0.698326, 0.780146, 0.821816, 0.86833, 0.93493, 1.03801, 1.10461, 1.13733, 1.21295, 1.2751, 1.32944, 1.38357, 1.45017, 1.51506, 1.62078, 1.68738, 1.82058, 1.95378, 2.28677");
-            values ( \
-              "-0.327833, -0.338693, -0.369463, -0.403829, -0.445998, -0.47034, -0.485417, -0.488811, -0.489893, -0.485009, -0.476479, -0.454698, -0.430514, -0.413415, -0.387658, -0.331804, -0.234552, -0.181073, -0.158633, -0.115637, -0.0880731, -0.0692041, -0.0543119, -0.0399023, -0.029662, -0.0179657, -0.0128289, -0.00665073, -0.00363494, -0.0011437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.474537, 0.483286, 0.495419, 0.506862, 0.51614, 0.527266, 0.532241, 0.539835, 0.547428, 0.552262, 0.571201, 0.578935, 0.589566, 0.595435, 0.608574, 0.648584, 0.685041");
-            values ( \
-              "-0.022128, -0.0250352, -0.0489084, -0.0654227, -0.0757831, -0.0835754, -0.0855982, -0.0870835, -0.0850466, -0.0800615, -0.0303015, -0.017658, -0.00579924, -0.00386685, -0.00439823, -0.00112653, -0.000198931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.477362, 0.486956, 0.501339, 0.515082, 0.525088, 0.536294, 0.545806, 0.550057, 0.555084, 0.559552, 0.564433, 0.571649, 0.588816, 0.596365, 0.607726, 0.622381, 0.631417, 0.64032, 0.663323, 0.70715, 0.754236");
-            values ( \
-              "-0.0435423, -0.0492978, -0.0908492, -0.121162, -0.138546, -0.150719, -0.156575, -0.158, -0.158221, -0.157216, -0.153158, -0.140238, -0.0723264, -0.0497595, -0.027083, -0.00961157, -0.0045461, -0.00383253, -0.00427125, -0.00123526, -0.000163992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.480703, 0.489368, 0.510914, 0.522341, 0.529716, 0.544467, 0.550088, 0.562856, 0.571493, 0.581354, 0.590721, 0.597709, 0.610718, 0.631097, 0.645311, 0.658315, 0.676922, 0.692841, 0.706012, 0.725393, 0.848369");
-            values ( \
-              "-0.0745304, -0.0761972, -0.153552, -0.186567, -0.204532, -0.231226, -0.23771, -0.247393, -0.250643, -0.251256, -0.247908, -0.240586, -0.206497, -0.119418, -0.0751072, -0.0476231, -0.023736, -0.0114086, -0.00530102, -0.00299433, -0.000660854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.487084, 0.502745, 0.516315, 0.528367, 0.542701, 0.559687, 0.571325, 0.598641, 0.616845, 0.624094, 0.631875, 0.639679, 0.655288, 0.661081, 0.672043, 0.706133, 0.730645, 0.744953, 0.765132, 0.777323, 0.797473, 0.823209, 0.844135, 0.877708, 0.974094, 0.993845");
-            values ( \
-              "-0.131948, -0.147676, -0.203085, -0.244336, -0.282702, -0.313883, -0.327703, -0.348925, -0.35649, -0.357083, -0.355368, -0.352257, -0.336461, -0.327836, -0.300826, -0.186786, -0.121213, -0.092978, -0.0625895, -0.0494184, -0.0324249, -0.0185993, -0.0113861, -0.00422003, -0.00193566, -0.001768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.495053, 0.519904, 0.529511, 0.550119, 0.561301, 0.574671, 0.590862, 0.611329, 0.624164, 0.636839, 0.652435, 0.670672, 0.680153, 0.692794, 0.711111, 0.749757, 0.76578, 0.784093, 0.80546, 0.877649, 0.915558, 0.935955, 0.956217, 0.982495, 1.00444, 1.03069, 1.06804, 1.10968, 1.14212, 1.20698, 1.27358, 1.34018, 1.53997");
-            values ( \
-              "-0.219155, -0.237223, -0.273783, -0.332763, -0.355887, -0.376609, -0.397762, -0.42012, -0.429555, -0.436206, -0.439712, -0.44039, -0.439186, -0.43607, -0.430328, -0.409814, -0.397612, -0.37936, -0.349009, -0.212307, -0.153853, -0.128316, -0.106598, -0.0832844, -0.0675648, -0.0523626, -0.0361347, -0.0237298, -0.0168305, -0.00784188, -0.00393111, -0.00245597, -0.000880869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.500818, 0.537619, 0.565849, 0.612972, 0.640001, 0.657028, 0.676429, 0.696036, 0.718445, 0.753483, 0.808601, 0.86748, 0.90743, 0.97403, 1.0198, 1.07747, 1.14407, 1.25098, 1.31758, 1.35641, 1.41533, 1.48193, 1.54299, 1.59556, 1.66216, 1.72287, 1.82569, 1.89228, 1.95888, 2.09208, 2.22528, 2.49168");
-            values ( \
-              "-0.282406, -0.316484, -0.385829, -0.454054, -0.476427, -0.483973, -0.488305, -0.489534, -0.48874, -0.48485, -0.475733, -0.463884, -0.45456, -0.4356, -0.418513, -0.387543, -0.332042, -0.231123, -0.178463, -0.152347, -0.11895, -0.089059, -0.0679248, -0.0535167, -0.0394653, -0.029762, -0.0182575, -0.0131784, -0.00943087, -0.00500816, -0.00283857, -0.00108741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.872575, 0.898892, 0.912289, 0.926851, 0.938323, 0.948404, 0.957776, 0.966762, 0.975622, 0.983389, 1.00322, 1.01599, 1.02238, 1.04248, 1.07239");
-            values ( \
-              "-9.77621e-05, -0.0204674, -0.0375272, -0.0523566, -0.0618508, -0.0679737, -0.0718672, -0.0741879, -0.0738684, -0.0689676, -0.0258425, -0.00896091, -0.0050216, -0.00455055, -0.00155451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.885164, 0.896636, 0.904233, 0.919296, 0.930646, 0.936505, 0.950058, 0.961064, 0.967557, 0.973091, 0.983774, 0.99439, 1.00472, 1.02141, 1.02769, 1.03952, 1.05101, 1.05807, 1.06337, 1.06677, 1.09627, 1.12455, 1.13574");
-            values ( \
-              "-0.0286617, -0.0304474, -0.0417679, -0.0711187, -0.0894883, -0.0987873, -0.1168, -0.127262, -0.131933, -0.135169, -0.138671, -0.136956, -0.122848, -0.0669397, -0.0500341, -0.0274357, -0.0130925, -0.00705319, -0.00523295, -0.00483882, -0.00437053, -0.0021161, -0.00165349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.89371, 0.911021, 0.941923, 0.953408, 0.970966, 0.99022, 1.00144, 1.01577, 1.02694, 1.02997, 1.03604, 1.04528, 1.06728, 1.08264, 1.09504, 1.10451, 1.11291, 1.1259, 1.14094, 1.16111, 1.18337, 1.27794");
-            values ( \
-              "-0.0670314, -0.0736218, -0.148798, -0.171789, -0.200275, -0.218482, -0.224799, -0.230374, -0.229042, -0.2271, -0.21951, -0.192307, -0.107617, -0.0651129, -0.0422259, -0.0298201, -0.0215309, -0.0117297, -0.00499006, -0.00322478, -0.00347424, -0.000790953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.901963, 0.922829, 0.942928, 0.951628, 0.969028, 0.982095, 0.995925, 1.02666, 1.03843, 1.05225, 1.05696, 1.06517, 1.07333, 1.08964, 1.09511, 1.10604, 1.14045, 1.15436, 1.16519, 1.17964, 1.19364, 1.2118, 1.2241, 1.23213, 1.24324, 1.26546, 1.28969, 1.32639, 1.52002");
-            values ( \
-              "-0.120961, -0.122303, -0.181775, -0.205044, -0.245431, -0.26914, -0.288895, -0.323959, -0.335, -0.343381, -0.344876, -0.345591, -0.343674, -0.330519, -0.322906, -0.298363, -0.185944, -0.146774, -0.120901, -0.0922421, -0.0704743, -0.0492425, -0.0388607, -0.0329888, -0.0262712, -0.0159966, -0.00842538, -0.00342025, -0.000748796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.912206, 0.947191, 0.964179, 0.98296, 1.02589, 1.03854, 1.05089, 1.07001, 1.07919, 1.09361, 1.10769, 1.13583, 1.16421, 1.18425, 1.21583, 1.22611, 1.24585, 1.29535, 1.32443, 1.34607, 1.36337, 1.3857, 1.41519, 1.44339, 1.481, 1.50784, 1.53888, 1.58027, 1.64686, 1.71346, 1.78006, 1.97986");
-            values ( \
-              "-0.178549, -0.213859, -0.262085, -0.304576, -0.376619, -0.395284, -0.409887, -0.425048, -0.429429, -0.433236, -0.434136, -0.429811, -0.418875, -0.407371, -0.380914, -0.368612, -0.338831, -0.24308, -0.192415, -0.159771, -0.137144, -0.112078, -0.0849049, -0.0648482, -0.0449465, -0.0343028, -0.0250569, -0.0162588, -0.0072596, -0.0038413, -0.00228173, -0.000934797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.921522, 0.961248, 0.998351, 1.03444, 1.0611, 1.09479, 1.11315, 1.14006, 1.18798, 1.28877, 1.34228, 1.40888, 1.45482, 1.51238, 1.57898, 1.68589, 1.79133, 1.85024, 1.91684, 1.9779, 2.03047, 2.09707, 2.15778, 2.2606, 2.3272, 2.527, 2.62021");
-            values ( \
-              "-0.267208, -0.268908, -0.353181, -0.418954, -0.45483, -0.477713, -0.482936, -0.4855, -0.482156, -0.466419, -0.454502, -0.435608, -0.418444, -0.387557, -0.332019, -0.231133, -0.152354, -0.118957, -0.0890497, -0.0679172, -0.0535243, -0.0394579, -0.0297682, -0.0182638, -0.0131725, -0.00501375, -0.00409916" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00634806, 0.00634972, 0.00635166, 0.00635322, 0.00635419, 0.00635469", \
-            "0.00741359, 0.00741416, 0.00741514, 0.00741622, 0.00741702, 0.00741749", \
-            "0.00806918, 0.00806926, 0.00806951, 0.00806998, 0.00807048, 0.00807083", \
-            "0.00846527, 0.0084653, 0.00846535, 0.00846549, 0.00846571, 0.00846593", \
-            "0.00867992, 0.00867993, 0.00867995, 0.00868002, 0.00868012, 0.00868023", \
-            "0.00880074, 0.00880076, 0.00880078, 0.00880081, 0.00880086, 0.00880093" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00889243, 0.00889295, 0.00889418, 0.0088957, 0.00889687, 0.00889756", \
-            "0.00997679, 0.00997702, 0.00997754, 0.00997845, 0.00997937, 0.00997999", \
-            "0.0106092, 0.0106097, 0.0106104, 0.0106111, 0.0106119, 0.0106125", \
-            "0.0109671, 0.0109658, 0.0109644, 0.0109636, 0.0109634, 0.0109635", \
-            "0.0113548, 0.011353, 0.0113498, 0.0113457, 0.011342, 0.0113397", \
-            "0.0112799, 0.0113302, 0.0113585, 0.0113743, 0.0113821, 0.0113874" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & ~D & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.154909, 0.167928, 0.192698, 0.239667, 0.331154, 0.527686", \
-            "0.16079, 0.173814, 0.198584, 0.245583, 0.337074, 0.53361", \
-            "0.174014, 0.187024, 0.211775, 0.258792, 0.350327, 0.546883", \
-            "0.202248, 0.215231, 0.239965, 0.287113, 0.378747, 0.575364", \
-            "0.25784, 0.271462, 0.297085, 0.345007, 0.436849, 0.63357", \
-            "0.35019, 0.365574, 0.394017, 0.444743, 0.538056, 0.735322" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0450338, 0.0560125, 0.0799589, 0.129842, 0.241963, 0.50366", \
-            "0.0450398, 0.0560258, 0.0799627, 0.129866, 0.241961, 0.503659", \
-            "0.0450506, 0.0560373, 0.0799764, 0.129804, 0.241863, 0.503659", \
-            "0.0452034, 0.0561747, 0.0799356, 0.129868, 0.241839, 0.503655", \
-            "0.0489001, 0.0596421, 0.082711, 0.131499, 0.242254, 0.50368", \
-            "0.0579318, 0.0692279, 0.0919395, 0.138275, 0.245443, 0.504762" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00700975", \
-            "0.0089409", \
-            "0.0101363", \
-            "0.0107197", \
-            "0.0109821", \
-            "0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100549", \
-            "0.010318", \
-            "0.00954327", \
-            "0.00887701", \
-            "0.0086128", \
-            "0.00849665" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.114985, 0.130779, 0.143524, 0.151796, 0.159326, 0.166518, 0.173592, 0.180662, 0.187286, 0.205335, 0.223024, 0.228649, 0.243429, 0.256426");
-            values ( \
-              "-0.012061, -0.0525601, -0.0740322, -0.0845022, -0.0895752, -0.0926658, -0.0927698, -0.0899437, -0.0798666, -0.0277082, -0.00514234, -0.00355763, -0.00423215, -0.00321839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.115166, 0.124716, 0.131213, 0.136143, 0.144757, 0.158847, 0.166018, 0.179453, 0.188267, 0.197079, 0.199809, 0.20272, 0.207126, 0.218794, 0.228805, 0.239684, 0.254135, 0.258593, 0.262911, 0.271548, 0.295307, 0.325713");
-            values ( \
-              "-0.00647634, -0.0548487, -0.0802574, -0.098048, -0.121327, -0.149722, -0.158285, -0.166057, -0.165892, -0.159324, -0.154688, -0.148438, -0.132995, -0.0818396, -0.0496083, -0.0275285, -0.0101205, -0.00655996, -0.00462879, -0.00360575, -0.0042391, -0.00253793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.123746, 0.133627, 0.137717, 0.148165, 0.164516, 0.178494, 0.191494, 0.204184, 0.216821, 0.223192, 0.229873, 0.242566, 0.263528, 0.275578, 0.283861, 0.29128, 0.301172, 0.310069, 0.324914, 0.340712, 0.362644, 0.410055, 0.437561");
-            values ( \
-              "-0.109658, -0.116238, -0.136354, -0.175263, -0.221636, -0.24543, -0.256064, -0.258955, -0.255796, -0.251397, -0.243212, -0.208239, -0.117962, -0.0796387, -0.0598801, -0.0460018, -0.0318076, -0.0226901, -0.0114257, -0.00435259, -0.00300635, -0.00281789, -0.00201768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.126586, 0.140009, 0.147698, 0.163102, 0.173974, 0.187801, 0.199496, 0.209737, 0.237474, 0.251216, 0.258129, 0.271649, 0.287762, 0.292997, 0.303467, 0.33825, 0.362417, 0.376436, 0.391419, 0.411738, 0.430468, 0.443982, 0.469765, 0.503239, 0.545421, 0.751556");
-            values ( \
-              "-0.156362, -0.170273, -0.206876, -0.264197, -0.293475, -0.320328, -0.33401, -0.34166, -0.355093, -0.357992, -0.357527, -0.352492, -0.335935, -0.327786, -0.302418, -0.185957, -0.121727, -0.0935488, -0.0700505, -0.0467958, -0.0319419, -0.0239789, -0.0131859, -0.00520983, -0.0026657, -0.000485613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.133642, 0.163505, 0.177587, 0.188245, 0.199169, 0.228285, 0.251223, 0.268411, 0.285745, 0.305321, 0.331182, 0.342669, 0.361465, 0.38129, 0.420385, 0.43715, 0.511134, 0.548473, 0.568903, 0.588682, 0.614421, 0.635325, 0.661819, 0.69935, 0.716916, 0.741729, 0.774813, 0.840981, 0.907578, 0.974176, 1.17397");
-            values ( \
-              "-0.248932, -0.293059, -0.335731, -0.359356, -0.377084, -0.408548, -0.427905, -0.436426, -0.440096, -0.439781, -0.434202, -0.43009, -0.421536, -0.409618, -0.373635, -0.348986, -0.209036, -0.152059, -0.12673, -0.10571, -0.0830163, -0.0680189, -0.0525844, -0.0362343, -0.0303938, -0.0236176, -0.0166213, -0.00760976, -0.00385002, -0.00241418, -0.000868997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.139157, 0.181752, 0.201584, 0.234381, 0.260668, 0.292696, 0.310961, 0.336309, 0.37001, 0.415501, 0.460988, 0.539228, 0.620798, 0.644228, 0.691089, 0.745589, 0.909806, 0.978445, 1.0519, 1.11439, 1.17292, 1.22607, 1.29267, 1.39226, 1.51413, 1.58073, 1.64733, 1.78052, 1.80978");
-            values ( \
-              "-0.324368, -0.365146, -0.403591, -0.444595, -0.469764, -0.485222, -0.488432, -0.4895, -0.486719, -0.479976, -0.471837, -0.454614, -0.430431, -0.421621, -0.39878, -0.359351, -0.208457, -0.158542, -0.116506, -0.0886274, -0.0683472, -0.0538696, -0.0395747, -0.0248931, -0.0137675, -0.00997951, -0.00711631, -0.00386222, -0.00364282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.13368, 0.150926, 0.158095, 0.1632, 0.171473, 0.179006, 0.186198, 0.193273, 0.200344, 0.206937, 0.21889, 0.224807, 0.231847, 0.238296, 0.243106, 0.248828, 0.262455, 0.303869, 0.343813");
-            values ( \
-              "-0.00615872, -0.0533931, -0.0665966, -0.0740683, -0.084421, -0.0896207, -0.092605, -0.0928085, -0.0898992, -0.0799349, -0.0416617, -0.028115, -0.0168947, -0.00910341, -0.00490872, -0.00353592, -0.00423853, -0.00102392, -0.00019062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.140182, 0.147423, 0.156014, 0.164422, 0.176634, 0.181037, 0.190358, 0.199272, 0.208088, 0.217082, 0.222497, 0.226946, 0.238612, 0.248627, 0.259504, 0.273954, 0.278412, 0.282733, 0.291373, 0.315134, 0.350736");
-            values ( \
-              "-0.0640985, -0.0656078, -0.0979359, -0.120766, -0.14625, -0.152382, -0.162012, -0.165705, -0.166226, -0.158822, -0.148547, -0.133015, -0.0818577, -0.0496003, -0.027534, -0.0101253, -0.00655706, -0.00463182, -0.00360278, -0.0042358, -0.0018593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.143099, 0.153424, 0.157547, 0.167997, 0.18435, 0.198329, 0.21133, 0.22402, 0.228725, 0.236657, 0.243028, 0.249709, 0.262459, 0.283341, 0.295082, 0.30362, 0.311262, 0.321452, 0.330065, 0.344452, 0.360211, 0.382212, 0.429559, 0.456566");
-            values ( \
-              "-0.0993025, -0.116154, -0.13624, -0.175202, -0.221604, -0.245433, -0.25605, -0.258974, -0.258417, -0.255817, -0.251369, -0.243232, -0.20799, -0.118031, -0.0805397, -0.0600459, -0.0457622, -0.0312676, -0.0225432, -0.0116119, -0.00443205, -0.00299108, -0.00282965, -0.00203956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.146258, 0.160051, 0.167577, 0.182975, 0.194034, 0.207657, 0.216131, 0.22303, 0.229602, 0.247303, 0.257286, 0.269692, 0.273872, 0.279446, 0.29152, 0.3093, 0.312889, 0.320067, 0.334423, 0.355799, 0.370927, 0.384756, 0.394722, 0.411322, 0.418611, 0.431673, 0.45039, 0.45946, 0.472709, 0.490374, 0.525405, 0.568641, 0.775761");
-            values ( \
-              "-0.150724, -0.171113, -0.207004, -0.264301, -0.293905, -0.320375, -0.330801, -0.336836, -0.341064, -0.350579, -0.354935, -0.357801, -0.35796, -0.357337, -0.352451, -0.333462, -0.327741, -0.311529, -0.266321, -0.193288, -0.149293, -0.116338, -0.0965129, -0.0700737, -0.0607593, -0.04679, -0.0319084, -0.0263998, -0.0198101, -0.0129775, -0.00484007, -0.00265638, -0.000491083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.153496, 0.18339, 0.197514, 0.208125, 0.219045, 0.248167, 0.271043, 0.288286, 0.305949, 0.325275, 0.35124, 0.362542, 0.38134, 0.401164, 0.440258, 0.457023, 0.531007, 0.568346, 0.588777, 0.608555, 0.634294, 0.655198, 0.681693, 0.719223, 0.736789, 0.761602, 0.794686, 0.860854, 0.927452, 0.994049, 1.19384");
-            values ( \
-              "-0.248281, -0.293138, -0.335914, -0.359411, -0.377113, -0.408581, -0.42789, -0.436441, -0.440137, -0.439772, -0.434147, -0.430088, -0.421539, -0.409615, -0.373638, -0.348986, -0.209036, -0.152059, -0.12673, -0.10571, -0.0830163, -0.0680189, -0.0525845, -0.0362342, -0.0303938, -0.0236176, -0.0166213, -0.00760973, -0.00385005, -0.00241416, -0.000869016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.159171, 0.199593, 0.221496, 0.254244, 0.280003, 0.311969, 0.330822, 0.355982, 0.389513, 0.436071, 0.480848, 0.559088, 0.640658, 0.66409, 0.710954, 0.765439, 0.929671, 0.998305, 1.07176, 1.13425, 1.19278, 1.24593, 1.31253, 1.41212, 1.53303, 1.59963, 1.66623, 1.79942, 1.83331");
-            values ( \
-              "-0.330285, -0.360011, -0.403751, -0.444631, -0.469392, -0.48507, -0.488437, -0.489513, -0.486755, -0.479849, -0.471845, -0.454623, -0.430421, -0.42163, -0.398767, -0.359349, -0.208466, -0.158555, -0.11652, -0.0886151, -0.068334, -0.0538821, -0.0395617, -0.0248802, -0.0138215, -0.0100403, -0.00713667, -0.00386542, -0.00361009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.177014, 0.185372, 0.194857, 0.202693, 0.21563, 0.223173, 0.230367, 0.237443, 0.244515, 0.251099, 0.260433, 0.268889, 0.276017, 0.282618, 0.287451, 0.293215, 0.306856, 0.335225, 0.348503, 0.365914, 0.388731");
-            values ( \
-              "-0.00331964, -0.0280846, -0.0528844, -0.0673092, -0.0844034, -0.089571, -0.0926103, -0.0927726, -0.0899109, -0.0799546, -0.0492923, -0.0282913, -0.0168953, -0.00893818, -0.00481405, -0.00353126, -0.00423259, -0.00175638, -0.00100156, -0.000384393, -0.000185251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.180354, 0.188551, 0.200086, 0.208509, 0.214999, 0.220724, 0.225129, 0.234452, 0.243368, 0.252185, 0.261179, 0.266585, 0.271044, 0.282711, 0.292726, 0.303603, 0.318054, 0.322513, 0.326834, 0.335476, 0.359238, 0.390908");
-            values ( \
-              "-0.0159623, -0.0554733, -0.0977865, -0.120672, -0.134754, -0.146182, -0.152338, -0.161967, -0.165687, -0.166199, -0.158813, -0.148567, -0.133009, -0.0818548, -0.0495994, -0.0275327, -0.0101239, -0.00655738, -0.00463067, -0.00360403, -0.0042365, -0.00212131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.187499, 0.197572, 0.20154, 0.212008, 0.228375, 0.242359, 0.255363, 0.268055, 0.280694, 0.287066, 0.293747, 0.306486, 0.327385, 0.339436, 0.347737, 0.355158, 0.365054, 0.378894, 0.388788, 0.404583, 0.426567, 0.473978, 0.502019");
-            values ( \
-              "-0.108299, -0.116414, -0.135987, -0.175038, -0.221521, -0.245326, -0.256028, -0.258941, -0.255791, -0.251354, -0.243215, -0.208034, -0.118014, -0.0796787, -0.0598909, -0.0460076, -0.031793, -0.0182845, -0.011436, -0.00434624, -0.00301266, -0.00282372, -0.00200331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.190669, 0.206051, 0.218543, 0.227014, 0.240768, 0.251732, 0.263467, 0.27365, 0.30146, 0.315127, 0.321054, 0.335543, 0.340814, 0.351355, 0.356867, 0.367832, 0.401922, 0.426436, 0.440747, 0.454832, 0.473118, 0.493271, 0.519013, 0.538027, 0.573481, 0.619081, 0.774215");
-            values ( \
-              "-0.152192, -0.181133, -0.234627, -0.264022, -0.30027, -0.320158, -0.334227, -0.341478, -0.355053, -0.35796, -0.357705, -0.352365, -0.348433, -0.336504, -0.327659, -0.300986, -0.18664, -0.12133, -0.0928361, -0.0707531, -0.0492788, -0.0325578, -0.0187333, -0.0118291, -0.00431261, -0.0026234, -0.000813647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.19713, 0.227577, 0.240887, 0.252293, 0.258719, 0.26517, 0.29423, 0.306876, 0.319928, 0.332422, 0.348242, 0.366453, 0.375302, 0.3871, 0.406691, 0.444186, 0.461551, 0.480072, 0.486808, 0.500279, 0.554303, 0.59041, 0.612068, 0.638636, 0.673663, 0.698693, 0.716777, 0.752945, 0.780182, 0.793921, 0.821401, 0.876359, 0.942956, 1.00955, 1.07615, 1.27594");
-            values ( \
-              "-0.238194, -0.293503, -0.334004, -0.359519, -0.370545, -0.379485, -0.410452, -0.42184, -0.430814, -0.436488, -0.44003, -0.440155, -0.439013, -0.436472, -0.430069, -0.41054, -0.397411, -0.378877, -0.370474, -0.350279, -0.246669, -0.183938, -0.15258, -0.120254, -0.0867013, -0.0683337, -0.0573776, -0.0401564, -0.0306022, -0.0266307, -0.0200339, -0.010772, -0.004955, -0.0029619, -0.00188895, -0.000735698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.202453, 0.244823, 0.266183, 0.311294, 0.33986, 0.356383, 0.374907, 0.40008, 0.433858, 0.479638, 0.524933, 0.59153, 0.626458, 0.684743, 0.708174, 0.755035, 0.809532, 0.931139, 0.973752, 1.04239, 1.11584, 1.17833, 1.23686, 1.29002, 1.35661, 1.4189, 1.50867, 1.57526, 1.64186, 1.77506, 1.90825, 2.24124");
-            values ( \
-              "-0.314915, -0.362678, -0.404321, -0.458593, -0.479066, -0.484966, -0.488657, -0.489313, -0.486931, -0.48013, -0.471654, -0.457496, -0.448603, -0.430593, -0.421461, -0.398937, -0.359506, -0.244698, -0.208315, -0.158405, -0.116371, -0.0887622, -0.0684792, -0.053738, -0.0397056, -0.0296496, -0.0193676, -0.0140889, -0.00999559, -0.0052595, -0.00294183, -0.000993523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.276189, 0.284437, 0.290475, 0.299672, 0.311908, 0.319481, 0.326697, 0.333791, 0.34088, 0.346156, 0.362951, 0.370721, 0.381921, 0.387221, 0.40383, 0.440179");
-            values ( \
-              "-0.0227768, -0.034084, -0.0506578, -0.0677684, -0.083873, -0.0893079, -0.0922918, -0.0926442, -0.0897238, -0.0826361, -0.0331107, -0.0191919, -0.00609874, -0.00373099, -0.00415019, -0.00137824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.276185, 0.284305, 0.296148, 0.304862, 0.311278, 0.319447, 0.325528, 0.330792, 0.339746, 0.348579, 0.357408, 0.362912, 0.367459, 0.379131, 0.389153, 0.40003, 0.414484, 0.418947, 0.423276, 0.431936, 0.455698, 0.485732");
-            values ( \
-              "-0.0189092, -0.0531967, -0.0958647, -0.119761, -0.133887, -0.149365, -0.156812, -0.161134, -0.165585, -0.165723, -0.159037, -0.148665, -0.132918, -0.0818207, -0.0495848, -0.027527, -0.010116, -0.00655455, -0.00462771, -0.00361184, -0.00423734, -0.00222885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.282981, 0.293122, 0.297711, 0.308289, 0.316149, 0.326569, 0.334662, 0.345451, 0.351727, 0.361454, 0.3704, 0.37707, 0.386175, 0.390161, 0.396801, 0.404662, 0.423497, 0.435638, 0.444033, 0.451551, 0.461574, 0.475229, 0.48499, 0.500874, 0.522884, 0.570292, 0.595773");
-            values ( \
-              "-0.0995745, -0.111356, -0.134006, -0.173746, -0.19846, -0.224646, -0.239084, -0.251395, -0.25531, -0.258284, -0.257691, -0.255306, -0.248451, -0.24306, -0.229189, -0.199391, -0.11886, -0.0800135, -0.0599465, -0.0458963, -0.0315629, -0.0182842, -0.0115201, -0.00435014, -0.00300448, -0.00282526, -0.0020789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.287367, 0.300584, 0.314111, 0.323403, 0.335731, 0.348126, 0.359472, 0.370073, 0.397686, 0.41156, 0.418508, 0.431997, 0.44845, 0.453341, 0.463124, 0.498863, 0.522568, 0.547347, 0.560558, 0.578174, 0.592824, 0.614413, 0.643198, 0.67926, 0.772931");
-            values ( \
-              "-0.169506, -0.170892, -0.230902, -0.263485, -0.296544, -0.319782, -0.333546, -0.341277, -0.354957, -0.357963, -0.357601, -0.352474, -0.335486, -0.327773, -0.304424, -0.185126, -0.122155, -0.0763953, -0.0589853, -0.041319, -0.0306297, -0.0192635, -0.00922932, -0.00352892, -0.00225746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.291545, 0.311593, 0.324232, 0.334451, 0.347732, 0.361612, 0.390811, 0.403297, 0.416159, 0.428992, 0.444827, 0.463131, 0.471638, 0.482981, 0.503253, 0.540739, 0.558227, 0.576882, 0.583369, 0.596345, 0.622295, 0.653796, 0.689917, 0.71623, 0.731207, 0.761159, 0.777434, 0.789575, 0.805763, 0.83814, 0.865961, 0.895158, 0.934088, 1.00069, 1.06728, 1.13388, 1.33367");
-            values ( \
-              "-0.230111, -0.241767, -0.293719, -0.325854, -0.357426, -0.379335, -0.410628, -0.421819, -0.430785, -0.436555, -0.440138, -0.440158, -0.439067, -0.436695, -0.430068, -0.410571, -0.397315, -0.378588, -0.370474, -0.351099, -0.302811, -0.241146, -0.179375, -0.142667, -0.124698, -0.0944943, -0.0810456, -0.0722064, -0.0618027, -0.0449914, -0.0341261, -0.0254168, -0.0168372, -0.00765744, -0.00387145, -0.00241904, -0.000872899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.30252, 0.351695, 0.363039, 0.39515, 0.421195, 0.436775, 0.453367, 0.4717, 0.497058, 0.530984, 0.575759, 0.621722, 0.699962, 0.781532, 0.804962, 0.851821, 0.86999, 0.906328, 1.02792, 1.08589, 1.12873, 1.20198, 1.26858, 1.30387, 1.35444, 1.40366, 1.47026, 1.49781, 1.55292, 1.61952, 1.68612, 1.75271, 1.88591, 2.0191, 2.28549");
-            values ( \
-              "-0.35132, -0.385473, -0.404817, -0.444899, -0.469803, -0.479042, -0.485286, -0.488528, -0.489506, -0.486735, -0.480091, -0.471805, -0.454579, -0.430468, -0.421583, -0.398819, -0.38749, -0.359387, -0.244602, -0.196283, -0.165338, -0.121894, -0.0912419, -0.0781309, -0.0623367, -0.0498552, -0.0366977, -0.0322929, -0.0249576, -0.0181267, -0.0130606, -0.00936971, -0.00498624, -0.00283534, -0.00109741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.470567, 0.480484, 0.483681, 0.495938, 0.507423, 0.516733, 0.525055, 0.532881, 0.540493, 0.548099, 0.552303, 0.556333, 0.571894, 0.580446, 0.591382, 0.597411, 0.611258, 0.639105");
-            values ( \
-              "-0.00493199, -0.021531, -0.024656, -0.048438, -0.0651907, -0.0756256, -0.0818835, -0.0854938, -0.0869042, -0.0849934, -0.0807806, -0.0733764, -0.0302783, -0.0165969, -0.00511426, -0.00382401, -0.00434702, -0.00211667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.478678, 0.488351, 0.501882, 0.51567, 0.526877, 0.536925, 0.544279, 0.550063, 0.555769, 0.560395, 0.565116, 0.572409, 0.596809, 0.606881, 0.623189, 0.632371, 0.6658, 0.679309");
-            values ( \
-              "-0.050551, -0.0510779, -0.0906597, -0.12095, -0.139903, -0.1505, -0.155303, -0.15761, -0.15767, -0.15691, -0.152755, -0.139902, -0.0504322, -0.0295169, -0.00961899, -0.00456273, -0.00415728, -0.00342262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.482472, 0.493661, 0.505801, 0.52005, 0.530343, 0.539862, 0.545273, 0.550105, 0.558943, 0.564673, 0.572125, 0.578681, 0.585151, 0.59447, 0.59842, 0.60515, 0.613049, 0.631977, 0.639579, 0.645322, 0.652839, 0.659506, 0.666948, 0.677843, 0.683698, 0.693162, 0.70578, 0.725907, 0.74842, 0.853716");
-            values ( \
-              "-0.0831683, -0.0888163, -0.134522, -0.178366, -0.204337, -0.222769, -0.230843, -0.23665, -0.244199, -0.247594, -0.25018, -0.25088, -0.250096, -0.244745, -0.240189, -0.227119, -0.198317, -0.118519, -0.0930028, -0.0767687, -0.0591428, -0.0466826, -0.0356079, -0.023483, -0.0183855, -0.011617, -0.00562258, -0.00291009, -0.00343301, -0.000608836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.485984, 0.497943, 0.512103, 0.529026, 0.550093, 0.562807, 0.57722, 0.5994, 0.609976, 0.620053, 0.634382, 0.64055, 0.650883, 0.661938, 0.683676, 0.705002, 0.733905, 0.756895, 0.767892, 0.78094, 0.799647, 0.821918, 0.839541, 0.873036, 0.915961, 0.981452");
-            values ( \
-              "-0.11953, -0.124135, -0.184549, -0.244439, -0.296449, -0.317145, -0.332029, -0.347752, -0.353468, -0.355794, -0.354674, -0.35134, -0.343065, -0.326997, -0.266578, -0.193102, -0.116326, -0.0750841, -0.0605165, -0.046952, -0.0317083, -0.01964, -0.0131854, -0.00490734, -0.00285108, -0.00216267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.494001, 0.516097, 0.530068, 0.542293, 0.564239, 0.579926, 0.599161, 0.62543, 0.637575, 0.653723, 0.674614, 0.687308, 0.699491, 0.711913, 0.730711, 0.750544, 0.789646, 0.806389, 0.880412, 0.917732, 0.957929, 0.983675, 1.00459, 1.03109, 1.06861, 1.11098, 1.14406, 1.21021, 1.2768, 1.3434, 1.54319");
-            values ( \
-              "-0.20456, -0.21869, -0.273449, -0.311283, -0.359769, -0.382262, -0.406077, -0.429483, -0.435191, -0.439579, -0.439657, -0.437586, -0.43415, -0.430061, -0.421299, -0.409702, -0.373471, -0.349005, -0.209003, -0.152057, -0.105721, -0.0830204, -0.0680129, -0.0525802, -0.0362334, -0.0236201, -0.0166242, -0.00761374, -0.00384916, -0.00241499, -0.000868585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.506474, 0.54947, 0.565885, 0.581213, 0.607632, 0.629576, 0.645269, 0.663273, 0.680455, 0.706741, 0.741206, 0.781673, 0.830502, 0.908742, 0.990312, 1.01374, 1.06058, 1.07877, 1.11515, 1.23668, 1.30327, 1.33904, 1.39587, 1.46081, 1.50269, 1.55243, 1.60406, 1.67065, 1.70625, 1.77285, 1.83945, 1.90605, 1.97264, 2.10584, 2.30563, 2.50542");
-            values ( \
-              "-0.331699, -0.348056, -0.383747, -0.408414, -0.445676, -0.467878, -0.478031, -0.485005, -0.488256, -0.48929, -0.486562, -0.480597, -0.47176, -0.454545, -0.430496, -0.42156, -0.398855, -0.387467, -0.359376, -0.244641, -0.189679, -0.164286, -0.12982, -0.0980939, -0.081627, -0.0654058, -0.0517989, -0.0381164, -0.0323501, -0.0236362, -0.0171637, -0.0123282, -0.00887423, -0.0047518, -0.0020946, -0.00106928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.873025, 0.890786, 0.895357, 0.901588, 0.919911, 0.93847, 0.948553, 0.956612, 0.960543, 0.969094, 0.973506, 0.980063, 0.984924, 1.00057, 1.00927, 1.01766, 1.02383, 1.03656, 1.04263, 1.0746, 1.11034, 1.13549");
-            values ( \
-              "-0.000267248, -0.0145099, -0.0168573, -0.0230835, -0.0453759, -0.0617999, -0.0679219, -0.0714842, -0.0728426, -0.0744655, -0.0742986, -0.0724751, -0.0655353, -0.0304846, -0.017306, -0.00754228, -0.00478975, -0.00486124, -0.00451826, -0.00141425, -0.000283899, -0.000129305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.882664, 0.900394, 0.919869, 0.937086, 0.950664, 0.962599, 0.973696, 0.98439, 0.995003, 1.00557, 1.02931, 1.04142, 1.05709, 1.06684, 1.09893, 1.1065");
-            values ( \
-              "-0.0167034, -0.0345563, -0.0710499, -0.0987222, -0.116819, -0.128144, -0.13504, -0.138855, -0.13687, -0.12228, -0.0476702, -0.0256494, -0.0080256, -0.00482356, -0.00426316, -0.00373685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.891875, 0.90897, 0.944986, 0.953813, 0.971337, 0.990537, 1.00183, 1.01617, 1.02726, 1.0304, 1.03669, 1.04574, 1.06777, 1.08306, 1.09565, 1.10527, 1.11779, 1.1263, 1.14182, 1.16263, 1.18587, 1.24105");
-            values ( \
-              "-0.0545757, -0.0677377, -0.154546, -0.171847, -0.200373, -0.218447, -0.224638, -0.229945, -0.228609, -0.226618, -0.218701, -0.191988, -0.107501, -0.0652366, -0.0420375, -0.029527, -0.0178298, -0.0117989, -0.00488039, -0.00321851, -0.00345319, -0.00205787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.901506, 0.92679, 0.952005, 0.970369, 0.982465, 0.996553, 1.02734, 1.0383, 1.05271, 1.05809, 1.06885, 1.07383, 1.0838, 1.09561, 1.1177, 1.13895, 1.15425, 1.16829, 1.17825, 1.19483, 1.22297, 1.2402, 1.26085, 1.28445, 1.32059, 1.41582");
-            values ( \
-              "-0.103694, -0.133146, -0.205136, -0.247576, -0.26925, -0.289209, -0.323579, -0.333826, -0.342693, -0.344407, -0.344607, -0.343148, -0.336802, -0.322394, -0.263981, -0.192663, -0.148817, -0.115683, -0.0960643, -0.0698718, -0.0397899, -0.0280732, -0.0179397, -0.0098958, -0.00375055, -0.00234408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.911014, 0.942362, 0.971011, 0.983313, 1.01924, 1.04327, 1.05716, 1.07047, 1.0877, 1.10821, 1.13425, 1.16488, 1.18481, 1.21574, 1.22654, 1.24691, 1.31553, 1.35926, 1.38514, 1.41613, 1.44328, 1.47947, 1.50774, 1.54015, 1.58336, 1.64996, 1.71656, 1.78315, 1.98294");
-            values ( \
-              "-0.172596, -0.197521, -0.278314, -0.304769, -0.364529, -0.399886, -0.414684, -0.424333, -0.431445, -0.433751, -0.430122, -0.41863, -0.407228, -0.381541, -0.368737, -0.337966, -0.20815, -0.142996, -0.113156, -0.0847456, -0.0654193, -0.0457829, -0.0346763, -0.0250157, -0.0156848, -0.00716976, -0.0036471, -0.00236844, -0.000797185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.926443, 0.976768, 1.03503, 1.06239, 1.07917, 1.09707, 1.11385, 1.14196, 1.18001, 1.25278, 1.33679, 1.38039, 1.42491, 1.4915, 1.51324, 1.55671, 1.66629, 1.72933, 1.77157, 1.8475, 1.9141, 1.99688, 2.06348, 2.13755, 2.24072, 2.30732, 2.44051, 2.5737, 2.97329");
-            values ( \
-              "-0.286622, -0.308302, -0.418114, -0.454722, -0.468624, -0.477773, -0.48249, -0.485196, -0.483062, -0.472984, -0.456015, -0.444527, -0.430367, -0.400841, -0.387499, -0.35315, -0.249011, -0.196103, -0.165604, -0.120696, -0.0903295, -0.0625508, -0.0461645, -0.0328056, -0.0201636, -0.01457, -0.00754847, -0.00410468, -0.000958879" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00693505, 0.00693687, 0.00693898, 0.00694068, 0.00694172, 0.00694227", \
-            "0.00818578, 0.00818644, 0.00818753, 0.00818873, 0.00818962, 0.00819014", \
-            "0.00894351, 0.00894359, 0.00894386, 0.00894437, 0.00894491, 0.00894529", \
-            "0.00939003, 0.00939005, 0.00939009, 0.00939023, 0.00939046, 0.00939069", \
-            "0.00962448, 0.00962449, 0.0096245, 0.00962455, 0.00962463, 0.00962474", \
-            "0.00976285, 0.00976287, 0.00976289, 0.00976291, 0.00976295, 0.009763" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00970941, 0.00971001, 0.00971143, 0.0097131, 0.00971438, 0.00971512", \
-            "0.0106309, 0.010631, 0.0106313, 0.0106321, 0.010633, 0.0106337", \
-            "0.0110157, 0.0110161, 0.0110165, 0.011017, 0.0110176, 0.0110182", \
-            "0.0112749, 0.0112737, 0.0112726, 0.0112719, 0.0112717, 0.0112718", \
-            "0.0118939, 0.0118919, 0.0118885, 0.0118842, 0.0118804, 0.011878", \
-            "0.0124495, 0.0124994, 0.0125278, 0.0125442, 0.0125539, 0.0125573" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.154909, 0.167928, 0.192698, 0.239667, 0.331154, 0.527686", \
-            "0.16079, 0.173814, 0.198584, 0.245583, 0.337074, 0.53361", \
-            "0.174014, 0.187024, 0.211775, 0.258792, 0.350327, 0.546883", \
-            "0.202248, 0.215231, 0.239965, 0.287113, 0.378747, 0.575364", \
-            "0.25784, 0.271462, 0.297085, 0.345007, 0.436849, 0.63357", \
-            "0.35019, 0.365574, 0.394017, 0.444743, 0.538056, 0.735322" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0450338, 0.0560125, 0.0799589, 0.129842, 0.241963, 0.50366", \
-            "0.0450398, 0.0560258, 0.0799627, 0.129866, 0.241961, 0.503659", \
-            "0.0450506, 0.0560373, 0.0799764, 0.129804, 0.241863, 0.503659", \
-            "0.0452034, 0.0561747, 0.0799356, 0.129868, 0.241839, 0.503655", \
-            "0.0489001, 0.0596421, 0.082711, 0.131499, 0.242254, 0.50368", \
-            "0.0579318, 0.0692279, 0.0919395, 0.138275, 0.245443, 0.504762" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00700975", \
-            "0.0089409", \
-            "0.0101363", \
-            "0.0107197", \
-            "0.0109821", \
-            "0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100549", \
-            "0.010318", \
-            "0.00954327", \
-            "0.00887701", \
-            "0.0086128", \
-            "0.00849665" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.114985, 0.130779, 0.143524, 0.151796, 0.159326, 0.166518, 0.173592, 0.180662, 0.187286, 0.205335, 0.223024, 0.228649, 0.243429, 0.256426");
-            values ( \
-              "-0.012061, -0.0525601, -0.0740322, -0.0845022, -0.0895752, -0.0926658, -0.0927698, -0.0899437, -0.0798666, -0.0277082, -0.00514234, -0.00355763, -0.00423215, -0.00321839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812203");
-            index_3 ("0.115166, 0.124716, 0.131213, 0.136143, 0.144757, 0.158847, 0.166018, 0.179453, 0.188267, 0.197079, 0.199809, 0.20272, 0.207126, 0.218794, 0.228805, 0.239684, 0.254135, 0.258593, 0.262911, 0.271548, 0.295307, 0.325713");
-            values ( \
-              "-0.00647634, -0.0548487, -0.0802574, -0.098048, -0.121327, -0.149722, -0.158285, -0.166057, -0.165892, -0.159324, -0.154688, -0.148438, -0.132995, -0.0818396, -0.0496083, -0.0275285, -0.0101205, -0.00655996, -0.00462879, -0.00360575, -0.0042391, -0.00253793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181213");
-            index_3 ("0.123746, 0.133627, 0.137717, 0.148165, 0.164516, 0.178494, 0.191494, 0.204184, 0.216821, 0.223192, 0.229873, 0.242566, 0.263528, 0.275578, 0.283861, 0.29128, 0.301172, 0.310069, 0.324914, 0.340712, 0.362644, 0.410055, 0.437561");
-            values ( \
-              "-0.109658, -0.116238, -0.136354, -0.175263, -0.221636, -0.24543, -0.256064, -0.258955, -0.255796, -0.251397, -0.243212, -0.208239, -0.117962, -0.0796387, -0.0598801, -0.0460018, -0.0318076, -0.0226901, -0.0114257, -0.00435259, -0.00300635, -0.00281789, -0.00201768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404311");
-            index_3 ("0.126586, 0.140009, 0.147698, 0.163102, 0.173974, 0.187801, 0.199496, 0.209737, 0.237474, 0.251216, 0.258129, 0.271649, 0.287762, 0.292997, 0.303467, 0.33825, 0.362417, 0.376436, 0.391419, 0.411738, 0.430468, 0.443982, 0.469765, 0.503239, 0.545421, 0.751556");
-            values ( \
-              "-0.156362, -0.170273, -0.206876, -0.264197, -0.293475, -0.320328, -0.33401, -0.34166, -0.355093, -0.357992, -0.357527, -0.352492, -0.335935, -0.327786, -0.302418, -0.185957, -0.121727, -0.0935488, -0.0700505, -0.0467958, -0.0319419, -0.0239789, -0.0131859, -0.00520983, -0.0026657, -0.000485613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0902071");
-            index_3 ("0.133642, 0.163505, 0.177587, 0.188245, 0.199169, 0.228285, 0.251223, 0.268411, 0.285745, 0.305321, 0.331182, 0.342669, 0.361465, 0.38129, 0.420385, 0.43715, 0.511134, 0.548473, 0.568903, 0.588682, 0.614421, 0.635325, 0.661819, 0.69935, 0.716916, 0.741729, 0.774813, 0.840981, 0.907578, 0.974176, 1.17397");
-            values ( \
-              "-0.248932, -0.293059, -0.335731, -0.359356, -0.377084, -0.408548, -0.427905, -0.436426, -0.440096, -0.439781, -0.434202, -0.43009, -0.421536, -0.409618, -0.373635, -0.348986, -0.209036, -0.152059, -0.12673, -0.10571, -0.0830163, -0.0680189, -0.0525844, -0.0362343, -0.0303938, -0.0236176, -0.0166213, -0.00760976, -0.00385002, -0.00241418, -0.000868997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201264");
-            index_3 ("0.139157, 0.181752, 0.201584, 0.234381, 0.260668, 0.292696, 0.310961, 0.336309, 0.37001, 0.415501, 0.460988, 0.539228, 0.620798, 0.644228, 0.691089, 0.745589, 0.909806, 0.978445, 1.0519, 1.11439, 1.17292, 1.22607, 1.29267, 1.39226, 1.51413, 1.58073, 1.64733, 1.78052, 1.80978");
-            values ( \
-              "-0.324368, -0.365146, -0.403591, -0.444595, -0.469764, -0.485222, -0.488432, -0.4895, -0.486719, -0.479976, -0.471837, -0.454614, -0.430431, -0.421621, -0.39878, -0.359351, -0.208457, -0.158542, -0.116506, -0.0886274, -0.0683472, -0.0538696, -0.0395747, -0.0248931, -0.0137675, -0.00997951, -0.00711631, -0.00386222, -0.00364282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.13368, 0.150926, 0.158095, 0.1632, 0.171473, 0.179006, 0.186198, 0.193273, 0.200344, 0.206937, 0.21889, 0.224807, 0.231847, 0.238296, 0.243106, 0.248828, 0.262455, 0.303869, 0.343813");
-            values ( \
-              "-0.00615872, -0.0533931, -0.0665966, -0.0740683, -0.084421, -0.0896207, -0.092605, -0.0928085, -0.0898992, -0.0799349, -0.0416617, -0.028115, -0.0168947, -0.00910341, -0.00490872, -0.00353592, -0.00423853, -0.00102392, -0.00019062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812203");
-            index_3 ("0.140182, 0.147423, 0.156014, 0.164422, 0.176634, 0.181037, 0.190358, 0.199272, 0.208088, 0.217082, 0.222497, 0.226946, 0.238612, 0.248627, 0.259504, 0.273954, 0.278412, 0.282733, 0.291373, 0.315134, 0.350736");
-            values ( \
-              "-0.0640985, -0.0656078, -0.0979359, -0.120766, -0.14625, -0.152382, -0.162012, -0.165705, -0.166226, -0.158822, -0.148547, -0.133015, -0.0818577, -0.0496003, -0.027534, -0.0101253, -0.00655706, -0.00463182, -0.00360278, -0.0042358, -0.0018593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181213");
-            index_3 ("0.143099, 0.153424, 0.157547, 0.167997, 0.18435, 0.198329, 0.21133, 0.22402, 0.228725, 0.236657, 0.243028, 0.249709, 0.262459, 0.283341, 0.295082, 0.30362, 0.311262, 0.321452, 0.330065, 0.344452, 0.360211, 0.382212, 0.429559, 0.456566");
-            values ( \
-              "-0.0993025, -0.116154, -0.13624, -0.175202, -0.221604, -0.245433, -0.25605, -0.258974, -0.258417, -0.255817, -0.251369, -0.243232, -0.20799, -0.118031, -0.0805397, -0.0600459, -0.0457622, -0.0312676, -0.0225432, -0.0116119, -0.00443205, -0.00299108, -0.00282965, -0.00203956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404311");
-            index_3 ("0.146258, 0.160051, 0.167577, 0.182975, 0.194034, 0.207657, 0.216131, 0.22303, 0.229602, 0.247303, 0.257286, 0.269692, 0.273872, 0.279446, 0.29152, 0.3093, 0.312889, 0.320067, 0.334423, 0.355799, 0.370927, 0.384756, 0.394722, 0.411322, 0.418611, 0.431673, 0.45039, 0.45946, 0.472709, 0.490374, 0.525405, 0.568641, 0.775761");
-            values ( \
-              "-0.150724, -0.171113, -0.207004, -0.264301, -0.293905, -0.320375, -0.330801, -0.336836, -0.341064, -0.350579, -0.354935, -0.357801, -0.35796, -0.357337, -0.352451, -0.333462, -0.327741, -0.311529, -0.266321, -0.193288, -0.149293, -0.116338, -0.0965129, -0.0700737, -0.0607593, -0.04679, -0.0319084, -0.0263998, -0.0198101, -0.0129775, -0.00484007, -0.00265638, -0.000491083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0902071");
-            index_3 ("0.153496, 0.18339, 0.197514, 0.208125, 0.219045, 0.248167, 0.271043, 0.288286, 0.305949, 0.325275, 0.35124, 0.362542, 0.38134, 0.401164, 0.440258, 0.457023, 0.531007, 0.568346, 0.588777, 0.608555, 0.634294, 0.655198, 0.681693, 0.719223, 0.736789, 0.761602, 0.794686, 0.860854, 0.927452, 0.994049, 1.19384");
-            values ( \
-              "-0.248281, -0.293138, -0.335914, -0.359411, -0.377113, -0.408581, -0.42789, -0.436441, -0.440137, -0.439772, -0.434147, -0.430088, -0.421539, -0.409615, -0.373638, -0.348986, -0.209036, -0.152059, -0.12673, -0.10571, -0.0830163, -0.0680189, -0.0525845, -0.0362342, -0.0303938, -0.0236176, -0.0166213, -0.00760973, -0.00385005, -0.00241416, -0.000869016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201264");
-            index_3 ("0.159171, 0.199593, 0.221496, 0.254244, 0.280003, 0.311969, 0.330822, 0.355982, 0.389513, 0.436071, 0.480848, 0.559088, 0.640658, 0.66409, 0.710954, 0.765439, 0.929671, 0.998305, 1.07176, 1.13425, 1.19278, 1.24593, 1.31253, 1.41212, 1.53303, 1.59963, 1.66623, 1.79942, 1.83331");
-            values ( \
-              "-0.330285, -0.360011, -0.403751, -0.444631, -0.469392, -0.48507, -0.488437, -0.489513, -0.486755, -0.479849, -0.471845, -0.454623, -0.430421, -0.42163, -0.398767, -0.359349, -0.208466, -0.158555, -0.11652, -0.0886151, -0.068334, -0.0538821, -0.0395617, -0.0248802, -0.0138215, -0.0100403, -0.00713667, -0.00386542, -0.00361009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.177014, 0.185372, 0.194857, 0.202693, 0.21563, 0.223173, 0.230367, 0.237443, 0.244515, 0.251099, 0.260433, 0.268889, 0.276017, 0.282618, 0.287451, 0.293215, 0.306856, 0.335225, 0.348503, 0.365914, 0.388731");
-            values ( \
-              "-0.00331964, -0.0280846, -0.0528844, -0.0673092, -0.0844034, -0.089571, -0.0926103, -0.0927726, -0.0899109, -0.0799546, -0.0492923, -0.0282913, -0.0168953, -0.00893818, -0.00481405, -0.00353126, -0.00423259, -0.00175638, -0.00100156, -0.000384393, -0.000185251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812203");
-            index_3 ("0.180354, 0.188551, 0.200086, 0.208509, 0.214999, 0.220724, 0.225129, 0.234452, 0.243368, 0.252185, 0.261179, 0.266585, 0.271044, 0.282711, 0.292726, 0.303603, 0.318054, 0.322513, 0.326834, 0.335476, 0.359238, 0.390908");
-            values ( \
-              "-0.0159623, -0.0554733, -0.0977865, -0.120672, -0.134754, -0.146182, -0.152338, -0.161967, -0.165687, -0.166199, -0.158813, -0.148567, -0.133009, -0.0818548, -0.0495994, -0.0275327, -0.0101239, -0.00655738, -0.00463067, -0.00360403, -0.0042365, -0.00212131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181213");
-            index_3 ("0.187499, 0.197572, 0.20154, 0.212008, 0.228375, 0.242359, 0.255363, 0.268055, 0.280694, 0.287066, 0.293747, 0.306486, 0.327385, 0.339436, 0.347737, 0.355158, 0.365054, 0.378894, 0.388788, 0.404583, 0.426567, 0.473978, 0.502019");
-            values ( \
-              "-0.108299, -0.116414, -0.135987, -0.175038, -0.221521, -0.245326, -0.256028, -0.258941, -0.255791, -0.251354, -0.243215, -0.208034, -0.118014, -0.0796787, -0.0598909, -0.0460076, -0.031793, -0.0182845, -0.011436, -0.00434624, -0.00301266, -0.00282372, -0.00200331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404311");
-            index_3 ("0.190669, 0.206051, 0.218543, 0.227014, 0.240768, 0.251732, 0.263467, 0.27365, 0.30146, 0.315127, 0.321054, 0.335543, 0.340814, 0.351355, 0.356867, 0.367832, 0.401922, 0.426436, 0.440747, 0.454832, 0.473118, 0.493271, 0.519013, 0.538027, 0.573481, 0.619081, 0.774215");
-            values ( \
-              "-0.152192, -0.181133, -0.234627, -0.264022, -0.30027, -0.320158, -0.334227, -0.341478, -0.355053, -0.35796, -0.357705, -0.352365, -0.348433, -0.336504, -0.327659, -0.300986, -0.18664, -0.12133, -0.0928361, -0.0707531, -0.0492788, -0.0325578, -0.0187333, -0.0118291, -0.00431261, -0.0026234, -0.000813647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0902071");
-            index_3 ("0.19713, 0.227577, 0.240887, 0.252293, 0.258719, 0.26517, 0.29423, 0.306876, 0.319928, 0.332422, 0.348242, 0.366453, 0.375302, 0.3871, 0.406691, 0.444186, 0.461551, 0.480072, 0.486808, 0.500279, 0.554303, 0.59041, 0.612068, 0.638636, 0.673663, 0.698693, 0.716777, 0.752945, 0.780182, 0.793921, 0.821401, 0.876359, 0.942956, 1.00955, 1.07615, 1.27594");
-            values ( \
-              "-0.238194, -0.293503, -0.334004, -0.359519, -0.370545, -0.379485, -0.410452, -0.42184, -0.430814, -0.436488, -0.44003, -0.440155, -0.439013, -0.436472, -0.430069, -0.41054, -0.397411, -0.378877, -0.370474, -0.350279, -0.246669, -0.183938, -0.15258, -0.120254, -0.0867013, -0.0683337, -0.0573776, -0.0401564, -0.0306022, -0.0266307, -0.0200339, -0.010772, -0.004955, -0.0029619, -0.00188895, -0.000735698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201264");
-            index_3 ("0.202453, 0.244823, 0.266183, 0.311294, 0.33986, 0.356383, 0.374907, 0.40008, 0.433858, 0.479638, 0.524933, 0.59153, 0.626458, 0.684743, 0.708174, 0.755035, 0.809532, 0.931139, 0.973752, 1.04239, 1.11584, 1.17833, 1.23686, 1.29002, 1.35661, 1.4189, 1.50867, 1.57526, 1.64186, 1.77506, 1.90825, 2.24124");
-            values ( \
-              "-0.314915, -0.362678, -0.404321, -0.458593, -0.479066, -0.484966, -0.488657, -0.489313, -0.486931, -0.48013, -0.471654, -0.457496, -0.448603, -0.430593, -0.421461, -0.398937, -0.359506, -0.244698, -0.208315, -0.158405, -0.116371, -0.0887622, -0.0684792, -0.053738, -0.0397056, -0.0296496, -0.0193676, -0.0140889, -0.00999559, -0.0052595, -0.00294183, -0.000993523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.276189, 0.284437, 0.290475, 0.299672, 0.311908, 0.319481, 0.326697, 0.333791, 0.34088, 0.346156, 0.362951, 0.370721, 0.381921, 0.387221, 0.40383, 0.440179");
-            values ( \
-              "-0.0227768, -0.034084, -0.0506578, -0.0677684, -0.083873, -0.0893079, -0.0922918, -0.0926442, -0.0897238, -0.0826361, -0.0331107, -0.0191919, -0.00609874, -0.00373099, -0.00415019, -0.00137824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812203");
-            index_3 ("0.276185, 0.284305, 0.296148, 0.304862, 0.311278, 0.319447, 0.325528, 0.330792, 0.339746, 0.348579, 0.357408, 0.362912, 0.367459, 0.379131, 0.389153, 0.40003, 0.414484, 0.418947, 0.423276, 0.431936, 0.455698, 0.485732");
-            values ( \
-              "-0.0189092, -0.0531967, -0.0958647, -0.119761, -0.133887, -0.149365, -0.156812, -0.161134, -0.165585, -0.165723, -0.159037, -0.148665, -0.132918, -0.0818207, -0.0495848, -0.027527, -0.010116, -0.00655455, -0.00462771, -0.00361184, -0.00423734, -0.00222885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181213");
-            index_3 ("0.282981, 0.293122, 0.297711, 0.308289, 0.316149, 0.326569, 0.334662, 0.345451, 0.351727, 0.361454, 0.3704, 0.37707, 0.386175, 0.390161, 0.396801, 0.404662, 0.423497, 0.435638, 0.444033, 0.451551, 0.461574, 0.475229, 0.48499, 0.500874, 0.522884, 0.570292, 0.595773");
-            values ( \
-              "-0.0995745, -0.111356, -0.134006, -0.173746, -0.19846, -0.224646, -0.239084, -0.251395, -0.25531, -0.258284, -0.257691, -0.255306, -0.248451, -0.24306, -0.229189, -0.199391, -0.11886, -0.0800135, -0.0599465, -0.0458963, -0.0315629, -0.0182842, -0.0115201, -0.00435014, -0.00300448, -0.00282526, -0.0020789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404311");
-            index_3 ("0.287367, 0.300584, 0.314111, 0.323403, 0.335731, 0.348126, 0.359472, 0.370073, 0.397686, 0.41156, 0.418508, 0.431997, 0.44845, 0.453341, 0.463124, 0.498863, 0.522568, 0.547347, 0.560558, 0.578174, 0.592824, 0.614413, 0.643198, 0.67926, 0.772931");
-            values ( \
-              "-0.169506, -0.170892, -0.230902, -0.263485, -0.296544, -0.319782, -0.333546, -0.341277, -0.354957, -0.357963, -0.357601, -0.352474, -0.335486, -0.327773, -0.304424, -0.185126, -0.122155, -0.0763953, -0.0589853, -0.041319, -0.0306297, -0.0192635, -0.00922932, -0.00352892, -0.00225746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0902071");
-            index_3 ("0.291545, 0.311593, 0.324232, 0.334451, 0.347732, 0.361612, 0.390811, 0.403297, 0.416159, 0.428992, 0.444827, 0.463131, 0.471638, 0.482981, 0.503253, 0.540739, 0.558227, 0.576882, 0.583369, 0.596345, 0.622295, 0.653796, 0.689917, 0.71623, 0.731207, 0.761159, 0.777434, 0.789575, 0.805763, 0.83814, 0.865961, 0.895158, 0.934088, 1.00069, 1.06728, 1.13388, 1.33367");
-            values ( \
-              "-0.230111, -0.241767, -0.293719, -0.325854, -0.357426, -0.379335, -0.410628, -0.421819, -0.430785, -0.436555, -0.440138, -0.440158, -0.439067, -0.436695, -0.430068, -0.410571, -0.397315, -0.378588, -0.370474, -0.351099, -0.302811, -0.241146, -0.179375, -0.142667, -0.124698, -0.0944943, -0.0810456, -0.0722064, -0.0618027, -0.0449914, -0.0341261, -0.0254168, -0.0168372, -0.00765744, -0.00387145, -0.00241904, -0.000872899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201264");
-            index_3 ("0.30252, 0.351695, 0.363039, 0.39515, 0.421195, 0.436775, 0.453367, 0.4717, 0.497058, 0.530984, 0.575759, 0.621722, 0.699962, 0.781532, 0.804962, 0.851821, 0.86999, 0.906328, 1.02792, 1.08589, 1.12873, 1.20198, 1.26858, 1.30387, 1.35444, 1.40366, 1.47026, 1.49781, 1.55292, 1.61952, 1.68612, 1.75271, 1.88591, 2.0191, 2.28549");
-            values ( \
-              "-0.35132, -0.385473, -0.404817, -0.444899, -0.469803, -0.479042, -0.485286, -0.488528, -0.489506, -0.486735, -0.480091, -0.471805, -0.454579, -0.430468, -0.421583, -0.398819, -0.38749, -0.359387, -0.244602, -0.196283, -0.165338, -0.121894, -0.0912419, -0.0781309, -0.0623367, -0.0498552, -0.0366977, -0.0322929, -0.0249576, -0.0181267, -0.0130606, -0.00936971, -0.00498624, -0.00283534, -0.00109741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.470567, 0.480484, 0.483681, 0.495938, 0.507423, 0.516733, 0.525055, 0.532881, 0.540493, 0.548099, 0.552303, 0.556333, 0.571894, 0.580446, 0.591382, 0.597411, 0.611258, 0.639105");
-            values ( \
-              "-0.00493199, -0.021531, -0.024656, -0.048438, -0.0651907, -0.0756256, -0.0818835, -0.0854938, -0.0869042, -0.0849934, -0.0807806, -0.0733764, -0.0302783, -0.0165969, -0.00511426, -0.00382401, -0.00434702, -0.00211667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812203");
-            index_3 ("0.478678, 0.488351, 0.501882, 0.51567, 0.526877, 0.536925, 0.544279, 0.550063, 0.555769, 0.560395, 0.565116, 0.572409, 0.596809, 0.606881, 0.623189, 0.632371, 0.6658, 0.679309");
-            values ( \
-              "-0.050551, -0.0510779, -0.0906597, -0.12095, -0.139903, -0.1505, -0.155303, -0.15761, -0.15767, -0.15691, -0.152755, -0.139902, -0.0504322, -0.0295169, -0.00961899, -0.00456273, -0.00415728, -0.00342262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181213");
-            index_3 ("0.482472, 0.493661, 0.505801, 0.52005, 0.530343, 0.539862, 0.545273, 0.550105, 0.558943, 0.564673, 0.572125, 0.578681, 0.585151, 0.59447, 0.59842, 0.60515, 0.613049, 0.631977, 0.639579, 0.645322, 0.652839, 0.659506, 0.666948, 0.677843, 0.683698, 0.693162, 0.70578, 0.725907, 0.74842, 0.853716");
-            values ( \
-              "-0.0831683, -0.0888163, -0.134522, -0.178366, -0.204337, -0.222769, -0.230843, -0.23665, -0.244199, -0.247594, -0.25018, -0.25088, -0.250096, -0.244745, -0.240189, -0.227119, -0.198317, -0.118519, -0.0930028, -0.0767687, -0.0591428, -0.0466826, -0.0356079, -0.023483, -0.0183855, -0.011617, -0.00562258, -0.00291009, -0.00343301, -0.000608836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404311");
-            index_3 ("0.485984, 0.497943, 0.512103, 0.529026, 0.550093, 0.562807, 0.57722, 0.5994, 0.609976, 0.620053, 0.634382, 0.64055, 0.650883, 0.661938, 0.683676, 0.705002, 0.733905, 0.756895, 0.767892, 0.78094, 0.799647, 0.821918, 0.839541, 0.873036, 0.915961, 0.981452");
-            values ( \
-              "-0.11953, -0.124135, -0.184549, -0.244439, -0.296449, -0.317145, -0.332029, -0.347752, -0.353468, -0.355794, -0.354674, -0.35134, -0.343065, -0.326997, -0.266578, -0.193102, -0.116326, -0.0750841, -0.0605165, -0.046952, -0.0317083, -0.01964, -0.0131854, -0.00490734, -0.00285108, -0.00216267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0902071");
-            index_3 ("0.494001, 0.516097, 0.530068, 0.542293, 0.564239, 0.579926, 0.599161, 0.62543, 0.637575, 0.653723, 0.674614, 0.687308, 0.699491, 0.711913, 0.730711, 0.750544, 0.789646, 0.806389, 0.880412, 0.917732, 0.957929, 0.983675, 1.00459, 1.03109, 1.06861, 1.11098, 1.14406, 1.21021, 1.2768, 1.3434, 1.54319");
-            values ( \
-              "-0.20456, -0.21869, -0.273449, -0.311283, -0.359769, -0.382262, -0.406077, -0.429483, -0.435191, -0.439579, -0.439657, -0.437586, -0.43415, -0.430061, -0.421299, -0.409702, -0.373471, -0.349005, -0.209003, -0.152057, -0.105721, -0.0830204, -0.0680129, -0.0525802, -0.0362334, -0.0236201, -0.0166242, -0.00761374, -0.00384916, -0.00241499, -0.000868585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201264");
-            index_3 ("0.506474, 0.54947, 0.565885, 0.581213, 0.607632, 0.629576, 0.645269, 0.663273, 0.680455, 0.706741, 0.741206, 0.781673, 0.830502, 0.908742, 0.990312, 1.01374, 1.06058, 1.07877, 1.11515, 1.23668, 1.30327, 1.33904, 1.39587, 1.46081, 1.50269, 1.55243, 1.60406, 1.67065, 1.70625, 1.77285, 1.83945, 1.90605, 1.97264, 2.10584, 2.30563, 2.50542");
-            values ( \
-              "-0.331699, -0.348056, -0.383747, -0.408414, -0.445676, -0.467878, -0.478031, -0.485005, -0.488256, -0.48929, -0.486562, -0.480597, -0.47176, -0.454545, -0.430496, -0.42156, -0.398855, -0.387467, -0.359376, -0.244641, -0.189679, -0.164286, -0.12982, -0.0980939, -0.081627, -0.0654058, -0.0517989, -0.0381164, -0.0323501, -0.0236362, -0.0171637, -0.0123282, -0.00887423, -0.0047518, -0.0020946, -0.00106928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.873025, 0.890786, 0.895357, 0.901588, 0.919911, 0.93847, 0.948553, 0.956612, 0.960543, 0.969094, 0.973506, 0.980063, 0.984924, 1.00057, 1.00927, 1.01766, 1.02383, 1.03656, 1.04263, 1.0746, 1.11034, 1.13549");
-            values ( \
-              "-0.000267248, -0.0145099, -0.0168573, -0.0230835, -0.0453759, -0.0617999, -0.0679219, -0.0714842, -0.0728426, -0.0744655, -0.0742986, -0.0724751, -0.0655353, -0.0304846, -0.017306, -0.00754228, -0.00478975, -0.00486124, -0.00451826, -0.00141425, -0.000283899, -0.000129305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812203");
-            index_3 ("0.882664, 0.900394, 0.919869, 0.937086, 0.950664, 0.962599, 0.973696, 0.98439, 0.995003, 1.00557, 1.02931, 1.04142, 1.05709, 1.06684, 1.09893, 1.1065");
-            values ( \
-              "-0.0167034, -0.0345563, -0.0710499, -0.0987222, -0.116819, -0.128144, -0.13504, -0.138855, -0.13687, -0.12228, -0.0476702, -0.0256494, -0.0080256, -0.00482356, -0.00426316, -0.00373685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181213");
-            index_3 ("0.891875, 0.90897, 0.944986, 0.953813, 0.971337, 0.990537, 1.00183, 1.01617, 1.02726, 1.0304, 1.03669, 1.04574, 1.06777, 1.08306, 1.09565, 1.10527, 1.11779, 1.1263, 1.14182, 1.16263, 1.18587, 1.24105");
-            values ( \
-              "-0.0545757, -0.0677377, -0.154546, -0.171847, -0.200373, -0.218447, -0.224638, -0.229945, -0.228609, -0.226618, -0.218701, -0.191988, -0.107501, -0.0652366, -0.0420375, -0.029527, -0.0178298, -0.0117989, -0.00488039, -0.00321851, -0.00345319, -0.00205787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404311");
-            index_3 ("0.901506, 0.92679, 0.952005, 0.970369, 0.982465, 0.996553, 1.02734, 1.0383, 1.05271, 1.05809, 1.06885, 1.07383, 1.0838, 1.09561, 1.1177, 1.13895, 1.15425, 1.16829, 1.17825, 1.19483, 1.22297, 1.2402, 1.26085, 1.28445, 1.32059, 1.41582");
-            values ( \
-              "-0.103694, -0.133146, -0.205136, -0.247576, -0.26925, -0.289209, -0.323579, -0.333826, -0.342693, -0.344407, -0.344607, -0.343148, -0.336802, -0.322394, -0.263981, -0.192663, -0.148817, -0.115683, -0.0960643, -0.0698718, -0.0397899, -0.0280732, -0.0179397, -0.0098958, -0.00375055, -0.00234408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0902071");
-            index_3 ("0.911014, 0.942362, 0.971011, 0.983313, 1.01924, 1.04327, 1.05716, 1.07047, 1.0877, 1.10821, 1.13425, 1.16488, 1.18481, 1.21574, 1.22654, 1.24691, 1.31553, 1.35926, 1.38514, 1.41613, 1.44328, 1.47947, 1.50774, 1.54015, 1.58336, 1.64996, 1.71656, 1.78315, 1.98294");
-            values ( \
-              "-0.172596, -0.197521, -0.278314, -0.304769, -0.364529, -0.399886, -0.414684, -0.424333, -0.431445, -0.433751, -0.430122, -0.41863, -0.407228, -0.381541, -0.368737, -0.337966, -0.20815, -0.142996, -0.113156, -0.0847456, -0.0654193, -0.0457829, -0.0346763, -0.0250157, -0.0156848, -0.00716976, -0.0036471, -0.00236844, -0.000797185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201264");
-            index_3 ("0.926443, 0.976768, 1.03503, 1.06239, 1.07917, 1.09707, 1.11385, 1.14196, 1.18001, 1.25278, 1.33679, 1.38039, 1.42491, 1.4915, 1.51324, 1.55671, 1.66629, 1.72933, 1.77157, 1.8475, 1.9141, 1.99688, 2.06348, 2.13755, 2.24072, 2.30732, 2.44051, 2.5737, 2.97329");
-            values ( \
-              "-0.286622, -0.308302, -0.418114, -0.454722, -0.468624, -0.477773, -0.48249, -0.485196, -0.483062, -0.472984, -0.456015, -0.444527, -0.430367, -0.400841, -0.387499, -0.35315, -0.249011, -0.196103, -0.165604, -0.120696, -0.0903295, -0.0625508, -0.0461645, -0.0328056, -0.0201636, -0.01457, -0.00754847, -0.00410468, -0.000958879" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00693505, 0.00693687, 0.00693898, 0.00694068, 0.00694172, 0.00694227", \
-            "0.00818578, 0.00818644, 0.00818753, 0.00818873, 0.00818962, 0.00819014", \
-            "0.00894351, 0.00894359, 0.00894386, 0.00894437, 0.00894491, 0.00894529", \
-            "0.00939003, 0.00939005, 0.00939009, 0.00939023, 0.00939046, 0.00939069", \
-            "0.00962448, 0.00962449, 0.0096245, 0.00962455, 0.00962463, 0.00962474", \
-            "0.00976285, 0.00976287, 0.00976289, 0.00976291, 0.00976295, 0.009763" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.00970941, 0.00971001, 0.00971143, 0.0097131, 0.00971438, 0.00971512", \
-            "0.0106309, 0.010631, 0.0106313, 0.0106321, 0.010633, 0.0106337", \
-            "0.0110157, 0.0110161, 0.0110165, 0.011017, 0.0110176, 0.0110182", \
-            "0.0112749, 0.0112737, 0.0112726, 0.0112719, 0.0112717, 0.0112718", \
-            "0.0118939, 0.0118919, 0.0118885, 0.0118842, 0.0118804, 0.011878", \
-            "0.0124495, 0.0124994, 0.0125278, 0.0125442, 0.0125539, 0.0125573" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0190562, 0.0190278, 0.0188808, 0.0184004, 0.0171959, 0.0144947", \
-            "0.018934, 0.0189069, 0.0187594, 0.0182755, 0.0170749, 0.0143736", \
-            "0.0186824, 0.0186554, 0.0185043, 0.0180236, 0.0168247, 0.0141241", \
-            "0.0185418, 0.0185153, 0.0183724, 0.0178835, 0.0166816, 0.0139799", \
-            "0.0186905, 0.0186637, 0.0185115, 0.0180308, 0.0168282, 0.0141126", \
-            "0.019838, 0.0197802, 0.0196569, 0.0191873, 0.0179854, 0.0152607" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0179594, 0.0179756, 0.0178926, 0.0175099, 0.0164668, 0.0140835", \
-            "0.017777, 0.0177933, 0.0177097, 0.0173208, 0.0162783, 0.0138975", \
-            "0.0174694, 0.0174848, 0.0174029, 0.0170148, 0.0159753, 0.0135974", \
-            "0.0172584, 0.0172745, 0.0171928, 0.016814, 0.0157759, 0.0133965", \
-            "0.0176353, 0.017657, 0.0175641, 0.0171924, 0.0161481, 0.0137392", \
-            "0.0198414, 0.0198307, 0.0197563, 0.019429, 0.0182877, 0.0158317" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163023", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163021" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0391749, 0.039147, 0.0389722, 0.0384477, 0.0371964, 0.0344789", \
-            "0.0390675, 0.0390404, 0.0388699, 0.0383398, 0.0370914, 0.0343758", \
-            "0.0389237, 0.0388978, 0.0387262, 0.0381986, 0.036949, 0.0342344", \
-            "0.0389756, 0.0389458, 0.0387729, 0.0382462, 0.0370032, 0.0342877", \
-            "0.0393062, 0.0392625, 0.0390986, 0.03857, 0.0373297, 0.0346212", \
-            "0.0405734, 0.0405227, 0.0403417, 0.0397978, 0.038591, 0.0358716" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0386414, 0.0386164, 0.0384421, 0.0379037, 0.0366577, 0.0339564", \
-            "0.0385329, 0.0385108, 0.0383371, 0.0377995, 0.0365544, 0.0338426", \
-            "0.0383919, 0.0383668, 0.038194, 0.037657, 0.0364137, 0.0337024", \
-            "0.0384512, 0.0384185, 0.0382474, 0.0377137, 0.0364718, 0.0337726", \
-            "0.0387235, 0.038688, 0.038514, 0.0380035, 0.0367703, 0.0340698", \
-            "0.0401324, 0.0400868, 0.0398977, 0.0393556, 0.0381119, 0.0354173" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0331929, 0.0332116, 0.0331374, 0.0327522, 0.0317108, 0.0293455", \
-            "0.0330967, 0.0331194, 0.0330451, 0.0326564, 0.0316147, 0.0292493", \
-            "0.032931, 0.0329489, 0.0328755, 0.0324926, 0.0314513, 0.0290859", \
-            "0.0327894, 0.0328108, 0.0327352, 0.0323576, 0.0313218, 0.0289526", \
-            "0.0330143, 0.0330487, 0.0329409, 0.0325746, 0.0315422, 0.0291847", \
-            "0.0340852, 0.0340979, 0.0340142, 0.033746, 0.0326318, 0.0302099" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327491, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163023", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163021" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0327105, 0.0326823, 0.0325279, 0.032022, 0.0308004, 0.0280936", \
-            "0.0326039, 0.0325758, 0.0324225, 0.0319168, 0.0306957, 0.027989", \
-            "0.0324619, 0.0324336, 0.0322797, 0.0317749, 0.0305547, 0.0278487", \
-            "0.0325205, 0.0324928, 0.0323341, 0.0318319, 0.0306159, 0.0279126", \
-            "0.0328737, 0.0328393, 0.0326886, 0.0321724, 0.0309847, 0.0282798", \
-            "0.0341656, 0.0341296, 0.0339573, 0.0334417, 0.032264, 0.0295664" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0323306, 0.0323041, 0.0321494, 0.0316339, 0.0304207, 0.0277183", \
-            "0.0322295, 0.0322069, 0.0320472, 0.0315311, 0.0303178, 0.0276151", \
-            "0.0320869, 0.0320589, 0.0319044, 0.0313899, 0.0301758, 0.0274754", \
-            "0.0321504, 0.0321247, 0.0319647, 0.0314532, 0.0302437, 0.0275531", \
-            "0.0324476, 0.0324142, 0.0322514, 0.0317648, 0.0305611, 0.0278538", \
-            "0.0338673, 0.0338249, 0.033664, 0.033146, 0.0319332, 0.0292892" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0273835, 0.0274075, 0.0273293, 0.0269479, 0.0259074, 0.0235418", \
-            "0.027288, 0.0273117, 0.0272325, 0.0268519, 0.0258112, 0.0234452", \
-            "0.0271221, 0.0271453, 0.0270678, 0.0266887, 0.0256498, 0.0232829", \
-            "0.0269806, 0.0270012, 0.0269269, 0.026552, 0.0255166, 0.0231497", \
-            "0.0272, 0.027202, 0.0271311, 0.0267576, 0.0257291, 0.0233665", \
-            "0.0282947, 0.0283184, 0.0282315, 0.0278834, 0.026857, 0.0244383" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163023", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163021" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0245238, 0.0245024, 0.0243631, 0.023869, 0.0226638, 0.0199659", \
-            "0.0244169, 0.024401, 0.0242616, 0.0237678, 0.0225617, 0.0198643", \
-            "0.0243303, 0.0243087, 0.0241688, 0.0236756, 0.0224708, 0.0197744", \
-            "0.0244659, 0.0244416, 0.0242985, 0.0238083, 0.0226077, 0.0199173", \
-            "0.0249225, 0.0249025, 0.0247514, 0.0242562, 0.0230663, 0.0203816", \
-            "0.0264411, 0.0263834, 0.026233, 0.0257422, 0.0245623, 0.0218917" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0323891, 0.0323599, 0.0322061, 0.0316899, 0.0304756, 0.0277749", \
-            "0.0322854, 0.0322568, 0.0321029, 0.0315867, 0.0303732, 0.0276708", \
-            "0.0321435, 0.0321143, 0.0319603, 0.0314456, 0.0302326, 0.0275308", \
-            "0.0321975, 0.0321749, 0.0320169, 0.031506, 0.0302968, 0.0275989", \
-            "0.0324972, 0.0324634, 0.0322999, 0.0318133, 0.0306097, 0.0279023", \
-            "0.0339114, 0.0338594, 0.0337011, 0.0331816, 0.0319682, 0.0293255" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0273524, 0.0273716, 0.0272974, 0.0269155, 0.0258633, 0.0235077", \
-            "0.0272565, 0.0272772, 0.0272014, 0.02682, 0.0257767, 0.0234115", \
-            "0.0270917, 0.0271099, 0.0270368, 0.0266573, 0.0256066, 0.0232497", \
-            "0.0269564, 0.0269746, 0.0269028, 0.0265289, 0.0254914, 0.023123", \
-            "0.0271965, 0.0271996, 0.0271204, 0.0267595, 0.0257128, 0.0233545", \
-            "0.0282852, 0.0283072, 0.0282231, 0.0279567, 0.0268504, 0.024422" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163024", \
-            "-0.00294866, -0.00657884, -0.0146783, -0.0327492, -0.0730677, -0.163023", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163021" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0391749, 0.039147, 0.0389722, 0.0384477, 0.0371964, 0.0344789", \
-            "0.0390675, 0.0390404, 0.0388699, 0.0383398, 0.0370914, 0.0343758", \
-            "0.0389237, 0.0388978, 0.0387262, 0.0381986, 0.036949, 0.0342344", \
-            "0.0389756, 0.0389458, 0.0387729, 0.0382462, 0.0370032, 0.0342877", \
-            "0.0393062, 0.0392625, 0.0390986, 0.03857, 0.0373297, 0.0346212", \
-            "0.0405734, 0.0405227, 0.0403417, 0.0397978, 0.038591, 0.0358716" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0331929, 0.0332116, 0.0331374, 0.0327522, 0.0317108, 0.0293455", \
-            "0.0330967, 0.0331194, 0.0330451, 0.0326564, 0.0316147, 0.0292493", \
-            "0.032931, 0.0329489, 0.0328755, 0.0324926, 0.0314513, 0.0290859", \
-            "0.0327894, 0.0328108, 0.0327352, 0.0323576, 0.0313218, 0.0289526", \
-            "0.0330143, 0.0330487, 0.0329409, 0.0325746, 0.0315422, 0.0291847", \
-            "0.0340852, 0.0340979, 0.0340142, 0.033746, 0.0326318, 0.0302099" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.16302", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.16302", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163019", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163017", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163011", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.162976" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.037345, 0.0373693, 0.0372905, 0.0368931, 0.0358245, 0.0334337", \
-            "0.037215, 0.0372384, 0.03716, 0.036766, 0.0357021, 0.0333209", \
-            "0.0370388, 0.037059, 0.0369827, 0.0365935, 0.0355323, 0.0331544", \
-            "0.036799, 0.0368111, 0.0367391, 0.0363692, 0.0353329, 0.0329608", \
-            "0.0365328, 0.0365475, 0.0364714, 0.0361261, 0.0351202, 0.0327691", \
-            "0.0370994, 0.0370886, 0.0370053, 0.0366081, 0.0356029, 0.0332566" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.16302", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.16302", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163019", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163017", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163012", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.162976" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.025325, 0.0253511, 0.0252712, 0.0248798, 0.023812, 0.0214232", \
-            "0.0252579, 0.0252837, 0.0252071, 0.0248171, 0.0237523, 0.021363", \
-            "0.0251685, 0.0251914, 0.0251133, 0.0247303, 0.0236704, 0.0212819", \
-            "0.0250349, 0.0250496, 0.0249754, 0.0246099, 0.0235709, 0.0211989", \
-            "0.024899, 0.02491, 0.0248413, 0.0244896, 0.0234757, 0.0211252", \
-            "0.025225, 0.0252088, 0.025121, 0.0247625, 0.0237617, 0.0213717" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.16302", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.16302", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163019", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163017", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163011", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.162976" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.0314131, 0.0314385, 0.0313655, 0.030965, 0.0299017, 0.0275192", \
-            "0.0312827, 0.0313081, 0.0312351, 0.0308396, 0.0297774, 0.0273948", \
-            "0.0311036, 0.0311271, 0.031059, 0.0306705, 0.0296042, 0.0272321", \
-            "0.0308931, 0.0309092, 0.0308343, 0.0304671, 0.0294251, 0.027063", \
-            "0.0307027, 0.030709, 0.0306467, 0.0302946, 0.0292913, 0.0269353", \
-            "0.0313008, 0.0312867, 0.0312047, 0.0308251, 0.0298426, 0.0274687" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.16302", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.16302", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163019", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163017", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.163011", \
-            "-0.00294866, -0.00657885, -0.0146783, -0.0327492, -0.0730677, -0.162976" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812203, 0.0181213, 0.0404311, 0.0902071, 0.201264");
-          values ( \
-            "0.037345, 0.0373693, 0.0372905, 0.0368931, 0.0358245, 0.0334337", \
-            "0.037215, 0.0372384, 0.03716, 0.036766, 0.0357021, 0.0333209", \
-            "0.0370388, 0.037059, 0.0369827, 0.0365935, 0.0355323, 0.0331544", \
-            "0.036799, 0.0368111, 0.0367391, 0.0363692, 0.0353329, 0.0329608", \
-            "0.0365328, 0.0365475, 0.0364714, 0.0361261, 0.0351202, 0.0327691", \
-            "0.0370994, 0.0370886, 0.0370053, 0.0366081, 0.0356029, 0.0332566" \
-          );
-        }
-      }
-    }
-    pin (CK) {
-      clock : true;
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0157688;
-      rise_capacitance : 0.0157688;
-      rise_capacitance_range (0.0122138, 0.0157688);
-      fall_capacitance : 0.0157233;
-      fall_capacitance_range (0.0119265, 0.0157233);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond7";
-        timing_type : min_pulse_width;
-        when : "(D * RN * SN)";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.112762, 0.134277, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.241302, 0.252209, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond8";
-        timing_type : min_pulse_width;
-        when : "(!D * RN * SN)";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.139029, 0.134277, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.118232, 0.153933, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00956225, 0.0110516, 0.0119556, 0.012563, 0.0129612, 0.0132943" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.013245, 0.0139277, 0.0141916, 0.0151877, 0.0155371, 0.0150976" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0102842, 0.0115377, 0.012224, 0.0126354, 0.01285, 0.0129686" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.012371, 0.012736, 0.0131097, 0.0137913, 0.0147697, 0.0158889" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00929634, 0.010584, 0.0113581, 0.0118517, 0.0121259, 0.012275" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0124543, 0.0138028, 0.0150601, 0.0164005, 0.0168157, 0.01501" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00929634, 0.010584, 0.0113581, 0.0118517, 0.0121259, 0.012275" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0124543, 0.0138028, 0.0150601, 0.0164005, 0.0168157, 0.01501" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * !RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0099795, 0.0119271, 0.0132691, 0.0142741, 0.0150121, 0.0154825" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0140619, 0.0152007, 0.015364, 0.0158301, 0.0134709, 0.0119309" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00928797, 0.010583, 0.0113892, 0.0119163, 0.0122138, 0.0123856" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.01254, 0.0138717, 0.014872, 0.0162837, 0.0169942, 0.0149375" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * !RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00997604, 0.0119236, 0.0132676, 0.0142741, 0.0150118, 0.0154824" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0140625, 0.0152025, 0.0153647, 0.0158306, 0.0134822, 0.0119303" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00928039, 0.0105749, 0.0113828, 0.0119156, 0.0122135, 0.0123854" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0125303, 0.0138701, 0.0148814, 0.0162869, 0.0169986, 0.014936" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * SN) + (!D * !RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0105713, 0.0130073, 0.0146965, 0.0158918, 0.016648, 0.017189" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0149329, 0.0158396, 0.0156961, 0.0162249, 0.0160657, 0.0152195" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00925244, 0.0105416, 0.0113532, 0.0118878, 0.0121937, 0.012362" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.012558, 0.014127, 0.0154576, 0.0166647, 0.0178289, 0.0190871" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0104449, 0.0118806, 0.0127268, 0.0132419, 0.013514, 0.0136676" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0132604, 0.0139697, 0.0144368, 0.0152673, 0.0165577, 0.0177031" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0104449, 0.0118806, 0.0127268, 0.0132419, 0.013514, 0.0136676" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0132604, 0.0139697, 0.0144368, 0.0152673, 0.0165577, 0.0177031" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0103517, 0.0123786, 0.0137392, 0.0147461, 0.0154218, 0.0159322" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0147215, 0.0157122, 0.0158983, 0.0171069, 0.0177167, 0.0170536" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0104498, 0.0118837, 0.012729, 0.0132433, 0.0135144, 0.0136675" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0132633, 0.0139709, 0.0144372, 0.0152743, 0.0167377, 0.0191854" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0504783, 0.0502728, 0.0499577, 0.0498437, 0.0505604, 0.0531825" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00140269, -0.00159283, -0.00179407, -0.00189773, -0.00149035, 0.000953617" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0204847, 0.0202771, 0.0202475, 0.0205621, 0.021758, 0.0250933" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00927183, 0.00902894, 0.00860381, 0.00810471, 0.0083355, 0.0104788" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0355717, 0.0354037, 0.0352968, 0.0353402, 0.0362808, 0.0392391" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * !SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * !SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00916875, 0.00892643, 0.00850281, 0.0080062, 0.0082388, 0.0103873" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0356439, 0.035473, 0.035351, 0.0354261, 0.0363469, 0.039237" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0483384, 0.0479363, 0.0476865, 0.0479872, 0.0498521, 0.0555803" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00164181, -0.0018436, -0.0020629, -0.0021401, -0.00166422, 0.00079973" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0233216, 0.023168, 0.0230594, 0.0233689, 0.0244474, 0.0275401" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * !SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * !SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00619434, 0.00577249, 0.00531179, 0.00507408, 0.00589436, 0.0104117" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.027127, 0.0267201, 0.0265548, 0.0270184, 0.0291609, 0.0351014" \
-          );
-        }
-      }
-    }
-    pin (D) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00538616;
-      rise_capacitance : 0.00538616;
-      rise_capacitance_range (0.00442532, 0.00538616);
-      fall_capacitance : 0.0053517;
-      fall_capacitance_range (0.0038857, 0.0053517);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond0";
-        timing_type : hold_rising;
-        when : "(RN * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0600537, -0.0404143, -0.0578509", \
-            "-0.0778143, -0.0602502, -0.0721112", \
-            "-0.121831, -0.0989571, -0.0796537" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.110888, -0.136372, -0.314394", \
-            "-0.144472, -0.167084, -0.343951", \
-            "-0.301082, -0.322148, -0.4846" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0600537, -0.0404143, -0.0578509", \
-            "-0.0778143, -0.0602502, -0.0721112", \
-            "-0.121831, -0.0989571, -0.0796537" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.110888, -0.136372, -0.314394", \
-            "-0.144472, -0.167084, -0.343951", \
-            "-0.301082, -0.322148, -0.4846" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond0";
-        timing_type : setup_rising;
-        when : "(RN * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.187639, 0.16284, 0.220606", \
-            "0.203232, 0.180854, 0.241197", \
-            "0.241106, 0.219729, 0.270077" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.138841, 0.149059, 0.326862", \
-            "0.172229, 0.181546, 0.356508", \
-            "0.332805, 0.339133, 0.500838" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.187639, 0.16284, 0.220606", \
-            "0.203232, 0.180854, 0.241197", \
-            "0.241106, 0.219729, 0.270077" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.138841, 0.149059, 0.326862", \
-            "0.172229, 0.181546, 0.356508", \
-            "0.332805, 0.339133, 0.500838" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "CK";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00325824, 0.0042481, 0.00491367, 0.00526492, 0.00543164, 0.00550942" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00509508, 0.00533025, 0.00501782, 0.00477866, 0.00465731, 0.00461193" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316651, 0.00372468, 0.00402716, 0.00418121, 0.00425426, 0.00428919" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00423795, 0.00464665, 0.00502366, 0.00536407, 0.00555703, 0.00564169" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331967, 0.0043607, 0.00505802, 0.00543755, 0.00567938, 0.00582673" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00546313, 0.00577039, 0.00545271, 0.00527106, 0.00547706, 0.00523423" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316941, 0.00375834, 0.00411039, 0.00431253, 0.00441549, 0.00447202" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00445998, 0.00490546, 0.00506856, 0.00525164, 0.00557091, 0.00599795" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331981, 0.00436074, 0.00505778, 0.00543722, 0.00567924, 0.00582675" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00546291, 0.00576902, 0.00544902, 0.005273, 0.00550136, 0.0053644" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00317067, 0.00375914, 0.00411083, 0.004313, 0.00441574, 0.00447198" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00445936, 0.0049057, 0.00506923, 0.00525064, 0.00557067, 0.0062009" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331937, 0.0043622, 0.00505867, 0.00543721, 0.00567834, 0.00582669" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00545773, 0.0057677, 0.00544868, 0.00527131, 0.0054992, 0.005365" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00317074, 0.00375933, 0.00411103, 0.0043131, 0.00441579, 0.00447204" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00445946, 0.00490589, 0.00506917, 0.00525192, 0.00556807, 0.00619879" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0033201, 0.00436112, 0.00505814, 0.00543744, 0.00567914, 0.00582658" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00546361, 0.0057696, 0.00545171, 0.00527166, 0.00549952, 0.00536469" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00317092, 0.00375943, 0.00411105, 0.0043131, 0.00441579, 0.00447202" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00445982, 0.00490601, 0.0050694, 0.00525102, 0.00557011, 0.00620065" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00492229, -0.00498249, -0.0050473, -0.00509951, -0.00511591, -0.0051098" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0051236, 0.00512241, 0.0051115, 0.00511704, 0.00512217, 0.0051098" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0226882, 0.0225279, 0.0223155, 0.0220713, 0.0220565, 0.0229112" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0342094, 0.0341159, 0.0340094, 0.0338402, 0.0337876, 0.0345717" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * !SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * !SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00909197, 0.00893325, 0.00872894, 0.0085251, 0.00854789, 0.00950988" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0146848, 0.0145934, 0.0145064, 0.0144207, 0.0144946, 0.0155239" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00907946, 0.00892515, 0.00870663, 0.00851576, 0.00854506, 0.00950519" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0147299, 0.0146384, 0.0145506, 0.014464, 0.0144986, 0.0155561" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * !SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * !SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00915297, 0.00899411, 0.00879317, 0.00858832, 0.00861122, 0.00957436" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0146146, 0.014524, 0.0144373, 0.0143509, 0.0144256, 0.0154551" \
-          );
-        }
-      }
-    }
-    pin (RN) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00538684;
-      rise_capacitance : 0.00538684;
-      rise_capacitance_range (0.0041753, 0.00538684);
-      fall_capacitance : 0.00534393;
-      fall_capacitance_range (0.00376285, 0.00534393);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond1";
-        timing_type : recovery_rising;
-        when : "(D * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.137542, 0.116144, 0.171978", \
-            "0.159414, 0.136015, 0.193849", \
-            "0.186538, 0.164139, 0.224971" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : recovery_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.137542, 0.116144, 0.171978", \
-            "0.159414, 0.136015, 0.193849", \
-            "0.186538, 0.164139, 0.224971" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond1";
-        timing_type : removal_rising;
-        when : "(D * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0171137, 0.00201357, 0.0222207", \
-            "-0.0410966, -0.0192834, -0.0018446", \
-            "-0.0643434, -0.045367, -0.025009" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : removal_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0171137, 0.00201357, 0.0222207", \
-            "-0.0410966, -0.0192834, -0.0018446", \
-            "-0.0643434, -0.045367, -0.025009" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "adacond2";
-        timing_type : min_pulse_width;
-        when : "(CK * SN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.122789, 0.157208, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "adacond3";
-        timing_type : min_pulse_width;
-        when : "(!CK * SN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.12494, 0.157208, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "CK";
-        timing_type : non_seq_hold_rising;
-        when : "CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.142155, -0.155178, -0.32139", \
-            "-0.167862, -0.177818, -0.34281", \
-            "-0.199583, -0.208613, -0.362828" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "~CK";
-        timing_type : non_seq_hold_rising;
-        when : "!CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.145745, -0.155179, -0.322993", \
-            "-0.167394, -0.177749, -0.344348", \
-            "-0.201432, -0.210861, -0.366687" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_type : non_seq_hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.142155, -0.155178, -0.32139", \
-            "-0.167394, -0.177749, -0.34281", \
-            "-0.199583, -0.208613, -0.362828" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "CK";
-        timing_type : non_seq_setup_rising;
-        when : "CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.161619, 0.173229, 0.483177", \
-            "0.184194, 0.194873, 0.494738", \
-            "0.218987, 0.226595, 0.492575" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "~CK";
-        timing_type : non_seq_setup_rising;
-        when : "!CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.160974, 0.1686, 0.487496", \
-            "0.181548, 0.192251, 0.497066", \
-            "0.216715, 0.224347, 0.495268" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_type : non_seq_setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.161619, 0.173229, 0.487496", \
-            "0.184194, 0.194873, 0.497066", \
-            "0.218987, 0.226595, 0.495268" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * SN) + (!CK * !D * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00321222, 0.00417671, 0.00481137, 0.00521287, 0.0054735, 0.00565038" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00506419, 0.00542538, 0.00529296, 0.00571515, 0.00598854, 0.0057461" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00302084, 0.00361677, 0.00398308, 0.00419798, 0.00431267, 0.00437723" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00434342, 0.00482999, 0.00510835, 0.00542427, 0.00593602, 0.00683618" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * D * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00321213, 0.00417699, 0.00481161, 0.00521276, 0.00547281, 0.00564624" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00506458, 0.00542625, 0.00528743, 0.00563068, 0.00595516, 0.00572072" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00302245, 0.00361841, 0.00398377, 0.00419649, 0.00431011, 0.00437433" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00435257, 0.00482708, 0.00508357, 0.00536039, 0.00577019, 0.00669437" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00413484, 0.00396888, 0.00378845, 0.00370896, 0.00418984, 0.00671483" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0141748, 0.0139717, 0.013935, 0.0142552, 0.0154542, 0.0188612" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0180923, 0.0179052, 0.0176139, 0.0173947, 0.0178077, 0.0201278" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0305838, 0.0303745, 0.0302141, 0.0302908, 0.0313141, 0.0343718" \
-          );
-        }
-      }
-    }
-    pin (SN) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0114369;
-      rise_capacitance : 0.010769;
-      rise_capacitance_range (0.0092681, 0.010769);
-      fall_capacitance : 0.0114369;
-      fall_capacitance_range (0.00786275, 0.0114369);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond4";
-        timing_type : recovery_rising;
-        when : "(!D * RN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.0376435, 0.0224357, 0.042666", \
-            "0.059835, 0.0467729, 0.0602102", \
-            "0.181946, 0.153746, 0.131002" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : recovery_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.0376435, 0.0224357, 0.042666", \
-            "0.059835, 0.0467729, 0.0602102", \
-            "0.181946, 0.153746, 0.131002" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond4";
-        timing_type : removal_rising;
-        when : "(!D * RN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0179601, -0.0061865, -0.0324469", \
-            "-0.0402958, -0.0294432, -0.0505941", \
-            "-0.0680905, -0.0601576, -0.0785798" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : removal_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0179601, -0.0061865, -0.0324469", \
-            "-0.0402958, -0.0294432, -0.0505941", \
-            "-0.0680905, -0.0601576, -0.0785798" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "adacond5";
-        timing_type : min_pulse_width;
-        when : "(CK * RN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.147358, 0.189967, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "adacond6";
-        timing_type : min_pulse_width;
-        when : "(!CK * RN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.144901, 0.186691, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * RN) + (!CK * D * RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00700975, 0.0089409, 0.0101363, 0.0107197, 0.0109821, 0.0110999" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0100549, 0.010318, 0.00954327, 0.00887701, 0.0086128, 0.00849665" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00576151, 0.00664453, 0.00720109, 0.00754172, 0.00773714, 0.00783993" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00807059, 0.0093115, 0.0101755, 0.0106729, 0.0108622, 0.0109332" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * !RN) + (!CK * !D * !RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00735522, 0.00936548, 0.0106813, 0.0113721, 0.0116946, 0.0118489" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0110364, 0.0114533, 0.0108911, 0.0103527, 0.0101055, 0.00999674" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00695155, 0.00810072, 0.00875027, 0.00911171, 0.00928752, 0.00936682" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00946608, 0.010406, 0.0110865, 0.0115879, 0.0119117, 0.0120528" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * D * !RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00731488, 0.00934263, 0.0106688, 0.0113516, 0.0116631, 0.0118063" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.011058, 0.0116168, 0.0109543, 0.0104036, 0.0101411, 0.0100285" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00687473, 0.00803398, 0.0087156, 0.00910955, 0.00931253, 0.00940986" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00938332, 0.0104237, 0.0111823, 0.0116388, 0.0118803, 0.0119878" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !D * RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00718653, 0.00916458, 0.0104026, 0.0110594, 0.01144, 0.0116746" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0104789, 0.0108355, 0.0101961, 0.00990438, 0.0102941, 0.0105749" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00633952, 0.00739263, 0.00803305, 0.00842253, 0.00863137, 0.00874631" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00885551, 0.00994061, 0.0105907, 0.0109856, 0.0113946, 0.0121113" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0116308, -0.0116219, -0.0116201, -0.011634, -0.011654, -0.0116206" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0116308, 0.0116219, 0.0116201, 0.0116911, 0.011654, 0.0116206" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0115864, -0.0117526, -0.0118605, -0.0118773, -0.0119292, -0.0119121" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.01191, 0.0119069, 0.0119473, 0.0119865, 0.0119437, 0.0119162" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0113153, -0.0114003, -0.011452, -0.0115029, -0.0115041, -0.0114792" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0114781, 0.011474, 0.0115335, 0.0115519, 0.0115087, 0.0114815" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0075108, 0.00734545, 0.00714428, 0.00701331, 0.00707545, 0.00803658" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0235077, 0.0233897, 0.0232084, 0.0230913, 0.0230237, 0.0237234" \
-          );
-        }
-      }
-    }
-    ff (IQ,IQN) {
-      clear : "!RN";
-      clear_preset_var1 : L;
-      clear_preset_var2 : H;
-      clocked_on : "CK";
-      next_state : "D";
-      power_down_function : "(!VDD) + (GND)";
-      preset : "!SN";
-    }
-  }
-  cell (DFFSRXL) {
-    area : 69.597;
-    cell_footprint : "DFFSR";
-    cell_leakage_power : 0.841138;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.08841;
-      when : "(CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.938274;
-      when : "(CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.928289;
-      when : "(CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.482734;
-      when : "(CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.01126;
-      when : "(CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.08181;
-      when : "(CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.20368;
-      when : "(CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.1937;
-      when : "(CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.476141;
-      when : "(CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.00467;
-      when : "(CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.754973;
-      when : "(!CK * D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.67661;
-      when : "(!CK * D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.666625;
-      when : "(!CK * D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.835952;
-      when : "(!CK * D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.10021;
-      when : "(!CK * D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.82015;
-      when : "(!CK * !D * RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.01379;
-      when : "(!CK * !D * RN * SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.588005;
-      when : "(!CK * !D * RN * !SN * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.214477;
-      when : "(!CK * !D * !RN * SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.743001;
-      when : "(!CK * !D * !RN * !SN * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.841138;
-      related_pg_pin : VDD;
-    }
-    pin (Q) {
-      direction : output;
-      function : "IQ";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.144064;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.264571, 0.299079, 0.3656, 0.496376, 0.763193, 1.31677", \
-            "0.26885, 0.303453, 0.369891, 0.500849, 0.767619, 1.32149", \
-            "0.278212, 0.312777, 0.379274, 0.510004, 0.777137, 1.3302", \
-            "0.292343, 0.326866, 0.393404, 0.52432, 0.791056, 1.34494", \
-            "0.305171, 0.339663, 0.406216, 0.537113, 0.803836, 1.35773", \
-            "0.309614, 0.344184, 0.410641, 0.541313, 0.807644, 1.36165" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0347311, 0.0555235, 0.0989246, 0.19058, 0.382564, 0.783785", \
-            "0.0347528, 0.0554495, 0.0989545, 0.190692, 0.38269, 0.783919", \
-            "0.0347363, 0.0554571, 0.0990088, 0.190637, 0.382849, 0.783722", \
-            "0.0347406, 0.0554856, 0.0989664, 0.190727, 0.382621, 0.783839", \
-            "0.0347381, 0.0554808, 0.0989377, 0.190629, 0.382655, 0.78377", \
-            "0.0347506, 0.0554697, 0.0988817, 0.190665, 0.382967, 0.783683" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.292041, 0.324565, 0.38908, 0.520144, 0.79124, 1.35562", \
-            "0.296712, 0.329222, 0.393744, 0.524888, 0.795887, 1.36006", \
-            "0.306066, 0.338588, 0.403069, 0.534286, 0.805365, 1.36971", \
-            "0.320143, 0.352668, 0.417129, 0.548286, 0.819487, 1.38354", \
-            "0.33435, 0.366859, 0.431358, 0.562504, 0.833567, 1.39795", \
-            "0.338649, 0.371166, 0.4357, 0.566878, 0.837756, 1.40297" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0279323, 0.0445461, 0.0793457, 0.152162, 0.304639, 0.622006", \
-            "0.0279222, 0.0445428, 0.0793435, 0.152293, 0.304636, 0.622042", \
-            "0.027926, 0.0445375, 0.0793651, 0.152287, 0.304593, 0.621908", \
-            "0.027929, 0.0445404, 0.0793762, 0.152333, 0.304775, 0.62205", \
-            "0.0279145, 0.0445457, 0.0793612, 0.152318, 0.304661, 0.621992", \
-            "0.0279181, 0.044536, 0.0793464, 0.152239, 0.304674, 0.621661" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.233774, 0.240096, 0.242087, 0.255828, 0.259883, 0.265715, 0.271013, 0.276164, 0.281305, 0.284014, 0.295372, 0.305321, 0.310795, 0.320331, 0.328953, 0.334905, 0.344372, 0.356994, 0.357882");
-            values ( \
-              "0.00331562, 0.0195007, 0.0269806, 0.0900747, 0.104682, 0.120112, 0.126882, 0.128133, 0.122595, 0.115549, 0.0696225, 0.0408728, 0.0301478, 0.0173215, 0.010364, 0.00728325, 0.00416532, 0.00195166, 0.00188941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.238834, 0.255274, 0.278137, 0.285037, 0.294182, 0.302569, 0.310797, 0.319237, 0.3509, 0.363201, 0.380532, 0.396554, 0.417277, 0.427412");
-            values ( \
-              "0.0107975, 0.0224886, 0.117672, 0.139817, 0.159266, 0.166559, 0.166406, 0.1573, 0.0690963, 0.0452322, 0.0237194, 0.0126482, 0.00554415, 0.0039128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.195366, 0.274214, 0.283157, 0.292755, 0.31213, 0.32473, 0.331759, 0.345818, 0.351503, 0.362515, 0.369382, 0.377171, 0.39187, 0.408049, 0.437394, 0.460487, 0.479812, 0.502084, 0.528639, 0.556768, 0.581124, 0.601292");
-            values ( \
-              "0.00260632, 0.0118712, 0.0290009, 0.0556646, 0.119692, 0.153912, 0.168076, 0.187313, 0.191562, 0.195483, 0.195373, 0.193088, 0.182058, 0.156538, 0.101062, 0.0661366, 0.0447442, 0.02766, 0.0151612, 0.00791548, 0.00441491, 0.00307356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.208198, 0.333602, 0.383277, 0.409007, 0.420855, 0.444551, 0.464873, 0.479779, 0.508771, 0.521398, 0.537515, 0.570356, 0.625991, 0.668249, 0.70482, 0.74681, 0.767547, 0.797014, 0.849904, 0.895674, 0.935851");
-            values ( \
-              "0.000146823, 0.0321702, 0.128663, 0.169958, 0.183855, 0.202296, 0.209901, 0.211816, 0.208154, 0.203616, 0.19485, 0.16641, 0.109051, 0.0727234, 0.0492872, 0.0305745, 0.023943, 0.0167817, 0.00878718, 0.00491864, 0.00333677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.219329, 0.427144, 0.455344, 0.524245, 0.573532, 0.59978, 0.652277, 0.677915, 0.717919, 0.751978, 0.774756, 0.820313, 0.834139, 0.86179, 0.900099, 1.00886, 1.09245, 1.12444, 1.17556, 1.24295, 1.27865, 1.3457, 1.42343, 1.48223, 1.58306, 1.67897");
-            values ( \
-              "0.0111005, 0.0326299, 0.0583623, 0.13321, 0.17566, 0.191854, 0.212287, 0.217212, 0.220044, 0.218561, 0.215884, 0.20611, 0.201766, 0.191165, 0.172978, 0.115463, 0.077479, 0.0656099, 0.0496674, 0.0337839, 0.0273967, 0.0182295, 0.0113297, 0.0078596, 0.0040926, 0.00223272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.103777, 0.146483, 0.153038, 0.206814, 0.236263, 0.256857, 0.279802, 0.442281, 0.506601, 0.588249, 0.657597, 0.81464, 0.924522, 0.969477, 1.03836, 1.09431, 1.19514, 1.21182, 1.24518, 1.32813, 1.36058, 1.45, 1.49905, 1.58521, 1.80527, 1.96926, 2.0977, 2.19108, 2.31517, 2.39441, 2.49524, 2.58457, 2.73315, 2.83398, 3.03564, 3.2373, 3.53979");
-            values ( \
-              "0.000576582, 0.00127589, 0.00245794, 0.0263485, 0.0327134, 0.0335717, 0.0325017, 0.0130709, 0.0107717, 0.0232423, 0.0499464, 0.134465, 0.181488, 0.195128, 0.21018, 0.217896, 0.223827, 0.22396, 0.223585, 0.219567, 0.216804, 0.205173, 0.196087, 0.176319, 0.118595, 0.0810214, 0.0581527, 0.0450321, 0.0317002, 0.0251708, 0.0186648, 0.0142904, 0.0091039, 0.00668662, 0.00358909, 0.00192093, 0.000744788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.251151, 0.259406, 0.263979, 0.270821, 0.278037, 0.28388, 0.289183, 0.294337, 0.299481, 0.302171, 0.313499, 0.3233, 0.329623, 0.338007, 0.343358, 0.350173, 0.364426, 0.377133, 0.380676");
-            values ( \
-              "0.00268373, 0.0234265, 0.0414822, 0.0760245, 0.104582, 0.119842, 0.1269, 0.127976, 0.122647, 0.115583, 0.0697925, 0.0412994, 0.0290436, 0.0178253, 0.0129904, 0.00862448, 0.00372856, 0.001747, 0.00152846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.262668, 0.274613, 0.283336, 0.291947, 0.303156, 0.312267, 0.320643, 0.328861, 0.337068, 0.343356, 0.360773, 0.373523, 0.387253, 0.399502, 0.415161, 0.432577, 0.447739, 0.462337");
-            values ( \
-              "0.0180191, 0.0277709, 0.0614243, 0.101377, 0.14062, 0.159557, 0.166883, 0.166462, 0.15785, 0.143192, 0.0896754, 0.0591556, 0.0363503, 0.0228981, 0.0123855, 0.00619896, 0.00333761, 0.0020977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.217875, 0.291088, 0.29714, 0.304057, 0.312304, 0.330254, 0.343422, 0.349921, 0.365869, 0.371768, 0.380685, 0.395399, 0.41008, 0.417763, 0.425958, 0.447471, 0.467232, 0.482246, 0.497502, 0.509831, 0.520832, 0.535499, 0.548061, 0.564983, 0.587546, 0.631618, 0.683138");
-            values ( \
-              "0.00584145, 0.00975612, 0.0196613, 0.0357129, 0.059661, 0.119717, 0.15467, 0.168208, 0.188834, 0.19253, 0.195531, 0.193117, 0.182148, 0.171392, 0.15711, 0.115536, 0.082247, 0.0617308, 0.045219, 0.0347442, 0.0273189, 0.0196417, 0.0147409, 0.00997603, 0.00583717, 0.00186225, 0.000463182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.224162, 0.349406, 0.401499, 0.439107, 0.469656, 0.498138, 0.526465, 0.555923, 0.588723, 0.644758, 0.68681, 0.721518, 0.765965, 0.78641, 0.828206, 0.903552, 0.950733");
-            values ( \
-              "0.00226612, 0.0288113, 0.128769, 0.183874, 0.206075, 0.212112, 0.208655, 0.195077, 0.166481, 0.108662, 0.072573, 0.0501936, 0.0302827, 0.0237935, 0.0143595, 0.00556495, 0.00342515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.235921, 0.437217, 0.475394, 0.542528, 0.590361, 0.618094, 0.673561, 0.712995, 0.736222, 0.782676, 0.793067, 0.813848, 0.852476, 0.912548, 1.03275, 1.11554, 1.19695, 1.26291, 1.3659, 1.43777, 1.49459, 1.59542, 1.66689");
-            values ( \
-              "0.0157467, 0.0267854, 0.0601305, 0.133167, 0.174453, 0.191861, 0.212962, 0.219156, 0.219995, 0.21743, 0.21588, 0.21229, 0.201765, 0.176222, 0.112653, 0.075629, 0.0488535, 0.0334506, 0.0180153, 0.0116041, 0.00818395, 0.00423664, 0.00282102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.122588, 0.148176, 0.169687, 0.224296, 0.252211, 0.271942, 0.294809, 0.321258, 0.442519, 0.503403, 0.57798, 0.677299, 0.832873, 0.930438, 0.988489, 1.08932, 1.16212, 1.23062, 1.34731, 1.44249, 1.46916, 1.52251, 1.60438, 1.82437, 1.99444, 2.10612, 2.1931, 2.29712, 2.42981, 2.53064, 2.67325, 2.77409, 2.87492, 3.07658, 3.1817");
-            values ( \
-              "0.000621715, 0.000651031, 0.0019163, 0.0258999, 0.0324037, 0.0335738, 0.0327868, 0.030204, 0.0148615, 0.0106172, 0.0165341, 0.0502843, 0.133997, 0.176786, 0.195112, 0.215133, 0.221889, 0.223949, 0.219662, 0.209381, 0.205163, 0.195256, 0.176282, 0.118631, 0.0798339, 0.0598154, 0.0472446, 0.0352462, 0.0239823, 0.0177997, 0.0115895, 0.00848888, 0.00625914, 0.00336497, 0.00255305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.290237, 0.300068, 0.318369, 0.324184, 0.329507, 0.334652, 0.340051, 0.342409, 0.352519, 0.361731, 0.369574, 0.378335, 0.390522, 0.404554, 0.412747");
-            values ( \
-              "0.00176754, 0.0247916, 0.105513, 0.120294, 0.126353, 0.128368, 0.121949, 0.115819, 0.0743609, 0.0458153, 0.0296645, 0.01781, 0.00860705, 0.00377113, 0.00247164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.142871, 0.206257, 0.241748, 0.257546, 0.277223, 0.292522, 0.308156, 0.31638, 0.336724, 0.343839, 0.354617, 0.361306, 0.367991, 0.37255, 0.377941, 0.404641, 0.416992, 0.426558, 0.441219, 0.457135, 0.474102, 0.48563");
-            values ( \
-              "0.000261707, 0.000314988, 0.00328328, 0.00247864, 1e-22, 2e-22, 0.00812019, 0.0313942, 0.117897, 0.139998, 0.161601, 0.166715, 0.166788, 0.164071, 0.157218, 0.0811567, 0.0538227, 0.0383057, 0.0219398, 0.0117079, 0.00603515, 0.00399479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.257506, 0.330926, 0.341888, 0.353581, 0.370464, 0.379807, 0.390131, 0.406132, 0.420969, 0.435654, 0.450856, 0.458241, 0.46668, 0.489154, 0.506083, 0.521837, 0.531032, 0.539647, 0.559678, 0.570931, 0.586753, 0.603553, 0.616476, 0.642322, 0.67521");
-            values ( \
-              "0.00643033, 0.00912333, 0.0294666, 0.0628261, 0.119176, 0.145672, 0.167828, 0.188974, 0.195616, 0.193435, 0.181468, 0.171115, 0.156258, 0.112976, 0.0842032, 0.0624691, 0.0519563, 0.043434, 0.0281788, 0.0219185, 0.0152698, 0.0103808, 0.00767754, 0.00412345, 0.00208556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.265481, 0.316474, 0.342155, 0.369548, 0.401785, 0.441091, 0.47881, 0.509327, 0.527176, 0.537756, 0.558915, 0.566007, 0.580191, 0.595515, 0.625456, 0.686241, 0.727211, 0.761852, 0.80587, 0.857303, 0.889292, 0.931943, 1.01293, 1.01451");
-            values ( \
-              "0.0378682, 0.0088477, 0.00394543, 0.0093506, 0.0492366, 0.128446, 0.183832, 0.20576, 0.210856, 0.211753, 0.210013, 0.208219, 0.203244, 0.194794, 0.169591, 0.106883, 0.0720304, 0.0498256, 0.030196, 0.0163241, 0.0110506, 0.00645764, 0.00213717, 0.0021109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.278724, 0.48123, 0.516816, 0.582749, 0.6178, 0.658234, 0.719338, 0.776583, 0.833545, 0.892879, 0.926278, 0.958899, 1.07418, 1.11044, 1.15611, 1.19011, 1.22503, 1.27142, 1.31253, 1.36735, 1.41478, 1.47837, 1.56317, 1.664, 1.76483, 1.96649");
-            values ( \
-              "0.0140508, 0.0293692, 0.0613473, 0.133117, 0.164939, 0.191778, 0.214465, 0.220395, 0.216211, 0.202008, 0.188772, 0.173022, 0.112149, 0.0948061, 0.0755724, 0.0632368, 0.0522643, 0.0402318, 0.0317067, 0.0228468, 0.0171485, 0.0116099, 0.00677764, 0.00354384, 0.00183019, 0.000486636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.31787, 0.731208, 0.848368, 0.920589, 1.01689, 1.09377, 1.15263, 1.25346, 1.27015, 1.30354, 1.38647, 1.41879, 1.48343, 1.50835, 1.55819, 1.64356, 1.86361, 1.93288, 2.03371, 2.14521, 2.23204, 2.33643, 2.46923, 2.57006, 2.71209, 2.81292, 3.01458, 3.11541, 3.31708, 3.61957");
-            values ( \
-              "0.00296468, 0.0572344, 0.121429, 0.157046, 0.192061, 0.209662, 0.217797, 0.223852, 0.223885, 0.223612, 0.219599, 0.216762, 0.209096, 0.205125, 0.195963, 0.176274, 0.11863, 0.101684, 0.0798299, 0.059829, 0.047288, 0.0352305, 0.0239618, 0.0177953, 0.0116094, 0.00849289, 0.00455479, 0.00337508, 0.00181555, 0.000675129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.375192, 0.383176, 0.388207, 0.39337, 0.400591, 0.406407, 0.411731, 0.416877, 0.422276, 0.424629, 0.434758, 0.443789, 0.452562, 0.460345, 0.469745, 0.475648, 0.484703, 0.496776, 0.501688");
-            values ( \
-              "0.00431312, 0.0285273, 0.0492489, 0.077586, 0.10549, 0.120286, 0.126316, 0.128374, 0.121941, 0.115832, 0.0743247, 0.0462423, 0.0283937, 0.0180414, 0.0103221, 0.00727344, 0.00426258, 0.00207066, 0.00170305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.377981, 0.396231, 0.404188, 0.41433, 0.421969, 0.425626, 0.43474, 0.438342, 0.443118, 0.451344, 0.459568, 0.465816, 0.481156, 0.491447, 0.498381, 0.503768, 0.510952, 0.521084, 0.527135, 0.537106, 0.548678, 0.557889, 0.576309, 0.593035");
-            values ( \
-              "0.00590536, 0.0240694, 0.0540509, 0.100501, 0.128921, 0.140236, 0.159102, 0.163255, 0.166546, 0.166147, 0.157766, 0.143229, 0.0956359, 0.0691161, 0.0546428, 0.0452165, 0.034765, 0.0237254, 0.0187708, 0.0126519, 0.00800756, 0.00553293, 0.00257611, 0.00149693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.34019, 0.413256, 0.423974, 0.435037, 0.452783, 0.466003, 0.472455, 0.488408, 0.494506, 0.503227, 0.517941, 0.532624, 0.540317, 0.548523, 0.570001, 0.58984, 0.604793, 0.620023, 0.632367, 0.643401, 0.658113, 0.67063, 0.687488, 0.709966, 0.754025, 0.805507");
-            values ( \
-              "0.0064742, 0.00915918, 0.0289745, 0.0602332, 0.119567, 0.154664, 0.168114, 0.188774, 0.192595, 0.195491, 0.193107, 0.182132, 0.171372, 0.157069, 0.11556, 0.0821538, 0.0617298, 0.0452436, 0.0347529, 0.0273056, 0.0196112, 0.0147337, 0.00998597, 0.00585504, 0.0018692, 0.000465257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.34721, 0.39887, 0.426452, 0.455057, 0.487932, 0.535653, 0.561213, 0.5918, 0.620293, 0.648624, 0.678084, 0.694032, 0.710885, 0.766832, 0.808927, 0.844028, 0.86788, 0.887957, 0.908463, 0.950367, 0.975602, 1.02607, 1.11069, 1.21114");
-            values ( \
-              "0.0372542, 0.00885622, 0.00375676, 0.0113814, 0.0561086, 0.149686, 0.18373, 0.205957, 0.212107, 0.2086, 0.19507, 0.182635, 0.166478, 0.108748, 0.072606, 0.0500019, 0.03824, 0.0303443, 0.0238252, 0.01436, 0.0105363, 0.00553873, 0.00171916, 0.00039276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.358973, 0.550728, 0.588693, 0.664885, 0.717616, 0.740229, 0.785456, 0.830542, 0.858336, 0.916027, 0.97465, 1.04063, 1.23514, 1.31314, 1.38982, 1.49117, 1.60087, 1.69611, 1.71403");
-            values ( \
-              "0.0208267, 0.0214105, 0.0511138, 0.133109, 0.177943, 0.191671, 0.210113, 0.218524, 0.219966, 0.215856, 0.201772, 0.172959, 0.0766175, 0.0504723, 0.0325559, 0.0177137, 0.0090198, 0.00490177, 0.00448353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.242032, 0.272925, 0.293211, 0.347662, 0.375784, 0.395715, 0.418648, 0.445271, 0.56019, 0.619546, 0.692471, 0.788552, 0.955967, 1.05315, 1.11139, 1.21222, 1.23645, 1.2849, 1.35346, 1.47014, 1.56526, 1.59199, 1.64545, 1.7272, 1.94719, 2.11726, 2.22895, 2.31593, 2.41993, 2.55262, 2.65345, 2.79609, 2.89692, 3.09858, 3.19941, 3.27086");
-            values ( \
-              "0.000594443, 0.000719482, 0.00211591, 0.0260329, 0.0324577, 0.0335848, 0.0327058, 0.0300873, 0.0154076, 0.010812, 0.0150461, 0.0448083, 0.134281, 0.176812, 0.195235, 0.215165, 0.218146, 0.221892, 0.224004, 0.219705, 0.209426, 0.20514, 0.195265, 0.176256, 0.118653, 0.0798555, 0.0598017, 0.047256, 0.0352361, 0.0239729, 0.01781, 0.0115984, 0.0084793, 0.00454626, 0.00337357, 0.00282166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.536343, 0.544428, 0.563973, 0.569816, 0.575116, 0.580267, 0.585408, 0.588122, 0.599488, 0.609449, 0.62451, 0.633619, 0.647784, 0.655775");
-            values ( \
-              "0.00203324, 0.0200565, 0.104721, 0.119911, 0.126995, 0.127997, 0.122701, 0.115527, 0.0695746, 0.0408232, 0.0172463, 0.0100183, 0.00433995, 0.00338282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.550123, 0.561098, 0.567844, 0.577714, 0.588996, 0.598107, 0.601549, 0.606484, 0.614709, 0.622932, 0.629185, 0.644521, 0.654818, 0.667131, 0.674307, 0.684456, 0.690516, 0.70048, 0.712041, 0.72124, 0.739639, 0.768711, 0.804258");
-            values ( \
-              "0.026913, 0.0294895, 0.0552259, 0.100851, 0.140433, 0.159215, 0.163074, 0.16662, 0.166105, 0.157813, 0.143214, 0.0956326, 0.0690999, 0.0452198, 0.0347771, 0.0237194, 0.0187589, 0.0126478, 0.00800866, 0.00553647, 0.00258055, 0.00070452, 0.000142714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.50303, 0.57912, 0.590033, 0.616462, 0.629596, 0.636091, 0.649081, 0.657925, 0.666841, 0.681552, 0.696231, 0.712163, 0.733581, 0.75355, 0.768402, 0.783586, 0.807047, 0.821835, 0.834276, 0.85103, 0.873369, 0.905106");
-            values ( \
-              "0.0021526, 0.0128613, 0.0355353, 0.120079, 0.154944, 0.168325, 0.186076, 0.192604, 0.195549, 0.193147, 0.182142, 0.157011, 0.115604, 0.081998, 0.061728, 0.0452843, 0.0272816, 0.0195598, 0.0147201, 0.0100009, 0.0058848, 0.00300265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.509908, 0.629934, 0.654187, 0.687319, 0.712549, 0.724987, 0.749865, 0.766633, 0.7839, 0.812183, 0.840572, 0.874549, 0.931745, 0.973236, 1.01409, 1.04734, 1.06697, 1.09915, 1.13525, 1.16378, 1.22083, 1.25998");
-            values ( \
-              "0.00798208, 0.0218852, 0.0614747, 0.128852, 0.169323, 0.184024, 0.203019, 0.2094, 0.211898, 0.208351, 0.195844, 0.166448, 0.107546, 0.0721497, 0.0465524, 0.0318929, 0.0253315, 0.0171828, 0.0110782, 0.00777889, 0.00371791, 0.00256913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.521191, 0.592029, 0.661753, 0.702222, 0.751052, 0.827821, 0.903456, 0.952787, 0.987849, 1.02156, 1.08009, 1.13786, 1.19294, 1.37896, 1.4509, 1.56081, 1.68089, 1.82113, 1.87992");
-            values ( \
-              "0.0527122, 0.0174894, 0.00789146, 0.0157764, 0.0502533, 0.132951, 0.191759, 0.211388, 0.217967, 0.220029, 0.21576, 0.201807, 0.178613, 0.0845136, 0.0580732, 0.0310756, 0.0150832, 0.00623735, 0.00491265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.559934, 0.936273, 1.11993, 1.21778, 1.27527, 1.3761, 1.44867, 1.51729, 1.61812, 1.63396, 1.66565, 1.75581, 1.89102, 2.11101, 2.28107, 2.39276, 2.47974, 2.58375, 2.71644, 2.81727, 2.95991, 3.06074, 3.16157, 3.36323, 3.44311");
-            values ( \
-              "0.0171522, 0.0378861, 0.134402, 0.177245, 0.195263, 0.215271, 0.221972, 0.223973, 0.220762, 0.219667, 0.216922, 0.205191, 0.176302, 0.118615, 0.0798171, 0.0598316, 0.0472267, 0.0352631, 0.0239994, 0.0177827, 0.0115719, 0.00850545, 0.00624183, 0.00334782, 0.00273089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.87496, 0.883961, 0.897252, 0.901335, 0.907179, 0.912483, 0.917637, 0.922782, 0.925434, 0.934909, 0.942118, 0.951536, 0.960389, 0.967221, 0.973485, 0.986237, 0.997426, 1.0019");
-            values ( \
-              "0.000212502, 0.0289221, 0.0897098, 0.104442, 0.119924, 0.126772, 0.128056, 0.12258, 0.115735, 0.076675, 0.0528498, 0.0314648, 0.0188469, 0.012578, 0.00863899, 0.00408435, 0.00210023, 0.00176163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.877559, 0.897386, 0.919335, 0.92658, 0.935713, 0.944093, 0.952314, 0.960749, 0.992378, 1.00464, 1.0219, 1.03782, 1.05803, 1.06941");
-            values ( \
-              "0.00249837, 0.0250957, 0.116611, 0.140171, 0.159348, 0.166765, 0.166451, 0.157454, 0.0692206, 0.0453807, 0.0238621, 0.0127816, 0.0057208, 0.00381791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.840824, 0.914508, 0.924633, 0.935433, 0.953569, 0.966661, 0.973239, 0.986395, 0.994762, 1.00399, 1.01871, 1.03337, 1.04097, 1.04908, 1.07085, 1.08996, 1.11173, 1.12435, 1.14117, 1.1511, 1.16837, 1.18773, 1.20311, 1.22936, 1.24786");
-            values ( \
-              "0.00555053, 0.00994748, 0.0287518, 0.0590616, 0.119581, 0.154551, 0.168179, 0.186234, 0.192494, 0.195617, 0.193275, 0.182259, 0.171664, 0.157561, 0.115494, 0.083162, 0.0545498, 0.0419431, 0.0291748, 0.0233951, 0.0157714, 0.0101072, 0.00705013, 0.00380742, 0.0026271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.847344, 0.968791, 1.04878, 1.06197, 1.08833, 1.1006, 1.12086, 1.12866, 1.14905, 1.17851, 1.20828, 1.25274, 1.30337, 1.34665, 1.38784, 1.43812, 1.49124, 1.53723, 1.5835");
-            values ( \
-              "0.00610366, 0.0241473, 0.168575, 0.184176, 0.204082, 0.208858, 0.212025, 0.211913, 0.208441, 0.195068, 0.169871, 0.123258, 0.0772215, 0.048932, 0.0306085, 0.0167828, 0.00876808, 0.00489126, 0.00307462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.859051, 1.05721, 1.09055, 1.15593, 1.18414, 1.21577, 1.24028, 1.26048, 1.30148, 1.34854, 1.35864, 1.37883, 1.41558, 1.47501, 1.50713, 1.54106, 1.65172, 1.68397, 1.73618, 1.77826, 1.81104, 1.85519, 1.89174, 1.9333, 1.99214, 2.05267, 2.09893, 2.19145, 2.29228, 2.39311, 2.49394");
-            values ( \
-              "0.0173292, 0.0250077, 0.0525419, 0.123743, 0.151459, 0.176624, 0.190999, 0.200663, 0.213711, 0.219626, 0.219859, 0.219408, 0.215791, 0.201826, 0.189228, 0.172958, 0.11447, 0.0987932, 0.0763766, 0.0612345, 0.0511839, 0.0398671, 0.0322657, 0.0252264, 0.017654, 0.0121983, 0.0091541, 0.00506836, 0.00263615, 0.00136822, 0.000707579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.739805, 0.788319, 0.795021, 0.847896, 0.875666, 0.895286, 0.919311, 0.945314, 1.09117, 1.1536, 1.21677, 1.27987, 1.45675, 1.55758, 1.61196, 1.71279, 1.78523, 1.85391, 1.95474, 1.97058, 2.00224, 2.09241, 2.22762, 2.44761, 2.61767, 2.72936, 2.81634, 2.92035, 3.05303, 3.15386, 3.29649, 3.39732, 3.49815, 3.69981, 3.79492");
-            values ( \
-              "0.00041632, 0.00127984, 0.00252655, 0.0255004, 0.0321327, 0.0334591, 0.0327183, 0.0302142, 0.012613, 0.0110615, 0.0200208, 0.0409232, 0.134591, 0.178523, 0.195329, 0.215367, 0.222035, 0.223973, 0.220803, 0.219657, 0.216959, 0.20522, 0.176326, 0.118597, 0.0797991, 0.0598472, 0.0472116, 0.035278, 0.0240141, 0.0177695, 0.0115589, 0.00851925, 0.00622874, 0.00333475, 0.00260027" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0102861, 0.0102881, 0.0102903, 0.010292, 0.010293, 0.0102936", \
-            "0.0122979, 0.0122986, 0.0122997, 0.0123008, 0.0123016, 0.0123021", \
-            "0.0136872, 0.0136871, 0.0136873, 0.0136876, 0.0136881, 0.0136884", \
-            "0.0147352, 0.0147349, 0.0147347, 0.0147347, 0.0147348, 0.0147349", \
-            "0.0154672, 0.015467, 0.0154668, 0.0154665, 0.0154663, 0.0154662", \
-            "0.0160329, 0.0160328, 0.0160327, 0.0160324, 0.016032, 0.0160317" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0147107, 0.0147096, 0.0147093, 0.0147095, 0.0147098, 0.0147101", \
-            "0.0158441, 0.0158423, 0.0158404, 0.015839, 0.0158383, 0.015838", \
-            "0.0161481, 0.0161472, 0.0161459, 0.0161444, 0.0161432, 0.0161426", \
-            "0.0173689, 0.0173687, 0.017368, 0.0173668, 0.0173654, 0.0173645", \
-            "0.0176522, 0.0176558, 0.0176607, 0.0176656, 0.0176689, 0.0176704", \
-            "0.0157698, 0.0158765, 0.0159227, 0.0158124, 0.0157717, 0.0157633" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.269031, 0.273876, 0.275169, 0.276647, 0.278143, 0.281136, 0.282682, 0.285775, 0.289216, 0.29456, 0.299314, 0.30374, 0.308025, 0.312303, 0.314057, 0.315492, 0.317155, 0.322833, 0.323831, 0.325282, 0.326939, 0.329634, 0.330438, 0.332047, 0.335263, 0.337726, 0.338919, 0.341305, 0.346078, 0.35056");
-            values ( \
-              "-0.022659, -0.0387049, -0.0450935, -0.0501517, -0.0558509, -0.0784957, -0.0855011, -0.100493, -0.113461, -0.131954, -0.143748, -0.151969, -0.154514, -0.147963, -0.141129, -0.133574, -0.122189, -0.0778169, -0.0708731, -0.0615618, -0.0520329, -0.0390649, -0.0357874, -0.0299621, -0.020759, -0.0156248, -0.0136123, -0.0103187, -0.0058748, -0.0039384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.276242, 0.295211, 0.300315, 0.31303, 0.32151, 0.329055, 0.336099, 0.342939, 0.349769, 0.353172, 0.371313, 0.3849, 0.395732, 0.408548");
-            values ( \
-              "-0.00141402, -0.0718002, -0.102373, -0.148758, -0.173871, -0.188679, -0.199226, -0.201369, -0.192866, -0.181489, -0.0766207, -0.0313988, -0.0146732, -0.00584543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.0515677, 0.0603721, 0.0764027, 0.0929707, 0.102973, 0.120894, 0.123703, 0.129378, 0.144095, 0.178049, 0.191379, 0.198068, 0.214997, 0.222678, 0.230967, 0.246497, 0.252538, 0.280397, 0.295762, 0.309028, 0.312707, 0.327506, 0.337531, 0.341208, 0.34856, 0.36008, 0.375164, 0.388572, 0.401091, 0.413269, 0.42543, 0.428539, 0.431856, 0.438488, 0.452999, 0.462279, 0.467486, 0.47579, 0.484804, 0.490852, 0.499247, 0.502472, 0.508923, 0.521823, 0.531272");
-            values ( \
-              "-0.00500449, -0.00323761, -0.00112524, -0.000245514, -6.31887e-05, -1e-22, -0.000129689, -0.00131809, -0.00189667, -0.00179555, -0.00211205, -0.00262291, -0.00455631, -0.0044947, -0.00365893, -0.000722244, -1e-22, -2e-22, -0.000724813, -0.0264985, -0.0362969, -0.0786867, -0.117252, -0.125252, -0.148002, -0.173584, -0.204594, -0.221424, -0.234078, -0.235388, -0.226179, -0.219565, -0.210798, -0.186602, -0.126635, -0.0943083, -0.0790753, -0.0589442, -0.0423823, -0.0337718, -0.0244688, -0.0216185, -0.0168209, -0.0100654, -0.00758627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.230237, 0.350549, 0.361337, 0.387405, 0.416157, 0.440035, 0.452923, 0.48193, 0.498435, 0.507572, 0.525847, 0.53157, 0.543018, 0.554917, 0.578099, 0.585187, 0.592747, 0.639747, 0.653431, 0.678616, 0.691765, 0.703781, 0.7273, 0.740674, 0.767423, 0.819438, 0.880235");
-            values ( \
-              "-0.00313534, -0.0166751, -0.0323402, -0.0776944, -0.133604, -0.171085, -0.188752, -0.221476, -0.235625, -0.241994, -0.251767, -0.253802, -0.256587, -0.256667, -0.245614, -0.237244, -0.224512, -0.119074, -0.0944827, -0.0598635, -0.0467438, -0.0370831, -0.0233357, -0.0178723, -0.0103137, -0.00320276, -0.000761743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.251496, 0.377553, 0.390511, 0.40532, 0.434938, 0.483493, 0.570475, 0.621312, 0.692637, 0.724464, 0.754549, 0.802569, 0.849239, 0.895863, 0.907354, 0.919611, 1.03794, 1.09549, 1.13487, 1.19071, 1.22425, 1.26258, 1.33925, 1.34506");
-            values ( \
-              "-0.0356381, -0.00381163, -0.00325494, -0.00396598, -0.0124206, -0.0499096, -0.135746, -0.178492, -0.225319, -0.240956, -0.252554, -0.265149, -0.268128, -0.256493, -0.249363, -0.239168, -0.105782, -0.0633884, -0.0438169, -0.0254249, -0.0182732, -0.0124341, -0.00553957, -0.0053157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.29448, 0.695596, 0.892546, 0.982463, 1.04581, 1.11491, 1.16448, 1.2544, 1.29977, 1.36026, 1.38205, 1.4111, 1.46261, 1.55253, 1.598, 1.64996, 1.77677, 1.82963, 1.89704, 1.96025, 2.01918, 2.09776, 2.14969, 2.20779, 2.28525, 2.37516, 2.46508, 2.64491, 2.82475");
-            values ( \
-              "-0.00162872, -0.0404671, -0.137337, -0.175661, -0.199677, -0.221874, -0.235815, -0.25545, -0.262922, -0.270269, -0.272005, -0.273494, -0.273966, -0.26318, -0.247802, -0.219911, -0.143404, -0.116368, -0.0876827, -0.0662924, -0.0507376, -0.0351203, -0.0274191, -0.0207661, -0.014256, -0.00912451, -0.00585579, -0.00239105, -0.000977026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.288504, 0.296624, 0.299801, 0.303855, 0.313018, 0.31777, 0.322196, 0.326479, 0.330813, 0.332537, 0.34539, 0.34889, 0.353717, 0.359755, 0.364524, 0.373588, 0.375553");
-            values ( \
-              "-0.0252018, -0.0551642, -0.0806554, -0.099147, -0.132111, -0.143753, -0.152039, -0.154544, -0.147869, -0.141036, -0.0520402, -0.0357854, -0.0207502, -0.0103247, -0.00587537, -0.00195071, -0.00181256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.301697, 0.311553, 0.318525, 0.326633, 0.340164, 0.347707, 0.35475, 0.361589, 0.368419, 0.371814, 0.38994, 0.39669, 0.403564, 0.414364, 0.427318, 0.431436");
-            values ( \
-              "-0.0436308, -0.0632771, -0.0998365, -0.132755, -0.173721, -0.188851, -0.199086, -0.201512, -0.192742, -0.181525, -0.0767273, -0.0498898, -0.0313689, -0.0146908, -0.00573848, -0.00467648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.0693924, 0.0854246, 0.0927325, 0.108711, 0.118216, 0.141876, 0.147759, 0.163543, 0.19741, 0.210767, 0.233949, 0.241818, 0.248447, 0.266936, 0.272955, 0.302093, 0.318273, 0.327384, 0.335044, 0.346231, 0.35662, 0.359921, 0.366521, 0.378791, 0.393877, 0.407287, 0.419805, 0.431984, 0.444145, 0.447248, 0.450558, 0.457177, 0.472073, 0.484923, 0.493791, 0.498333, 0.505932, 0.516064, 0.521051, 0.52841, 0.538221, 0.546222");
-            values ( \
-              "-0.00505486, -0.00219694, -0.0013152, -0.000317077, -8.21492e-05, -1e-22, -0.00126548, -0.0018947, -0.00179156, -0.00213899, -0.00456285, -0.00445994, -0.0038083, -0.000393562, -1e-22, -2e-22, -0.00606645, -0.0256554, -0.0466423, -0.0790987, -0.118245, -0.125417, -0.145863, -0.173729, -0.204461, -0.221553, -0.233967, -0.235495, -0.226084, -0.219583, -0.210835, -0.186712, -0.125264, -0.0826217, -0.0604562, -0.0512955, -0.038717, -0.0262744, -0.0216928, -0.01631, -0.0110724, -0.0085659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.249324, 0.36917, 0.378893, 0.405432, 0.432351, 0.449852, 0.471604, 0.500685, 0.526365, 0.550351, 0.5737, 0.597018, 0.602872, 0.609116, 0.621604, 0.65655, 0.669993, 0.694903, 0.708675, 0.727038, 0.745139, 0.75493, 0.774511, 0.813674, 0.838885");
-            values ( \
-              "-0.00328861, -0.0165871, -0.030531, -0.0767176, -0.129387, -0.157774, -0.188786, -0.221452, -0.242213, -0.253919, -0.257033, -0.245475, -0.238914, -0.229141, -0.202856, -0.123087, -0.0982792, -0.062812, -0.0485095, -0.0339666, -0.0237871, -0.0195852, -0.0131748, -0.0057214, -0.00385428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.270539, 0.396021, 0.422545, 0.450838, 0.499195, 0.589092, 0.640317, 0.710501, 0.744595, 0.773173, 0.821192, 0.867862, 0.914486, 0.925971, 0.938222, 1.0565, 1.11408, 1.15364, 1.20936, 1.24275, 1.28092, 1.35725, 1.36296");
-            values ( \
-              "-0.0358511, -0.0037793, -0.00379275, -0.0111486, -0.0471015, -0.135839, -0.178673, -0.224804, -0.241527, -0.252628, -0.265065, -0.268202, -0.256413, -0.24937, -0.239174, -0.105836, -0.0634069, -0.0437559, -0.0254197, -0.0182951, -0.0124713, -0.00557761, -0.00535632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.313607, 0.716405, 0.890486, 0.952185, 1.06408, 1.13184, 1.1828, 1.27271, 1.31813, 1.37869, 1.40019, 1.42885, 1.48096, 1.57087, 1.61634, 1.66829, 1.79524, 1.84798, 1.91523, 1.97856, 2.03761, 2.11634, 2.16811, 2.22605, 2.3033, 2.39322, 2.48314, 2.57305, 2.66297, 2.84281");
-            values ( \
-              "-0.00087, -0.0415219, -0.127753, -0.155641, -0.199605, -0.221445, -0.235773, -0.255437, -0.26291, -0.270264, -0.271986, -0.273451, -0.273966, -0.263166, -0.247814, -0.219908, -0.143352, -0.116383, -0.0877579, -0.0662883, -0.0507028, -0.0350976, -0.0273923, -0.0207564, -0.014294, -0.00911974, -0.00588218, -0.00370782, -0.00241265, -0.000996768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.326888, 0.332746, 0.336802, 0.340003, 0.343981, 0.353199, 0.357953, 0.362379, 0.366662, 0.370939, 0.372713, 0.375792, 0.382467, 0.385574, 0.389074, 0.393901, 0.396362, 0.39994, 0.40471, 0.413647, 0.424119, 0.437525");
-            values ( \
-              "-0.00445436, -0.0403364, -0.0553537, -0.0806244, -0.0988763, -0.132111, -0.143696, -0.152065, -0.154484, -0.148012, -0.141062, -0.122204, -0.0708665, -0.052036, -0.0357837, -0.0207504, -0.0156283, -0.0103243, -0.00587369, -0.00198712, -0.000661114, -0.000253021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.337929, 0.352209, 0.361428, 0.371872, 0.38035, 0.387896, 0.394937, 0.401777, 0.408716, 0.411975, 0.430055, 0.436837, 0.443799, 0.454498, 0.467243, 0.472696");
-            values ( \
-              "-0.0147222, -0.0648014, -0.111156, -0.149427, -0.17336, -0.189211, -0.198797, -0.201792, -0.192351, -0.181638, -0.0770631, -0.050017, -0.0312665, -0.0147437, -0.00585079, -0.00440866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.3524, 0.370809, 0.385787, 0.392265, 0.399511, 0.410192, 0.418394, 0.433501, 0.446908, 0.459428, 0.47161, 0.483775, 0.490097, 0.496622, 0.514265, 0.521918, 0.527184, 0.534597, 0.540998, 0.547474, 0.55674, 0.560753, 0.568778, 0.584829, 0.611248, 0.642622");
-            values ( \
-              "-0.026586, -0.035452, -0.0795091, -0.10368, -0.12642, -0.155209, -0.174418, -0.203585, -0.222221, -0.233225, -0.236098, -0.225458, -0.211084, -0.187454, -0.1157, -0.0902893, -0.0754312, -0.0579704, -0.0458959, -0.0360212, -0.0252572, -0.02164, -0.0158277, -0.00827171, -0.00262593, -0.000649199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.288891, 0.40984, 0.426748, 0.475202, 0.488815, 0.511999, 0.541036, 0.566713, 0.590697, 0.614045, 0.637362, 0.643229, 0.649488, 0.662004, 0.696659, 0.710638, 0.735436, 0.748669, 0.766313, 0.780253, 0.795625, 0.816121, 0.857114, 0.87289");
-            values ( \
-              "-0.00267546, -0.0170331, -0.0429922, -0.133362, -0.155892, -0.188667, -0.221676, -0.24204, -0.254112, -0.256869, -0.245647, -0.238893, -0.229103, -0.202721, -0.123555, -0.0977708, -0.0626004, -0.048841, -0.0346988, -0.026387, -0.0194544, -0.0128367, -0.00533401, -0.00424105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.310821, 0.436452, 0.46231, 0.48523, 0.525897, 0.629924, 0.680576, 0.70449, 0.752318, 0.783257, 0.813922, 0.861936, 0.908602, 0.955221, 0.966731, 0.979008, 1.0433, 1.09748, 1.12967, 1.15495, 1.19375, 1.24997, 1.28399, 1.32285, 1.40059, 1.44249");
-            values ( \
-              "-0.0357621, -0.00381963, -0.00374608, -0.00854784, -0.034604, -0.136106, -0.178288, -0.195956, -0.225367, -0.240596, -0.252748, -0.265032, -0.268297, -0.25636, -0.249369, -0.239135, -0.162763, -0.105616, -0.0797257, -0.063326, -0.0440196, -0.0254465, -0.0182014, -0.0123182, -0.00541677, -0.00384023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.35234, 0.747053, 0.951635, 1.04155, 1.10482, 1.1739, 1.22347, 1.31339, 1.35872, 1.41917, 1.44113, 1.47041, 1.52138, 1.6113, 1.65807, 1.70105, 1.85386, 1.90063, 1.9669, 2.02345, 2.06992, 2.12311, 2.19836, 2.24627, 2.32533, 2.41525, 2.50516, 2.59508, 2.685, 2.86483");
-            values ( \
-              "-0.00396677, -0.0371786, -0.137379, -0.175793, -0.199674, -0.221952, -0.235799, -0.255517, -0.262976, -0.270241, -0.271996, -0.27355, -0.273951, -0.263263, -0.247287, -0.224609, -0.13361, -0.110706, -0.0835991, -0.0650689, -0.0527123, -0.0412065, -0.0288226, -0.0229547, -0.0156805, -0.0100465, -0.00644924, -0.00409934, -0.00263551, -0.00107865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.410149, 0.413516, 0.417796, 0.419191, 0.421982, 0.427207, 0.430253, 0.435596, 0.440349, 0.444775, 0.44906, 0.453337, 0.455095, 0.456529, 0.45819, 0.464865, 0.467974, 0.471473, 0.473081, 0.476297, 0.47876, 0.482339, 0.487111, 0.490974");
-            values ( \
-              "-0.0228446, -0.033453, -0.0512268, -0.0558677, -0.0773403, -0.102201, -0.113511, -0.131967, -0.143777, -0.151968, -0.154534, -0.147953, -0.141122, -0.133558, -0.122197, -0.0708679, -0.0520317, -0.035785, -0.0299648, -0.0207557, -0.0156274, -0.0103216, -0.00587334, -0.0041834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.417566, 0.427456, 0.434621, 0.443728, 0.454174, 0.462653, 0.470199, 0.477241, 0.484081, 0.490912, 0.494262, 0.512315, 0.519117, 0.526133, 0.536771, 0.549387, 0.552304");
-            values ( \
-              "-0.00813536, -0.0372633, -0.0647711, -0.111287, -0.14948, -0.173282, -0.189238, -0.198761, -0.201794, -0.192501, -0.181703, -0.0772699, -0.0500957, -0.0312017, -0.0147763, -0.00592109, -0.00513614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.428021, 0.452854, 0.467892, 0.481643, 0.500537, 0.51565, 0.529058, 0.54158, 0.553763, 0.566133, 0.568971, 0.572214, 0.578701, 0.596846, 0.603565, 0.616434, 0.623738, 0.633477, 0.642448, 0.657128, 0.676702, 0.691866");
-            values ( \
-              "-0.0108823, -0.0351261, -0.0794867, -0.126819, -0.174756, -0.203153, -0.222549, -0.232856, -0.236402, -0.225025, -0.219691, -0.211183, -0.187759, -0.114161, -0.0918331, -0.0586169, -0.0448925, -0.0310465, -0.0220065, -0.0123861, -0.00552716, -0.00340508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.370705, 0.490683, 0.508861, 0.550338, 0.571594, 0.594269, 0.623324, 0.649011, 0.673002, 0.696355, 0.719676, 0.725527, 0.731768, 0.792594, 0.817526, 0.831403, 0.849906, 0.867818, 0.896917, 0.929937");
-            values ( \
-              "-0.00483515, -0.0150348, -0.0425497, -0.121157, -0.156584, -0.188413, -0.221656, -0.241874, -0.254142, -0.256746, -0.245702, -0.23889, -0.229146, -0.0983764, -0.0628527, -0.0484451, -0.0338266, -0.0237773, -0.0132438, -0.00691001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.396866, 0.582598, 0.62922, 0.711749, 0.738106, 0.786357, 0.844646, 0.896008, 0.943952, 0.990624, 1.03723, 1.04879, 1.06111, 1.08575, 1.12424, 1.17339, 1.19401, 1.2215, 1.24295, 1.267, 1.29906, 1.32637, 1.35396, 1.39074, 1.4643, 1.55421, 1.64413");
-            values ( \
-              "-0.0156701, -0.0159446, -0.0542022, -0.135832, -0.15883, -0.195836, -0.23091, -0.252807, -0.265145, -0.268296, -0.256438, -0.249364, -0.239073, -0.211736, -0.164047, -0.111188, -0.0932019, -0.0729407, -0.0599184, -0.0478417, -0.0351502, -0.0269386, -0.0205461, -0.0142167, -0.00658164, -0.00246941, -0.000937762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.428045, 0.816971, 0.999632, 1.1016, 1.18686, 1.25767, 1.30558, 1.39549, 1.44088, 1.52311, 1.55208, 1.60373, 1.69364, 1.71314, 1.73912, 1.78829, 1.92946, 1.98581, 2.07838, 2.11225, 2.16888, 2.21801, 2.31408, 2.36812, 2.45804, 2.54796, 2.63787, 2.68549");
-            values ( \
-              "-0.00766138, -0.0319333, -0.121212, -0.166874, -0.199454, -0.222488, -0.235634, -0.255568, -0.263018, -0.271903, -0.273554, -0.273877, -0.263251, -0.25773, -0.247738, -0.221627, -0.137064, -0.109359, -0.0734726, -0.0631468, -0.0488146, -0.0388228, -0.024587, -0.0189682, -0.0121842, -0.0078204, -0.00498526, -0.00420133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.574762, 0.579828, 0.583856, 0.588367, 0.594881, 0.600214, 0.604969, 0.609392, 0.613676, 0.617952, 0.619708, 0.622804, 0.629479, 0.632587, 0.636086, 0.64091, 0.643373, 0.646953, 0.651726, 0.660471, 0.670758, 0.683846");
-            values ( \
-              "-0.0110381, -0.0407471, -0.056737, -0.0862804, -0.113876, -0.131884, -0.144012, -0.151891, -0.154692, -0.147878, -0.141144, -0.122203, -0.0708793, -0.052036, -0.0357907, -0.0207623, -0.0156248, -0.0103178, -0.00587573, -0.0020361, -0.000694063, -0.000259838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.581644, 0.591468, 0.59951, 0.608561, 0.619017, 0.627499, 0.635045, 0.642088, 0.648929, 0.655868, 0.659102, 0.677141, 0.683952, 0.690998, 0.701604, 0.714152, 0.715925");
-            values ( \
-              "-0.00684331, -0.0342426, -0.0647972, -0.111309, -0.149519, -0.173177, -0.189281, -0.19868, -0.201834, -0.192242, -0.181731, -0.0773787, -0.050137, -0.0311658, -0.0147932, -0.0059585, -0.00547747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.592349, 0.617876, 0.630428, 0.646534, 0.665402, 0.680508, 0.693913, 0.706432, 0.718614, 0.730983, 0.733823, 0.737069, 0.743561, 0.76165, 0.768471, 0.781319, 0.788519, 0.79812, 0.807278, 0.822228, 0.842162, 0.856585");
-            values ( \
-              "-0.00900027, -0.0357327, -0.0720815, -0.127108, -0.174916, -0.20316, -0.222645, -0.232842, -0.236465, -0.225007, -0.219697, -0.211178, -0.18772, -0.114327, -0.0916583, -0.0585436, -0.0450073, -0.031297, -0.0220263, -0.0122635, -0.00538214, -0.00341562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.538726, 0.6558, 0.692618, 0.71942, 0.758865, 0.78794, 0.813627, 0.837615, 0.860967, 0.884288, 0.890131, 0.896364, 0.957039, 0.982031, 0.996205, 1.0151, 1.03248, 1.0608, 1.09857, 1.10488");
-            values ( \
-              "-0.0046291, -0.0157255, -0.0765322, -0.128999, -0.188745, -0.221373, -0.242195, -0.253867, -0.257035, -0.245438, -0.238916, -0.229168, -0.0986589, -0.062972, -0.0482657, -0.0334301, -0.023747, -0.0134396, -0.00603483, -0.00553539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.560816, 0.685042, 0.705144, 0.731947, 0.780336, 0.876472, 0.951053, 1.00923, 1.06053, 1.10855, 1.15523, 1.20185, 1.21334, 1.22559, 1.34387, 1.40145, 1.44099, 1.49672, 1.53012, 1.5683, 1.64466, 1.64694");
-            values ( \
-              "-0.0363306, -0.0037019, -0.0034815, -0.00858961, -0.0413628, -0.136102, -0.195973, -0.230981, -0.252789, -0.264919, -0.268357, -0.256266, -0.249384, -0.239164, -0.105835, -0.0634032, -0.0437644, -0.0254229, -0.0182923, -0.0124701, -0.00557316, -0.00548499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.591731, 0.999602, 1.19859, 1.28851, 1.35176, 1.42085, 1.47043, 1.56034, 1.6057, 1.66618, 1.68802, 1.71714, 1.76855, 1.85847, 1.90394, 1.9559, 2.08273, 2.13557, 2.20296, 2.26618, 2.32513, 2.40372, 2.45564, 2.51371, 2.59114, 2.68106, 2.77098, 2.86089, 2.95081, 3.13064");
-            values ( \
-              "-0.00132163, -0.0396543, -0.137424, -0.175689, -0.199717, -0.221855, -0.235851, -0.255429, -0.262898, -0.2703, -0.272041, -0.273472, -0.273998, -0.263154, -0.247833, -0.219884, -0.143425, -0.116398, -0.0877194, -0.0662653, -0.0507068, -0.035145, -0.0273892, -0.0207382, -0.0142881, -0.00909706, -0.00588628, -0.00369191, -0.00242087, -0.00100659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.913008, 0.918644, 0.921123, 0.924301, 0.92833, 0.937499, 0.942258, 0.946683, 0.950965, 0.955241, 0.957022, 0.969874, 0.973374, 0.978201, 0.981854, 0.98901, 0.995851");
-            values ( \
-              "-0.0252573, -0.0479447, -0.055219, -0.0808895, -0.0992187, -0.132205, -0.143677, -0.152155, -0.154474, -0.148083, -0.141059, -0.0520405, -0.035785, -0.0207488, -0.013607, -0.00587207, -0.00287973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.920201, 0.936317, 0.9456, 0.956049, 0.964529, 0.972073, 0.979115, 0.985954, 0.992891, 0.996142, 0.999609, 1.01059, 1.01421, 1.021, 1.02798, 1.03317, 1.03865, 1.04288, 1.05134, 1.06657, 1.08471");
-            values ( \
-              "-0.00492756, -0.0640552, -0.111081, -0.149375, -0.173368, -0.189192, -0.198835, -0.201792, -0.192373, -0.181698, -0.163806, -0.0956094, -0.0771711, -0.0500599, -0.0312384, -0.0217257, -0.0147601, -0.0109221, -0.00588437, -0.00180274, -0.00047538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.930535, 0.955223, 0.970101, 0.98038, 0.99071, 1.00271, 1.01782, 1.03121, 1.04373, 1.05591, 1.06807, 1.07115, 1.08103, 1.09696, 1.10785, 1.12092, 1.13608, 1.1447, 1.15785, 1.17538, 1.18889");
-            values ( \
-              "-0.0103094, -0.0359422, -0.0793783, -0.117186, -0.146221, -0.173893, -0.204223, -0.22175, -0.233775, -0.235707, -0.225935, -0.219654, -0.187027, -0.121589, -0.0854626, -0.0538822, -0.0305634, -0.0219515, -0.0131347, -0.00642317, -0.00421008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.870488, 0.993681, 1.0304, 1.05941, 1.07744, 1.0962, 1.12525, 1.15093, 1.17491, 1.19826, 1.21598, 1.22194, 1.23387, 1.27907, 1.29593, 1.3202, 1.34457, 1.36269, 1.38124, 1.39541, 1.42374, 1.46484");
-            values ( \
-              "-0.00283663, -0.0165049, -0.0771995, -0.133364, -0.162503, -0.188633, -0.221591, -0.242089, -0.254083, -0.256953, -0.250139, -0.245363, -0.22851, -0.127103, -0.0958868, -0.0619253, -0.0390481, -0.0272921, -0.0188995, -0.0142589, -0.00789566, -0.00364854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.891645, 1.02036, 1.04198, 1.07081, 1.11948, 1.21581, 1.24529, 1.28819, 1.3393, 1.36068, 1.38918, 1.4149, 1.44571, 1.49236, 1.539, 1.55046, 1.56268, 1.58712, 1.62848, 1.66236, 1.68073, 1.71264, 1.73844, 1.77893, 1.81994, 1.83402, 1.86667, 1.90397, 1.97859, 2.0685, 2.15842");
-            values ( \
-              "-0.0349731, -0.00366227, -0.00333826, -0.00909233, -0.0429709, -0.137672, -0.163045, -0.195636, -0.227004, -0.237642, -0.249541, -0.25793, -0.264947, -0.268144, -0.256336, -0.249354, -0.239199, -0.212197, -0.161091, -0.123641, -0.106104, -0.0803161, -0.0635048, -0.0434368, -0.0291312, -0.0253894, -0.0184096, -0.0126622, -0.00577846, -0.00217078, -0.000820358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.928545, 1.31202, 1.53775, 1.61885, 1.6905, 1.76007, 1.809, 1.89892, 1.94404, 2.00421, 2.02734, 2.05819, 2.10695, 2.19686, 2.24231, 2.29425, 2.42129, 2.47394, 2.54108, 2.60448, 2.66362, 2.74246, 2.79411, 2.85194, 2.92905, 3.01896, 3.10888, 3.19227");
-            values ( \
-              "-0.00896086, -0.029031, -0.137893, -0.172806, -0.199934, -0.222385, -0.235966, -0.25569, -0.263084, -0.270296, -0.272144, -0.273713, -0.274013, -0.263254, -0.247825, -0.219968, -0.143286, -0.116364, -0.0877799, -0.0663217, -0.050713, -0.0350491, -0.0274071, -0.0207825, -0.0142891, -0.00914874, -0.00586888, -0.00425863" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0102823", \
-            "0.0115369", \
-            "0.0122237", \
-            "0.0126353", \
-            "0.01285", \
-            "0.0129686" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0123704", \
-            "0.0127357", \
-            "0.0131098", \
-            "0.0137915", \
-            "0.0147698", \
-            "0.0158889" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "QN";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0384926, 0.0544277, 0.0867834, 0.153536, 0.292363, 0.581819", \
-            "0.0449738, 0.0608869, 0.0933618, 0.16028, 0.299236, 0.588766", \
-            "0.0611575, 0.0768999, 0.10921, 0.176105, 0.315193, 0.604784", \
-            "0.0909879, 0.112725, 0.146296, 0.212912, 0.351789, 0.641183", \
-            "0.138221, 0.171765, 0.222276, 0.296196, 0.433659, 0.722086", \
-            "0.218312, 0.267592, 0.344742, 0.458154, 0.619919, 0.906852" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0336525, 0.0569887, 0.105724, 0.207427, 0.419702, 0.862569", \
-            "0.0338311, 0.0569999, 0.105708, 0.207422, 0.419702, 0.862569", \
-            "0.0383721, 0.0583826, 0.105762, 0.20742, 0.4197, 0.862569", \
-            "0.0575257, 0.0733728, 0.112036, 0.207567, 0.419704, 0.862569", \
-            "0.0898123, 0.113458, 0.149597, 0.226323, 0.420915, 0.862569", \
-            "0.140311, 0.177613, 0.232586, 0.313262, 0.468814, 0.867458" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0287096, 0.0402428, 0.0636123, 0.111716, 0.21159, 0.419727", \
-            "0.034217, 0.0458405, 0.0693476, 0.117199, 0.217524, 0.425706", \
-            "0.0456127, 0.058916, 0.0825194, 0.130884, 0.230809, 0.439056", \
-            "0.0608184, 0.0806999, 0.111402, 0.16083, 0.261001, 0.469334", \
-            "0.0789344, 0.107972, 0.153907, 0.223135, 0.328307, 0.536604", \
-            "0.0978488, 0.140538, 0.208216, 0.31111, 0.462704, 0.687338" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0216988, 0.0369626, 0.0687871, 0.135117, 0.273568, 0.562298", \
-            "0.0220644, 0.0369754, 0.0687641, 0.135099, 0.273559, 0.562296", \
-            "0.0288828, 0.0406048, 0.0693239, 0.135077, 0.27355, 0.562295", \
-            "0.0440785, 0.0582173, 0.0820429, 0.138597, 0.273553, 0.562297", \
-            "0.0682833, 0.0890064, 0.121248, 0.172035, 0.285061, 0.562339", \
-            "0.109153, 0.137618, 0.183879, 0.255082, 0.364398, 0.594834" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0271091, 0.0297653, 0.0314018, 0.03433, 0.0367031, 0.0402928, 0.0499711, 0.0703875, 0.077857, 0.0874984, 0.0976074, 0.103234, 0.110232, 0.119562, 0.135836, 0.155102, 0.179426, 4.78219, 4.84712");
-            values ( \
-              "0.0158964, 0.150201, 0.155929, 0.15933, 0.158439, 0.154142, 0.134825, 0.0564058, 0.0376046, 0.0217666, 0.0121078, 0.00854371, 0.00556612, 0.00316492, 0.000995511, 0.00029416, 1e-22, 4.08401e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.0288628, 0.0325434, 0.0358682, 0.0365681, 0.0379678, 0.0402001, 0.0424656, 0.0492728, 0.0600379, 0.0699246, 0.0763971, 0.0913269, 0.102278, 0.113077, 0.118824, 0.126486, 0.137354, 0.143395, 0.155219, 0.1619, 0.175261, 0.199884, 0.229331, 0.266259, 0.31433, 4.84716");
-            values ( \
-              "0.159816, 0.194157, 0.198336, 0.198402, 0.198269, 0.197039, 0.195139, 0.187317, 0.172579, 0.155857, 0.14053, 0.0953993, 0.0686371, 0.0481065, 0.0394489, 0.0299901, 0.0200781, 0.0159279, 0.0100584, 0.00776208, 0.00449376, 0.00155898, 0.000376143, 7.36457e-05, 1e-22, 2.02231e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.0288857, 0.0351339, 0.0375071, 0.0406714, 0.0445535, 0.0542254, 0.0803913, 0.0945953, 0.105781, 0.117903, 0.145627, 0.161317, 0.181759, 0.19973, 0.212851, 0.224916, 0.241003, 0.253098, 0.266468, 0.284295, 0.319949, 0.368687, 0.428859, 0.505069, 4.84716, 5.28526");
-            values ( \
-              "0.155892, 0.222783, 0.224941, 0.225501, 0.224034, 0.217778, 0.197494, 0.185084, 0.173517, 0.157223, 0.109634, 0.0855399, 0.059406, 0.041872, 0.0320718, 0.0249049, 0.0175194, 0.0134818, 0.0100274, 0.00664322, 0.00287032, 0.000786103, 0.000176772, 1e-22, 2.84511e-05, 0.0058938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.0312449, 0.0312649, 0.0779792, 0.121318, 0.14318, 0.165138, 0.188097, 0.203797, 0.22242, 0.258195, 0.288097, 0.327427, 0.342273, 0.36148, 0.38665, 0.409859, 0.440804, 0.463654, 0.488932, 0.522636, 0.590045, 0.683559, 0.780503, 0.97439, 4.84718, 5.30589");
-            values ( \
-              "1e-22, 0.255673, 0.230059, 0.212133, 0.202382, 0.191648, 0.178123, 0.16658, 0.150875, 0.118071, 0.0928375, 0.0646177, 0.0557916, 0.0458898, 0.0349859, 0.0271069, 0.0191572, 0.0146427, 0.0109042, 0.00738351, 0.00310805, 0.000942667, 0.000183296, 1e-22, 2e-22, 0.0123646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.0338661, 0.0338861, 0.126816, 0.259303, 0.303916, 0.350534, 0.420052, 0.551966, 0.659956, 0.748763, 0.79526, 0.857257, 0.902606, 0.952802, 1.01973, 1.11667, 1.21362, 1.31056, 1.50445, 1.89222, 4.84705, 5.29004");
-            values ( \
-              "1e-22, 0.273117, 0.236428, 0.207831, 0.196893, 0.183197, 0.155913, 0.0968053, 0.0583628, 0.0365686, 0.0283034, 0.0200157, 0.0152806, 0.011391, 0.00776689, 0.00419198, 0.00241716, 0.00122135, 0.000319683, 1e-22, 6.48834e-05, 0.0257524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.0369306, 0.0369506, 0.228555, 0.501515, 0.593409, 0.689396, 0.832346, 1.10219, 1.30994, 1.46342, 1.61497, 1.69789, 1.85963, 1.95658, 2.05352, 2.15046, 2.34435, 2.53824, 3.1199, 4.84693, 5.29095");
-            values ( \
-              "1e-22, 0.276238, 0.23948, 0.21054, 0.19951, 0.185742, 0.158477, 0.0988632, 0.061568, 0.0416531, 0.0277199, 0.0221765, 0.01407, 0.0105122, 0.00807324, 0.00594954, 0.00333448, 0.00184835, 0.000266856, 1e-22, 0.0539115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0469013, 0.0514684, 0.0529364, 0.0544962, 0.0556195, 0.0567131, 0.0576651, 0.0578766, 0.0582362, 0.0585957, 0.0589553, 0.0593148, 0.0600267, 0.0607385, 0.0614503, 0.062874, 0.0645949, 0.0665222, 0.068759, 0.0716328, 0.0740811, 0.0759317, 0.0853251, 0.0897144, 0.0920578, 0.095573, 0.100274, 0.104124, 0.107973, 0.111578, 0.114049, 0.118082, 0.122294, 0.126022, 0.128345, 0.130669, 0.135316, 0.13764, 0.139963, 0.144068, 0.148173, 0.152279, 0.156384, 0.161202, 0.16602, 0.170838, 0.175656, 0.199961, 0.958083, 3.23626, 3.55917");
-            values ( \
-              "0.117824, 0.119043, 0.133423, 0.143101, 0.147137, 0.149763, 0.151236, 0.151425, 0.151484, 0.151506, 0.15149, 0.151437, 0.15122, 0.150859, 0.150296, 0.148847, 0.146522, 0.143122, 0.138612, 0.13163, 0.124223, 0.117245, 0.0755277, 0.059721, 0.0527167, 0.0437292, 0.0335912, 0.027122, 0.0218286, 0.0176555, 0.0151237, 0.0119676, 0.0093417, 0.00727627, 0.00617506, 0.00521232, 0.00385256, 0.00326769, 0.00274615, 0.00216317, 0.00165546, 0.00122303, 0.00086587, 0.000654086, 0.000471731, 0.000318804, 0.000195305, 2.09652e-05, 1e-22, 8.06898e-10, 0.00205451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.0445378, 0.0516667, 0.0531691, 0.0547346, 0.056012, 0.0583931, 0.0606697, 0.0628328, 0.0648647, 0.0696707, 0.0745444, 0.0804356, 0.0863472, 0.0903172, 0.0967873, 0.111712, 0.122657, 0.126957, 0.135374, 0.139209, 0.146879, 0.151862, 0.157706, 0.16375, 0.172237, 0.17558, 0.182267, 0.19564, 0.220972, 0.250468, 0.287728, 0.335912, 4.84714, 5.30245");
-            values ( \
-              "0.0115237, 0.146001, 0.165604, 0.178258, 0.18455, 0.19122, 0.193212, 0.193147, 0.191933, 0.18713, 0.180959, 0.172649, 0.163261, 0.15594, 0.1406, 0.0954464, 0.0686354, 0.0597807, 0.0450707, 0.0394774, 0.0299545, 0.0249654, 0.020074, 0.0159722, 0.0114704, 0.0100943, 0.00773775, 0.00452155, 0.00147423, 0.000387109, 4.27435e-05, 4.81247e-06, 1e-22, 0.0028472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.0411347, 0.0587157, 0.121559, 0.1857, 0.232644, 0.25677, 0.300055, 0.380749, 4.29607, 4.39302, 4.48996, 4.5869, 4.68385, 4.76313, 4.8424");
-            values ( \
-              "0.0315675, 0.22364, 0.174149, 0.0731146, 0.0289376, 0.0170164, 0.00614751, 0.000375382, 0.000515563, 1e-22, 0.000515205, 1e-22, 0.000514845, 1e-22, 0.000514408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.0509223, 0.0669416, 0.0724033, 0.0786634, 0.10609, 0.141978, 0.178424, 0.200532, 0.224452, 0.243075, 0.292865, 0.325871, 0.353029, 0.362925, 0.382133, 0.407302, 0.430509, 0.461452, 0.4843, 0.492724, 0.509574, 0.543274, 0.610673, 0.704041, 0.800984, 0.897928, 1.09182, 4.84714, 5.30082");
-            values ( \
-              "0.240553, 0.24101, 0.240172, 0.23803, 0.227194, 0.212045, 0.195374, 0.183343, 0.166665, 0.150793, 0.106067, 0.0797619, 0.0616097, 0.0558546, 0.0458305, 0.0350483, 0.0271683, 0.0190995, 0.0147036, 0.0133143, 0.0109642, 0.00732701, 0.00316721, 0.000887249, 0.000241997, 5.07258e-05, 1e-22, 1.09337e-05, 0.0124045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.0539607, 0.0539807, 0.147635, 0.28012, 0.324733, 0.371351, 0.440869, 0.572782, 0.680771, 0.769577, 0.816073, 0.878067, 0.923415, 0.973608, 1.04053, 1.13747, 1.23442, 1.33136, 1.52525, 1.91302, 4.84704, 5.28975");
-            values ( \
-              "1e-22, 0.269122, 0.236485, 0.207782, 0.196844, 0.183148, 0.155865, 0.0968543, 0.0584116, 0.0366172, 0.0283518, 0.0199689, 0.015329, 0.0114391, 0.00772039, 0.00423964, 0.0023702, 0.00126865, 0.000366836, 1.38681e-05, 1.88259e-05, 0.0259068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.0584643, 0.0584843, 0.2496, 0.46607, 0.583628, 0.710451, 0.776053, 0.8534, 1.12325, 1.22019, 1.30273, 1.38753, 1.48448, 1.58264, 1.71894, 1.88068, 2.07456, 2.26845, 2.46234, 2.65623, 3.044, 4.84708, 5.28955");
-            values ( \
-              "1e-22, 0.277397, 0.239615, 0.216774, 0.203308, 0.185617, 0.174158, 0.158354, 0.0989821, 0.0800342, 0.0659791, 0.0535436, 0.0417661, 0.032109, 0.0220688, 0.0139627, 0.00796633, 0.00450746, 0.0025347, 0.00141674, 0.00042993, 2.76321e-05, 0.0541246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0729796, 0.0747093, 0.0779161, 0.101192, 0.115173, 0.116336, 0.117564, 0.120021, 0.123801, 0.132625, 0.138155, 0.146264, 0.155085, 0.159172, 0.165462, 0.171018, 0.177801, 0.186844, 0.2032, 0.222363, 0.246539, 0.278105, 4.84725, 5.27965");
-            values ( \
-              "0.0156639, 0.0174758, 0.024151, 0.0921388, 0.127048, 0.128213, 0.128135, 0.125096, 0.1141, 0.075254, 0.0565076, 0.0363556, 0.0220522, 0.0174187, 0.0120062, 0.00857498, 0.00567314, 0.00321953, 0.00105925, 0.000270366, 3.34263e-05, 1e-22, 4.93189e-07, 0.00137198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.0747296, 0.0881582, 0.115774, 0.117462, 0.119713, 0.123696, 0.127373, 0.137244, 0.143713, 0.158636, 0.169581, 0.182294, 0.193791, 0.204629, 0.21067, 0.22249, 0.229168, 0.242524, 0.267873, 0.297343, 0.334596, 0.382734, 4.84721, 5.29096");
-            values ( \
-              "0.0087763, 0.0664497, 0.173456, 0.176666, 0.178042, 0.176256, 0.172036, 0.155919, 0.140607, 0.0954518, 0.0686483, 0.0450846, 0.0299733, 0.020079, 0.0159689, 0.010094, 0.00774901, 0.00452363, 0.00148008, 0.00038299, 4.78948e-05, 1e-22, 2e-22, 0.00286517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.0746475, 0.0976285, 0.112558, 0.114297, 0.116981, 0.119249, 0.120949, 0.122603, 0.123877, 0.126424, 0.13152, 0.139418, 0.161087, 0.170305, 0.177104, 0.190701, 0.212679, 0.234874, 0.24767, 0.25929, 0.268123, 0.280591, 0.290961, 0.302355, 0.318523, 0.325573, 0.339673, 0.367872, 0.413197, 0.467014, 0.534557, 0.625351, 4.8471, 5.30413");
-            values ( \
-              "0.000450076, 0.117841, 0.185679, 0.19738, 0.208877, 0.212657, 0.213836, 0.214126, 0.213995, 0.213095, 0.210064, 0.204095, 0.185728, 0.176442, 0.168404, 0.148231, 0.10996, 0.0765715, 0.0607906, 0.048714, 0.0408868, 0.0316711, 0.0254834, 0.0199526, 0.0139838, 0.0119655, 0.00872497, 0.00452257, 0.00145636, 0.000340952, 4.44735e-05, 1e-22, 2e-22, 0.00597911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.0746807, 0.119179, 0.121065, 0.124682, 0.129911, 0.137346, 0.188688, 0.225062, 0.24717, 0.27109, 0.289713, 0.339502, 0.372508, 0.399665, 0.409561, 0.428769, 0.453937, 0.477142, 0.508083, 0.53093, 0.539353, 0.5562, 0.589895, 0.657284, 0.750762, 0.847705, 0.944649, 1.13854, 4.84711, 5.30074");
-            values ( \
-              "0.00226261, 0.232878, 0.235041, 0.236576, 0.235941, 0.233368, 0.212037, 0.195358, 0.183328, 0.166652, 0.150807, 0.106059, 0.0797711, 0.0616188, 0.0558484, 0.0458395, 0.0350421, 0.0271626, 0.0191084, 0.0146978, 0.013323, 0.0109587, 0.00733562, 0.00316124, 0.000892965, 0.000234504, 5.77289e-05, 1.68453e-06, 4.28644e-06, 0.012473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.0745991, 0.12156, 0.125477, 0.130864, 0.189257, 0.29947, 0.356538, 0.401452, 0.449994, 0.487645, 0.587183, 0.654427, 0.70806, 0.76601, 0.831849, 0.862845, 0.924838, 0.986915, 1.02037, 1.08729, 1.18424, 1.28118, 1.37813, 1.47507, 1.57201, 1.7659, 2.15368, 4.84703, 5.30036");
-            values ( \
-              "0.00536472, 0.246731, 0.248882, 0.249073, 0.237613, 0.213978, 0.200638, 0.188415, 0.171618, 0.155833, 0.110712, 0.0830569, 0.0643923, 0.0479679, 0.0336847, 0.0283719, 0.0199503, 0.0139392, 0.0114586, 0.00770229, 0.00425872, 0.00235172, 0.0012874, 0.000711461, 0.000385433, 0.000113825, 7.87513e-06, 1e-22, 0.0260378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.0930654, 0.122231, 0.132699, 0.327223, 0.569026, 0.66092, 0.756907, 0.822509, 0.899857, 1.1697, 1.33505, 1.43399, 1.53093, 1.62909, 1.76539, 1.86233, 1.92713, 2.02407, 2.12101, 2.21796, 2.41184, 2.60573, 2.89656, 3.28434, 4.84668, 5.29169");
-            values ( \
-              "0.172627, 0.254123, 0.255896, 0.236352, 0.210444, 0.199415, 0.185649, 0.174127, 0.158386, 0.0989515, 0.0682945, 0.0535738, 0.0417367, 0.0321382, 0.0220978, 0.0168211, 0.0139916, 0.0105917, 0.00799493, 0.00602841, 0.00341283, 0.00192625, 0.000813429, 0.0002575, 1.08439e-06, 0.0545499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.131552, 0.143102, 0.150649, 0.15942, 0.185731, 0.204963, 0.215461, 0.219526, 0.221353, 0.225008, 0.229196, 0.24893, 0.250817, 0.252929, 0.263792, 0.271925, 0.280384, 0.285494, 0.295712, 0.31233, 0.331998, 0.356699, 0.442783, 4.84716, 5.27904");
-            values ( \
-              "0.00475593, 0.00894553, 0.0141988, 0.0228596, 0.0521776, 0.0705339, 0.0780311, 0.0799541, 0.0803983, 0.0804255, 0.0772111, 0.0360386, 0.0354682, 0.0334512, 0.0198417, 0.0124219, 0.00747464, 0.00546231, 0.0028703, 0.000917267, 0.000229903, 2.29751e-05, 1e-22, 2e-22, 0.00138955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.133403, 0.150475, 0.154155, 0.165633, 0.20043, 0.219152, 0.224413, 0.234984, 0.237512, 0.242569, 0.244954, 0.252076, 0.271367, 0.278829, 0.289862, 0.295502, 0.305223, 0.318976, 0.325801, 0.333357, 0.343432, 0.363581, 0.391389, 0.425469, 0.468741, 4.84747, 5.27447");
-            values ( \
-              "0.00793638, 0.0180022, 0.0218107, 0.0365447, 0.0869172, 0.11209, 0.118255, 0.128445, 0.130065, 0.13214, 0.131924, 0.128061, 0.0790787, 0.0625078, 0.0432419, 0.0354486, 0.0248889, 0.0147666, 0.0113591, 0.00845863, 0.00563702, 0.00243041, 0.000677908, 0.000136577, 1e-22, 2e-22, 0.00290067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.136519, 0.15712, 0.165123, 0.179513, 0.23255, 0.249372, 0.251341, 0.253173, 0.255915, 0.2614, 0.266183, 0.282856, 0.290793, 0.318536, 0.334226, 0.354667, 0.372634, 0.385754, 0.397814, 0.413894, 0.425985, 0.439344, 0.457155, 0.492777, 0.541786, 0.601914, 0.678245, 4.84761");
-            values ( \
-              "0.0124917, 0.0291104, 0.0404943, 0.064158, 0.158395, 0.183782, 0.188258, 0.190878, 0.191977, 0.189882, 0.186049, 0.168331, 0.157183, 0.109586, 0.085514, 0.0593825, 0.0419071, 0.0320519, 0.0248872, 0.0175547, 0.013464, 0.010011, 0.00667686, 0.00285135, 0.000805877, 0.000150647, 2.40865e-06, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.156963, 0.180714, 0.249072, 0.252773, 0.255265, 0.257838, 0.262983, 0.26923, 0.275625, 0.288415, 0.302116, 0.337583, 0.360534, 0.386584, 0.438224, 0.452473, 0.476655, 0.50481, 0.534115, 0.55917, 0.582119, 0.612718, 0.635911, 0.644444, 0.661509, 0.695639, 0.763898, 0.857538, 0.954482, 1.05143, 1.24531, 4.8473, 5.2907");
-            values ( \
-              "0.0503191, 0.0712664, 0.212226, 0.222764, 0.224815, 0.224889, 0.224841, 0.222029, 0.220024, 0.214043, 0.208685, 0.191583, 0.178083, 0.158193, 0.11167, 0.099405, 0.0804726, 0.061599, 0.0457289, 0.035025, 0.0272244, 0.0192145, 0.0147349, 0.0133273, 0.0109435, 0.00727899, 0.00310435, 0.000868586, 0.000233413, 5.21949e-05, 1e-22, 2e-22, 0.0126957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.157283, 0.197308, 0.252689, 0.25681, 0.266331, 0.432189, 0.523419, 0.592938, 0.724846, 0.83274, 0.921615, 0.968184, 1.07552, 1.12561, 1.28933, 1.48322, 1.58016, 1.67711, 1.77405, 1.871, 1.96794, 2.06488, 2.16183, 2.25877, 2.35571, 2.45266, 4.84757");
-            values ( \
-              "0.0359583, 0.107728, 0.237967, 0.24316, 0.243601, 0.208147, 0.183506, 0.15622, 0.0965384, 0.058128, 0.0363113, 0.0280351, 0.0150165, 0.0111364, 0.00393826, 0.000963859, 0.00103719, 6.1273e-05, 0.000540628, 1e-22, 0.000390834, 1e-22, 0.000345413, 1e-22, 0.000331361, 1e-22, 0.00031891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.155328, 0.260013, 0.36848, 0.399529, 0.40982, 0.67249, 0.76438, 0.860367, 1.00332, 1.27316, 1.48091, 1.62691, 1.70564, 1.79241, 1.97019, 2.12778, 2.22472, 2.32167, 2.41861, 2.51555, 2.6125, 2.70944, 2.80639, 2.90333, 3.00027, 3.09722, 3.19416, 3.2911, 3.38805, 3.48499, 3.58194, 3.67888, 3.77582, 3.87277, 3.96971, 4.06665, 4.84559, 5.29538");
-            values ( \
-              "0.0249292, 0.253611, 0.243174, 0.239191, 0.238893, 0.210831, 0.1998, 0.186032, 0.158766, 0.09858, 0.0612898, 0.0422092, 0.0342025, 0.0269604, 0.0162626, 0.010234, 0.00834334, 0.00567379, 0.00488498, 0.0030603, 0.00291212, 0.00157528, 0.00179371, 0.000735593, 0.00116185, 0.00026219, 0.000805491, 1e-22, 0.000604631, 1e-22, 0.000491387, 1e-22, 0.000427463, 1e-22, 0.000391286, 1e-22, 0.000346864, 0.054941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.294267, 0.322692, 0.330241, 0.339686, 0.352314, 0.364162, 0.376885, 0.391054, 0.406678, 0.413451, 0.423083, 0.426111, 0.427625, 0.429139, 0.430751, 0.433975, 0.438702, 0.441817, 0.448046, 0.459872, 0.472712, 0.478892, 0.486866, 0.493566, 0.499768, 0.509109, 0.513245, 0.517382, 0.521518, 0.525655, 0.529792, 0.533928, 0.53802, 0.542112, 0.546204, 0.550295, 0.553922, 0.557549, 0.560246, 0.562942, 0.568334, 0.573454, 0.578575, 0.588817, 0.593938, 0.599058, 0.617333, 1.00138, 1.38542, 4.43915, 4.82446");
-            values ( \
-              "0.0116014, 0.0125574, 0.0160363, 0.0211373, 0.0271689, 0.032162, 0.0369282, 0.0416824, 0.0460585, 0.0476583, 0.0495536, 0.0498546, 0.0499133, 0.0499109, 0.0498412, 0.0494936, 0.0484479, 0.0473983, 0.0444546, 0.0313437, 0.0199359, 0.015818, 0.0111975, 0.00833736, 0.00627546, 0.00379552, 0.00299713, 0.00234429, 0.00190394, 0.00156451, 0.00132602, 0.00118845, 0.00135251, 0.00148143, 0.00157521, 0.00163384, 0.00165643, 0.00165141, 0.00162544, 0.00158185, 0.00144183, 0.00101915, 0.000679621, 0.000364939, 0.000246154, 0.000153073, 3.04377e-05, 1.0164e-05, 2.01439e-09, 3.14747e-10, 0.00158088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.275059, 0.31047, 0.322778, 0.330434, 0.369597, 0.406124, 0.425356, 0.442633, 0.454917, 0.458633, 0.466066, 0.474158, 0.48969, 0.509964, 0.521472, 0.535996, 0.55002, 0.556181, 0.562941, 0.572234, 0.583201, 0.605134, 0.634103, 0.66973, 0.71496, 4.84701, 5.26944");
-            values ( \
-              "0.00655323, 0.0119742, 0.0169758, 0.0209264, 0.0443463, 0.0632305, 0.0721157, 0.0789262, 0.0826262, 0.0834365, 0.0843792, 0.0833766, 0.0707135, 0.0451769, 0.0333525, 0.0214441, 0.0133154, 0.0129518, 0.0112365, 0.00799847, 0.00518129, 0.00204139, 0.000540705, 0.000101919, 1e-22, 9.17648e-06, 0.00298166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.275117, 0.32418, 0.339349, 0.425597, 0.458853, 0.469895, 0.49204, 0.507846, 0.512662, 0.522292, 0.533259, 0.554602, 0.577813, 0.597103, 0.620317, 0.632485, 0.648709, 0.660654, 0.673872, 0.691496, 0.726743, 0.775654, 0.835601, 0.911683, 4.84707, 5.27541");
-            values ( \
-              "0.00662164, 0.0216771, 0.0305585, 0.0894475, 0.110879, 0.117333, 0.128432, 0.133596, 0.134233, 0.133432, 0.127031, 0.104569, 0.0731643, 0.0511382, 0.0320601, 0.0248413, 0.0174772, 0.0134384, 0.0100244, 0.00672061, 0.00289522, 0.000824828, 0.000151999, 5.78876e-06, 2.59889e-06, 0.00623872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.275215, 0.342069, 0.374123, 0.412339, 0.467801, 0.501944, 0.519867, 0.534477, 0.550177, 0.55726, 0.563965, 0.57135, 0.594314, 0.610017, 0.62864, 0.678427, 0.711436, 0.738591, 0.748486, 0.767693, 0.79286, 0.816064, 0.847003, 0.869847, 0.878269, 0.895112, 0.9288, 0.996175, 1.08953, 1.18647, 1.28342, 1.57425, 4.84717, 5.28085");
-            values ( \
-              "0.00386807, 0.0357312, 0.0590415, 0.0894492, 0.135665, 0.162772, 0.175816, 0.185256, 0.193987, 0.195837, 0.19499, 0.191496, 0.178043, 0.166623, 0.150818, 0.106059, 0.079775, 0.0616241, 0.0558473, 0.0458452, 0.0350406, 0.0271611, 0.0191139, 0.0146962, 0.0133286, 0.0109573, 0.00734132, 0.00315922, 0.000898879, 0.000231625, 6.14166e-05, 1.01081e-07, 4.77183e-07, 0.013024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.275391, 0.370129, 0.4332, 0.535791, 0.554601, 0.560298, 0.600919, 0.691326, 0.75567, 0.810771, 0.912418, 0.979475, 1.04249, 1.08765, 1.13583, 1.16902, 1.206, 1.24731, 1.30496, 1.37429, 1.46672, 1.56367, 1.66061, 1.75755, 1.8545, 2.04839, 2.24227, 4.84734, 5.27652");
-            values ( \
-              "0.00198738, 0.0584152, 0.113484, 0.211104, 0.22767, 0.22978, 0.221864, 0.201336, 0.183136, 0.162188, 0.116235, 0.0878438, 0.0653632, 0.0521035, 0.04043, 0.033778, 0.0275337, 0.0217979, 0.0156342, 0.0104279, 0.00595792, 0.00329643, 0.00180818, 0.000998502, 0.000542447, 0.000160764, 4.6076e-05, 2.14924e-06, 0.0271774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.275038, 0.586306, 0.737977, 0.905407, 0.997301, 1.09329, 1.15889, 1.23624, 1.50608, 1.60303, 1.71383, 1.77036, 1.86731, 1.92544, 2.01885, 2.10176, 2.19871, 2.2635, 2.36044, 2.45738, 2.55433, 2.65127, 2.84516, 3.13599, 3.42682, 4.84699, 5.27485");
-            values ( \
-              "0.00157195, 0.244156, 0.22859, 0.210446, 0.199417, 0.18565, 0.174126, 0.158386, 0.0989533, 0.0800639, 0.0616557, 0.0535716, 0.0417401, 0.0357768, 0.027805, 0.0220944, 0.0168253, 0.0139881, 0.010596, 0.00799121, 0.00603262, 0.00453193, 0.00255885, 0.00108959, 0.00045339, 1.03548e-05, 0.0567082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.495891, 0.589962, 0.621422, 0.647627, 0.667958, 0.677408, 0.690007, 0.70393, 0.737258, 0.750634, 0.76695, 0.775348, 0.788359, 0.799234, 0.821116, 0.836913, 0.851468, 0.861823, 0.881803, 0.891008, 0.916608, 0.929187, 0.945865, 0.955295, 0.970223, 0.990127, 1.02057, 1.05653, 1.21599, 1.24023, 1.27141, 1.29995, 1.35703, 1.42183, 1.51878, 1.61572, 1.71266, 1.80961, 1.90655, 2.00349, 2.10044, 2.19738, 2.29433, 2.39127, 4.84723");
-            values ( \
-              "0.000196829, 0.00127608, 0.00237123, 0.00367318, 0.00526525, 0.00630259, 0.00807782, 0.0106968, 0.0181254, 0.0206778, 0.0234005, 0.0246006, 0.0263289, 0.0275305, 0.0295694, 0.0305973, 0.0311885, 0.0312948, 0.0300254, 0.0268265, 0.0147821, 0.0103795, 0.00612278, 0.00443284, 0.00255535, 0.00110819, 0.000222023, 1e-22, 2e-22, 0.000457743, 0.000159476, 1e-22, 5.62936e-05, 1e-22, 3.64486e-05, 1e-22, 2.54717e-05, 1e-22, 1.76936e-05, 1e-22, 1.2212e-05, 1e-22, 8.35878e-06, 1e-22, 5.43577e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.589452, 0.661331, 0.682912, 0.696536, 0.712106, 0.757558, 0.779103, 0.810641, 0.841144, 0.868863, 0.888817, 0.894802, 0.906773, 0.91977, 0.944605, 0.992582, 1.00623, 1.02136, 1.03915, 1.0584, 1.07892, 1.11768, 1.1626, 1.21583, 1.23477, 1.26389, 1.29163, 1.34217, 1.39938, 1.49179, 1.58873, 1.68568, 1.78262, 1.87957, 1.97651, 2.07345, 2.1704, 2.26734, 2.36428, 4.84707, 5.2396");
-            values ( \
-              "0.00478513, 0.00741865, 0.0103875, 0.0129404, 0.0166012, 0.0290192, 0.0339765, 0.0401325, 0.045122, 0.0488905, 0.0509906, 0.0514552, 0.0522899, 0.0526123, 0.0492194, 0.0228002, 0.0168783, 0.011661, 0.00722657, 0.00411728, 0.0021083, 0.000388685, 1e-22, 2e-22, 0.000796203, 0.000535752, 1e-22, 8.07755e-05, 1e-22, 5.23394e-05, 1e-22, 3.85586e-05, 1e-22, 2.876e-05, 1e-22, 2.19372e-05, 1e-22, 1.71854e-05, 1e-22, 2e-22, 0.00321165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.608229, 0.697195, 0.731106, 0.758796, 0.803552, 0.853958, 0.890244, 0.90825, 0.952753, 0.977497, 1.00148, 1.0096, 1.02551, 1.04033, 1.10978, 1.12857, 1.15018, 1.17879, 1.19199, 1.2159, 1.22849, 1.24112, 1.25315, 1.2772, 1.30621, 1.36424, 1.45169, 4.84714, 5.24395");
-            values ( \
-              "0.00890103, 0.0170014, 0.0260252, 0.0347312, 0.0474971, 0.0600962, 0.0684118, 0.0722214, 0.0804883, 0.0838755, 0.0854916, 0.0850622, 0.0820391, 0.0753058, 0.0334559, 0.0246435, 0.0167331, 0.00951206, 0.00721119, 0.00422588, 0.00461037, 0.00403351, 0.00298932, 0.00172459, 0.000859366, 0.000180664, 1e-22, 2e-22, 0.00673169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.608155, 0.685752, 0.700431, 0.746522, 0.809751, 0.936621, 1.00795, 1.05489, 1.06612, 1.08857, 1.09853, 1.11845, 1.14143, 1.18465, 1.27462, 1.30621, 1.33682, 1.35796, 1.38727, 1.42339, 1.47154, 1.55723, 1.65417, 1.75111, 1.84806, 4.84706, 5.24362");
-            values ( \
-              "0.0142462, 0.0183159, 0.0215688, 0.0344942, 0.0551482, 0.0946596, 0.115197, 0.126423, 0.128615, 0.132145, 0.133131, 0.133429, 0.129117, 0.10781, 0.0521411, 0.0373661, 0.0267321, 0.0210696, 0.0150301, 0.00986215, 0.00550923, 0.00179626, 0.000471426, 0.000122102, 3.07174e-05, 1.56564e-07, 0.0140476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.646885, 0.760205, 0.864212, 1.05563, 1.1189, 1.15013, 1.21307, 1.22204, 1.22816, 1.2355, 1.26857, 1.31118, 1.34432, 1.45679, 1.51123, 1.56465, 1.62256, 1.67292, 1.68843, 1.71946, 1.78152, 1.82679, 1.8435, 1.87691, 1.94374, 2.04068, 2.13763, 2.23457, 2.33151, 2.42846, 2.62234, 2.91318, 4.8473, 5.24397");
-            values ( \
-              "0.0247572, 0.041631, 0.0778956, 0.149408, 0.171172, 0.180713, 0.195823, 0.196982, 0.196569, 0.194805, 0.185139, 0.169802, 0.155823, 0.105047, 0.0829615, 0.0643803, 0.0479678, 0.0366534, 0.0336767, 0.0283768, 0.0199313, 0.0153554, 0.0139326, 0.0114688, 0.00770264, 0.00426886, 0.00234762, 0.00129465, 0.000706155, 0.00039159, 0.000119464, 1.68122e-05, 1e-22, 0.0295836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.646949, 0.797215, 0.886823, 1.18017, 1.22641, 1.2471, 1.42338, 1.51525, 1.61124, 1.75419, 2.02403, 2.12098, 2.23178, 2.28832, 2.44339, 2.5368, 2.61971, 2.71666, 2.87839, 3.07227, 3.26616, 3.46005, 3.75088, 4.8473, 5.23666");
-            values ( \
-              "0.0215604, 0.0560855, 0.0898524, 0.214683, 0.230585, 0.229936, 0.21045, 0.199421, 0.185654, 0.15839, 0.0989497, 0.0800677, 0.0616526, 0.0535751, 0.0357802, 0.0278024, 0.0220974, 0.0168226, 0.0105934, 0.00603, 0.00341442, 0.00192787, 0.000811777, 2.99235e-05, 0.0617065" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0241962, 0.0244477, 0.0251219, 0.0256051, 0.0260484, 0.0266533, 0.0273894, 0.0281254, 0.0288615, 0.0296271, 0.0300099, 0.0303926, 0.0312927, 0.0323333, 0.0333753, 0.0344172, 0.0354591, 0.0363854, 0.0382966, 0.03967, 0.0410512, 0.0424716, 0.0439121, 0.0456998, 0.0479454, 0.0498596, 0.0535068, 0.0572291, 0.0585867, 0.0606197, 0.0620164, 0.0639094, 0.0657989, 0.068124, 0.0707588, 0.0728685, 0.074816, 0.0761142, 0.0787108, 0.0800091, 0.0820237, 0.0840383, 0.0860529, 0.0880676, 0.0908097, 0.0962941, 0.0990363, 0.112667, 0.196124, 0.693227, 0.78468");
-            values ( \
-              "-0.178043, -0.183383, -0.192529, -0.197345, -0.200336, -0.203204, -0.205926, -0.207298, -0.208332, -0.209048, -0.209269, -0.209398, -0.209332, -0.209024, -0.208379, -0.207517, -0.206438, -0.205261, -0.201957, -0.198914, -0.194737, -0.189264, -0.18222, -0.170748, -0.153022, -0.13524, -0.0977286, -0.066306, -0.0572535, -0.0456905, -0.0389352, -0.0307005, -0.0246381, -0.0186776, -0.0134437, -0.00999924, -0.00753496, -0.00639798, -0.00446036, -0.00365973, -0.00290422, -0.00224209, -0.00167334, -0.00119796, -0.000893659, -0.000418639, -0.000247916, -6.00024e-05, -8.51324e-06, -1.14117e-09, -0.00694074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.0242218, 0.0272317, 0.0297068, 0.0312367, 0.0329853, 0.034939, 0.0399884, 0.047729, 0.0544678, 0.0587079, 0.0618258, 0.0794676, 0.0875305, 0.091865, 0.100254, 0.110004, 0.118542, 0.133869, 0.152201, 0.175974, 3.60964");
-            values ( \
-              "-0.151342, -0.25432, -0.259037, -0.259881, -0.259853, -0.259246, -0.255838, -0.247328, -0.234393, -0.221188, -0.207789, -0.0883732, -0.0522405, -0.0388382, -0.0214265, -0.0105061, -0.00562556, -0.00155244, -0.000408328, -5.54725e-06, -5.26407e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.0240046, 0.0278845, 0.0299343, 0.0327734, 0.0345468, 0.0380935, 0.0478549, 0.0603744, 0.0675552, 0.0751522, 0.0801997, 0.0880957, 0.0938762, 0.126651, 0.141673, 0.149565, 0.15524, 0.165076, 0.175174, 0.183035, 0.198757, 0.227314, 0.261332, 0.305208, 3.60965");
-            values ( \
-              "-0.104059, -0.289334, -0.293415, -0.294939, -0.294925, -0.294033, -0.289666, -0.282148, -0.276594, -0.268941, -0.262341, -0.248369, -0.233761, -0.0993132, -0.0586323, -0.043895, -0.0354858, -0.024324, -0.0164807, -0.0121187, -0.00641995, -0.00181356, -0.000353599, -3.10959e-05, -5.53835e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.0254219, 0.0277916, 0.0312216, 0.0345558, 0.0399955, 0.0506125, 0.0735923, 0.0931978, 0.108454, 0.123276, 0.133204, 0.148713, 0.160042, 0.182171, 0.208886, 0.224667, 0.241788, 0.256615, 0.270456, 0.288911, 0.302356, 0.317333, 0.337302, 0.377241, 0.433981, 0.503458, 0.575652, 3.60964, 3.93847");
-            values ( \
-              "-0.291138, -0.309103, -0.3151, -0.316137, -0.315612, -0.313282, -0.306852, -0.299995, -0.293449, -0.285407, -0.278508, -0.264136, -0.248797, -0.200657, -0.136221, -0.104965, -0.0777764, -0.0592582, -0.0457379, -0.0320968, -0.0246626, -0.0183832, -0.0123723, -0.00530613, -0.00147906, -0.000241303, -6.13488e-05, -1e-22, -0.0166137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.0254758, 0.0278651, 0.0311404, 0.035959, 0.06184, 0.0984761, 0.135756, 0.173869, 0.223122, 0.253856, 0.274638, 0.297558, 0.422622, 0.463323, 0.502795, 0.559039, 0.59649, 0.61678, 0.65736, 0.729553, 0.801746, 0.87394, 0.946133, 1.23491, 3.60956, 3.94071");
-            values ( \
-              "-0.302383, -0.320071, -0.326009, -0.327713, -0.325561, -0.320595, -0.314374, -0.306763, -0.293912, -0.282648, -0.272431, -0.256477, -0.11342, -0.0798766, -0.0558837, -0.0329091, -0.02297, -0.0189295, -0.0126532, -0.00609009, -0.00285109, -0.00139489, -0.000616747, -3.31534e-06, -2.58901e-05, -0.034645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.0252962, 0.0291244, 0.0402831, 0.0996543, 0.174817, 0.329781, 0.410429, 0.472509, 0.537099, 0.584221, 0.648765, 0.745175, 0.841261, 0.925014, 1.04502, 1.11721, 1.24242, 1.31461, 1.459, 1.60339, 1.74777, 2.25313, 3.60932, 3.93761");
-            values ( \
-              "-0.30801, -0.32914, -0.333856, -0.331372, -0.325854, -0.311189, -0.301184, -0.29116, -0.276463, -0.260284, -0.226669, -0.166132, -0.114844, -0.0810168, -0.0475717, -0.0342054, -0.0190009, -0.0135457, -0.00679669, -0.00340484, -0.00171143, -0.000106747, -3.68147e-05, -0.0723162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0390665, 0.0416947, 0.0423926, 0.0453691, 0.0509341, 0.0520544, 0.0531502, 0.0537274, 0.0547644, 0.0557913, 0.0573223, 0.0596747, 0.0612556, 0.0637369, 0.0655725, 0.0691441, 0.0739941, 0.0766345, 0.0807258, 0.0832525, 0.085079, 0.0882304, 0.0916295, 0.0943037, 0.0996522, 0.108818, 0.11985, 0.13413, 0.153968, 0.257555, 3.60963, 3.9485");
-            values ( \
-              "-0.0231504, -0.105431, -0.113831, -0.139997, -0.182874, -0.197282, -0.201973, -0.203121, -0.203934, -0.203652, -0.202088, -0.197171, -0.192032, -0.180507, -0.169231, -0.136962, -0.088175, -0.0669193, -0.0422483, -0.0314363, -0.0252613, -0.0171744, -0.0113143, -0.00810631, -0.00408498, -0.00116129, -0.000271509, -7.25465e-05, -3.98679e-05, -1.03835e-06, -6.64417e-07, -0.0018345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.0398266, 0.0438456, 0.0531335, 0.0544488, 0.0559817, 0.0577591, 0.059532, 0.0630776, 0.0672679, 0.0699489, 0.0740029, 0.078241, 0.0813573, 0.0874304, 0.095682, 0.0989865, 0.102434, 0.107031, 0.111375, 0.114505, 0.119746, 0.125178, 0.129402, 0.137851, 0.153107, 0.171317, 0.194793, 0.22669, 3.60963, 3.94103");
-            values ( \
-              "-0.026906, -0.156532, -0.251606, -0.254975, -0.256331, -0.256286, -0.255441, -0.252499, -0.247468, -0.243247, -0.234481, -0.221266, -0.207929, -0.168248, -0.108227, -0.0885145, -0.0710613, -0.0522949, -0.0388335, -0.0311839, -0.0214189, -0.0144926, -0.01065, -0.00565119, -0.0016359, -0.000358257, -5.9766e-05, -1.24888e-05, -1e-22, -0.00383046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.0408544, 0.04636, 0.0529568, 0.0550704, 0.0562305, 0.0585507, 0.0634948, 0.072894, 0.0873012, 0.0948327, 0.0998785, 0.107772, 0.113551, 0.146315, 0.152749, 0.161328, 0.169222, 0.174897, 0.184724, 0.1948, 0.202643, 0.218329, 0.246613, 0.280348, 0.323738, 3.60964, 3.93155");
-            values ( \
-              "-0.0625209, -0.206798, -0.284637, -0.291191, -0.292377, -0.293079, -0.291713, -0.286866, -0.276637, -0.269021, -0.262429, -0.248446, -0.233814, -0.0993455, -0.0797355, -0.0586739, -0.04392, -0.0354943, -0.0243444, -0.0165057, -0.0121378, -0.00644358, -0.00184122, -0.000369799, -2.94469e-05, -1.71148e-06, -0.007994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.0417455, 0.0528707, 0.0559005, 0.0578641, 0.0603954, 0.0675787, 0.0739219, 0.0929571, 0.112546, 0.127807, 0.142628, 0.152554, 0.168062, 0.179391, 0.201518, 0.228232, 0.244012, 0.261132, 0.275958, 0.289798, 0.308252, 0.321696, 0.336671, 0.356639, 0.396574, 0.452887, 0.522008, 0.594202, 3.60956, 3.94583");
-            values ( \
-              "-0.146083, -0.304344, -0.313629, -0.314776, -0.315133, -0.313966, -0.312379, -0.306885, -0.3, -0.293434, -0.285379, -0.278593, -0.264213, -0.248755, -0.200724, -0.136188, -0.104931, -0.0777425, -0.0592996, -0.0457777, -0.0320628, -0.0247011, -0.0184203, -0.0123389, -0.00534202, -0.00146306, -0.000283066, -2.77209e-05, -1e-22, -0.0166901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.0423008, 0.0539407, 0.0579673, 0.0636471, 0.0950458, 0.129451, 0.182111, 0.212995, 0.243028, 0.263142, 0.294543, 0.317463, 0.362248, 0.416448, 0.442527, 0.483227, 0.522699, 0.541447, 0.578943, 0.616392, 0.636681, 0.67726, 0.749453, 0.821646, 0.89384, 0.966033, 1.25481, 3.60958, 3.94378");
-            values ( \
-              "-0.153176, -0.320327, -0.326749, -0.327474, -0.323976, -0.318899, -0.309231, -0.302267, -0.293932, -0.287023, -0.272417, -0.256493, -0.206716, -0.140064, -0.113409, -0.0798878, -0.0558945, -0.0470307, -0.0329198, -0.0229803, -0.0189207, -0.0126633, -0.006081, -0.00286065, -0.00138558, -0.000626126, -1.25047e-05, -1.78701e-05, -0.0348164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.0429776, 0.0552628, 0.0603093, 0.0676533, 0.119658, 0.194814, 0.271403, 0.349777, 0.430424, 0.492504, 0.557094, 0.604216, 0.66876, 0.76517, 0.861256, 0.945008, 1.00702, 1.06502, 1.13721, 1.19717, 1.26241, 1.3346, 1.4068, 1.47899, 1.62338, 1.76776, 1.98434, 2.27312, 3.60938, 3.9455");
-            values ( \
-              "-0.189337, -0.332023, -0.333802, -0.334042, -0.331454, -0.325884, -0.319153, -0.311195, -0.301188, -0.291163, -0.276466, -0.260281, -0.226674, -0.166133, -0.114847, -0.0810132, -0.061683, -0.0475769, -0.0342005, -0.0258117, -0.0190073, -0.0135398, -0.00952943, -0.00679038, -0.00339821, -0.0017045, -0.000554927, -0.00011455, -2.66417e-05, -0.0726838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0673913, 0.0900007, 0.104982, 0.110837, 0.131901, 0.146148, 0.155654, 0.167385, 0.182615, 0.205236, 0.246154, 0.318348, 0.390541, 0.462734, 0.534928, 0.607121, 0.679314, 0.751508, 0.823701, 0.895895, 0.968088, 1.04028, 1.11247, 1.18467, 1.25686, 1.3209");
-            values ( \
-              "-0.0326525, -0.117546, -0.154414, -0.141611, -0.0175976, -0.00272993, -0.000943661, -1.09528e-05, -0.00021076, -1e-22, -0.000170737, -1e-22, -0.000158131, -1e-22, -0.000157682, -1e-22, -0.000157446, -1e-22, -0.000157219, -1e-22, -0.000156993, -1e-22, -0.000156769, -1e-22, -0.000156545, -1.76882e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.0705891, 0.0766914, 0.082627, 0.0967876, 0.105119, 0.112568, 0.113793, 0.115115, 0.115297, 0.116023, 0.118875, 0.122067, 0.125257, 0.131326, 0.139575, 0.144019, 0.150896, 0.155251, 0.158388, 0.163602, 0.168976, 0.173148, 0.181492, 0.196596, 0.214615, 0.237794, 0.269178, 3.60959, 3.93952");
-            values ( \
-              "-0.0314706, -0.083483, -0.115004, -0.174892, -0.206555, -0.229847, -0.238077, -0.236934, -0.237721, -0.237013, -0.231317, -0.221403, -0.208035, -0.168308, -0.108323, -0.0824524, -0.0524066, -0.0388806, -0.0312195, -0.0214643, -0.0145771, -0.0107628, -0.00574124, -0.00168893, -0.00036237, -6.57825e-05, -3.08939e-06, -1.04364e-05, -0.00385336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.070808, 0.0823183, 0.0861527, 0.100976, 0.114285, 0.116246, 0.116889, 0.118175, 0.120746, 0.124894, 0.129609, 0.136304, 0.143883, 0.151766, 0.157544, 0.168819, 0.177239, 0.184127, 0.190296, 0.196725, 0.205297, 0.213195, 0.218874, 0.228686, 0.232872, 0.238735, 0.246554, 0.26219, 0.290302, 0.323765, 0.36676, 0.424872, 3.60963, 3.94748");
-            values ( \
-              "-0.017688, -0.127025, -0.14797, -0.21953, -0.280212, -0.285047, -0.285118, -0.285118, -0.284223, -0.281737, -0.278158, -0.271987, -0.26251, -0.248522, -0.233884, -0.189003, -0.150204, -0.121596, -0.0993951, -0.0797865, -0.0587114, -0.0439356, -0.035509, -0.0243565, -0.0207358, -0.0165275, -0.012171, -0.00646592, -0.00186814, -0.000376109, -3.52453e-05, -1e-22, -5.41764e-06, -0.008058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.0758894, 0.0931355, 0.114377, 0.117283, 0.125356, 0.143999, 0.172315, 0.187131, 0.197056, 0.212562, 0.22389, 0.246015, 0.272728, 0.285584, 0.305625, 0.325063, 0.33429, 0.352742, 0.371176, 0.381159, 0.401124, 0.441055, 0.497014, 0.565675, 0.637868, 3.60954, 3.94011");
-            values ( \
-              "-0.0957698, -0.196257, -0.305132, -0.311917, -0.310732, -0.304852, -0.293503, -0.285435, -0.27861, -0.264221, -0.248791, -0.200724, -0.13621, -0.110274, -0.0777602, -0.0544264, -0.0457686, -0.032078, -0.0223988, -0.0184102, -0.0123528, -0.005331, -0.00149084, -0.000278608, -4.09978e-05, -4.83292e-09, -0.0168514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.0755854, 0.100725, 0.114409, 0.117426, 0.125359, 0.134349, 0.162572, 0.186626, 0.226276, 0.257168, 0.287201, 0.307314, 0.338716, 0.361636, 0.40642, 0.46062, 0.486699, 0.527399, 0.56687, 0.585618, 0.623113, 0.660563, 0.680851, 0.721429, 0.793622, 0.865815, 0.938009, 1.0102, 1.0824, 1.44336, 3.60963, 3.94135");
-            values ( \
-              "-0.0921988, -0.244627, -0.318252, -0.325936, -0.325929, -0.324736, -0.320695, -0.31675, -0.30926, -0.302286, -0.293947, -0.287015, -0.272408, -0.256505, -0.206707, -0.140074, -0.113401, -0.0798971, -0.0559037, -0.0470224, -0.0329289, -0.0229893, -0.0189124, -0.0126724, -0.00607256, -0.00286939, -0.00137699, -0.000634779, -0.000317586, -1e-22, -9.38485e-06, -0.0351525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.0763178, 0.112459, 0.118669, 0.122325, 0.126814, 0.140275, 0.187627, 0.261995, 0.36989, 0.4336, 0.495407, 0.536794, 0.601384, 0.648506, 0.71305, 0.80946, 0.852033, 0.905546, 0.942155, 0.989298, 1.05131, 1.10931, 1.1815, 1.24146, 1.3067, 1.37889, 1.45109, 1.52328, 1.59547, 1.66767, 1.81205, 2.02863, 2.31741, 3.60948, 3.94552");
-            values ( \
-              "-0.104806, -0.315415, -0.334065, -0.333428, -0.333645, -0.332924, -0.329832, -0.323932, -0.313767, -0.306572, -0.298114, -0.291132, -0.276434, -0.260314, -0.226639, -0.166164, -0.141882, -0.114877, -0.0988641, -0.0809847, -0.0617116, -0.0476047, -0.034173, -0.0258392, -0.019034, -0.0135133, -0.00955585, -0.00676417, -0.00476615, -0.00337223, -0.00167877, -0.00058029, -0.000139431, -1e-22, -0.0733944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124529, 0.141496, 0.147366, 0.161395, 0.173375, 0.183671, 0.188566, 0.192943, 0.196158, 0.202228, 0.214225, 0.218571, 0.223664, 0.227225, 0.232236, 0.238918, 0.248945, 0.252094, 0.257525, 0.26284, 0.272595, 0.280944, 0.327586, 0.379852, 0.452045, 3.60966, 3.94565");
-            values ( \
-              "-0.00545874, -0.0313175, -0.0445204, -0.0662403, -0.0827463, -0.0952487, -0.0999378, -0.102582, -0.102375, -0.0922055, -0.0428254, -0.0289139, -0.0174544, -0.0119894, -0.00689908, -0.00305196, -0.00074786, -0.00243975, -0.00234642, -0.000998788, -0.000360391, -0.000102139, -1e-22, -2.68015e-05, -1e-22, -2e-22, -0.00188015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.124487, 0.158238, 0.173948, 0.189587, 0.203017, 0.209407, 0.215162, 0.219392, 0.227473, 0.245671, 0.248902, 0.260468, 0.269237, 0.27604, 0.289646, 0.306279, 0.327394, 0.35483, 3.60962");
-            values ( \
-              "-0.00107235, -0.0761335, -0.105776, -0.132391, -0.152247, -0.159317, -0.162303, -0.160236, -0.140362, -0.0577975, -0.0472028, -0.0238123, -0.0126764, -0.00766063, -0.00260342, -0.00066202, -0.000120161, -1.68616e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.124503, 0.184218, 0.207207, 0.220728, 0.232981, 0.235873, 0.24023, 0.244387, 0.248918, 0.252038, 0.255699, 0.259444, 0.26595, 0.277492, 0.281804, 0.2884, 0.294131, 0.301773, 0.309049, 0.313148, 0.321347, 0.328267, 0.335291, 0.344657, 0.363389, 0.391338, 0.425276, 0.46842, 3.60963, 3.93594");
-            values ( \
-              "-0.0026814, -0.142679, -0.188594, -0.213102, -0.231959, -0.235405, -0.239751, -0.242034, -0.242281, -0.241048, -0.233647, -0.221094, -0.193313, -0.14079, -0.12319, -0.0993356, -0.0817026, -0.062295, -0.0477597, -0.0410308, -0.0300527, -0.0230596, -0.017586, -0.012161, -0.00565208, -0.00163585, -0.0003311, -1.75802e-05, -1e-22, -0.00818764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.124482, 0.207283, 0.22686, 0.248897, 0.252045, 0.256525, 0.269903, 0.280313, 0.295165, 0.310735, 0.322064, 0.344191, 0.370904, 0.383761, 0.403802, 0.42324, 0.432466, 0.450917, 0.469351, 0.479333, 0.499296, 0.539222, 0.594944, 0.663302, 0.735495, 3.60961, 3.93363");
-            values ( \
-              "-0.00145408, -0.206748, -0.249348, -0.293859, -0.298357, -0.299702, -0.293817, -0.288364, -0.278641, -0.264212, -0.248768, -0.200725, -0.136205, -0.110273, -0.0777588, -0.054426, -0.0457706, -0.0320787, -0.0223997, -0.0184132, -0.012354, -0.00533354, -0.00150093, -0.00028478, -4.09107e-05, -1e-22, -0.0170861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.149834, 0.175258, 0.206427, 0.245983, 0.251587, 0.256247, 0.259513, 0.27903, 0.313423, 0.34099, 0.367684, 0.385398, 0.405498, 0.416219, 0.436999, 0.45992, 0.504704, 0.538323, 0.558904, 0.584983, 0.602802, 0.625682, 0.65578, 0.665153, 0.6839, 0.721395, 0.748699, 0.758843, 0.779131, 0.819706, 0.891899, 0.964092, 1.03629, 1.10848, 1.25287, 1.39725, 3.60957, 3.93933");
-            values ( \
-              "-0.107113, -0.137957, -0.214641, -0.307188, -0.31877, -0.321634, -0.320667, -0.317712, -0.311482, -0.305693, -0.299122, -0.293981, -0.287041, -0.282686, -0.272399, -0.256512, -0.206701, -0.163949, -0.14008, -0.113396, -0.0975634, -0.0799028, -0.0609268, -0.0559095, -0.0470186, -0.0329348, -0.0253611, -0.0229951, -0.0189087, -0.0126781, -0.00606825, -0.00287438, -0.00137232, -0.000639603, -0.000138077, -2.58759e-05, -4.87528e-06, -0.0359122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.149641, 0.251209, 0.253529, 0.259192, 0.387159, 0.532245, 0.594051, 0.635437, 0.700028, 0.747149, 0.811693, 0.908103, 1.00419, 1.08794, 1.14995, 1.20795, 1.28014, 1.3401, 1.40534, 1.47753, 1.54973, 1.62192, 1.76631, 1.91069, 2.12727, 2.41605, 3.60969, 3.9333");
-            values ( \
-              "-0.0858371, -0.328426, -0.331142, -0.331547, -0.321615, -0.306576, -0.298118, -0.291128, -0.276432, -0.260316, -0.226639, -0.166163, -0.114876, -0.080987, -0.06171, -0.0476031, -0.0341753, -0.0258375, -0.0190324, -0.0135156, -0.00955406, -0.00676632, -0.0033743, -0.00168081, -0.000578303, -0.000137435, -6.06333e-06, -0.0749392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.234685, 0.243668, 0.259498, 0.275328, 0.28138, 0.314713, 0.328828, 0.340839, 0.35415, 0.361516, 0.366039, 0.370946, 0.380302, 0.382713, 0.401166, 0.406583, 0.413314, 0.41982, 0.427256, 0.440636, 0.456372, 0.47653, 0.550289, 0.561366, 0.580025, 0.59801, 0.625272, 0.656324, 0.702275, 0.774049, 0.846242, 0.918436, 0.990629, 1.06282, 1.13502, 1.20721, 1.2794, 1.3516, 1.42379, 1.49598, 1.56818, 1.64037, 1.71256, 1.78476, 3.60976");
-            values ( \
-              "-0.00215934, -0.00250837, -0.00632744, -0.0123101, -0.0158977, -0.0399134, -0.0485559, -0.0553632, -0.0620009, -0.0649535, -0.0662983, -0.0671468, -0.0640465, -0.0608025, -0.0243317, -0.0164403, -0.00962655, -0.00555192, -0.00281392, -0.000679387, -8.69059e-05, -1e-22, -2e-22, -0.000634202, -0.000152125, -1e-22, -0.000102566, -1e-22, -6.38346e-05, -1e-22, -5.07886e-05, -1e-22, -4.18183e-05, -1e-22, -3.46566e-05, -1e-22, -2.8878e-05, -1e-22, -2.41842e-05, -1e-22, -2.03473e-05, -1e-22, -1.71918e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.265834, 0.290015, 0.308141, 0.317416, 0.338086, 0.358895, 0.372874, 0.38316, 0.39272, 0.394189, 0.397128, 0.398598, 0.400196, 0.401795, 0.403394, 0.404992, 0.40804, 0.411088, 0.414135, 0.417183, 0.418115, 0.423099, 0.436455, 0.442922, 0.449346, 0.454, 0.458893, 0.467434, 0.472768, 0.475435, 0.480769, 0.483436, 0.48821, 0.492984, 0.497758, 0.502532, 0.508159, 0.519415, 0.525042, 0.533768, 0.542494, 0.551221, 0.559947, 0.56689, 0.573832, 0.580408, 0.586984, 0.611824, 0.678154, 3.38495, 3.69701");
-            values ( \
-              "-0.0259894, -0.0278789, -0.0445286, -0.0524263, -0.0689583, -0.0843845, -0.093626, -0.0997591, -0.104674, -0.105182, -0.10589, -0.10609, -0.106192, -0.106172, -0.106031, -0.105769, -0.104911, -0.103596, -0.101826, -0.0996008, -0.0985044, -0.0884352, -0.0558555, -0.0420988, -0.0302338, -0.0238007, -0.0182594, -0.0108187, -0.00736152, -0.00621382, -0.00423524, -0.00340435, -0.00245406, -0.00165995, -0.00102202, -0.000540271, -0.000471267, -0.000387716, -0.000373169, -0.00038651, -0.000443499, -0.000544134, -0.000688417, -0.000617863, -0.000503093, -0.000342009, -0.0001338, -2.72692e-05, -3.84896e-06, -6.16677e-09, -0.00438393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.239668, 0.259527, 0.27477, 0.298554, 0.384358, 0.424121, 0.437514, 0.449458, 0.458183, 0.474812, 0.508023, 0.519813, 0.536174, 0.549731, 0.575218, 0.586814, 0.610006, 0.646962, 0.695988, 3.6092, 3.93546");
-            values ( \
-              "-0.0082064, -0.0116838, -0.0208395, -0.0403212, -0.121195, -0.151373, -0.159172, -0.163536, -0.163427, -0.14678, -0.0675681, -0.0465136, -0.0263188, -0.0159225, -0.00701252, -0.00441466, -0.00169133, -0.000283286, -2.24789e-05, -1e-22, -0.00846853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.275008, 0.314207, 0.351649, 0.406716, 0.465828, 0.491928, 0.507318, 0.516061, 0.533547, 0.539672, 0.549969, 0.601875, 0.621898, 0.641366, 0.669104, 0.687509, 0.717411, 0.757281, 0.812904, 0.88114, 0.953334, 3.60944, 3.91561");
-            values ( \
-              "-0.034051, -0.0603076, -0.100589, -0.155035, -0.208186, -0.227855, -0.236712, -0.239982, -0.240583, -0.237444, -0.225829, -0.110168, -0.0777256, -0.0543879, -0.0320293, -0.0223828, -0.012359, -0.00534945, -0.00150834, -0.000290613, -3.92814e-05, -2.57343e-06, -0.0178557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.274615, 0.464948, 0.553621, 0.590998, 0.633526, 0.674003, 0.696724, 0.793096, 0.834787, 0.879041, 0.925858, 0.962557, 1.00906, 1.07105, 1.14325, 1.21544, 1.35983, 3.60905, 3.91017");
-            values ( \
-              "-0.0234862, -0.224466, -0.307071, -0.29768, -0.282865, -0.259371, -0.23732, -0.122318, -0.0856639, -0.0574948, -0.0371195, -0.0263404, -0.0168356, -0.00881024, -0.00440507, -0.00188323, -0.000313719, -1e-22, -0.0372668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.308308, 0.363515, 0.467532, 0.55521, 0.561099, 0.562829, 0.593798, 0.710198, 0.790739, 0.836208, 0.874537, 0.913263, 0.964648, 1.02906, 1.16819, 1.2339, 1.30545, 1.38679, 1.42546, 1.49765, 1.57936, 1.62285, 1.69504, 1.76723, 1.83943, 1.98381, 2.1282, 2.27259, 2.56136, 3.60931, 3.92261");
-            values ( \
-              "-0.104718, -0.120033, -0.235034, -0.326684, -0.324556, -0.325184, -0.322644, -0.311319, -0.301321, -0.294263, -0.286999, -0.277466, -0.260463, -0.226589, -0.141741, -0.109209, -0.0808483, -0.0565154, -0.0477424, -0.0340362, -0.0232239, -0.0191717, -0.013377, -0.00969302, -0.00662772, -0.00323573, -0.0015423, -0.000699607, -7.30495e-05, -0.000133139, -0.0784231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.481836, 0.53876, 0.550745, 0.564995, 0.581834, 0.605386, 0.631187, 0.658411, 0.676114, 0.702035, 0.714251, 0.725094, 0.732848, 0.747687, 0.753884, 0.762386, 0.780039, 0.788622, 0.796047, 0.800858, 0.81048, 0.82797, 0.848559, 0.874666, 0.909563, 1.21619, 1.23107, 1.25591, 1.27679, 1.31856, 1.37267, 1.44487, 1.51706, 1.58925, 1.66145, 1.73364, 1.80583, 1.87803, 1.95022, 2.02241, 2.09461, 2.1668, 2.23899, 2.31119, 2.38338, 2.45557, 3.60991");
-            values ( \
-              "-0.000847978, -0.0028855, -0.00392781, -0.00543341, -0.00782641, -0.013253, -0.0209452, -0.0281113, -0.0324192, -0.0379984, -0.0401886, -0.0417238, -0.0424166, -0.0417423, -0.0389674, -0.0323678, -0.0155788, -0.00979904, -0.00635616, -0.00474919, -0.00257645, -0.000786379, -0.000204542, -9.40802e-05, -6.17326e-07, -1e-22, -0.000247601, -1.38448e-05, -1e-22, -4.23027e-05, -1e-22, -3.44505e-05, -1e-22, -2.93505e-05, -1e-22, -2.48669e-05, -1e-22, -2.10872e-05, -1e-22, -1.79752e-05, -1e-22, -1.54343e-05, -1e-22, -1.33612e-05, -1e-22, -1.16648e-05, -5.1887e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.560558, 0.608369, 0.65838, 0.688654, 0.700728, 0.713592, 0.727215, 0.738113, 0.747399, 0.756365, 0.763521, 0.767098, 0.774253, 0.777831, 0.780153, 0.782475, 0.784798, 0.78712, 0.789442, 0.791764, 0.793867, 0.795971, 0.800177, 0.80123, 0.803336, 0.807548, 0.810822, 0.815733, 0.833996, 0.844249, 0.84684, 0.852024, 0.85907, 0.864922, 0.868824, 0.872725, 0.876627, 0.880528, 0.88443, 0.888331, 0.900382, 0.912433, 0.992852, 1.07327, 1.15369, 1.23411, 1.26355, 1.33989, 1.47934, 3.42856");
-            values ( \
-              "-0.0167774, -0.018612, -0.0366476, -0.0468525, -0.0507188, -0.0546725, -0.0585514, -0.0614765, -0.063833, -0.065965, -0.0674335, -0.0680774, -0.0691843, -0.0696473, -0.0697385, -0.0697795, -0.0697705, -0.0697114, -0.0696021, -0.0694428, -0.0691884, -0.0688683, -0.0680306, -0.0676745, -0.0666122, -0.064022, -0.0612514, -0.0562094, -0.0346448, -0.0240074, -0.0216664, -0.0177353, -0.0132323, -0.00991658, -0.00810504, -0.00654609, -0.0054559, -0.0044742, -0.00360098, -0.00283625, -0.00163674, -0.000517227, -0.000738804, -0.000760028, -0.0005809, -0.000201419, -1.24046e-05, -1.935e-06, -1.43942e-07, -6.08328e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.538335, 0.593732, 0.620182, 0.689434, 0.73648, 0.765874, 0.809312, 0.829883, 0.848113, 0.861299, 0.886441, 0.8899, 0.898041, 0.939017, 0.956379, 0.969743, 0.982284, 0.991963, 1.01132, 1.04749, 1.08956, 1.14276, 1.21586, 1.22111, 1.23161, 1.23913, 1.27438, 1.38437, 3.60958, 3.89694");
-            values ( \
-              "-0.0101478, -0.0178506, -0.0265652, -0.0558127, -0.0741048, -0.0846635, -0.098529, -0.103917, -0.107495, -0.108758, -0.103329, -0.100773, -0.0931668, -0.0433184, -0.0278758, -0.0192087, -0.0133327, -0.00993602, -0.00530488, -0.00127961, -8.99256e-05, -1e-22, -2e-22, -0.00055762, -0.000708623, -0.000409931, -0.00011337, -1e-22, -1.55616e-06, -0.00928947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.537746, 0.611796, 0.619087, 0.655357, 0.734836, 0.779128, 0.825512, 0.869882, 0.909624, 0.937909, 0.946294, 0.963065, 0.981369, 1.01626, 1.02692, 1.08285, 1.10671, 1.11745, 1.13891, 1.15734, 1.1673, 1.18722, 1.21582, 1.23012, 1.25005, 1.26997, 1.30982, 1.35914, 1.43134, 1.50353, 3.60954, 3.89977");
-            values ( \
-              "-0.00736154, -0.0271655, -0.0298285, -0.0450107, -0.0815627, -0.100509, -0.119279, -0.136095, -0.149609, -0.15751, -0.159342, -0.162281, -0.162925, -0.148678, -0.13764, -0.0709187, -0.0491772, -0.0412705, -0.0285138, -0.0204863, -0.0170465, -0.0116515, -0.00652737, -0.00590939, -0.0041654, -0.00269154, -0.00124752, -0.000374107, -0.000106804, -1e-22, -2e-22, -0.0193851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.537549, 0.654114, 0.751035, 0.87512, 0.93984, 1.00183, 1.05758, 1.09041, 1.10899, 1.14615, 1.15902, 1.18406, 1.20776, 1.25177, 1.28991, 1.32438, 1.35692, 1.38591, 1.42455, 1.46045, 1.47999, 1.51906, 1.59126, 1.66345, 1.73564, 1.80784, 1.95222, 2.09661, 3.60954, 3.90163");
-            values ( \
-              "-0.00230433, -0.0472053, -0.0936456, -0.150415, -0.178305, -0.20319, -0.222796, -0.231937, -0.235642, -0.23766, -0.235238, -0.22283, -0.200858, -0.149604, -0.110084, -0.0818714, -0.0610785, -0.0467626, -0.0323941, -0.0229581, -0.0190103, -0.0129478, -0.00619333, -0.00293981, -0.00139776, -0.000657277, -0.000144892, -3.01526e-05, -2.45336e-06, -0.0404481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.608069, 0.71182, 0.820823, 0.942048, 1.07412, 1.15372, 1.19335, 1.21574, 1.22571, 1.24468, 1.27453, 1.32612, 1.35855, 1.42341, 1.44852, 1.49514, 1.60923, 1.6689, 1.7167, 1.76722, 1.83459, 1.88383, 1.91153, 1.96419, 2.03639, 2.06771, 2.13037, 2.20256, 2.27475, 2.34695, 2.41914, 2.49133, 2.63572, 2.8523, 3.14108, 3.60946, 3.89638");
-            values ( \
-              "-0.047688, -0.0765555, -0.130452, -0.188747, -0.249448, -0.283171, -0.298522, -0.306751, -0.307115, -0.305249, -0.301186, -0.293071, -0.286769, -0.269694, -0.260284, -0.237146, -0.166212, -0.132791, -0.109718, -0.0889196, -0.06638, -0.0533572, -0.0471028, -0.0370295, -0.0264566, -0.0228593, -0.0170058, -0.0120548, -0.00852852, -0.00602589, -0.00425328, -0.00300039, -0.00149105, -0.000521347, -0.000128261, -1.32796e-05, -0.0863848" \
-            );
-          }
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.205402, 0.237748, 0.302271, 0.43346, 0.704248, 1.26795", \
-            "0.211481, 0.243829, 0.308352, 0.439536, 0.710223, 1.27397", \
-            "0.226045, 0.258387, 0.322909, 0.454116, 0.724791, 1.28851", \
-            "0.257852, 0.290192, 0.354718, 0.485923, 0.756735, 1.32049", \
-            "0.312121, 0.344563, 0.409071, 0.540319, 0.810975, 1.37476", \
-            "0.398256, 0.430683, 0.495257, 0.626413, 0.897363, 1.46144" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0279483, 0.0448271, 0.0797723, 0.152607, 0.304838, 0.621932", \
-            "0.0279477, 0.0448288, 0.0797733, 0.152603, 0.304687, 0.621964", \
-            "0.0279472, 0.0448296, 0.0797665, 0.152605, 0.304669, 0.621915", \
-            "0.0279528, 0.0448277, 0.0798037, 0.152588, 0.304831, 0.621886", \
-            "0.0280357, 0.0448443, 0.0797278, 0.152575, 0.304718, 0.621978", \
-            "0.0280829, 0.0448821, 0.0797987, 0.152457, 0.304842, 0.621846" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.182107, 0.191429, 0.19602, 0.20254, 0.207874, 0.212626, 0.217055, 0.221346, 0.22563, 0.227441, 0.240295, 0.243807, 0.248653, 0.254706, 0.25949, 0.263655");
-            values ( \
-              "-0.0203759, -0.0553248, -0.0859761, -0.113969, -0.131897, -0.143913, -0.151674, -0.154349, -0.147551, -0.140572, -0.0519428, -0.0356942, -0.0206697, -0.0102835, -0.00584962, -0.00404314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.0801617, 0.0983198, 0.103242, 0.118541, 0.12548, 0.131551, 0.146911, 0.186524, 0.197143, 0.20032, 0.208795, 0.216363, 0.226914, 0.23545, 0.243054, 0.250145, 0.25703, 0.26401, 0.267141, 0.282244, 0.287398, 0.293339, 0.301831, 0.306541, 0.311697");
-            values ( \
-              "-0.00315756, -0.00336881, -0.00392249, -0.00236991, -0.00326358, -0.00327677, -1e-22, -2e-22, -0.0252281, -0.0364979, -0.0687772, -0.109747, -0.148331, -0.172067, -0.187928, -0.197397, -0.200536, -0.191245, -0.181319, -0.0927949, -0.0680561, -0.046349, -0.0259246, -0.0186498, -0.0134151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.0854339, 0.089923, 0.0978975, 0.102834, 0.10574, 0.109546, 0.112588, 0.118144, 0.131164, 0.134357, 0.138226, 0.156715, 0.172936, 0.19691, 0.210717, 0.219374, 0.226366, 0.235518, 0.239485, 0.24742, 0.265295, 0.272923, 0.286459, 0.291569, 0.301647, 0.311245, 0.314251, 0.320263, 0.326456, 0.338622, 0.342467, 0.346568, 0.363756, 0.370657, 0.377605, 0.38687, 0.39061, 0.397682, 0.403799, 0.412409, 0.415801, 0.422586, 0.436156, 0.449534");
-            values ( \
-              "-0.00459647, -0.00507329, -0.00526934, -0.00597199, -0.00560253, -0.00587069, -0.0054132, -0.00540405, -0.00784027, -0.00781323, -0.00774669, -0.00478012, -0.000100168, -1e-22, -0.00280342, -0.0194877, -0.0374005, -0.0646571, -0.0741336, -0.104444, -0.155147, -0.171936, -0.199322, -0.207312, -0.220719, -0.229722, -0.231845, -0.234498, -0.234827, -0.224811, -0.217288, -0.205604, -0.136336, -0.110486, -0.0880477, -0.0637624, -0.0557591, -0.0430379, -0.0342175, -0.024602, -0.0215959, -0.0165903, -0.00965421, -0.00630945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.146354, 0.265331, 0.300397, 0.319216, 0.348476, 0.366159, 0.395355, 0.421122, 0.445148, 0.468521, 0.491862, 0.497601, 0.515968, 0.536015, 0.562573, 0.578489, 0.591148, 0.610759, 0.638419, 0.655037, 0.67403, 0.712015, 0.729148");
-            values ( \
-              "-0.00512994, -0.0179119, -0.0754665, -0.114419, -0.163143, -0.186869, -0.221222, -0.241113, -0.254143, -0.256317, -0.245876, -0.238958, -0.203915, -0.156349, -0.102167, -0.0772483, -0.0612442, -0.0422675, -0.0245329, -0.0176338, -0.0119998, -0.00535091, -0.00417481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.167675, 0.37088, 0.483727, 0.521048, 0.558524, 0.616871, 0.668256, 0.716203, 0.76287, 0.809476, 0.821012, 0.833318, 0.945526, 0.992281, 1.04078, 1.07499, 1.09975, 1.15849, 1.22562, 1.24591");
-            values ( \
-              "-0.00787202, -0.0261295, -0.134717, -0.167558, -0.195175, -0.231113, -0.252445, -0.265477, -0.268012, -0.25678, -0.24938, -0.239147, -0.111288, -0.0739027, -0.0471401, -0.0339038, -0.0266278, -0.0148803, -0.0074107, -0.00637323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.210378, 0.365123, 0.442621, 0.48966, 0.548521, 0.625311, 0.80424, 0.889332, 1.02702, 1.07621, 1.16743, 1.2089, 1.26419, 1.30966, 1.37415, 1.4576, 1.49624, 1.54778, 1.75119, 1.85085, 1.90506, 1.97111, 2.04075, 2.10355, 2.19634, 2.28756, 2.37878, 2.41778");
-            values ( \
-              "-0.0575922, -0.00947135, -0.00572428, -0.00686399, -0.0176347, -0.0484461, -0.137068, -0.173673, -0.222014, -0.235779, -0.255752, -0.262591, -0.269551, -0.273036, -0.273913, -0.264823, -0.253336, -0.228083, -0.111643, -0.0729377, -0.0570942, -0.0421138, -0.030388, -0.022437, -0.0143066, -0.00920286, -0.00576113, -0.0048969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.202162, 0.205051, 0.210427, 0.211453, 0.21605, 0.222571, 0.227902, 0.232658, 0.237087, 0.241378, 0.24572, 0.247457, 0.260328, 0.263839, 0.268683, 0.274739, 0.279525, 0.283763");
-            values ( \
-              "-0.0204351, -0.0295442, -0.0529459, -0.0544677, -0.0866399, -0.114345, -0.131541, -0.14423, -0.151384, -0.154618, -0.147261, -0.140634, -0.0519437, -0.0357008, -0.0206803, -0.010276, -0.00585348, -0.00400898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.215765, 0.225033, 0.227978, 0.233302, 0.24001, 0.246098, 0.254642, 0.262237, 0.269329, 0.276213, 0.283089, 0.286356, 0.289728, 0.303799, 0.311224, 0.315139, 0.320359, 0.324207, 0.328582, 0.334415, 0.346082, 0.349026");
-            values ( \
-              "-0.0474875, -0.0592929, -0.0691934, -0.100048, -0.127723, -0.147946, -0.17243, -0.187619, -0.197663, -0.2003, -0.191636, -0.181191, -0.164343, -0.0807169, -0.0504905, -0.0389188, -0.0271623, -0.0207551, -0.0152554, -0.0100455, -0.0041872, -0.00364101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.105842, 0.113399, 0.121392, 0.124895, 0.127901, 0.131044, 0.134257, 0.140338, 0.147403, 0.154144, 0.161977, 0.166281, 0.171591, 0.181724, 0.192673, 0.198324, 0.21635, 0.229989, 0.23955, 0.246312, 0.255491, 0.259512, 0.267554, 0.28533, 0.292951, 0.306522, 0.311528, 0.316844, 0.321676, 0.331339, 0.334279, 0.34016, 0.346484, 0.358651, 0.362483, 0.366571, 0.37273, 0.383782, 0.390685, 0.397635, 0.406902, 0.410639, 0.417706, 0.423825, 0.432436, 0.43583, 0.442618, 0.456194, 0.46785");
-            values ( \
-              "-0.00455744, -0.00538009, -0.00540912, -0.006118, -0.00550513, -0.00585435, -0.00534919, -0.00569527, -0.00739691, -0.00796182, -0.00727659, -0.00639713, -0.00573948, -0.00350921, -0.000296478, -1e-22, -2e-22, -0.00190453, -0.0197058, -0.0370824, -0.0645037, -0.0741473, -0.104785, -0.155139, -0.171964, -0.199348, -0.207142, -0.214615, -0.220652, -0.229839, -0.231776, -0.23453, -0.234762, -0.224877, -0.217315, -0.205692, -0.182344, -0.136349, -0.110486, -0.0880419, -0.0637561, -0.0557552, -0.0430467, -0.0342191, -0.0246055, -0.0215938, -0.0165905, -0.00964875, -0.00673722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.16597, 0.267056, 0.278312, 0.290766, 0.303442, 0.348895, 0.36378, 0.386099, 0.415286, 0.441059, 0.465084, 0.488457, 0.511798, 0.51752, 0.523624, 0.535831, 0.556379, 0.582343, 0.598173, 0.610989, 0.631117, 0.651439, 0.65843, 0.674633, 0.693151, 0.730188, 0.753318");
-            values ( \
-              "-0.0215507, -0.00222678, -0.00994784, -0.0255917, -0.0459985, -0.130924, -0.155644, -0.187291, -0.220838, -0.241492, -0.253793, -0.256661, -0.245551, -0.238996, -0.229563, -0.204095, -0.155356, -0.10246, -0.0776011, -0.0613505, -0.0419242, -0.0281189, -0.0245002, -0.0177563, -0.0122046, -0.00556555, -0.00391154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.187044, 0.399552, 0.503561, 0.554484, 0.578406, 0.626249, 0.657361, 0.687935, 0.735955, 0.78262, 0.829239, 0.84071, 0.852946, 0.918231, 0.971126, 1.00313, 1.02875, 1.06867, 1.12414, 1.15724, 1.19507, 1.27072, 1.31761");
-            values ( \
-              "-0.00159747, -0.0334226, -0.134987, -0.177998, -0.195416, -0.225301, -0.24065, -0.252563, -0.265142, -0.268197, -0.256498, -0.249407, -0.239234, -0.161674, -0.105948, -0.080122, -0.0634505, -0.0436323, -0.0254083, -0.0183416, -0.012546, -0.0056567, -0.00382214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.229498, 0.57866, 0.658902, 0.815253, 0.878972, 0.977483, 1.0687, 1.15178, 1.2012, 1.29242, 1.34145, 1.38101, 1.42068, 1.47358, 1.49057, 1.52457, 1.59904, 1.687, 1.79043, 1.83499, 1.89422, 1.94667, 2.0166, 2.10454, 2.15887, 2.25009, 2.34131, 2.43252, 2.52374, 2.70617");
-            values ( \
-              "-0.0191442, -0.0208184, -0.0547988, -0.132812, -0.161069, -0.199402, -0.228344, -0.24877, -0.258163, -0.270319, -0.273513, -0.274227, -0.272641, -0.265516, -0.261535, -0.249823, -0.2093, -0.155509, -0.103186, -0.085336, -0.0656618, -0.0517659, -0.0373485, -0.0245943, -0.0189291, -0.0120947, -0.00769504, -0.00488782, -0.00309615, -0.00124063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.247635, 0.2503, 0.255907, 0.256855, 0.261453, 0.267973, 0.273303, 0.27806, 0.282488, 0.286779, 0.291122, 0.292848, 0.305731, 0.309241, 0.314084, 0.31655, 0.320141, 0.324929, 0.329961");
-            values ( \
-              "-0.0205989, -0.0289644, -0.0529811, -0.0546696, -0.086877, -0.11445, -0.131462, -0.144292, -0.151343, -0.154646, -0.147207, -0.140682, -0.0519403, -0.0357016, -0.020684, -0.0155652, -0.0102745, -0.00585252, -0.0036615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.260911, 0.271252, 0.273307, 0.277415, 0.287081, 0.299959, 0.30757, 0.314662, 0.321546, 0.328527, 0.331687, 0.335058, 0.349125, 0.356555, 0.360476, 0.365704, 0.370999, 0.379735, 0.391382, 0.397179");
-            values ( \
-              "-0.0430639, -0.0631259, -0.0683944, -0.0938526, -0.134011, -0.172308, -0.187692, -0.197583, -0.200374, -0.191389, -0.181194, -0.164359, -0.080752, -0.0504974, -0.0389096, -0.0271406, -0.0187413, -0.0100549, -0.00419849, -0.00311958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.150615, 0.168934, 0.184702, 0.198301, 0.220108, 0.237979, 0.261392, 0.275003, 0.284705, 0.291639, 0.3047, 0.318948, 0.338135, 0.351394, 0.365237, 0.370111, 0.379455, 0.385149, 0.391661, 0.403829, 0.407646, 0.411717, 0.428957, 0.442814, 0.455818, 0.469, 0.481007, 0.487799, 0.501381, 0.518317");
-            values ( \
-              "-0.0044503, -0.00601578, -0.00559211, -0.00791573, -0.00508812, -0.000218371, -1e-22, -0.0016342, -0.0197003, -0.0375213, -0.0744234, -0.123482, -0.172259, -0.198555, -0.218749, -0.224202, -0.231853, -0.234361, -0.234873, -0.224748, -0.217345, -0.20579, -0.13636, -0.0880377, -0.0557533, -0.0342237, -0.0215943, -0.016588, -0.00964532, -0.00541638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.210404, 0.33001, 0.365888, 0.386112, 0.411158, 0.431657, 0.460846, 0.486617, 0.510643, 0.534015, 0.557356, 0.563095, 0.581458, 0.628059, 0.656638, 0.676271, 0.703916, 0.720517, 0.739489, 0.769442");
-            values ( \
-              "-0.00576007, -0.0169113, -0.0756001, -0.117019, -0.158863, -0.18689, -0.221217, -0.241123, -0.254143, -0.256321, -0.245877, -0.23896, -0.203923, -0.102179, -0.0612493, -0.0422523, -0.024531, -0.0176395, -0.012008, -0.00676049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.232022, 0.446898, 0.548702, 0.62356, 0.669268, 0.706726, 0.733078, 0.781094, 0.827757, 0.874377, 0.885839, 0.898066, 1.01618, 1.07384, 1.11402, 1.16932, 1.20221, 1.2398, 1.31498, 1.31581");
-            values ( \
-              "-4.46199e-05, -0.0352954, -0.135148, -0.195557, -0.224007, -0.242391, -0.252654, -0.265045, -0.268283, -0.256408, -0.24942, -0.239241, -0.106024, -0.0634763, -0.0435453, -0.0254008, -0.0183724, -0.0125989, -0.00571128, -0.00567841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.275082, 0.62574, 0.706202, 0.844487, 0.921133, 1.0233, 1.08901, 1.142, 1.23321, 1.27467, 1.34513, 1.3755, 1.43994, 1.5273, 1.55467, 1.59118, 1.78783, 1.8452, 1.94915, 2.04395, 2.14988, 2.20985, 2.30107, 2.39228, 2.4835, 2.52202");
-            values ( \
-              "-0.0187016, -0.021261, -0.0556142, -0.125085, -0.159703, -0.199542, -0.220982, -0.235644, -0.255858, -0.262691, -0.270907, -0.272925, -0.274012, -0.263851, -0.25595, -0.240361, -0.125658, -0.0992709, -0.062879, -0.0406912, -0.0245807, -0.0184584, -0.0117401, -0.00751803, -0.00472541, -0.00423425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.3476, 0.356795, 0.361387, 0.367909, 0.373245, 0.377998, 0.382427, 0.386718, 0.391003, 0.392812, 0.40567, 0.409182, 0.414028, 0.420081, 0.424865, 0.429995");
-            values ( \
-              "-0.020672, -0.0552539, -0.0859776, -0.113989, -0.131809, -0.143947, -0.151591, -0.154393, -0.147474, -0.140564, -0.0519438, -0.0356959, -0.0206721, -0.0102799, -0.00585221, -0.00362409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.361949, 0.370221, 0.371946, 0.373678, 0.37541, 0.377142, 0.379193, 0.381245, 0.385903, 0.388541, 0.391795, 0.393928, 0.39606, 0.398192, 0.400325, 0.402227, 0.40413, 0.406033, 0.407936, 0.409708, 0.411481, 0.413254, 0.415026, 0.416748, 0.418469, 0.42019, 0.421912, 0.423631, 0.42535, 0.427069, 0.428892, 0.429414, 0.430144, 0.431605, 0.432857, 0.433691, 0.435361, 0.436643, 0.440492, 0.444341, 0.449328, 0.452259, 0.454529, 0.4568, 0.459726, 0.461733, 0.463424, 0.465115, 0.468646, 0.471686");
-            values ( \
-              "-0.0559316, -0.0565379, -0.0633145, -0.0708196, -0.0814685, -0.0911662, -0.101074, -0.110343, -0.12873, -0.138442, -0.149728, -0.156219, -0.162332, -0.168066, -0.173423, -0.177844, -0.181991, -0.185863, -0.189461, -0.192567, -0.195435, -0.198064, -0.200456, -0.200009, -0.199362, -0.198516, -0.197471, -0.196227, -0.194785, -0.193144, -0.191161, -0.189921, -0.187751, -0.182265, -0.176857, -0.172919, -0.164246, -0.15686, -0.131916, -0.108388, -0.0808534, -0.067871, -0.0588979, -0.0508702, -0.0416846, -0.0361365, -0.0323193, -0.0288209, -0.0226619, -0.0179073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.247301, 0.2694, 0.28239, 0.301901, 0.320151, 0.337956, 0.361136, 0.374622, 0.385074, 0.391047, 0.40474, 0.419004, 0.438177, 0.453408, 0.466893, 0.479508, 0.491748, 0.504166, 0.509892, 0.542444, 0.554868, 0.567776, 0.581061, 0.589086, 0.605136, 0.608996");
-            values ( \
-              "-0.00351706, -0.00540293, -0.00531394, -0.00779442, -0.00516306, -0.000255339, -1e-22, -0.00112603, -0.0205026, -0.0358266, -0.0748635, -0.12423, -0.172795, -0.202031, -0.220858, -0.231698, -0.235254, -0.22435, -0.211708, -0.0893927, -0.0579208, -0.0359716, -0.0216168, -0.0158117, -0.00827227, -0.00744924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.311197, 0.42664, 0.450486, 0.494418, 0.515803, 0.531581, 0.560771, 0.586536, 0.610559, 0.633931, 0.657271, 0.662995, 0.681311, 0.701809, 0.727831, 0.743669, 0.75647, 0.776547, 0.803894, 0.820138, 0.838702, 0.875831, 0.894937");
-            values ( \
-              "-0.00943933, -0.0130466, -0.0488713, -0.131226, -0.165521, -0.187424, -0.220786, -0.2416, -0.253726, -0.256752, -0.245481, -0.239004, -0.204085, -0.155453, -0.102432, -0.077567, -0.0613405, -0.0419579, -0.0245034, -0.0177447, -0.0121843, -0.00554459, -0.00418367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.33399, 0.537106, 0.649168, 0.689456, 0.723945, 0.782296, 0.833682, 0.881627, 0.928294, 0.974899, 0.986438, 0.998746, 1.11096, 1.15794, 1.20595, 1.23982, 1.26499, 1.32464, 1.39281, 1.41155");
-            values ( \
-              "-0.00737841, -0.0268189, -0.1348, -0.16992, -0.195228, -0.231072, -0.252498, -0.265433, -0.268063, -0.256734, -0.249381, -0.239143, -0.111274, -0.0737488, -0.0472514, -0.0341002, -0.0266769, -0.0147712, -0.00727098, -0.00632996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.377933, 0.777595, 0.942846, 1.025, 1.12347, 1.16696, 1.24223, 1.3471, 1.44525, 1.48686, 1.54028, 1.6315, 1.66806, 1.70326, 1.82996, 1.92346, 1.9879, 2.04268, 2.08752, 2.14108, 2.21663, 2.26516, 2.35554, 2.44675, 2.53797, 2.62919, 2.7204, 2.90284");
-            values ( \
-              "-0.00137039, -0.0420495, -0.124296, -0.161337, -0.199584, -0.214151, -0.235802, -0.258279, -0.27094, -0.273569, -0.273935, -0.262993, -0.250997, -0.234063, -0.157185, -0.108805, -0.0827347, -0.0649347, -0.053002, -0.0413159, -0.0289035, -0.022902, -0.014787, -0.00945431, -0.0059789, -0.00382147, -0.00239232, -0.000948503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.550147, 0.557084, 0.561347, 0.566015, 0.572595, 0.577961, 0.582732, 0.587174, 0.591475, 0.59577, 0.597506, 0.600639, 0.607335, 0.61045, 0.613962, 0.618807, 0.621277, 0.624873, 0.629667, 0.638573, 0.649065, 0.662493");
-            values ( \
-              "-0.000709579, -0.0370902, -0.0543852, -0.0849956, -0.113264, -0.131225, -0.143469, -0.151228, -0.154069, -0.147291, -0.140703, -0.121786, -0.0706681, -0.0518967, -0.0356723, -0.0206663, -0.0155558, -0.010266, -0.00583949, -0.00198213, -0.000657652, -0.000242793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.561409, 0.570898, 0.576465, 0.578239, 0.585799, 0.596354, 0.604889, 0.612503, 0.619597, 0.626484, 0.633466, 0.636587, 0.639915, 0.653655, 0.661205, 0.667046, 0.671914, 0.678192, 0.68237, 0.690725, 0.705947, 0.723994");
-            values ( \
-              "-0.0164799, -0.0411802, -0.0642102, -0.0689747, -0.109706, -0.148252, -0.171952, -0.187853, -0.197302, -0.200498, -0.191215, -0.181326, -0.164819, -0.0827542, -0.0514332, -0.0348318, -0.024858, -0.0160083, -0.011895, -0.00647093, -0.00198053, -0.000529454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.438722, 0.485737, 0.506475, 0.525691, 0.544782, 0.567878, 0.580394, 0.59496, 0.609631, 0.62386, 0.643039, 0.656229, 0.670529, 0.684354, 0.694734, 0.700276, 0.707667, 0.711432, 0.716452, 0.747687, 0.761694, 0.77385, 0.785874, 0.792681, 0.806295, 0.814448");
-            values ( \
-              "-0.00217457, -0.00430045, -0.0074011, -0.00505761, -1e-22, -2e-22, -0.00251021, -0.0334212, -0.0746401, -0.123637, -0.172345, -0.198421, -0.219237, -0.231858, -0.235136, -0.233417, -0.226322, -0.219737, -0.206159, -0.0880616, -0.0537052, -0.034299, -0.02165, -0.0165222, -0.00968256, -0.00760892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.515108, 0.634514, 0.646147, 0.667757, 0.699213, 0.720216, 0.736366, 0.765552, 0.791312, 0.815334, 0.838704, 0.862043, 0.867779, 0.873898, 0.886135, 0.906266, 0.932723, 0.948624, 0.96131, 0.981007, 1.00156, 1.00861, 1.02516, 1.04407, 1.08189, 1.13934, 1.20958");
-            values ( \
-              "-0.00562183, -0.016807, -0.033482, -0.0706546, -0.131106, -0.165201, -0.187306, -0.220978, -0.24147, -0.253889, -0.256622, -0.245625, -0.238989, -0.229524, -0.203961, -0.156181, -0.102219, -0.077309, -0.0612628, -0.0422102, -0.028183, -0.0245277, -0.017655, -0.0120342, -0.00538707, -0.00141563, -0.000250397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.53859, 0.737371, 0.764896, 0.862178, 0.908166, 0.961304, 0.986372, 1.03793, 1.08585, 1.13252, 1.17914, 1.19062, 1.20287, 1.22737, 1.2678, 1.30228, 1.32115, 1.35322, 1.37872, 1.41823, 1.45976, 1.47398, 1.5074, 1.5456, 1.62199, 1.71321, 1.80442");
-            values ( \
-              "-0.0101442, -0.0236611, -0.0472968, -0.142885, -0.180878, -0.216836, -0.230581, -0.252734, -0.265074, -0.268313, -0.25642, -0.24942, -0.239209, -0.212085, -0.162065, -0.123845, -0.105834, -0.0799842, -0.0634061, -0.0437723, -0.0292056, -0.0254234, -0.0182914, -0.0124654, -0.0055698, -0.00205723, -0.000765103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.579642, 0.93068, 1.01313, 1.1665, 1.22586, 1.28633, 1.39573, 1.44681, 1.53803, 1.57954, 1.64996, 1.6801, 1.74477, 1.83599, 1.87399, 1.90863, 2.03334, 2.12865, 2.19335, 2.24768, 2.34544, 2.42114, 2.46998, 2.56112, 2.65233, 2.74355, 2.83477, 3.10842");
-            values ( \
-              "-0.0185891, -0.0212562, -0.0566585, -0.133198, -0.159475, -0.184176, -0.221575, -0.23562, -0.255879, -0.262718, -0.270926, -0.272897, -0.27403, -0.262936, -0.250561, -0.233619, -0.157878, -0.108624, -0.0825057, -0.0648145, -0.0414186, -0.0288985, -0.0229163, -0.0147498, -0.00938775, -0.00597857, -0.00377952, -0.000969047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.970806, 0.974691, 0.979059, 0.980423, 0.985107, 0.989018, 0.997078, 1.00186, 1.00631, 1.01062, 1.01497, 1.01665, 1.02961, 1.03312, 1.03797, 1.04404, 1.04883, 1.05526");
-            values ( \
-              "-0.0191473, -0.0313861, -0.0509318, -0.0524542, -0.0845236, -0.102795, -0.131099, -0.143064, -0.151074, -0.153793, -0.147061, -0.140607, -0.0518719, -0.0356496, -0.0206462, -0.0102664, -0.00583202, -0.00305759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.984218, 0.991698, 0.997115, 1.00469, 1.01095, 1.02382, 1.03144, 1.03854, 1.04543, 1.05242, 1.05551, 1.05881, 1.06835, 1.07481, 1.08263, 1.08799, 1.09303, 1.09955, 1.10824, 1.12289, 1.14027, 1.16227");
-            values ( \
-              "-0.0477141, -0.048783, -0.0687548, -0.109355, -0.133481, -0.171784, -0.187633, -0.197183, -0.200354, -0.191152, -0.181373, -0.165109, -0.105678, -0.072409, -0.0437413, -0.0303964, -0.0213767, -0.0134854, -0.00714566, -0.0022991, -0.000640991, -0.00016103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.833455, 0.900665, 0.91735, 0.925402, 0.941521, 0.961716, 0.967867, 0.984608, 0.998481, 1.01006, 1.01386, 1.02878, 1.043, 1.06218, 1.07741, 1.09089, 1.1035, 1.11575, 1.12816, 1.1339, 1.16646, 1.17887, 1.19176, 1.20104, 1.21309, 1.23049, 1.23378");
-            values ( \
-              "-0.00131605, -0.00313696, -0.00601799, -0.00665644, -0.00548534, -0.000648417, -1e-22, -2e-22, -0.00148244, -0.0232341, -0.0329683, -0.0751799, -0.12426, -0.172783, -0.201999, -0.220824, -0.231758, -0.235212, -0.22436, -0.211693, -0.08934, -0.0579016, -0.0359882, -0.0252298, -0.015805, -0.00820275, -0.00729081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.934934, 1.05638, 1.0679, 1.08992, 1.10573, 1.11834, 1.14122, 1.15549, 1.18465, 1.21042, 1.21585, 1.22538, 1.23435, 1.24486, 1.25767, 1.28092, 1.28827, 1.29611, 1.30795, 1.32923, 1.34262, 1.35627, 1.37445, 1.38146, 1.39474, 1.40672, 1.42352, 1.43017, 1.44347, 1.47008, 1.52107, 1.5806");
-            values ( \
-              "-0.00230886, -0.0204486, -0.0379513, -0.0762521, -0.109025, -0.131145, -0.168127, -0.187301, -0.221079, -0.241438, -0.245269, -0.249683, -0.253347, -0.255954, -0.256421, -0.24538, -0.236763, -0.223407, -0.197272, -0.147143, -0.119032, -0.0945235, -0.0681656, -0.0598855, -0.0466507, -0.0370339, -0.0266155, -0.0233368, -0.0178974, -0.0103609, -0.00331021, -0.000820706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.95277, 1.1608, 1.20238, 1.2726, 1.30009, 1.34741, 1.40581, 1.45719, 1.50514, 1.55181, 1.59842, 1.60994, 1.62223, 1.64681, 1.68613, 1.73437, 1.77968, 1.80399, 1.83137, 1.86788, 1.88989, 1.91242, 1.94245, 2.00251, 2.09373, 2.18495");
-            values ( \
-              "-0.00636891, -0.0269373, -0.0644401, -0.134933, -0.159115, -0.195422, -0.230825, -0.252718, -0.265201, -0.268277, -0.256516, -0.249407, -0.239157, -0.211897, -0.163203, -0.111375, -0.0749528, -0.0599942, -0.046409, -0.0326075, -0.0263109, -0.0210927, -0.0156414, -0.00843614, -0.0031269, -0.00115973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.998111, 1.34821, 1.43031, 1.5948, 1.68602, 1.74791, 1.81689, 1.86655, 1.95776, 1.99916, 2.05436, 2.10022, 2.16444, 2.25565, 2.29357, 2.32824, 2.45306, 2.54827, 2.61295, 2.66731, 2.7651, 2.84079, 2.88962, 2.98071, 3.07192, 3.16314, 3.25436, 3.52801");
-            values ( \
-              "-0.0186896, -0.0207188, -0.0556167, -0.137298, -0.176322, -0.199672, -0.221963, -0.235847, -0.255816, -0.262643, -0.269565, -0.273067, -0.273954, -0.263057, -0.250527, -0.233723, -0.157911, -0.1086, -0.0824859, -0.0648526, -0.041386, -0.0289263, -0.0228945, -0.0147322, -0.0094115, -0.00595911, -0.00380129, -0.000949231" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00302507, 0.00302511, 0.00302516, 0.0030252, 0.00302522, 0.00302523", \
-            "0.00362213, 0.00362214, 0.00362216, 0.00362218, 0.00362219, 0.0036222", \
-            "0.00398429, 0.00398429, 0.00398429, 0.00398429, 0.0039843, 0.00398431", \
-            "0.00419769, 0.00419768, 0.00419768, 0.00419768, 0.00419768, 0.00419769", \
-            "0.00431078, 0.00431077, 0.00431077, 0.00431077, 0.00431076, 0.00431076", \
-            "0.00437212, 0.00437212, 0.00437212, 0.00437212, 0.00437211, 0.00437211" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00435479, 0.00435476, 0.00435474, 0.00435474, 0.00435475, 0.00435475", \
-            "0.00482568, 0.00482563, 0.00482558, 0.00482556, 0.00482555, 0.00482555", \
-            "0.00506955, 0.00506949, 0.00506943, 0.00506937, 0.00506933, 0.00506931", \
-            "0.00530461, 0.0053046, 0.00530451, 0.00530441, 0.00530433, 0.00530429", \
-            "0.00565613, 0.00565623, 0.00565636, 0.00565645, 0.0056565, 0.00565651", \
-            "0.00653743, 0.00653375, 0.0065285, 0.00652487, 0.00652303, 0.00652223" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.199342, 0.231363, 0.295553, 0.426609, 0.69751, 1.26129", \
-            "0.205433, 0.237454, 0.301664, 0.4327, 0.703579, 1.26736", \
-            "0.219975, 0.251991, 0.316182, 0.447258, 0.718137, 1.28207", \
-            "0.251786, 0.283793, 0.347976, 0.479047, 0.749988, 1.3138", \
-            "0.30594, 0.337972, 0.402232, 0.533291, 0.804108, 1.36811", \
-            "0.39126, 0.423351, 0.487621, 0.618734, 0.889641, 1.45398" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0278121, 0.0446935, 0.0797695, 0.152659, 0.304928, 0.62206", \
-            "0.0278123, 0.0446459, 0.0797093, 0.15266, 0.304927, 0.622066", \
-            "0.0278126, 0.0446973, 0.0796927, 0.152655, 0.304924, 0.622033", \
-            "0.0278165, 0.044697, 0.0797099, 0.152651, 0.304917, 0.622068", \
-            "0.0278482, 0.044723, 0.0797016, 0.152641, 0.304749, 0.622051", \
-            "0.0279307, 0.0446925, 0.0797058, 0.15253, 0.305018, 0.621972" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.177126, 0.185498, 0.190013, 0.196465, 0.201759, 0.206482, 0.210889, 0.215162, 0.219428, 0.221325, 0.233235, 0.238171, 0.244702, 0.248832, 0.254339, 0.263278, 0.263754");
-            values ( \
-              "-0.02302, -0.0570585, -0.0871555, -0.114979, -0.132782, -0.144694, -0.152401, -0.154906, -0.148048, -0.140559, -0.0566377, -0.0334912, -0.0159269, -0.00986861, -0.00514653, -0.00175391, -0.00172381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.186788, 0.194372, 0.201672, 0.209172, 0.214307, 0.224021, 0.231074, 0.235698, 0.242768, 0.247566, 0.249629, 0.25303, 0.256558, 0.263584, 0.273591, 0.279234, 0.285857, 0.293152, 0.301798, 0.307246, 0.315279, 0.330162, 0.348137");
-            values ( \
-              "-0.0309978, -0.0411734, -0.0692587, -0.110324, -0.130728, -0.161997, -0.179498, -0.188426, -0.198, -0.200808, -0.200672, -0.197994, -0.191506, -0.161917, -0.0997293, -0.0715412, -0.0465684, -0.0285673, -0.015398, -0.010614, -0.00574288, -0.0019706, -0.000388673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.0863607, 0.100544, 0.109123, 0.117254, 0.123226, 0.133793, 0.138256, 0.151056, 0.165741, 0.199845, 0.206115, 0.210779, 0.219914, 0.232424, 0.247019, 0.26611, 0.27931, 0.2933, 0.302669, 0.307357, 0.316732, 0.319578, 0.32527, 0.331932, 0.344439, 0.363708, 0.370937, 0.382929, 0.395473, 0.404832, 0.410806, 0.420405, 0.431551, 0.442349");
-            values ( \
-              "-0.00479127, -0.00576318, -0.0073125, -0.00783012, -0.00922726, -0.00862006, -0.00708298, -0.00482388, -0.000238243, -1e-22, -0.00587446, -0.014964, -0.0380692, -0.0733714, -0.124219, -0.172956, -0.198804, -0.219214, -0.228674, -0.231969, -0.235271, -0.234793, -0.232266, -0.22431, -0.188402, -0.111021, -0.0875925, -0.0575595, -0.0362733, -0.0253042, -0.0201625, -0.0138909, -0.00887777, -0.00620121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.138185, 0.255471, 0.277315, 0.322085, 0.34337, 0.359266, 0.388467, 0.414252, 0.438288, 0.461671, 0.485023, 0.49072, 0.50895, 0.530266, 0.55533, 0.571033, 0.584084, 0.607578, 0.625912, 0.645233, 0.660074, 0.689756, 0.723944");
-            values ( \
-              "-0.00822171, -0.0142311, -0.0473815, -0.131281, -0.165187, -0.187406, -0.220575, -0.241544, -0.253522, -0.25671, -0.245323, -0.238966, -0.204313, -0.153829, -0.102889, -0.0781249, -0.0615071, -0.0393866, -0.0274674, -0.0187357, -0.0139008, -0.00750368, -0.0041499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.165454, 0.370081, 0.476398, 0.551201, 0.609565, 0.660994, 0.708957, 0.755637, 0.802256, 0.813754, 0.82602, 0.944409, 1.00192, 1.04103, 1.09705, 1.1308, 1.16937, 1.24439");
-            values ( \
-              "-0.00404925, -0.0315908, -0.13477, -0.195159, -0.230919, -0.252439, -0.265308, -0.268032, -0.256644, -0.249367, -0.239187, -0.105706, -0.0633654, -0.0439089, -0.0254336, -0.0182429, -0.0123804, -0.00567593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.19926, 0.54945, 0.62959, 0.797233, 0.950651, 1.01985, 1.06935, 1.17441, 1.25861, 1.3003, 1.35588, 1.39026, 1.42624, 1.46363, 1.53841, 1.70469, 1.7652, 1.8423, 1.96642, 2.07746, 2.18169, 2.27066, 2.45271, 2.45308");
-            values ( \
-              "-0.0194477, -0.0200846, -0.0538941, -0.137096, -0.199606, -0.221807, -0.235809, -0.258336, -0.269696, -0.272913, -0.274043, -0.272737, -0.269177, -0.261385, -0.229765, -0.130948, -0.102376, -0.0733657, -0.0418563, -0.0245884, -0.0150048, -0.00954721, -0.00381111, -0.00380734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.196664, 0.203793, 0.205467, 0.209986, 0.216438, 0.221733, 0.226455, 0.230862, 0.235135, 0.239462, 0.241314, 0.243288, 0.253299, 0.258065, 0.261228, 0.26453, 0.268195, 0.271072, 0.276825, 0.283912");
-            values ( \
-              "-0.0152607, -0.0513297, -0.0559989, -0.0870115, -0.114972, -0.13276, -0.144747, -0.152326, -0.155006, -0.147818, -0.140479, -0.129126, -0.0561103, -0.0337703, -0.0236972, -0.0161864, -0.0106126, -0.00759873, -0.00386724, -0.0019449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.206461, 0.214526, 0.219031, 0.221844, 0.227097, 0.233824, 0.239822, 0.244548, 0.25253, 0.259562, 0.26294, 0.266431, 0.269786, 0.276608, 0.278796, 0.284481, 0.290644, 0.298218, 0.305416, 0.309523, 0.314217, 0.321835, 0.32701, 0.33736, 0.352825, 0.371646");
-            values ( \
-              "-0.0268245, -0.0410376, -0.0604005, -0.0697581, -0.100763, -0.128703, -0.149215, -0.162845, -0.182106, -0.194038, -0.197933, -0.200411, -0.200685, -0.192014, -0.185656, -0.157301, -0.117921, -0.0765882, -0.0483556, -0.0367482, -0.026576, -0.0155723, -0.0107573, -0.00499625, -0.00151173, -0.000397983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.106688, 0.124969, 0.143847, 0.152593, 0.165143, 0.169556, 0.185713, 0.219328, 0.225779, 0.23043, 0.239168, 0.251182, 0.267084, 0.286174, 0.30136, 0.314828, 0.327435, 0.339659, 0.352074, 0.357914, 0.383988, 0.390659, 0.402948, 0.415474, 0.424837, 0.434533, 0.441957, 0.456807, 0.467536");
-            values ( \
-              "-0.00477979, -0.00625547, -0.00925086, -0.00880158, -0.00566508, -0.00514088, -0.000258392, -1e-22, -0.00522968, -0.0140598, -0.0358167, -0.0696722, -0.124307, -0.172921, -0.202144, -0.220916, -0.232078, -0.235164, -0.224474, -0.211405, -0.109998, -0.0884681, -0.0575691, -0.0362569, -0.0253383, -0.017437, -0.0130392, -0.00717458, -0.00522274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.160892, 0.274551, 0.295466, 0.342138, 0.363199, 0.379321, 0.408524, 0.434307, 0.458343, 0.481726, 0.505077, 0.510777, 0.529016, 0.550258, 0.575409, 0.591124, 0.604153, 0.627616, 0.645957, 0.665306, 0.680171, 0.709901, 0.743284");
-            values ( \
-              "-0.00973983, -0.0131885, -0.0441225, -0.131111, -0.164999, -0.18726, -0.220713, -0.241406, -0.253656, -0.256577, -0.245452, -0.238958, -0.204285, -0.153975, -0.102845, -0.0780725, -0.0614935, -0.0393978, -0.0274746, -0.0187309, -0.0138873, -0.00749102, -0.00422019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.179887, 0.377692, 0.404748, 0.496299, 0.571117, 0.629405, 0.680914, 0.728876, 0.775558, 0.822177, 0.833663, 0.845915, 0.964208, 1.02177, 1.06126, 1.11702, 1.15047, 1.1887, 1.26516, 1.27005");
-            values ( \
-              "-0.0115761, -0.0219002, -0.0446469, -0.135068, -0.195438, -0.2306, -0.252711, -0.265042, -0.268292, -0.256387, -0.249396, -0.239191, -0.10582, -0.063401, -0.0437832, -0.025424, -0.0182865, -0.0124583, -0.00556212, -0.00537415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.225199, 0.379321, 0.457156, 0.503738, 0.563243, 0.638637, 0.776767, 0.899147, 1.04064, 1.08957, 1.1806, 1.22268, 1.29272, 1.37622, 1.41021, 1.44632, 1.48384, 1.55889, 1.76473, 1.87172, 1.97285, 2.03532, 2.09633, 2.18096, 2.26439, 2.40518");
-            values ( \
-              "-0.0578741, -0.00946091, -0.00577558, -0.0068776, -0.0181702, -0.0484326, -0.117731, -0.172319, -0.222143, -0.235651, -0.255761, -0.2627, -0.270861, -0.274185, -0.272891, -0.269062, -0.26152, -0.229488, -0.111662, -0.0705232, -0.044505, -0.0332136, -0.0248583, -0.0165413, -0.0109387, -0.00588905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.242075, 0.250963, 0.254021, 0.261934, 0.267229, 0.271952, 0.276359, 0.280631, 0.284897, 0.286809, 0.298798, 0.303559, 0.310022, 0.31659, 0.322369, 0.32545");
-            values ( \
-              "-0.0217977, -0.0559738, -0.07911, -0.114875, -0.132854, -0.144648, -0.152423, -0.154905, -0.148034, -0.140489, -0.0561066, -0.0337813, -0.0161887, -0.00758373, -0.00384099, -0.00301246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.254883, 0.267135, 0.274648, 0.284416, 0.291662, 0.296553, 0.304846, 0.308246, 0.315108, 0.318509, 0.322037, 0.329063, 0.342957, 0.351375, 0.358053, 0.368783, 0.376609, 0.388317, 0.389846");
-            values ( \
-              "-0.0436925, -0.0690981, -0.110165, -0.146638, -0.16801, -0.179584, -0.193837, -0.198084, -0.200756, -0.197893, -0.191591, -0.161823, -0.0793854, -0.0463999, -0.029768, -0.0137709, -0.00803443, -0.00313789, -0.00301113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.151745, 0.160508, 0.168828, 0.17684, 0.18156, 0.191943, 0.202987, 0.207234, 0.220554, 0.232571, 0.255404, 0.268598, 0.273785, 0.285405, 0.298184, 0.312439, 0.331523, 0.346729, 0.360193, 0.369926, 0.372785, 0.378503, 0.384983, 0.397145, 0.400998, 0.405108, 0.422279, 0.436127, 0.449132, 0.456205, 0.462323, 0.474325, 0.48111, 0.49468, 0.510603");
-            values ( \
-              "-0.0048535, -0.00523628, -0.00655251, -0.00701686, -0.00820457, -0.00927511, -0.00769528, -0.00626763, -0.00374183, -2.25359e-05, -1e-22, -0.00139619, -0.00977073, -0.0381474, -0.0747131, -0.124139, -0.172927, -0.202177, -0.220883, -0.230105, -0.231962, -0.234586, -0.234905, -0.224873, -0.217298, -0.205571, -0.136334, -0.0880436, -0.0557552, -0.0430371, -0.0342136, -0.0215928, -0.0165913, -0.00965193, -0.00565218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.207221, 0.319494, 0.339894, 0.380805, 0.40116, 0.424789, 0.454018, 0.479779, 0.503814, 0.527196, 0.550547, 0.556251, 0.574504, 0.595618, 0.620919, 0.649646, 0.673055, 0.691409, 0.710806, 0.725713, 0.755528, 0.778198");
-            values ( \
-              "-0.0105722, -0.012511, -0.0422384, -0.119434, -0.153569, -0.187284, -0.220737, -0.241428, -0.25365, -0.256597, -0.24544, -0.238955, -0.204243, -0.154227, -0.102774, -0.0614646, -0.0394227, -0.0274822, -0.0187177, -0.0138683, -0.00746401, -0.00525057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.227359, 0.430957, 0.477382, 0.5203, 0.585118, 0.616714, 0.675076, 0.726504, 0.774466, 0.821146, 0.867764, 0.879266, 0.891534, 1.00994, 1.06744, 1.10647, 1.16254, 1.19636, 1.23501, 1.30568");
-            values ( \
-              "-0.00672756, -0.0276998, -0.0700702, -0.114964, -0.172198, -0.195078, -0.231014, -0.252353, -0.265401, -0.267943, -0.256735, -0.249364, -0.239184, -0.105681, -0.0633583, -0.0439355, -0.0254348, -0.0182344, -0.0123623, -0.00606154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.268661, 0.665751, 0.86354, 0.954566, 1.01693, 1.08599, 1.13562, 1.24067, 1.32482, 1.36664, 1.42239, 1.45598, 1.49222, 1.52988, 1.60519, 1.77098, 1.83142, 1.89415, 1.94084, 2.00546, 2.05251, 2.11946, 2.19429, 2.28531, 2.37634, 2.52874");
-            values ( \
-              "-0.00285598, -0.0397584, -0.137134, -0.17597, -0.199608, -0.221832, -0.235791, -0.258298, -0.269646, -0.272866, -0.274112, -0.272846, -0.269156, -0.261457, -0.229405, -0.130998, -0.102462, -0.0782757, -0.0636531, -0.0473656, -0.0380812, -0.0276708, -0.0193254, -0.0123878, -0.00786603, -0.00392967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.340232, 0.346796, 0.349517, 0.350814, 0.353407, 0.359159, 0.36709, 0.371816, 0.376223, 0.380496, 0.384825, 0.386678, 0.388656, 0.398692, 0.403401, 0.406399, 0.409822, 0.413715, 0.416811, 0.423003, 0.428026");
-            values ( \
-              "-0.000129733, -0.0398198, -0.0532339, -0.0549734, -0.0761397, -0.104768, -0.132746, -0.144712, -0.15231, -0.15499, -0.147836, -0.14046, -0.129078, -0.0559413, -0.0338662, -0.0242227, -0.0163087, -0.010415, -0.00727053, -0.00350488, -0.00228214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.354957, 0.364294, 0.367183, 0.372443, 0.379143, 0.385156, 0.39347, 0.398757, 0.404877, 0.413005, 0.418534, 0.422062, 0.429088, 0.444276, 0.452671, 0.460448, 0.464715, 0.471358, 0.480215, 0.485288");
-            values ( \
-              "-0.0461526, -0.0601266, -0.0699209, -0.10081, -0.128655, -0.149235, -0.172492, -0.183936, -0.194071, -0.200909, -0.198113, -0.191384, -0.162041, -0.0733953, -0.0426657, -0.0249629, -0.0184895, -0.0115037, -0.00616167, -0.00468591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.248307, 0.259861, 0.27409, 0.279602, 0.290933, 0.296234, 0.299969, 0.30784, 0.316978, 0.331635, 0.336824, 0.366193, 0.37204, 0.376637, 0.38194, 0.398406, 0.409932, 0.417746, 0.425749, 0.431627, 0.443382, 0.446814, 0.453678, 0.460282, 0.472882, 0.485113, 0.497333, 0.50025, 0.503362, 0.509585, 0.521342, 0.529447, 0.536096, 0.541216, 0.548393, 0.55435, 0.560939, 0.570297, 0.574418, 0.579975, 0.587383, 0.6022, 0.607226");
-            values ( \
-              "-0.00352403, -0.00465618, -0.00643012, -0.00747351, -0.00898251, -0.00872163, -0.00823838, -0.00636838, -0.00462914, -0.000293184, -1e-22, -2e-22, -0.00651339, -0.015816, -0.0285877, -0.0757986, -0.116415, -0.138951, -0.159389, -0.172962, -0.196276, -0.202169, -0.21255, -0.22093, -0.232062, -0.235174, -0.224714, -0.219335, -0.21142, -0.18955, -0.140615, -0.109981, -0.0885162, -0.0743039, -0.0575863, -0.0463514, -0.0362436, -0.0253305, -0.0216227, -0.0174434, -0.0130556, -0.0071906, -0.00627193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.307542, 0.419399, 0.439722, 0.48089, 0.500889, 0.524751, 0.55395, 0.57974, 0.603775, 0.627157, 0.650508, 0.656213, 0.674469, 0.695553, 0.720889, 0.749612, 0.773008, 0.791365, 0.810773, 0.82569, 0.855524, 0.877217");
-            values ( \
-              "-0.010602, -0.0125282, -0.0420866, -0.11971, -0.153262, -0.187247, -0.220763, -0.241386, -0.253702, -0.256552, -0.245491, -0.238954, -0.204233, -0.154287, -0.102756, -0.0614598, -0.0394269, -0.0274858, -0.0187164, -0.0138625, -0.00745954, -0.00533789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.32993, 0.531835, 0.642266, 0.687819, 0.717066, 0.775396, 0.826858, 0.874819, 0.921499, 0.968116, 0.979619, 0.991889, 1.11031, 1.16781, 1.20678, 1.26288, 1.29675, 1.33545, 1.39218");
-            values ( \
-              "-0.00667308, -0.0281714, -0.134761, -0.174015, -0.195128, -0.230961, -0.2524, -0.265363, -0.267987, -0.256696, -0.249366, -0.23918, -0.105666, -0.0633521, -0.0439545, -0.0254373, -0.0182273, -0.0123523, -0.007299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.370441, 0.742617, 0.96378, 1.05481, 1.11718, 1.18626, 1.2359, 1.32692, 1.36903, 1.43905, 1.4668, 1.52229, 1.55708, 1.59292, 1.63018, 1.70468, 1.7986, 1.90072, 1.97316, 2.03383, 2.0865, 2.15671, 2.2172, 2.2983, 2.38932, 2.48035, 2.57138, 2.6624, 2.84445");
-            values ( \
-              "-0.0111116, -0.0297866, -0.136962, -0.176005, -0.199469, -0.221877, -0.235681, -0.255709, -0.262653, -0.270812, -0.272806, -0.27414, -0.272807, -0.269065, -0.261471, -0.22983, -0.172181, -0.116443, -0.085941, -0.0656473, -0.0516984, -0.0373061, -0.0279373, -0.0189211, -0.0121543, -0.00768813, -0.00494062, -0.00308077, -0.00121831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.546874, 0.554489, 0.555672, 0.56022, 0.56669, 0.571994, 0.576727, 0.581139, 0.585416, 0.589748, 0.591572, 0.601074, 0.60619, 0.609766, 0.615011, 0.619032, 0.624393, 0.633222, 0.634175");
-            values ( \
-              "-0.0219624, -0.0535568, -0.054632, -0.0869049, -0.114775, -0.13243, -0.14461, -0.15207, -0.154929, -0.147708, -0.140523, -0.0718251, -0.04272, -0.0288931, -0.0158551, -0.00995416, -0.00527982, -0.00182974, -0.00176603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.558655, 0.567656, 0.58344, 0.594616, 0.600828, 0.605936, 0.613011, 0.617733, 0.619876, 0.623278, 0.626807, 0.633835, 0.644418, 0.649029, 0.656124, 0.665174, 0.669464, 0.676141, 0.685043, 0.700049, 0.71794");
-            values ( \
-              "-0.0394732, -0.0539911, -0.126684, -0.162784, -0.17826, -0.188245, -0.19784, -0.200761, -0.200534, -0.197983, -0.191386, -0.161944, -0.0965615, -0.0734565, -0.0465995, -0.0250977, -0.0185864, -0.0115731, -0.00602895, -0.00188845, -0.000513534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.439804, 0.471955, 0.496351, 0.516464, 0.536429, 0.57178, 0.577495, 0.586494, 0.603124, 0.62252, 0.644851, 0.651437, 0.664954, 0.677526, 0.68976, 0.702172, 0.708036, 0.740821, 0.75308, 0.765524, 0.774906, 0.784672, 0.792158, 0.807131, 0.810695");
-            values ( \
-              "-0.00222742, -0.00439558, -0.00843439, -0.00581862, -0.000477799, -1e-22, -0.00836971, -0.0287381, -0.0757852, -0.139507, -0.190511, -0.202224, -0.221015, -0.232163, -0.235192, -0.22452, -0.211356, -0.088274, -0.0574958, -0.0363217, -0.0253628, -0.0174059, -0.0129864, -0.00710533, -0.00646132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.511768, 0.625466, 0.64675, 0.692237, 0.729416, 0.758571, 0.784389, 0.808422, 0.831804, 0.855154, 0.860855, 0.866937, 0.879101, 0.900277, 0.925505, 0.941231, 0.95424, 0.977675, 0.996022, 1.0154, 1.03028, 1.06006, 1.09633");
-            values ( \
-              "-0.00877743, -0.0141878, -0.0462532, -0.13141, -0.187502, -0.220551, -0.241601, -0.25352, -0.256748, -0.245312, -0.23897, -0.229579, -0.204272, -0.154105, -0.10281, -0.0780288, -0.0614788, -0.0394113, -0.0274787, -0.0187243, -0.0138778, -0.00747719, -0.00391743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.531175, 0.618954, 0.660386, 0.678414, 0.697952, 0.720048, 0.745427, 0.846986, 0.89861, 0.921856, 0.968348, 1.00353, 1.03141, 1.07944, 1.12612, 1.17275, 1.18418, 1.19638, 1.26269, 1.31428, 1.3461, 1.37206, 1.41896, 1.46886, 1.52545, 1.58581, 1.67684, 1.76787");
-            values ( \
-              "-0.0484813, -0.00817078, -0.00365301, -0.00349064, -0.00657255, -0.0164769, -0.0356153, -0.13512, -0.178361, -0.195475, -0.224382, -0.241679, -0.252559, -0.264996, -0.268206, -0.256383, -0.249403, -0.239267, -0.160535, -0.106276, -0.0805197, -0.0635687, -0.0408853, -0.0251366, -0.0143481, -0.0077032, -0.00286296, -0.00106171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.570143, 0.936003, 1.02153, 1.16768, 1.25871, 1.39016, 1.43979, 1.53082, 1.57291, 1.64294, 1.67075, 1.72636, 1.76058, 1.79661, 1.83406, 1.90895, 2.00252, 2.10461, 2.17698, 2.2377, 2.29042, 2.3607, 2.42111, 2.50213, 2.59315, 2.68418, 2.7752, 2.86623, 3.04828");
-            values ( \
-              "-0.0141483, -0.0257736, -0.0643753, -0.137014, -0.176009, -0.221878, -0.235712, -0.255708, -0.262653, -0.270814, -0.272823, -0.274137, -0.272832, -0.269102, -0.26147, -0.229622, -0.172169, -0.116439, -0.0859681, -0.0656494, -0.0516885, -0.0372913, -0.0279309, -0.0189247, -0.0121597, -0.00768856, -0.00494389, -0.00307992, -0.001217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.964464, 0.96741, 0.97253, 0.97369, 0.978291, 0.984808, 0.990139, 0.99489, 0.999316, 1.0036, 1.00794, 1.0097, 1.02293, 1.02748, 1.03302, 1.03967, 1.04551, 1.0458");
-            values ( \
-              "-0.020513, -0.0298671, -0.052667, -0.0540293, -0.0862155, -0.114138, -0.131825, -0.144139, -0.151651, -0.154595, -0.147444, -0.140648, -0.0498997, -0.0305187, -0.016225, -0.00752485, -0.0037894, -0.00371165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.97744, 0.984875, 0.988369, 0.99014, 0.99368, 0.997662, 1.00276, 1.00979, 1.01581, 1.02111, 1.02793, 1.03131, 1.03481, 1.03817, 1.04499, 1.04717, 1.05287, 1.05903, 1.06661, 1.07381, 1.07792, 1.08261, 1.09023, 1.09541, 1.10577, 1.12103, 1.13957");
-            values ( \
-              "-0.0488247, -0.0496327, -0.064588, -0.0693392, -0.0910659, -0.110174, -0.130151, -0.153831, -0.170455, -0.182205, -0.193731, -0.197803, -0.200144, -0.200599, -0.191812, -0.185595, -0.157238, -0.117902, -0.076567, -0.0483436, -0.0367476, -0.0265777, -0.0155695, -0.0107496, -0.00499193, -0.00153991, -0.000415961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.83442, 0.881898, 0.899437, 0.90517, 0.917414, 0.926631, 0.937135, 0.942194, 0.955483, 0.961087, 0.977738, 0.990788, 0.996234, 1.00081, 1.00674, 1.02153, 1.02914, 1.03563, 1.04089, 1.05471, 1.06623, 1.06989, 1.07707, 1.08336, 1.09595, 1.10819, 1.12041, 1.12333, 1.12644, 1.13265, 1.14443, 1.15253, 1.15916, 1.16427, 1.17147, 1.17744, 1.18403, 1.19338, 1.19935, 1.20304, 1.21044, 1.21629, 1.228, 1.23214");
-            values ( \
-              "-0.00134031, -0.00280708, -0.00479899, -0.00586707, -0.00717461, -0.00663339, -0.00521513, -0.00413417, -0.000307902, -1e-22, -2e-22, -0.000647111, -0.00902977, -0.0187268, -0.033625, -0.075893, -0.103788, -0.124325, -0.139267, -0.173045, -0.195858, -0.202203, -0.212973, -0.220947, -0.23204, -0.23518, -0.2247, -0.219337, -0.21143, -0.18959, -0.140563, -0.109965, -0.0885565, -0.0743817, -0.0576013, -0.0463257, -0.0362313, -0.0253249, -0.0201374, -0.0174498, -0.0130682, -0.0103871, -0.00712784, -0.00617651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.931062, 1.04676, 1.08235, 1.10108, 1.13022, 1.14793, 1.17712, 1.20949, 1.22683, 1.24737, 1.26467, 1.27382, 1.29212, 1.34704, 1.37177, 1.40187, 1.42271, 1.46136, 1.47858");
-            values ( \
-              "-0.00546352, -0.0177016, -0.0761997, -0.114811, -0.163223, -0.186974, -0.221202, -0.246013, -0.253794, -0.256721, -0.251829, -0.24496, -0.216275, -0.0974293, -0.0624523, -0.0351625, -0.0233341, -0.0106445, -0.00817089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.947526, 1.16254, 1.26461, 1.33961, 1.39804, 1.44946, 1.49743, 1.54412, 1.59075, 1.60219, 1.61439, 1.73234, 1.76419, 1.7901, 1.83096, 1.8858, 1.91815, 1.95511, 2.02904, 2.05254");
-            values ( \
-              "-9.83468e-05, -0.0348893, -0.134801, -0.195407, -0.230419, -0.252757, -0.264868, -0.268369, -0.256251, -0.249419, -0.239251, -0.106222, -0.0804535, -0.0635455, -0.0433125, -0.0253796, -0.0184544, -0.0127401, -0.00585885, -0.00490707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.990077, 1.3579, 1.44394, 1.58709, 1.67812, 1.74035, 1.80936, 1.859, 1.95003, 1.99204, 2.06211, 2.09022, 2.14644, 2.17789, 2.21483, 2.25321, 2.32998, 2.42185, 2.52379, 2.59584, 2.6568, 2.70975, 2.78034, 2.84044, 2.921, 3.01203, 3.10305, 3.19408, 3.28511, 3.46716");
-            values ( \
-              "-0.0128574, -0.0269404, -0.0660981, -0.137275, -0.176154, -0.199671, -0.221923, -0.235827, -0.255731, -0.262664, -0.270825, -0.272901, -0.27413, -0.272956, -0.26928, -0.261467, -0.228608, -0.172107, -0.11642, -0.0861038, -0.0656569, -0.0516362, -0.0372233, -0.0278954, -0.0189374, -0.0121916, -0.00768555, -0.00496512, -0.00307051, -0.00120515" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0030255, 0.00302555, 0.0030256, 0.00302563, 0.00302565, 0.00302566", \
-            "0.00362247, 0.00362248, 0.0036225, 0.00362252, 0.00362253, 0.00362254", \
-            "0.00398437, 0.00398437, 0.00398437, 0.00398437, 0.00398438, 0.00398439", \
-            "0.00419753, 0.00419752, 0.00419752, 0.00419752, 0.00419752, 0.00419753", \
-            "0.00431052, 0.00431052, 0.00431051, 0.00431051, 0.00431051, 0.00431051", \
-            "0.0043719, 0.0043719, 0.00437189, 0.00437189, 0.00437189, 0.00437189" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00435557, 0.00435554, 0.00435552, 0.00435552, 0.00435553, 0.00435553", \
-            "0.00482536, 0.00482531, 0.00482527, 0.00482525, 0.00482524, 0.00482524", \
-            "0.005067, 0.00506695, 0.00506688, 0.00506683, 0.00506679, 0.00506677", \
-            "0.00529904, 0.00529869, 0.00529885, 0.00529881, 0.00529879, 0.00529876", \
-            "0.00565443, 0.00565454, 0.00565465, 0.00565474, 0.00565478, 0.00565479", \
-            "0.00655574, 0.00655309, 0.00654779, 0.00654378, 0.00654171, 0.0065408" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.205402, 0.237704, 0.302209, 0.433496, 0.704319, 1.26808", \
-            "0.211497, 0.243779, 0.308316, 0.439583, 0.7104, 1.2742", \
-            "0.226046, 0.25835, 0.322835, 0.45414, 0.725109, 1.28869", \
-            "0.25786, 0.290148, 0.354662, 0.485941, 0.756722, 1.32057", \
-            "0.312195, 0.344588, 0.409125, 0.54037, 0.811402, 1.37504", \
-            "0.398423, 0.43077, 0.4953, 0.626528, 0.897492, 1.4619" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0278344, 0.04469, 0.0796445, 0.152404, 0.304461, 0.62185", \
-            "0.0278234, 0.0446925, 0.079625, 0.152401, 0.304485, 0.621779", \
-            "0.0278344, 0.0446866, 0.0796633, 0.152412, 0.304626, 0.621794", \
-            "0.0278317, 0.0446913, 0.0796342, 0.152407, 0.304523, 0.621799", \
-            "0.0279042, 0.0447024, 0.079606, 0.152388, 0.30461, 0.621673", \
-            "0.0279489, 0.0447427, 0.0796411, 0.152263, 0.304776, 0.621577" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.181164, 0.187431, 0.189992, 0.19149, 0.194486, 0.199074, 0.207795, 0.212522, 0.216933, 0.221206, 0.225536, 0.227391, 0.239013, 0.24357, 0.249517, 0.256029, 0.261393, 0.27082, 0.271887");
-            values ( \
-              "-0.00245978, -0.039397, -0.0518725, -0.0547365, -0.0795289, -0.101526, -0.132814, -0.144424, -0.152415, -0.154831, -0.147928, -0.14046, -0.0582266, -0.0359777, -0.0183432, -0.00862449, -0.00457438, -0.00147639, -0.00142337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.0804198, 0.0992254, 0.103918, 0.119337, 0.126189, 0.13238, 0.148099, 0.187741, 0.199711, 0.209085, 0.21656, 0.227064, 0.235574, 0.24315, 0.250216, 0.25708, 0.26404, 0.267221, 0.284752, 0.292058, 0.300911, 0.308565");
-            values ( \
-              "-0.00318789, -0.00339278, -0.00402864, -0.00243238, -0.00348401, -0.00335405, -1e-22, -2e-22, -0.0333936, -0.0707883, -0.110748, -0.14908, -0.172419, -0.188756, -0.197889, -0.201299, -0.191677, -0.181513, -0.0801455, -0.0504529, -0.0276506, -0.0164692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.0855204, 0.11874, 0.139143, 0.170465, 0.200056, 0.215935, 0.272867, 0.288071, 0.301535, 0.314099, 0.326314, 0.338511, 0.344604, 0.377434, 0.401931, 0.421218, 0.432717");
-            values ( \
-              "-0.00470197, -0.00553086, -0.00821661, -1e-22, -2e-22, -0.0117999, -0.172933, -0.202646, -0.221206, -0.232628, -0.235447, -0.225177, -0.211491, -0.0879995, -0.0364527, -0.0173664, -0.012126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.147331, 0.259163, 0.277582, 0.32937, 0.351448, 0.366354, 0.395468, 0.421197, 0.445194, 0.468545, 0.491865, 0.497683, 0.503888, 0.564063, 0.588567, 0.608963, 0.625725, 0.647695, 0.662123, 0.690979, 0.706821");
-            values ( \
-              "-0.0107609, -0.0108977, -0.0362467, -0.131709, -0.1679, -0.18758, -0.221626, -0.241518, -0.254361, -0.256553, -0.245969, -0.23897, -0.229316, -0.0995874, -0.0641804, -0.0437216, -0.0315387, -0.0204393, -0.0153006, -0.00842069, -0.00666564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.170073, 0.26838, 0.29164, 0.308751, 0.331062, 0.355614, 0.383514, 0.483849, 0.55845, 0.603515, 0.64262, 0.66783, 0.715817, 0.76246, 0.80906, 0.820604, 0.832918, 0.857544, 0.896212, 0.945158, 0.992649, 1.03951, 1.07255, 1.0987, 1.1252, 1.16053, 1.23119, 1.32237, 1.41356");
-            values ( \
-              "-0.0445515, -0.00602713, -0.00375547, -0.00330222, -0.00572862, -0.0161804, -0.0372519, -0.135986, -0.196038, -0.223899, -0.24303, -0.252889, -0.265124, -0.268421, -0.25642, -0.24942, -0.239114, -0.211802, -0.163869, -0.111234, -0.0733836, -0.0475254, -0.0345764, -0.0267996, -0.0206603, -0.0145102, -0.00694718, -0.00256677, -0.000958002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.199208, 0.383128, 0.438404, 0.48432, 0.545487, 0.636671, 0.804641, 0.895825, 1.02671, 1.07636, 1.16755, 1.20902, 1.26432, 1.30971, 1.37424, 1.45475, 1.50294, 1.56719, 1.65602, 1.75849, 1.83646, 1.88206, 1.94357, 1.99043, 2.085, 2.13701, 2.22819, 2.31938, 2.41056, 2.68411");
-            values ( \
-              "-0.0479704, -0.00845471, -0.00601034, -0.00667729, -0.016923, -0.0540045, -0.137384, -0.176305, -0.221944, -0.235912, -0.255782, -0.262618, -0.269636, -0.273105, -0.273916, -0.265488, -0.250737, -0.216591, -0.162019, -0.108406, -0.0778074, -0.0634057, -0.0480133, -0.0384964, -0.0245347, -0.0192051, -0.012177, -0.00784629, -0.00488816, -0.00131529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.203316, 0.211603, 0.214763, 0.2188, 0.222574, 0.227875, 0.2326, 0.237009, 0.241282, 0.245548, 0.247452, 0.259039, 0.263637, 0.266311, 0.269876, 0.275705, 0.280516, 0.289818, 0.29266");
-            values ( \
-              "-0.0234683, -0.0563463, -0.080149, -0.0998913, -0.114621, -0.13281, -0.144528, -0.152447, -0.154847, -0.148079, -0.140537, -0.0585059, -0.0360007, -0.0267455, -0.017739, -0.00903239, -0.00512173, -0.00166228, -0.00149889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.100435, 0.121962, 0.125631, 0.128711, 0.141346, 0.148869, 0.159294, 0.167517, 0.20579, 0.217807, 0.229175, 0.236647, 0.247158, 0.255663, 0.263246, 0.270313, 0.277177, 0.284137, 0.287331, 0.30532, 0.31333, 0.317771, 0.323693, 0.328005");
-            values ( \
-              "-0.00322705, -0.00342586, -0.00396875, -0.00317457, -0.00258993, -0.0035669, -0.00220925, -1e-22, -2e-22, -0.0264218, -0.070405, -0.110358, -0.148821, -0.172609, -0.188546, -0.198065, -0.201125, -0.19175, -0.181468, -0.0778792, -0.0466817, -0.0346235, -0.0228906, -0.0175509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.106064, 0.125124, 0.134514, 0.140859, 0.148382, 0.16269, 0.189386, 0.217356, 0.232591, 0.245149, 0.259973, 0.274038, 0.293098, 0.308294, 0.321761, 0.334322, 0.346536, 0.35932, 0.364801, 0.397589, 0.409817, 0.422192, 0.431582, 0.441392, 0.448918, 0.457416");
-            values ( \
-              "-0.00467361, -0.00619484, -0.00545352, -0.00583087, -0.00760808, -0.00798573, -1e-22, -2e-22, -0.00514935, -0.0337187, -0.0765826, -0.125115, -0.173475, -0.202285, -0.221653, -0.232302, -0.235812, -0.224211, -0.211574, -0.0881913, -0.0574809, -0.0363922, -0.0254007, -0.0173984, -0.0129567, -0.00962951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.164785, 0.279332, 0.298238, 0.349432, 0.372333, 0.386438, 0.415544, 0.44128, 0.465276, 0.488628, 0.511949, 0.517752, 0.536323, 0.554461, 0.583847, 0.607139, 0.632965, 0.652575, 0.672892, 0.688831, 0.72071, 0.740833");
-            values ( \
-              "-0.0101718, -0.0109684, -0.0372275, -0.132145, -0.168665, -0.187967, -0.22126, -0.241873, -0.254027, -0.25688, -0.245655, -0.239002, -0.203353, -0.160148, -0.100101, -0.0660122, -0.040552, -0.0275763, -0.0184397, -0.0133705, -0.00687154, -0.00507732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.192255, 0.399285, 0.504122, 0.554587, 0.578715, 0.62697, 0.656592, 0.688111, 0.736103, 0.782749, 0.829347, 0.840909, 0.853241, 0.916065, 0.972592, 1.01477, 1.0313, 1.05782, 1.08204, 1.12944, 1.15637, 1.21024, 1.30143, 1.31786");
-            values ( \
-              "-0.00154213, -0.0333523, -0.135597, -0.178379, -0.195706, -0.225952, -0.240544, -0.252655, -0.265369, -0.268197, -0.25665, -0.249391, -0.239102, -0.164405, -0.104724, -0.0721637, -0.0620228, -0.0484196, -0.0384191, -0.0241894, -0.0185335, -0.010708, -0.00398543, -0.00353294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.224523, 0.586332, 0.677516, 0.824946, 0.916131, 0.978172, 1.04716, 1.0968, 1.18799, 1.22947, 1.28478, 1.33011, 1.39468, 1.47993, 1.51335, 1.5526, 1.68739, 1.76162, 1.79952, 1.87531, 1.95723, 1.99999, 2.07516, 2.16349, 2.25467, 2.34585, 2.43704, 2.52822, 2.71059");
-            values ( \
-              "-0.0155774, -0.0234432, -0.0639496, -0.137222, -0.176322, -0.199644, -0.22202, -0.235813, -0.25586, -0.262696, -0.269549, -0.27302, -0.273989, -0.264401, -0.254596, -0.236828, -0.155467, -0.11638, -0.0994663, -0.0714669, -0.0493349, -0.0404757, -0.0283023, -0.0185127, -0.0118461, -0.00751855, -0.00479482, -0.00301851, -0.00120238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.246646, 0.252867, 0.255477, 0.256926, 0.259824, 0.264703, 0.273229, 0.277957, 0.282367, 0.286641, 0.29097, 0.292822, 0.304437, 0.309003, 0.315021, 0.321371, 0.326604, 0.335997, 0.337612");
-            values ( \
-              "-0.00272154, -0.0394521, -0.0519964, -0.0548444, -0.0787829, -0.102352, -0.132815, -0.144416, -0.152426, -0.154814, -0.147916, -0.140476, -0.0582933, -0.0359816, -0.0181976, -0.0087195, -0.00469784, -0.00151961, -0.00143656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.257519, 0.266407, 0.28112, 0.291619, 0.300122, 0.307703, 0.31477, 0.321633, 0.328486, 0.331801, 0.349801, 0.357788, 0.367938, 0.376302, 0.383115, 0.390648");
-            values ( \
-              "-0.0195739, -0.0420087, -0.110279, -0.148735, -0.172778, -0.188456, -0.198192, -0.201044, -0.192038, -0.181417, -0.0777545, -0.0466719, -0.0232334, -0.0128852, -0.00788154, -0.00505703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.151085, 0.165537, 0.16956, 0.172536, 0.185508, 0.192475, 0.198837, 0.206353, 0.215464, 0.232426, 0.238421, 0.27198, 0.288768, 0.305227, 0.319367, 0.338472, 0.353683, 0.36716, 0.379726, 0.391944, 0.404728, 0.410176, 0.416402, 0.436217, 0.442901, 0.455175, 0.461081, 0.467677, 0.477037, 0.486737, 0.494166, 0.505643");
-            values ( \
-              "-0.0045538, -0.00546627, -0.00610443, -0.00565073, -0.00573366, -0.00735486, -0.00804233, -0.00810873, -0.00631262, -0.000663524, -1e-22, -2e-22, -0.0286357, -0.0759821, -0.12482, -0.173343, -0.202025, -0.221633, -0.232126, -0.23584, -0.224178, -0.211652, -0.189667, -0.110099, -0.0884921, -0.0575928, -0.0464362, -0.0362961, -0.0253601, -0.0174448, -0.0130438, -0.00850574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.210526, 0.325699, 0.341649, 0.394787, 0.417744, 0.431804, 0.460915, 0.486653, 0.510651, 0.534004, 0.557325, 0.563135, 0.569333, 0.62937, 0.653254, 0.676427, 0.69549, 0.706201, 0.721932, 0.742907, 0.771488");
-            values ( \
-              "-0.00931129, -0.0119769, -0.0340024, -0.131768, -0.168971, -0.187677, -0.221474, -0.241626, -0.254233, -0.256662, -0.245851, -0.23898, -0.229334, -0.0998491, -0.0651114, -0.0420743, -0.0289541, -0.0234439, -0.0171389, -0.0111848, -0.00665099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.232234, 0.442942, 0.527909, 0.593493, 0.624287, 0.682529, 0.733898, 0.781816, 0.828463, 0.875049, 0.886674, 0.923873, 0.996101, 1.01965, 1.06733, 1.11602, 1.17014, 1.20197, 1.23835, 1.3111, 1.33392");
-            values ( \
-              "-0.00192381, -0.0317434, -0.115501, -0.173318, -0.195581, -0.231342, -0.25265, -0.265589, -0.268125, -0.25682, -0.249367, -0.211374, -0.125949, -0.103519, -0.0677491, -0.0429747, -0.0253574, -0.018537, -0.0128711, -0.00600434, -0.00505695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.270911, 0.621456, 0.959018, 1.09239, 1.14187, 1.23306, 1.27454, 1.34496, 1.37518, 1.43975, 1.5254, 1.55768, 1.59728, 1.73243, 1.84462, 1.94867, 2.04517, 2.14968, 2.20856, 2.29974, 2.48211, 2.54492");
-            values ( \
-              "-0.0188467, -0.0200647, -0.175516, -0.222128, -0.235751, -0.255917, -0.262745, -0.270943, -0.272973, -0.274033, -0.264267, -0.254828, -0.237082, -0.155533, -0.0994198, -0.0629569, -0.040425, -0.0245884, -0.0185455, -0.0118152, -0.00476382, -0.00395315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.345524, 0.356882, 0.3614, 0.36787, 0.373173, 0.377902, 0.382311, 0.386586, 0.390914, 0.392725, 0.395713, 0.402311, 0.406015, 0.410302, 0.415887, 0.419725, 0.422746, 0.428788, 0.438664, 0.438681");
-            values ( \
-              "-0.00178463, -0.0559467, -0.0874039, -0.115034, -0.132292, -0.14488, -0.152038, -0.155145, -0.147693, -0.140653, -0.122182, -0.0713589, -0.0492878, -0.0309915, -0.0163558, -0.010494, -0.00738539, -0.00361299, -0.00113545, -0.00113485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.361085, 0.368525, 0.384773, 0.39149, 0.400002, 0.407572, 0.414639, 0.421503, 0.428356, 0.431669, 0.449667, 0.457658, 0.462023, 0.467844, 0.476123, 0.482868, 0.496357, 0.497298");
-            values ( \
-              "-0.0507655, -0.0512769, -0.125614, -0.148696, -0.172801, -0.188417, -0.198193, -0.201019, -0.192045, -0.181416, -0.0777803, -0.0466688, -0.0347934, -0.0231805, -0.0129351, -0.00794544, -0.00283571, -0.00272231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.247699, 0.271225, 0.284221, 0.294231, 0.304697, 0.313038, 0.330139, 0.335927, 0.364792, 0.380368, 0.389922, 0.405362, 0.41586, 0.419441, 0.426605, 0.438532, 0.453739, 0.467193, 0.479757, 0.491969, 0.504165, 0.510318, 0.51667, 0.536034, 0.540651, 0.548783, 0.555423, 0.560661, 0.567413, 0.576893, 0.587045, 0.594872, 0.605196");
-            values ( \
-              "-0.00359893, -0.00551138, -0.00550854, -0.00748896, -0.00815281, -0.00701081, -0.00142815, -1e-22, -2e-22, -0.00939634, -0.0319581, -0.0757045, -0.114985, -0.123485, -0.145209, -0.17241, -0.203257, -0.220727, -0.233131, -0.235022, -0.225604, -0.211329, -0.188694, -0.110807, -0.0954371, -0.0723684, -0.057131, -0.047206, -0.0366866, -0.0255216, -0.0172515, -0.0126916, -0.00876828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.312475, 0.426009, 0.439853, 0.458312, 0.49457, 0.517646, 0.531582, 0.56069, 0.586429, 0.610426, 0.633778, 0.657099, 0.662911, 0.66911, 0.72918, 0.75321, 0.775728, 0.794249, 0.805925, 0.823074, 0.845938, 0.878565");
-            values ( \
-              "-0.00906103, -0.012586, -0.0315133, -0.0634804, -0.131806, -0.169162, -0.187702, -0.221475, -0.241644, -0.254229, -0.256675, -0.245845, -0.23898, -0.22933, -0.0997883, -0.0648919, -0.0424569, -0.0295463, -0.0234732, -0.0166779, -0.0104546, -0.00574242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.334658, 0.521472, 0.54925, 0.668668, 0.723502, 0.756572, 0.781524, 0.833042, 0.880925, 0.927582, 0.974178, 0.98575, 0.998093, 1.11764, 1.17638, 1.22603, 1.27423, 1.30199, 1.3575, 1.419");
-            values ( \
-              "-0.0159744, -0.0165401, -0.037782, -0.15329, -0.195973, -0.21701, -0.230996, -0.252746, -0.265359, -0.268241, -0.256632, -0.249393, -0.239086, -0.104526, -0.0618775, -0.0387247, -0.0241924, -0.0183847, -0.0104345, -0.00601583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.378801, 0.549847, 0.600617, 0.641867, 0.709075, 0.792511, 0.935745, 1.04043, 1.12375, 1.19433, 1.24236, 1.33354, 1.37498, 1.43022, 1.47584, 1.54022, 1.6314, 1.66952, 1.70411, 1.82865, 1.92412, 1.98883, 2.04312, 2.14082, 2.21653, 2.2654, 2.3566, 2.44779, 2.63016, 2.65305");
-            values ( \
-              "-0.0522876, -0.00838241, -0.00609959, -0.00625761, -0.016235, -0.0491495, -0.120831, -0.167738, -0.199693, -0.222521, -0.235852, -0.255871, -0.262698, -0.269562, -0.273047, -0.273993, -0.263045, -0.250482, -0.233653, -0.158001, -0.108584, -0.0824622, -0.0648266, -0.0414097, -0.0289169, -0.0229052, -0.0147353, -0.00939484, -0.00378858, -0.00355204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.55021, 0.561716, 0.564699, 0.569501, 0.572818, 0.578147, 0.582889, 0.587311, 0.591593, 0.595929, 0.597734, 0.607341, 0.611022, 0.615347, 0.620926, 0.627759, 0.633777, 0.643621, 0.671334");
-            values ( \
-              "-0.00343512, -0.0535633, -0.0783902, -0.101423, -0.113718, -0.132393, -0.143963, -0.152129, -0.154511, -0.14775, -0.140544, -0.0712601, -0.0493535, -0.0309255, -0.0163396, -0.00739438, -0.00363787, -0.00114165, -0.000153675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.562524, 0.571386, 0.575979, 0.578571, 0.583757, 0.590582, 0.605051, 0.612633, 0.619703, 0.626567, 0.633421, 0.636779, 0.654863, 0.66168, 0.668719, 0.673901, 0.679373, 0.683586, 0.692011, 0.701966");
-            values ( \
-              "-0.0192452, -0.0424867, -0.0619869, -0.0695941, -0.10177, -0.129201, -0.173302, -0.187848, -0.1986, -0.200582, -0.19237, -0.181222, -0.0771813, -0.0500288, -0.0311236, -0.0216627, -0.0147422, -0.0109177, -0.00590702, -0.00325064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.439158, 0.473159, 0.476486, 0.480398, 0.486259, 0.499919, 0.511216, 0.515896, 0.520881, 0.538573, 0.545031, 0.569669, 0.582822, 0.592992, 0.610522, 0.620852, 0.624538, 0.63191, 0.643599, 0.658774, 0.67224, 0.684799, 0.697009, 0.709202, 0.712195, 0.715387, 0.721773, 0.740978, 0.749028, 0.754046, 0.764841, 0.770572, 0.781231, 0.78614, 0.793611, 0.803573, 0.810722");
-            values ( \
-              "-0.00221789, -0.00407004, -0.00454612, -0.0041653, -0.00437372, -0.00705746, -0.00777466, -0.00731964, -0.00605487, -0.000538094, -1e-22, -2e-22, -0.0052086, -0.0271408, -0.0761087, -0.115135, -0.123699, -0.146146, -0.172496, -0.20343, -0.220732, -0.233268, -0.235, -0.225698, -0.219514, -0.211256, -0.188443, -0.111128, -0.0853462, -0.0717933, -0.0487143, -0.0393764, -0.0261841, -0.0216854, -0.0162341, -0.010954, -0.00874864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.515466, 0.63298, 0.657541, 0.699816, 0.722339, 0.736784, 0.765903, 0.791618, 0.815612, 0.838963, 0.862282, 0.868087, 0.874278, 0.88666, 0.904769, 0.934202, 0.957581, 0.967309, 0.983013, 1.00281, 1.02344, 1.03969, 1.07218, 1.09377");
-            values ( \
-              "-0.0065031, -0.0146827, -0.0528412, -0.132351, -0.168115, -0.188112, -0.221208, -0.241991, -0.253947, -0.256984, -0.245573, -0.239011, -0.229352, -0.20335, -0.160206, -0.100065, -0.0658795, -0.0549817, -0.0407745, -0.0276292, -0.0183584, -0.0132263, -0.00670478, -0.00482738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.538177, 0.747408, 0.854724, 0.899138, 0.929222, 0.987452, 1.03882, 1.08674, 1.13339, 1.17997, 1.1916, 1.20401, 1.22882, 1.30092, 1.32469, 1.37289, 1.41928, 1.45744, 1.47947, 1.51179, 1.5549, 1.6411, 1.64154");
-            values ( \
-              "-0.00227956, -0.0314103, -0.135572, -0.173888, -0.195631, -0.231338, -0.252685, -0.265579, -0.268152, -0.256804, -0.249367, -0.239006, -0.211347, -0.126055, -0.103408, -0.0673502, -0.0436605, -0.0301362, -0.0243015, -0.0176635, -0.0114337, -0.00453865, -0.00452465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.582449, 0.755788, 0.794565, 0.836989, 0.902385, 0.988212, 1.14687, 1.23434, 1.3291, 1.37924, 1.43654, 1.47039, 1.55255, 1.63072, 1.65051, 1.69007, 1.74552, 1.83671, 1.87481, 1.90941, 2.03398, 2.12942, 2.19413, 2.24843, 2.29236, 2.34614, 2.42185, 2.47072, 2.56191, 2.65309, 2.74428, 2.83546, 2.92665, 3.10902");
-            values ( \
-              "-0.0514579, -0.00839001, -0.00650038, -0.00593656, -0.0132465, -0.044584, -0.123794, -0.16314, -0.199752, -0.216202, -0.232965, -0.241492, -0.258319, -0.268958, -0.270941, -0.273376, -0.274038, -0.262954, -0.250533, -0.233596, -0.157934, -0.10861, -0.0824882, -0.0648067, -0.0531127, -0.0414258, -0.0288988, -0.0229168, -0.0147465, -0.00938483, -0.00598001, -0.0037788, -0.00240922, -0.000971041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.970716, 0.976625, 0.980768, 0.983974, 0.988092, 0.991881, 0.997221, 1.00197, 1.0064, 1.01069, 1.01497, 1.01678, 1.02963, 1.03314, 1.03798, 1.04402, 1.04879, 1.05771, 1.05949");
-            values ( \
-              "-0.00567071, -0.0387237, -0.0558388, -0.0787939, -0.0988826, -0.11366, -0.131954, -0.143793, -0.151816, -0.154313, -0.147709, -0.140673, -0.0519922, -0.0357416, -0.0207108, -0.0102982, -0.00586023, -0.00198248, -0.00185361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.981599, 0.99022, 0.997478, 1.00117, 1.01115, 1.0155, 1.02402, 1.03162, 1.03869, 1.04556, 1.05253, 1.05569, 1.05906, 1.07312, 1.08052, 1.08442, 1.08961, 1.09347, 1.09785, 1.10369, 1.11536, 1.12198");
-            values ( \
-              "-0.0214415, -0.0420491, -0.0702555, -0.0923429, -0.1339, -0.148395, -0.172383, -0.188229, -0.197923, -0.200922, -0.191689, -0.181535, -0.164599, -0.0807581, -0.0505527, -0.0390036, -0.0272579, -0.020811, -0.0152886, -0.0100592, -0.00418623, -0.00295712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.833359, 0.901344, 0.917697, 0.931233, 0.962467, 0.995769, 1.01227, 1.02909, 1.05033, 1.07748, 1.09094, 1.1035, 1.11571, 1.12791, 1.13405, 1.16435, 1.17914, 1.19119, 1.20687, 1.21616, 1.22696, 1.23511");
-            values ( \
-              "-0.00134213, -0.00321872, -0.00611933, -0.00712603, -1e-22, -2e-22, -0.0283823, -0.0757132, -0.145139, -0.203244, -0.220688, -0.233134, -0.234994, -0.225619, -0.211353, -0.0955702, -0.0571816, -0.0366444, -0.0200807, -0.0139899, -0.00984545, -0.0076936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.935818, 1.04862, 1.05942, 1.07094, 1.08863, 1.11876, 1.14033, 1.1557, 1.1848, 1.21051, 1.22538, 1.23441, 1.24488, 1.25771, 1.28095, 1.28835, 1.29624, 1.34264, 1.35627, 1.37445, 1.38147, 1.39475, 1.40673, 1.43017, 1.44347, 1.47006, 1.52095, 1.58036");
-            values ( \
-              "-0.0100008, -0.0111354, -0.0247987, -0.043261, -0.0746982, -0.132736, -0.166658, -0.188384, -0.221097, -0.242194, -0.249922, -0.253608, -0.256161, -0.256613, -0.245497, -0.236789, -0.223268, -0.119046, -0.0945407, -0.0681851, -0.0598941, -0.0466439, -0.0370311, -0.0233385, -0.0179015, -0.0103669, -0.00332046, -0.000826179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.955046, 1.14756, 1.17529, 1.2727, 1.34742, 1.40579, 1.45716, 1.5051, 1.55176, 1.59913, 1.6099, 1.62221, 1.64683, 1.68563, 1.73443, 1.78147, 1.80401, 1.82933, 1.86309, 1.88839, 1.91408, 1.94833, 2.01683, 2.10801, 2.1992");
-            values ( \
-              "-0.014016, -0.0177687, -0.039506, -0.135437, -0.19579, -0.230667, -0.253009, -0.265012, -0.268531, -0.256074, -0.249429, -0.239118, -0.21183, -0.163727, -0.111261, -0.073709, -0.0599511, -0.0472935, -0.0341577, -0.0266985, -0.0207473, -0.0147317, -0.00723153, -0.00267197, -0.0009978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.995271, 1.39529, 1.59565, 1.68684, 1.8173, 1.86696, 1.95814, 1.99945, 2.05453, 2.10081, 2.16473, 2.25591, 2.29392, 2.32855, 2.45323, 2.54856, 2.61326, 2.66758, 2.76532, 2.84102, 2.88987, 2.98101, 3.07219, 3.16338, 3.25456, 3.52812");
-            values ( \
-              "-0.00181887, -0.0393381, -0.137842, -0.176663, -0.222098, -0.236067, -0.255897, -0.262709, -0.269673, -0.273187, -0.273983, -0.263126, -0.250498, -0.233742, -0.157991, -0.108574, -0.0824555, -0.0648614, -0.0413789, -0.028941, -0.022883, -0.0147174, -0.00942084, -0.00594889, -0.00381247, -0.00093969" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00302505, 0.0030251, 0.00302515, 0.00302518, 0.0030252, 0.00302522", \
-            "0.00362213, 0.00362214, 0.00362216, 0.00362218, 0.00362219, 0.0036222", \
-            "0.00398429, 0.00398429, 0.00398429, 0.00398429, 0.0039843, 0.00398431", \
-            "0.00419771, 0.00419769, 0.00419769, 0.00419769, 0.0041977, 0.0041977", \
-            "0.00431078, 0.00431078, 0.00431078, 0.00431077, 0.00431077, 0.00431077", \
-            "0.00437213, 0.00437213, 0.00437213, 0.00437212, 0.00437212, 0.00437212" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00435485, 0.00435482, 0.0043548, 0.0043548, 0.0043548, 0.0043548", \
-            "0.00482569, 0.00482564, 0.0048256, 0.00482557, 0.00482556, 0.00482556", \
-            "0.0050696, 0.00506955, 0.00506948, 0.00506942, 0.00506938, 0.00506936", \
-            "0.00530387, 0.00530459, 0.00530436, 0.00530431, 0.00530422, 0.00530419", \
-            "0.00565604, 0.00565615, 0.00565627, 0.00565637, 0.00565641, 0.00565642", \
-            "0.00655435, 0.00655059, 0.00654526, 0.00654157, 0.00653969, 0.00653886" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.199305, 0.231264, 0.295622, 0.426892, 0.698065, 1.26188", \
-            "0.205413, 0.23738, 0.301722, 0.433003, 0.704153, 1.26787", \
-            "0.21996, 0.251903, 0.316268, 0.447559, 0.718555, 1.28249", \
-            "0.251756, 0.283704, 0.348063, 0.479358, 0.750555, 1.31436", \
-            "0.305983, 0.337963, 0.402362, 0.533679, 0.804774, 1.36872", \
-            "0.391423, 0.423428, 0.487857, 0.619172, 0.890407, 1.45478" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0276967, 0.0445168, 0.0795831, 0.152527, 0.304714, 0.621974", \
-            "0.0277008, 0.0445173, 0.0795894, 0.152525, 0.304728, 0.621983", \
-            "0.0276759, 0.0445091, 0.079583, 0.152524, 0.30444, 0.621976", \
-            "0.0276716, 0.04452, 0.0795779, 0.152526, 0.304716, 0.621969", \
-            "0.0277315, 0.0445423, 0.0795746, 0.152513, 0.304548, 0.621966", \
-            "0.0278038, 0.0445652, 0.0795681, 0.152384, 0.30478, 0.622017" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.176945, 0.1858, 0.19025, 0.196648, 0.201902, 0.206593, 0.210225, 0.212496, 0.215224, 0.217338, 0.219522, 0.223875, 0.233287, 0.238476, 0.243369, 0.249986, 0.253983");
-            values ( \
-              "-0.0220898, -0.0573256, -0.0887802, -0.116189, -0.133595, -0.145373, -0.152092, -0.154636, -0.155395, -0.153364, -0.147909, -0.125773, -0.0567128, -0.0325687, -0.0186417, -0.00862817, -0.00553216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.0808195, 0.119484, 0.13999, 0.181781, 0.193248, 0.223957, 0.236135, 0.243193, 0.250028, 0.260288, 0.278575, 0.291976, 0.302939, 0.309011");
-            values ( \
-              "-0.00329282, -0.00502159, -4.60507e-05, -1e-22, -0.0344209, -0.161119, -0.189283, -0.198579, -0.201699, -0.181214, -0.0758675, -0.0314861, -0.0145829, -0.0104591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.0867364, 0.0981484, 0.129301, 0.136274, 0.144123, 0.159566, 0.164858, 0.198854, 0.203266, 0.208317, 0.217927, 0.233523, 0.247435, 0.266423, 0.281588, 0.295042, 0.3076, 0.319812, 0.332008, 0.338183, 0.368594, 0.38333, 0.393545, 0.407748, 0.416317, 0.426112, 0.434413");
-            values ( \
-              "-0.00496974, -0.00559962, -0.00940093, -0.00891549, -0.00693019, -0.000870099, -1e-22, -2e-22, -0.00107169, -0.00962377, -0.0322617, -0.0769644, -0.125386, -0.173537, -0.202959, -0.221413, -0.23268, -0.235466, -0.225127, -0.211224, -0.0951292, -0.0570139, -0.0391455, -0.0227166, -0.0163088, -0.0110805, -0.00847799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.139772, 0.253262, 0.277747, 0.322638, 0.344422, 0.359677, 0.388828, 0.414581, 0.438598, 0.461967, 0.485305, 0.491061, 0.509482, 0.529039, 0.556207, 0.572221, 0.5847, 0.603727, 0.631778, 0.648872, 0.668408, 0.707479, 0.721244");
-            values ( \
-              "-0.00973617, -0.0117348, -0.0482644, -0.131857, -0.166863, -0.187627, -0.221096, -0.241562, -0.253897, -0.256638, -0.245604, -0.238955, -0.203762, -0.157309, -0.101833, -0.0768467, -0.0611232, -0.0426584, -0.0245697, -0.0174948, -0.0117685, -0.00511465, -0.0042082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.164037, 0.360132, 0.389113, 0.477064, 0.55164, 0.60997, 0.66132, 0.709253, 0.755913, 0.802512, 0.814097, 0.826455, 0.946255, 0.990434, 1.01498, 1.05336, 1.10253, 1.1313, 1.18882, 1.26241");
-            values ( \
-              "-0.00935537, -0.0238926, -0.0486831, -0.135478, -0.195604, -0.231153, -0.252679, -0.265394, -0.268174, -0.256658, -0.249359, -0.239055, -0.104281, -0.0705319, -0.0562591, -0.0390994, -0.0241968, -0.018202, -0.0101074, -0.0049814" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.0976988, 0.113421, 0.14361, 0.154008, 0.181079, 0.284938, 0.372906, 0.441062, 0.493521, 0.567909, 0.658939, 0.798675, 0.889705, 0.951938, 1.02095, 1.07058, 1.16161, 1.20361, 1.27369, 1.30187, 1.35824, 1.38903, 1.42619, 1.46479, 1.542, 1.70602, 1.76623, 1.84311, 1.87823, 1.96859, 2.03946, 2.09621, 2.17819, 2.26333, 2.35436, 2.44539, 2.46444");
-            values ( \
-              "-0.00665597, -0.0114012, -0.0286783, -0.0298309, -0.0278451, -0.0155945, -0.00861167, -0.00581414, -0.0080462, -0.0262844, -0.0679082, -0.137256, -0.176193, -0.199647, -0.221962, -0.235797, -0.255771, -0.262705, -0.27087, -0.272863, -0.274172, -0.273031, -0.269265, -0.261515, -0.228316, -0.130982, -0.102553, -0.0735935, -0.0629225, -0.0415696, -0.0297358, -0.0226647, -0.015251, -0.0100634, -0.00636948, -0.00407947, -0.00375981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.19684, 0.205779, 0.208407, 0.216633, 0.221894, 0.225991, 0.23097, 0.235212, 0.237326, 0.23951, 0.244347, 0.253213, 0.257557, 0.263062, 0.270531, 0.276263");
-            values ( \
-              "-0.0217845, -0.0561714, -0.0782316, -0.115574, -0.133802, -0.14416, -0.152964, -0.155455, -0.15327, -0.147979, -0.122489, -0.0571205, -0.0360361, -0.0193285, -0.00811641, -0.00418265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.203521, 0.214761, 0.221956, 0.229362, 0.236919, 0.244027, 0.252305, 0.255765, 0.262824, 0.269657, 0.276492, 0.279925, 0.283469, 0.294223, 0.29829, 0.304872, 0.311577, 0.316832, 0.322596, 0.327066, 0.336005, 0.351556, 0.370202");
-            values ( \
-              "-0.00653073, -0.0421613, -0.0713563, -0.111528, -0.140364, -0.162518, -0.182719, -0.189062, -0.198824, -0.201482, -0.192419, -0.181184, -0.16277, -0.0960499, -0.0754683, -0.0495807, -0.0315462, -0.0218341, -0.0145527, -0.010583, -0.00549289, -0.00164114, -0.000421738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.10697, 0.125085, 0.144822, 0.150035, 0.153402, 0.160875, 0.175539, 0.180526, 0.185957, 0.222619, 0.227472, 0.237877, 0.253598, 0.267513, 0.286508, 0.301677, 0.315132, 0.32769, 0.339903, 0.352299, 0.358262, 0.388626, 0.396776, 0.403388, 0.41379, 0.427867, 0.436287, 0.445909, 0.45534");
-            values ( \
-              "-0.00488451, -0.00631338, -0.00937145, -0.00887628, -0.00920768, -0.00793542, -0.00235713, -0.000808185, -1e-22, -0.000118791, -0.00773928, -0.0318559, -0.0771699, -0.125473, -0.173637, -0.202801, -0.221521, -0.232544, -0.235572, -0.224766, -0.211254, -0.0953207, -0.0722357, -0.0570811, -0.0389231, -0.0226969, -0.0163867, -0.0112154, -0.00820669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.160618, 0.273268, 0.285706, 0.299362, 0.335716, 0.356628, 0.379759, 0.408902, 0.434645, 0.458662, 0.482031, 0.505367, 0.511129, 0.529568, 0.5665, 0.582925, 0.607655, 0.620734, 0.638174, 0.653902, 0.67786, 0.709803, 0.735781");
-            values ( \
-              "-0.00983272, -0.0117835, -0.0282052, -0.0509223, -0.120138, -0.155018, -0.187635, -0.221139, -0.241546, -0.253922, -0.256625, -0.24562, -0.238947, -0.203708, -0.119911, -0.0907579, -0.0579199, -0.0452382, -0.0322163, -0.0236356, -0.0146288, -0.00751474, -0.0049455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.17989, 0.374563, 0.402415, 0.497279, 0.571857, 0.630124, 0.681521, 0.729455, 0.776118, 0.822718, 0.834288, 0.846629, 0.966132, 1.02486, 1.0748, 1.12279, 1.15033, 1.20541, 1.26388");
-            values ( \
-              "-0.0124722, -0.0196835, -0.0423354, -0.135742, -0.195801, -0.230905, -0.252868, -0.265187, -0.268361, -0.256463, -0.249383, -0.239067, -0.104578, -0.0619117, -0.0386413, -0.0241894, -0.0184254, -0.0105045, -0.00627483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.226382, 0.398144, 0.441718, 0.483472, 0.54917, 0.635839, 0.818249, 0.90563, 1.04067, 1.09004, 1.18107, 1.22307, 1.29315, 1.32133, 1.37768, 1.40852, 1.44567, 1.48426, 1.56143, 1.72549, 1.78569, 1.87142, 1.97478, 2.09699, 2.1779, 2.25752, 2.43958, 2.46593");
-            values ( \
-              "-0.0520283, -0.00838976, -0.00635247, -0.00599094, -0.0143652, -0.0470463, -0.137212, -0.174853, -0.222098, -0.235735, -0.255822, -0.262739, -0.270897, -0.272834, -0.274197, -0.273047, -0.269244, -0.261531, -0.228317, -0.130996, -0.102554, -0.0707426, -0.0442151, -0.0248177, -0.0168107, -0.0113612, -0.00459037, -0.00426153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.242479, 0.244575, 0.24686, 0.249765, 0.251231, 0.254076, 0.25888, 0.267337, 0.272029, 0.276414, 0.280665, 0.28399, 0.28692, 0.289746, 0.296642, 0.301309, 0.305161, 0.308063, 0.310445, 0.313022, 0.316458, 0.322917");
-            values ( \
-              "-0.0224323, -0.0294444, -0.0387576, -0.0533768, -0.0557274, -0.0803521, -0.103603, -0.133952, -0.145503, -0.15304, -0.155647, -0.150874, -0.140318, -0.122568, -0.0695755, -0.0431324, -0.0282522, -0.0202889, -0.0153884, -0.0114159, -0.00764523, -0.00360299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.146734, 0.154501, 0.161265, 0.16951, 0.177391, 0.184146, 0.188912, 0.193708, 0.199035, 0.202836, 0.206563, 0.248057, 0.259443, 0.262464, 0.265368, 0.268461, 0.27275, 0.275873, 0.279153, 0.281959, 0.284339, 0.290209, 0.294199, 0.295839, 0.301175, 0.304476, 0.309326, 0.316157, 0.323105, 0.324657, 0.32643, 0.329978, 0.335951, 0.340718, 0.342412, 0.344822, 0.347687, 0.351383, 0.354347, 0.358072, 0.363331, 0.365747, 0.366868, 0.367332");
-            values ( \
-              "-0.00316903, -0.00391761, -0.00353427, -0.00439757, -0.00418654, -0.00493751, -0.0042966, -0.00400048, -0.00228561, -0.00162575, -1e-22, -2e-22, -0.0347031, -0.0470661, -0.0601327, -0.070316, -0.0974661, -0.110447, -0.124881, -0.134643, -0.143344, -0.16145, -0.172098, -0.176151, -0.187286, -0.192779, -0.198564, -0.201652, -0.192073, -0.187715, -0.181157, -0.162725, -0.124506, -0.0960856, -0.0870818, -0.0753349, -0.0629884, -0.0495577, -0.0406916, -0.0315632, -0.0218427, -0.0184372, -0.0170414, -0.0165244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.152116, 0.176889, 0.183655, 0.19322, 0.202354, 0.225237, 0.230564, 0.26482, 0.269259, 0.274238, 0.28348, 0.29909, 0.313002, 0.331982, 0.347149, 0.360601, 0.373158, 0.385371, 0.398161, 0.403703, 0.433972, 0.448785, 0.460893, 0.470347, 0.480398, 0.488135, 0.497211");
-            values ( \
-              "-0.0049565, -0.00702287, -0.00856127, -0.00936499, -0.00880901, -0.00087974, -1e-22, -2e-22, -0.0016802, -0.0103192, -0.0322646, -0.0778877, -0.125989, -0.173998, -0.202528, -0.221813, -0.232306, -0.23581, -0.224151, -0.211337, -0.0957046, -0.0572252, -0.036592, -0.0254788, -0.0172912, -0.0127696, -0.00928934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.205683, 0.318319, 0.338306, 0.38804, 0.425099, 0.454258, 0.480003, 0.504019, 0.527389, 0.551109, 0.556471, 0.574855, 0.621489, 0.650043, 0.669497, 0.697263, 0.714009, 0.733147, 0.765334");
-            values ( \
-              "-0.0101694, -0.0113857, -0.039865, -0.132315, -0.188019, -0.220735, -0.241924, -0.253552, -0.256976, -0.24507, -0.238983, -0.203886, -0.102079, -0.0612087, -0.0423732, -0.024544, -0.0175948, -0.0119387, -0.00634377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.22984, 0.420129, 0.448424, 0.542728, 0.617369, 0.675554, 0.708484, 0.763523, 0.797318, 0.821445, 0.867769, 0.881783, 0.896731, 0.964334, 1.0192, 1.0699, 1.12023, 1.16789, 1.1951, 1.24951, 1.34054, 1.36467");
-            values ( \
-              "-0.0130419, -0.0198632, -0.0427895, -0.136018, -0.196053, -0.231085, -0.246057, -0.263076, -0.267994, -0.267978, -0.256626, -0.247607, -0.234205, -0.152943, -0.0977435, -0.0619209, -0.0385076, -0.0241809, -0.0184914, -0.010609, -0.00396699, -0.00330221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.272827, 0.620494, 0.954411, 1.08561, 1.13525, 1.22628, 1.26827, 1.33835, 1.36653, 1.42288, 1.45375, 1.49088, 1.52946, 1.60662, 1.77069, 1.8309, 1.94041, 2.05226, 2.11913, 2.19377, 2.2848, 2.37583, 2.53188");
-            values ( \
-              "-0.0179643, -0.0219838, -0.176377, -0.222113, -0.23565, -0.255912, -0.26282, -0.27097, -0.272766, -0.274266, -0.273106, -0.269186, -0.261588, -0.228271, -0.131052, -0.102593, -0.0636, -0.0380023, -0.0277155, -0.0193881, -0.0123459, -0.00791984, -0.0038859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.342595, 0.346542, 0.351143, 0.355452, 0.36198, 0.36755, 0.371936, 0.376318, 0.380309, 0.382099, 0.384092, 0.386347, 0.389665, 0.395842, 0.399665, 0.404368, 0.406609, 0.409851, 0.413511, 0.416374, 0.422099, 0.425715");
-            values ( \
-              "-0.0230376, -0.0378673, -0.0577057, -0.0889307, -0.115791, -0.134467, -0.145552, -0.152889, -0.155731, -0.154025, -0.150318, -0.14255, -0.122333, -0.0742963, -0.0508441, -0.0305457, -0.02372, -0.0162898, -0.0106631, -0.00764601, -0.00388179, -0.00288904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.241394, 0.286476, 0.30851, 0.344965, 0.356674, 0.389922, 0.401659, 0.408718, 0.415552, 0.425814, 0.444114, 0.457495, 0.468467, 0.472204");
-            values ( \
-              "-0.00221107, -0.00459448, -1e-22, -2e-22, -0.0270065, -0.162451, -0.189056, -0.198811, -0.201471, -0.181206, -0.0757979, -0.0314983, -0.0145777, -0.0120416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.248673, 0.264402, 0.286529, 0.296637, 0.304489, 0.324262, 0.32944, 0.366419, 0.372105, 0.376606, 0.383549, 0.399043, 0.412945, 0.431916, 0.447081, 0.460532, 0.473088, 0.4853, 0.497695, 0.503648, 0.509997, 0.520733, 0.533973, 0.542099, 0.548755, 0.554021, 0.560768, 0.570244, 0.58038, 0.588192, 0.601596");
-            values ( \
-              "-0.00389168, -0.00530916, -0.00854724, -0.00900317, -0.00825879, -0.00135036, -1e-22, -2e-22, -0.00637772, -0.0155106, -0.0327452, -0.0779213, -0.125912, -0.173934, -0.20264, -0.221749, -0.232388, -0.235757, -0.22469, -0.211292, -0.188688, -0.143778, -0.0954748, -0.0724213, -0.0571377, -0.0471637, -0.0366672, -0.0255078, -0.0172535, -0.0127057, -0.00760086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.304056, 0.420187, 0.443014, 0.487938, 0.524983, 0.554134, 0.579887, 0.603903, 0.627273, 0.650994, 0.656354, 0.66248, 0.674731, 0.694679, 0.721356, 0.737289, 0.749917, 0.769425, 0.790083, 0.797155, 0.813857, 0.832945, 0.871122, 0.891707");
-            values ( \
-              "-0.00767971, -0.0136128, -0.0481104, -0.132304, -0.188007, -0.220734, -0.241912, -0.253561, -0.256964, -0.24506, -0.238985, -0.229478, -0.203903, -0.15653, -0.102109, -0.0771782, -0.0612203, -0.0423369, -0.028209, -0.0245402, -0.0176082, -0.0119595, -0.00530781, -0.00389516" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.328992, 0.52787, 0.578138, 0.627624, 0.67375, 0.717621, 0.775878, 0.827229, 0.875162, 0.921822, 0.96842, 0.980009, 0.99237, 1.11224, 1.15672, 1.18076, 1.21899, 1.26843, 1.29747, 1.35556, 1.40616");
-            values ( \
-              "-0.00800204, -0.0252019, -0.0707364, -0.121714, -0.162731, -0.19556, -0.231254, -0.252586, -0.265492, -0.268081, -0.256754, -0.249354, -0.239052, -0.104214, -0.0702855, -0.056328, -0.0392055, -0.0241992, -0.0181502, -0.0100184, -0.00652379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.370925, 0.724066, 0.815096, 0.963616, 1.05465, 1.18584, 1.23548, 1.32651, 1.3685, 1.43858, 1.46678, 1.52318, 1.55382, 1.59103, 1.62969, 1.707, 1.90028, 1.9721, 2.08632, 2.15712, 2.21701, 2.2973, 2.38833, 2.47936, 2.57039, 2.59502");
-            values ( \
-              "-0.016574, -0.0231954, -0.0634867, -0.137215, -0.17628, -0.222018, -0.235756, -0.255818, -0.262733, -0.270887, -0.272855, -0.274183, -0.273038, -0.269277, -0.261512, -0.228262, -0.116449, -0.0861639, -0.0516347, -0.0371502, -0.0279035, -0.0189738, -0.012183, -0.00771117, -0.00495049, -0.00461448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.545275, 0.552305, 0.555793, 0.560261, 0.566676, 0.571943, 0.57664, 0.581047, 0.583623, 0.585287, 0.587396, 0.589582, 0.593938, 0.601146, 0.604879, 0.608604, 0.614282, 0.618976, 0.629747, 0.637391");
-            values ( \
-              "-0.000564262, -0.0427274, -0.0567692, -0.0881648, -0.115748, -0.13331, -0.145339, -0.152898, -0.155172, -0.155163, -0.153286, -0.147764, -0.125742, -0.0704341, -0.0485506, -0.032295, -0.0168122, -0.00972796, -0.00265996, -0.00136595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.55478, 0.564873, 0.572077, 0.579498, 0.585583, 0.593853, 0.599565, 0.605925, 0.612981, 0.619826, 0.626774, 0.630064, 0.633573, 0.644468, 0.648211, 0.654969, 0.661853, 0.667067, 0.67267, 0.676997, 0.685653, 0.700976, 0.719253");
-            values ( \
-              "-0.0120622, -0.0421474, -0.0709951, -0.111046, -0.13479, -0.161228, -0.176138, -0.18906, -0.198569, -0.201517, -0.192044, -0.181274, -0.163148, -0.0956558, -0.0766468, -0.0498333, -0.0313276, -0.021746, -0.0146604, -0.010771, -0.0057175, -0.00174147, -0.00045897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.440147, 0.472309, 0.483585, 0.488864, 0.499354, 0.506793, 0.515588, 0.531649, 0.537393, 0.568724, 0.575492, 0.580375, 0.591763, 0.603994, 0.617878, 0.636846, 0.652009, 0.665459, 0.678015, 0.690227, 0.702622, 0.70858, 0.738924, 0.753696, 0.765677, 0.77516, 0.785324, 0.79316, 0.802303");
-            values ( \
-              "-0.00227671, -0.00443022, -0.00601766, -0.00753817, -0.00859082, -0.00851061, -0.00641731, -0.000516287, -1e-22, -2e-22, -0.00400508, -0.0131892, -0.0419756, -0.0779488, -0.125924, -0.173916, -0.202694, -0.22172, -0.23243, -0.235728, -0.224709, -0.21128, -0.0953994, -0.0571102, -0.0366912, -0.0255181, -0.0172421, -0.0126844, -0.00921106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.510546, 0.623194, 0.641866, 0.664795, 0.685899, 0.706916, 0.729965, 0.7591, 0.784843, 0.808858, 0.832225, 0.855561, 0.861324, 0.867471, 0.879766, 0.916674, 0.93317, 0.94632, 0.957852, 0.970806, 0.988078, 1.00407, 1.02841, 1.06087, 1.09086");
-            values ( \
-              "-0.00989615, -0.0115135, -0.0377234, -0.0782966, -0.120071, -0.155147, -0.187719, -0.221126, -0.241602, -0.253904, -0.256665, -0.2456, -0.238954, -0.229424, -0.203703, -0.11995, -0.090676, -0.0716466, -0.0579142, -0.0453424, -0.0324015, -0.0236488, -0.0145231, -0.00737353, -0.00445439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.531225, 0.737716, 0.848052, 0.922645, 0.969303, 1.00373, 1.03207, 1.08007, 1.12673, 1.17334, 1.18485, 1.19714, 1.31567, 1.3731, 1.41165, 1.46804, 1.50224, 1.54134, 1.60402");
-            values ( \
-              "-0.00422626, -0.0289944, -0.135951, -0.195955, -0.224706, -0.241612, -0.252817, -0.265051, -0.268368, -0.256371, -0.2494, -0.239141, -0.105551, -0.0633017, -0.0441066, -0.0254564, -0.0181717, -0.0122698, -0.00673562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.579056, 0.924635, 1.2595, 1.39067, 1.44031, 1.53134, 1.57333, 1.64341, 1.67162, 1.72805, 1.75858, 1.79583, 1.83452, 1.9119, 2.00322, 2.11538, 2.17705, 2.29111, 2.36182, 2.4218, 2.50221, 2.59324, 2.68427, 2.7753, 3.04839");
-            values ( \
-              "-0.0182796, -0.0217393, -0.176371, -0.222092, -0.235692, -0.255887, -0.262791, -0.270939, -0.272808, -0.274233, -0.273086, -0.269242, -0.261553, -0.228182, -0.172001, -0.111703, -0.0860935, -0.0516703, -0.0371469, -0.0279341, -0.0189907, -0.0121544, -0.00773193, -0.00492504, -0.00124666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.96545, 0.97389, 0.978398, 0.984852, 0.993322, 0.997923, 1.00142, 1.00351, 1.00563, 1.00782, 1.01219, 1.02156, 1.02667, 1.03131, 1.03901, 1.04532, 1.05467, 1.08077");
-            values ( \
-              "-0.0197576, -0.0559144, -0.0872165, -0.115015, -0.141446, -0.150699, -0.154673, -0.154825, -0.15307, -0.147495, -0.125646, -0.0568063, -0.0329036, -0.0193656, -0.00782729, -0.00392006, -0.00110009, -1.4467e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.977598, 0.985699, 0.990234, 0.996027, 1.0015, 1.00995, 1.01676, 1.02431, 1.03137, 1.03821, 1.04506, 1.04844, 1.05193, 1.06288, 1.06656, 1.07334, 1.08028, 1.08548, 1.09103, 1.09532, 1.10389, 1.11913, 1.13728");
-            values ( \
-              "-0.0435648, -0.0527418, -0.0704976, -0.102847, -0.125665, -0.154455, -0.173159, -0.188906, -0.198517, -0.201395, -0.192216, -0.181294, -0.163319, -0.0955643, -0.076847, -0.0499068, -0.0312598, -0.021716, -0.0146911, -0.0108294, -0.00578589, -0.0017759, -0.000470841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.834421, 0.882092, 0.89562, 0.915021, 0.923773, 0.933402, 0.951144, 0.988181, 0.994087, 1.00184, 1.007, 1.02183, 1.03615, 1.05511, 1.07027, 1.08372, 1.09627, 1.10848, 1.12088, 1.12684, 1.1572, 1.16535, 1.17196, 1.18237, 1.19283, 1.20005, 1.21448, 1.22016, 1.22573");
-            values ( \
-              "-0.00136606, -0.00284901, -0.00425057, -0.00714168, -0.00778811, -0.00615813, -0.000362608, -1e-22, -0.00482324, -0.0206736, -0.0338066, -0.0756996, -0.125987, -0.173937, -0.202765, -0.2217, -0.232476, -0.235699, -0.224716, -0.211265, -0.0953294, -0.0722441, -0.057081, -0.038925, -0.026075, -0.019756, -0.0112211, -0.00906596, -0.00749243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.928295, 1.04132, 1.06322, 1.10433, 1.12594, 1.14857, 1.1777, 1.20344, 1.21618, 1.22743, 1.23928, 1.25079, 1.26788, 1.27437, 1.2864, 1.32193, 1.34939, 1.37454, 1.39936, 1.42313, 1.43677, 1.46404, 1.50143");
-            values ( \
-              "-0.0100025, -0.0110832, -0.0424724, -0.119745, -0.1558, -0.187842, -0.221107, -0.241686, -0.249156, -0.253587, -0.25659, -0.256524, -0.250441, -0.245015, -0.228569, -0.147843, -0.0942861, -0.0597665, -0.0372845, -0.0233515, -0.0177691, -0.0101583, -0.00502861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.950347, 1.14443, 1.17275, 1.26575, 1.34039, 1.39879, 1.45016, 1.4981, 1.54477, 1.59137, 1.60291, 1.61522, 1.63983, 1.67872, 1.72742, 1.77415, 1.79702, 1.82271, 1.85697, 1.88168, 1.9068, 1.9403, 2.0073, 2.09833, 2.18936");
-            values ( \
-              "-0.0118049, -0.0205433, -0.0438457, -0.135611, -0.195795, -0.230717, -0.252956, -0.26502, -0.268464, -0.256323, -0.249406, -0.239109, -0.211831, -0.163626, -0.111278, -0.0739303, -0.0599574, -0.0471317, -0.0338724, -0.0266273, -0.0208069, -0.0148889, -0.00743373, -0.00275403, -0.00102909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.998188, 1.39593, 1.56031, 1.64605, 1.74172, 1.80663, 1.86025, 1.96521, 2.04878, 2.09222, 2.15013, 2.20555, 2.25436, 2.34539, 2.47592, 2.52557, 2.58875, 2.67211, 2.72695, 2.84314, 2.92053, 3.01156, 3.10259, 3.19362, 3.28465, 3.46671");
-            values ( \
-              "-0.00014284, -0.0427254, -0.124479, -0.162995, -0.199948, -0.220878, -0.236004, -0.258457, -0.269719, -0.273042, -0.274117, -0.270702, -0.261478, -0.220515, -0.141467, -0.116201, -0.0891398, -0.0615968, -0.0479868, -0.0277238, -0.0191049, -0.0123484, -0.00773696, -0.0050462, -0.00307405, -0.00118964" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00302549, 0.00302554, 0.00302559, 0.00302562, 0.00302564, 0.00302565", \
-            "0.00362247, 0.00362248, 0.0036225, 0.00362252, 0.00362253, 0.00362254", \
-            "0.00398437, 0.00398437, 0.00398437, 0.00398438, 0.00398438, 0.00398439", \
-            "0.00419757, 0.00419756, 0.00419755, 0.00419755, 0.00419755, 0.00419755", \
-            "0.00431052, 0.00431052, 0.00431052, 0.00431051, 0.00431051, 0.00431051", \
-            "0.00437191, 0.0043719, 0.0043719, 0.0043719, 0.00437189, 0.00437189" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00435562, 0.00435559, 0.00435557, 0.00435557, 0.00435558, 0.00435558", \
-            "0.00482538, 0.00482533, 0.00482528, 0.00482526, 0.00482525, 0.00482525", \
-            "0.00506706, 0.005067, 0.00506694, 0.00506688, 0.00506685, 0.00506683", \
-            "0.00529792, 0.00529851, 0.00529847, 0.00529843, 0.00529838, 0.00529835", \
-            "0.00565433, 0.00565443, 0.00565455, 0.00565464, 0.00565467, 0.00565468", \
-            "0.00657169, 0.00656912, 0.00656374, 0.00655964, 0.00655752, 0.00655658" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.198184, 0.23044, 0.294944, 0.42621, 0.697125, 1.26076", \
-            "0.204235, 0.2365, 0.301005, 0.432273, 0.703318, 1.26697", \
-            "0.218696, 0.250951, 0.315451, 0.446745, 0.71777, 1.2814", \
-            "0.249307, 0.281546, 0.346052, 0.477346, 0.748275, 1.31214", \
-            "0.29933, 0.331596, 0.39628, 0.527508, 0.798449, 1.36225", \
-            "0.379365, 0.411658, 0.476197, 0.607358, 0.878292, 1.44286" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0277165, 0.0445493, 0.0794834, 0.152226, 0.30423, 0.6214", \
-            "0.0277104, 0.0445658, 0.0794863, 0.152221, 0.30437, 0.621785", \
-            "0.0277221, 0.044563, 0.0795007, 0.15222, 0.304379, 0.622036", \
-            "0.0277255, 0.0445504, 0.0794812, 0.152221, 0.304249, 0.622031", \
-            "0.0277685, 0.0446257, 0.0794382, 0.152205, 0.30423, 0.622069", \
-            "0.0278268, 0.0446127, 0.0794672, 0.152013, 0.304397, 0.62123" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.175897, 0.180604, 0.184507, 0.188913, 0.195307, 0.200572, 0.205275, 0.209667, 0.213927, 0.218181, 0.220151, 0.223035, 0.229548, 0.234627, 0.23823, 0.243445, 0.247428, 0.252738, 0.261684, 0.267635");
-            values ( \
-              "-0.0138116, -0.0419322, -0.0586602, -0.0882326, -0.1157, -0.133406, -0.145274, -0.152845, -0.155372, -0.148298, -0.140465, -0.122366, -0.0719482, -0.0429385, -0.0289325, -0.0159048, -0.0100114, -0.00532409, -0.00180528, -0.00141973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.188511, 0.196722, 0.201252, 0.20858, 0.218991, 0.227429, 0.242036, 0.248884, 0.255723, 0.259195, 0.278039, 0.28504, 0.293548, 0.30567, 0.315488, 0.316259");
-            values ( \
-              "-0.0407065, -0.0552516, -0.0727882, -0.113995, -0.151191, -0.174251, -0.199267, -0.200792, -0.192799, -0.180951, -0.0731231, -0.0466264, -0.0260821, -0.0110712, -0.00535758, -0.0051714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.090127, 0.0981897, 0.108935, 0.113701, 0.123007, 0.13129, 0.139865, 0.161597, 0.168014, 0.188803, 0.203722, 0.215292, 0.218545, 0.233022, 0.246825, 0.265743, 0.280884, 0.294317, 0.306857, 0.319056, 0.331838, 0.337429, 0.36297, 0.376145, 0.386828, 0.392473, 0.403211, 0.408156, 0.415731, 0.425832, 0.441714");
-            values ( \
-              "-0.0038703, -0.00476555, -0.00470738, -0.00515803, -0.00699549, -0.0076411, -0.00693256, -0.000749526, -1e-22, -2e-22, -0.00374782, -0.0281277, -0.0367787, -0.0790153, -0.126533, -0.174342, -0.202846, -0.222113, -0.232589, -0.236081, -0.224354, -0.211326, -0.111276, -0.0716223, -0.0487836, -0.0395654, -0.0262241, -0.0216874, -0.0161685, -0.0108523, -0.00601374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.150484, 0.256637, 0.290849, 0.315565, 0.336228, 0.359275, 0.38831, 0.413984, 0.437955, 0.46129, 0.484593, 0.490473, 0.509292, 0.543271, 0.558514, 0.583053, 0.607768, 0.633629, 0.649271, 0.680555, 0.711687");
-            values ( \
-              "-0.00559615, -0.0168553, -0.0727521, -0.121609, -0.156081, -0.18849, -0.221843, -0.242046, -0.254373, -0.256913, -0.245878, -0.238981, -0.20263, -0.124794, -0.0966741, -0.0621482, -0.0388757, -0.0233626, -0.0170848, -0.0089171, -0.00522339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.167533, 0.352835, 0.382754, 0.477017, 0.551334, 0.609343, 0.660561, 0.708516, 0.755138, 0.801715, 0.81336, 0.825781, 0.938502, 0.996241, 1.03684, 1.09321, 1.14524, 1.20469, 1.25556");
-            values ( \
-              "-0.0127815, -0.0194984, -0.0436877, -0.136901, -0.196577, -0.231456, -0.253176, -0.265211, -0.268599, -0.256424, -0.24941, -0.238962, -0.110586, -0.0663662, -0.0454221, -0.026295, -0.0157234, -0.00853052, -0.00553555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.203226, 0.548011, 0.638758, 0.771597, 0.851535, 0.951007, 1.00335, 1.09268, 1.17428, 1.25193, 1.2722, 1.31272, 1.36719, 1.45793, 1.49886, 1.53235, 1.65301, 1.75203, 1.80649, 1.8679, 1.97035, 2.07718, 2.14168, 2.23243, 2.32318, 2.41392, 2.68617");
-            values ( \
-              "-0.018581, -0.0200075, -0.0586076, -0.125361, -0.16136, -0.199976, -0.21729, -0.241614, -0.258287, -0.269135, -0.270884, -0.273621, -0.273961, -0.263222, -0.249443, -0.232989, -0.159553, -0.10811, -0.0858259, -0.0654777, -0.0408662, -0.0245814, -0.0180681, -0.011501, -0.00739764, -0.00464477, -0.00123621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.19587, 0.200609, 0.204195, 0.207906, 0.215309, 0.220564, 0.225271, 0.229664, 0.233925, 0.238179, 0.24014, 0.243034, 0.249556, 0.254525, 0.258343, 0.263488, 0.267351, 0.272502, 0.28144, 0.288499");
-            values ( \
-              "-0.0134664, -0.0418901, -0.0566494, -0.0829782, -0.115793, -0.133307, -0.145275, -0.1528, -0.155369, -0.148277, -0.1405, -0.122357, -0.0718908, -0.0434117, -0.0285693, -0.0158307, -0.0101068, -0.00547885, -0.00185745, -0.00138464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.208436, 0.216635, 0.221169, 0.226513, 0.232416, 0.24736, 0.254907, 0.261955, 0.268804, 0.275642, 0.279085, 0.297529, 0.30405, 0.31071, 0.315976, 0.321784, 0.335312, 0.343617");
-            values ( \
-              "-0.0408711, -0.055183, -0.0728354, -0.104059, -0.127962, -0.173861, -0.188729, -0.198913, -0.201161, -0.192498, -0.181079, -0.0750729, -0.0495086, -0.0315942, -0.0218546, -0.0145218, -0.00543459, -0.0034079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.110157, 0.13231, 0.140096, 0.154974, 0.18795, 0.208717, 0.22359, 0.238495, 0.25298, 0.262453, 0.285719, 0.300858, 0.314289, 0.326831, 0.339028, 0.351209, 0.354244, 0.388701, 0.401631, 0.416629, 0.428262, 0.447644, 0.46186");
-            values ( \
-              "-0.00407183, -0.00486227, -0.00659808, -0.00763617, -1e-22, -2e-22, -0.00354291, -0.0366868, -0.0783221, -0.113907, -0.173369, -0.20376, -0.221261, -0.233379, -0.235346, -0.22569, -0.21954, -0.0922948, -0.0588076, -0.0337756, -0.0216126, -0.0100501, -0.00633032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.170318, 0.276583, 0.296431, 0.342368, 0.3563, 0.379177, 0.408215, 0.433896, 0.457867, 0.481202, 0.504505, 0.510257, 0.516273, 0.528306, 0.550327, 0.574556, 0.590137, 0.603391, 0.614232, 0.627177, 0.645437, 0.664493, 0.679101, 0.708319, 0.744277");
-            values ( \
-              "-0.00547342, -0.0169544, -0.0474407, -0.133099, -0.156253, -0.188556, -0.221764, -0.242122, -0.254301, -0.256985, -0.245809, -0.239158, -0.229826, -0.204722, -0.152532, -0.103319, -0.0786268, -0.0616738, -0.0503359, -0.0392695, -0.0274233, -0.018803, -0.014016, -0.00764438, -0.00406217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.187716, 0.37778, 0.409338, 0.483452, 0.525268, 0.571682, 0.629804, 0.681099, 0.728981, 0.775604, 0.822165, 0.833716, 0.845871, 0.963671, 0.995485, 1.02144, 1.06832, 1.11822, 1.17483, 1.23521, 1.26219");
-            values ( \
-              "-0.00974999, -0.0226862, -0.0495347, -0.123931, -0.161144, -0.196193, -0.231577, -0.252976, -0.265658, -0.268332, -0.256803, -0.24949, -0.239362, -0.106283, -0.0805179, -0.0635772, -0.0408899, -0.0251369, -0.014345, -0.00770187, -0.00626549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.222227, 0.417898, 0.461819, 0.495836, 0.555207, 0.645797, 0.817773, 0.898376, 1.04015, 1.08902, 1.19396, 1.2791, 1.31768, 1.36911, 1.42206, 1.48311, 1.56352, 1.72341, 1.7859, 1.9034, 2.00229, 2.07184, 2.14922, 2.23997, 2.33072, 2.50003");
-            values ( \
-              "-0.0454359, -0.00759986, -0.00615913, -0.00679856, -0.0164705, -0.0525524, -0.137797, -0.172574, -0.222445, -0.236015, -0.258461, -0.269869, -0.272851, -0.274313, -0.27196, -0.261571, -0.226604, -0.131448, -0.101938, -0.0609692, -0.0387548, -0.0277534, -0.0191328, -0.012341, -0.00778589, -0.0034308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.240611, 0.245929, 0.24984, 0.252933, 0.256896, 0.260656, 0.265923, 0.270627, 0.275019, 0.279279, 0.283534, 0.285516, 0.297424, 0.30215, 0.305225, 0.308575, 0.312332, 0.3153, 0.321235, 0.331101, 0.331703");
-            values ( \
-              "-0.00757998, -0.0417572, -0.0578257, -0.0817805, -0.10088, -0.115453, -0.133537, -0.145103, -0.15294, -0.155259, -0.148365, -0.140406, -0.0561549, -0.0339163, -0.0240245, -0.0163003, -0.0105542, -0.00746977, -0.00370199, -0.00115631, -0.0011345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.251974, 0.25967, 0.273904, 0.284311, 0.292778, 0.300321, 0.307369, 0.314218, 0.321057, 0.324456, 0.342603, 0.349362, 0.356249, 0.367065, 0.380046, 0.382284");
-            values ( \
-              "-0.0260569, -0.0450743, -0.111929, -0.14987, -0.173399, -0.189158, -0.198546, -0.201489, -0.192213, -0.181257, -0.0766541, -0.0498351, -0.0313209, -0.0146593, -0.00571917, -0.00514263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.15521, 0.158459, 0.162689, 0.165637, 0.169166, 0.175108, 0.179476, 0.188047, 0.196303, 0.200018, 0.204732, 0.226079, 0.232221, 0.252271, 0.266691, 0.281762, 0.285845, 0.298261, 0.308675, 0.312092, 0.318925, 0.331051, 0.34618, 0.359628, 0.372172, 0.384371, 0.396554, 0.39958, 0.402809, 0.409266, 0.418484, 0.427756, 0.433866, 0.437986, 0.446225, 0.453101, 0.457906, 0.467515, 0.473445, 0.482194, 0.493859, 0.506533");
-            values ( \
-              "-0.00397804, -0.00442035, -0.00435458, -0.0047343, -0.00452619, -0.00470116, -0.00522826, -0.00695185, -0.00763484, -0.00757542, -0.00702968, -0.000993246, -1e-22, -2e-22, -0.000661845, -0.0309904, -0.0422344, -0.078327, -0.116631, -0.124973, -0.145426, -0.173338, -0.203637, -0.22125, -0.233322, -0.235344, -0.225655, -0.219543, -0.211133, -0.187919, -0.14918, -0.113154, -0.0928439, -0.0807895, -0.0604274, -0.0470718, -0.03938, -0.0272915, -0.0217336, -0.0154756, -0.00973888, -0.00642062" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.215002, 0.322092, 0.355927, 0.381065, 0.401227, 0.4246, 0.453638, 0.47931, 0.503281, 0.526614, 0.549917, 0.555798, 0.574618, 0.608571, 0.623862, 0.648392, 0.673074, 0.698953, 0.714614, 0.745938, 0.777163");
-            values ( \
-              "-0.00523149, -0.0170236, -0.0723933, -0.121893, -0.155552, -0.188541, -0.221822, -0.24209, -0.254347, -0.256948, -0.245852, -0.238984, -0.202628, -0.124843, -0.0966338, -0.0621317, -0.0388895, -0.0233627, -0.0170782, -0.00890567, -0.0052058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.240783, 0.42603, 0.478277, 0.528345, 0.571216, 0.616885, 0.675082, 0.726358, 0.774242, 0.820865, 0.867428, 0.879154, 0.891661, 0.916676, 0.986696, 1.01425, 1.06433, 1.09159, 1.12795, 1.16528, 1.18541, 1.22565, 1.30615, 1.34039");
-            values ( \
-              "-0.00927912, -0.0248544, -0.0721921, -0.123607, -0.161737, -0.196099, -0.231613, -0.252929, -0.265688, -0.268292, -0.256834, -0.249357, -0.238862, -0.210885, -0.127784, -0.101561, -0.0649364, -0.0503818, -0.0355248, -0.0246813, -0.0202437, -0.0135172, -0.00576179, -0.00439342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.171819, 0.204204, 0.225515, 0.266084, 0.376315, 0.453584, 0.519624, 0.561581, 0.635094, 0.907643, 1.01644, 1.08562, 1.13496, 1.22571, 1.26831, 1.33791, 1.41482, 1.4685, 1.52907, 1.61981, 1.75226, 1.8178, 1.94284, 2.03684, 2.1434, 2.29493, 2.47643, 2.49055");
-            values ( \
-              "-0.00653062, -0.0239548, -0.0279204, -0.0254442, -0.0136541, -0.00811437, -0.00608961, -0.00864987, -0.0276046, -0.157195, -0.199844, -0.222363, -0.235931, -0.255974, -0.262966, -0.271028, -0.274352, -0.271949, -0.261531, -0.220709, -0.140571, -0.108413, -0.062759, -0.0407508, -0.0245173, -0.0116569, -0.00467691, -0.00450235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.339544, 0.344691, 0.348602, 0.351685, 0.355672, 0.359417, 0.364685, 0.369389, 0.373783, 0.378043, 0.382298, 0.384279, 0.396169, 0.400933, 0.404119, 0.407387, 0.410995, 0.413818, 0.419466, 0.429247, 0.430656");
-            values ( \
-              "-0.00919368, -0.0417921, -0.057749, -0.0817766, -0.100947, -0.11542, -0.133526, -0.145074, -0.152929, -0.155236, -0.148358, -0.140405, -0.0562679, -0.0338528, -0.0236759, -0.0162144, -0.0106827, -0.00769192, -0.00394745, -0.0012363, -0.00118081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.352278, 0.358364, 0.365295, 0.372613, 0.383029, 0.391467, 0.406074, 0.412923, 0.419762, 0.423232, 0.442029, 0.449074, 0.457856, 0.469371, 0.478707, 0.481183");
-            values ( \
-              "-0.0448728, -0.0450557, -0.0728664, -0.113951, -0.151159, -0.174222, -0.199242, -0.200808, -0.192778, -0.180958, -0.0733434, -0.0466428, -0.0255903, -0.0113431, -0.00570493, -0.00506557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.251029, 0.275432, 0.286987, 0.295241, 0.303898, 0.325713, 0.332132, 0.352828, 0.367555, 0.379612, 0.382488, 0.397056, 0.410855, 0.429771, 0.444911, 0.458343, 0.470883, 0.483082, 0.495863, 0.501456, 0.526985, 0.540187, 0.550845, 0.55647, 0.567227, 0.57218, 0.579779, 0.589912, 0.60682");
-            values ( \
-              "-0.00301009, -0.00459659, -0.00681411, -0.00755247, -0.00689511, -0.00074555, -1e-22, -2e-22, -0.00348212, -0.028926, -0.0365524, -0.0790671, -0.126576, -0.174375, -0.202835, -0.222139, -0.232576, -0.236103, -0.224357, -0.211324, -0.111311, -0.0715807, -0.0487989, -0.0396087, -0.0262332, -0.0216879, -0.0161535, -0.0108289, -0.00569277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.314698, 0.420864, 0.45523, 0.479478, 0.500663, 0.523356, 0.552389, 0.578061, 0.602032, 0.625365, 0.648668, 0.654553, 0.673384, 0.707118, 0.722829, 0.747235, 0.771717, 0.789812, 0.808258, 0.822333, 0.850482, 0.878362");
-            values ( \
-              "-0.00534868, -0.0170998, -0.0732539, -0.121353, -0.156665, -0.188496, -0.221865, -0.242046, -0.254384, -0.256912, -0.245884, -0.238977, -0.202592, -0.125259, -0.0962778, -0.062031, -0.0389726, -0.0273086, -0.0189525, -0.0142797, -0.00797831, -0.00507279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.33207, 0.535782, 0.641466, 0.691618, 0.715773, 0.764084, 0.793238, 0.825014, 0.872973, 0.919596, 0.966172, 0.977834, 0.990273, 1.01515, 1.08657, 1.11158, 1.16217, 1.19739, 1.22101, 1.26624, 1.29117, 1.34102, 1.42993");
-            values ( \
-              "-0.000670498, -0.0335584, -0.136436, -0.178846, -0.196171, -0.226344, -0.240668, -0.25288, -0.265508, -0.268315, -0.256707, -0.249376, -0.238953, -0.211205, -0.126627, -0.10281, -0.0654615, -0.0471379, -0.0376078, -0.0241842, -0.0189025, -0.0114054, -0.00441384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.367423, 0.743849, 0.962845, 1.05359, 1.11573, 1.18462, 1.23424, 1.33918, 1.42437, 1.46282, 1.51408, 1.56781, 1.62834, 1.71909, 1.85153, 1.91708, 1.95403, 2.02659, 2.11129, 2.18183, 2.22635, 2.32705, 2.41779, 2.50854, 2.59929, 2.6354");
-            values ( \
-              "-0.00846417, -0.0314209, -0.137746, -0.176552, -0.199939, -0.222198, -0.236014, -0.258461, -0.269876, -0.272849, -0.274301, -0.2719, -0.261577, -0.220663, -0.140612, -0.108382, -0.092568, -0.0674467, -0.0457258, -0.0329295, -0.0265179, -0.0164448, -0.010406, -0.00674153, -0.00417977, -0.00377804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.541245, 0.549207, 0.553559, 0.559415, 0.565269, 0.569988, 0.574392, 0.578657, 0.58292, 0.584825, 0.586791, 0.596412, 0.601002, 0.603658, 0.607199, 0.613118, 0.618002, 0.626872");
-            values ( \
-              "-0.0257851, -0.0573626, -0.0884764, -0.11355, -0.132824, -0.144842, -0.152393, -0.155117, -0.148021, -0.140605, -0.129302, -0.0584879, -0.0360153, -0.0268091, -0.017825, -0.00897806, -0.00504986, -0.00179851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.550285, 0.558768, 0.573233, 0.583685, 0.592174, 0.599734, 0.606791, 0.613647, 0.620601, 0.623847, 0.641922, 0.648737, 0.655776, 0.666425, 0.679052, 0.682091");
-            values ( \
-              "-0.0208732, -0.0436475, -0.111109, -0.149288, -0.173057, -0.188824, -0.198344, -0.201301, -0.191909, -0.181356, -0.0772115, -0.0500439, -0.0311352, -0.0147461, -0.00590989, -0.00509951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.563983, 0.583052, 0.597689, 0.607553, 0.619079, 0.645392, 0.658821, 0.671356, 0.683549, 0.695724, 0.702061, 0.734265, 0.746725, 0.759248, 0.7686, 0.778275, 0.800497, 0.810342");
-            values ( \
-              "-0.0226247, -0.0372633, -0.0786695, -0.116633, -0.148687, -0.204249, -0.221124, -0.233754, -0.235175, -0.22598, -0.21094, -0.0891193, -0.0576316, -0.0362869, -0.0253586, -0.0174591, -0.00719276, -0.005404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.515283, 0.621833, 0.633823, 0.65642, 0.68706, 0.70665, 0.723826, 0.752869, 0.778534, 0.802503, 0.825835, 0.849136, 0.85503, 0.861317, 0.873889, 0.907064, 0.923844, 0.947847, 0.972016, 0.990186, 1.0089, 1.02322, 1.05184, 1.0926");
-            values ( \
-              "-0.00461305, -0.0177485, -0.0352666, -0.0742645, -0.133148, -0.165147, -0.188483, -0.221949, -0.24203, -0.254442, -0.256888, -0.245928, -0.238971, -0.229109, -0.202507, -0.126326, -0.0953753, -0.0618722, -0.0391052, -0.0273612, -0.0188864, -0.014161, -0.00782833, -0.00366458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.53337, 0.726958, 0.782518, 0.84171, 0.916, 0.974016, 1.02523, 1.07318, 1.1198, 1.16638, 1.17804, 1.19047, 1.21534, 1.28686, 1.31167, 1.36221, 1.39812, 1.42157, 1.46645, 1.49104, 1.54021, 1.63096, 1.72171, 1.81246");
-            values ( \
-              "-0.00683078, -0.0262677, -0.0772368, -0.136764, -0.196452, -0.231363, -0.253091, -0.265319, -0.268512, -0.256521, -0.249398, -0.238949, -0.211247, -0.126549, -0.102911, -0.0655663, -0.0469022, -0.0374801, -0.0241848, -0.0189647, -0.0115293, -0.00431402, -0.00160915, -0.000595053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.584176, 0.948956, 1.16349, 1.25423, 1.3163, 1.3852, 1.43483, 1.53979, 1.62504, 1.66332, 1.71436, 1.7326, 1.76909, 1.82895, 1.9197, 2.05215, 2.11769, 2.15464, 2.2272, 2.31191, 2.38246, 2.42697, 2.52764, 2.61839, 2.70914, 2.79989, 2.8411");
-            values ( \
-              "-0.00889631, -0.0331996, -0.137722, -0.176533, -0.199898, -0.222164, -0.235989, -0.258447, -0.269872, -0.272837, -0.274284, -0.274037, -0.271822, -0.261578, -0.220654, -0.140615, -0.108374, -0.0925781, -0.0674386, -0.0457336, -0.032918, -0.0265267, -0.0164366, -0.0104164, -0.00673257, -0.00418971, -0.0037283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.953734, 0.962024, 0.965155, 0.969178, 0.978252, 0.982979, 0.987388, 0.991662, 0.99593, 0.997838, 1.00943, 1.01402, 1.02018, 1.0262, 1.03116, 1.03842");
-            values ( \
-              "-0.0246318, -0.0566334, -0.0809805, -0.10013, -0.132875, -0.144447, -0.15244, -0.154789, -0.148064, -0.140501, -0.0584304, -0.0359953, -0.017903, -0.00891747, -0.00496471, -0.00235749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.958949, 0.96777, 0.97192, 0.978868, 0.986209, 0.996641, 1.00512, 1.01268, 1.01974, 1.02659, 1.03355, 1.0368, 1.05489, 1.06169, 1.0687, 1.07938, 1.09209, 1.09465");
-            values ( \
-              "-0.00583162, -0.0294153, -0.0449569, -0.0726396, -0.111475, -0.149463, -0.173123, -0.1889, -0.198353, -0.201351, -0.191928, -0.181327, -0.0770933, -0.0499989, -0.0311746, -0.0147272, -0.00586896, -0.00519149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.833632, 0.884115, 0.909681, 0.920323, 0.939315, 0.946541, 0.967891, 0.982949, 0.995944, 1.0108, 1.02456, 1.04347, 1.0586, 1.07203, 1.08457, 1.09677, 1.10915, 1.11195, 1.14924, 1.16182, 1.17659, 1.19013, 1.19925, 1.21428");
-            values ( \
-              "-0.00110818, -0.00278459, -0.00662218, -0.00564478, -0.00089941, -1e-22, -2e-22, -0.00657292, -0.0362706, -0.0792746, -0.126697, -0.174419, -0.202928, -0.222143, -0.232645, -0.23609, -0.224889, -0.219625, -0.0839781, -0.053862, -0.0310235, -0.0184243, -0.0128768, -0.00720332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.926078, 1.0349, 1.04042, 1.04713, 1.06935, 1.0899, 1.09992, 1.11996, 1.13668, 1.16572, 1.19138, 1.20358, 1.20946, 1.21577, 1.22188, 1.2274, 1.23717, 1.24116, 1.24647, 1.2571, 1.26212, 1.27215, 1.28862, 1.3059, 1.32331, 1.33238, 1.33834, 1.34823, 1.36101, 1.36959, 1.38104, 1.40142, 1.40842, 1.4154, 1.42471, 1.44332, 1.48055, 1.53774, 1.60752");
-            values ( \
-              "-0.00382735, -0.0180687, -0.0256326, -0.036063, -0.0744184, -0.116128, -0.133223, -0.165852, -0.188529, -0.221955, -0.24206, -0.248682, -0.251471, -0.253763, -0.255445, -0.256431, -0.256701, -0.256142, -0.254764, -0.249287, -0.245042, -0.231891, -0.197514, -0.156449, -0.119151, -0.102391, -0.0923911, -0.0775239, -0.061326, -0.0522349, -0.0419906, -0.0281339, -0.0245051, -0.0213448, -0.017732, -0.0121623, -0.00552271, -0.00146298, -0.000262931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.945486, 1.1275, 1.15766, 1.2543, 1.30385, 1.32862, 1.38672, 1.43799, 1.48594, 1.53258, 1.57916, 1.59076, 1.60313, 1.62788, 1.70068, 1.7232, 1.76859, 1.79109, 1.82757, 1.86384, 1.87909, 1.9096, 1.97063, 2.06138, 2.15212, 2.24287");
-            values ( \
-              "-0.0145023, -0.0175662, -0.0412249, -0.136285, -0.177821, -0.196153, -0.231178, -0.252976, -0.26523, -0.268451, -0.256481, -0.249406, -0.239037, -0.211531, -0.125495, -0.104027, -0.0695671, -0.0565289, -0.0400205, -0.0281086, -0.0242038, -0.0178929, -0.00955647, -0.00357466, -0.0013269, -0.000496948" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.981768, 1.35622, 1.44303, 1.5481, 1.63513, 1.72959, 1.78156, 1.86246, 1.95287, 2.05087, 2.09444, 2.14582, 2.23657, 2.27614, 2.31015, 2.43266, 2.52997, 2.59495, 2.64877, 2.69181, 2.74579, 2.82166, 2.87086, 2.96284, 3.05358, 3.14433, 3.23508, 3.32583, 3.50732");
-            values ( \
-              "-0.00816971, -0.0310999, -0.0716084, -0.124654, -0.163727, -0.200167, -0.217242, -0.239771, -0.258535, -0.27111, -0.273792, -0.274075, -0.263169, -0.250002, -0.23332, -0.158802, -0.108353, -0.0821813, -0.0647523, -0.0532849, -0.0414733, -0.0289556, -0.0228829, -0.0146612, -0.00938404, -0.00596012, -0.00380704, -0.00240058, -0.000960673" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00302299, 0.00302303, 0.00302308, 0.00302311, 0.00302313, 0.00302314", \
-            "0.00361979, 0.0036198, 0.00361982, 0.00361984, 0.00361985, 0.00361986", \
-            "0.00398347, 0.00398347, 0.00398347, 0.00398347, 0.00398348, 0.00398348", \
-            "0.0041985, 0.0041985, 0.00419849, 0.00419849, 0.00419849, 0.00419849", \
-            "0.00431299, 0.00431299, 0.00431298, 0.00431298, 0.00431298, 0.00431298", \
-            "0.00437468, 0.00437468, 0.00437468, 0.00437467, 0.00437467, 0.00437467" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00434882, 0.00434879, 0.00434878, 0.00434878, 0.00434878, 0.00434878", \
-            "0.0048261, 0.00482605, 0.00482601, 0.00482599, 0.00482598, 0.00482597", \
-            "0.00508757, 0.00508752, 0.00508745, 0.00508739, 0.00508736, 0.00508733", \
-            "0.00535626, 0.00535621, 0.00535622, 0.00535617, 0.00535612, 0.00535609", \
-            "0.00577733, 0.00577744, 0.00577759, 0.0057777, 0.00577777, 0.00577779", \
-            "0.00677685, 0.00677373, 0.0067698, 0.00676546, 0.00676308, 0.00676202" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.199245, 0.231192, 0.29556, 0.426835, 0.697994, 1.26176", \
-            "0.205352, 0.23732, 0.301663, 0.432944, 0.703991, 1.26778", \
-            "0.219896, 0.251847, 0.316211, 0.447487, 0.7187, 1.28247", \
-            "0.251692, 0.28364, 0.348, 0.479297, 0.75036, 1.31406", \
-            "0.30582, 0.337795, 0.402195, 0.533508, 0.804584, 1.36841", \
-            "0.391411, 0.423411, 0.487847, 0.619155, 0.890394, 1.45478" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0276955, 0.0445526, 0.0795846, 0.152527, 0.304724, 0.622029", \
-            "0.0277028, 0.0445184, 0.0795878, 0.152526, 0.304588, 0.622096", \
-            "0.0276937, 0.044522, 0.0795813, 0.152526, 0.304713, 0.622083", \
-            "0.027693, 0.0445216, 0.0795801, 0.152527, 0.304563, 0.621994", \
-            "0.0277351, 0.0445451, 0.0795754, 0.152512, 0.304554, 0.62223", \
-            "0.0277781, 0.0445481, 0.0795698, 0.152387, 0.304789, 0.622047" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.176898, 0.185751, 0.190199, 0.196598, 0.201851, 0.206542, 0.210003, 0.212788, 0.215172, 0.217285, 0.219471, 0.223823, 0.233335, 0.238394, 0.243018, 0.247369, 0.253532");
-            values ( \
-              "-0.022122, -0.0574204, -0.0888667, -0.116233, -0.133603, -0.145375, -0.151831, -0.154894, -0.155363, -0.153373, -0.147901, -0.125774, -0.0561692, -0.032709, -0.0193365, -0.0117162, -0.00613484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.187292, 0.194775, 0.195876, 0.196854, 0.198703, 0.20115, 0.201966, 0.204107, 0.206248, 0.21103, 0.21268, 0.217865, 0.220771, 0.223677, 0.228253, 0.229975, 0.233352, 0.235783, 0.237336, 0.240152, 0.241489, 0.242825, 0.244162, 0.245498, 0.246835, 0.248172, 0.249662, 0.250408, 0.251899, 0.252644, 0.254611, 0.256578, 0.258328, 0.260077, 0.261827, 0.263577, 0.264381, 0.270404, 0.272803, 0.274981, 0.278569, 0.280679, 0.283183, 0.28559, 0.287405, 0.290632, 0.293156, 0.294417, 0.295679, 0.299017");
-            values ( \
-              "-0.0308962, -0.0422412, -0.0467425, -0.05161, -0.0586643, -0.0692537, -0.0731012, -0.0858612, -0.0971848, -0.118123, -0.124813, -0.143472, -0.152903, -0.161415, -0.173498, -0.177641, -0.184633, -0.189239, -0.19189, -0.196155, -0.197764, -0.199108, -0.199972, -0.200592, -0.200969, -0.201102, -0.200964, -0.200575, -0.19916, -0.198132, -0.194053, -0.188886, -0.183376, -0.177006, -0.169776, -0.161685, -0.157387, -0.118703, -0.104429, -0.0922213, -0.0739131, -0.065055, -0.0556342, -0.0472957, -0.0414949, -0.0333868, -0.0282206, -0.0258877, -0.0237215, -0.0187282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.086818, 0.0907238, 0.0980147, 0.106124, 0.113893, 0.119558, 0.124219, 0.129335, 0.132783, 0.136375, 0.140132, 0.144236, 0.149432, 0.15467, 0.159699, 0.165005, 0.199221, 0.203666, 0.208686, 0.213571, 0.217985, 0.231039, 0.247523, 0.266503, 0.28167, 0.295123, 0.30768, 0.319894, 0.332683, 0.335053, 0.338213, 0.344532, 0.355681, 0.364058, 0.368436, 0.376494, 0.383273, 0.388765, 0.395459, 0.404895, 0.40912, 0.414878, 0.422554, 0.436122");
-            values ( \
-              "-0.00501199, -0.00556612, -0.00557437, -0.00699918, -0.00731842, -0.00888795, -0.00876946, -0.00945886, -0.00884426, -0.00897773, -0.00780943, -0.00693706, -0.00457326, -0.00290655, -0.00081281, -1e-22, -2e-22, -0.001471, -0.0101986, -0.0208585, -0.0321828, -0.069821, -0.126124, -0.174096, -0.202422, -0.221897, -0.23222, -0.235881, -0.224147, -0.219504, -0.211374, -0.18892, -0.142336, -0.11047, -0.0958898, -0.0729262, -0.0572954, -0.046905, -0.0365328, -0.0254551, -0.0216415, -0.0173211, -0.0128223, -0.00758877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.139628, 0.253396, 0.266776, 0.29081, 0.315288, 0.336789, 0.359525, 0.388668, 0.414414, 0.438431, 0.4618, 0.485136, 0.490901, 0.497049, 0.509346, 0.546231, 0.562802, 0.587434, 0.600256, 0.617352, 0.633621, 0.658364, 0.691355, 0.717049");
-            values ( \
-              "-0.00944077, -0.0120521, -0.0300917, -0.0712123, -0.11995, -0.155782, -0.187471, -0.221287, -0.241391, -0.254071, -0.256476, -0.245766, -0.238939, -0.229421, -0.203682, -0.119984, -0.0905896, -0.0579064, -0.0454506, -0.0325977, -0.0236631, -0.0144137, -0.00722704, -0.00478936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.164126, 0.353434, 0.381529, 0.477055, 0.551685, 0.609984, 0.661336, 0.70927, 0.755931, 0.80253, 0.814103, 0.826447, 0.946005, 1.00474, 1.05439, 1.1026, 1.13036, 1.18588, 1.24663");
-            values ( \
-              "-0.0136983, -0.0191761, -0.0415774, -0.135543, -0.195697, -0.231065, -0.252748, -0.26532, -0.268237, -0.256594, -0.24938, -0.239066, -0.104522, -0.0618742, -0.0387268, -0.024192, -0.0183831, -0.0104318, -0.00606624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.207497, 0.556353, 0.647408, 0.798142, 0.889196, 1.02039, 1.07003, 1.16109, 1.20301, 1.27314, 1.35849, 1.38688, 1.42472, 1.46425, 1.54331, 1.70562, 1.76559, 1.87747, 1.97009, 2.04215, 2.09435, 2.16899, 2.246, 2.33705, 2.42811, 2.47425");
-            values ( \
-              "-0.0176878, -0.0223009, -0.0624496, -0.137058, -0.176389, -0.222126, -0.235623, -0.255934, -0.262834, -0.270993, -0.274279, -0.273233, -0.269293, -0.261615, -0.227177, -0.131017, -0.102677, -0.0629362, -0.0411311, -0.0293326, -0.0227664, -0.0158676, -0.0109808, -0.00688892, -0.00447629, -0.00389968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.196772, 0.205716, 0.208304, 0.21657, 0.221832, 0.226521, 0.23091, 0.235151, 0.23945, 0.243803, 0.25315, 0.258284, 0.26301, 0.270458, 0.276575, 0.277213");
-            values ( \
-              "-0.0217507, -0.0562122, -0.0778948, -0.115592, -0.133783, -0.145425, -0.152937, -0.155393, -0.147935, -0.125731, -0.0571036, -0.0330209, -0.0192811, -0.00810164, -0.00394724, -0.00376627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.202867, 0.214706, 0.221898, 0.225516, 0.229307, 0.236827, 0.243998, 0.248172, 0.255711, 0.26277, 0.269604, 0.276439, 0.279863, 0.283398, 0.294193, 0.298146, 0.304797, 0.311553, 0.316796, 0.322513, 0.326942, 0.3358, 0.351358, 0.369983");
-            values ( \
-              "-0.00401371, -0.0422295, -0.0709349, -0.0932759, -0.111067, -0.139981, -0.162446, -0.173404, -0.189117, -0.198767, -0.201521, -0.192378, -0.181212, -0.162878, -0.095922, -0.0758873, -0.0496525, -0.0314845, -0.0218084, -0.0145833, -0.0106365, -0.00555661, -0.00165737, -0.000426594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.106928, 0.124793, 0.144628, 0.153218, 0.160671, 0.17531, 0.185748, 0.22165, 0.226367, 0.23781, 0.252681, 0.264093, 0.286452, 0.301623, 0.315069, 0.327627, 0.33984, 0.352037, 0.358206, 0.388597, 0.403345, 0.413638, 0.427788, 0.446016, 0.452587");
-            values ( \
-              "-0.00490552, -0.00628795, -0.00939234, -0.00927475, -0.00799154, -0.00241498, -1e-22, -2e-22, -0.00587217, -0.0318535, -0.0740157, -0.115542, -0.173506, -0.202984, -0.221372, -0.232702, -0.235427, -0.225156, -0.211233, -0.0952122, -0.0570399, -0.039054, -0.0227105, -0.0111394, -0.00906271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.160264, 0.273359, 0.288959, 0.311453, 0.331712, 0.342511, 0.36411, 0.37955, 0.408702, 0.434453, 0.45847, 0.481839, 0.505176, 0.51094, 0.517088, 0.529386, 0.566271, 0.58284, 0.607473, 0.620299, 0.6374, 0.653661, 0.678394, 0.71137, 0.738394");
-            values ( \
-              "-0.00950635, -0.0120865, -0.0335134, -0.0719911, -0.113207, -0.131701, -0.166745, -0.187496, -0.221231, -0.24144, -0.254017, -0.256527, -0.245712, -0.23894, -0.22942, -0.203683, -0.119984, -0.0905915, -0.057907, -0.045448, -0.0325919, -0.0236624, -0.0144163, -0.00723131, -0.00466525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.180059, 0.391887, 0.497647, 0.54787, 0.572277, 0.621091, 0.649135, 0.681725, 0.729741, 0.776395, 0.823007, 0.834531, 0.846824, 0.910675, 0.958979, 1.00448, 1.0286, 1.05575, 1.09195, 1.11434, 1.16774, 1.22877, 1.31982, 1.41088");
-            values ( \
-              "-0.00107619, -0.0327353, -0.135554, -0.178044, -0.195644, -0.226101, -0.239925, -0.252609, -0.265239, -0.268177, -0.256552, -0.249374, -0.239141, -0.163262, -0.111361, -0.0748076, -0.059986, -0.0465052, -0.0327791, -0.0263521, -0.0155349, -0.00828878, -0.00307879, -0.00114374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.22562, 0.599913, 0.782767, 0.890077, 1.04222, 1.09039, 1.18144, 1.22335, 1.29349, 1.322, 1.37902, 1.40688, 1.44402, 1.48461, 1.56579, 1.65039, 1.7664, 1.87756, 1.9615, 2.07497, 2.13379, 2.21221, 2.30326, 2.39432, 2.46153");
-            values ( \
-              "-0.00977005, -0.0310195, -0.120419, -0.168449, -0.222506, -0.23567, -0.255877, -0.262776, -0.270938, -0.272803, -0.274225, -0.273204, -0.269486, -0.261566, -0.226026, -0.173824, -0.111305, -0.0689753, -0.0471025, -0.0275828, -0.0208139, -0.0142295, -0.00904442, -0.00578099, -0.00451011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.242984, 0.251017, 0.254111, 0.261853, 0.26711, 0.271797, 0.276183, 0.280424, 0.282535, 0.284722, 0.289074, 0.298421, 0.302767, 0.308288, 0.315721, 0.321825, 0.326555");
-            values ( \
-              "-0.0246034, -0.0575031, -0.0811006, -0.115726, -0.133707, -0.145484, -0.152998, -0.155418, -0.15333, -0.147961, -0.125724, -0.057131, -0.0360465, -0.0192843, -0.00812823, -0.00393881, -0.00260745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.146138, 0.184153, 0.208728, 0.245329, 0.257204, 0.289905, 0.301663, 0.308722, 0.315557, 0.325816, 0.344094, 0.357508, 0.368465, 0.371991");
-            values ( \
-              "-0.00308303, -0.00504654, -1e-22, -2e-22, -0.0286845, -0.162412, -0.188997, -0.198856, -0.201412, -0.181217, -0.0759169, -0.0314775, -0.0145878, -0.012191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.152064, 0.155112, 0.162018, 0.170268, 0.178114, 0.184242, 0.188948, 0.193848, 0.197502, 0.201247, 0.208825, 0.213697, 0.224357, 0.229548, 0.26694, 0.272529, 0.27709, 0.28341, 0.29657, 0.312998, 0.331969, 0.347136, 0.360587, 0.373143, 0.385356, 0.398146, 0.400516, 0.403676, 0.409995, 0.421138, 0.429519, 0.433901, 0.441959, 0.448737, 0.454225, 0.46092, 0.470356, 0.474582, 0.480341, 0.48802, 0.500911");
-            values ( \
-              "-0.00495537, -0.00547811, -0.00537462, -0.00685727, -0.0071073, -0.00876835, -0.0087085, -0.00946694, -0.00888431, -0.00904082, -0.00721545, -0.00508206, -0.00112297, -1e-22, -2e-22, -0.00709967, -0.0163429, -0.0321294, -0.069974, -0.126271, -0.174205, -0.202352, -0.22199, -0.232145, -0.235967, -0.224154, -0.219509, -0.211373, -0.18892, -0.142357, -0.110477, -0.0958852, -0.07292, -0.0572917, -0.0469078, -0.0365364, -0.0254547, -0.0216403, -0.0173188, -0.0128218, -0.00784836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.204139, 0.319277, 0.333941, 0.35677, 0.387761, 0.410218, 0.4248, 0.453966, 0.479706, 0.503723, 0.527092, 0.550429, 0.556182, 0.562309, 0.574564, 0.594418, 0.621206, 0.637156, 0.649754, 0.669165, 0.689875, 0.696959, 0.71374, 0.732919, 0.771275, 0.828058, 0.89757");
-            values ( \
-              "-0.0085145, -0.0128352, -0.0331749, -0.0721538, -0.131807, -0.167897, -0.187592, -0.221139, -0.241539, -0.253917, -0.256625, -0.245614, -0.238957, -0.229474, -0.203859, -0.156721, -0.102053, -0.0771112, -0.0612009, -0.0424014, -0.0282236, -0.0245461, -0.0175852, -0.0119211, -0.00526833, -0.00141341, -0.000256679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.228976, 0.415374, 0.442855, 0.542884, 0.617502, 0.675743, 0.727163, 0.775096, 0.821757, 0.869128, 0.87974, 0.891719, 0.915676, 0.960672, 1.00893, 1.04019, 1.06708, 1.08924, 1.11526, 1.1646, 1.19014, 1.21933, 1.27771, 1.36876, 1.45982");
-            values ( \
-              "-0.0160056, -0.0165987, -0.0377248, -0.136066, -0.196096, -0.230639, -0.253135, -0.264944, -0.268607, -0.256062, -0.249537, -0.23957, -0.213223, -0.157724, -0.107151, -0.0816117, -0.0638998, -0.0520069, -0.0406119, -0.0251048, -0.019524, -0.0146002, -0.00800921, -0.00297929, -0.00110151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.27208, 0.444355, 0.48995, 0.526919, 0.591821, 0.676589, 0.835214, 0.923019, 1.01759, 1.08614, 1.1364, 1.19304, 1.24108, 1.33214, 1.38229, 1.41759, 1.46713, 1.53052, 1.61129, 1.77042, 1.83394, 1.90925, 1.96568, 2.02991, 2.0933, 2.16653, 2.26775, 2.3588, 2.44986, 2.51787");
-            values ( \
-              "-0.0518225, -0.0084153, -0.00631789, -0.00589834, -0.0135777, -0.0445272, -0.12367, -0.163139, -0.199647, -0.221883, -0.235783, -0.24906, -0.258198, -0.270283, -0.273574, -0.274218, -0.272084, -0.261516, -0.226385, -0.131665, -0.101704, -0.073454, -0.0570865, -0.042481, -0.0314734, -0.022221, -0.0136111, -0.00867181, -0.00551738, -0.00400918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.342281, 0.350913, 0.355182, 0.361743, 0.366992, 0.371503, 0.376081, 0.379194, 0.382437, 0.384622, 0.388857, 0.399561, 0.404102, 0.409617, 0.41625, 0.422079, 0.425959");
-            values ( \
-              "-0.0153405, -0.057693, -0.0887133, -0.115757, -0.13338, -0.145105, -0.152959, -0.155491, -0.153372, -0.1479, -0.126593, -0.0502858, -0.0307335, -0.0163296, -0.00756645, -0.00379199, -0.00275811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.241142, 0.287347, 0.309222, 0.346068, 0.357931, 0.389759, 0.401495, 0.408555, 0.415389, 0.425651, 0.443952, 0.457332, 0.468305, 0.473741");
-            values ( \
-              "-0.00221302, -0.00458814, -1e-22, -2e-22, -0.0320434, -0.162419, -0.189097, -0.198753, -0.201519, -0.181199, -0.075789, -0.0314996, -0.0145764, -0.0108882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.248623, 0.249486, 0.254734, 0.273767, 0.287507, 0.297888, 0.305463, 0.324655, 0.329891, 0.367528, 0.372797, 0.383857, 0.398974, 0.412878, 0.431852, 0.447018, 0.460469, 0.473026, 0.485239, 0.497633, 0.503586, 0.53391, 0.542035, 0.548693, 0.560708, 0.570184, 0.580317, 0.588127, 0.599153");
-            values ( \
-              "-0.00382996, -0.00426821, -0.00425126, -0.00648679, -0.00873168, -0.00902998, -0.00804672, -0.00116079, -1e-22, -2e-22, -0.00775575, -0.0337249, -0.0778398, -0.125869, -0.173903, -0.202648, -0.221727, -0.232397, -0.23574, -0.224696, -0.211292, -0.0954808, -0.0724288, -0.05714, -0.0366652, -0.0255071, -0.0172546, -0.0127075, -0.00850767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.305019, 0.420035, 0.443108, 0.487877, 0.524926, 0.554077, 0.579831, 0.603847, 0.627217, 0.650938, 0.656297, 0.662421, 0.67467, 0.694655, 0.721288, 0.737215, 0.749855, 0.769402, 0.790038, 0.797105, 0.813776, 0.832828, 0.870933, 0.891324");
-            values ( \
-              "-0.00799347, -0.0134952, -0.0483633, -0.132309, -0.188015, -0.220714, -0.241924, -0.253545, -0.256977, -0.245056, -0.238987, -0.229482, -0.203916, -0.156455, -0.102131, -0.0772049, -0.0612282, -0.042311, -0.0282032, -0.0245378, -0.0176175, -0.011975, -0.00532371, -0.00392071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.329568, 0.530245, 0.643094, 0.717678, 0.764697, 0.798063, 0.827109, 0.875115, 0.921772, 0.968385, 0.979897, 0.992177, 1.11067, 1.16812, 1.2068, 1.2631, 1.29721, 1.33618, 1.39603");
-            values ( \
-              "-0.00654819, -0.0269803, -0.135901, -0.195908, -0.224903, -0.24131, -0.252789, -0.265061, -0.268347, -0.256384, -0.249399, -0.239148, -0.105588, -0.0633158, -0.0440613, -0.0254517, -0.018188, -0.0122958, -0.00699568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.372059, 0.544365, 0.585986, 0.630382, 0.697943, 0.787584, 0.93578, 1.02016, 1.11121, 1.19727, 1.23575, 1.3127, 1.39138, 1.43853, 1.52958, 1.55878, 1.59215, 1.63002, 1.70577, 1.79855, 1.90057, 1.9728, 2.03362, 2.08644, 2.15686, 2.21713, 2.29795, 2.389, 2.48005, 2.57111, 2.66216, 2.84427");
-            values ( \
-              "-0.0518951, -0.00832868, -0.00636768, -0.0060698, -0.0150839, -0.0499259, -0.124009, -0.161999, -0.197501, -0.22526, -0.235742, -0.253127, -0.265808, -0.270823, -0.274128, -0.272742, -0.269191, -0.261516, -0.229145, -0.172122, -0.116454, -0.0860115, -0.0656723, -0.0516832, -0.0372438, -0.0279324, -0.0189472, -0.0121559, -0.00770128, -0.00493497, -0.00308995, -0.00122646" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.544738, 0.554256, 0.555651, 0.55842, 0.563526, 0.571815, 0.575082, 0.580902, 0.585148, 0.587262, 0.589451, 0.593807, 0.603164, 0.607506, 0.612984, 0.62052, 0.626706, 0.630114");
-            values ( \
-              "-0.000157015, -0.0528236, -0.0557383, -0.078976, -0.103907, -0.133615, -0.141997, -0.152786, -0.155247, -0.153187, -0.147837, -0.125649, -0.0570681, -0.0360264, -0.0193787, -0.00807472, -0.00386721, -0.0029263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.55477, 0.564699, 0.571914, 0.579338, 0.58549, 0.593641, 0.59953, 0.60577, 0.612827, 0.619671, 0.62662, 0.629908, 0.633415, 0.644316, 0.648051, 0.654812, 0.661703, 0.666916, 0.672512, 0.676835, 0.685479, 0.700804, 0.71908");
-            values ( \
-              "-0.013034, -0.0420643, -0.0710744, -0.111167, -0.135071, -0.161028, -0.176395, -0.189036, -0.198565, -0.201498, -0.192035, -0.181276, -0.16317, -0.0956444, -0.0766713, -0.0498419, -0.0313192, -0.0217417, -0.0146638, -0.0107782, -0.0057261, -0.00174374, -0.000459657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.439941, 0.467982, 0.49675, 0.506823, 0.531162, 0.536885, 0.56954, 0.575959, 0.589985, 0.603796, 0.617667, 0.636651, 0.651815, 0.665265, 0.677822, 0.690034, 0.702229, 0.708413, 0.734024, 0.747058, 0.757896, 0.774291, 0.786621, 0.796535, 0.805873");
-            values ( \
-              "-0.00230707, -0.00429865, -0.00848481, -0.00853159, -0.000630697, -1e-22, -2e-22, -0.00507737, -0.0375473, -0.077328, -0.125485, -0.173609, -0.202976, -0.221456, -0.232671, -0.235504, -0.225107, -0.211202, -0.111069, -0.0718489, -0.048679, -0.0261625, -0.0162522, -0.0109918, -0.00809617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.510557, 0.624319, 0.639395, 0.692849, 0.71539, 0.729867, 0.759021, 0.784763, 0.808779, 0.832146, 0.855482, 0.861256, 0.879732, 0.923302, 0.947377, 0.969504, 0.981395, 1.00467, 1.01779, 1.04404, 1.07522");
-            values ( \
-              "-0.0086034, -0.0128444, -0.0338256, -0.131716, -0.168286, -0.187467, -0.221336, -0.241398, -0.254095, -0.256482, -0.245775, -0.238931, -0.20359, -0.107298, -0.0701691, -0.0464124, -0.0369083, -0.0233382, -0.0179623, -0.0104814, -0.00608162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.530485, 0.735126, 0.787723, 0.84756, 0.898523, 0.922169, 0.980308, 1.002, 1.03159, 1.0796, 1.12626, 1.17287, 1.18438, 1.19667, 1.22124, 1.2607, 1.29582, 1.31521, 1.34745, 1.37264, 1.41114, 1.45321, 1.46756, 1.5018, 1.54093, 1.61919, 1.71025, 1.8013");
-            values ( \
-              "-0.0056261, -0.0273066, -0.0756465, -0.135902, -0.178411, -0.195928, -0.230981, -0.241084, -0.2528, -0.265069, -0.268353, -0.256388, -0.249398, -0.23914, -0.211917, -0.163047, -0.124039, -0.105539, -0.0796301, -0.0632979, -0.0441201, -0.029281, -0.0254576, -0.0181671, -0.0122618, -0.00535779, -0.00198252, -0.000739112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.575458, 0.750085, 0.786755, 0.835648, 0.897804, 0.984914, 1.1381, 1.23085, 1.3227, 1.38953, 1.44078, 1.53184, 1.57366, 1.64386, 1.67272, 1.73044, 1.78806, 1.83499, 1.92604, 2.10622, 2.16953, 2.25277, 2.30746, 2.42374, 2.50127, 2.59233, 2.68338, 2.77444, 2.78321");
-            values ( \
-              "-0.0511217, -0.00830557, -0.00653268, -0.00607259, -0.0138412, -0.0463023, -0.12277, -0.164431, -0.199798, -0.221523, -0.235762, -0.255809, -0.2627, -0.270873, -0.272894, -0.274144, -0.270373, -0.261507, -0.220426, -0.116249, -0.0891374, -0.0616386, -0.0480471, -0.0277586, -0.0191234, -0.0123144, -0.00775819, -0.00501562, -0.00489347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.965439, 0.97396, 0.978464, 0.984918, 0.990667, 0.994922, 0.999325, 1.0031, 1.0062, 1.00789, 1.01128, 1.02244, 1.0276, 1.03327, 1.03998, 1.04764, 1.04913");
-            values ( \
-              "-0.0188057, -0.0570426, -0.0875129, -0.115157, -0.134095, -0.144829, -0.152449, -0.155177, -0.151993, -0.14764, -0.132031, -0.0522834, -0.0299169, -0.0155941, -0.00715603, -0.00281563, -0.00253077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.977303, 0.9857, 0.990256, 0.995334, 1.00079, 1.00828, 1.01583, 1.02157, 1.02972, 1.0346, 1.03817, 1.04498, 1.04716, 1.04949, 1.05284, 1.059, 1.06656, 1.07375, 1.07785, 1.08253, 1.09015, 1.09533, 1.10568, 1.12092, 1.13947");
-            values ( \
-              "-0.0403179, -0.0528191, -0.070651, -0.0995813, -0.122922, -0.149259, -0.170844, -0.183855, -0.19676, -0.200785, -0.201126, -0.192383, -0.185991, -0.176388, -0.157519, -0.118076, -0.0766663, -0.0484095, -0.0368157, -0.0266465, -0.0156027, -0.0107738, -0.00500096, -0.00154248, -0.000412687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.834357, 0.882028, 0.923774, 0.93327, 0.950992, 0.988057, 0.994055, 1.007, 1.02175, 1.03616, 1.05512, 1.07028, 1.08373, 1.09628, 1.10849, 1.12069, 1.12686, 1.15726, 1.172, 1.18225, 1.19765, 1.21639, 1.22143");
-            values ( \
-              "-0.00137333, -0.00285474, -0.00780913, -0.00618799, -0.000415398, -1e-22, -0.0047539, -0.0337868, -0.0754266, -0.125773, -0.17377, -0.20291, -0.221557, -0.232602, -0.235577, -0.225051, -0.211232, -0.0951661, -0.0570212, -0.0391174, -0.0216734, -0.0104072, -0.00911191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.928052, 1.04106, 1.06238, 1.1166, 1.14833, 1.17747, 1.2032, 1.21596, 1.2272, 1.23905, 1.25056, 1.26768, 1.27414, 1.28617, 1.3217, 1.34916, 1.37431, 1.39913, 1.4229, 1.43653, 1.46379, 1.51288, 1.57096");
-            values ( \
-              "-0.0100419, -0.0110469, -0.0414135, -0.141145, -0.188044, -0.220883, -0.241885, -0.248972, -0.253749, -0.256435, -0.256655, -0.250291, -0.245116, -0.228474, -0.147763, -0.0943261, -0.0597917, -0.0372598, -0.0233239, -0.0177996, -0.0101312, -0.0034322, -0.000867135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.950184, 1.14246, 1.17045, 1.26573, 1.34039, 1.39879, 1.45016, 1.4981, 1.54477, 1.59137, 1.60291, 1.61521, 1.63982, 1.67881, 1.7274, 1.77379, 1.79701, 1.82311, 1.8579, 1.88197, 1.90647, 1.93915, 2.0045, 2.09556, 2.18661");
-            values ( \
-              "-0.0130251, -0.0192106, -0.0417203, -0.135585, -0.195789, -0.230706, -0.25295, -0.265014, -0.268462, -0.25632, -0.249407, -0.239114, -0.211848, -0.163521, -0.1113, -0.0741754, -0.0599664, -0.0469574, -0.0335637, -0.0265511, -0.0208741, -0.0150636, -0.0076623, -0.00283925, -0.00105978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.998211, 1.16929, 1.21605, 1.26284, 1.29498, 1.33011, 1.40037, 1.56039, 1.64606, 1.74177, 1.80689, 1.8603, 1.96526, 2.04868, 2.09259, 2.15113, 2.19993, 2.25444, 2.34549, 2.47588, 2.52565, 2.58899, 2.6722, 2.72685, 2.84315, 2.92073, 3.01178, 3.10284, 3.19389, 3.28495, 3.46706");
-            values ( \
-              "-0.0523575, -0.00830243, -0.00617731, -0.00643908, -0.00963048, -0.017433, -0.0447461, -0.124506, -0.162993, -0.199958, -0.220935, -0.236012, -0.258463, -0.26971, -0.273065, -0.274097, -0.271338, -0.26148, -0.220516, -0.141524, -0.116193, -0.0890714, -0.0615865, -0.0480234, -0.0277283, -0.0190905, -0.0123434, -0.00772695, -0.00504489, -0.00306715, -0.00118454" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00302535, 0.00302539, 0.00302544, 0.00302547, 0.00302549, 0.0030255", \
-            "0.00362233, 0.00362234, 0.00362236, 0.00362237, 0.00362239, 0.00362239", \
-            "0.00398433, 0.00398433, 0.00398433, 0.00398433, 0.00398434, 0.00398435", \
-            "0.00419763, 0.00419762, 0.00419762, 0.00419762, 0.00419762, 0.00419762", \
-            "0.00431062, 0.00431062, 0.00431062, 0.00431061, 0.00431061, 0.00431061", \
-            "0.004372, 0.00437199, 0.00437199, 0.00437199, 0.00437198, 0.00437198" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00435532, 0.00435529, 0.00435528, 0.00435527, 0.00435528, 0.00435528", \
-            "0.00482546, 0.00482541, 0.00482537, 0.00482534, 0.00482534, 0.00482533", \
-            "0.00506806, 0.005068, 0.00506794, 0.00506788, 0.00506784, 0.00506782", \
-            "0.00529924, 0.00529955, 0.00529953, 0.00529949, 0.00529944, 0.00529941", \
-            "0.00565573, 0.00565584, 0.00565596, 0.00565606, 0.0056561, 0.00565611", \
-            "0.00657052, 0.00656794, 0.00656255, 0.00655843, 0.00655631, 0.00655537" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.205402, 0.237704, 0.302209, 0.433496, 0.704319, 1.26808", \
-            "0.211497, 0.243779, 0.308316, 0.439583, 0.7104, 1.2742", \
-            "0.226046, 0.25835, 0.322835, 0.45414, 0.725109, 1.28869", \
-            "0.25786, 0.290148, 0.354662, 0.485941, 0.756722, 1.32057", \
-            "0.312195, 0.344588, 0.409125, 0.54037, 0.811402, 1.37504", \
-            "0.398423, 0.43077, 0.4953, 0.626528, 0.897492, 1.4619" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0278344, 0.04469, 0.0796445, 0.152404, 0.304461, 0.62185", \
-            "0.0278234, 0.0446925, 0.079625, 0.152401, 0.304485, 0.621779", \
-            "0.0278344, 0.0446866, 0.0796633, 0.152412, 0.304626, 0.621794", \
-            "0.0278317, 0.0446913, 0.0796342, 0.152407, 0.304523, 0.621799", \
-            "0.0279042, 0.0447024, 0.079606, 0.152388, 0.30461, 0.621673", \
-            "0.0279489, 0.0447427, 0.0796411, 0.152263, 0.304776, 0.621577" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.181164, 0.187431, 0.189992, 0.19149, 0.194486, 0.199074, 0.207795, 0.212522, 0.216933, 0.221206, 0.225536, 0.227391, 0.239013, 0.24357, 0.249517, 0.256029, 0.261393, 0.27082, 0.271887");
-            values ( \
-              "-0.00245978, -0.039397, -0.0518725, -0.0547365, -0.0795289, -0.101526, -0.132814, -0.144424, -0.152415, -0.154831, -0.147928, -0.14046, -0.0582266, -0.0359777, -0.0183432, -0.00862449, -0.00457438, -0.00147639, -0.00142337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.0804198, 0.0992254, 0.103918, 0.119337, 0.126189, 0.13238, 0.148099, 0.187741, 0.199711, 0.209085, 0.21656, 0.227064, 0.235574, 0.24315, 0.250216, 0.25708, 0.26404, 0.267221, 0.284752, 0.292058, 0.300911, 0.308565");
-            values ( \
-              "-0.00318789, -0.00339278, -0.00402864, -0.00243238, -0.00348401, -0.00335405, -1e-22, -2e-22, -0.0333936, -0.0707883, -0.110748, -0.14908, -0.172419, -0.188756, -0.197889, -0.201299, -0.191677, -0.181513, -0.0801455, -0.0504529, -0.0276506, -0.0164692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.0855204, 0.11874, 0.139143, 0.170465, 0.200056, 0.215935, 0.272867, 0.288071, 0.301535, 0.314099, 0.326314, 0.338511, 0.344604, 0.377434, 0.401931, 0.421218, 0.432717");
-            values ( \
-              "-0.00470197, -0.00553086, -0.00821661, -1e-22, -2e-22, -0.0117999, -0.172933, -0.202646, -0.221206, -0.232628, -0.235447, -0.225177, -0.211491, -0.0879995, -0.0364527, -0.0173664, -0.012126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.147331, 0.259163, 0.277582, 0.32937, 0.351448, 0.366354, 0.395468, 0.421197, 0.445194, 0.468545, 0.491865, 0.497683, 0.503888, 0.564063, 0.588567, 0.608963, 0.625725, 0.647695, 0.662123, 0.690979, 0.706821");
-            values ( \
-              "-0.0107609, -0.0108977, -0.0362467, -0.131709, -0.1679, -0.18758, -0.221626, -0.241518, -0.254361, -0.256553, -0.245969, -0.23897, -0.229316, -0.0995874, -0.0641804, -0.0437216, -0.0315387, -0.0204393, -0.0153006, -0.00842069, -0.00666564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.170073, 0.26838, 0.29164, 0.308751, 0.331062, 0.355614, 0.383514, 0.483849, 0.55845, 0.603515, 0.64262, 0.66783, 0.715817, 0.76246, 0.80906, 0.820604, 0.832918, 0.857544, 0.896212, 0.945158, 0.992649, 1.03951, 1.07255, 1.0987, 1.1252, 1.16053, 1.23119, 1.32237, 1.41356");
-            values ( \
-              "-0.0445515, -0.00602713, -0.00375547, -0.00330222, -0.00572862, -0.0161804, -0.0372519, -0.135986, -0.196038, -0.223899, -0.24303, -0.252889, -0.265124, -0.268421, -0.25642, -0.24942, -0.239114, -0.211802, -0.163869, -0.111234, -0.0733836, -0.0475254, -0.0345764, -0.0267996, -0.0206603, -0.0145102, -0.00694718, -0.00256677, -0.000958002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.199208, 0.383128, 0.438404, 0.48432, 0.545487, 0.636671, 0.804641, 0.895825, 1.02671, 1.07636, 1.16755, 1.20902, 1.26432, 1.30971, 1.37424, 1.45475, 1.50294, 1.56719, 1.65602, 1.75849, 1.83646, 1.88206, 1.94357, 1.99043, 2.085, 2.13701, 2.22819, 2.31938, 2.41056, 2.68411");
-            values ( \
-              "-0.0479704, -0.00845471, -0.00601034, -0.00667729, -0.016923, -0.0540045, -0.137384, -0.176305, -0.221944, -0.235912, -0.255782, -0.262618, -0.269636, -0.273105, -0.273916, -0.265488, -0.250737, -0.216591, -0.162019, -0.108406, -0.0778074, -0.0634057, -0.0480133, -0.0384964, -0.0245347, -0.0192051, -0.012177, -0.00784629, -0.00488816, -0.00131529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.203316, 0.211603, 0.214763, 0.2188, 0.222574, 0.227875, 0.2326, 0.237009, 0.241282, 0.245548, 0.247452, 0.259039, 0.263637, 0.266311, 0.269876, 0.275705, 0.280516, 0.289818, 0.29266");
-            values ( \
-              "-0.0234683, -0.0563463, -0.080149, -0.0998913, -0.114621, -0.13281, -0.144528, -0.152447, -0.154847, -0.148079, -0.140537, -0.0585059, -0.0360007, -0.0267455, -0.017739, -0.00903239, -0.00512173, -0.00166228, -0.00149889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.100435, 0.121962, 0.125631, 0.128711, 0.141346, 0.148869, 0.159294, 0.167517, 0.20579, 0.217807, 0.229175, 0.236647, 0.247158, 0.255663, 0.263246, 0.270313, 0.277177, 0.284137, 0.287331, 0.30532, 0.31333, 0.317771, 0.323693, 0.328005");
-            values ( \
-              "-0.00322705, -0.00342586, -0.00396875, -0.00317457, -0.00258993, -0.0035669, -0.00220925, -1e-22, -2e-22, -0.0264218, -0.070405, -0.110358, -0.148821, -0.172609, -0.188546, -0.198065, -0.201125, -0.19175, -0.181468, -0.0778792, -0.0466817, -0.0346235, -0.0228906, -0.0175509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.106064, 0.125124, 0.134514, 0.140859, 0.148382, 0.16269, 0.189386, 0.217356, 0.232591, 0.245149, 0.259973, 0.274038, 0.293098, 0.308294, 0.321761, 0.334322, 0.346536, 0.35932, 0.364801, 0.397589, 0.409817, 0.422192, 0.431582, 0.441392, 0.448918, 0.457416");
-            values ( \
-              "-0.00467361, -0.00619484, -0.00545352, -0.00583087, -0.00760808, -0.00798573, -1e-22, -2e-22, -0.00514935, -0.0337187, -0.0765826, -0.125115, -0.173475, -0.202285, -0.221653, -0.232302, -0.235812, -0.224211, -0.211574, -0.0881913, -0.0574809, -0.0363922, -0.0254007, -0.0173984, -0.0129567, -0.00962951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.164785, 0.279332, 0.298238, 0.349432, 0.372333, 0.386438, 0.415544, 0.44128, 0.465276, 0.488628, 0.511949, 0.517752, 0.536323, 0.554461, 0.583847, 0.607139, 0.632965, 0.652575, 0.672892, 0.688831, 0.72071, 0.740833");
-            values ( \
-              "-0.0101718, -0.0109684, -0.0372275, -0.132145, -0.168665, -0.187967, -0.22126, -0.241873, -0.254027, -0.25688, -0.245655, -0.239002, -0.203353, -0.160148, -0.100101, -0.0660122, -0.040552, -0.0275763, -0.0184397, -0.0133705, -0.00687154, -0.00507732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.192255, 0.399285, 0.504122, 0.554587, 0.578715, 0.62697, 0.656592, 0.688111, 0.736103, 0.782749, 0.829347, 0.840909, 0.853241, 0.916065, 0.972592, 1.01477, 1.0313, 1.05782, 1.08204, 1.12944, 1.15637, 1.21024, 1.30143, 1.31786");
-            values ( \
-              "-0.00154213, -0.0333523, -0.135597, -0.178379, -0.195706, -0.225952, -0.240544, -0.252655, -0.265369, -0.268197, -0.25665, -0.249391, -0.239102, -0.164405, -0.104724, -0.0721637, -0.0620228, -0.0484196, -0.0384191, -0.0241894, -0.0185335, -0.010708, -0.00398543, -0.00353294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.224523, 0.586332, 0.677516, 0.824946, 0.916131, 0.978172, 1.04716, 1.0968, 1.18799, 1.22947, 1.28478, 1.33011, 1.39468, 1.47993, 1.51335, 1.5526, 1.68739, 1.76162, 1.79952, 1.87531, 1.95723, 1.99999, 2.07516, 2.16349, 2.25467, 2.34585, 2.43704, 2.52822, 2.71059");
-            values ( \
-              "-0.0155774, -0.0234432, -0.0639496, -0.137222, -0.176322, -0.199644, -0.22202, -0.235813, -0.25586, -0.262696, -0.269549, -0.27302, -0.273989, -0.264401, -0.254596, -0.236828, -0.155467, -0.11638, -0.0994663, -0.0714669, -0.0493349, -0.0404757, -0.0283023, -0.0185127, -0.0118461, -0.00751855, -0.00479482, -0.00301851, -0.00120238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.246646, 0.252867, 0.255477, 0.256926, 0.259824, 0.264703, 0.273229, 0.277957, 0.282367, 0.286641, 0.29097, 0.292822, 0.304437, 0.309003, 0.315021, 0.321371, 0.326604, 0.335997, 0.337612");
-            values ( \
-              "-0.00272154, -0.0394521, -0.0519964, -0.0548444, -0.0787829, -0.102352, -0.132815, -0.144416, -0.152426, -0.154814, -0.147916, -0.140476, -0.0582933, -0.0359816, -0.0181976, -0.0087195, -0.00469784, -0.00151961, -0.00143656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.257519, 0.266407, 0.28112, 0.291619, 0.300122, 0.307703, 0.31477, 0.321633, 0.328486, 0.331801, 0.349801, 0.357788, 0.367938, 0.376302, 0.383115, 0.390648");
-            values ( \
-              "-0.0195739, -0.0420087, -0.110279, -0.148735, -0.172778, -0.188456, -0.198192, -0.201044, -0.192038, -0.181417, -0.0777545, -0.0466719, -0.0232334, -0.0128852, -0.00788154, -0.00505703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.151085, 0.165537, 0.16956, 0.172536, 0.185508, 0.192475, 0.198837, 0.206353, 0.215464, 0.232426, 0.238421, 0.27198, 0.288768, 0.305227, 0.319367, 0.338472, 0.353683, 0.36716, 0.379726, 0.391944, 0.404728, 0.410176, 0.416402, 0.436217, 0.442901, 0.455175, 0.461081, 0.467677, 0.477037, 0.486737, 0.494166, 0.505643");
-            values ( \
-              "-0.0045538, -0.00546627, -0.00610443, -0.00565073, -0.00573366, -0.00735486, -0.00804233, -0.00810873, -0.00631262, -0.000663524, -1e-22, -2e-22, -0.0286357, -0.0759821, -0.12482, -0.173343, -0.202025, -0.221633, -0.232126, -0.23584, -0.224178, -0.211652, -0.189667, -0.110099, -0.0884921, -0.0575928, -0.0464362, -0.0362961, -0.0253601, -0.0174448, -0.0130438, -0.00850574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.210526, 0.325699, 0.341649, 0.394787, 0.417744, 0.431804, 0.460915, 0.486653, 0.510651, 0.534004, 0.557325, 0.563135, 0.569333, 0.62937, 0.653254, 0.676427, 0.69549, 0.706201, 0.721932, 0.742907, 0.771488");
-            values ( \
-              "-0.00931129, -0.0119769, -0.0340024, -0.131768, -0.168971, -0.187677, -0.221474, -0.241626, -0.254233, -0.256662, -0.245851, -0.23898, -0.229334, -0.0998491, -0.0651114, -0.0420743, -0.0289541, -0.0234439, -0.0171389, -0.0111848, -0.00665099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.232234, 0.442942, 0.527909, 0.593493, 0.624287, 0.682529, 0.733898, 0.781816, 0.828463, 0.875049, 0.886674, 0.923873, 0.996101, 1.01965, 1.06733, 1.11602, 1.17014, 1.20197, 1.23835, 1.3111, 1.33392");
-            values ( \
-              "-0.00192381, -0.0317434, -0.115501, -0.173318, -0.195581, -0.231342, -0.25265, -0.265589, -0.268125, -0.25682, -0.249367, -0.211374, -0.125949, -0.103519, -0.0677491, -0.0429747, -0.0253574, -0.018537, -0.0128711, -0.00600434, -0.00505695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.270911, 0.621456, 0.959018, 1.09239, 1.14187, 1.23306, 1.27454, 1.34496, 1.37518, 1.43975, 1.5254, 1.55768, 1.59728, 1.73243, 1.84462, 1.94867, 2.04517, 2.14968, 2.20856, 2.29974, 2.48211, 2.54492");
-            values ( \
-              "-0.0188467, -0.0200647, -0.175516, -0.222128, -0.235751, -0.255917, -0.262745, -0.270943, -0.272973, -0.274033, -0.264267, -0.254828, -0.237082, -0.155533, -0.0994198, -0.0629569, -0.040425, -0.0245884, -0.0185455, -0.0118152, -0.00476382, -0.00395315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.345524, 0.356882, 0.3614, 0.36787, 0.373173, 0.377902, 0.382311, 0.386586, 0.390914, 0.392725, 0.395713, 0.402311, 0.406015, 0.410302, 0.415887, 0.419725, 0.422746, 0.428788, 0.438664, 0.438681");
-            values ( \
-              "-0.00178463, -0.0559467, -0.0874039, -0.115034, -0.132292, -0.14488, -0.152038, -0.155145, -0.147693, -0.140653, -0.122182, -0.0713589, -0.0492878, -0.0309915, -0.0163558, -0.010494, -0.00738539, -0.00361299, -0.00113545, -0.00113485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.361085, 0.368525, 0.384773, 0.39149, 0.400002, 0.407572, 0.414639, 0.421503, 0.428356, 0.431669, 0.449667, 0.457658, 0.462023, 0.467844, 0.476123, 0.482868, 0.496357, 0.497298");
-            values ( \
-              "-0.0507655, -0.0512769, -0.125614, -0.148696, -0.172801, -0.188417, -0.198193, -0.201019, -0.192045, -0.181416, -0.0777803, -0.0466688, -0.0347934, -0.0231805, -0.0129351, -0.00794544, -0.00283571, -0.00272231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.247699, 0.271225, 0.284221, 0.294231, 0.304697, 0.313038, 0.330139, 0.335927, 0.364792, 0.380368, 0.389922, 0.405362, 0.41586, 0.419441, 0.426605, 0.438532, 0.453739, 0.467193, 0.479757, 0.491969, 0.504165, 0.510318, 0.51667, 0.536034, 0.540651, 0.548783, 0.555423, 0.560661, 0.567413, 0.576893, 0.587045, 0.594872, 0.605196");
-            values ( \
-              "-0.00359893, -0.00551138, -0.00550854, -0.00748896, -0.00815281, -0.00701081, -0.00142815, -1e-22, -2e-22, -0.00939634, -0.0319581, -0.0757045, -0.114985, -0.123485, -0.145209, -0.17241, -0.203257, -0.220727, -0.233131, -0.235022, -0.225604, -0.211329, -0.188694, -0.110807, -0.0954371, -0.0723684, -0.057131, -0.047206, -0.0366866, -0.0255216, -0.0172515, -0.0126916, -0.00876828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.312475, 0.426009, 0.439853, 0.458312, 0.49457, 0.517646, 0.531582, 0.56069, 0.586429, 0.610426, 0.633778, 0.657099, 0.662911, 0.66911, 0.72918, 0.75321, 0.775728, 0.794249, 0.805925, 0.823074, 0.845938, 0.878565");
-            values ( \
-              "-0.00906103, -0.012586, -0.0315133, -0.0634804, -0.131806, -0.169162, -0.187702, -0.221475, -0.241644, -0.254229, -0.256675, -0.245845, -0.23898, -0.22933, -0.0997883, -0.0648919, -0.0424569, -0.0295463, -0.0234732, -0.0166779, -0.0104546, -0.00574242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.334658, 0.521472, 0.54925, 0.668668, 0.723502, 0.756572, 0.781524, 0.833042, 0.880925, 0.927582, 0.974178, 0.98575, 0.998093, 1.11764, 1.17638, 1.22603, 1.27423, 1.30199, 1.3575, 1.419");
-            values ( \
-              "-0.0159744, -0.0165401, -0.037782, -0.15329, -0.195973, -0.21701, -0.230996, -0.252746, -0.265359, -0.268241, -0.256632, -0.249393, -0.239086, -0.104526, -0.0618775, -0.0387247, -0.0241924, -0.0183847, -0.0104345, -0.00601583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.378801, 0.549847, 0.600617, 0.641867, 0.709075, 0.792511, 0.935745, 1.04043, 1.12375, 1.19433, 1.24236, 1.33354, 1.37498, 1.43022, 1.47584, 1.54022, 1.6314, 1.66952, 1.70411, 1.82865, 1.92412, 1.98883, 2.04312, 2.14082, 2.21653, 2.2654, 2.3566, 2.44779, 2.63016, 2.65305");
-            values ( \
-              "-0.0522876, -0.00838241, -0.00609959, -0.00625761, -0.016235, -0.0491495, -0.120831, -0.167738, -0.199693, -0.222521, -0.235852, -0.255871, -0.262698, -0.269562, -0.273047, -0.273993, -0.263045, -0.250482, -0.233653, -0.158001, -0.108584, -0.0824622, -0.0648266, -0.0414097, -0.0289169, -0.0229052, -0.0147353, -0.00939484, -0.00378858, -0.00355204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.55021, 0.561716, 0.564699, 0.569501, 0.572818, 0.578147, 0.582889, 0.587311, 0.591593, 0.595929, 0.597734, 0.607341, 0.611022, 0.615347, 0.620926, 0.627759, 0.633777, 0.643621, 0.671334");
-            values ( \
-              "-0.00343512, -0.0535633, -0.0783902, -0.101423, -0.113718, -0.132393, -0.143963, -0.152129, -0.154511, -0.14775, -0.140544, -0.0712601, -0.0493535, -0.0309255, -0.0163396, -0.00739438, -0.00363787, -0.00114165, -0.000153675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.562524, 0.571386, 0.575979, 0.578571, 0.583757, 0.590582, 0.605051, 0.612633, 0.619703, 0.626567, 0.633421, 0.636779, 0.654863, 0.66168, 0.668719, 0.673901, 0.679373, 0.683586, 0.692011, 0.701966");
-            values ( \
-              "-0.0192452, -0.0424867, -0.0619869, -0.0695941, -0.10177, -0.129201, -0.173302, -0.187848, -0.1986, -0.200582, -0.19237, -0.181222, -0.0771813, -0.0500288, -0.0311236, -0.0216627, -0.0147422, -0.0109177, -0.00590702, -0.00325064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.439158, 0.473159, 0.476486, 0.480398, 0.486259, 0.499919, 0.511216, 0.515896, 0.520881, 0.538573, 0.545031, 0.569669, 0.582822, 0.592992, 0.610522, 0.620852, 0.624538, 0.63191, 0.643599, 0.658774, 0.67224, 0.684799, 0.697009, 0.709202, 0.712195, 0.715387, 0.721773, 0.740978, 0.749028, 0.754046, 0.764841, 0.770572, 0.781231, 0.78614, 0.793611, 0.803573, 0.810722");
-            values ( \
-              "-0.00221789, -0.00407004, -0.00454612, -0.0041653, -0.00437372, -0.00705746, -0.00777466, -0.00731964, -0.00605487, -0.000538094, -1e-22, -2e-22, -0.0052086, -0.0271408, -0.0761087, -0.115135, -0.123699, -0.146146, -0.172496, -0.20343, -0.220732, -0.233268, -0.235, -0.225698, -0.219514, -0.211256, -0.188443, -0.111128, -0.0853462, -0.0717933, -0.0487143, -0.0393764, -0.0261841, -0.0216854, -0.0162341, -0.010954, -0.00874864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.515466, 0.63298, 0.657541, 0.699816, 0.722339, 0.736784, 0.765903, 0.791618, 0.815612, 0.838963, 0.862282, 0.868087, 0.874278, 0.88666, 0.904769, 0.934202, 0.957581, 0.967309, 0.983013, 1.00281, 1.02344, 1.03969, 1.07218, 1.09377");
-            values ( \
-              "-0.0065031, -0.0146827, -0.0528412, -0.132351, -0.168115, -0.188112, -0.221208, -0.241991, -0.253947, -0.256984, -0.245573, -0.239011, -0.229352, -0.20335, -0.160206, -0.100065, -0.0658795, -0.0549817, -0.0407745, -0.0276292, -0.0183584, -0.0132263, -0.00670478, -0.00482738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.538177, 0.747408, 0.854724, 0.899138, 0.929222, 0.987452, 1.03882, 1.08674, 1.13339, 1.17997, 1.1916, 1.20401, 1.22882, 1.30092, 1.32469, 1.37289, 1.41928, 1.45744, 1.47947, 1.51179, 1.5549, 1.6411, 1.64154");
-            values ( \
-              "-0.00227956, -0.0314103, -0.135572, -0.173888, -0.195631, -0.231338, -0.252685, -0.265579, -0.268152, -0.256804, -0.249367, -0.239006, -0.211347, -0.126055, -0.103408, -0.0673502, -0.0436605, -0.0301362, -0.0243015, -0.0176635, -0.0114337, -0.00453865, -0.00452465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.582449, 0.755788, 0.794565, 0.836989, 0.902385, 0.988212, 1.14687, 1.23434, 1.3291, 1.37924, 1.43654, 1.47039, 1.55255, 1.63072, 1.65051, 1.69007, 1.74552, 1.83671, 1.87481, 1.90941, 2.03398, 2.12942, 2.19413, 2.24843, 2.29236, 2.34614, 2.42185, 2.47072, 2.56191, 2.65309, 2.74428, 2.83546, 2.92665, 3.10902");
-            values ( \
-              "-0.0514579, -0.00839001, -0.00650038, -0.00593656, -0.0132465, -0.044584, -0.123794, -0.16314, -0.199752, -0.216202, -0.232965, -0.241492, -0.258319, -0.268958, -0.270941, -0.273376, -0.274038, -0.262954, -0.250533, -0.233596, -0.157934, -0.10861, -0.0824882, -0.0648067, -0.0531127, -0.0414258, -0.0288988, -0.0229168, -0.0147465, -0.00938483, -0.00598001, -0.0037788, -0.00240922, -0.000971041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.970716, 0.976625, 0.980768, 0.983974, 0.988092, 0.991881, 0.997221, 1.00197, 1.0064, 1.01069, 1.01497, 1.01678, 1.02963, 1.03314, 1.03798, 1.04402, 1.04879, 1.05771, 1.05949");
-            values ( \
-              "-0.00567071, -0.0387237, -0.0558388, -0.0787939, -0.0988826, -0.11366, -0.131954, -0.143793, -0.151816, -0.154313, -0.147709, -0.140673, -0.0519922, -0.0357416, -0.0207108, -0.0102982, -0.00586023, -0.00198248, -0.00185361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.981599, 0.99022, 0.997478, 1.00117, 1.01115, 1.0155, 1.02402, 1.03162, 1.03869, 1.04556, 1.05253, 1.05569, 1.05906, 1.07312, 1.08052, 1.08442, 1.08961, 1.09347, 1.09785, 1.10369, 1.11536, 1.12198");
-            values ( \
-              "-0.0214415, -0.0420491, -0.0702555, -0.0923429, -0.1339, -0.148395, -0.172383, -0.188229, -0.197923, -0.200922, -0.191689, -0.181535, -0.164599, -0.0807581, -0.0505527, -0.0390036, -0.0272579, -0.020811, -0.0152886, -0.0100592, -0.00418623, -0.00295712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.833359, 0.901344, 0.917697, 0.931233, 0.962467, 0.995769, 1.01227, 1.02909, 1.05033, 1.07748, 1.09094, 1.1035, 1.11571, 1.12791, 1.13405, 1.16435, 1.17914, 1.19119, 1.20687, 1.21616, 1.22696, 1.23511");
-            values ( \
-              "-0.00134213, -0.00321872, -0.00611933, -0.00712603, -1e-22, -2e-22, -0.0283823, -0.0757132, -0.145139, -0.203244, -0.220688, -0.233134, -0.234994, -0.225619, -0.211353, -0.0955702, -0.0571816, -0.0366444, -0.0200807, -0.0139899, -0.00984545, -0.0076936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.935818, 1.04862, 1.05942, 1.07094, 1.08863, 1.11876, 1.14033, 1.1557, 1.1848, 1.21051, 1.22538, 1.23441, 1.24488, 1.25771, 1.28095, 1.28835, 1.29624, 1.34264, 1.35627, 1.37445, 1.38147, 1.39475, 1.40673, 1.43017, 1.44347, 1.47006, 1.52095, 1.58036");
-            values ( \
-              "-0.0100008, -0.0111354, -0.0247987, -0.043261, -0.0746982, -0.132736, -0.166658, -0.188384, -0.221097, -0.242194, -0.249922, -0.253608, -0.256161, -0.256613, -0.245497, -0.236789, -0.223268, -0.119046, -0.0945407, -0.0681851, -0.0598941, -0.0466439, -0.0370311, -0.0233385, -0.0179015, -0.0103669, -0.00332046, -0.000826179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.955046, 1.14756, 1.17529, 1.2727, 1.34742, 1.40579, 1.45716, 1.5051, 1.55176, 1.59913, 1.6099, 1.62221, 1.64683, 1.68563, 1.73443, 1.78147, 1.80401, 1.82933, 1.86309, 1.88839, 1.91408, 1.94833, 2.01683, 2.10801, 2.1992");
-            values ( \
-              "-0.014016, -0.0177687, -0.039506, -0.135437, -0.19579, -0.230667, -0.253009, -0.265012, -0.268531, -0.256074, -0.249429, -0.239118, -0.21183, -0.163727, -0.111261, -0.073709, -0.0599511, -0.0472935, -0.0341577, -0.0266985, -0.0207473, -0.0147317, -0.00723153, -0.00267197, -0.0009978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.995271, 1.39529, 1.59565, 1.68684, 1.8173, 1.86696, 1.95814, 1.99945, 2.05453, 2.10081, 2.16473, 2.25591, 2.29392, 2.32855, 2.45323, 2.54856, 2.61326, 2.66758, 2.76532, 2.84102, 2.88987, 2.98101, 3.07219, 3.16338, 3.25456, 3.52812");
-            values ( \
-              "-0.00181887, -0.0393381, -0.137842, -0.176663, -0.222098, -0.236067, -0.255897, -0.262709, -0.269673, -0.273187, -0.273983, -0.263126, -0.250498, -0.233742, -0.157991, -0.108574, -0.0824555, -0.0648614, -0.0413789, -0.028941, -0.022883, -0.0147174, -0.00942084, -0.00594889, -0.00381247, -0.00093969" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00302505, 0.0030251, 0.00302515, 0.00302518, 0.0030252, 0.00302522", \
-            "0.00362213, 0.00362214, 0.00362216, 0.00362218, 0.00362219, 0.0036222", \
-            "0.00398429, 0.00398429, 0.00398429, 0.00398429, 0.0039843, 0.00398431", \
-            "0.00419771, 0.00419769, 0.00419769, 0.00419769, 0.0041977, 0.0041977", \
-            "0.00431078, 0.00431078, 0.00431078, 0.00431077, 0.00431077, 0.00431077", \
-            "0.00437213, 0.00437213, 0.00437213, 0.00437212, 0.00437212, 0.00437212" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00435485, 0.00435482, 0.0043548, 0.0043548, 0.0043548, 0.0043548", \
-            "0.00482569, 0.00482564, 0.0048256, 0.00482557, 0.00482556, 0.00482556", \
-            "0.0050696, 0.00506955, 0.00506948, 0.00506942, 0.00506938, 0.00506936", \
-            "0.00530387, 0.00530459, 0.00530436, 0.00530431, 0.00530422, 0.00530419", \
-            "0.00565604, 0.00565615, 0.00565627, 0.00565637, 0.00565641, 0.00565642", \
-            "0.00655435, 0.00655059, 0.00654526, 0.00654157, 0.00653969, 0.00653886" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(CK * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.214144, 0.248852, 0.315369, 0.446196, 0.712412, 1.26576", \
-            "0.218922, 0.253574, 0.32008, 0.450943, 0.717466, 1.27043", \
-            "0.229264, 0.263914, 0.330367, 0.461293, 0.727291, 1.28041", \
-            "0.245739, 0.280374, 0.346921, 0.47778, 0.744299, 1.29728", \
-            "0.261747, 0.296339, 0.362918, 0.493856, 0.760446, 1.31355", \
-            "0.269218, 0.30399, 0.370541, 0.501548, 0.767842, 1.32092" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0351627, 0.0558151, 0.0990167, 0.19045, 0.382613, 0.783671", \
-            "0.0351345, 0.0558141, 0.0989707, 0.190457, 0.382575, 0.783649", \
-            "0.0351743, 0.0557988, 0.0989799, 0.19042, 0.382349, 0.783557", \
-            "0.0351712, 0.0558088, 0.0990006, 0.190447, 0.382587, 0.783686", \
-            "0.0352556, 0.0558164, 0.0990389, 0.190259, 0.382573, 0.783694", \
-            "0.035234, 0.0558381, 0.0989733, 0.190396, 0.382248, 0.783697" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.192349, 0.199989, 0.200921, 0.204918, 0.206043, 0.208291, 0.209415, 0.210698, 0.211982, 0.213265, 0.214548, 0.216653, 0.218759, 0.22058, 0.22114, 0.222259, 0.223556, 0.224295, 0.225033, 0.225772, 0.227066, 0.22836, 0.229653, 0.231065, 0.231773, 0.23248, 0.233187, 0.234602, 0.238073, 0.241545, 0.245381, 0.247709, 0.250389, 0.252451, 0.253619, 0.254788, 0.256237, 0.258463, 0.260046, 0.261629, 0.263211, 0.265747, 0.268283, 0.269842, 0.272181, 0.272961, 0.276079, 0.279198, 0.283153, 0.287094");
-            values ( \
-              "0.0625801, 0.0664281, 0.0714903, 0.0899101, 0.0939563, 0.101468, 0.104934, 0.108653, 0.11212, 0.115335, 0.118298, 0.121865, 0.124294, 0.126152, 0.126292, 0.126421, 0.126318, 0.126139, 0.125875, 0.125526, 0.124706, 0.123624, 0.122278, 0.120505, 0.11891, 0.117023, 0.114918, 0.110162, 0.096158, 0.0816349, 0.0674706, 0.059581, 0.0520476, 0.0467681, 0.0439749, 0.0413252, 0.0382426, 0.033786, 0.0307603, 0.0282388, 0.025881, 0.022544, 0.0194298, 0.0176254, 0.0153217, 0.0146242, 0.0123129, 0.0103105, 0.00826089, 0.00644052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.191876, 0.206302, 0.214644, 0.223241, 0.23444, 0.2436, 0.252018, 0.260292, 0.268556, 0.2749, 0.292436, 0.305274, 0.319119, 0.33146, 0.33798, 0.347253, 0.357192, 0.364857, 0.380187, 0.401621");
-            values ( \
-              "0.00868883, 0.0292407, 0.06223, 0.102002, 0.140281, 0.158639, 0.165776, 0.165276, 0.156757, 0.142117, 0.0890286, 0.0587351, 0.0360622, 0.0227101, 0.0176594, 0.0122767, 0.00831794, 0.00613415, 0.00330029, 0.00151861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.146257, 0.22456, 0.233928, 0.262017, 0.271601, 0.281633, 0.297626, 0.312465, 0.327152, 0.342358, 0.349747, 0.380664, 0.397638, 0.422511, 0.431141, 0.45122, 0.462468, 0.478288, 0.495067, 0.507972, 0.533781, 0.551164");
-            values ( \
-              "0.00231185, 0.0122784, 0.0313658, 0.11966, 0.146808, 0.167851, 0.189088, 0.19549, 0.193498, 0.181404, 0.171081, 0.112956, 0.0841176, 0.0519872, 0.0434495, 0.0281621, 0.02191, 0.0152654, 0.0103837, 0.00768411, 0.00413094, 0.00305268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.156906, 0.274632, 0.290094, 0.333434, 0.351163, 0.370748, 0.401188, 0.429615, 0.457906, 0.487342, 0.520132, 0.576822, 0.61855, 0.661116, 0.683177, 0.710966, 0.755568, 0.782036, 0.812285, 0.872784, 0.893606");
-            values ( \
-              "0.00791152, 0.02111, 0.0437382, 0.130282, 0.160551, 0.184663, 0.206686, 0.212345, 0.208932, 0.195165, 0.166511, 0.108026, 0.0723265, 0.0457972, 0.0356782, 0.0258083, 0.0150672, 0.0109063, 0.00749523, 0.00340598, 0.00284832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.16818, 0.368359, 0.402086, 0.463584, 0.494844, 0.52765, 0.549068, 0.582559, 0.609901, 0.667169, 0.724146, 0.783496, 0.816698, 0.849522, 0.964096, 1.00062, 1.04658, 1.08106, 1.11585, 1.16214, 1.20301, 1.25751, 1.30527, 1.36932, 1.45472, 1.5547, 1.65469, 1.85466");
-            values ( \
-              "0.0150292, 0.0270152, 0.0558201, 0.123258, 0.153778, 0.179108, 0.192105, 0.206535, 0.214221, 0.220184, 0.216198, 0.201973, 0.188844, 0.173005, 0.112499, 0.0950074, 0.0756302, 0.0631274, 0.0522076, 0.0402108, 0.0317347, 0.0229141, 0.0171637, 0.0115875, 0.00673827, 0.00354279, 0.00184161, 0.000496132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.0720964, 0.0946431, 0.109409, 0.15534, 0.190456, 0.218381, 0.240994, 0.399352, 0.44389, 0.505655, 0.589604, 0.764207, 0.860376, 0.919287, 1.01927, 1.09415, 1.1612, 1.27784, 1.3997, 1.53486, 1.9116, 2.05808, 2.2494, 2.40214, 2.48084, 2.75041, 2.89806");
-            values ( \
-              "0.000913553, 0.000984499, 0.00291019, 0.0270232, 0.0337266, 0.0331598, 0.0308824, 0.01259, 0.0106217, 0.0160526, 0.0418489, 0.134725, 0.176886, 0.195415, 0.215237, 0.222101, 0.224028, 0.219703, 0.205182, 0.176316, 0.0825348, 0.0564957, 0.03315, 0.0212116, 0.0167597, 0.00738921, 0.0051991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.204901, 0.211196, 0.22095, 0.228079, 0.235481, 0.239237, 0.242429, 0.244407, 0.248362, 0.252364, 0.26377, 0.274762, 0.281892, 0.291711, 0.303224, 0.310731, 0.32074, 0.331866");
-            values ( \
-              "0.0105683, 0.0310746, 0.0778393, 0.105243, 0.12206, 0.125959, 0.126971, 0.126408, 0.12299, 0.113703, 0.068458, 0.0383951, 0.0260384, 0.0147387, 0.00755712, 0.00492329, 0.00294503, 0.00171441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.207826, 0.22495, 0.242067, 0.253286, 0.261089, 0.265101, 0.270853, 0.279125, 0.287627, 0.293721, 0.309145, 0.31954, 0.331903, 0.339099, 0.349396, 0.365555, 0.386396, 0.404854, 0.406841");
-            values ( \
-              "0.00353719, 0.0284057, 0.101723, 0.140111, 0.156534, 0.161617, 0.165515, 0.165165, 0.15623, 0.142135, 0.0949505, 0.0685562, 0.04491, 0.0345753, 0.0235122, 0.0125294, 0.00550623, 0.00257974, 0.00245265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.166835, 0.242729, 0.252908, 0.29341, 0.299885, 0.312835, 0.321824, 0.33065, 0.345365, 0.36005, 0.367746, 0.417286, 0.432234, 0.447462, 0.470851, 0.498084, 0.514933, 0.537399, 0.576542");
-            values ( \
-              "0.00285584, 0.0121911, 0.033188, 0.155131, 0.168043, 0.186098, 0.192686, 0.195353, 0.193208, 0.181986, 0.171346, 0.0821215, 0.0617155, 0.0452368, 0.027301, 0.0147328, 0.00998869, 0.00585723, 0.00232129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.172516, 0.289037, 0.304216, 0.352268, 0.372321, 0.389582, 0.42003, 0.448458, 0.47675, 0.505034, 0.538976, 0.595664, 0.637394, 0.679972, 0.702023, 0.7298, 0.774411, 0.800889, 0.831151, 0.891673, 0.902885");
-            values ( \
-              "0.011347, 0.0164906, 0.0357697, 0.130402, 0.163777, 0.184762, 0.206535, 0.212467, 0.208798, 0.195946, 0.166519, 0.108032, 0.0723305, 0.0457895, 0.0356759, 0.0258097, 0.0150658, 0.0109065, 0.00749193, 0.00340531, 0.0031049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.192534, 0.397479, 0.517421, 0.568086, 0.629103, 0.686272, 0.743197, 0.802512, 0.868524, 0.984549, 1.0659, 1.13445, 1.22232, 1.32457, 1.38769, 1.47185, 1.57183, 1.61182");
-            values ( \
-              "0.00970328, 0.0345612, 0.157141, 0.192291, 0.214864, 0.220483, 0.216389, 0.202048, 0.173031, 0.111772, 0.0755049, 0.0523228, 0.0316734, 0.0171298, 0.0116312, 0.00682104, 0.00358575, 0.00289754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.218947, 0.60467, 0.783231, 0.851877, 0.938216, 1.0382, 1.11292, 1.18007, 1.28005, 1.41856, 1.55372, 1.92724, 2.0815, 2.26226, 2.4828, 2.71757, 2.89845");
-            values ( \
-              "0.0144626, 0.0400641, 0.134648, 0.166415, 0.195347, 0.215384, 0.222226, 0.223919, 0.22094, 0.205286, 0.176414, 0.0831293, 0.0558546, 0.0336923, 0.0176588, 0.00864761, 0.00551667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.241335, 0.249634, 0.269316, 0.275137, 0.280491, 0.285685, 0.29239, 0.305176, 0.31457, 0.323613, 0.329753, 0.343783, 0.357665, 0.368977");
-            values ( \
-              "0.00218929, 0.0192719, 0.10581, 0.119884, 0.125325, 0.12703, 0.117233, 0.0681003, 0.041589, 0.0252918, 0.0178344, 0.00797388, 0.00365278, 0.00259531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.251337, 0.26613, 0.273548, 0.283145, 0.29435, 0.303482, 0.306702, 0.311901, 0.320177, 0.32845, 0.334776, 0.352332, 0.36517, 0.379019, 0.391358, 0.397878, 0.407151, 0.424757, 0.440088, 0.455908");
-            values ( \
-              "0.00808126, 0.0289083, 0.0580007, 0.10227, 0.14048, 0.15857, 0.162023, 0.165676, 0.165028, 0.156786, 0.142133, 0.0890181, 0.0587342, 0.0360599, 0.0227103, 0.0176603, 0.0122764, 0.00613426, 0.00329966, 0.00198529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.205803, 0.284217, 0.29276, 0.300773, 0.321701, 0.33367, 0.341306, 0.35658, 0.361505, 0.372085, 0.382494, 0.386723, 0.3941, 0.401943, 0.41738, 0.438866, 0.458702, 0.479613, 0.504921, 0.526986, 0.539509, 0.556372, 0.578857, 0.610523");
-            values ( \
-              "0.00236521, 0.0122029, 0.0292062, 0.0513709, 0.119922, 0.152522, 0.168008, 0.188369, 0.191788, 0.195454, 0.194604, 0.193088, 0.188708, 0.181235, 0.157232, 0.115533, 0.0821139, 0.0548157, 0.0320543, 0.0195731, 0.0147717, 0.0100246, 0.00581502, 0.00300044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.216747, 0.33806, 0.406307, 0.431007, 0.461438, 0.489859, 0.518147, 0.547581, 0.678832, 0.721101, 0.771478, 0.815856, 0.872003, 0.902986");
-            values ( \
-              "0.00445781, 0.0249456, 0.152635, 0.184868, 0.20668, 0.212457, 0.208868, 0.195183, 0.0722971, 0.0459269, 0.0257238, 0.0150583, 0.00754448, 0.00543133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.227548, 0.428242, 0.462018, 0.522044, 0.557275, 0.604249, 0.637514, 0.66614, 0.692095, 0.709641, 0.726883, 0.755133, 0.783705, 0.847604, 0.874486, 0.909165, 1.04907, 1.10356, 1.14905, 1.18187, 1.22417, 1.25816, 1.30033, 1.35963, 1.42187, 1.46964, 1.56517, 1.66516, 1.86513");
-            values ( \
-              "0.0148907, 0.0270748, 0.0559624, 0.121848, 0.156097, 0.189579, 0.204997, 0.213354, 0.217902, 0.219416, 0.220025, 0.218997, 0.215876, 0.200276, 0.189581, 0.17297, 0.100199, 0.0766517, 0.0603499, 0.0504098, 0.0396697, 0.0325864, 0.0253893, 0.0177199, 0.0121156, 0.00900526, 0.00488429, 0.00255436, 0.000693483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.265847, 0.641699, 0.868759, 0.959105, 1.01708, 1.09439, 1.15629, 1.22023, 1.28832, 1.33688, 1.45878, 1.59398, 1.96461, 2.12643, 2.32644, 2.55434, 2.82013, 2.9586");
-            values ( \
-              "0.0164271, 0.0388121, 0.156279, 0.189971, 0.204605, 0.217037, 0.222203, 0.223904, 0.222421, 0.21948, 0.20514, 0.176281, 0.0837845, 0.0551085, 0.0314631, 0.0160466, 0.0071725, 0.00516819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.325982, 0.33413, 0.341864, 0.346925, 0.354085, 0.359905, 0.365258, 0.370451, 0.377165, 0.389951, 0.399486, 0.408267, 0.414513, 0.421985, 0.428577, 0.442256, 0.450665");
-            values ( \
-              "0.00211313, 0.0183103, 0.051083, 0.0784668, 0.105637, 0.119768, 0.125455, 0.126931, 0.117218, 0.068074, 0.0412505, 0.0254506, 0.0178388, 0.011612, 0.00795893, 0.00368801, 0.00244753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.335518, 0.350759, 0.367863, 0.379089, 0.388224, 0.391637, 0.396645, 0.404922, 0.413197, 0.419517, 0.434943, 0.445334, 0.4577, 0.4649, 0.47519, 0.481337, 0.491348, 0.502963, 0.512197, 0.530664, 0.537711");
-            values ( \
-              "0.00751491, 0.0283988, 0.101921, 0.140289, 0.158455, 0.162212, 0.165597, 0.165052, 0.156738, 0.142144, 0.0949548, 0.0685629, 0.0449104, 0.0345706, 0.0235155, 0.0185657, 0.0125317, 0.00794795, 0.00550445, 0.00257828, 0.00212771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.290344, 0.368816, 0.383394, 0.413672, 0.425961, 0.441924, 0.45678, 0.471466, 0.48667, 0.502528, 0.530194, 0.551077, 0.574711, 0.596113, 0.622832, 0.651444, 0.676252, 0.693852");
-            values ( \
-              "0.00244934, 0.0121063, 0.0455531, 0.141122, 0.167955, 0.189134, 0.195519, 0.193522, 0.181415, 0.156155, 0.103668, 0.0710191, 0.0441603, 0.0278145, 0.0151927, 0.00784357, 0.00432542, 0.00318025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.30084, 0.422658, 0.447364, 0.477881, 0.495079, 0.515196, 0.545633, 0.574059, 0.60235, 0.631786, 0.664576, 0.721277, 0.763, 0.805527, 0.827619, 0.855446, 0.900018, 0.95666, 1.01708, 1.03834");
-            values ( \
-              "0.0040151, 0.0254299, 0.0675549, 0.130396, 0.159688, 0.184768, 0.206612, 0.212434, 0.208842, 0.195175, 0.166518, 0.108017, 0.0723243, 0.0458128, 0.0356777, 0.0257962, 0.0150651, 0.00750103, 0.00341382, 0.00284291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.31202, 0.513299, 0.547217, 0.606598, 0.642413, 0.693816, 0.754869, 0.812026, 0.868953, 0.928269, 0.961862, 0.994281, 1.11027, 1.19165, 1.26022, 1.30672, 1.34807, 1.40321, 1.45032, 1.51346, 1.59765, 1.69764, 1.76464");
-            values ( \
-              "0.0146606, 0.0272726, 0.0564197, 0.121625, 0.156422, 0.192275, 0.214832, 0.220497, 0.216363, 0.202046, 0.188712, 0.173031, 0.111792, 0.0755088, 0.0523192, 0.0402489, 0.0316757, 0.0227802, 0.0171313, 0.0116307, 0.00681832, 0.0035853, 0.00243165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.350874, 0.73185, 0.909109, 0.985979, 1.06407, 1.16405, 1.18899, 1.23885, 1.30595, 1.4226, 1.45592, 1.54446, 1.58825, 1.67962, 1.90031, 2.04681, 2.12383, 2.21736, 2.31735, 2.40179, 2.4842, 2.58418, 2.6811, 2.82345, 2.92344, 3.12341, 3.2465");
-            values ( \
-              "0.0147657, 0.0407611, 0.134774, 0.169548, 0.195438, 0.215207, 0.218241, 0.222068, 0.224051, 0.219726, 0.216737, 0.205158, 0.197232, 0.176295, 0.118485, 0.0845196, 0.0695768, 0.0543211, 0.0412164, 0.0324281, 0.0254857, 0.0189818, 0.0141804, 0.00920643, 0.0068029, 0.00367681, 0.00263474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.491829, 0.499995, 0.520494, 0.526339, 0.531673, 0.536873, 0.542064, 0.543599, 0.555754, 0.565282, 0.572226, 0.580925, 0.591313, 0.597895, 0.608532, 0.622716, 0.631386");
-            values ( \
-              "0.00161627, 0.016376, 0.104573, 0.119706, 0.125704, 0.126859, 0.120796, 0.117244, 0.069882, 0.0424508, 0.0293524, 0.0179063, 0.00987497, 0.00679734, 0.00375359, 0.00167003, 0.00138121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.501982, 0.516979, 0.523101, 0.538869, 0.545536, 0.550098, 0.554665, 0.560649, 0.567247, 0.571353, 0.579623, 0.581341, 0.587231, 0.603767, 0.617292, 0.628697, 0.636456, 0.643431, 0.652731, 0.659491, 0.667158, 0.67738, 0.697825, 0.714898");
-            values ( \
-              "0.00905161, 0.0274274, 0.0510702, 0.119344, 0.139768, 0.150751, 0.157976, 0.164363, 0.16616, 0.164797, 0.156732, 0.153289, 0.138468, 0.0883427, 0.0569034, 0.0381155, 0.0285793, 0.0219514, 0.0152798, 0.0117373, 0.00868868, 0.00577385, 0.00247637, 0.00141768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.460519, 0.535538, 0.54889, 0.572992, 0.582909, 0.592637, 0.608641, 0.623485, 0.638176, 0.653383, 0.669199, 0.691692, 0.708543, 0.733654, 0.742244, 0.762193, 0.773462, 0.789296, 0.806132, 0.819087, 0.844995, 0.866901");
-            values ( \
-              "0.0030913, 0.0121333, 0.041954, 0.119563, 0.147217, 0.167852, 0.188861, 0.195573, 0.193343, 0.181422, 0.15624, 0.112946, 0.0843131, 0.0518656, 0.043381, 0.0281971, 0.021927, 0.0152731, 0.0103753, 0.00766827, 0.00411231, 0.00275949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.466471, 0.591517, 0.608085, 0.644588, 0.669872, 0.681947, 0.706098, 0.724672, 0.740674, 0.769632, 0.782465, 0.798353, 0.82915, 0.905125, 0.927693, 0.967645, 1.00637, 1.02752, 1.05731, 1.08849, 1.11241, 1.16024, 1.20701");
-            values ( \
-              "0.00105253, 0.0284955, 0.0561755, 0.130576, 0.170874, 0.184963, 0.203323, 0.210169, 0.212215, 0.208379, 0.203693, 0.194965, 0.168525, 0.0919631, 0.0737226, 0.0481481, 0.0309888, 0.0241574, 0.016865, 0.0115478, 0.00859606, 0.00467563, 0.00293093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.477774, 0.690127, 0.810007, 0.860655, 0.921671, 0.978838, 1.03576, 1.09508, 1.12869, 1.16109, 1.27713, 1.35847, 1.42701, 1.47352, 1.51489, 1.57005, 1.61714, 1.68025, 1.76439, 1.86438, 1.92784");
-            values ( \
-              "0.00813955, 0.0345926, 0.157133, 0.192329, 0.214835, 0.220516, 0.216358, 0.202051, 0.188706, 0.173033, 0.111766, 0.0755041, 0.0523236, 0.0402498, 0.0316732, 0.0227758, 0.0171298, 0.0116319, 0.00682158, 0.00358646, 0.00249373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.373905, 0.402525, 0.420136, 0.467227, 0.478357, 0.502341, 0.531307, 0.550747, 0.709845, 0.75458, 0.818765, 0.906074, 1.07554, 1.17512, 1.23075, 1.33073, 1.35571, 1.40565, 1.47268, 1.58933, 1.62265, 1.71118, 1.75496, 1.84634, 2.06704, 2.23712, 2.34617, 2.43086, 2.54056, 2.70043, 2.81509, 2.97082, 3.17079, 3.37076, 3.3804");
-            values ( \
-              "0.000338905, 0.000851829, 0.00273572, 0.0271719, 0.0305625, 0.0337256, 0.0330589, 0.0311309, 0.0126614, 0.0106304, 0.0163366, 0.0440787, 0.134636, 0.178004, 0.195414, 0.215192, 0.21823, 0.222069, 0.224043, 0.219719, 0.21674, 0.205162, 0.19723, 0.176299, 0.118486, 0.0797202, 0.0601231, 0.0478182, 0.0350975, 0.0220158, 0.0156371, 0.009749, 0.00526472, 0.00282446, 0.00276093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.833949, 0.843185, 0.847517, 0.853671, 0.86088, 0.865824, 0.86856, 0.872083, 0.87729, 0.882495, 0.883999, 0.896789, 0.906044, 0.911852, 0.915346, 0.921378, 0.928726, 0.93536, 0.940116, 0.949399, 0.961777, 0.968197");
-            values ( \
-              "0.00310236, 0.0269564, 0.0451149, 0.0766198, 0.104456, 0.11714, 0.12188, 0.125545, 0.126347, 0.120769, 0.117143, 0.0681167, 0.0419296, 0.0306071, 0.0251477, 0.0178445, 0.0117001, 0.00799651, 0.00610123, 0.00363603, 0.00184825, 0.00145361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.84935, 0.85985, 0.872788, 0.879423, 0.886224, 0.895383, 0.903816, 0.912094, 0.920363, 0.923786, 0.94424, 0.957074, 0.962733, 0.970916, 0.977614, 0.983244, 0.989758, 0.99902, 1.00892, 1.01654, 1.03179, 1.04459");
-            values ( \
-              "0.0270495, 0.0362741, 0.0931075, 0.119213, 0.13981, 0.158767, 0.165425, 0.16545, 0.156503, 0.149664, 0.0890449, 0.058759, 0.0484165, 0.0360823, 0.0281276, 0.0227356, 0.0176847, 0.0123006, 0.00834884, 0.00616878, 0.00333009, 0.00225758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.798619, 0.875807, 0.881471, 0.886346, 0.895253, 0.913421, 0.926855, 0.933077, 0.94552, 0.949024, 0.95603, 0.963846, 0.978546, 0.993242, 1.00104, 1.00936, 1.02963, 1.03712, 1.04712, 1.06131, 1.07452, 1.08293, 1.09384, 1.10113, 1.11085, 1.1285, 1.13658, 1.14873, 1.16493, 1.19734, 1.21594, 1.23221, 1.27055");
-            values ( \
-              "0.00282931, 0.0119667, 0.0222811, 0.0340208, 0.0595491, 0.119943, 0.155379, 0.168138, 0.185331, 0.188709, 0.192994, 0.195428, 0.193082, 0.182112, 0.1712, 0.156659, 0.117404, 0.103941, 0.0873449, 0.066848, 0.0513837, 0.043137, 0.0341492, 0.0291318, 0.0234773, 0.0156865, 0.0130404, 0.00985286, 0.00673946, 0.00303897, 0.00195086, 0.00137512, 0.000553002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.804077, 0.928016, 0.943668, 0.954439, 0.977747, 0.999746, 1.02242, 1.05283, 1.08125, 1.10954, 1.13897, 1.15511, 1.17175, 1.22393, 1.24172, 1.26079, 1.28067, 1.30694, 1.3292, 1.34808, 1.36887, 1.39837, 1.42852, 1.45153, 1.49755, 1.5872, 1.68719");
-            values ( \
-              "0.00491062, 0.0232372, 0.0471544, 0.0673157, 0.116323, 0.155833, 0.184864, 0.206653, 0.212493, 0.208874, 0.195219, 0.182574, 0.166564, 0.112411, 0.0956842, 0.0795376, 0.0649117, 0.0489016, 0.0380599, 0.0306218, 0.0239703, 0.0167896, 0.0116424, 0.00876574, 0.00488744, 0.00138429, 0.00032066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.824109, 1.03118, 1.05556, 1.11342, 1.15051, 1.20102, 1.21579, 1.22942, 1.24591, 1.26162, 1.29096, 1.31875, 1.37548, 1.39569, 1.41484, 1.43509, 1.47558, 1.50115, 1.60765, 1.64009, 1.69496, 1.7424, 1.7747, 1.81644, 1.8494, 1.8918, 1.95129, 1.97795, 2.01424, 2.06262, 2.15939, 2.25937, 2.35936, 2.45934");
-            values ( \
-              "0.0089785, 0.0350724, 0.0577698, 0.121237, 0.157214, 0.19241, 0.199577, 0.204612, 0.209981, 0.213892, 0.21845, 0.219887, 0.215986, 0.212384, 0.207873, 0.201759, 0.185494, 0.172902, 0.116507, 0.10063, 0.0768322, 0.0598853, 0.0501496, 0.0395909, 0.0327186, 0.0254559, 0.0177474, 0.0150899, 0.0120813, 0.00894599, 0.00480982, 0.00251632, 0.0013129, 0.000683672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.848668, 1.21522, 1.24421, 1.28227, 1.3906, 1.41443, 1.46208, 1.55739, 1.59449, 1.64395, 1.69449, 1.79448, 1.81176, 1.84633, 1.91546, 1.92834, 1.95411, 2.00066, 2.05015, 2.14912, 2.18575, 2.25757, 2.37976, 2.43108, 2.53107, 2.59563, 2.63827, 2.69512, 2.79511, 2.85052, 2.87128, 2.9128, 2.99583, 3.09582, 3.14557, 3.1843, 3.23594, 3.33592, 3.43591, 3.53589, 3.63588, 3.73587, 3.83585, 3.93584, 4.13581");
-            values ( \
-              "0.0211055, 0.0318421, 0.0433964, 0.0619842, 0.121583, 0.134113, 0.156869, 0.191777, 0.201344, 0.211066, 0.217874, 0.223799, 0.223946, 0.223549, 0.220499, 0.219557, 0.217432, 0.212368, 0.205186, 0.185177, 0.176237, 0.157584, 0.125175, 0.112209, 0.0890225, 0.0759017, 0.0680504, 0.0585763, 0.0445474, 0.0381332, 0.0359509, 0.031927, 0.02507, 0.0186368, 0.0160656, 0.0143082, 0.012246, 0.00903116, 0.00664438, 0.00488424, 0.00358331, 0.0026303, 0.00192596, 0.00141357, 0.000759143" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.003212, 0.00321204, 0.00321208, 0.00321212, 0.00321214, 0.00321215", \
-            "0.0041769, 0.00417689, 0.0041769, 0.00417691, 0.00417692, 0.00417692", \
-            "0.00481266, 0.00481264, 0.00481262, 0.00481261, 0.0048126, 0.0048126", \
-            "0.00521558, 0.00521556, 0.00521554, 0.00521552, 0.0052155, 0.00521549", \
-            "0.00547393, 0.00547392, 0.00547391, 0.00547389, 0.00547387, 0.00547386", \
-            "0.00564442, 0.00564441, 0.0056444, 0.00564438, 0.00564436, 0.00564435" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00506425, 0.00506415, 0.00506408, 0.00506404, 0.00506402, 0.00506401", \
-            "0.00542775, 0.00542763, 0.0054275, 0.0054274, 0.00542734, 0.0054273", \
-            "0.0052845, 0.00528438, 0.00528421, 0.00528404, 0.00528391, 0.00528384", \
-            "0.00554954, 0.00554962, 0.00554962, 0.00554953, 0.0055494, 0.00554929", \
-            "0.0059476, 0.00594646, 0.0059455, 0.00594492, 0.00594462, 0.00594449", \
-            "0.00571859, 0.00572486, 0.00575068, 0.00574014, 0.00572233, 0.00572071" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302065", \
-            "0.00361675", \
-            "0.0039831", \
-            "0.00419802", \
-            "0.00431269", \
-            "0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434341", \
-            "0.0048304", \
-            "0.0051089", \
-            "0.0054248", \
-            "0.00593648", \
-            "0.00683593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(!CK * D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.215481, 0.250249, 0.316932, 0.447487, 0.713698, 1.2667", \
-            "0.220215, 0.255042, 0.32168, 0.452368, 0.718557, 1.27126", \
-            "0.230573, 0.265274, 0.331976, 0.462666, 0.728794, 1.28185", \
-            "0.247114, 0.281943, 0.348602, 0.479237, 0.745409, 1.29841", \
-            "0.263388, 0.298076, 0.364742, 0.495582, 0.762027, 1.31512", \
-            "0.271092, 0.305961, 0.372821, 0.503633, 0.769685, 1.32315" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0350177, 0.0556889, 0.0989168, 0.19029, 0.38246, 0.783879", \
-            "0.0350078, 0.0557006, 0.0988784, 0.190384, 0.382678, 0.783643", \
-            "0.035014, 0.0557051, 0.0988832, 0.190416, 0.382485, 0.783792", \
-            "0.0350359, 0.055688, 0.0988813, 0.190278, 0.382465, 0.783853", \
-            "0.0350346, 0.0557263, 0.0990151, 0.19041, 0.382601, 0.78373", \
-            "0.0351349, 0.0557373, 0.0989181, 0.190346, 0.382351, 0.783771" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.189711, 0.196627, 0.201973, 0.206719, 0.2107, 0.216538, 0.221863, 0.227049, 0.232227, 0.235204, 0.238129, 0.243981, 0.249804, 0.254457, 0.258157, 0.262341, 0.267918, 0.270481, 0.273635, 0.277839, 0.284242, 0.291332, 0.296866, 0.307936, 0.327728, 0.351756");
-            values ( \
-              "0.0253785, 0.043169, 0.0705761, 0.0910256, 0.104803, 0.119756, 0.126062, 0.127148, 0.121427, 0.113561, 0.102405, 0.0772062, 0.0578318, 0.0451395, 0.0369798, 0.0292937, 0.0212431, 0.0183399, 0.015276, 0.0119694, 0.00819708, 0.00544923, 0.003992, 0.00209798, 0.000674703, 0.000174577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.198562, 0.208518, 0.211691, 0.214321, 0.217683, 0.221044, 0.224827, 0.226668, 0.23035, 0.232191, 0.234145, 0.236098, 0.238051, 0.240004, 0.241957, 0.244244, 0.245913, 0.247916, 0.249353, 0.252226, 0.253662, 0.255726, 0.257789, 0.259853, 0.261916, 0.26398, 0.266044, 0.268108, 0.270394, 0.271502, 0.273498, 0.276429, 0.281458, 0.286488, 0.293058, 0.296737, 0.299438, 0.302139, 0.305621, 0.310909, 0.314521, 0.318134, 0.321746, 0.327334, 0.331866, 0.336398, 0.340413, 0.345423, 0.347928, 0.352321");
-            values ( \
-              "0.0298784, 0.0315067, 0.0436653, 0.054182, 0.0694804, 0.0854572, 0.101917, 0.109342, 0.123045, 0.129321, 0.134997, 0.140222, 0.144775, 0.149023, 0.152967, 0.157196, 0.160006, 0.163006, 0.163575, 0.16436, 0.164575, 0.164679, 0.164539, 0.164157, 0.163531, 0.162388, 0.160891, 0.159042, 0.15658, 0.154542, 0.150088, 0.142428, 0.127142, 0.11112, 0.0916854, 0.0815011, 0.0749535, 0.0687595, 0.0614359, 0.0509046, 0.0446307, 0.0393475, 0.0345459, 0.0280675, 0.023286, 0.019657, 0.0168837, 0.0139109, 0.0126096, 0.0105979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.143788, 0.226214, 0.233759, 0.241246, 0.263521, 0.274685, 0.28311, 0.299081, 0.313902, 0.328572, 0.343764, 0.359683, 0.387512, 0.4094, 0.429761, 0.442801, 0.454253, 0.469521, 0.481469, 0.494687, 0.512311, 0.547559, 0.560219");
-            values ( \
-              "0.000903541, 0.0129524, 0.0274156, 0.0474895, 0.119977, 0.150731, 0.168242, 0.189225, 0.195855, 0.193579, 0.181602, 0.156133, 0.103281, 0.0693273, 0.0460595, 0.0348648, 0.0271434, 0.0192403, 0.0146467, 0.0108061, 0.00714288, 0.00298098, 0.00241701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.151375, 0.278375, 0.304077, 0.334813, 0.358283, 0.372119, 0.39979, 0.418733, 0.430846, 0.455072, 0.45909, 0.467126, 0.488545, 0.521289, 0.576751, 0.618974, 0.656632, 0.697322, 0.718225, 0.747823, 0.766649, 0.801083, 0.846448, 0.900031");
-            values ( \
-              "0.00381757, 0.0239084, 0.0671067, 0.13075, 0.168614, 0.185068, 0.205215, 0.21115, 0.212215, 0.209661, 0.208518, 0.20594, 0.194948, 0.166538, 0.109245, 0.0728773, 0.048797, 0.0307664, 0.023997, 0.0168434, 0.0133523, 0.00873467, 0.00496477, 0.00281234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.178296, 0.383928, 0.475593, 0.525858, 0.550858, 0.600859, 0.633634, 0.668821, 0.727279, 0.785073, 0.842013, 0.972939, 1.04982, 1.11562, 1.20626, 1.30846, 1.42304, 1.52308, 1.55929");
-            values ( \
-              "0.00831405, 0.0373382, 0.133983, 0.176912, 0.192262, 0.211818, 0.217941, 0.220234, 0.215847, 0.201848, 0.177718, 0.108914, 0.0750144, 0.052758, 0.0314369, 0.0169998, 0.00835375, 0.00439632, 0.00363388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.0715445, 0.0941821, 0.108442, 0.151248, 0.163516, 0.188428, 0.20911, 0.248224, 0.393685, 0.447964, 0.517085, 0.617126, 0.764333, 0.847077, 0.919597, 1.01964, 1.09461, 1.16164, 1.26168, 1.27831, 1.31157, 1.40018, 1.44435, 1.53535, 1.75601, 1.90995, 2.06178, 2.15816, 2.24548, 2.36729, 2.46733, 2.59375, 2.69379, 2.79383, 2.99392, 3.1329");
-            values ( \
-              "0.000920041, 0.00096665, 0.00247727, 0.0248534, 0.0292528, 0.033248, 0.0330419, 0.0304092, 0.0131604, 0.0105861, 0.0180869, 0.054307, 0.134174, 0.171506, 0.195128, 0.215148, 0.222063, 0.223914, 0.220831, 0.21962, 0.21679, 0.205213, 0.197077, 0.176355, 0.118437, 0.0829333, 0.0560443, 0.0429369, 0.0335407, 0.0235335, 0.0175072, 0.0119747, 0.00879334, 0.00650225, 0.00351425, 0.00239118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.203046, 0.20943, 0.229247, 0.23638, 0.2404, 0.244301, 0.248131, 0.25084, 0.256168, 0.264279, 0.275171, 0.2803, 0.291467, 0.30253, 0.316487, 0.328802, 0.337773");
-            values ( \
-              "0.00471467, 0.0191266, 0.104463, 0.121639, 0.125969, 0.127264, 0.125191, 0.120924, 0.105098, 0.0710959, 0.0402896, 0.0300697, 0.0157607, 0.00815301, 0.00391842, 0.00166701, 0.00132989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.215805, 0.22624, 0.248029, 0.254776, 0.263937, 0.272354, 0.280612, 0.28953, 0.320838, 0.333192, 0.350558, 0.366621, 0.387417, 0.400776");
-            values ( \
-              "0.0243163, 0.0281448, 0.118842, 0.139681, 0.158855, 0.165871, 0.165852, 0.155933, 0.0689144, 0.0450915, 0.0236632, 0.0126246, 0.00553238, 0.00339565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.16364, 0.244826, 0.247051, 0.251501, 0.257748, 0.264782, 0.282163, 0.295318, 0.301788, 0.314727, 0.317713, 0.323685, 0.332514, 0.347211, 0.361881, 0.369625, 0.377886, 0.399152, 0.403931, 0.410304, 0.423048, 0.434038, 0.438442, 0.44725, 0.460952, 0.469802, 0.475871, 0.486024, 0.500103, 0.505517, 0.516345, 0.538002, 0.581315, 0.632633");
-            values ( \
-              "0.001152, 0.0129156, 0.0165149, 0.0253868, 0.0412815, 0.0619479, 0.120244, 0.154946, 0.168539, 0.186088, 0.189082, 0.192761, 0.19573, 0.19326, 0.182266, 0.171372, 0.156932, 0.115746, 0.107163, 0.0961782, 0.0762692, 0.0617223, 0.0565369, 0.047183, 0.0352378, 0.0290653, 0.0254214, 0.0202383, 0.0146714, 0.0129571, 0.0100886, 0.00603404, 0.0019765, 0.00049073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.172993, 0.291075, 0.306363, 0.319409, 0.347196, 0.366109, 0.390815, 0.42123, 0.449644, 0.477926, 0.507357, 0.523554, 0.540144, 0.573324, 0.597034, 0.614997, 0.638664, 0.656609, 0.680534, 0.703161, 0.731626, 0.764418, 0.775703, 0.801548, 0.831085, 0.89016, 0.983986, 1.08403");
-            values ( \
-              "0.0103443, 0.0173299, 0.0371673, 0.0606735, 0.118515, 0.152738, 0.184995, 0.206754, 0.212507, 0.208906, 0.195193, 0.182501, 0.166522, 0.131413, 0.107832, 0.0913448, 0.0722514, 0.059902, 0.0461029, 0.0356904, 0.0256111, 0.0172406, 0.0150475, 0.0109765, 0.00761248, 0.00353196, 0.000924819, 0.000212081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.198917, 0.409463, 0.428347, 0.493711, 0.538395, 0.568928, 0.629995, 0.687174, 0.74411, 0.801035, 0.836975, 0.869446, 0.98526, 1.02132, 1.06678, 1.10043, 1.13544, 1.18191, 1.22321, 1.27827, 1.32546, 1.38872, 1.47307, 1.57311, 1.67315, 1.87323");
-            values ( \
-              "0.00369438, 0.0438414, 0.0623051, 0.133963, 0.172875, 0.192211, 0.214573, 0.220599, 0.216191, 0.202943, 0.188719, 0.173032, 0.11188, 0.0946472, 0.075524, 0.0633135, 0.0523008, 0.0402447, 0.0316836, 0.0227936, 0.0171365, 0.0116265, 0.00680582, 0.00358031, 0.00185757, 0.000498663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.224517, 0.633229, 0.783068, 0.833775, 0.933815, 0.995655, 1.05024, 1.08913, 1.13539, 1.18023, 1.26991, 1.2968, 1.35058, 1.41879, 1.51883, 1.80021, 1.86979, 1.95912, 2.09473, 2.19083, 2.27803, 2.35979, 2.45983, 2.55498, 2.70032, 2.9004, 3.00044, 3.30056, 3.50064");
-            values ( \
-              "0.00543106, 0.0530622, 0.134128, 0.158165, 0.19402, 0.208159, 0.21638, 0.220211, 0.222962, 0.223745, 0.22137, 0.219498, 0.21462, 0.205138, 0.184982, 0.112144, 0.0956114, 0.0769436, 0.0538552, 0.0413428, 0.0322765, 0.0253769, 0.0189312, 0.0141843, 0.00911808, 0.00491462, 0.00366478, 0.00139767, 0.000734956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.246001, 0.251382, 0.262013, 0.270674, 0.27651, 0.281834, 0.28702, 0.292294, 0.29518, 0.303939, 0.314481, 0.322252, 0.330448, 0.338158, 0.344343, 0.356159, 0.366442, 0.369088");
-            values ( \
-              "0.00610851, 0.021207, 0.0710718, 0.104736, 0.119887, 0.12597, 0.127266, 0.121192, 0.113549, 0.0772606, 0.0450104, 0.0293936, 0.0183438, 0.0117297, 0.00813664, 0.00415212, 0.00228368, 0.00207473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.249978, 0.266945, 0.288384, 0.295909, 0.303856, 0.307504, 0.315761, 0.320284, 0.324591, 0.330191, 0.362231, 0.374168, 0.388896, 0.409227, 0.432434, 0.439518");
-            values ( \
-              "0.00601319, 0.0262406, 0.115839, 0.139165, 0.157014, 0.161562, 0.166338, 0.165986, 0.163458, 0.156599, 0.0682931, 0.0454401, 0.026266, 0.012004, 0.00464003, 0.0038013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.205824, 0.286004, 0.296221, 0.307179, 0.323331, 0.336701, 0.342948, 0.355441, 0.35887, 0.365728, 0.373672, 0.388355, 0.403034, 0.410887, 0.419264, 0.436803, 0.45206, 0.467566, 0.477904, 0.492167, 0.503427, 0.512481, 0.523696, 0.539488, 0.556172, 0.568993, 0.594635, 0.631039");
-            values ( \
-              "0.00132381, 0.0129193, 0.0339327, 0.0658958, 0.119995, 0.15562, 0.168347, 0.185759, 0.188952, 0.193246, 0.195639, 0.19331, 0.182216, 0.17122, 0.156508, 0.122349, 0.0950851, 0.0715668, 0.0584642, 0.043601, 0.0342616, 0.0281158, 0.0218877, 0.0152591, 0.0104017, 0.00771217, 0.00416409, 0.00188856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.216407, 0.330999, 0.34609, 0.394914, 0.411774, 0.432207, 0.46263, 0.491049, 0.519335, 0.548767, 0.581556, 0.638364, 0.680035, 0.698103, 0.722193, 0.744587, 0.772774, 0.817068, 0.843169, 0.872998, 0.932657, 0.951882");
-            values ( \
-              "0.0121888, 0.0158726, 0.0344694, 0.130515, 0.159281, 0.184894, 0.206656, 0.212496, 0.208857, 0.195189, 0.166523, 0.107913, 0.0722832, 0.0598509, 0.0459737, 0.035683, 0.0256901, 0.015054, 0.0109488, 0.00756313, 0.00348106, 0.00295459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.227188, 0.447369, 0.535275, 0.585492, 0.610633, 0.660915, 0.692866, 0.728604, 0.78536, 0.844857, 0.904073, 1.01885, 1.10559, 1.18265, 1.26068, 1.36168, 1.47027, 1.56447, 1.6308");
-            values ( \
-              "0.00344887, 0.0405435, 0.13382, 0.176849, 0.192197, 0.21194, 0.217927, 0.220179, 0.216119, 0.201853, 0.176598, 0.115825, 0.0765299, 0.0506836, 0.0324435, 0.0176904, 0.00907062, 0.00496437, 0.00339435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.265875, 0.67509, 0.824327, 0.882398, 0.97977, 1.07981, 1.10478, 1.15471, 1.22177, 1.32181, 1.33843, 1.37167, 1.46029, 1.50459, 1.59546, 1.81611, 1.97662, 2.11239, 2.20764, 2.31823, 2.38578, 2.54956, 2.67586, 2.7759, 2.97598, 3.07602, 3.16923");
-            values ( \
-              "0.00523255, 0.0533467, 0.134142, 0.161358, 0.195289, 0.215151, 0.218165, 0.22204, 0.224004, 0.220785, 0.219695, 0.216743, 0.20516, 0.197115, 0.176298, 0.118489, 0.0816453, 0.0574455, 0.0442759, 0.0323872, 0.0265866, 0.0163487, 0.011214, 0.00822464, 0.00443268, 0.00328922, 0.00258481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.330056, 0.337368, 0.3476, 0.355366, 0.361214, 0.366543, 0.371732, 0.376912, 0.37989, 0.388664, 0.399156, 0.407016, 0.415169, 0.422613, 0.428962, 0.441393, 0.452272, 0.452679");
-            values ( \
-              "0.0054378, 0.0259877, 0.0746894, 0.104872, 0.119402, 0.126189, 0.126917, 0.121554, 0.113532, 0.0772071, 0.045106, 0.0293112, 0.0183411, 0.0119079, 0.00818383, 0.0040282, 0.00214318, 0.00211319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.343931, 0.353432, 0.373658, 0.380646, 0.389796, 0.398214, 0.406471, 0.414716, 0.418076, 0.438018, 0.453649, 0.466241, 0.476417, 0.49248, 0.513253, 0.531658, 0.532329");
-            values ( \
-              "0.0320541, 0.0331493, 0.118201, 0.139742, 0.158985, 0.165854, 0.165933, 0.157042, 0.150314, 0.0907497, 0.0544821, 0.0346859, 0.0236591, 0.0126215, 0.00553835, 0.00258827, 0.00256291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.294544, 0.370653, 0.376305, 0.382074, 0.391844, 0.408075, 0.421331, 0.427689, 0.440404, 0.443613, 0.450031, 0.458414, 0.473104, 0.487778, 0.495577, 0.503895, 0.524023, 0.531887, 0.542372, 0.556009, 0.568364, 0.577021, 0.588204, 0.597188, 0.608419, 0.624224, 0.631308, 0.640955, 0.653818, 0.679543, 0.727578, 0.784694");
-            values ( \
-              "0.00226984, 0.0127353, 0.0229491, 0.0368487, 0.0656187, 0.119996, 0.155406, 0.168344, 0.186006, 0.188968, 0.193041, 0.195657, 0.193304, 0.182224, 0.171305, 0.156713, 0.117658, 0.103519, 0.0861869, 0.0666116, 0.0520873, 0.0435098, 0.0342426, 0.0281434, 0.0219037, 0.015264, 0.0129801, 0.0103935, 0.00770009, 0.00414717, 0.00115836, 0.000230684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.302324, 0.425517, 0.442209, 0.479384, 0.505074, 0.516727, 0.540033, 0.561074, 0.575425, 0.604532, 0.6204, 0.633141, 0.658624, 0.71433, 0.757384, 0.801342, 0.842026, 0.862886, 0.892452, 0.945996, 0.992378, 1.03965");
-            values ( \
-              "0.00245312, 0.0272303, 0.0547487, 0.130598, 0.17158, 0.185021, 0.202976, 0.2106, 0.212213, 0.208354, 0.202237, 0.194973, 0.173927, 0.116079, 0.0775369, 0.048768, 0.0306857, 0.024012, 0.0167978, 0.00873262, 0.00484348, 0.00301645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.325199, 0.532051, 0.620077, 0.670374, 0.695404, 0.745465, 0.77807, 0.813372, 0.870122, 0.929624, 0.987129, 1.10072, 1.18823, 1.24131, 1.33525, 1.41939, 1.54898, 1.64902, 1.71088");
-            values ( \
-              "0.00633222, 0.0404608, 0.133853, 0.176927, 0.192198, 0.211879, 0.217978, 0.220177, 0.216117, 0.201849, 0.17744, 0.117282, 0.0773385, 0.0584966, 0.0344288, 0.0208588, 0.00941619, 0.0049514, 0.00349199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.350823, 0.760554, 0.908977, 0.977137, 1.06435, 1.16439, 1.18935, 1.23927, 1.30635, 1.42302, 1.45627, 1.54489, 1.5891, 1.68006, 1.90071, 2.05865, 2.20071, 2.29635, 2.39785, 2.45625, 2.55629, 2.62931, 2.78191, 2.88196, 3.08204, 3.18208, 3.27998");
-            values ( \
-              "0.00497457, 0.0537482, 0.134176, 0.165582, 0.195235, 0.215104, 0.218136, 0.222005, 0.223992, 0.219693, 0.216727, 0.205149, 0.197136, 0.17629, 0.118494, 0.0821728, 0.0568708, 0.0437741, 0.0328566, 0.0277059, 0.0206705, 0.0165839, 0.010506, 0.00769493, 0.00414301, 0.00308082, 0.00238847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.495751, 0.502173, 0.52203, 0.529176, 0.533189, 0.537083, 0.540937, 0.54363, 0.548959, 0.557079, 0.566936, 0.572528, 0.581876, 0.595472, 0.608763, 0.624129");
-            values ( \
-              "0.00466596, 0.0190168, 0.104391, 0.121577, 0.125915, 0.127266, 0.125192, 0.120918, 0.105151, 0.0711434, 0.0423967, 0.0312453, 0.0182976, 0.00827585, 0.00384666, 0.00227451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.508539, 0.518713, 0.525552, 0.538075, 0.541855, 0.547459, 0.550257, 0.553056, 0.554455, 0.556469, 0.560161, 0.567028, 0.57118, 0.575332, 0.579483, 0.582786, 0.587677, 0.607517, 0.616754, 0.626292, 0.634578, 0.643718, 0.653435, 0.664132");
-            values ( \
-              "0.0264689, 0.0273164, 0.0540777, 0.109308, 0.122997, 0.138979, 0.155323, 0.15467, 0.155135, 0.156731, 0.162274, 0.163781, 0.163368, 0.161719, 0.158547, 0.154375, 0.142531, 0.0830137, 0.0618604, 0.0441253, 0.0328845, 0.0229042, 0.0158276, 0.0102189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.461777, 0.537456, 0.550027, 0.574423, 0.594166, 0.610181, 0.625027, 0.63971, 0.654382, 0.670701, 0.70526, 0.720149, 0.742177, 0.764766, 0.775645, 0.797917, 0.811394, 0.838347, 0.883307, 0.936824");
-            values ( \
-              "0.00205267, 0.0130383, 0.0404763, 0.118733, 0.167728, 0.188713, 0.195782, 0.193333, 0.182272, 0.156357, 0.0920155, 0.0699134, 0.0448418, 0.0275305, 0.0215905, 0.0129744, 0.00948701, 0.00496211, 0.00153596, 0.000354806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.471876, 0.587317, 0.603149, 0.646486, 0.66813, 0.683746, 0.714142, 0.742592, 0.770877, 0.799154, 0.833098, 0.889927, 0.931588, 0.973673, 0.996125, 1.02438, 1.06862, 1.12441, 1.18392, 1.20002");
-            values ( \
-              "0.00863347, 0.020721, 0.0437476, 0.130735, 0.166259, 0.184976, 0.206585, 0.212567, 0.208794, 0.196002, 0.166528, 0.107894, 0.072277, 0.0460051, 0.0356838, 0.0256692, 0.0150515, 0.00757511, 0.00349493, 0.0030519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.489943, 0.689819, 0.811199, 0.862344, 0.923368, 0.980558, 1.03749, 1.0968, 1.16282, 1.27879, 1.36019, 1.42876, 1.51661, 1.61886, 1.68202, 1.76623, 1.86627, 1.89803");
-            values ( \
-              "0.0120209, 0.0328816, 0.156588, 0.192268, 0.214794, 0.220505, 0.216343, 0.202046, 0.17303, 0.111797, 0.0755076, 0.0523162, 0.0316748, 0.0171307, 0.0116294, 0.00681724, 0.00358311, 0.00303685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.373779, 0.402581, 0.420108, 0.462722, 0.500156, 0.529271, 0.567636, 0.689785, 0.740468, 0.804556, 0.893588, 1.07727, 1.17495, 1.23252, 1.33256, 1.40737, 1.47448, 1.57452, 1.59113, 1.62436, 1.71299, 1.84816, 2.06881, 2.23889, 2.34811, 2.43295, 2.5423, 2.70203, 2.81689, 2.97282, 3.1729, 3.37298, 3.39099");
-            values ( \
-              "0.000336556, 0.000834012, 0.00234018, 0.0244085, 0.0331814, 0.0326362, 0.0297581, 0.0147149, 0.0108958, 0.01375, 0.0378736, 0.134518, 0.177289, 0.19534, 0.215209, 0.222084, 0.223997, 0.220818, 0.219679, 0.216778, 0.205191, 0.176327, 0.118464, 0.0796963, 0.0601276, 0.0477553, 0.0351298, 0.0220547, 0.0156617, 0.00976715, 0.00528345, 0.00284424, 0.00276352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.832247, 0.843469, 0.849182, 0.855491, 0.862757, 0.867719, 0.870465, 0.873987, 0.879189, 0.88439, 0.887189, 0.896365, 0.90154, 0.90927, 0.916297, 0.925821, 0.932049, 0.936989, 0.944209, 0.953836, 0.965759");
-            values ( \
-              "1e-22, 0.0202346, 0.0434778, 0.0758659, 0.104018, 0.116744, 0.121635, 0.125616, 0.126492, 0.1213, 0.113928, 0.0762598, 0.0591427, 0.0391493, 0.0264882, 0.0152773, 0.010613, 0.00794178, 0.00523566, 0.00301856, 0.0017008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.845714, 0.859064, 0.866795, 0.876732, 0.8844, 0.888062, 0.897225, 0.900904, 0.90565, 0.913917, 0.922182, 0.928417, 0.943844, 0.954127, 0.961105, 0.966548, 0.973805, 0.979351, 0.983848, 0.989843, 0.99989, 1.01153, 1.02081, 1.03935, 1.0685, 1.10414");
-            values ( \
-              "0.01462, 0.0263715, 0.0552528, 0.100674, 0.128276, 0.139655, 0.158276, 0.162339, 0.165709, 0.165317, 0.15715, 0.142786, 0.0953347, 0.0690044, 0.0545229, 0.0450585, 0.0345762, 0.028114, 0.0237093, 0.018813, 0.0126626, 0.00800753, 0.0055261, 0.00256816, 0.000702127, 0.000142169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.800622, 0.878521, 0.888889, 0.923289, 0.935422, 0.951392, 0.966213, 0.980884, 0.995546, 1.01195, 1.03965, 1.06088, 1.08374, 1.10563, 1.13215, 1.16013, 1.18434, 1.202");
-            values ( \
-              "0.00153592, 0.0131243, 0.0345548, 0.14134, 0.168175, 0.189197, 0.195835, 0.193573, 0.182241, 0.156236, 0.103595, 0.0704693, 0.0444908, 0.0277257, 0.0152114, 0.00797198, 0.00446456, 0.00311862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.811136, 0.925597, 0.941068, 0.953415, 0.980864, 1.0001, 1.02459, 1.05495, 1.0834, 1.11168, 1.14111, 1.15729, 1.17389, 1.22481, 1.24391, 1.2648, 1.28236, 1.30675, 1.33067, 1.35146, 1.37917, 1.40289, 1.4347, 1.47712, 1.56078, 1.65826");
-            values ( \
-              "0.010604, 0.018117, 0.0388031, 0.0611319, 0.118393, 0.153172, 0.184964, 0.20681, 0.212488, 0.208993, 0.195233, 0.182555, 0.166568, 0.11365, 0.0956401, 0.0780501, 0.0652119, 0.0501678, 0.0383382, 0.0301728, 0.0217133, 0.0163111, 0.0110652, 0.00648992, 0.00205072, 0.000499178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.832438, 1.0326, 1.0605, 1.12747, 1.1774, 1.20277, 1.21575, 1.22927, 1.24591, 1.26154, 1.27471, 1.28976, 1.32054, 1.37733, 1.39443, 1.41181, 1.43689, 1.48707, 1.53504, 1.59888, 1.62581, 1.67967, 1.71105, 1.74515, 1.79061, 1.82106, 1.84316, 1.87262, 1.93155, 1.97844, 2.00229, 2.05, 2.14541, 2.24546, 2.3455, 2.44554");
-            values ( \
-              "0.0109084, 0.0347423, 0.0608082, 0.133959, 0.17668, 0.19229, 0.198542, 0.203758, 0.209351, 0.213388, 0.21595, 0.218024, 0.219819, 0.215939, 0.21297, 0.209097, 0.201753, 0.18096, 0.156048, 0.121916, 0.108392, 0.0837597, 0.0713971, 0.059579, 0.0463484, 0.0389474, 0.0342852, 0.0288652, 0.0202306, 0.0152145, 0.0131622, 0.009782, 0.00532454, 0.00277566, 0.00145856, 0.000749009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.858366, 1.21555, 1.41716, 1.57223, 1.67227, 1.74708, 1.81419, 1.93085, 2.05271, 2.18788, 2.57862, 2.77266, 2.94173, 3.12868, 3.41257, 3.54534");
-            values ( \
-              "0.022661, 0.0311528, 0.134452, 0.195219, 0.215261, 0.222138, 0.223916, 0.219609, 0.205247, 0.176382, 0.0796513, 0.0477258, 0.0295608, 0.0169986, 0.00716544, 0.00526557" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00321202, 0.00321206, 0.00321211, 0.00321214, 0.00321216, 0.00321217", \
-            "0.00417704, 0.00417704, 0.00417705, 0.00417706, 0.00417707, 0.00417707", \
-            "0.00481265, 0.00481263, 0.00481261, 0.0048126, 0.00481259, 0.00481259", \
-            "0.00521557, 0.00521555, 0.00521511, 0.0052155, 0.00521549, 0.00521548", \
-            "0.00547392, 0.00547391, 0.00547389, 0.00547387, 0.00547385, 0.00547384", \
-            "0.00564437, 0.00564437, 0.00564435, 0.00564433, 0.00564431, 0.0056443" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0050643, 0.0050642, 0.00506413, 0.00506409, 0.00506407, 0.00506406", \
-            "0.00542828, 0.00542815, 0.00542803, 0.00542793, 0.00542786, 0.00542783", \
-            "0.00528426, 0.00528413, 0.00528396, 0.0052838, 0.00528367, 0.00528359", \
-            "0.00554194, 0.00554202, 0.00554192, 0.00554194, 0.00554181, 0.00554171", \
-            "0.00594162, 0.00594094, 0.00594003, 0.00593944, 0.0059388, 0.005939", \
-            "0.0057221, 0.00572889, 0.00575444, 0.00574374, 0.00572639, 0.00572492" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302065", \
-            "0.00361675", \
-            "0.0039831", \
-            "0.00419802", \
-            "0.00431269", \
-            "0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434341", \
-            "0.0048304", \
-            "0.0051089", \
-            "0.0054248", \
-            "0.00593648", \
-            "0.00683593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        when : "(!CK * !D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.215031, 0.2498, 0.316461, 0.447085, 0.713329, 1.26607", \
-            "0.219772, 0.254555, 0.32122, 0.451886, 0.718116, 1.27087", \
-            "0.230082, 0.264845, 0.331494, 0.46218, 0.72842, 1.2817", \
-            "0.246506, 0.281361, 0.347972, 0.478749, 0.744941, 1.29825", \
-            "0.262592, 0.297301, 0.363841, 0.494716, 0.760928, 1.31398", \
-            "0.269993, 0.304854, 0.371639, 0.502484, 0.768488, 1.32205" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0350238, 0.0556695, 0.0989109, 0.190406, 0.382645, 0.783823", \
-            "0.0350039, 0.0556681, 0.0989023, 0.190399, 0.382323, 0.783935", \
-            "0.0350506, 0.0556837, 0.0988804, 0.190419, 0.382322, 0.783718", \
-            "0.0350298, 0.0556994, 0.0988851, 0.190422, 0.38251, 0.783855", \
-            "0.0351199, 0.0556964, 0.0990081, 0.19031, 0.382364, 0.783873", \
-            "0.0351235, 0.0557288, 0.0988851, 0.190358, 0.382305, 0.783732" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.184062, 0.190561, 0.197721, 0.200314, 0.204283, 0.210274, 0.216124, 0.22145, 0.226637, 0.231815, 0.234809, 0.243535, 0.249467, 0.254205, 0.261763, 0.267107, 0.27371, 0.278003, 0.284098, 0.286805, 0.292218, 0.303045, 0.321156");
-            values ( \
-              "0.00378316, 0.0194809, 0.0490543, 0.0638807, 0.0822967, 0.104881, 0.119561, 0.126173, 0.127025, 0.121511, 0.113495, 0.0773398, 0.0576007, 0.0447508, 0.0295709, 0.021744, 0.0148534, 0.0115806, 0.00807776, 0.00693389, 0.00505039, 0.00271377, 0.000922675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.198221, 0.209236, 0.224303, 0.235564, 0.244699, 0.253107, 0.261363, 0.269618, 0.275882, 0.291278, 0.301595, 0.313964, 0.321178, 0.331321, 0.347386, 0.368227, 0.386699, 0.390317");
-            values ( \
-              "0.0261319, 0.0362899, 0.10157, 0.140242, 0.158669, 0.165974, 0.165478, 0.157253, 0.142754, 0.0953364, 0.0689202, 0.0450773, 0.0346436, 0.0236649, 0.0126258, 0.00552379, 0.00257563, 0.00234313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.14708, 0.225569, 0.282711, 0.298678, 0.313494, 0.328164, 0.342823, 0.409378, 0.428404, 0.454309, 0.4815, 0.510162, 0.532241");
-            values ( \
-              "0.00209422, 0.0124507, 0.168343, 0.189229, 0.195905, 0.193552, 0.18225, 0.0688081, 0.046981, 0.0268581, 0.0144912, 0.00744384, 0.00466994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.158673, 0.278824, 0.29513, 0.3343, 0.35026, 0.371594, 0.40201, 0.430427, 0.458711, 0.488143, 0.520931, 0.577767, 0.619423, 0.661476, 0.683951, 0.712233, 0.756446, 0.812165, 0.871598, 0.895026");
-            values ( \
-              "0.00462825, 0.0250901, 0.0510534, 0.130541, 0.157974, 0.184909, 0.206689, 0.212503, 0.208873, 0.195194, 0.166525, 0.107888, 0.0722749, 0.0460224, 0.0356885, 0.0256651, 0.0150543, 0.00758336, 0.00350102, 0.00285588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.177957, 0.388805, 0.408596, 0.474491, 0.502865, 0.549807, 0.6108, 0.667987, 0.724921, 0.781843, 0.817797, 0.850254, 0.966113, 1.00216, 1.0476, 1.08121, 1.11623, 1.16271, 1.20402, 1.2591, 1.30626, 1.36948, 1.45377, 1.55379, 1.6538, 1.85384");
-            values ( \
-              "0.00432828, 0.0425164, 0.0617403, 0.13386, 0.160066, 0.192288, 0.214661, 0.220565, 0.216247, 0.202901, 0.188719, 0.173033, 0.111858, 0.0946368, 0.0755215, 0.0633225, 0.0523075, 0.0402474, 0.0316833, 0.0227919, 0.0171367, 0.0116294, 0.0068108, 0.00358266, 0.00185986, 0.000499761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.071665, 0.0941975, 0.108484, 0.158813, 0.17836, 0.193344, 0.216494, 0.247178, 0.391496, 0.443495, 0.513853, 0.613624, 0.763914, 0.811335, 0.906177, 0.945362, 0.997608, 1.04398, 1.13672, 1.16119, 1.21015, 1.27792, 1.37793, 1.39983, 1.44361, 1.535, 1.75567, 1.91474, 1.97515, 2.05562, 2.15508, 2.24926, 2.30687, 2.40689, 2.48263, 2.55149, 2.64329, 2.74331, 2.84332, 3.04335, 3.24339, 3.54344");
-            values ( \
-              "0.000925895, 0.000986482, 0.00259283, 0.027888, 0.0323831, 0.0334458, 0.0326896, 0.0305467, 0.0132357, 0.0106522, 0.0174107, 0.0528507, 0.134127, 0.156739, 0.191586, 0.201674, 0.211716, 0.217887, 0.223608, 0.223924, 0.223113, 0.219578, 0.20864, 0.205219, 0.197149, 0.176363, 0.118431, 0.0818826, 0.0703424, 0.0569223, 0.0432624, 0.0331478, 0.0280893, 0.0208973, 0.0166972, 0.0135854, 0.010264, 0.00757599, 0.00555234, 0.00298673, 0.00160052, 0.000641068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.203231, 0.209259, 0.228798, 0.235927, 0.239948, 0.243852, 0.247673, 0.250388, 0.255715, 0.265739, 0.27469, 0.283201, 0.290962, 0.302062, 0.316154, 0.328595, 0.336345");
-            values ( \
-              "0.00430371, 0.0202361, 0.105058, 0.121577, 0.12598, 0.127272, 0.125156, 0.12099, 0.105032, 0.0646614, 0.0402409, 0.0250838, 0.0159158, 0.00826621, 0.00379072, 0.00174487, 0.00145882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.217626, 0.227219, 0.230658, 0.233248, 0.239468, 0.241914, 0.245024, 0.246911, 0.250685, 0.252539, 0.254394, 0.256249, 0.259958, 0.261813, 0.263529, 0.264387, 0.266102, 0.26696, 0.268204, 0.270692, 0.271936, 0.274, 0.276064, 0.278128, 0.280192, 0.282256, 0.28432, 0.286383, 0.28867, 0.289783, 0.291788, 0.294712, 0.29973, 0.304749, 0.311337, 0.315026, 0.317725, 0.320424, 0.323903, 0.329186, 0.332792, 0.336399, 0.340006, 0.345607, 0.35015, 0.354693, 0.358709, 0.363713, 0.366215, 0.370603");
-            values ( \
-              "0.0321593, 0.0332177, 0.046491, 0.0569282, 0.0865319, 0.0971491, 0.109735, 0.116873, 0.130018, 0.135496, 0.140523, 0.144847, 0.152643, 0.156115, 0.159072, 0.160383, 0.16267, 0.163646, 0.164064, 0.164634, 0.164786, 0.164843, 0.164656, 0.164226, 0.163551, 0.162396, 0.160902, 0.159071, 0.156625, 0.154536, 0.150061, 0.14241, 0.127152, 0.111161, 0.091671, 0.0814639, 0.0749244, 0.0687377, 0.0614204, 0.0509026, 0.0446366, 0.039361, 0.0345656, 0.0280703, 0.0232783, 0.0196428, 0.0168723, 0.0139058, 0.0126061, 0.0105961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.163919, 0.244266, 0.252362, 0.26604, 0.287927, 0.301175, 0.317146, 0.331961, 0.34663, 0.36182, 0.369265, 0.377773, 0.405686, 0.428055, 0.44635, 0.460458, 0.469167, 0.485366, 0.499469, 0.51578, 0.537529, 0.581027, 0.632418");
-            values ( \
-              "0.00131619, 0.0128983, 0.0286433, 0.0676857, 0.138492, 0.168356, 0.189288, 0.195895, 0.193589, 0.181599, 0.171096, 0.156065, 0.103075, 0.0685254, 0.0474904, 0.0351527, 0.0290905, 0.0202668, 0.0146799, 0.0100775, 0.00601723, 0.00195627, 0.000487252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.175076, 0.292798, 0.308468, 0.367126, 0.390078, 0.420485, 0.448901, 0.477185, 0.506616, 0.522801, 0.539403, 0.596249, 0.6379, 0.655909, 0.67992, 0.702421, 0.730736, 0.774923, 0.800896, 0.83058, 0.889946, 0.983907, 1.08392");
-            values ( \
-              "0.00871467, 0.0198554, 0.0419386, 0.155538, 0.1849, 0.206751, 0.212469, 0.20892, 0.195187, 0.182512, 0.166521, 0.107876, 0.0722695, 0.0598763, 0.0460389, 0.0356908, 0.0256574, 0.0150549, 0.0109634, 0.00759011, 0.00350575, 0.00091613, 0.000209467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.191182, 0.39017, 0.420388, 0.493376, 0.543306, 0.568718, 0.619542, 0.649897, 0.686701, 0.750225, 0.771133, 0.810613, 0.857028, 1.01212, 1.06315, 1.14074, 1.21845, 1.2604, 1.31953, 1.42835, 1.52277, 1.61933");
-            values ( \
-              "0.0146632, 0.0285288, 0.0547628, 0.133899, 0.176556, 0.192259, 0.212001, 0.217726, 0.220254, 0.215024, 0.21085, 0.199137, 0.178963, 0.0986419, 0.076654, 0.0506342, 0.0324717, 0.0253291, 0.0176979, 0.00906198, 0.00495109, 0.00267233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.228956, 0.612483, 0.799786, 0.885243, 0.937553, 1.03757, 1.1128, 1.17968, 1.29635, 1.41823, 1.5534, 1.9274, 2.08059, 2.26261, 2.48374, 2.71488, 2.91491, 2.93943");
-            values ( \
-              "0.013385, 0.0435456, 0.142967, 0.178942, 0.195007, 0.215131, 0.222082, 0.223856, 0.219574, 0.205244, 0.17639, 0.0830259, 0.055957, 0.033607, 0.0176145, 0.00869012, 0.00467882, 0.00441174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.248857, 0.25574, 0.261343, 0.265979, 0.270075, 0.275927, 0.281258, 0.286449, 0.291631, 0.294562, 0.303477, 0.313463, 0.317643, 0.322843, 0.328135, 0.331903, 0.338585, 0.344322, 0.351313, 0.360635, 0.378569, 0.380569");
-            values ( \
-              "0.0261731, 0.0414645, 0.0700933, 0.090153, 0.104438, 0.119566, 0.125927, 0.127081, 0.121368, 0.113673, 0.0768151, 0.0461305, 0.0368179, 0.0275286, 0.0202849, 0.0163233, 0.0110537, 0.00792259, 0.00529948, 0.0030717, 0.00107872, 0.00100756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.250425, 0.266679, 0.274402, 0.284076, 0.291638, 0.295351, 0.304493, 0.307927, 0.312904, 0.321162, 0.329418, 0.335676, 0.351079, 0.361389, 0.368352, 0.37377, 0.380994, 0.391118, 0.397163, 0.407181, 0.418805, 0.428059, 0.446568, 0.463839");
-            values ( \
-              "0.00615894, 0.0275074, 0.057132, 0.101254, 0.128635, 0.140049, 0.15856, 0.162403, 0.16591, 0.165469, 0.157223, 0.142759, 0.0953314, 0.0689364, 0.0544882, 0.0450668, 0.0346257, 0.0236674, 0.0187438, 0.0126268, 0.00798885, 0.00551825, 0.00256678, 0.00146186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.206545, 0.285653, 0.297504, 0.32301, 0.336152, 0.342624, 0.355568, 0.364511, 0.37335, 0.388047, 0.402718, 0.41046, 0.44476, 0.463824, 0.48817, 0.501811, 0.514646, 0.541811, 0.568411, 0.598812, 0.607943");
-            values ( \
-              "0.00156967, 0.0128613, 0.0381993, 0.11995, 0.155262, 0.168288, 0.186332, 0.192895, 0.195599, 0.193373, 0.182151, 0.171387, 0.107173, 0.0763558, 0.0471007, 0.0352261, 0.0266001, 0.0143509, 0.0077393, 0.00368889, 0.00318266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.217783, 0.330238, 0.345363, 0.362936, 0.394097, 0.41607, 0.431378, 0.461802, 0.490232, 0.518519, 0.546796, 0.564125, 0.58074, 0.637543, 0.679215, 0.697288, 0.721385, 0.743765, 0.771935, 0.804907, 0.816235, 0.842341, 0.872176, 0.931846, 0.971177");
-            values ( \
-              "0.0126997, 0.0159236, 0.0346341, 0.0663589, 0.130581, 0.16666, 0.184893, 0.206598, 0.212539, 0.208809, 0.195982, 0.182508, 0.166528, 0.107921, 0.0722886, 0.0598521, 0.0459695, 0.0356849, 0.0256966, 0.0172636, 0.0150564, 0.0109505, 0.00756303, 0.00348091, 0.00240388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.235642, 0.42877, 0.461142, 0.534604, 0.584571, 0.609964, 0.660749, 0.670908, 0.691224, 0.72795, 0.784723, 0.791497, 0.812476, 0.840447, 0.851504, 0.866246, 0.89573, 1.00677, 1.03514, 1.09189, 1.12788, 1.18059, 1.22427, 1.26062, 1.30223, 1.36111, 1.38698, 1.42176, 1.46814, 1.56089, 1.6609, 1.76092, 1.86093");
-            values ( \
-              "0.0170072, 0.0266957, 0.0542852, 0.133827, 0.176594, 0.192215, 0.212022, 0.214438, 0.217769, 0.220209, 0.216091, 0.215016, 0.210824, 0.203093, 0.199268, 0.193534, 0.180212, 0.121557, 0.10733, 0.0816628, 0.0679019, 0.0510383, 0.0398399, 0.0322817, 0.025247, 0.0176516, 0.0150826, 0.0121887, 0.00914693, 0.00505094, 0.0026474, 0.00137661, 0.000721154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.26202, 0.629918, 0.682013, 0.824384, 0.922157, 0.97947, 1.07949, 1.15435, 1.22142, 1.32143, 1.33807, 1.37134, 1.45993, 1.50402, 1.59509, 1.81576, 1.97224, 2.11782, 2.21372, 2.31009, 2.4639, 2.54163, 2.70829, 2.80831, 2.90833, 3.10836, 3.18414");
-            values ( \
-              "0.020335, 0.0337132, 0.057167, 0.134615, 0.177413, 0.195343, 0.215227, 0.222106, 0.223983, 0.220838, 0.219664, 0.216791, 0.205208, 0.197119, 0.176343, 0.118453, 0.0824307, 0.0565838, 0.0434627, 0.0330981, 0.0211082, 0.0167396, 0.0101257, 0.00744894, 0.00548292, 0.00295536, 0.00243802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.327144, 0.335823, 0.341236, 0.347524, 0.354713, 0.359758, 0.362152, 0.365896, 0.36904, 0.371062, 0.375106, 0.378792, 0.388189, 0.390289, 0.393448, 0.401133, 0.404526, 0.408129, 0.412992, 0.417688, 0.42395, 0.428863, 0.43605, 0.445633, 0.463286, 0.469802");
-            values ( \
-              "0.00224709, 0.0221882, 0.0443195, 0.0768831, 0.104701, 0.11767, 0.121926, 0.125994, 0.127147, 0.126643, 0.12329, 0.114971, 0.0765148, 0.0692759, 0.0588879, 0.0390331, 0.0323689, 0.0265983, 0.0199344, 0.015169, 0.0106638, 0.00783841, 0.00514627, 0.00311206, 0.000966208, 0.000837032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.342435, 0.352286, 0.373066, 0.380079, 0.389235, 0.397655, 0.405913, 0.414383, 0.417513, 0.437461, 0.453093, 0.465696, 0.475862, 0.491927, 0.512723, 0.530264");
-            values ( \
-              "0.0295958, 0.0307512, 0.117971, 0.139592, 0.15896, 0.165788, 0.165947, 0.156697, 0.150319, 0.0907492, 0.0544796, 0.0346724, 0.0236584, 0.0126209, 0.00553405, 0.00272529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.292641, 0.370002, 0.380932, 0.391335, 0.407466, 0.420861, 0.427085, 0.439534, 0.449954, 0.457809, 0.472491, 0.487696, 0.503426, 0.536801, 0.552107, 0.561861, 0.575938, 0.58742, 0.596896, 0.608011, 0.623726, 0.640118, 0.652682, 0.677809, 0.71102");
-            values ( \
-              "0.00219718, 0.0125653, 0.0354753, 0.0658463, 0.119923, 0.155748, 0.168277, 0.185785, 0.193357, 0.195557, 0.193379, 0.181509, 0.15646, 0.0940779, 0.0710278, 0.0586796, 0.04394, 0.0343692, 0.0279437, 0.0218018, 0.0152251, 0.0104487, 0.00779538, 0.00426439, 0.00213669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.304986, 0.423678, 0.440114, 0.478846, 0.502055, 0.516168, 0.546599, 0.575027, 0.603313, 0.632747, 0.665535, 0.722321, 0.764001, 0.806231, 0.828563, 0.856675, 0.90102, 0.957076, 1.01687, 1.03997");
-            values ( \
-              "0.00471469, 0.0255127, 0.0518788, 0.130399, 0.168345, 0.184813, 0.206654, 0.212475, 0.208866, 0.19519, 0.166523, 0.107935, 0.0722923, 0.0459455, 0.0356859, 0.0257154, 0.01506, 0.0075546, 0.00346856, 0.00283861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.313062, 0.513935, 0.545821, 0.619232, 0.669287, 0.69459, 0.745198, 0.776199, 0.812573, 0.87091, 0.897838, 0.928791, 0.989095, 1.11012, 1.1921, 1.26116, 1.34852, 1.40321, 1.45077, 1.51455, 1.59958, 1.6996, 1.75145");
-            values ( \
-              "0.015058, 0.0271138, 0.0542838, 0.133891, 0.176604, 0.192274, 0.211918, 0.217755, 0.220269, 0.215867, 0.210653, 0.20187, 0.176082, 0.112163, 0.0755718, 0.0522543, 0.0317067, 0.022886, 0.0171447, 0.0115925, 0.00677196, 0.0035465, 0.00267021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.353691, 0.752345, 0.908768, 1.00723, 1.06431, 1.16432, 1.2394, 1.30636, 1.40637, 1.42303, 1.45633, 1.54489, 1.5888, 1.68006, 1.90074, 2.06292, 2.19569, 2.2934, 2.40217, 2.47256, 2.57258, 2.63482, 2.75704, 2.85705, 2.95707, 3.1571, 3.272");
-            values ( \
-              "0.00826103, 0.0499325, 0.13412, 0.177282, 0.195187, 0.215118, 0.222049, 0.223942, 0.220814, 0.219641, 0.216772, 0.205201, 0.197146, 0.176343, 0.118448, 0.0812677, 0.0576749, 0.0441007, 0.032421, 0.0264384, 0.0196641, 0.0163383, 0.0113068, 0.00833365, 0.00612754, 0.00330234, 0.00242467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.496501, 0.50436, 0.509161, 0.512702, 0.517209, 0.521363, 0.527204, 0.532531, 0.537718, 0.542897, 0.545847, 0.556156, 0.560927, 0.566016, 0.57005, 0.575583, 0.580021, 0.58386, 0.588353, 0.594832, 0.602457, 0.608458, 0.620459, 0.63239");
-            values ( \
-              "0.0028039, 0.0305667, 0.0517593, 0.0707703, 0.0901854, 0.104722, 0.119672, 0.126064, 0.127119, 0.121469, 0.113672, 0.0717557, 0.056778, 0.0431852, 0.034748, 0.0254602, 0.0196828, 0.0157607, 0.012161, 0.00827232, 0.00532979, 0.00382044, 0.00188488, 0.00113108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.506398, 0.517774, 0.524765, 0.535273, 0.54267, 0.550156, 0.555566, 0.55988, 0.564116, 0.57238, 0.580642, 0.586884, 0.602306, 0.612599, 0.625013, 0.632265, 0.642337, 0.648353, 0.658401, 0.670075, 0.679379, 0.697988, 0.71256");
-            values ( \
-              "0.0211817, 0.0269164, 0.0535809, 0.100686, 0.128209, 0.148482, 0.158034, 0.163005, 0.16569, 0.16544, 0.157098, 0.142765, 0.0953155, 0.0689677, 0.0450402, 0.03457, 0.0236762, 0.0187723, 0.0126323, 0.0079769, 0.00549825, 0.00254697, 0.00162206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.458234, 0.536456, 0.541372, 0.549984, 0.573443, 0.586834, 0.593249, 0.606079, 0.615547, 0.624065, 0.638783, 0.65347, 0.66109, 0.669218, 0.700615, 0.722488, 0.731889, 0.744423, 0.756514, 0.771765, 0.794961, 0.808552, 0.824084, 0.855148, 0.904778, 0.96515");
-            values ( \
-              "0.00195266, 0.0126469, 0.021319, 0.0427007, 0.118066, 0.154282, 0.167408, 0.185563, 0.192637, 0.195324, 0.193183, 0.182073, 0.17151, 0.157354, 0.0983227, 0.0656441, 0.0544426, 0.041938, 0.0323473, 0.0230865, 0.013589, 0.00993542, 0.00690324, 0.00322968, 0.000850261, 0.00014898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.47043, 0.580089, 0.596629, 0.610929, 0.645595, 0.669896, 0.68289, 0.708877, 0.721975, 0.739438, 0.754769, 0.769857, 0.79933, 0.81476, 0.83213, 0.88734, 0.929792, 0.96824, 0.989902, 1.00775, 1.02878, 1.05847, 1.0893, 1.1129, 1.16011, 1.2132");
-            values ( \
-              "0.0141122, 0.0146391, 0.0344062, 0.0597588, 0.130865, 0.169667, 0.185138, 0.204293, 0.209284, 0.212282, 0.211473, 0.208529, 0.195051, 0.183079, 0.166477, 0.109459, 0.0728773, 0.0483859, 0.0379128, 0.0308705, 0.0240941, 0.0168442, 0.0115843, 0.00865356, 0.00475056, 0.00273775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.47948, 0.69495, 0.786308, 0.838092, 0.861644, 0.908749, 0.950091, 0.979567, 1.03721, 1.07573, 1.09582, 1.16179, 1.27126, 1.3563, 1.43428, 1.51099, 1.55309, 1.61234, 1.72199, 1.81719, 1.89256");
-            values ( \
-              "0.00607687, 0.0375712, 0.1339, 0.177989, 0.192249, 0.211065, 0.218607, 0.220161, 0.215961, 0.207913, 0.201822, 0.172976, 0.115078, 0.0766132, 0.0504768, 0.0325531, 0.0253731, 0.0177137, 0.00902277, 0.00490474, 0.00314135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.373146, 0.401929, 0.419415, 0.470441, 0.491416, 0.506356, 0.564113, 0.703197, 0.756998, 0.828519, 0.928535, 1.07503, 1.16565, 1.23042, 1.33044, 1.35546, 1.40551, 1.47247, 1.57249, 1.58914, 1.62245, 1.71101, 1.75489, 1.84618, 2.06685, 2.23694, 2.34608, 2.43084, 2.54036, 2.70016, 2.81491, 2.97074, 3.17077, 3.3708, 3.42709");
-            values ( \
-              "0.000342204, 0.000855124, 0.00257399, 0.0279997, 0.0325793, 0.0334303, 0.0299438, 0.0132424, 0.0106073, 0.0181715, 0.0546344, 0.13416, 0.174425, 0.195166, 0.215102, 0.218091, 0.222027, 0.223956, 0.220793, 0.219662, 0.216747, 0.205176, 0.197174, 0.176319, 0.118468, 0.0797019, 0.0601301, 0.0477826, 0.0351185, 0.0220398, 0.0156539, 0.00976221, 0.00527777, 0.00283779, 0.00246697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.832771, 0.843762, 0.847712, 0.854355, 0.861623, 0.867502, 0.871844, 0.87487, 0.878054, 0.883335, 0.886474, 0.894512, 0.90185, 0.911202, 0.919009, 0.922517, 0.928419, 0.935121, 0.948841, 0.960984, 0.964756");
-            values ( \
-              "0.000891239, 0.0257588, 0.0417259, 0.0755899, 0.103863, 0.118704, 0.124701, 0.126516, 0.126513, 0.120998, 0.112507, 0.0791957, 0.0547923, 0.0330624, 0.0212021, 0.0173158, 0.0122794, 0.00829794, 0.00376606, 0.00189335, 0.0016466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.846214, 0.858003, 0.865587, 0.875645, 0.883255, 0.886966, 0.896127, 0.899603, 0.904549, 0.912815, 0.921078, 0.927317, 0.94274, 0.953028, 0.960004, 0.965442, 0.972694, 0.98275, 0.988754, 0.998795, 1.01043, 1.0197, 1.03823, 1.05584");
-            values ( \
-              "0.0200431, 0.0263806, 0.0549632, 0.100681, 0.128262, 0.139684, 0.158322, 0.162205, 0.165755, 0.16534, 0.157172, 0.14278, 0.0953322, 0.0689902, 0.0545159, 0.0450596, 0.0345842, 0.0237032, 0.0188017, 0.0126585, 0.00800795, 0.00552818, 0.00257187, 0.00144286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.798205, 0.877258, 0.882067, 0.886884, 0.896519, 0.914595, 0.927839, 0.934231, 0.947015, 0.950161, 0.956455, 0.964966, 0.979661, 0.994335, 1.00209, 1.01036, 1.03158, 1.03642, 1.04286, 1.05575, 1.06647, 1.07933, 1.09327, 1.10236, 1.10863, 1.11865, 1.13257, 1.13783, 1.14835, 1.1694, 1.21148, 1.23842, 1.27038");
-            values ( \
-              "0.00154017, 0.0129059, 0.0214075, 0.0325059, 0.0598336, 0.119993, 0.155134, 0.168358, 0.185932, 0.188974, 0.192891, 0.195671, 0.193255, 0.182261, 0.171375, 0.156898, 0.1158, 0.107124, 0.096031, 0.0759296, 0.0617591, 0.0475656, 0.0353493, 0.0290099, 0.0252538, 0.0201672, 0.014673, 0.013006, 0.0101988, 0.00619591, 0.00210907, 0.00110568, 0.000516673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.810195, 0.859375, 0.880513, 0.893554, 0.906551, 0.920315, 0.935278, 0.952526, 0.979531, 0.999247, 1.02343, 1.05384, 1.08225, 1.11053, 1.13996, 1.15613, 1.17274, 1.22537, 1.25739, 1.27434, 1.29339, 1.31515, 1.33196, 1.34419, 1.36051, 1.39314, 1.41189, 1.44071, 1.47914, 1.55601, 1.65514");
-            values ( \
-              "0.0398323, 0.00861953, 0.00442482, 0.00330292, 0.00581763, 0.0142787, 0.0315279, 0.0616774, 0.117906, 0.153532, 0.184957, 0.206743, 0.212538, 0.208923, 0.195234, 0.182557, 0.166571, 0.111999, 0.0831604, 0.0700614, 0.057352, 0.0451369, 0.0373358, 0.0324367, 0.0268026, 0.0181044, 0.0144329, 0.0101445, 0.00626396, 0.00221506, 0.00052112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.831151, 1.01311, 1.0546, 1.1144, 1.14999, 1.20162, 1.21583, 1.22946, 1.24628, 1.26237, 1.29167, 1.31943, 1.37616, 1.39615, 1.41541, 1.43577, 1.47649, 1.50183, 1.60863, 1.64147, 1.69582, 1.74244, 1.77498, 1.81697, 1.85039, 1.89269, 1.95209, 1.97864, 2.01471, 2.06281, 2.159, 2.25901, 2.35903, 2.45905");
-            values ( \
-              "0.0220302, 0.0221984, 0.0557818, 0.121404, 0.156056, 0.192196, 0.199245, 0.204284, 0.209813, 0.213838, 0.218403, 0.21985, 0.215966, 0.212413, 0.207891, 0.201751, 0.185387, 0.172897, 0.116356, 0.100299, 0.0767674, 0.0600952, 0.0502666, 0.039627, 0.0326597, 0.0254266, 0.017736, 0.0150905, 0.0120979, 0.00897482, 0.00484447, 0.00253413, 0.00132179, 0.00068823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.857616, 1.21563, 1.41623, 1.57124, 1.67126, 1.74607, 1.81318, 1.92983, 2.05169, 2.18685, 2.5776, 2.7715, 2.94081, 3.12767, 3.41141, 3.54063");
-            values ( \
-              "0.0223135, 0.0316171, 0.134551, 0.195267, 0.215282, 0.222152, 0.223931, 0.219619, 0.205248, 0.176383, 0.0796516, 0.0477448, 0.029553, 0.0169993, 0.00716998, 0.0053185" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00321198, 0.00321202, 0.00321206, 0.00321209, 0.00321211, 0.00321213", \
-            "0.00417689, 0.00417689, 0.00417689, 0.0041769, 0.00417691, 0.00417692", \
-            "0.00481266, 0.00481264, 0.00481262, 0.00481261, 0.00481261, 0.0048126", \
-            "0.00521561, 0.00521559, 0.00521557, 0.00521554, 0.00521553, 0.00521552", \
-            "0.00547397, 0.00547396, 0.00547394, 0.00547392, 0.0054739, 0.00547389", \
-            "0.00564447, 0.00564446, 0.00564445, 0.00564443, 0.00564441, 0.00564439" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00506435, 0.00506425, 0.00506417, 0.00506413, 0.00506411, 0.0050641", \
-            "0.00542788, 0.00542776, 0.00542763, 0.00542752, 0.00542746, 0.00542742", \
-            "0.00528479, 0.00528467, 0.0052845, 0.00528432, 0.0052842, 0.00528412", \
-            "0.00554972, 0.0055498, 0.0055498, 0.00554971, 0.00554957, 0.00554946", \
-            "0.00595231, 0.00595121, 0.00595024, 0.00594961, 0.00594927, 0.00594911", \
-            "0.00571901, 0.00572546, 0.00575123, 0.00574027, 0.00572276, 0.00572103" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302065", \
-            "0.00361675", \
-            "0.0039831", \
-            "0.00419802", \
-            "0.00431269", \
-            "0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434341", \
-            "0.0048304", \
-            "0.0051089", \
-            "0.0054248", \
-            "0.00593648", \
-            "0.00683593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : positive_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.215481, 0.250249, 0.316932, 0.447487, 0.713698, 1.2667", \
-            "0.220215, 0.255042, 0.32168, 0.452368, 0.718557, 1.27126", \
-            "0.230573, 0.265274, 0.331976, 0.462666, 0.728794, 1.28185", \
-            "0.247114, 0.281943, 0.348602, 0.479237, 0.745409, 1.29841", \
-            "0.263388, 0.298076, 0.364742, 0.495582, 0.762027, 1.31512", \
-            "0.271092, 0.305961, 0.372821, 0.503633, 0.769685, 1.32315" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0350177, 0.0556889, 0.0989168, 0.19029, 0.38246, 0.783879", \
-            "0.0350078, 0.0557006, 0.0988784, 0.190384, 0.382678, 0.783643", \
-            "0.035014, 0.0557051, 0.0988832, 0.190416, 0.382485, 0.783792", \
-            "0.0350359, 0.055688, 0.0988813, 0.190278, 0.382465, 0.783853", \
-            "0.0350346, 0.0557263, 0.0990151, 0.19041, 0.382601, 0.78373", \
-            "0.0351349, 0.0557373, 0.0989181, 0.190346, 0.382351, 0.783771" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.189711, 0.196627, 0.201973, 0.206719, 0.2107, 0.216538, 0.221863, 0.227049, 0.232227, 0.235204, 0.238129, 0.243981, 0.249804, 0.254457, 0.258157, 0.262341, 0.267918, 0.270481, 0.273635, 0.277839, 0.284242, 0.291332, 0.296866, 0.307936, 0.327728, 0.351756");
-            values ( \
-              "0.0253785, 0.043169, 0.0705761, 0.0910256, 0.104803, 0.119756, 0.126062, 0.127148, 0.121427, 0.113561, 0.102405, 0.0772062, 0.0578318, 0.0451395, 0.0369798, 0.0292937, 0.0212431, 0.0183399, 0.015276, 0.0119694, 0.00819708, 0.00544923, 0.003992, 0.00209798, 0.000674703, 0.000174577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.198562, 0.208518, 0.211691, 0.214321, 0.217683, 0.221044, 0.224827, 0.226668, 0.23035, 0.232191, 0.234145, 0.236098, 0.238051, 0.240004, 0.241957, 0.244244, 0.245913, 0.247916, 0.249353, 0.252226, 0.253662, 0.255726, 0.257789, 0.259853, 0.261916, 0.26398, 0.266044, 0.268108, 0.270394, 0.271502, 0.273498, 0.276429, 0.281458, 0.286488, 0.293058, 0.296737, 0.299438, 0.302139, 0.305621, 0.310909, 0.314521, 0.318134, 0.321746, 0.327334, 0.331866, 0.336398, 0.340413, 0.345423, 0.347928, 0.352321");
-            values ( \
-              "0.0298784, 0.0315067, 0.0436653, 0.054182, 0.0694804, 0.0854572, 0.101917, 0.109342, 0.123045, 0.129321, 0.134997, 0.140222, 0.144775, 0.149023, 0.152967, 0.157196, 0.160006, 0.163006, 0.163575, 0.16436, 0.164575, 0.164679, 0.164539, 0.164157, 0.163531, 0.162388, 0.160891, 0.159042, 0.15658, 0.154542, 0.150088, 0.142428, 0.127142, 0.11112, 0.0916854, 0.0815011, 0.0749535, 0.0687595, 0.0614359, 0.0509046, 0.0446307, 0.0393475, 0.0345459, 0.0280675, 0.023286, 0.019657, 0.0168837, 0.0139109, 0.0126096, 0.0105979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.143788, 0.226214, 0.233759, 0.241246, 0.263521, 0.274685, 0.28311, 0.299081, 0.313902, 0.328572, 0.343764, 0.359683, 0.387512, 0.4094, 0.429761, 0.442801, 0.454253, 0.469521, 0.481469, 0.494687, 0.512311, 0.547559, 0.560219");
-            values ( \
-              "0.000903541, 0.0129524, 0.0274156, 0.0474895, 0.119977, 0.150731, 0.168242, 0.189225, 0.195855, 0.193579, 0.181602, 0.156133, 0.103281, 0.0693273, 0.0460595, 0.0348648, 0.0271434, 0.0192403, 0.0146467, 0.0108061, 0.00714288, 0.00298098, 0.00241701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.151375, 0.278375, 0.304077, 0.334813, 0.358283, 0.372119, 0.39979, 0.418733, 0.430846, 0.455072, 0.45909, 0.467126, 0.488545, 0.521289, 0.576751, 0.618974, 0.656632, 0.697322, 0.718225, 0.747823, 0.766649, 0.801083, 0.846448, 0.900031");
-            values ( \
-              "0.00381757, 0.0239084, 0.0671067, 0.13075, 0.168614, 0.185068, 0.205215, 0.21115, 0.212215, 0.209661, 0.208518, 0.20594, 0.194948, 0.166538, 0.109245, 0.0728773, 0.048797, 0.0307664, 0.023997, 0.0168434, 0.0133523, 0.00873467, 0.00496477, 0.00281234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.178296, 0.383928, 0.475593, 0.525858, 0.550858, 0.600859, 0.633634, 0.668821, 0.727279, 0.785073, 0.842013, 0.972939, 1.04982, 1.11562, 1.20626, 1.30846, 1.42304, 1.52308, 1.55929");
-            values ( \
-              "0.00831405, 0.0373382, 0.133983, 0.176912, 0.192262, 0.211818, 0.217941, 0.220234, 0.215847, 0.201848, 0.177718, 0.108914, 0.0750144, 0.052758, 0.0314369, 0.0169998, 0.00835375, 0.00439632, 0.00363388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.0715445, 0.0941821, 0.108442, 0.151248, 0.163516, 0.188428, 0.20911, 0.248224, 0.393685, 0.447964, 0.517085, 0.617126, 0.764333, 0.847077, 0.919597, 1.01964, 1.09461, 1.16164, 1.26168, 1.27831, 1.31157, 1.40018, 1.44435, 1.53535, 1.75601, 1.90995, 2.06178, 2.15816, 2.24548, 2.36729, 2.46733, 2.59375, 2.69379, 2.79383, 2.99392, 3.1329");
-            values ( \
-              "0.000920041, 0.00096665, 0.00247727, 0.0248534, 0.0292528, 0.033248, 0.0330419, 0.0304092, 0.0131604, 0.0105861, 0.0180869, 0.054307, 0.134174, 0.171506, 0.195128, 0.215148, 0.222063, 0.223914, 0.220831, 0.21962, 0.21679, 0.205213, 0.197077, 0.176355, 0.118437, 0.0829333, 0.0560443, 0.0429369, 0.0335407, 0.0235335, 0.0175072, 0.0119747, 0.00879334, 0.00650225, 0.00351425, 0.00239118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.203046, 0.20943, 0.229247, 0.23638, 0.2404, 0.244301, 0.248131, 0.25084, 0.256168, 0.264279, 0.275171, 0.2803, 0.291467, 0.30253, 0.316487, 0.328802, 0.337773");
-            values ( \
-              "0.00471467, 0.0191266, 0.104463, 0.121639, 0.125969, 0.127264, 0.125191, 0.120924, 0.105098, 0.0710959, 0.0402896, 0.0300697, 0.0157607, 0.00815301, 0.00391842, 0.00166701, 0.00132989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.215805, 0.22624, 0.248029, 0.254776, 0.263937, 0.272354, 0.280612, 0.28953, 0.320838, 0.333192, 0.350558, 0.366621, 0.387417, 0.400776");
-            values ( \
-              "0.0243163, 0.0281448, 0.118842, 0.139681, 0.158855, 0.165871, 0.165852, 0.155933, 0.0689144, 0.0450915, 0.0236632, 0.0126246, 0.00553238, 0.00339565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.16364, 0.244826, 0.247051, 0.251501, 0.257748, 0.264782, 0.282163, 0.295318, 0.301788, 0.314727, 0.317713, 0.323685, 0.332514, 0.347211, 0.361881, 0.369625, 0.377886, 0.399152, 0.403931, 0.410304, 0.423048, 0.434038, 0.438442, 0.44725, 0.460952, 0.469802, 0.475871, 0.486024, 0.500103, 0.505517, 0.516345, 0.538002, 0.581315, 0.632633");
-            values ( \
-              "0.001152, 0.0129156, 0.0165149, 0.0253868, 0.0412815, 0.0619479, 0.120244, 0.154946, 0.168539, 0.186088, 0.189082, 0.192761, 0.19573, 0.19326, 0.182266, 0.171372, 0.156932, 0.115746, 0.107163, 0.0961782, 0.0762692, 0.0617223, 0.0565369, 0.047183, 0.0352378, 0.0290653, 0.0254214, 0.0202383, 0.0146714, 0.0129571, 0.0100886, 0.00603404, 0.0019765, 0.00049073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.172993, 0.291075, 0.306363, 0.319409, 0.347196, 0.366109, 0.390815, 0.42123, 0.449644, 0.477926, 0.507357, 0.523554, 0.540144, 0.573324, 0.597034, 0.614997, 0.638664, 0.656609, 0.680534, 0.703161, 0.731626, 0.764418, 0.775703, 0.801548, 0.831085, 0.89016, 0.983986, 1.08403");
-            values ( \
-              "0.0103443, 0.0173299, 0.0371673, 0.0606735, 0.118515, 0.152738, 0.184995, 0.206754, 0.212507, 0.208906, 0.195193, 0.182501, 0.166522, 0.131413, 0.107832, 0.0913448, 0.0722514, 0.059902, 0.0461029, 0.0356904, 0.0256111, 0.0172406, 0.0150475, 0.0109765, 0.00761248, 0.00353196, 0.000924819, 0.000212081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.198917, 0.409463, 0.428347, 0.493711, 0.538395, 0.568928, 0.629995, 0.687174, 0.74411, 0.801035, 0.836975, 0.869446, 0.98526, 1.02132, 1.06678, 1.10043, 1.13544, 1.18191, 1.22321, 1.27827, 1.32546, 1.38872, 1.47307, 1.57311, 1.67315, 1.87323");
-            values ( \
-              "0.00369438, 0.0438414, 0.0623051, 0.133963, 0.172875, 0.192211, 0.214573, 0.220599, 0.216191, 0.202943, 0.188719, 0.173032, 0.11188, 0.0946472, 0.075524, 0.0633135, 0.0523008, 0.0402447, 0.0316836, 0.0227936, 0.0171365, 0.0116265, 0.00680582, 0.00358031, 0.00185757, 0.000498663" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.224517, 0.633229, 0.783068, 0.833775, 0.933815, 0.995655, 1.05024, 1.08913, 1.13539, 1.18023, 1.26991, 1.2968, 1.35058, 1.41879, 1.51883, 1.80021, 1.86979, 1.95912, 2.09473, 2.19083, 2.27803, 2.35979, 2.45983, 2.55498, 2.70032, 2.9004, 3.00044, 3.30056, 3.50064");
-            values ( \
-              "0.00543106, 0.0530622, 0.134128, 0.158165, 0.19402, 0.208159, 0.21638, 0.220211, 0.222962, 0.223745, 0.22137, 0.219498, 0.21462, 0.205138, 0.184982, 0.112144, 0.0956114, 0.0769436, 0.0538552, 0.0413428, 0.0322765, 0.0253769, 0.0189312, 0.0141843, 0.00911808, 0.00491462, 0.00366478, 0.00139767, 0.000734956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.246001, 0.251382, 0.262013, 0.270674, 0.27651, 0.281834, 0.28702, 0.292294, 0.29518, 0.303939, 0.314481, 0.322252, 0.330448, 0.338158, 0.344343, 0.356159, 0.366442, 0.369088");
-            values ( \
-              "0.00610851, 0.021207, 0.0710718, 0.104736, 0.119887, 0.12597, 0.127266, 0.121192, 0.113549, 0.0772606, 0.0450104, 0.0293936, 0.0183438, 0.0117297, 0.00813664, 0.00415212, 0.00228368, 0.00207473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.249978, 0.266945, 0.288384, 0.295909, 0.303856, 0.307504, 0.315761, 0.320284, 0.324591, 0.330191, 0.362231, 0.374168, 0.388896, 0.409227, 0.432434, 0.439518");
-            values ( \
-              "0.00601319, 0.0262406, 0.115839, 0.139165, 0.157014, 0.161562, 0.166338, 0.165986, 0.163458, 0.156599, 0.0682931, 0.0454401, 0.026266, 0.012004, 0.00464003, 0.0038013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.205824, 0.286004, 0.296221, 0.307179, 0.323331, 0.336701, 0.342948, 0.355441, 0.35887, 0.365728, 0.373672, 0.388355, 0.403034, 0.410887, 0.419264, 0.436803, 0.45206, 0.467566, 0.477904, 0.492167, 0.503427, 0.512481, 0.523696, 0.539488, 0.556172, 0.568993, 0.594635, 0.631039");
-            values ( \
-              "0.00132381, 0.0129193, 0.0339327, 0.0658958, 0.119995, 0.15562, 0.168347, 0.185759, 0.188952, 0.193246, 0.195639, 0.19331, 0.182216, 0.17122, 0.156508, 0.122349, 0.0950851, 0.0715668, 0.0584642, 0.043601, 0.0342616, 0.0281158, 0.0218877, 0.0152591, 0.0104017, 0.00771217, 0.00416409, 0.00188856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.216407, 0.330999, 0.34609, 0.394914, 0.411774, 0.432207, 0.46263, 0.491049, 0.519335, 0.548767, 0.581556, 0.638364, 0.680035, 0.698103, 0.722193, 0.744587, 0.772774, 0.817068, 0.843169, 0.872998, 0.932657, 0.951882");
-            values ( \
-              "0.0121888, 0.0158726, 0.0344694, 0.130515, 0.159281, 0.184894, 0.206656, 0.212496, 0.208857, 0.195189, 0.166523, 0.107913, 0.0722832, 0.0598509, 0.0459737, 0.035683, 0.0256901, 0.015054, 0.0109488, 0.00756313, 0.00348106, 0.00295459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.227188, 0.447369, 0.535275, 0.585492, 0.610633, 0.660915, 0.692866, 0.728604, 0.78536, 0.844857, 0.904073, 1.01885, 1.10559, 1.18265, 1.26068, 1.36168, 1.47027, 1.56447, 1.6308");
-            values ( \
-              "0.00344887, 0.0405435, 0.13382, 0.176849, 0.192197, 0.21194, 0.217927, 0.220179, 0.216119, 0.201853, 0.176598, 0.115825, 0.0765299, 0.0506836, 0.0324435, 0.0176904, 0.00907062, 0.00496437, 0.00339435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.265875, 0.67509, 0.824327, 0.882398, 0.97977, 1.07981, 1.10478, 1.15471, 1.22177, 1.32181, 1.33843, 1.37167, 1.46029, 1.50459, 1.59546, 1.81611, 1.97662, 2.11239, 2.20764, 2.31823, 2.38578, 2.54956, 2.67586, 2.7759, 2.97598, 3.07602, 3.16923");
-            values ( \
-              "0.00523255, 0.0533467, 0.134142, 0.161358, 0.195289, 0.215151, 0.218165, 0.22204, 0.224004, 0.220785, 0.219695, 0.216743, 0.20516, 0.197115, 0.176298, 0.118489, 0.0816453, 0.0574455, 0.0442759, 0.0323872, 0.0265866, 0.0163487, 0.011214, 0.00822464, 0.00443268, 0.00328922, 0.00258481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.330056, 0.337368, 0.3476, 0.355366, 0.361214, 0.366543, 0.371732, 0.376912, 0.37989, 0.388664, 0.399156, 0.407016, 0.415169, 0.422613, 0.428962, 0.441393, 0.452272, 0.452679");
-            values ( \
-              "0.0054378, 0.0259877, 0.0746894, 0.104872, 0.119402, 0.126189, 0.126917, 0.121554, 0.113532, 0.0772071, 0.045106, 0.0293112, 0.0183411, 0.0119079, 0.00818383, 0.0040282, 0.00214318, 0.00211319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.343931, 0.353432, 0.373658, 0.380646, 0.389796, 0.398214, 0.406471, 0.414716, 0.418076, 0.438018, 0.453649, 0.466241, 0.476417, 0.49248, 0.513253, 0.531658, 0.532329");
-            values ( \
-              "0.0320541, 0.0331493, 0.118201, 0.139742, 0.158985, 0.165854, 0.165933, 0.157042, 0.150314, 0.0907497, 0.0544821, 0.0346859, 0.0236591, 0.0126215, 0.00553835, 0.00258827, 0.00256291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.294544, 0.370653, 0.376305, 0.382074, 0.391844, 0.408075, 0.421331, 0.427689, 0.440404, 0.443613, 0.450031, 0.458414, 0.473104, 0.487778, 0.495577, 0.503895, 0.524023, 0.531887, 0.542372, 0.556009, 0.568364, 0.577021, 0.588204, 0.597188, 0.608419, 0.624224, 0.631308, 0.640955, 0.653818, 0.679543, 0.727578, 0.784694");
-            values ( \
-              "0.00226984, 0.0127353, 0.0229491, 0.0368487, 0.0656187, 0.119996, 0.155406, 0.168344, 0.186006, 0.188968, 0.193041, 0.195657, 0.193304, 0.182224, 0.171305, 0.156713, 0.117658, 0.103519, 0.0861869, 0.0666116, 0.0520873, 0.0435098, 0.0342426, 0.0281434, 0.0219037, 0.015264, 0.0129801, 0.0103935, 0.00770009, 0.00414717, 0.00115836, 0.000230684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.302324, 0.425517, 0.442209, 0.479384, 0.505074, 0.516727, 0.540033, 0.561074, 0.575425, 0.604532, 0.6204, 0.633141, 0.658624, 0.71433, 0.757384, 0.801342, 0.842026, 0.862886, 0.892452, 0.945996, 0.992378, 1.03965");
-            values ( \
-              "0.00245312, 0.0272303, 0.0547487, 0.130598, 0.17158, 0.185021, 0.202976, 0.2106, 0.212213, 0.208354, 0.202237, 0.194973, 0.173927, 0.116079, 0.0775369, 0.048768, 0.0306857, 0.024012, 0.0167978, 0.00873262, 0.00484348, 0.00301645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.325199, 0.532051, 0.620077, 0.670374, 0.695404, 0.745465, 0.77807, 0.813372, 0.870122, 0.929624, 0.987129, 1.10072, 1.18823, 1.24131, 1.33525, 1.41939, 1.54898, 1.64902, 1.71088");
-            values ( \
-              "0.00633222, 0.0404608, 0.133853, 0.176927, 0.192198, 0.211879, 0.217978, 0.220177, 0.216117, 0.201849, 0.17744, 0.117282, 0.0773385, 0.0584966, 0.0344288, 0.0208588, 0.00941619, 0.0049514, 0.00349199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.350823, 0.760554, 0.908977, 0.977137, 1.06435, 1.16439, 1.18935, 1.23927, 1.30635, 1.42302, 1.45627, 1.54489, 1.5891, 1.68006, 1.90071, 2.05865, 2.20071, 2.29635, 2.39785, 2.45625, 2.55629, 2.62931, 2.78191, 2.88196, 3.08204, 3.18208, 3.27998");
-            values ( \
-              "0.00497457, 0.0537482, 0.134176, 0.165582, 0.195235, 0.215104, 0.218136, 0.222005, 0.223992, 0.219693, 0.216727, 0.205149, 0.197136, 0.17629, 0.118494, 0.0821728, 0.0568708, 0.0437741, 0.0328566, 0.0277059, 0.0206705, 0.0165839, 0.010506, 0.00769493, 0.00414301, 0.00308082, 0.00238847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.495751, 0.502173, 0.52203, 0.529176, 0.533189, 0.537083, 0.540937, 0.54363, 0.548959, 0.557079, 0.566936, 0.572528, 0.581876, 0.595472, 0.608763, 0.624129");
-            values ( \
-              "0.00466596, 0.0190168, 0.104391, 0.121577, 0.125915, 0.127266, 0.125192, 0.120918, 0.105151, 0.0711434, 0.0423967, 0.0312453, 0.0182976, 0.00827585, 0.00384666, 0.00227451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.508539, 0.518713, 0.525552, 0.538075, 0.541855, 0.547459, 0.550257, 0.553056, 0.554455, 0.556469, 0.560161, 0.567028, 0.57118, 0.575332, 0.579483, 0.582786, 0.587677, 0.607517, 0.616754, 0.626292, 0.634578, 0.643718, 0.653435, 0.664132");
-            values ( \
-              "0.0264689, 0.0273164, 0.0540777, 0.109308, 0.122997, 0.138979, 0.155323, 0.15467, 0.155135, 0.156731, 0.162274, 0.163781, 0.163368, 0.161719, 0.158547, 0.154375, 0.142531, 0.0830137, 0.0618604, 0.0441253, 0.0328845, 0.0229042, 0.0158276, 0.0102189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.461777, 0.537456, 0.550027, 0.574423, 0.594166, 0.610181, 0.625027, 0.63971, 0.654382, 0.670701, 0.70526, 0.720149, 0.742177, 0.764766, 0.775645, 0.797917, 0.811394, 0.838347, 0.883307, 0.936824");
-            values ( \
-              "0.00205267, 0.0130383, 0.0404763, 0.118733, 0.167728, 0.188713, 0.195782, 0.193333, 0.182272, 0.156357, 0.0920155, 0.0699134, 0.0448418, 0.0275305, 0.0215905, 0.0129744, 0.00948701, 0.00496211, 0.00153596, 0.000354806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.471876, 0.587317, 0.603149, 0.646486, 0.66813, 0.683746, 0.714142, 0.742592, 0.770877, 0.799154, 0.833098, 0.889927, 0.931588, 0.973673, 0.996125, 1.02438, 1.06862, 1.12441, 1.18392, 1.20002");
-            values ( \
-              "0.00863347, 0.020721, 0.0437476, 0.130735, 0.166259, 0.184976, 0.206585, 0.212567, 0.208794, 0.196002, 0.166528, 0.107894, 0.072277, 0.0460051, 0.0356838, 0.0256692, 0.0150515, 0.00757511, 0.00349493, 0.0030519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.489943, 0.689819, 0.811199, 0.862344, 0.923368, 0.980558, 1.03749, 1.0968, 1.16282, 1.27879, 1.36019, 1.42876, 1.51661, 1.61886, 1.68202, 1.76623, 1.86627, 1.89803");
-            values ( \
-              "0.0120209, 0.0328816, 0.156588, 0.192268, 0.214794, 0.220505, 0.216343, 0.202046, 0.17303, 0.111797, 0.0755076, 0.0523162, 0.0316748, 0.0171307, 0.0116294, 0.00681724, 0.00358311, 0.00303685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.373779, 0.402581, 0.420108, 0.462722, 0.500156, 0.529271, 0.567636, 0.689785, 0.740468, 0.804556, 0.893588, 1.07727, 1.17495, 1.23252, 1.33256, 1.40737, 1.47448, 1.57452, 1.59113, 1.62436, 1.71299, 1.84816, 2.06881, 2.23889, 2.34811, 2.43295, 2.5423, 2.70203, 2.81689, 2.97282, 3.1729, 3.37298, 3.39099");
-            values ( \
-              "0.000336556, 0.000834012, 0.00234018, 0.0244085, 0.0331814, 0.0326362, 0.0297581, 0.0147149, 0.0108958, 0.01375, 0.0378736, 0.134518, 0.177289, 0.19534, 0.215209, 0.222084, 0.223997, 0.220818, 0.219679, 0.216778, 0.205191, 0.176327, 0.118464, 0.0796963, 0.0601276, 0.0477553, 0.0351298, 0.0220547, 0.0156617, 0.00976715, 0.00528345, 0.00284424, 0.00276352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.832247, 0.843469, 0.849182, 0.855491, 0.862757, 0.867719, 0.870465, 0.873987, 0.879189, 0.88439, 0.887189, 0.896365, 0.90154, 0.90927, 0.916297, 0.925821, 0.932049, 0.936989, 0.944209, 0.953836, 0.965759");
-            values ( \
-              "1e-22, 0.0202346, 0.0434778, 0.0758659, 0.104018, 0.116744, 0.121635, 0.125616, 0.126492, 0.1213, 0.113928, 0.0762598, 0.0591427, 0.0391493, 0.0264882, 0.0152773, 0.010613, 0.00794178, 0.00523566, 0.00301856, 0.0017008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.845714, 0.859064, 0.866795, 0.876732, 0.8844, 0.888062, 0.897225, 0.900904, 0.90565, 0.913917, 0.922182, 0.928417, 0.943844, 0.954127, 0.961105, 0.966548, 0.973805, 0.979351, 0.983848, 0.989843, 0.99989, 1.01153, 1.02081, 1.03935, 1.0685, 1.10414");
-            values ( \
-              "0.01462, 0.0263715, 0.0552528, 0.100674, 0.128276, 0.139655, 0.158276, 0.162339, 0.165709, 0.165317, 0.15715, 0.142786, 0.0953347, 0.0690044, 0.0545229, 0.0450585, 0.0345762, 0.028114, 0.0237093, 0.018813, 0.0126626, 0.00800753, 0.0055261, 0.00256816, 0.000702127, 0.000142169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.800622, 0.878521, 0.888889, 0.923289, 0.935422, 0.951392, 0.966213, 0.980884, 0.995546, 1.01195, 1.03965, 1.06088, 1.08374, 1.10563, 1.13215, 1.16013, 1.18434, 1.202");
-            values ( \
-              "0.00153592, 0.0131243, 0.0345548, 0.14134, 0.168175, 0.189197, 0.195835, 0.193573, 0.182241, 0.156236, 0.103595, 0.0704693, 0.0444908, 0.0277257, 0.0152114, 0.00797198, 0.00446456, 0.00311862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.811136, 0.925597, 0.941068, 0.953415, 0.980864, 1.0001, 1.02459, 1.05495, 1.0834, 1.11168, 1.14111, 1.15729, 1.17389, 1.22481, 1.24391, 1.2648, 1.28236, 1.30675, 1.33067, 1.35146, 1.37917, 1.40289, 1.4347, 1.47712, 1.56078, 1.65826");
-            values ( \
-              "0.010604, 0.018117, 0.0388031, 0.0611319, 0.118393, 0.153172, 0.184964, 0.20681, 0.212488, 0.208993, 0.195233, 0.182555, 0.166568, 0.11365, 0.0956401, 0.0780501, 0.0652119, 0.0501678, 0.0383382, 0.0301728, 0.0217133, 0.0163111, 0.0110652, 0.00648992, 0.00205072, 0.000499178" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.832438, 1.0326, 1.0605, 1.12747, 1.1774, 1.20277, 1.21575, 1.22927, 1.24591, 1.26154, 1.27471, 1.28976, 1.32054, 1.37733, 1.39443, 1.41181, 1.43689, 1.48707, 1.53504, 1.59888, 1.62581, 1.67967, 1.71105, 1.74515, 1.79061, 1.82106, 1.84316, 1.87262, 1.93155, 1.97844, 2.00229, 2.05, 2.14541, 2.24546, 2.3455, 2.44554");
-            values ( \
-              "0.0109084, 0.0347423, 0.0608082, 0.133959, 0.17668, 0.19229, 0.198542, 0.203758, 0.209351, 0.213388, 0.21595, 0.218024, 0.219819, 0.215939, 0.21297, 0.209097, 0.201753, 0.18096, 0.156048, 0.121916, 0.108392, 0.0837597, 0.0713971, 0.059579, 0.0463484, 0.0389474, 0.0342852, 0.0288652, 0.0202306, 0.0152145, 0.0131622, 0.009782, 0.00532454, 0.00277566, 0.00145856, 0.000749009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.858366, 1.21555, 1.41716, 1.57223, 1.67227, 1.74708, 1.81419, 1.93085, 2.05271, 2.18788, 2.57862, 2.77266, 2.94173, 3.12868, 3.41257, 3.54534");
-            values ( \
-              "0.022661, 0.0311528, 0.134452, 0.195219, 0.215261, 0.222138, 0.223916, 0.219609, 0.205247, 0.176382, 0.0796513, 0.0477258, 0.0295608, 0.0169986, 0.00716544, 0.00526557" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00321202, 0.00321206, 0.00321211, 0.00321214, 0.00321216, 0.00321217", \
-            "0.00417704, 0.00417704, 0.00417705, 0.00417706, 0.00417707, 0.00417707", \
-            "0.00481265, 0.00481263, 0.00481261, 0.0048126, 0.00481259, 0.00481259", \
-            "0.00521557, 0.00521555, 0.00521511, 0.0052155, 0.00521549, 0.00521548", \
-            "0.00547392, 0.00547391, 0.00547389, 0.00547387, 0.00547385, 0.00547384", \
-            "0.00564437, 0.00564437, 0.00564435, 0.00564433, 0.00564431, 0.0056443" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0050643, 0.0050642, 0.00506413, 0.00506409, 0.00506407, 0.00506406", \
-            "0.00542828, 0.00542815, 0.00542803, 0.00542793, 0.00542786, 0.00542783", \
-            "0.00528426, 0.00528413, 0.00528396, 0.0052838, 0.00528367, 0.00528359", \
-            "0.00554194, 0.00554202, 0.00554192, 0.00554194, 0.00554181, 0.00554171", \
-            "0.00594162, 0.00594094, 0.00594003, 0.00593944, 0.0059388, 0.005939", \
-            "0.0057221, 0.00572889, 0.00575444, 0.00574374, 0.00572639, 0.00572492" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302065", \
-            "0.00361675", \
-            "0.0039831", \
-            "0.00419802", \
-            "0.00431269", \
-            "0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434341", \
-            "0.0048304", \
-            "0.0051089", \
-            "0.0054248", \
-            "0.00593648", \
-            "0.00683593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(CK & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.201981, 0.236681, 0.303141, 0.433884, 0.700246, 1.25357", \
-            "0.207897, 0.242537, 0.309016, 0.439733, 0.706041, 1.25901", \
-            "0.221042, 0.255747, 0.322238, 0.452911, 0.719375, 1.27261", \
-            "0.249145, 0.283827, 0.350361, 0.481133, 0.747729, 1.30075", \
-            "0.304519, 0.339791, 0.407063, 0.538212, 0.80489, 1.35787", \
-            "0.396527, 0.433978, 0.503747, 0.636833, 0.903645, 1.4579" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0351909, 0.0557663, 0.0989556, 0.190477, 0.382632, 0.783655", \
-            "0.0351422, 0.0557718, 0.0989565, 0.190271, 0.38272, 0.783852", \
-            "0.0351465, 0.0558036, 0.0989962, 0.190344, 0.382641, 0.783705", \
-            "0.0351875, 0.0558118, 0.0989932, 0.190303, 0.382616, 0.783665", \
-            "0.0356307, 0.0561194, 0.0990629, 0.190311, 0.382607, 0.783817", \
-            "0.0367803, 0.0572095, 0.0997482, 0.190523, 0.382456, 0.783506" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.170191, 0.179512, 0.183187, 0.188528, 0.193254, 0.197288, 0.203142, 0.20848, 0.213683, 0.218876, 0.220402, 0.233177, 0.237307, 0.242812, 0.251172, 0.256122, 0.260807, 0.267053, 0.274869, 0.280053, 0.290421, 0.301176");
-            values ( \
-              "0.00284252, 0.0264545, 0.0419358, 0.0696594, 0.0902883, 0.104537, 0.119415, 0.125777, 0.126679, 0.1209, 0.117254, 0.068126, 0.0550891, 0.0410464, 0.0259196, 0.0195707, 0.0149746, 0.0104074, 0.00665807, 0.00499164, 0.00276372, 0.00172783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.182086, 0.194264, 0.201537, 0.211141, 0.222339, 0.231464, 0.23476, 0.239878, 0.248149, 0.256417, 0.262742, 0.280288, 0.293119, 0.30696, 0.319292, 0.325808, 0.335076, 0.345006, 0.352664, 0.36798, 0.386418");
-            values ( \
-              "0.0153623, 0.0296004, 0.0582082, 0.102395, 0.140571, 0.158654, 0.162216, 0.165755, 0.16513, 0.156851, 0.1422, 0.0890624, 0.0587638, 0.0360805, 0.0227242, 0.0176722, 0.0122849, 0.00832438, 0.00614058, 0.00330331, 0.00176867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.132867, 0.212385, 0.222236, 0.249838, 0.263068, 0.269467, 0.282264, 0.291695, 0.300223, 0.31493, 0.329616, 0.337363, 0.37168, 0.390751, 0.415102, 0.428748, 0.441589, 0.468762, 0.495375, 0.525788, 0.533122");
-            values ( \
-              "0.00199838, 0.012367, 0.0325304, 0.119952, 0.155284, 0.168135, 0.185947, 0.192848, 0.195401, 0.193217, 0.182023, 0.171282, 0.107132, 0.0763303, 0.0470898, 0.0352161, 0.0265909, 0.0143441, 0.00773426, 0.00368533, 0.00327884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.145339, 0.256832, 0.272248, 0.330601, 0.358236, 0.388698, 0.417133, 0.445428, 0.473714, 0.490996, 0.507656, 0.564296, 0.606049, 0.624369, 0.648795, 0.670711, 0.698325, 0.743063, 0.769692, 0.800126, 0.860993, 0.893864");
-            values ( \
-              "0.0132585, 0.0155657, 0.0347281, 0.147533, 0.18471, 0.206444, 0.212487, 0.208744, 0.195981, 0.182535, 0.166517, 0.108078, 0.072348, 0.0597463, 0.0457157, 0.0356724, 0.0258569, 0.0150703, 0.0108897, 0.00746368, 0.0033756, 0.00250278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.157661, 0.373845, 0.461958, 0.507012, 0.537103, 0.598226, 0.655316, 0.712247, 0.771564, 0.837578, 0.953481, 1.03493, 1.10354, 1.19135, 1.2936, 1.35679, 1.44106, 1.54399, 1.56505");
-            values ( \
-              "0.00405937, 0.0404931, 0.134101, 0.173428, 0.192215, 0.214739, 0.22053, 0.2163, 0.202044, 0.173032, 0.111835, 0.0755176, 0.0523111, 0.0316805, 0.0171345, 0.0116294, 0.00681226, 0.00351147, 0.00315844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.19878, 0.553957, 0.620916, 0.751689, 0.851057, 0.906685, 1.00962, 1.03165, 1.0757, 1.1486, 1.25154, 1.26528, 1.33845, 1.38705, 1.48424, 1.76801, 1.83772, 1.92719, 1.99016, 2.06297, 2.15901, 2.24608, 2.32787, 2.43081, 2.5222, 2.65207, 2.85795, 2.96089, 3.16676, 3.47557");
-            values ( \
-              "0.022492, 0.032578, 0.0633468, 0.134913, 0.178063, 0.195529, 0.215611, 0.218332, 0.221739, 0.224121, 0.220601, 0.219779, 0.212427, 0.20516, 0.185695, 0.112253, 0.095649, 0.0769769, 0.0654871, 0.0538331, 0.0413497, 0.0322921, 0.0253749, 0.0187754, 0.0142213, 0.00959024, 0.00507989, 0.00374909, 0.00199243, 0.000721631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.194115, 0.200091, 0.203287, 0.209838, 0.216963, 0.222796, 0.228124, 0.233319, 0.238506, 0.240074, 0.241747, 0.252852, 0.257282, 0.262948, 0.2694, 0.2747, 0.278289, 0.284367, 0.291229, 0.296043, 0.305609, 0.318363, 0.3391, 0.364665");
-            values ( \
-              "0.0126989, 0.0311693, 0.0440866, 0.0776822, 0.105161, 0.119625, 0.126055, 0.126731, 0.121034, 0.117205, 0.112098, 0.0679782, 0.0541013, 0.0399302, 0.0280436, 0.020759, 0.01691, 0.0119218, 0.00803149, 0.00610226, 0.00357306, 0.001773, 0.000548915, 0.000174583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.200735, 0.214006, 0.221301, 0.230939, 0.242144, 0.251271, 0.254602, 0.259687, 0.267958, 0.276228, 0.28255, 0.300099, 0.31293, 0.326772, 0.339104, 0.34562, 0.354888, 0.364818, 0.372476, 0.387792, 0.406199");
-            values ( \
-              "0.0119613, 0.0292906, 0.0580038, 0.102283, 0.140512, 0.158621, 0.162223, 0.165735, 0.165117, 0.156843, 0.1422, 0.0890605, 0.0587629, 0.0360798, 0.022724, 0.0176719, 0.0122848, 0.00832435, 0.00614054, 0.00330337, 0.0017713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.152596, 0.232208, 0.242027, 0.26967, 0.282874, 0.289299, 0.302149, 0.311424, 0.320056, 0.334765, 0.34945, 0.357183, 0.391464, 0.410243, 0.435388, 0.461201, 0.488389, 0.516073, 0.547101");
-            values ( \
-              "0.0019775, 0.0123707, 0.0324426, 0.119955, 0.155213, 0.168141, 0.185989, 0.192782, 0.195416, 0.193202, 0.182036, 0.171303, 0.10722, 0.0768258, 0.0466466, 0.0267248, 0.0144174, 0.00757901, 0.00357295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.165434, 0.276753, 0.292156, 0.341161, 0.366299, 0.378522, 0.402968, 0.420673, 0.437255, 0.465474, 0.494979, 0.521777, 0.578941, 0.624887, 0.664461, 0.702873, 0.753896, 0.809254, 0.857329, 0.883734");
-            values ( \
-              "0.0134812, 0.0153115, 0.0342989, 0.130416, 0.170751, 0.184847, 0.20356, 0.210105, 0.212149, 0.208643, 0.194932, 0.172473, 0.113285, 0.0732123, 0.0480503, 0.0310387, 0.0168755, 0.0085737, 0.0046476, 0.00366902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.177844, 0.389738, 0.481679, 0.528656, 0.556686, 0.617823, 0.674971, 0.731914, 0.791237, 0.857253, 0.972936, 1.05456, 1.12327, 1.21098, 1.31323, 1.37657, 1.46102, 1.56395, 1.59061");
-            values ( \
-              "0.00683121, 0.0371595, 0.13401, 0.174631, 0.192032, 0.214608, 0.220491, 0.216258, 0.202035, 0.173029, 0.111945, 0.0755355, 0.0522947, 0.0316887, 0.0171386, 0.0116217, 0.00680071, 0.003504, 0.00305846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.219438, 0.634374, 0.763686, 0.815897, 0.857821, 0.925866, 1.0288, 1.05093, 1.09518, 1.16793, 1.27087, 1.31216, 1.35784, 1.40641, 1.50356, 1.54198, 1.78739, 1.8571, 1.94657, 2.00954, 2.08234, 2.17838, 2.26546, 2.34725, 2.45018, 2.54158, 2.59584, 2.67289, 2.77583, 2.87877, 2.98171, 3.18758, 3.49639");
-            values ( \
-              "0.000837929, 0.0599938, 0.130856, 0.155978, 0.173331, 0.195148, 0.215617, 0.218071, 0.221799, 0.223921, 0.220706, 0.217387, 0.212283, 0.205263, 0.185584, 0.176285, 0.112166, 0.0957327, 0.0768937, 0.0654128, 0.0539062, 0.0412783, 0.0322262, 0.0254399, 0.0187121, 0.0142738, 0.0120881, 0.00959807, 0.00697051, 0.00510603, 0.00368399, 0.00193647, 0.000763469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.233203, 0.241338, 0.260978, 0.26611, 0.271017, 0.274388, 0.277331, 0.282505, 0.302633, 0.311791, 0.321344, 0.335317, 0.34918, 0.360719");
-            values ( \
-              "0.0024293, 0.0194495, 0.104427, 0.118499, 0.124953, 0.12683, 0.12639, 0.121046, 0.050417, 0.0307313, 0.0179012, 0.00799151, 0.00368439, 0.00259844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.243835, 0.258014, 0.275054, 0.286262, 0.295419, 0.303845, 0.312117, 0.32038, 0.35708, 0.370917, 0.383252, 0.399034, 0.41662, 0.428373");
-            values ( \
-              "0.00984255, 0.0287581, 0.101697, 0.140098, 0.158703, 0.165724, 0.165394, 0.156747, 0.0587636, 0.0360831, 0.0227236, 0.0122848, 0.00614143, 0.00396347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.201197, 0.275999, 0.282932, 0.289043, 0.313586, 0.324649, 0.333186, 0.349175, 0.36401, 0.378695, 0.393898, 0.409763, 0.437447, 0.458442, 0.481842, 0.503408, 0.514432, 0.53008, 0.546215, 0.558554, 0.583232, 0.603293");
-            values ( \
-              "0.00321959, 0.0120396, 0.0251091, 0.0408751, 0.119873, 0.150457, 0.167993, 0.189087, 0.195591, 0.19348, 0.181445, 0.156156, 0.103625, 0.070831, 0.0442467, 0.0277714, 0.0217128, 0.0151846, 0.0104834, 0.00786409, 0.00435159, 0.00303782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.208373, 0.324763, 0.340311, 0.385081, 0.408958, 0.422418, 0.449338, 0.470608, 0.481158, 0.502257, 0.509365, 0.538851, 0.568772, 0.620894, 0.662157, 0.705317, 0.748439, 0.76907, 0.811194, 0.887622, 0.928122");
-            values ( \
-              "0.00941215, 0.0193904, 0.0412132, 0.130569, 0.169021, 0.184937, 0.20477, 0.211342, 0.21213, 0.210297, 0.208432, 0.194892, 0.169668, 0.115367, 0.0784253, 0.0497137, 0.0304934, 0.0238598, 0.0143334, 0.00545941, 0.00366165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.118319, 0.147426, 0.160697, 0.193182, 0.220196, 0.246177, 0.350522, 0.368255, 0.388408, 0.413224, 0.445167, 0.525708, 0.568393, 0.600857, 0.661955, 0.719074, 0.776006, 0.835324, 0.901338, 1.01724, 1.09869, 1.1673, 1.25511, 1.35736, 1.42056, 1.50482, 1.60776, 1.64038");
-            values ( \
-              "0.000927911, 0.000969211, 0.00271806, 0.0181529, 0.0244334, 0.0238567, 0.00812487, 0.00838618, 0.0118773, 0.0228375, 0.0473591, 0.13409, 0.171625, 0.192218, 0.214699, 0.220547, 0.216277, 0.202047, 0.173034, 0.111838, 0.0755174, 0.0523114, 0.03168, 0.0171339, 0.0116285, 0.00681277, 0.00351058, 0.00296408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.261347, 0.677917, 0.815338, 0.914858, 0.970425, 1.07336, 1.09541, 1.13952, 1.21238, 1.31532, 1.32907, 1.40224, 1.45084, 1.54802, 1.8318, 1.90151, 1.99098, 2.05396, 2.12676, 2.2228, 2.30987, 2.39166, 2.4946, 2.58599, 2.71655, 2.81949, 2.92243, 3.02536, 3.1283, 3.33418, 3.54005");
-            values ( \
-              "0.000798827, 0.0596357, 0.134781, 0.177996, 0.195484, 0.215558, 0.218319, 0.221702, 0.224122, 0.220575, 0.219788, 0.212437, 0.20514, 0.185711, 0.112266, 0.0956366, 0.0769885, 0.0654976, 0.0538225, 0.0413601, 0.0323019, 0.0253653, 0.018785, 0.0142132, 0.00956195, 0.00704461, 0.00506114, 0.00374888, 0.00265792, 0.00138454, 0.000712694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.329822, 0.338298, 0.345124, 0.34872, 0.357373, 0.363223, 0.36856, 0.373762, 0.378956, 0.38048, 0.393257, 0.40287, 0.411319, 0.420859, 0.42704, 0.434958, 0.45072, 0.456433");
-            values ( \
-              "0.00258743, 0.0215515, 0.0511148, 0.0707312, 0.104479, 0.119589, 0.125666, 0.12679, 0.120794, 0.117249, 0.0681241, 0.0410949, 0.0258227, 0.0149997, 0.0104669, 0.00665685, 0.00273073, 0.00218768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.340151, 0.354193, 0.360514, 0.371233, 0.377372, 0.382446, 0.391605, 0.400032, 0.408306, 0.41657, 0.422899, 0.440439, 0.453272, 0.458927, 0.46711, 0.473808, 0.479445, 0.48596, 0.495228, 0.505157, 0.512814, 0.528129, 0.547495");
-            values ( \
-              "0.0101849, 0.0287915, 0.053458, 0.10162, 0.125017, 0.140039, 0.158671, 0.16569, 0.165376, 0.156732, 0.142198, 0.089066, 0.0587614, 0.0484216, 0.0360818, 0.0281209, 0.0227225, 0.0176715, 0.0122841, 0.00832401, 0.00614128, 0.0033034, 0.00169164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.292766, 0.37249, 0.380631, 0.387885, 0.409826, 0.421514, 0.429435, 0.445427, 0.460258, 0.474942, 0.489616, 0.506032, 0.533767, 0.555055, 0.577843, 0.599842, 0.610799, 0.626394, 0.654506, 0.678845, 0.698797");
-            values ( \
-              "0.00180571, 0.0125214, 0.0285612, 0.0484457, 0.1201, 0.151755, 0.168186, 0.188941, 0.195748, 0.193338, 0.182163, 0.156128, 0.103501, 0.0703318, 0.0444717, 0.0276544, 0.0216525, 0.0151608, 0.00791846, 0.00441984, 0.00309142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.305114, 0.421769, 0.50506, 0.518932, 0.546675, 0.565219, 0.577682, 0.602609, 0.635379, 0.658845, 0.728912, 0.767634, 0.800886, 0.8463, 0.897711, 0.955702, 1.00715, 1.02112");
-            values ( \
-              "0.0089587, 0.019927, 0.168498, 0.184918, 0.205206, 0.211043, 0.212166, 0.209487, 0.19499, 0.175785, 0.104143, 0.0715562, 0.0502632, 0.0299432, 0.0161723, 0.0079597, 0.00407422, 0.0036285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.316824, 0.517957, 0.648906, 0.697958, 0.758973, 0.816144, 0.873074, 0.937187, 0.998404, 1.11431, 1.19575, 1.26436, 1.35218, 1.45442, 1.51762, 1.60188, 1.69216");
-            values ( \
-              "0.0144324, 0.0276843, 0.158474, 0.192193, 0.214843, 0.220438, 0.216397, 0.200352, 0.173028, 0.111833, 0.0755155, 0.0523131, 0.0316789, 0.017133, 0.0116279, 0.00681392, 0.00460953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.356672, 0.733594, 0.912653, 0.97767, 1.06769, 1.17063, 1.19261, 1.23658, 1.30957, 1.42625, 1.49942, 1.54801, 1.64521, 1.92898, 2.08816, 2.22393, 2.31997, 2.40705, 2.48884, 2.59178, 2.68316, 2.81161, 3.01748, 3.12042, 3.21443");
-            values ( \
-              "0.0156777, 0.0400445, 0.1348, 0.164711, 0.195541, 0.215613, 0.218339, 0.221727, 0.22413, 0.21979, 0.212438, 0.205149, 0.185706, 0.112264, 0.0769868, 0.0538236, 0.0413592, 0.0323013, 0.0253659, 0.0187845, 0.0142129, 0.00962434, 0.00509439, 0.00377389, 0.0030492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.539769, 0.547119, 0.548632, 0.555412, 0.559366, 0.562872, 0.568874, 0.574306, 0.579579, 0.584841, 0.587516, 0.590277, 0.597884, 0.602399, 0.607558, 0.611177, 0.615449, 0.621144, 0.624923, 0.631565, 0.638107, 0.645489, 0.651256, 0.66279, 0.683175, 0.707894");
-            values ( \
-              "0.0205629, 0.035245, 0.0408933, 0.0730887, 0.0893245, 0.101566, 0.116899, 0.123965, 0.125177, 0.119922, 0.113121, 0.103199, 0.0722062, 0.0575806, 0.0438453, 0.0361702, 0.028593, 0.0207002, 0.0167223, 0.0114354, 0.00784835, 0.00519393, 0.00378037, 0.00199812, 0.00065305, 0.000195175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.550125, 0.562049, 0.565574, 0.58181, 0.58876, 0.598023, 0.606518, 0.61484, 0.62315, 0.626393, 0.629384, 0.646531, 0.65522, 0.662255, 0.667767, 0.675116, 0.685129, 0.691116, 0.701288, 0.713184, 0.722692, 0.741707, 0.771032, 0.807");
-            values ( \
-              "0.0240477, 0.0354754, 0.0483556, 0.116513, 0.137928, 0.157236, 0.164535, 0.164681, 0.156147, 0.149723, 0.142142, 0.0903902, 0.0687229, 0.0542507, 0.0447598, 0.0342679, 0.0235579, 0.0187192, 0.0125578, 0.00787998, 0.0053983, 0.00246926, 0.000677526, 0.000138461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.351262, 0.442663, 0.457714, 0.475293, 0.49484, 0.50935, 0.521568, 0.550189, 0.560778, 0.566179, 0.574707, 0.586474, 0.600019, 0.62439, 0.636645, 0.652624, 0.667498, 0.682192, 0.697402, 0.71317, 0.747296, 0.762353, 0.785222, 0.806997, 0.81799, 0.833613, 0.849655, 0.861912, 0.886425, 0.911707");
-            values ( \
-              "9.53977e-05, 0.000493381, 0.00215834, 0.00533962, 0.00696136, 0.00660843, 0.00546503, 1e-22, 2e-22, 0.000843801, 0.0072274, 0.0264996, 0.0634625, 0.140971, 0.167663, 0.188911, 0.195373, 0.193431, 0.181366, 0.156308, 0.0927678, 0.0703279, 0.0443475, 0.0277165, 0.0216803, 0.015175, 0.0104988, 0.00788953, 0.0043856, 0.00271353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.50875, 0.622546, 0.637862, 0.654555, 0.689087, 0.713345, 0.726387, 0.75247, 0.765308, 0.782425, 0.790576, 0.801443, 0.813367, 0.837216, 0.842835, 0.875583, 0.93153, 0.973652, 1.00898, 1.03269, 1.05262, 1.07316, 1.11513, 1.14043, 1.19103, 1.25895");
-            values ( \
-              "0.0132179, 0.0139614, 0.0314205, 0.0602786, 0.130874, 0.16954, 0.185085, 0.204286, 0.209195, 0.21222, 0.212213, 0.210997, 0.208501, 0.19843, 0.19495, 0.166539, 0.108802, 0.0726322, 0.0498905, 0.0382139, 0.0303768, 0.0238426, 0.0143603, 0.0105273, 0.0055243, 0.00245671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.523094, 0.738652, 0.830319, 0.876225, 0.905478, 0.966555, 1.02367, 1.0806, 1.13992, 1.20593, 1.32191, 1.4033, 1.47187, 1.55972, 1.66196, 1.72511, 1.8093, 1.91224, 1.92394");
-            values ( \
-              "0.00558066, 0.0376227, 0.134219, 0.174072, 0.192308, 0.214724, 0.22058, 0.216274, 0.202052, 0.173036, 0.111797, 0.0755115, 0.0523173, 0.0316777, 0.0171331, 0.0116321, 0.00681683, 0.003514, 0.00331766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.363556, 0.435316, 0.449139, 0.508512, 0.547444, 0.56231, 0.594518, 0.762294, 0.811469, 0.878197, 0.973085, 1.11928, 1.20553, 1.27449, 1.37743, 1.39952, 1.44371, 1.51652, 1.63321, 1.70641, 1.75499, 1.85215, 2.13596, 2.30094, 2.39746, 2.5004, 2.61793, 2.70088, 2.80382, 2.88795, 3.03587, 3.24174, 3.34468, 3.55056, 3.85937");
-            values ( \
-              "0.000137914, 0.000571535, 0.00157884, 0.0250408, 0.0321101, 0.0324407, 0.0306153, 0.0120256, 0.0109174, 0.0194967, 0.0550687, 0.134439, 0.172938, 0.195292, 0.215544, 0.218193, 0.221714, 0.22403, 0.219718, 0.212376, 0.205179, 0.185668, 0.112235, 0.0758436, 0.0589241, 0.0445148, 0.0319204, 0.0250184, 0.0184829, 0.0143274, 0.00913861, 0.00484116, 0.00356055, 0.00188922, 0.00069188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.951811, 0.965687, 0.971673, 0.984494, 0.987102, 0.992316, 0.995716, 0.999123, 1.00457, 1.01002, 1.01298, 1.02221, 1.02788, 1.03242, 1.03687, 1.0425, 1.04792, 1.05472, 1.05907, 1.06803, 1.07345, 1.08429, 1.10342, 1.11659");
-            values ( \
-              "1e-22, 0.0182013, 0.0369906, 0.0863478, 0.0949208, 0.10868, 0.115042, 0.119299, 0.121464, 0.11723, 0.110191, 0.0743429, 0.0565238, 0.0446252, 0.0352771, 0.0259108, 0.0190918, 0.0130434, 0.0101779, 0.00615945, 0.00460108, 0.00253659, 0.000929727, 0.000569443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.965181, 0.983623, 0.990488, 1.00777, 1.01511, 1.0233, 1.02752, 1.03343, 1.04192, 1.05041, 1.05307, 1.06013, 1.07567, 1.08893, 1.09853, 1.10634, 1.11451, 1.1203, 1.13015, 1.14077, 1.14912, 1.16581, 1.18586");
-            values ( \
-              "0.00577122, 0.0238954, 0.0443094, 0.109819, 0.132224, 0.149919, 0.155797, 0.160751, 0.161537, 0.154138, 0.149163, 0.13069, 0.085141, 0.0553658, 0.0396236, 0.0297494, 0.0219071, 0.0175417, 0.0119514, 0.00790577, 0.00568392, 0.00290677, 0.00146284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.665397, 0.838207, 0.858502, 0.888076, 0.913657, 0.936062, 0.980957, 0.994003, 1.00772, 1.01807, 1.02761, 1.05089, 1.06571, 1.0747, 1.08189, 1.09693, 1.11173, 1.12653, 1.14189, 1.17192, 1.19501, 1.21592, 1.23954, 1.25569, 1.26688, 1.27945, 1.2962, 1.3297, 1.33127");
-            values ( \
-              "1.67125e-05, 0.000192036, 0.00101789, 0.00383919, 0.00508937, 0.00467545, 1e-22, 0.00239998, 0.0154689, 0.0353866, 0.0601205, 0.131054, 0.164741, 0.178397, 0.186167, 0.193701, 0.192067, 0.18116, 0.15755, 0.101199, 0.0662922, 0.0434231, 0.0269402, 0.0187033, 0.0145129, 0.0108734, 0.0073365, 0.00322781, 0.00315176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.673092, 0.838069, 0.849539, 0.858379, 0.870355, 0.902851, 0.913416, 0.93701, 0.964678, 1.00856, 1.01861, 1.02764, 1.0408, 1.05618, 1.07254, 1.08951, 1.12018, 1.144, 1.15757, 1.18801, 1.19461, 1.20569, 1.22016, 1.23285, 1.24472, 1.26434, 1.2742, 1.28927, 1.30699, 1.36228, 1.40472, 1.42621, 1.44299, 1.46477, 1.48274, 1.50374, 1.53342, 1.56417, 1.58772, 1.6348, 1.72432, 1.82726");
-            values ( \
-              "2.20523e-05, 0.000297637, 0.000705032, 0.00140962, 0.00305403, 0.00837573, 0.00965256, 0.0110408, 0.00998974, 0.00439431, 0.00347746, 0.00368858, 0.00712569, 0.0176079, 0.0380675, 0.0683902, 0.130528, 0.168629, 0.18453, 0.205994, 0.208341, 0.210914, 0.211558, 0.21061, 0.208119, 0.200551, 0.194735, 0.183284, 0.166359, 0.109399, 0.072855, 0.0581998, 0.0484655, 0.0379258, 0.0308386, 0.0240787, 0.0168348, 0.0115872, 0.00866435, 0.00476254, 0.00135076, 0.000295261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.679437, 0.849888, 0.870698, 0.922202, 0.937216, 0.964915, 1.00111, 1.07836, 1.09564, 1.11518, 1.13808, 1.16714, 1.26168, 1.29331, 1.32884, 1.35308, 1.3854, 1.42251, 1.45487, 1.51163, 1.54556, 1.57118, 1.62241, 1.73367, 1.81758, 1.90865, 1.98702, 2.02888, 2.08796, 2.1964, 2.29046, 2.37597");
-            values ( \
-              "2.66973e-05, 0.000885259, 0.00377593, 0.0155137, 0.0178235, 0.0194528, 0.0176869, 0.00896368, 0.00825333, 0.0101173, 0.0174364, 0.0352493, 0.133453, 0.162683, 0.187314, 0.199643, 0.211197, 0.218156, 0.2199, 0.216105, 0.209291, 0.201792, 0.18047, 0.121648, 0.0822349, 0.0507789, 0.0324125, 0.0253234, 0.0176727, 0.00908572, 0.0049653, 0.00296423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.686453, 0.850052, 0.937253, 0.983065, 1.00106, 1.05037, 1.16405, 1.21624, 1.24951, 1.29985, 1.33771, 1.41343, 1.55311, 1.64999, 1.70787, 1.8108, 1.87664, 1.94967, 2.06633, 2.18809, 2.28531, 2.67181, 2.83052, 3.00924, 3.13397, 3.23691, 3.46891, 3.73844");
-            values ( \
-              "3.30716e-05, 0.00100956, 0.0230052, 0.0286583, 0.0290018, 0.0269291, 0.0147456, 0.0109804, 0.0112642, 0.0174864, 0.0271566, 0.0592215, 0.135286, 0.177541, 0.195654, 0.215778, 0.221854, 0.224115, 0.219755, 0.205214, 0.185651, 0.0883686, 0.0589285, 0.0359053, 0.0250157, 0.0184837, 0.0091361, 0.00427337" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0070088", \
-            "0.00893343", \
-            "0.0101256", \
-            "0.0107079", \
-            "0.0109698", \
-            "0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100415", \
-            "0.0103029", \
-            "0.00952997", \
-            "0.00886385", \
-            "0.00859958", \
-            "0.00848352" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00692686, 0.00692821, 0.0069296, 0.00693067, 0.00693134, 0.00693171", \
-            "0.00817638, 0.0081769, 0.00817765, 0.00817839, 0.00817893, 0.00817926", \
-            "0.00893334, 0.00893342, 0.00893363, 0.00893397, 0.00893429, 0.00893452", \
-            "0.00937869, 0.00937873, 0.00937877, 0.00937887, 0.00937902, 0.00937915", \
-            "0.00961147, 0.00961148, 0.00961149, 0.00961152, 0.00961158, 0.00961165", \
-            "0.0097505, 0.00975051, 0.00975053, 0.00975055, 0.00975057, 0.00975061" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00970304, 0.0097036, 0.00970457, 0.00970561, 0.00970639, 0.00970686", \
-            "0.0106212, 0.0106213, 0.0106216, 0.0106222, 0.0106227, 0.0106231", \
-            "0.0110018, 0.0110021, 0.0110024, 0.0110028, 0.0110033, 0.0110036", \
-            "0.0112669, 0.0112659, 0.0112651, 0.0112646, 0.0112644, 0.0112644", \
-            "0.0119247, 0.0119235, 0.0119206, 0.0119172, 0.0119145, 0.0119127", \
-            "0.0120963, 0.0121583, 0.0121973, 0.0122216, 0.0122361, 0.012244" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & D & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.200804, 0.235581, 0.302189, 0.432751, 0.698902, 1.25166", \
-            "0.206744, 0.241485, 0.308075, 0.438692, 0.704837, 1.2578", \
-            "0.220107, 0.254844, 0.321468, 0.452045, 0.718253, 1.27147", \
-            "0.248714, 0.283451, 0.350065, 0.480824, 0.746714, 1.29957", \
-            "0.304711, 0.340142, 0.407485, 0.538425, 0.80484, 1.3577", \
-            "0.39785, 0.435312, 0.504784, 0.637085, 0.903603, 1.45805" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0349735, 0.0556417, 0.0988978, 0.190378, 0.382636, 0.783818", \
-            "0.0349845, 0.05569, 0.0988659, 0.190374, 0.38264, 0.783787", \
-            "0.0349868, 0.0556436, 0.0988978, 0.190409, 0.382629, 0.783737", \
-            "0.035003, 0.0556481, 0.0988461, 0.190376, 0.382473, 0.783653", \
-            "0.0354907, 0.0559033, 0.0989441, 0.190353, 0.382575, 0.783513", \
-            "0.0366489, 0.0569766, 0.0994636, 0.190674, 0.382716, 0.783542" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.17072, 0.177643, 0.182785, 0.188917, 0.196063, 0.201074, 0.203515, 0.207215, 0.209881, 0.212376, 0.217535, 0.22113, 0.231031, 0.237433, 0.246483, 0.255829, 0.262996, 0.269412, 0.282555, 0.294102, 0.29726");
-            values ( \
-              "0.00542121, 0.0243172, 0.0460408, 0.0774361, 0.105026, 0.118035, 0.122299, 0.126255, 0.12733, 0.126782, 0.121688, 0.111529, 0.0714131, 0.0512108, 0.0312972, 0.018346, 0.0120828, 0.00827663, 0.0038995, 0.00200121, 0.00178127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.183408, 0.193002, 0.210161, 0.221407, 0.230536, 0.23385, 0.238938, 0.24719, 0.255441, 0.261706, 0.277093, 0.287409, 0.299767, 0.306972, 0.317119, 0.333172, 0.344752, 0.353965, 0.37239, 0.375909");
-            values ( \
-              "0.0284171, 0.0286222, 0.101502, 0.140205, 0.158686, 0.162515, 0.166008, 0.165619, 0.157264, 0.142796, 0.0953717, 0.068942, 0.0451034, 0.0346734, 0.0236785, 0.0126355, 0.00800699, 0.00553666, 0.00258539, 0.00235518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.130723, 0.211535, 0.21828, 0.22401, 0.248842, 0.259116, 0.268418, 0.284384, 0.299198, 0.313865, 0.329054, 0.344992, 0.372866, 0.395026, 0.414208, 0.427857, 0.439944, 0.45606, 0.467136, 0.47953, 0.496055, 0.529105, 0.543112");
-            values ( \
-              "0.00127477, 0.0128565, 0.0254908, 0.0401622, 0.119921, 0.14882, 0.168206, 0.189406, 0.195792, 0.19372, 0.181594, 0.1561, 0.10317, 0.0688739, 0.0468756, 0.0350313, 0.0268944, 0.0186885, 0.0145115, 0.0109122, 0.00740833, 0.00328622, 0.00258773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.140186, 0.254597, 0.285758, 0.332466, 0.357224, 0.387638, 0.416077, 0.444358, 0.472631, 0.506575, 0.563466, 0.605094, 0.646952, 0.698053, 0.742117, 0.797459, 0.856491, 0.871022");
-            values ( \
-              "0.0131138, 0.0146123, 0.0605107, 0.152569, 0.185001, 0.206709, 0.212568, 0.208849, 0.195983, 0.166529, 0.107835, 0.072255, 0.0461095, 0.0256119, 0.0150502, 0.00761747, 0.00353693, 0.00312728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.164587, 0.34843, 0.38895, 0.447957, 0.484243, 0.53542, 0.596568, 0.653662, 0.710594, 0.767516, 0.80347, 0.835926, 0.951783, 0.987829, 1.03327, 1.06689, 1.1019, 1.14838, 1.18968, 1.24476, 1.29193, 1.35514, 1.43943, 1.54236, 1.64529, 1.85115");
-            values ( \
-              "0.0212166, 0.0229859, 0.0564565, 0.121073, 0.156364, 0.192248, 0.214705, 0.220553, 0.216264, 0.202889, 0.188721, 0.173032, 0.111858, 0.0946395, 0.0755208, 0.0633217, 0.0523096, 0.0402469, 0.0316831, 0.0227943, 0.0171365, 0.0116292, 0.0068128, 0.00351034, 0.00178653, 0.000460961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.0542632, 0.0815558, 0.0911092, 0.140046, 0.150331, 0.175474, 0.208194, 0.252491, 0.386776, 0.439663, 0.515395, 0.591183, 0.749836, 0.859068, 0.904619, 0.973518, 1.02942, 1.13235, 1.176, 1.26309, 1.32084, 1.38507, 1.488, 1.77068, 1.92628, 2.05992, 2.15662, 2.24517, 2.32661, 2.42954, 2.49321, 2.57363, 2.75539, 2.96126, 3.06419, 3.47592");
-            values ( \
-              "0.000738888, 0.000970796, 0.00179645, 0.026336, 0.0297087, 0.0333898, 0.032418, 0.0285909, 0.0123899, 0.0108156, 0.0210618, 0.0492029, 0.134587, 0.181401, 0.195138, 0.210286, 0.217884, 0.223944, 0.223755, 0.219636, 0.214125, 0.205243, 0.184236, 0.111045, 0.0767075, 0.0540798, 0.0413351, 0.0321323, 0.0253977, 0.018674, 0.0154388, 0.0121166, 0.00694572, 0.0036673, 0.00270765, 0.000769677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.195077, 0.201737, 0.207262, 0.211918, 0.215944, 0.221773, 0.227092, 0.232274, 0.237446, 0.240441, 0.249155, 0.255091, 0.259831, 0.267353, 0.272669, 0.279337, 0.28361, 0.292361, 0.297724, 0.308449, 0.326001, 0.329622");
-            values ( \
-              "0.026295, 0.0426577, 0.07097, 0.0910248, 0.104977, 0.119913, 0.126197, 0.127253, 0.121526, 0.113575, 0.077416, 0.0576359, 0.0447605, 0.0296323, 0.0218249, 0.0148481, 0.0115857, 0.00695381, 0.00508037, 0.0027416, 0.00096471, 0.000897025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.199333, 0.21243, 0.234145, 0.241252, 0.250412, 0.258829, 0.267085, 0.27533, 0.278678, 0.298622, 0.314249, 0.326853, 0.337005, 0.353059, 0.373839, 0.389057");
-            values ( \
-              "0.0150776, 0.0267066, 0.117467, 0.13956, 0.158938, 0.165847, 0.16596, 0.157066, 0.15037, 0.0907841, 0.0545053, 0.034683, 0.0236762, 0.0126335, 0.00553998, 0.00309952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.151037, 0.23107, 0.241205, 0.281181, 0.287965, 0.301531, 0.308612, 0.318692, 0.333364, 0.348037, 0.364363, 0.403656, 0.428834, 0.457305, 0.484408, 0.514206, 0.540122, 0.556406");
-            values ( \
-              "0.00139416, 0.0128747, 0.0338397, 0.154448, 0.168518, 0.186878, 0.1922, 0.195791, 0.193335, 0.1823, 0.15635, 0.0844119, 0.0518444, 0.0282202, 0.0152836, 0.00767164, 0.00411254, 0.0030949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.159396, 0.277303, 0.29214, 0.305577, 0.333628, 0.352264, 0.377152, 0.407552, 0.435975, 0.464255, 0.493685, 0.509886, 0.526471, 0.559643, 0.583375, 0.601353, 0.624996, 0.642918, 0.666813, 0.689478, 0.717988, 0.750745, 0.76202, 0.78781, 0.817283, 0.87623, 0.966784, 1.06972");
-            values ( \
-              "0.0105447, 0.0171429, 0.0363012, 0.0602944, 0.118766, 0.152511, 0.184981, 0.206802, 0.212502, 0.208926, 0.195201, 0.182498, 0.166529, 0.131422, 0.107824, 0.0913204, 0.0722512, 0.0599162, 0.0461276, 0.035694, 0.0256, 0.017242, 0.0150487, 0.0109864, 0.00762402, 0.00354503, 0.000985546, 0.000213639" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.183901, 0.368472, 0.409125, 0.467719, 0.504365, 0.555306, 0.61645, 0.673546, 0.730479, 0.789798, 0.823351, 0.855811, 0.971655, 1.05315, 1.12179, 1.16826, 1.20957, 1.26464, 1.31181, 1.37503, 1.45933, 1.56226, 1.62847");
-            values ( \
-              "0.0209901, 0.023083, 0.0567444, 0.120938, 0.156567, 0.192237, 0.214704, 0.220547, 0.216267, 0.202042, 0.188723, 0.173031, 0.111864, 0.0755219, 0.0523087, 0.0402464, 0.0316836, 0.0227957, 0.0171367, 0.0116288, 0.00681215, 0.00350989, 0.00240127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.075378, 0.113079, 0.160247, 0.195796, 0.248103, 0.416322, 0.47105, 0.551079, 0.854847, 0.925929, 1.02886, 1.0951, 1.16793, 1.27086, 1.35782, 1.4064, 1.50355, 1.78737, 1.94655, 2.08231, 2.26543, 2.34721, 2.51441, 2.77646, 2.98657");
-            values ( \
-              "0.000751285, 0.00198297, 0.0259494, 0.0333598, 0.0311038, 0.0117768, 0.0112392, 0.0250123, 0.172295, 0.195214, 0.215686, 0.221845, 0.22392, 0.220738, 0.212267, 0.205291, 0.185563, 0.112144, 0.0768722, 0.0539292, 0.0322053, 0.0254631, 0.015424, 0.00693466, 0.00406943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.239521, 0.2458, 0.25149, 0.256075, 0.260151, 0.26598, 0.2713, 0.276482, 0.281655, 0.284644, 0.293375, 0.299276, 0.30399, 0.311617, 0.31701, 0.323392, 0.327712, 0.336565, 0.342041, 0.352991, 0.370572, 0.372873");
-            values ( \
-              "0.0292335, 0.0420643, 0.0711276, 0.09084, 0.104933, 0.119923, 0.126166, 0.127264, 0.121505, 0.113591, 0.0773676, 0.057706, 0.0448836, 0.0295396, 0.0216576, 0.0149812, 0.0116567, 0.00695441, 0.00504744, 0.00268744, 0.000945154, 0.000903142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.247515, 0.25956, 0.274139, 0.285384, 0.294515, 0.302918, 0.31117, 0.31942, 0.325687, 0.341072, 0.35139, 0.363744, 0.370947, 0.381099, 0.397153, 0.417935, 0.436351, 0.442287");
-            values ( \
-              "0.0214421, 0.0382738, 0.101604, 0.140271, 0.158732, 0.166048, 0.165596, 0.157293, 0.142794, 0.0953721, 0.0689381, 0.0451056, 0.0346786, 0.0236774, 0.0126349, 0.00553883, 0.00258739, 0.00219872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.194896, 0.275615, 0.282303, 0.288108, 0.312913, 0.323354, 0.332489, 0.348455, 0.363269, 0.377937, 0.393126, 0.409063, 0.436937, 0.459099, 0.478275, 0.491927, 0.504017, 0.520136, 0.531208, 0.543598, 0.560118, 0.593158, 0.607245");
-            values ( \
-              "0.00126371, 0.0128836, 0.0253963, 0.040232, 0.119936, 0.149218, 0.168216, 0.189395, 0.195802, 0.193709, 0.181595, 0.1561, 0.10317, 0.0688721, 0.0468793, 0.0350322, 0.0268934, 0.0186862, 0.0145111, 0.0109129, 0.0074097, 0.00328773, 0.00258489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.204546, 0.321179, 0.335915, 0.384297, 0.405767, 0.421567, 0.451952, 0.480414, 0.508699, 0.536975, 0.570919, 0.627745, 0.669405, 0.711492, 0.733938, 0.762187, 0.806423, 0.862199, 0.921693, 0.929159");
-            values ( \
-              "0.0111893, 0.0166267, 0.0351364, 0.130613, 0.166029, 0.184907, 0.206622, 0.212534, 0.208836, 0.195969, 0.16653, 0.107899, 0.0722808, 0.0460067, 0.0356873, 0.0256742, 0.015055, 0.00757805, 0.00349695, 0.00328881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.229259, 0.411996, 0.452462, 0.512372, 0.547867, 0.599567, 0.660722, 0.717816, 0.774748, 0.834066, 0.867628, 0.90008, 1.01595, 1.09742, 1.16605, 1.21253, 1.25384, 1.30893, 1.35608, 1.41929, 1.50356, 1.60649, 1.67126");
-            values ( \
-              "0.0216729, 0.0226476, 0.0557936, 0.121367, 0.155918, 0.192248, 0.214719, 0.220549, 0.216274, 0.202044, 0.188719, 0.173032, 0.111849, 0.0755194, 0.052311, 0.0402473, 0.0316824, 0.0227928, 0.0171361, 0.0116297, 0.00681385, 0.00351079, 0.00242605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.119396, 0.156047, 0.205162, 0.241039, 0.293408, 0.429874, 0.47643, 0.542385, 0.627627, 0.814949, 0.910569, 0.970098, 1.07303, 1.13924, 1.21208, 1.32876, 1.40195, 1.45054, 1.5477, 1.83151, 1.99068, 2.12645, 2.30957, 2.49428, 2.72152, 3.02744");
-            values ( \
-              "0.000856237, 0.00179768, 0.0263573, 0.0334262, 0.0309785, 0.0144051, 0.0110102, 0.0137291, 0.0365087, 0.13456, 0.176653, 0.195314, 0.215672, 0.221825, 0.223974, 0.219651, 0.212307, 0.205261, 0.185594, 0.112171, 0.0768988, 0.0539045, 0.0322288, 0.0187145, 0.00946795, 0.00368509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.33332, 0.33987, 0.344339, 0.346597, 0.349694, 0.352216, 0.356845, 0.362682, 0.368005, 0.373189, 0.378364, 0.381362, 0.384264, 0.39007, 0.396021, 0.400774, 0.404288, 0.408251, 0.413536, 0.416578, 0.420324, 0.424577, 0.430629, 0.433287, 0.438603, 0.449235, 0.466749, 0.487755, 0.514471");
-            values ( \
-              "0.00927222, 0.0307485, 0.04959, 0.0624522, 0.0772548, 0.0882301, 0.104953, 0.119685, 0.126206, 0.127129, 0.121534, 0.113539, 0.10245, 0.0774253, 0.0576002, 0.0447045, 0.0369935, 0.0296683, 0.0218937, 0.0183851, 0.0147921, 0.0115549, 0.00808195, 0.00695259, 0.0050943, 0.00276379, 0.000975367, 0.00032755, 7.13065e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.34488, 0.35688, 0.370897, 0.382142, 0.391275, 0.399681, 0.407931, 0.416181, 0.422449, 0.437834, 0.448152, 0.460506, 0.467709, 0.477861, 0.493915, 0.514697, 0.533112, 0.539015");
-            values ( \
-              "0.0230226, 0.0405758, 0.101658, 0.140286, 0.15873, 0.166048, 0.165589, 0.157291, 0.14279, 0.0953706, 0.0689377, 0.0451049, 0.0346794, 0.0236766, 0.0126342, 0.00553943, 0.00258712, 0.00220064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.296442, 0.372304, 0.377933, 0.382961, 0.391944, 0.40959, 0.422237, 0.42919, 0.445122, 0.449176, 0.457285, 0.465238, 0.474555, 0.489233, 0.497188, 0.505673, 0.519315, 0.533463, 0.540679, 0.555111, 0.567837, 0.576511, 0.589009, 0.592639, 0.599899, 0.614419, 0.627123, 0.632827, 0.644234, 0.667049, 0.709853, 0.759777");
-            values ( \
-              "0.00215873, 0.0128944, 0.023115, 0.0352747, 0.0611991, 0.120342, 0.153931, 0.168611, 0.189203, 0.191766, 0.195364, 0.195839, 0.193164, 0.182282, 0.171054, 0.156124, 0.129482, 0.103377, 0.0912202, 0.0697342, 0.0542538, 0.0453571, 0.0347256, 0.0321036, 0.0273706, 0.0197451, 0.0147726, 0.0129641, 0.00995383, 0.00578646, 0.00192225, 0.00050468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.303689, 0.419922, 0.434962, 0.44714, 0.474533, 0.493822, 0.51827, 0.548673, 0.57709, 0.605371, 0.634801, 0.651, 0.667587, 0.700762, 0.724485, 0.742457, 0.766109, 0.784039, 0.807945, 0.830594, 0.859085, 0.891855, 0.903132, 0.928939, 0.958432, 1.01742, 1.10789, 1.21082");
-            values ( \
-              "0.00984024, 0.0187107, 0.0390453, 0.0611874, 0.118332, 0.153207, 0.184996, 0.206782, 0.212519, 0.208905, 0.1952, 0.1825, 0.166528, 0.13142, 0.107828, 0.0913319, 0.0722523, 0.0599118, 0.0461199, 0.0356945, 0.0256062, 0.0172425, 0.01505, 0.0109838, 0.00762149, 0.00354088, 0.000986713, 0.000213081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.199177, 0.248903, 0.257626, 0.292625, 0.31483, 0.325869, 0.339425, 0.420604, 0.454021, 0.475886, 0.504307, 0.543137, 0.621108, 0.670325, 0.696433, 0.748648, 0.774893, 0.81445, 0.841823, 0.87128, 0.93068, 0.962275, 0.996715, 1.10594, 1.19108, 1.26944, 1.31172, 1.34567, 1.38784, 1.44715, 1.5094, 1.55718, 1.65273, 1.75566, 1.77077");
-            values ( \
-              "0.000338684, 0.00124509, 0.00336257, 0.0193205, 0.0241511, 0.0246115, 0.0238065, 0.0115474, 0.00805213, 0.0100265, 0.0201488, 0.0496602, 0.133794, 0.176151, 0.192159, 0.212454, 0.217447, 0.220176, 0.219201, 0.215939, 0.201896, 0.189501, 0.172985, 0.115191, 0.0766591, 0.0504014, 0.0396679, 0.0325933, 0.025394, 0.0177227, 0.0121168, 0.00900591, 0.00488382, 0.00250244, 0.00236661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.35086, 0.750775, 0.910879, 0.957752, 1.0515, 1.09511, 1.14583, 1.19074, 1.28056, 1.30792, 1.36264, 1.42464, 1.52757, 1.54657, 1.58457, 1.66058, 1.9289, 2.03183, 2.09276, 2.18672, 2.27792, 2.34631, 2.42296, 2.50156, 2.60449, 2.75664, 2.85957, 2.9625, 3.16837, 3.37423, 3.68302");
-            values ( \
-              "0.00888455, 0.0481591, 0.134349, 0.156716, 0.191234, 0.202404, 0.212008, 0.217912, 0.223567, 0.223918, 0.222941, 0.219566, 0.208228, 0.205212, 0.198308, 0.181548, 0.111766, 0.0880737, 0.075684, 0.0593216, 0.046222, 0.0381647, 0.030653, 0.0244059, 0.0179411, 0.0113349, 0.00830711, 0.00602452, 0.00317995, 0.00166974, 0.000660809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.539892, 0.547131, 0.555555, 0.563054, 0.570343, 0.574488, 0.578496, 0.58224, 0.585058, 0.590435, 0.599895, 0.607835, 0.6148, 0.624821, 0.633589, 0.639857, 0.649886, 0.663259, 0.671227");
-            values ( \
-              "0.021818, 0.0343713, 0.0726164, 0.101253, 0.118943, 0.123936, 0.125518, 0.123858, 0.120042, 0.104368, 0.0663604, 0.0437003, 0.0298938, 0.0168555, 0.0101023, 0.00702791, 0.00395313, 0.00182752, 0.00152066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.550192, 0.561344, 0.579469, 0.591443, 0.598499, 0.605056, 0.610829, 0.615255, 0.623533, 0.660124, 0.673971, 0.686208, 0.701926, 0.719391, 0.73193");
-            values ( \
-              "0.0254441, 0.0312482, 0.105296, 0.14355, 0.157036, 0.163912, 0.165792, 0.164758, 0.156823, 0.059025, 0.0361948, 0.0228502, 0.0123606, 0.00619142, 0.00465746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.347462, 0.442939, 0.493918, 0.518976, 0.550398, 0.562155, 0.568302, 0.576494, 0.587536, 0.617726, 0.637337, 0.653302, 0.668148, 0.682823, 0.697488, 0.762852, 0.785709, 0.807645, 0.834203, 0.862333, 0.886691, 0.887663");
-            values ( \
-              "8.99581e-05, 0.000481301, 0.00678478, 0.00577239, 1e-22, 2e-22, 0.00174753, 0.00858191, 0.0271885, 0.119865, 0.168104, 0.189073, 0.195808, 0.193517, 0.182217, 0.070418, 0.0444583, 0.0276793, 0.0151713, 0.00791978, 0.00441807, 0.00435257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.352756, 0.443397, 0.506287, 0.531824, 0.593646, 0.606301, 0.619985, 0.727014, 0.757373, 0.785814, 0.814092, 0.84352, 0.974863, 1.04888, 1.10065, 1.16673, 1.177");
-            values ( \
-              "0.000108873, 0.000692541, 0.0134095, 0.0131043, 0.00359083, 0.00485705, 0.0114597, 0.185141, 0.206786, 0.21258, 0.208887, 0.195211, 0.0722278, 0.0319655, 0.0172283, 0.00766178, 0.00694604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.356486, 0.435891, 0.451491, 0.505926, 0.531059, 0.545872, 0.5757, 0.653105, 0.673181, 0.698894, 0.73301, 0.854121, 0.905117, 0.966123, 1.02331, 1.08024, 1.13955, 1.20556, 1.32159, 1.40294, 1.47148, 1.55936, 1.61451, 1.6616, 1.7247, 1.80884, 1.91177, 1.96428");
-            values ( \
-              "0.000114517, 0.000558409, 0.00187731, 0.0195856, 0.0227301, 0.0223949, 0.0194353, 0.00871357, 0.00852355, 0.0141619, 0.0332766, 0.156786, 0.19234, 0.214801, 0.220539, 0.216334, 0.202053, 0.173035, 0.111771, 0.075506, 0.0523234, 0.0316757, 0.0227784, 0.0171323, 0.0116343, 0.00682288, 0.0035152, 0.00263485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.360664, 0.435619, 0.45126, 0.505507, 0.530403, 0.545114, 0.575816, 0.60983, 0.71908, 0.76591, 0.824223, 0.901144, 0.979945, 1.09419, 1.16933, 1.27226, 1.34002, 1.39904, 1.50197, 1.51647, 1.54549, 1.60351, 1.63264, 1.69091, 1.75462, 1.85755, 2.14023, 2.30184, 2.3913, 2.49353, 2.62607, 2.70631, 2.80925, 2.96619, 3.06913, 3.17206, 3.37792, 3.58379, 3.89258");
-            values ( \
-              "0.000125459, 0.000618974, 0.00206686, 0.023826, 0.0301046, 0.0315707, 0.0316774, 0.0296487, 0.0161281, 0.0117954, 0.0114913, 0.0256537, 0.0586724, 0.121424, 0.158362, 0.194868, 0.209817, 0.217998, 0.223958, 0.22402, 0.223758, 0.221531, 0.219623, 0.214118, 0.205218, 0.184275, 0.111075, 0.0755791, 0.0598867, 0.0453065, 0.0311308, 0.0246293, 0.0181487, 0.0113086, 0.00824948, 0.00602008, 0.00318722, 0.00168312, 0.000637834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.962168, 0.968381, 0.973605, 0.983532, 0.988344, 0.994702, 1.00039, 1.00582, 1.01126, 1.01373, 1.02622, 1.03624, 1.04454, 1.05032, 1.05756, 1.06427, 1.07254, 1.07911, 1.09227, 1.10389");
-            values ( \
-              "0.0185495, 0.0236493, 0.0403234, 0.0791334, 0.0949883, 0.111187, 0.119337, 0.121739, 0.117603, 0.112159, 0.0658845, 0.0390505, 0.0247492, 0.017813, 0.0117431, 0.00796248, 0.00499111, 0.00344469, 0.00165447, 0.00101095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.967872, 0.984377, 0.993815, 1.00903, 1.01656, 1.02617, 1.03486, 1.04331, 1.05175, 1.05435, 1.06141, 1.06999, 1.07671, 1.0894, 1.10111, 1.10881, 1.11576, 1.12503, 1.13199, 1.13998, 1.15062, 1.17191, 1.18725");
-            values ( \
-              "0.0110025, 0.022153, 0.0509693, 0.108612, 0.131894, 0.152701, 0.161537, 0.16259, 0.154984, 0.15017, 0.131532, 0.104589, 0.0860785, 0.0569576, 0.0377363, 0.0283565, 0.0217982, 0.0151877, 0.0115727, 0.00845854, 0.0055197, 0.002277, 0.00139264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.655259, 0.838531, 0.894346, 0.921763, 0.949349, 0.983377, 0.996176, 1.01681, 1.0669, 1.08304, 1.09798, 1.11273, 1.12798, 1.19678, 1.21588, 1.24542, 1.26931, 1.30007, 1.31673");
-            values ( \
-              "1.47587e-05, 0.000228156, 0.00431514, 0.00494153, 0.00365672, 1e-22, 0.00294658, 0.0293931, 0.16581, 0.187656, 0.194511, 0.192824, 0.180956, 0.0652471, 0.0442856, 0.0234665, 0.0136085, 0.00665571, 0.00478678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.66873, 0.817148, 0.838654, 0.846931, 0.856524, 0.864646, 0.877864, 0.894244, 0.907605, 0.921714, 0.933739, 0.948864, 0.967312, 1.00744, 1.02104, 1.03263, 1.04565, 1.06155, 1.07855, 1.08959, 1.12045, 1.14132, 1.15771, 1.18817, 1.20417, 1.21651, 1.22131, 1.22771, 1.23625, 1.24483, 1.25914, 1.2744, 1.30439, 1.34574, 1.36527, 1.38324, 1.40618, 1.42321, 1.44078, 1.46412, 1.48484, 1.51247, 1.53628, 1.54692, 1.5682, 1.61076, 1.69117, 1.78488");
-            values ( \
-              "2.28877e-05, 0.000155177, 0.000338306, 0.000608124, 0.00123749, 0.0021572, 0.00416316, 0.00698995, 0.00874305, 0.0100741, 0.010695, 0.010744, 0.00960273, 0.00495775, 0.00383169, 0.0046782, 0.00945412, 0.0226249, 0.0475176, 0.0679587, 0.131004, 0.165124, 0.18479, 0.206318, 0.209811, 0.210757, 0.210844, 0.210613, 0.209578, 0.207713, 0.202784, 0.194571, 0.169429, 0.126125, 0.106779, 0.0904132, 0.072009, 0.0602835, 0.0498318, 0.0383262, 0.030187, 0.0217496, 0.01632, 0.0143493, 0.0110561, 0.00647342, 0.00215955, 0.000566648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.674265, 0.817786, 0.847587, 0.87437, 0.922399, 0.949387, 0.967799, 1.00528, 1.02761, 1.08101, 1.10236, 1.12909, 1.1643, 1.26162, 1.29297, 1.31933, 1.33677, 1.3701, 1.3977, 1.45499, 1.51198, 1.57607, 1.63737, 1.75165, 1.83433, 1.91548, 1.98173, 2.0208, 2.08472, 2.1563, 2.21284, 2.31577, 2.39112");
-            values ( \
-              "2.53917e-05, 0.000219076, 0.000766008, 0.0043797, 0.015134, 0.0185616, 0.0190318, 0.0175348, 0.0158038, 0.0090433, 0.00863958, 0.0137346, 0.0329795, 0.133327, 0.162328, 0.181377, 0.191857, 0.206261, 0.214116, 0.220101, 0.216185, 0.200294, 0.172999, 0.112645, 0.0756683, 0.0488709, 0.0334753, 0.0265735, 0.0180447, 0.0116489, 0.00818369, 0.00421654, 0.00270771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.679661, 0.831187, 0.857433, 0.949332, 1.00509, 1.02738, 1.05345, 1.20155, 1.24824, 1.29565, 1.33069, 1.40077, 1.55325, 1.6474, 1.70804, 1.81097, 1.87687, 1.94987, 2.06653, 2.18829, 2.2855, 2.67201, 2.83073, 3.00944, 3.13417, 3.2371, 3.46907, 3.736");
-            values ( \
-              "2.93296e-05, 0.000367773, 0.0017309, 0.024792, 0.0289769, 0.0291198, 0.027675, 0.0115557, 0.0111646, 0.0166555, 0.0250117, 0.0528596, 0.135233, 0.176444, 0.195643, 0.215718, 0.221814, 0.224129, 0.21977, 0.205195, 0.185671, 0.0883605, 0.0589238, 0.0359052, 0.0250163, 0.0184855, 0.00913949, 0.0043193" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0070088", \
-            "0.00893343", \
-            "0.0101256", \
-            "0.0107079", \
-            "0.0109698", \
-            "0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100415", \
-            "0.0103029", \
-            "0.00952997", \
-            "0.00886385", \
-            "0.00859958", \
-            "0.00848352" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00634287, 0.0063441, 0.00634538, 0.00634637, 0.006347, 0.00634734", \
-            "0.00740488, 0.00740536, 0.00740605, 0.00740673, 0.00740723, 0.00740754", \
-            "0.00805861, 0.00805868, 0.00805889, 0.0080592, 0.00805951, 0.00805972", \
-            "0.00845364, 0.00845367, 0.00845371, 0.00845381, 0.00845395, 0.00845408", \
-            "0.00866726, 0.00866728, 0.00866731, 0.00866734, 0.0086674, 0.00866748", \
-            "0.00878823, 0.00878823, 0.00878827, 0.0087883, 0.00878832, 0.00878836" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00888408, 0.00888459, 0.00888549, 0.00888646, 0.00888718, 0.00888761", \
-            "0.00996552, 0.00996575, 0.0099662, 0.00996683, 0.00996742, 0.00996782", \
-            "0.0105955, 0.0105959, 0.0105964, 0.0105969, 0.0105975, 0.0105979", \
-            "0.0109587, 0.0109577, 0.0109567, 0.0109561, 0.0109559, 0.0109559", \
-            "0.0113792, 0.0113772, 0.0113742, 0.0113709, 0.0113681, 0.0113663", \
-            "0.0112056, 0.0112511, 0.0112725, 0.0112841, 0.0112916, 0.0112959" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & ~D & RN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * RN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.20276, 0.237562, 0.304186, 0.434733, 0.701073, 1.25382", \
-            "0.208624, 0.243432, 0.31009, 0.440735, 0.706892, 1.25986", \
-            "0.221774, 0.256575, 0.323195, 0.453925, 0.720109, 1.27249", \
-            "0.249817, 0.284655, 0.351399, 0.482102, 0.748298, 1.30106", \
-            "0.305501, 0.340884, 0.408204, 0.539171, 0.805457, 1.35871", \
-            "0.398169, 0.435751, 0.50524, 0.637575, 0.904028, 1.45867" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0350138, 0.0557014, 0.0988886, 0.190395, 0.382594, 0.783912", \
-            "0.035023, 0.055698, 0.0989201, 0.190385, 0.38241, 0.78356", \
-            "0.0350283, 0.0557082, 0.0988872, 0.190421, 0.382321, 0.783984", \
-            "0.0350968, 0.0557266, 0.0989187, 0.190248, 0.382428, 0.783736", \
-            "0.0354233, 0.0559799, 0.0989678, 0.190212, 0.38234, 0.783782", \
-            "0.0366897, 0.056992, 0.0995169, 0.190514, 0.382499, 0.783526" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.173992, 0.180991, 0.190838, 0.197991, 0.202984, 0.205491, 0.209149, 0.214329, 0.219607, 0.222487, 0.231272, 0.241736, 0.249652, 0.257783, 0.265043, 0.271513, 0.284385, 0.295695, 0.302289");
-            values ( \
-              "0.00696963, 0.0304844, 0.0773915, 0.104955, 0.117883, 0.122261, 0.125998, 0.127014, 0.121251, 0.113515, 0.0771607, 0.0451552, 0.0292549, 0.0183282, 0.0120331, 0.00820799, 0.00394256, 0.00204368, 0.0015832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.175901, 0.194655, 0.219027, 0.223219, 0.232381, 0.240801, 0.249059, 0.257306, 0.263584, 0.278965, 0.289295, 0.301639, 0.308832, 0.319017, 0.335083, 0.355846, 0.374241, 0.383423");
-            values ( \
-              "0.00126921, 0.0278987, 0.127443, 0.139869, 0.158682, 0.166022, 0.165707, 0.1572, 0.142739, 0.0953391, 0.0688941, 0.0450923, 0.0346887, 0.0236533, 0.0126177, 0.0055408, 0.00258948, 0.00199688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.137905, 0.213519, 0.221464, 0.229062, 0.250794, 0.263663, 0.270404, 0.283885, 0.291236, 0.301138, 0.315816, 0.330493, 0.33838, 0.346793, 0.363364, 0.381293, 0.396209, 0.404835, 0.418454, 0.430434, 0.440794, 0.451703, 0.467258, 0.483058, 0.495104, 0.519196, 0.553604");
-            values ( \
-              "0.0021375, 0.0129667, 0.0283763, 0.0490562, 0.120117, 0.154535, 0.168405, 0.186763, 0.19226, 0.195711, 0.193314, 0.182248, 0.171174, 0.156381, 0.12407, 0.0921388, 0.0699869, 0.0590873, 0.0446853, 0.0345922, 0.0275841, 0.0216173, 0.0151515, 0.0105411, 0.00796082, 0.00447271, 0.00215523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.147464, 0.258867, 0.274015, 0.322148, 0.348522, 0.359368, 0.376046, 0.389665, 0.418158, 0.446462, 0.474766, 0.508705, 0.564922, 0.606885, 0.651118, 0.671826, 0.697986, 0.743849, 0.771836, 0.80382, 0.86779, 0.880035");
-            values ( \
-              "0.0125284, 0.0165392, 0.0355581, 0.130511, 0.172357, 0.18483, 0.198723, 0.206126, 0.21228, 0.208675, 0.195904, 0.166498, 0.108483, 0.0725013, 0.0450673, 0.0356456, 0.026287, 0.0151152, 0.0107396, 0.0072174, 0.00311728, 0.00281844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.158637, 0.364639, 0.486563, 0.537877, 0.598904, 0.656091, 0.713018, 0.772335, 0.838347, 0.954328, 1.03571, 1.10428, 1.19213, 1.29438, 1.35752, 1.44171, 1.54469, 1.56934");
-            values ( \
-              "0.0109711, 0.032347, 0.156451, 0.192273, 0.214802, 0.220507, 0.216349, 0.202049, 0.173033, 0.111795, 0.0755094, 0.0523186, 0.0316769, 0.0171326, 0.0116319, 0.00681893, 0.00351231, 0.00309934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.195038, 0.604139, 0.751515, 0.833113, 0.906803, 1.00978, 1.03187, 1.07606, 1.14889, 1.25187, 1.2656, 1.29306, 1.33877, 1.38738, 1.4846, 1.76835, 1.83807, 1.92754, 1.99051, 2.06332, 2.15936, 2.24643, 2.32822, 2.4312, 2.52253, 2.66321, 2.76619, 2.86917, 2.97214, 3.1781, 3.48703");
-            values ( \
-              "0.00486482, 0.0542406, 0.134188, 0.170988, 0.195164, 0.215503, 0.21812, 0.221689, 0.223984, 0.220615, 0.219685, 0.217314, 0.212352, 0.205191, 0.185632, 0.112215, 0.0956856, 0.0769396, 0.0654524, 0.0538666, 0.0413164, 0.0322608, 0.0254068, 0.0187426, 0.0142503, 0.0093035, 0.0067932, 0.00493711, 0.00359799, 0.00189955, 0.000722041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.19344, 0.200831, 0.210666, 0.214376, 0.217814, 0.223654, 0.228979, 0.234166, 0.239441, 0.242343, 0.251057, 0.257017, 0.261778, 0.269252, 0.274535, 0.281371, 0.285627, 0.294349, 0.299674, 0.310323, 0.328496, 0.329844");
-            values ( \
-              "0.00486807, 0.0305958, 0.077181, 0.093001, 0.104825, 0.119698, 0.126071, 0.127135, 0.121265, 0.113471, 0.0773663, 0.0575355, 0.0446418, 0.0296384, 0.021876, 0.0147434, 0.0115189, 0.00692981, 0.00507618, 0.00275535, 0.000930916, 0.000907396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.19526, 0.214641, 0.236212, 0.243178, 0.252324, 0.260752, 0.26901, 0.277257, 0.280615, 0.30056, 0.316193, 0.32879, 0.338963, 0.355029, 0.375812, 0.392283");
-            values ( \
-              "0.000166705, 0.028069, 0.11814, 0.139687, 0.158908, 0.165843, 0.165897, 0.157039, 0.1503, 0.0907399, 0.0544786, 0.0346763, 0.023658, 0.0126215, 0.00553488, 0.00289876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.157439, 0.233351, 0.240991, 0.248382, 0.270636, 0.282439, 0.290226, 0.306213, 0.32102, 0.335691, 0.350352, 0.3668, 0.394625, 0.416484, 0.436971, 0.449945, 0.461327, 0.476502, 0.488542, 0.501843, 0.519578, 0.555048, 0.56657");
-            values ( \
-              "0.00207251, 0.0129725, 0.0276637, 0.0476137, 0.120079, 0.152157, 0.168329, 0.189142, 0.195934, 0.193482, 0.182301, 0.156131, 0.103291, 0.0693761, 0.0459757, 0.0348481, 0.027172, 0.0193045, 0.0146629, 0.010797, 0.00711946, 0.00295107, 0.00244344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.16398, 0.279769, 0.295087, 0.307848, 0.335515, 0.354557, 0.379182, 0.409597, 0.438011, 0.466293, 0.495723, 0.51192, 0.528511, 0.561692, 0.585396, 0.603355, 0.627027, 0.644975, 0.668906, 0.691521, 0.719973, 0.752768, 0.764053, 0.7899, 0.81944, 0.878519, 0.972391, 1.07537");
-            values ( \
-              "0.0106924, 0.0176389, 0.037792, 0.0607932, 0.118439, 0.15288, 0.184954, 0.206765, 0.212497, 0.208917, 0.195194, 0.182503, 0.166524, 0.131414, 0.107838, 0.0913547, 0.0722552, 0.0599027, 0.0461013, 0.0356935, 0.0256176, 0.0172435, 0.0150508, 0.0109778, 0.00761371, 0.00353163, 0.000924275, 0.000198743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.0739928, 0.111793, 0.152394, 0.164255, 0.181365, 0.208832, 0.3018, 0.321119, 0.345252, 0.377233, 0.408997, 0.482326, 0.53222, 0.557676, 0.60859, 0.638683, 0.675663, 0.733941, 0.759704, 0.79182, 0.857865, 0.967735, 1.05259, 1.12986, 1.20744, 1.2494, 1.30855, 1.41749, 1.51202, 1.60689");
-            values ( \
-              "0.000864445, 0.00153818, 0.0189793, 0.022367, 0.0245177, 0.0227764, 0.0089224, 0.00810088, 0.0120804, 0.0272058, 0.0544392, 0.133854, 0.176552, 0.192227, 0.212055, 0.217734, 0.22022, 0.215881, 0.210939, 0.201858, 0.172999, 0.11488, 0.0765348, 0.0506137, 0.0324841, 0.0253345, 0.0177012, 0.00905311, 0.00494746, 0.00272136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.219723, 0.567622, 0.622037, 0.748591, 0.819496, 0.914036, 0.953566, 1.0057, 1.05186, 1.14417, 1.16903, 1.21874, 1.28573, 1.38871, 1.40766, 1.44555, 1.52134, 1.78621, 1.88918, 1.95287, 2.05253, 2.13536, 2.20736, 2.28509, 2.36069, 2.46367, 2.6193, 2.72228, 2.82526, 3.03121, 3.23716, 3.54609");
-            values ( \
-              "0.0250401, 0.0300433, 0.0533756, 0.122248, 0.157172, 0.191742, 0.20188, 0.211918, 0.217957, 0.223697, 0.223942, 0.223164, 0.219571, 0.208255, 0.205202, 0.19836, 0.181608, 0.112721, 0.0888518, 0.0758917, 0.0585541, 0.0467219, 0.0381965, 0.030588, 0.0245306, 0.0180702, 0.0113043, 0.00824559, 0.00601638, 0.00318486, 0.00168209, 0.000635253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.239351, 0.244698, 0.25463, 0.261798, 0.266793, 0.269315, 0.272966, 0.278148, 0.283332, 0.286286, 0.295137, 0.305365, 0.314277, 0.321627, 0.332299, 0.338098, 0.347066, 0.359024, 0.364933");
-            values ( \
-              "0.0191662, 0.0298676, 0.0772719, 0.104959, 0.117622, 0.122024, 0.126111, 0.126801, 0.12153, 0.113567, 0.0769732, 0.0456299, 0.0279522, 0.0183056, 0.00981308, 0.00700794, 0.00418165, 0.00211425, 0.00167386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.240668, 0.25849, 0.266259, 0.275304, 0.28166, 0.287045, 0.296204, 0.304637, 0.312896, 0.321144, 0.327419, 0.342803, 0.353131, 0.365479, 0.372675, 0.382853, 0.38893, 0.398919, 0.410491, 0.419695, 0.438102, 0.451363");
-            values ( \
-              "0.00279757, 0.0279007, 0.0575382, 0.0993805, 0.123318, 0.139836, 0.158587, 0.166038, 0.165655, 0.157228, 0.142743, 0.0953404, 0.0688958, 0.0450918, 0.0346787, 0.0236574, 0.0187096, 0.0126213, 0.00800225, 0.00553614, 0.00258929, 0.00173213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.196839, 0.277257, 0.284417, 0.290846, 0.298865, 0.314545, 0.327087, 0.334141, 0.348249, 0.353747, 0.359416, 0.36487, 0.375779, 0.379501, 0.386873, 0.394705, 0.410131, 0.421076, 0.437898, 0.447486, 0.462138, 0.475036, 0.483657, 0.494719, 0.503519, 0.514792, 0.530628, 0.537754, 0.547479, 0.558396, 0.579404, 0.617908, 0.672549");
-            values ( \
-              "0.0012154, 0.0129859, 0.0265628, 0.0433886, 0.0672643, 0.120108, 0.15385, 0.168365, 0.187402, 0.191578, 0.194386, 0.195578, 0.194687, 0.193191, 0.188914, 0.181301, 0.157389, 0.13569, 0.104372, 0.0882458, 0.0670775, 0.0518125, 0.0433853, 0.0341567, 0.0281787, 0.0219868, 0.0152391, 0.0129394, 0.0103327, 0.00809455, 0.00484076, 0.00189686, 0.000354233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.209037, 0.32078, 0.335789, 0.353019, 0.385853, 0.407477, 0.423133, 0.453534, 0.481988, 0.510275, 0.538553, 0.555878, 0.572496, 0.629285, 0.670964, 0.689058, 0.713183, 0.735524, 0.763647, 0.807984, 0.834132, 0.864017, 0.923786, 0.95741");
-            values ( \
-              "0.0136047, 0.0148516, 0.0326054, 0.0631035, 0.13057, 0.166164, 0.184887, 0.206579, 0.212541, 0.208802, 0.195988, 0.182514, 0.166525, 0.107933, 0.0722924, 0.059842, 0.0459494, 0.0356851, 0.0257113, 0.0150588, 0.0109447, 0.00755589, 0.00347123, 0.00255352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.117948, 0.147556, 0.157567, 0.19718, 0.209372, 0.227242, 0.241772, 0.253794, 0.34533, 0.364567, 0.388522, 0.420336, 0.452843, 0.526315, 0.576255, 0.601673, 0.65251, 0.682833, 0.71966, 0.777953, 0.803995, 0.835833, 0.892658, 1.02338, 1.10045, 1.16636, 1.21368, 1.25688, 1.31447, 1.35908, 1.40827, 1.47386, 1.57684, 1.67981, 1.693");
-            values ( \
-              "0.000936412, 0.000973406, 0.00183877, 0.0192433, 0.0225993, 0.0245596, 0.0240112, 0.0226238, 0.00895992, 0.00808587, 0.0118593, 0.0266129, 0.0542695, 0.133844, 0.176568, 0.192228, 0.212026, 0.217745, 0.220224, 0.215878, 0.210871, 0.201854, 0.177642, 0.109018, 0.0750247, 0.0527499, 0.040382, 0.0314415, 0.0222837, 0.0170002, 0.0125817, 0.00835226, 0.00429776, 0.00220156, 0.00209738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.264649, 0.672745, 0.81518, 0.859946, 0.927114, 0.970216, 1.03904, 1.0951, 1.19808, 1.21263, 1.24172, 1.29992, 1.32883, 1.38667, 1.45082, 1.5538, 1.8365, 1.99206, 2.12568, 2.22238, 2.31096, 2.3924, 2.49537, 2.58578, 2.71296, 2.91892, 3.02189, 3.22785, 3.53678");
-            values ( \
-              "0.00396844, 0.0566275, 0.134047, 0.155658, 0.181898, 0.195037, 0.210033, 0.217881, 0.223793, 0.223987, 0.22362, 0.221518, 0.219521, 0.214186, 0.205144, 0.184305, 0.111101, 0.0767727, 0.0540182, 0.0413964, 0.0321888, 0.0253385, 0.0187271, 0.0142435, 0.00968756, 0.00513451, 0.00377435, 0.00200166, 0.000735604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.328264, 0.339286, 0.344479, 0.350772, 0.358015, 0.362972, 0.36569, 0.369222, 0.374418, 0.379612, 0.382465, 0.391589, 0.400116, 0.405635, 0.411522, 0.421048, 0.427277, 0.432226, 0.43947, 0.449129, 0.460589");
-            values ( \
-              "0.000505786, 0.0221352, 0.0437874, 0.0760108, 0.104175, 0.117126, 0.121942, 0.125691, 0.126738, 0.12128, 0.113809, 0.0762498, 0.0495839, 0.0368103, 0.0264734, 0.0152665, 0.0106054, 0.00793084, 0.00522048, 0.00300378, 0.00174267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.341116, 0.354392, 0.362458, 0.369855, 0.376245, 0.383288, 0.392459, 0.400881, 0.409143, 0.417393, 0.423658, 0.439053, 0.44937, 0.461738, 0.46895, 0.479097, 0.485155, 0.495162, 0.506764, 0.515997, 0.534463, 0.546599");
-            values ( \
-              "0.0147802, 0.0264369, 0.0570965, 0.0918835, 0.117389, 0.139577, 0.158631, 0.165877, 0.16571, 0.157122, 0.142751, 0.0953321, 0.0689206, 0.0450753, 0.0346491, 0.0236617, 0.0187299, 0.0126232, 0.00799372, 0.00552638, 0.00257563, 0.00179628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.29414, 0.373554, 0.388414, 0.410883, 0.430486, 0.446453, 0.461272, 0.475942, 0.490603, 0.507062, 0.53491, 0.556907, 0.5768, 0.601785, 0.628992, 0.659078, 0.693461, 0.697603");
-            values ( \
-              "0.00145648, 0.0129232, 0.046937, 0.119967, 0.168305, 0.189192, 0.195891, 0.193536, 0.18225, 0.156111, 0.103232, 0.069145, 0.0463821, 0.0270446, 0.0145934, 0.00724729, 0.00309757, 0.00290571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.306682, 0.429566, 0.446383, 0.482278, 0.50734, 0.519623, 0.54419, 0.54996, 0.5615, 0.578338, 0.607225, 0.618728, 0.636053, 0.646167, 0.666393, 0.722376, 0.766563, 0.80312, 0.826112, 0.845307, 0.866021, 0.89547, 0.925401, 0.948221, 0.99386, 1.05122");
-            values ( \
-              "0.00148947, 0.0288081, 0.0571781, 0.130676, 0.170639, 0.18508, 0.203574, 0.206425, 0.20999, 0.212302, 0.208429, 0.204319, 0.194947, 0.187527, 0.168964, 0.110991, 0.0727856, 0.0493606, 0.0381032, 0.030546, 0.0239361, 0.0167733, 0.011661, 0.008806, 0.00493079, 0.00267407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.327038, 0.529218, 0.623191, 0.673719, 0.698507, 0.748082, 0.782114, 0.816462, 0.874996, 0.932713, 0.986468, 1.09669, 1.186, 1.25932, 1.35456, 1.45672, 1.56754, 1.67051, 1.7063");
-            values ( \
-              "0.0100772, 0.0354614, 0.133904, 0.1771, 0.192231, 0.21174, 0.218078, 0.220191, 0.215833, 0.201842, 0.179272, 0.120882, 0.079409, 0.0539157, 0.0312653, 0.0168977, 0.00853059, 0.00437425, 0.00363854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.353221, 0.760687, 0.911979, 0.958745, 1.05228, 1.09728, 1.14753, 1.19202, 1.28101, 1.30922, 1.36563, 1.42595, 1.52892, 1.54789, 1.58581, 1.66167, 1.9275, 2.03048, 2.09339, 2.19145, 2.28676, 2.34789, 2.41616, 2.49472, 2.5977, 2.64411, 2.72869, 2.81252, 2.9155, 3.01848, 3.22443, 3.43038, 3.63634");
-            values ( \
-              "0.00595832, 0.0523307, 0.134112, 0.156459, 0.190994, 0.202523, 0.212008, 0.217862, 0.223511, 0.223888, 0.222859, 0.219549, 0.208213, 0.205203, 0.198318, 0.181599, 0.112442, 0.0886452, 0.0758203, 0.0587792, 0.0452662, 0.03814, 0.0313862, 0.0249866, 0.0183874, 0.0160278, 0.0124197, 0.00963828, 0.00701965, 0.00512069, 0.00270821, 0.0014295, 0.000754343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.542301, 0.5482, 0.556613, 0.564003, 0.569976, 0.575391, 0.580644, 0.585887, 0.588435, 0.600425, 0.610655, 0.618024, 0.627271, 0.633129, 0.641121, 0.656894, 0.664622");
-            values ( \
-              "0.0301224, 0.0360992, 0.0745938, 0.102436, 0.117053, 0.12463, 0.125436, 0.120747, 0.11418, 0.067542, 0.0394055, 0.0261869, 0.015387, 0.0109233, 0.00687384, 0.00277105, 0.00199951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.550277, 0.561995, 0.582914, 0.59004, 0.599307, 0.607784, 0.616086, 0.624375, 0.627548, 0.647646, 0.663311, 0.676198, 0.686065, 0.702131, 0.723526, 0.739259");
-            values ( \
-              "0.0234837, 0.0308459, 0.115418, 0.137799, 0.157352, 0.16494, 0.165099, 0.156688, 0.150369, 0.0907989, 0.0545071, 0.0343402, 0.0237067, 0.0126513, 0.00541733, 0.00297559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.351307, 0.445947, 0.480012, 0.499236, 0.522035, 0.55046, 0.565757, 0.574002, 0.580943, 0.588216, 0.626569, 0.638111, 0.654097, 0.668927, 0.683605, 0.698274, 0.763237, 0.786776, 0.808234, 0.834927, 0.863464, 0.886197");
-            values ( \
-              "9.5011e-05, 0.000581746, 0.00580286, 0.00681346, 0.00545507, 1e-22, 2e-22, 0.005395, 0.013462, 0.0270304, 0.142686, 0.168064, 0.188996, 0.195783, 0.193445, 0.182215, 0.0709698, 0.0442036, 0.0278077, 0.0151968, 0.00785784, 0.00462667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.513689, 0.62508, 0.640285, 0.690367, 0.715559, 0.727655, 0.751848, 0.770229, 0.786347, 0.814548, 0.844027, 0.864817, 0.931289, 0.974593, 1.01139, 1.05318, 1.10342, 1.15642, 1.20229, 1.23215");
-            values ( \
-              "0.0130737, 0.0149994, 0.0329217, 0.130845, 0.171155, 0.185083, 0.20355, 0.210277, 0.212243, 0.208654, 0.19498, 0.17824, 0.110132, 0.0727787, 0.0492151, 0.0305954, 0.0167855, 0.00878236, 0.00490591, 0.00373539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.52235, 0.736781, 0.831039, 0.882005, 0.906325, 0.954966, 0.991724, 1.02425, 1.08181, 1.11721, 1.1405, 1.18706, 1.3017, 1.37808, 1.45402, 1.50681, 1.56214, 1.6018, 1.68347, 1.73175, 1.82832, 1.93129, 1.95156");
-            values ( \
-              "0.00736387, 0.0353406, 0.134038, 0.177506, 0.192299, 0.211521, 0.218303, 0.220196, 0.21599, 0.208763, 0.201837, 0.182705, 0.122312, 0.085948, 0.0578889, 0.0431235, 0.0313358, 0.024757, 0.0150728, 0.0111989, 0.00603735, 0.0031062, 0.00280585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.362913, 0.446092, 0.513752, 0.550397, 0.606494, 0.745192, 0.798241, 0.865684, 0.960311, 1.12014, 1.21991, 1.27546, 1.37844, 1.4446, 1.51748, 1.63417, 1.70732, 1.75594, 1.85319, 2.13691, 2.30189, 2.39841, 2.50139, 2.61885, 2.80478, 3.03679, 3.34572, 3.40134");
-            values ( \
-              "0.000135188, 0.00112992, 0.0261268, 0.0317115, 0.03002, 0.0135054, 0.0106734, 0.0166493, 0.0483807, 0.13438, 0.17801, 0.195268, 0.215631, 0.221783, 0.223983, 0.219669, 0.212332, 0.205233, 0.185599, 0.112195, 0.0758055, 0.0589569, 0.0444786, 0.0318982, 0.0184574, 0.00916012, 0.00353967, 0.00323404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.962438, 0.969029, 0.970404, 0.985978, 0.988647, 0.993984, 0.997066, 1.0007, 1.00615, 1.0116, 1.01392, 1.02701, 1.03031, 1.03601, 1.04345, 1.04893, 1.05534, 1.05956, 1.06815, 1.07328, 1.08353, 1.10202, 1.11624");
-            values ( \
-              "0.0203477, 0.0242685, 0.0278223, 0.0860187, 0.0946935, 0.108663, 0.114479, 0.119085, 0.121494, 0.117466, 0.112419, 0.064309, 0.0543867, 0.0401959, 0.026809, 0.019642, 0.0136149, 0.0106897, 0.00653348, 0.00487274, 0.00274228, 0.000944896, 0.000701575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.965536, 0.985177, 0.991916, 1.00949, 1.01699, 1.02659, 1.03528, 1.04374, 1.05219, 1.0548, 1.06185, 1.07039, 1.0772, 1.09003, 1.10114, 1.10917, 1.11647, 1.12621, 1.13273, 1.14029, 1.15037, 1.17054, 1.19929, 1.23128");
-            values ( \
-              "0.00434055, 0.0235245, 0.0431135, 0.108881, 0.131983, 0.152696, 0.161504, 0.162525, 0.154925, 0.150083, 0.131463, 0.104659, 0.085927, 0.0565632, 0.0382994, 0.0284387, 0.0215713, 0.0147502, 0.01144, 0.00850419, 0.00568403, 0.00247198, 0.000694684, 0.000346996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.664524, 0.841154, 0.85831, 0.899871, 0.91632, 0.933004, 0.945169, 0.980406, 0.993778, 1.004, 1.01013, 1.01897, 1.02694, 1.05802, 1.06731, 1.08348, 1.09842, 1.11318, 1.12844, 1.14375, 1.17537, 1.1972, 1.21588, 1.23256, 1.2425, 1.26224, 1.2724, 1.28951, 1.31234, 1.33964");
-            values ( \
-              "1.6308e-05, 0.000258523, 0.000965164, 0.00460939, 0.00494199, 0.00475856, 0.00400078, 1e-22, 0.00160683, 0.00889065, 0.0165693, 0.0333077, 0.0536276, 0.14617, 0.165643, 0.187413, 0.194472, 0.192664, 0.180913, 0.156882, 0.0977189, 0.0653018, 0.0447357, 0.0320331, 0.0257656, 0.0164248, 0.0130283, 0.00877078, 0.005098, 0.00297449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.67291, 0.841715, 0.858817, 0.900383, 0.933746, 0.946468, 0.965945, 1.01162, 1.02345, 1.03573, 1.04966, 1.06614, 1.0842, 1.12117, 1.14652, 1.15852, 1.18252, 1.18889, 1.20163, 1.21997, 1.23522, 1.24563, 1.2659, 1.27513, 1.30793, 1.36321, 1.40565, 1.44398, 1.48366, 1.50467, 1.53435, 1.56511, 1.58867, 1.63577, 1.68801");
-            values ( \
-              "2.17031e-05, 0.000388534, 0.00138913, 0.00782069, 0.0107109, 0.0108068, 0.00981128, 0.00452762, 0.00375643, 0.00520684, 0.0114611, 0.027283, 0.0563689, 0.130813, 0.170984, 0.184771, 0.203039, 0.206036, 0.21001, 0.21128, 0.21019, 0.207958, 0.200137, 0.194661, 0.166329, 0.109403, 0.0728557, 0.0484414, 0.030849, 0.0240839, 0.0168394, 0.0115892, 0.00866384, 0.00476208, 0.00277277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.678021, 0.818192, 0.840365, 0.84842, 0.857652, 0.865727, 0.879608, 0.907189, 0.932937, 0.945095, 0.962765, 0.978524, 0.991522, 1.02025, 1.04434, 1.07716, 1.09739, 1.12334, 1.15719, 1.1893, 1.26124, 1.29243, 1.32801, 1.33655, 1.35363, 1.38779, 1.41673, 1.45456, 1.51142, 1.53366, 1.55175, 1.57086, 1.60124, 1.63684, 1.74631, 1.77715, 1.83135, 1.87642, 1.90935, 1.95179, 1.98603, 2.02814, 2.08739, 2.11375, 2.14946, 2.19706, 2.29228, 2.39525, 2.49823, 2.60121");
-            values ( \
-              "2.59495e-05, 0.000220255, 0.000479003, 0.000842709, 0.00162784, 0.00281128, 0.00561443, 0.0121937, 0.016908, 0.0182579, 0.0191005, 0.0187374, 0.0181477, 0.0163606, 0.0137068, 0.00945972, 0.00835095, 0.0121339, 0.0279871, 0.0556144, 0.133181, 0.162085, 0.186913, 0.191908, 0.199938, 0.211858, 0.217426, 0.219902, 0.216085, 0.211964, 0.207596, 0.201781, 0.190006, 0.172961, 0.115083, 0.100024, 0.0766153, 0.0604614, 0.0504721, 0.0396895, 0.0325558, 0.0253745, 0.0177144, 0.0150902, 0.0121252, 0.009022, 0.00490368, 0.00251209, 0.00128407, 0.000653978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.686164, 0.820163, 0.850527, 0.946718, 0.965574, 1.00407, 1.0266, 1.05383, 1.16411, 1.21288, 1.24979, 1.29689, 1.34958, 1.60852, 1.70952, 1.81249, 1.87825, 1.95134, 2.068, 2.1411, 2.18976, 2.28706, 2.57071, 2.73566, 2.83231, 2.93529, 3.05251, 3.13551, 3.23849, 3.32273, 3.47089, 3.57387, 3.77982, 3.84251");
-            values ( \
-              "3.195e-05, 0.000265278, 0.000977386, 0.0241304, 0.0268433, 0.0288546, 0.0290756, 0.0276752, 0.0149406, 0.0110445, 0.0112136, 0.0166614, 0.0305358, 0.160283, 0.195618, 0.215787, 0.221858, 0.224101, 0.219744, 0.212394, 0.205224, 0.185622, 0.112217, 0.0758298, 0.0589253, 0.0444805, 0.0319213, 0.0250426, 0.0184725, 0.0143404, 0.00914482, 0.00668465, 0.00354265, 0.00319703" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0070088", \
-            "0.00893343", \
-            "0.0101256", \
-            "0.0107079", \
-            "0.0109698", \
-            "0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100415", \
-            "0.0103029", \
-            "0.00952997", \
-            "0.00886385", \
-            "0.00859958", \
-            "0.00848352" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00692961, 0.00693093, 0.00693232, 0.00693339, 0.00693407, 0.00693444", \
-            "0.00817791, 0.00817844, 0.00817921, 0.00817996, 0.00818051, 0.00818084", \
-            "0.00893354, 0.00893362, 0.00893384, 0.00893418, 0.00893451, 0.00893475", \
-            "0.00937869, 0.0093787, 0.00937874, 0.00937884, 0.00937899, 0.00937913", \
-            "0.00961149, 0.0096115, 0.00961151, 0.00961154, 0.0096116, 0.00961167", \
-            "0.00975057, 0.00975057, 0.0097506, 0.00975062, 0.00975064, 0.00975067" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00970505, 0.00970569, 0.00970669, 0.00970777, 0.00970855, 0.00970902", \
-            "0.0106204, 0.0106205, 0.0106208, 0.0106214, 0.0106219, 0.0106223", \
-            "0.0110018, 0.0110021, 0.0110024, 0.0110028, 0.0110032, 0.0110036", \
-            "0.0112658, 0.0112648, 0.011264, 0.0112635, 0.0112633, 0.0112633", \
-            "0.0119157, 0.0119137, 0.0119107, 0.0119073, 0.0119045, 0.0119026", \
-            "0.0123703, 0.0124159, 0.012437, 0.0124489, 0.0124558, 0.0124607" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.20276, 0.237562, 0.304186, 0.434733, 0.701073, 1.25382", \
-            "0.208624, 0.243432, 0.31009, 0.440735, 0.706892, 1.25986", \
-            "0.221774, 0.256575, 0.323195, 0.453925, 0.720109, 1.27249", \
-            "0.249817, 0.284655, 0.351399, 0.482102, 0.748298, 1.30106", \
-            "0.305501, 0.340884, 0.408204, 0.539171, 0.805457, 1.35871", \
-            "0.398169, 0.435751, 0.50524, 0.637575, 0.904028, 1.45867" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0350138, 0.0557014, 0.0988886, 0.190395, 0.382594, 0.783912", \
-            "0.035023, 0.055698, 0.0989201, 0.190385, 0.38241, 0.78356", \
-            "0.0350283, 0.0557082, 0.0988872, 0.190421, 0.382321, 0.783984", \
-            "0.0350968, 0.0557266, 0.0989187, 0.190248, 0.382428, 0.783736", \
-            "0.0354233, 0.0559799, 0.0989678, 0.190212, 0.38234, 0.783782", \
-            "0.0366897, 0.056992, 0.0995169, 0.190514, 0.382499, 0.783526" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.173992, 0.180991, 0.190838, 0.197991, 0.202984, 0.205491, 0.209149, 0.214329, 0.219607, 0.222487, 0.231272, 0.241736, 0.249652, 0.257783, 0.265043, 0.271513, 0.284385, 0.295695, 0.302289");
-            values ( \
-              "0.00696963, 0.0304844, 0.0773915, 0.104955, 0.117883, 0.122261, 0.125998, 0.127014, 0.121251, 0.113515, 0.0771607, 0.0451552, 0.0292549, 0.0183282, 0.0120331, 0.00820799, 0.00394256, 0.00204368, 0.0015832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00759666");
-            index_3 ("0.175901, 0.194655, 0.219027, 0.223219, 0.232381, 0.240801, 0.249059, 0.257306, 0.263584, 0.278965, 0.289295, 0.301639, 0.308832, 0.319017, 0.335083, 0.355846, 0.374241, 0.383423");
-            values ( \
-              "0.00126921, 0.0278987, 0.127443, 0.139869, 0.158682, 0.166022, 0.165707, 0.1572, 0.142739, 0.0953391, 0.0688941, 0.0450923, 0.0346887, 0.0236533, 0.0126177, 0.0055408, 0.00258948, 0.00199688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158528");
-            index_3 ("0.137905, 0.213519, 0.221464, 0.229062, 0.250794, 0.263663, 0.270404, 0.283885, 0.291236, 0.301138, 0.315816, 0.330493, 0.33838, 0.346793, 0.363364, 0.381293, 0.396209, 0.404835, 0.418454, 0.430434, 0.440794, 0.451703, 0.467258, 0.483058, 0.495104, 0.519196, 0.553604");
-            values ( \
-              "0.0021375, 0.0129667, 0.0283763, 0.0490562, 0.120117, 0.154535, 0.168405, 0.186763, 0.19226, 0.195711, 0.193314, 0.182248, 0.171174, 0.156381, 0.12407, 0.0921388, 0.0699869, 0.0590873, 0.0446853, 0.0345922, 0.0275841, 0.0216173, 0.0151515, 0.0105411, 0.00796082, 0.00447271, 0.00215523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0330817");
-            index_3 ("0.147464, 0.258867, 0.274015, 0.322148, 0.348522, 0.359368, 0.376046, 0.389665, 0.418158, 0.446462, 0.474766, 0.508705, 0.564922, 0.606885, 0.651118, 0.671826, 0.697986, 0.743849, 0.771836, 0.80382, 0.86779, 0.880035");
-            values ( \
-              "0.0125284, 0.0165392, 0.0355581, 0.130511, 0.172357, 0.18483, 0.198723, 0.206126, 0.21228, 0.208675, 0.195904, 0.166498, 0.108483, 0.0725013, 0.0450673, 0.0356456, 0.026287, 0.0151152, 0.0107396, 0.0072174, 0.00311728, 0.00281844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0690353");
-            index_3 ("0.158637, 0.364639, 0.486563, 0.537877, 0.598904, 0.656091, 0.713018, 0.772335, 0.838347, 0.954328, 1.03571, 1.10428, 1.19213, 1.29438, 1.35752, 1.44171, 1.54469, 1.56934");
-            values ( \
-              "0.0109711, 0.032347, 0.156451, 0.192273, 0.214802, 0.220507, 0.216349, 0.202049, 0.173033, 0.111795, 0.0755094, 0.0523186, 0.0316769, 0.0171326, 0.0116319, 0.00681893, 0.00351231, 0.00309934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.144064");
-            index_3 ("0.195038, 0.604139, 0.751515, 0.833113, 0.906803, 1.00978, 1.03187, 1.07606, 1.14889, 1.25187, 1.2656, 1.29306, 1.33877, 1.38738, 1.4846, 1.76835, 1.83807, 1.92754, 1.99051, 2.06332, 2.15936, 2.24643, 2.32822, 2.4312, 2.52253, 2.66321, 2.76619, 2.86917, 2.97214, 3.1781, 3.48703");
-            values ( \
-              "0.00486482, 0.0542406, 0.134188, 0.170988, 0.195164, 0.215503, 0.21812, 0.221689, 0.223984, 0.220615, 0.219685, 0.217314, 0.212352, 0.205191, 0.185632, 0.112215, 0.0956856, 0.0769396, 0.0654524, 0.0538666, 0.0413164, 0.0322608, 0.0254068, 0.0187426, 0.0142503, 0.0093035, 0.0067932, 0.00493711, 0.00359799, 0.00189955, 0.000722041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.19344, 0.200831, 0.210666, 0.214376, 0.217814, 0.223654, 0.228979, 0.234166, 0.239441, 0.242343, 0.251057, 0.257017, 0.261778, 0.269252, 0.274535, 0.281371, 0.285627, 0.294349, 0.299674, 0.310323, 0.328496, 0.329844");
-            values ( \
-              "0.00486807, 0.0305958, 0.077181, 0.093001, 0.104825, 0.119698, 0.126071, 0.127135, 0.121265, 0.113471, 0.0773663, 0.0575355, 0.0446418, 0.0296384, 0.021876, 0.0147434, 0.0115189, 0.00692981, 0.00507618, 0.00275535, 0.000930916, 0.000907396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00759666");
-            index_3 ("0.19526, 0.214641, 0.236212, 0.243178, 0.252324, 0.260752, 0.26901, 0.277257, 0.280615, 0.30056, 0.316193, 0.32879, 0.338963, 0.355029, 0.375812, 0.392283");
-            values ( \
-              "0.000166705, 0.028069, 0.11814, 0.139687, 0.158908, 0.165843, 0.165897, 0.157039, 0.1503, 0.0907399, 0.0544786, 0.0346763, 0.023658, 0.0126215, 0.00553488, 0.00289876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158528");
-            index_3 ("0.157439, 0.233351, 0.240991, 0.248382, 0.270636, 0.282439, 0.290226, 0.306213, 0.32102, 0.335691, 0.350352, 0.3668, 0.394625, 0.416484, 0.436971, 0.449945, 0.461327, 0.476502, 0.488542, 0.501843, 0.519578, 0.555048, 0.56657");
-            values ( \
-              "0.00207251, 0.0129725, 0.0276637, 0.0476137, 0.120079, 0.152157, 0.168329, 0.189142, 0.195934, 0.193482, 0.182301, 0.156131, 0.103291, 0.0693761, 0.0459757, 0.0348481, 0.027172, 0.0193045, 0.0146629, 0.010797, 0.00711946, 0.00295107, 0.00244344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0330817");
-            index_3 ("0.16398, 0.279769, 0.295087, 0.307848, 0.335515, 0.354557, 0.379182, 0.409597, 0.438011, 0.466293, 0.495723, 0.51192, 0.528511, 0.561692, 0.585396, 0.603355, 0.627027, 0.644975, 0.668906, 0.691521, 0.719973, 0.752768, 0.764053, 0.7899, 0.81944, 0.878519, 0.972391, 1.07537");
-            values ( \
-              "0.0106924, 0.0176389, 0.037792, 0.0607932, 0.118439, 0.15288, 0.184954, 0.206765, 0.212497, 0.208917, 0.195194, 0.182503, 0.166524, 0.131414, 0.107838, 0.0913547, 0.0722552, 0.0599027, 0.0461013, 0.0356935, 0.0256176, 0.0172435, 0.0150508, 0.0109778, 0.00761371, 0.00353163, 0.000924275, 0.000198743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0690353");
-            index_3 ("0.0739928, 0.111793, 0.152394, 0.164255, 0.181365, 0.208832, 0.3018, 0.321119, 0.345252, 0.377233, 0.408997, 0.482326, 0.53222, 0.557676, 0.60859, 0.638683, 0.675663, 0.733941, 0.759704, 0.79182, 0.857865, 0.967735, 1.05259, 1.12986, 1.20744, 1.2494, 1.30855, 1.41749, 1.51202, 1.60689");
-            values ( \
-              "0.000864445, 0.00153818, 0.0189793, 0.022367, 0.0245177, 0.0227764, 0.0089224, 0.00810088, 0.0120804, 0.0272058, 0.0544392, 0.133854, 0.176552, 0.192227, 0.212055, 0.217734, 0.22022, 0.215881, 0.210939, 0.201858, 0.172999, 0.11488, 0.0765348, 0.0506137, 0.0324841, 0.0253345, 0.0177012, 0.00905311, 0.00494746, 0.00272136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.144064");
-            index_3 ("0.219723, 0.567622, 0.622037, 0.748591, 0.819496, 0.914036, 0.953566, 1.0057, 1.05186, 1.14417, 1.16903, 1.21874, 1.28573, 1.38871, 1.40766, 1.44555, 1.52134, 1.78621, 1.88918, 1.95287, 2.05253, 2.13536, 2.20736, 2.28509, 2.36069, 2.46367, 2.6193, 2.72228, 2.82526, 3.03121, 3.23716, 3.54609");
-            values ( \
-              "0.0250401, 0.0300433, 0.0533756, 0.122248, 0.157172, 0.191742, 0.20188, 0.211918, 0.217957, 0.223697, 0.223942, 0.223164, 0.219571, 0.208255, 0.205202, 0.19836, 0.181608, 0.112721, 0.0888518, 0.0758917, 0.0585541, 0.0467219, 0.0381965, 0.030588, 0.0245306, 0.0180702, 0.0113043, 0.00824559, 0.00601638, 0.00318486, 0.00168209, 0.000635253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.239351, 0.244698, 0.25463, 0.261798, 0.266793, 0.269315, 0.272966, 0.278148, 0.283332, 0.286286, 0.295137, 0.305365, 0.314277, 0.321627, 0.332299, 0.338098, 0.347066, 0.359024, 0.364933");
-            values ( \
-              "0.0191662, 0.0298676, 0.0772719, 0.104959, 0.117622, 0.122024, 0.126111, 0.126801, 0.12153, 0.113567, 0.0769732, 0.0456299, 0.0279522, 0.0183056, 0.00981308, 0.00700794, 0.00418165, 0.00211425, 0.00167386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00759666");
-            index_3 ("0.240668, 0.25849, 0.266259, 0.275304, 0.28166, 0.287045, 0.296204, 0.304637, 0.312896, 0.321144, 0.327419, 0.342803, 0.353131, 0.365479, 0.372675, 0.382853, 0.38893, 0.398919, 0.410491, 0.419695, 0.438102, 0.451363");
-            values ( \
-              "0.00279757, 0.0279007, 0.0575382, 0.0993805, 0.123318, 0.139836, 0.158587, 0.166038, 0.165655, 0.157228, 0.142743, 0.0953404, 0.0688958, 0.0450918, 0.0346787, 0.0236574, 0.0187096, 0.0126213, 0.00800225, 0.00553614, 0.00258929, 0.00173213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158528");
-            index_3 ("0.196839, 0.277257, 0.284417, 0.290846, 0.298865, 0.314545, 0.327087, 0.334141, 0.348249, 0.353747, 0.359416, 0.36487, 0.375779, 0.379501, 0.386873, 0.394705, 0.410131, 0.421076, 0.437898, 0.447486, 0.462138, 0.475036, 0.483657, 0.494719, 0.503519, 0.514792, 0.530628, 0.537754, 0.547479, 0.558396, 0.579404, 0.617908, 0.672549");
-            values ( \
-              "0.0012154, 0.0129859, 0.0265628, 0.0433886, 0.0672643, 0.120108, 0.15385, 0.168365, 0.187402, 0.191578, 0.194386, 0.195578, 0.194687, 0.193191, 0.188914, 0.181301, 0.157389, 0.13569, 0.104372, 0.0882458, 0.0670775, 0.0518125, 0.0433853, 0.0341567, 0.0281787, 0.0219868, 0.0152391, 0.0129394, 0.0103327, 0.00809455, 0.00484076, 0.00189686, 0.000354233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0330817");
-            index_3 ("0.209037, 0.32078, 0.335789, 0.353019, 0.385853, 0.407477, 0.423133, 0.453534, 0.481988, 0.510275, 0.538553, 0.555878, 0.572496, 0.629285, 0.670964, 0.689058, 0.713183, 0.735524, 0.763647, 0.807984, 0.834132, 0.864017, 0.923786, 0.95741");
-            values ( \
-              "0.0136047, 0.0148516, 0.0326054, 0.0631035, 0.13057, 0.166164, 0.184887, 0.206579, 0.212541, 0.208802, 0.195988, 0.182514, 0.166525, 0.107933, 0.0722924, 0.059842, 0.0459494, 0.0356851, 0.0257113, 0.0150588, 0.0109447, 0.00755589, 0.00347123, 0.00255352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0690353");
-            index_3 ("0.117948, 0.147556, 0.157567, 0.19718, 0.209372, 0.227242, 0.241772, 0.253794, 0.34533, 0.364567, 0.388522, 0.420336, 0.452843, 0.526315, 0.576255, 0.601673, 0.65251, 0.682833, 0.71966, 0.777953, 0.803995, 0.835833, 0.892658, 1.02338, 1.10045, 1.16636, 1.21368, 1.25688, 1.31447, 1.35908, 1.40827, 1.47386, 1.57684, 1.67981, 1.693");
-            values ( \
-              "0.000936412, 0.000973406, 0.00183877, 0.0192433, 0.0225993, 0.0245596, 0.0240112, 0.0226238, 0.00895992, 0.00808587, 0.0118593, 0.0266129, 0.0542695, 0.133844, 0.176568, 0.192228, 0.212026, 0.217745, 0.220224, 0.215878, 0.210871, 0.201854, 0.177642, 0.109018, 0.0750247, 0.0527499, 0.040382, 0.0314415, 0.0222837, 0.0170002, 0.0125817, 0.00835226, 0.00429776, 0.00220156, 0.00209738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.144064");
-            index_3 ("0.264649, 0.672745, 0.81518, 0.859946, 0.927114, 0.970216, 1.03904, 1.0951, 1.19808, 1.21263, 1.24172, 1.29992, 1.32883, 1.38667, 1.45082, 1.5538, 1.8365, 1.99206, 2.12568, 2.22238, 2.31096, 2.3924, 2.49537, 2.58578, 2.71296, 2.91892, 3.02189, 3.22785, 3.53678");
-            values ( \
-              "0.00396844, 0.0566275, 0.134047, 0.155658, 0.181898, 0.195037, 0.210033, 0.217881, 0.223793, 0.223987, 0.22362, 0.221518, 0.219521, 0.214186, 0.205144, 0.184305, 0.111101, 0.0767727, 0.0540182, 0.0413964, 0.0321888, 0.0253385, 0.0187271, 0.0142435, 0.00968756, 0.00513451, 0.00377435, 0.00200166, 0.000735604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.328264, 0.339286, 0.344479, 0.350772, 0.358015, 0.362972, 0.36569, 0.369222, 0.374418, 0.379612, 0.382465, 0.391589, 0.400116, 0.405635, 0.411522, 0.421048, 0.427277, 0.432226, 0.43947, 0.449129, 0.460589");
-            values ( \
-              "0.000505786, 0.0221352, 0.0437874, 0.0760108, 0.104175, 0.117126, 0.121942, 0.125691, 0.126738, 0.12128, 0.113809, 0.0762498, 0.0495839, 0.0368103, 0.0264734, 0.0152665, 0.0106054, 0.00793084, 0.00522048, 0.00300378, 0.00174267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00759666");
-            index_3 ("0.341116, 0.354392, 0.362458, 0.369855, 0.376245, 0.383288, 0.392459, 0.400881, 0.409143, 0.417393, 0.423658, 0.439053, 0.44937, 0.461738, 0.46895, 0.479097, 0.485155, 0.495162, 0.506764, 0.515997, 0.534463, 0.546599");
-            values ( \
-              "0.0147802, 0.0264369, 0.0570965, 0.0918835, 0.117389, 0.139577, 0.158631, 0.165877, 0.16571, 0.157122, 0.142751, 0.0953321, 0.0689206, 0.0450753, 0.0346491, 0.0236617, 0.0187299, 0.0126232, 0.00799372, 0.00552638, 0.00257563, 0.00179628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158528");
-            index_3 ("0.29414, 0.373554, 0.388414, 0.410883, 0.430486, 0.446453, 0.461272, 0.475942, 0.490603, 0.507062, 0.53491, 0.556907, 0.5768, 0.601785, 0.628992, 0.659078, 0.693461, 0.697603");
-            values ( \
-              "0.00145648, 0.0129232, 0.046937, 0.119967, 0.168305, 0.189192, 0.195891, 0.193536, 0.18225, 0.156111, 0.103232, 0.069145, 0.0463821, 0.0270446, 0.0145934, 0.00724729, 0.00309757, 0.00290571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0330817");
-            index_3 ("0.306682, 0.429566, 0.446383, 0.482278, 0.50734, 0.519623, 0.54419, 0.54996, 0.5615, 0.578338, 0.607225, 0.618728, 0.636053, 0.646167, 0.666393, 0.722376, 0.766563, 0.80312, 0.826112, 0.845307, 0.866021, 0.89547, 0.925401, 0.948221, 0.99386, 1.05122");
-            values ( \
-              "0.00148947, 0.0288081, 0.0571781, 0.130676, 0.170639, 0.18508, 0.203574, 0.206425, 0.20999, 0.212302, 0.208429, 0.204319, 0.194947, 0.187527, 0.168964, 0.110991, 0.0727856, 0.0493606, 0.0381032, 0.030546, 0.0239361, 0.0167733, 0.011661, 0.008806, 0.00493079, 0.00267407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0690353");
-            index_3 ("0.327038, 0.529218, 0.623191, 0.673719, 0.698507, 0.748082, 0.782114, 0.816462, 0.874996, 0.932713, 0.986468, 1.09669, 1.186, 1.25932, 1.35456, 1.45672, 1.56754, 1.67051, 1.7063");
-            values ( \
-              "0.0100772, 0.0354614, 0.133904, 0.1771, 0.192231, 0.21174, 0.218078, 0.220191, 0.215833, 0.201842, 0.179272, 0.120882, 0.079409, 0.0539157, 0.0312653, 0.0168977, 0.00853059, 0.00437425, 0.00363854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.144064");
-            index_3 ("0.353221, 0.760687, 0.911979, 0.958745, 1.05228, 1.09728, 1.14753, 1.19202, 1.28101, 1.30922, 1.36563, 1.42595, 1.52892, 1.54789, 1.58581, 1.66167, 1.9275, 2.03048, 2.09339, 2.19145, 2.28676, 2.34789, 2.41616, 2.49472, 2.5977, 2.64411, 2.72869, 2.81252, 2.9155, 3.01848, 3.22443, 3.43038, 3.63634");
-            values ( \
-              "0.00595832, 0.0523307, 0.134112, 0.156459, 0.190994, 0.202523, 0.212008, 0.217862, 0.223511, 0.223888, 0.222859, 0.219549, 0.208213, 0.205203, 0.198318, 0.181599, 0.112442, 0.0886452, 0.0758203, 0.0587792, 0.0452662, 0.03814, 0.0313862, 0.0249866, 0.0183874, 0.0160278, 0.0124197, 0.00963828, 0.00701965, 0.00512069, 0.00270821, 0.0014295, 0.000754343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.542301, 0.5482, 0.556613, 0.564003, 0.569976, 0.575391, 0.580644, 0.585887, 0.588435, 0.600425, 0.610655, 0.618024, 0.627271, 0.633129, 0.641121, 0.656894, 0.664622");
-            values ( \
-              "0.0301224, 0.0360992, 0.0745938, 0.102436, 0.117053, 0.12463, 0.125436, 0.120747, 0.11418, 0.067542, 0.0394055, 0.0261869, 0.015387, 0.0109233, 0.00687384, 0.00277105, 0.00199951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00759666");
-            index_3 ("0.550277, 0.561995, 0.582914, 0.59004, 0.599307, 0.607784, 0.616086, 0.624375, 0.627548, 0.647646, 0.663311, 0.676198, 0.686065, 0.702131, 0.723526, 0.739259");
-            values ( \
-              "0.0234837, 0.0308459, 0.115418, 0.137799, 0.157352, 0.16494, 0.165099, 0.156688, 0.150369, 0.0907989, 0.0545071, 0.0343402, 0.0237067, 0.0126513, 0.00541733, 0.00297559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158528");
-            index_3 ("0.351307, 0.445947, 0.480012, 0.499236, 0.522035, 0.55046, 0.565757, 0.574002, 0.580943, 0.588216, 0.626569, 0.638111, 0.654097, 0.668927, 0.683605, 0.698274, 0.763237, 0.786776, 0.808234, 0.834927, 0.863464, 0.886197");
-            values ( \
-              "9.5011e-05, 0.000581746, 0.00580286, 0.00681346, 0.00545507, 1e-22, 2e-22, 0.005395, 0.013462, 0.0270304, 0.142686, 0.168064, 0.188996, 0.195783, 0.193445, 0.182215, 0.0709698, 0.0442036, 0.0278077, 0.0151968, 0.00785784, 0.00462667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0330817");
-            index_3 ("0.513689, 0.62508, 0.640285, 0.690367, 0.715559, 0.727655, 0.751848, 0.770229, 0.786347, 0.814548, 0.844027, 0.864817, 0.931289, 0.974593, 1.01139, 1.05318, 1.10342, 1.15642, 1.20229, 1.23215");
-            values ( \
-              "0.0130737, 0.0149994, 0.0329217, 0.130845, 0.171155, 0.185083, 0.20355, 0.210277, 0.212243, 0.208654, 0.19498, 0.17824, 0.110132, 0.0727787, 0.0492151, 0.0305954, 0.0167855, 0.00878236, 0.00490591, 0.00373539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0690353");
-            index_3 ("0.52235, 0.736781, 0.831039, 0.882005, 0.906325, 0.954966, 0.991724, 1.02425, 1.08181, 1.11721, 1.1405, 1.18706, 1.3017, 1.37808, 1.45402, 1.50681, 1.56214, 1.6018, 1.68347, 1.73175, 1.82832, 1.93129, 1.95156");
-            values ( \
-              "0.00736387, 0.0353406, 0.134038, 0.177506, 0.192299, 0.211521, 0.218303, 0.220196, 0.21599, 0.208763, 0.201837, 0.182705, 0.122312, 0.085948, 0.0578889, 0.0431235, 0.0313358, 0.024757, 0.0150728, 0.0111989, 0.00603735, 0.0031062, 0.00280585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.144064");
-            index_3 ("0.362913, 0.446092, 0.513752, 0.550397, 0.606494, 0.745192, 0.798241, 0.865684, 0.960311, 1.12014, 1.21991, 1.27546, 1.37844, 1.4446, 1.51748, 1.63417, 1.70732, 1.75594, 1.85319, 2.13691, 2.30189, 2.39841, 2.50139, 2.61885, 2.80478, 3.03679, 3.34572, 3.40134");
-            values ( \
-              "0.000135188, 0.00112992, 0.0261268, 0.0317115, 0.03002, 0.0135054, 0.0106734, 0.0166493, 0.0483807, 0.13438, 0.17801, 0.195268, 0.215631, 0.221783, 0.223983, 0.219669, 0.212332, 0.205233, 0.185599, 0.112195, 0.0758055, 0.0589569, 0.0444786, 0.0318982, 0.0184574, 0.00916012, 0.00353967, 0.00323404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.962438, 0.969029, 0.970404, 0.985978, 0.988647, 0.993984, 0.997066, 1.0007, 1.00615, 1.0116, 1.01392, 1.02701, 1.03031, 1.03601, 1.04345, 1.04893, 1.05534, 1.05956, 1.06815, 1.07328, 1.08353, 1.10202, 1.11624");
-            values ( \
-              "0.0203477, 0.0242685, 0.0278223, 0.0860187, 0.0946935, 0.108663, 0.114479, 0.119085, 0.121494, 0.117466, 0.112419, 0.064309, 0.0543867, 0.0401959, 0.026809, 0.019642, 0.0136149, 0.0106897, 0.00653348, 0.00487274, 0.00274228, 0.000944896, 0.000701575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00759666");
-            index_3 ("0.965536, 0.985177, 0.991916, 1.00949, 1.01699, 1.02659, 1.03528, 1.04374, 1.05219, 1.0548, 1.06185, 1.07039, 1.0772, 1.09003, 1.10114, 1.10917, 1.11647, 1.12621, 1.13273, 1.14029, 1.15037, 1.17054, 1.19929, 1.23128");
-            values ( \
-              "0.00434055, 0.0235245, 0.0431135, 0.108881, 0.131983, 0.152696, 0.161504, 0.162525, 0.154925, 0.150083, 0.131463, 0.104659, 0.085927, 0.0565632, 0.0382994, 0.0284387, 0.0215713, 0.0147502, 0.01144, 0.00850419, 0.00568403, 0.00247198, 0.000694684, 0.000346996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158528");
-            index_3 ("0.664524, 0.841154, 0.85831, 0.899871, 0.91632, 0.933004, 0.945169, 0.980406, 0.993778, 1.004, 1.01013, 1.01897, 1.02694, 1.05802, 1.06731, 1.08348, 1.09842, 1.11318, 1.12844, 1.14375, 1.17537, 1.1972, 1.21588, 1.23256, 1.2425, 1.26224, 1.2724, 1.28951, 1.31234, 1.33964");
-            values ( \
-              "1.6308e-05, 0.000258523, 0.000965164, 0.00460939, 0.00494199, 0.00475856, 0.00400078, 1e-22, 0.00160683, 0.00889065, 0.0165693, 0.0333077, 0.0536276, 0.14617, 0.165643, 0.187413, 0.194472, 0.192664, 0.180913, 0.156882, 0.0977189, 0.0653018, 0.0447357, 0.0320331, 0.0257656, 0.0164248, 0.0130283, 0.00877078, 0.005098, 0.00297449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0330817");
-            index_3 ("0.67291, 0.841715, 0.858817, 0.900383, 0.933746, 0.946468, 0.965945, 1.01162, 1.02345, 1.03573, 1.04966, 1.06614, 1.0842, 1.12117, 1.14652, 1.15852, 1.18252, 1.18889, 1.20163, 1.21997, 1.23522, 1.24563, 1.2659, 1.27513, 1.30793, 1.36321, 1.40565, 1.44398, 1.48366, 1.50467, 1.53435, 1.56511, 1.58867, 1.63577, 1.68801");
-            values ( \
-              "2.17031e-05, 0.000388534, 0.00138913, 0.00782069, 0.0107109, 0.0108068, 0.00981128, 0.00452762, 0.00375643, 0.00520684, 0.0114611, 0.027283, 0.0563689, 0.130813, 0.170984, 0.184771, 0.203039, 0.206036, 0.21001, 0.21128, 0.21019, 0.207958, 0.200137, 0.194661, 0.166329, 0.109403, 0.0728557, 0.0484414, 0.030849, 0.0240839, 0.0168394, 0.0115892, 0.00866384, 0.00476208, 0.00277277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0690353");
-            index_3 ("0.678021, 0.818192, 0.840365, 0.84842, 0.857652, 0.865727, 0.879608, 0.907189, 0.932937, 0.945095, 0.962765, 0.978524, 0.991522, 1.02025, 1.04434, 1.07716, 1.09739, 1.12334, 1.15719, 1.1893, 1.26124, 1.29243, 1.32801, 1.33655, 1.35363, 1.38779, 1.41673, 1.45456, 1.51142, 1.53366, 1.55175, 1.57086, 1.60124, 1.63684, 1.74631, 1.77715, 1.83135, 1.87642, 1.90935, 1.95179, 1.98603, 2.02814, 2.08739, 2.11375, 2.14946, 2.19706, 2.29228, 2.39525, 2.49823, 2.60121");
-            values ( \
-              "2.59495e-05, 0.000220255, 0.000479003, 0.000842709, 0.00162784, 0.00281128, 0.00561443, 0.0121937, 0.016908, 0.0182579, 0.0191005, 0.0187374, 0.0181477, 0.0163606, 0.0137068, 0.00945972, 0.00835095, 0.0121339, 0.0279871, 0.0556144, 0.133181, 0.162085, 0.186913, 0.191908, 0.199938, 0.211858, 0.217426, 0.219902, 0.216085, 0.211964, 0.207596, 0.201781, 0.190006, 0.172961, 0.115083, 0.100024, 0.0766153, 0.0604614, 0.0504721, 0.0396895, 0.0325558, 0.0253745, 0.0177144, 0.0150902, 0.0121252, 0.009022, 0.00490368, 0.00251209, 0.00128407, 0.000653978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.144064");
-            index_3 ("0.686164, 0.820163, 0.850527, 0.946718, 0.965574, 1.00407, 1.0266, 1.05383, 1.16411, 1.21288, 1.24979, 1.29689, 1.34958, 1.60852, 1.70952, 1.81249, 1.87825, 1.95134, 2.068, 2.1411, 2.18976, 2.28706, 2.57071, 2.73566, 2.83231, 2.93529, 3.05251, 3.13551, 3.23849, 3.32273, 3.47089, 3.57387, 3.77982, 3.84251");
-            values ( \
-              "3.195e-05, 0.000265278, 0.000977386, 0.0241304, 0.0268433, 0.0288546, 0.0290756, 0.0276752, 0.0149406, 0.0110445, 0.0112136, 0.0166614, 0.0305358, 0.160283, 0.195618, 0.215787, 0.221858, 0.224101, 0.219744, 0.212394, 0.205224, 0.185622, 0.112217, 0.0758298, 0.0589253, 0.0444805, 0.0319213, 0.0250426, 0.0184725, 0.0143404, 0.00914482, 0.00668465, 0.00354265, 0.00319703" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0070088", \
-            "0.00893343", \
-            "0.0101256", \
-            "0.0107079", \
-            "0.0109698", \
-            "0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100415", \
-            "0.0103029", \
-            "0.00952997", \
-            "0.00886385", \
-            "0.00859958", \
-            "0.00848352" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00692961, 0.00693093, 0.00693232, 0.00693339, 0.00693407, 0.00693444", \
-            "0.00817791, 0.00817844, 0.00817921, 0.00817996, 0.00818051, 0.00818084", \
-            "0.00893354, 0.00893362, 0.00893384, 0.00893418, 0.00893451, 0.00893475", \
-            "0.00937869, 0.0093787, 0.00937874, 0.00937884, 0.00937899, 0.00937913", \
-            "0.00961149, 0.0096115, 0.00961151, 0.00961154, 0.0096116, 0.00961167", \
-            "0.00975057, 0.00975057, 0.0097506, 0.00975062, 0.00975064, 0.00975067" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.00970505, 0.00970569, 0.00970669, 0.00970777, 0.00970855, 0.00970902", \
-            "0.0106204, 0.0106205, 0.0106208, 0.0106214, 0.0106219, 0.0106223", \
-            "0.0110018, 0.0110021, 0.0110024, 0.0110028, 0.0110032, 0.0110036", \
-            "0.0112658, 0.0112648, 0.011264, 0.0112635, 0.0112633, 0.0112633", \
-            "0.0119157, 0.0119137, 0.0119107, 0.0119073, 0.0119045, 0.0119026", \
-            "0.0123703, 0.0124159, 0.012437, 0.0124489, 0.0124558, 0.0124607" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0164999, 0.0164845, 0.0163575, 0.0159552, 0.0150311, 0.0130884", \
-            "0.0163136, 0.016303, 0.0161771, 0.0157744, 0.0148487, 0.0128923", \
-            "0.0160051, 0.0159969, 0.01587, 0.0154602, 0.0145445, 0.012586", \
-            "0.0157985, 0.0157867, 0.0156648, 0.0152572, 0.0143425, 0.0123946", \
-            "0.0161211, 0.0160847, 0.0159574, 0.0155617, 0.0146282, 0.0126849", \
-            "0.0185109, 0.0185021, 0.0184007, 0.018017, 0.017023, 0.0150169" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.017583, 0.0175812, 0.0174972, 0.0172126, 0.0165369, 0.0150974", \
-            "0.0174627, 0.0174618, 0.0173766, 0.0170914, 0.0164159, 0.0149759", \
-            "0.0172108, 0.0172091, 0.0171246, 0.0168392, 0.0161656, 0.0147246", \
-            "0.0170721, 0.0170699, 0.0169862, 0.0167003, 0.0160227, 0.0145771", \
-            "0.017219, 0.0172202, 0.0171372, 0.0168526, 0.0161743, 0.0147299", \
-            "0.0182857, 0.0182862, 0.0182234, 0.0179585, 0.0172765, 0.0158089" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128408, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.037686, 0.0376812, 0.0375788, 0.0372573, 0.0365523, 0.035097", \
-            "0.0375819, 0.037577, 0.0374743, 0.0371532, 0.0364477, 0.0349919", \
-            "0.0374368, 0.0374313, 0.037329, 0.0370088, 0.036304, 0.0348482", \
-            "0.0374895, 0.0374818, 0.0373782, 0.0370628, 0.0363609, 0.0349093", \
-            "0.037817, 0.0378184, 0.0377115, 0.0373977, 0.0367006, 0.0352511", \
-            "0.0392543, 0.0392381, 0.0391133, 0.0388209, 0.0381126, 0.0366936" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0317271, 0.0317164, 0.031589, 0.0311918, 0.0302675, 0.0283196", \
-            "0.0316366, 0.0316235, 0.0314935, 0.0310956, 0.0301733, 0.0282251", \
-            "0.0314652, 0.0314541, 0.031328, 0.0309321, 0.0300084, 0.0280622", \
-            "0.0313253, 0.0313139, 0.0311908, 0.0307989, 0.0298775, 0.02793", \
-            "0.0314737, 0.0314502, 0.0313301, 0.0309594, 0.030047, 0.0280968", \
-            "0.0326803, 0.0326666, 0.0325483, 0.0321765, 0.0312481, 0.0292457" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0371563, 0.0371498, 0.0370416, 0.0367175, 0.0360106, 0.0345578", \
-            "0.0370541, 0.0370476, 0.0369392, 0.0366151, 0.0359083, 0.0344567", \
-            "0.0369109, 0.0369045, 0.0367972, 0.0364735, 0.0357684, 0.0343174", \
-            "0.0369691, 0.0369594, 0.0368535, 0.0365336, 0.0358281, 0.0343735", \
-            "0.0372501, 0.0372504, 0.0371392, 0.0368219, 0.0361246, 0.0346757", \
-            "0.0388068, 0.0387926, 0.0386795, 0.038347, 0.0376782, 0.0362486" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128408, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128408, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0312483, 0.0312451, 0.0311528, 0.0308466, 0.030158, 0.0287091", \
-            "0.0311442, 0.0311433, 0.0310497, 0.030744, 0.0300552, 0.0286045", \
-            "0.031001, 0.0309992, 0.0309068, 0.0306004, 0.0299128, 0.0284634", \
-            "0.0310622, 0.0310574, 0.0309623, 0.0306588, 0.0299751, 0.0285313", \
-            "0.0314095, 0.0314226, 0.0313242, 0.0310246, 0.0303458, 0.0289044", \
-            "0.0328713, 0.0328523, 0.032764, 0.0324745, 0.031804, 0.0303667" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0259403, 0.0259358, 0.0258029, 0.0254081, 0.0244794, 0.0225173", \
-            "0.0258416, 0.0258309, 0.025705, 0.0253109, 0.0243811, 0.022421", \
-            "0.0256837, 0.0256717, 0.0255433, 0.0251499, 0.024221, 0.0222603", \
-            "0.0255374, 0.0255276, 0.0254005, 0.0250126, 0.0240872, 0.022127", \
-            "0.0256692, 0.0256901, 0.0255492, 0.0251848, 0.0242314, 0.0223171", \
-            "0.0269086, 0.0268987, 0.0267841, 0.0264174, 0.0254843, 0.0235067" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0308705, 0.0308675, 0.0307664, 0.0304611, 0.0297739, 0.0283236", \
-            "0.0307691, 0.0307652, 0.0306649, 0.0303587, 0.0296701, 0.0282244", \
-            "0.0306274, 0.0306241, 0.030523, 0.0302184, 0.0295322, 0.0280819", \
-            "0.03069, 0.0306865, 0.0305843, 0.0302826, 0.0296007, 0.0281533", \
-            "0.0309819, 0.0309929, 0.0308965, 0.0305969, 0.0299206, 0.0284777", \
-            "0.0325609, 0.0325603, 0.0324396, 0.0321434, 0.0314902, 0.0300585" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128408, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0230502, 0.0230561, 0.0229701, 0.0226729, 0.0219908, 0.0205459", \
-            "0.0229497, 0.022955, 0.0228695, 0.0225726, 0.0218903, 0.0204467", \
-            "0.0228558, 0.0228603, 0.0227751, 0.0224795, 0.0217982, 0.0203516", \
-            "0.0229837, 0.0229869, 0.0229002, 0.0226074, 0.0219294, 0.0204861", \
-            "0.0234424, 0.0234475, 0.0233672, 0.0230793, 0.022407, 0.0209674", \
-            "0.0249839, 0.0249816, 0.0248922, 0.0246134, 0.0239399, 0.0225177" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0258821, 0.0258725, 0.0257401, 0.0253447, 0.0244144, 0.0224537", \
-            "0.0257854, 0.0257745, 0.0256432, 0.0252478, 0.0243202, 0.0223684", \
-            "0.0256199, 0.0256114, 0.0254804, 0.0250863, 0.0241578, 0.0222147", \
-            "0.0254835, 0.0254783, 0.0253486, 0.0249577, 0.0240329, 0.0220756", \
-            "0.0256472, 0.0256231, 0.0254898, 0.0251143, 0.0241911, 0.0222377", \
-            "0.0268596, 0.0268653, 0.0267402, 0.0263704, 0.0254305, 0.0234726" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0309259, 0.0309229, 0.0308216, 0.0305165, 0.029828, 0.0283789", \
-            "0.0308259, 0.0308226, 0.0307221, 0.0304162, 0.029728, 0.0282773", \
-            "0.0306842, 0.0306817, 0.0305799, 0.0302757, 0.0295885, 0.0281411", \
-            "0.0307451, 0.0307404, 0.0306389, 0.0303373, 0.0296552, 0.0282121", \
-            "0.0311105, 0.0311202, 0.031025, 0.0307239, 0.0300462, 0.0286066", \
-            "0.032608, 0.0325915, 0.0324727, 0.032177, 0.0315231, 0.0300937" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128408, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0317271, 0.0317164, 0.031589, 0.0311918, 0.0302675, 0.0283196", \
-            "0.0316366, 0.0316235, 0.0314935, 0.0310956, 0.0301733, 0.0282251", \
-            "0.0314652, 0.0314541, 0.031328, 0.0309321, 0.0300084, 0.0280622", \
-            "0.0313253, 0.0313139, 0.0311908, 0.0307989, 0.0298775, 0.02793", \
-            "0.0314737, 0.0314502, 0.0313301, 0.0309594, 0.030047, 0.0280968", \
-            "0.0326803, 0.0326666, 0.0325483, 0.0321765, 0.0312481, 0.0292457" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.037686, 0.0376812, 0.0375788, 0.0372573, 0.0365523, 0.035097", \
-            "0.0375819, 0.037577, 0.0374743, 0.0371532, 0.0364477, 0.0349919", \
-            "0.0374368, 0.0374313, 0.037329, 0.0370088, 0.036304, 0.0348482", \
-            "0.0374895, 0.0374818, 0.0373782, 0.0370628, 0.0363609, 0.0349093", \
-            "0.037817, 0.0378184, 0.0377115, 0.0373977, 0.0367006, 0.0352511", \
-            "0.0392543, 0.0392381, 0.0391133, 0.0388209, 0.0381126, 0.0366936" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.035868, 0.0358621, 0.0357334, 0.0353272, 0.0343904, 0.032435", \
-            "0.0357412, 0.0357277, 0.0356011, 0.0351971, 0.0342618, 0.0323009", \
-            "0.0355555, 0.0355454, 0.0354214, 0.0350232, 0.0340907, 0.0321316", \
-            "0.0353257, 0.0353138, 0.0351942, 0.03481, 0.0338903, 0.03194", \
-            "0.0350651, 0.0350546, 0.0349484, 0.0345915, 0.0336858, 0.0317442", \
-            "0.0356393, 0.0356063, 0.0355008, 0.0351034, 0.0341949, 0.0323068" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0238315, 0.0238226, 0.0236955, 0.0232924, 0.022348, 0.0203961", \
-            "0.0237615, 0.0237567, 0.0236269, 0.0232265, 0.0222829, 0.0203272", \
-            "0.0236656, 0.0236563, 0.0235323, 0.0231361, 0.0221966, 0.0202477", \
-            "0.0235354, 0.0235188, 0.0233996, 0.0230176, 0.0220926, 0.020137", \
-            "0.0233887, 0.0233857, 0.0232807, 0.0229207, 0.0220201, 0.0200793", \
-            "0.0237005, 0.0236958, 0.0235451, 0.0231863, 0.022286, 0.020401" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128408, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.0299405, 0.0299314, 0.0297992, 0.0293979, 0.0284574, 0.0264808", \
-            "0.0298053, 0.0297964, 0.0296659, 0.0292657, 0.0283261, 0.0263749", \
-            "0.0296273, 0.0296185, 0.0294901, 0.0290946, 0.0281583, 0.0261831", \
-            "0.0294078, 0.029404, 0.0292815, 0.0288997, 0.0279771, 0.0260122", \
-            "0.0292226, 0.0292163, 0.0291126, 0.0287414, 0.0278522, 0.025904", \
-            "0.029832, 0.0297947, 0.0296705, 0.0292886, 0.0283777, 0.0264822" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128408, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691", \
-            "-0.00294866, -0.00615329, -0.0128407, -0.0267962, -0.0559186, -0.116691" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00759666, 0.0158528, 0.0330817, 0.0690353, 0.144064");
-          values ( \
-            "0.035868, 0.0358621, 0.0357334, 0.0353272, 0.0343904, 0.032435", \
-            "0.0357412, 0.0357277, 0.0356011, 0.0351971, 0.0342618, 0.0323009", \
-            "0.0355555, 0.0355454, 0.0354214, 0.0350232, 0.0340907, 0.0321316", \
-            "0.0353257, 0.0353138, 0.0351942, 0.03481, 0.0338903, 0.03194", \
-            "0.0350651, 0.0350546, 0.0349484, 0.0345915, 0.0336858, 0.0317442", \
-            "0.0356393, 0.0356063, 0.0355008, 0.0351034, 0.0341949, 0.0323068" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-    }
-    pin (QN) {
-      direction : output;
-      function : "IQN";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.138868;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.256057, 0.271827, 0.30154, 0.359248, 0.476069, 0.716418", \
-            "0.260708, 0.276478, 0.3062, 0.363898, 0.480722, 0.721073", \
-            "0.270083, 0.285852, 0.315573, 0.373277, 0.490082, 0.730451", \
-            "0.284156, 0.299929, 0.329647, 0.387348, 0.504152, 0.744515", \
-            "0.298331, 0.314165, 0.343843, 0.401559, 0.518372, 0.75872", \
-            "0.302653, 0.318436, 0.34799, 0.405918, 0.522792, 0.763244" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0588628, 0.075981, 0.113205, 0.193454, 0.363815, 0.719416", \
-            "0.0588625, 0.0759799, 0.113206, 0.193444, 0.363825, 0.719416", \
-            "0.0588617, 0.07601, 0.113209, 0.193461, 0.363856, 0.719416", \
-            "0.0588776, 0.0759974, 0.113216, 0.193465, 0.363791, 0.71942", \
-            "0.0589675, 0.0760448, 0.113227, 0.193443, 0.363832, 0.719426", \
-            "0.0588855, 0.0760433, 0.11324, 0.19349, 0.36418, 0.719521" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.216705, 0.231328, 0.25723, 0.303666, 0.391564, 0.568046", \
-            "0.221009, 0.235584, 0.261524, 0.307966, 0.395813, 0.57237", \
-            "0.230358, 0.244981, 0.270884, 0.317333, 0.405261, 0.581764", \
-            "0.244454, 0.259049, 0.285034, 0.331508, 0.419442, 0.595962", \
-            "0.257469, 0.272051, 0.297842, 0.344329, 0.432245, 0.608671", \
-            "0.26169, 0.276324, 0.302334, 0.348779, 0.436472, 0.612447" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0496605, 0.0622266, 0.0875335, 0.139314, 0.249238, 0.484575", \
-            "0.0496578, 0.0622042, 0.0875308, 0.139311, 0.249474, 0.484576", \
-            "0.0496679, 0.0622338, 0.0875412, 0.139318, 0.249234, 0.484575", \
-            "0.0496864, 0.0622168, 0.0875479, 0.139319, 0.249238, 0.484576", \
-            "0.0495211, 0.0620661, 0.0873692, 0.139266, 0.249549, 0.484606", \
-            "0.0501189, 0.0625862, 0.0877744, 0.139354, 0.249141, 0.483881" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.214319, 0.222405, 0.233784, 0.237676, 0.245291, 0.249892, 0.258611, 0.261459, 0.267352, 0.285461, 0.302119, 0.312676, 0.31881, 0.323716, 0.329778, 0.354599, 0.373745, 0.387821, 0.411756, 0.440362");
-            values ( \
-              "0.0170091, 0.0412161, 0.0626491, 0.0671418, 0.0725189, 0.0744805, 0.0759678, 0.0755906, 0.0732068, 0.0628576, 0.0372846, 0.0247262, 0.0201924, 0.0200345, 0.018276, 0.00835849, 0.0038127, 0.00216496, 0.000710662, 0.000244969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.214491, 0.222694, 0.228648, 0.236368, 0.244301, 0.249173, 0.255297, 0.260764, 0.270848, 0.274257, 0.278707, 0.300838, 0.308349, 0.325173, 0.334456, 0.351789, 0.359257, 0.403548, 0.41435, 0.429094, 0.447575, 0.480061, 0.519646");
-            values ( \
-              "0.00751842, 0.0587189, 0.0778513, 0.0968022, 0.108488, 0.113352, 0.117759, 0.12032, 0.121766, 0.1213, 0.119712, 0.106153, 0.0956024, 0.0663174, 0.0529542, 0.031974, 0.0274202, 0.010808, 0.00793373, 0.00509269, 0.00302506, 0.000883161, 0.000343885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.214399, 0.229479, 0.23857, 0.245299, 0.257745, 0.263226, 0.271804, 0.27975, 0.296111, 0.319716, 0.337822, 0.348221, 0.37505, 0.395604, 0.420234, 0.431939, 0.443054, 0.457408, 0.479023, 0.497369, 0.529833, 0.554609, 0.590654, 0.636357, 0.699287");
-            values ( \
-              "0.0050961, 0.102284, 0.131374, 0.14493, 0.161633, 0.166359, 0.171058, 0.172851, 0.170898, 0.158959, 0.145349, 0.132179, 0.0935122, 0.0683109, 0.0441268, 0.0358442, 0.0305947, 0.0251109, 0.018299, 0.0134676, 0.00747477, 0.00468302, 0.00218379, 0.000863805, 0.000150025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.221962, 0.236104, 0.244163, 0.25517, 0.269558, 0.279138, 0.287753, 0.301249, 0.314488, 0.341848, 0.370683, 0.401715, 0.422199, 0.494604, 0.53658, 0.567874, 0.587669, 0.611435, 0.642236, 0.675873, 0.707824, 0.756044, 0.80496, 0.862127, 0.882154");
-            values ( \
-              "0.085321, 0.145859, 0.168833, 0.190376, 0.208029, 0.214415, 0.217443, 0.21855, 0.216816, 0.208565, 0.196008, 0.179891, 0.164502, 0.098814, 0.0677407, 0.0492413, 0.0402249, 0.0322492, 0.024234, 0.0174842, 0.0126419, 0.00755657, 0.00436004, 0.00223221, 0.0019864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.230162, 0.257992, 0.273296, 0.284841, 0.304636, 0.32536, 0.381906, 0.433133, 0.487519, 0.546283, 0.581758, 0.715901, 0.790553, 0.868058, 0.947798, 1.0352, 1.0938, 1.16189, 1.23412, 1.40004, 1.41069");
-            values ( \
-              "0.202184, 0.21577, 0.236548, 0.245357, 0.251878, 0.25206, 0.241875, 0.229391, 0.214749, 0.196143, 0.180701, 0.112272, 0.0795138, 0.0530473, 0.0346617, 0.0217074, 0.015694, 0.0106646, 0.00696872, 0.00254106, 0.00245586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.237531, 0.302056, 0.32223, 0.330652, 0.347496, 0.378813, 0.519202, 0.620511, 0.728035, 0.810998, 0.844373, 0.904518, 0.976245, 1.173, 1.29759, 1.38056, 1.44093, 1.5153, 1.59826, 1.63738, 1.74517, 1.81949, 1.95937, 2.04233, 2.1253, 2.29122, 2.45715, 2.62307, 2.95492");
-            values ( \
-              "0.262842, 0.270512, 0.27404, 0.274284, 0.273849, 0.271185, 0.253623, 0.240137, 0.2247, 0.211055, 0.204839, 0.191099, 0.172908, 0.119992, 0.0903221, 0.0734269, 0.0626734, 0.051178, 0.040752, 0.0366046, 0.0271257, 0.0219506, 0.0146437, 0.0114894, 0.00895424, 0.00542502, 0.00326502, 0.00195509, 0.000691876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.233238, 0.241335, 0.24668, 0.252474, 0.259576, 0.263976, 0.268639, 0.277359, 0.280435, 0.2861, 0.30412, 0.318495, 0.32982, 0.336184, 0.342449, 0.34869, 0.370769, 0.379649, 0.393521, 0.404411, 0.42547, 0.454106, 0.488873");
-            values ( \
-              "0.0187372, 0.0417173, 0.0529884, 0.0626171, 0.0698403, 0.0724323, 0.0745276, 0.0760159, 0.0754849, 0.0732474, 0.0630035, 0.040397, 0.0266586, 0.0205722, 0.0200023, 0.018267, 0.00918582, 0.00648261, 0.00368317, 0.0023666, 0.000935646, 0.000275737, 4.762e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.233265, 0.241268, 0.247222, 0.254943, 0.262779, 0.273877, 0.27934, 0.289291, 0.293101, 0.301881, 0.31995, 0.327767, 0.343741, 0.35361, 0.370629, 0.372856, 0.383926, 0.417448, 0.433348, 0.45925, 0.484849, 0.523138, 0.568088");
-            values ( \
-              "0.00911393, 0.0587724, 0.077777, 0.096851, 0.108422, 0.117809, 0.120265, 0.121827, 0.121278, 0.117308, 0.105645, 0.0942029, 0.0662884, 0.0522203, 0.0317309, 0.0299154, 0.0247992, 0.0122585, 0.00782248, 0.00350543, 0.00171286, 0.000291242, 0.000206385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.233316, 0.24909, 0.255191, 0.26331, 0.273331, 0.281903, 0.290571, 0.298429, 0.314788, 0.338414, 0.356416, 0.408976, 0.434376, 0.453023, 0.493835, 0.538178, 0.586807, 0.600585");
-            values ( \
-              "0.00887889, 0.106148, 0.126105, 0.143851, 0.158348, 0.1663, 0.171165, 0.172783, 0.170979, 0.158949, 0.145431, 0.0743303, 0.0481938, 0.0345714, 0.0193489, 0.00905363, 0.00347916, 0.00300914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.241032, 0.25842, 0.273759, 0.288068, 0.297676, 0.306316, 0.319812, 0.333051, 0.357684, 0.389246, 0.420267, 0.432295, 0.451634, 0.499942, 0.536075, 0.577327, 0.604553, 0.628104, 0.64022, 0.664452, 0.698095, 0.726716, 0.768763, 0.816624, 0.872021, 1.02507");
-            values ( \
-              "0.0961718, 0.157628, 0.190338, 0.207903, 0.214487, 0.217361, 0.218632, 0.216733, 0.209643, 0.196097, 0.180103, 0.171487, 0.154819, 0.109961, 0.0809984, 0.0540598, 0.0408135, 0.0328844, 0.0292666, 0.02348, 0.0167795, 0.0125189, 0.00797851, 0.00479448, 0.00239701, 0.000294885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.249277, 0.276782, 0.291274, 0.30358, 0.322866, 0.343492, 0.368301, 0.400679, 0.449915, 0.506257, 0.564878, 0.585003, 0.606606, 0.715616, 0.780345, 0.83283, 0.878186, 0.913638, 0.960288, 1.00016, 1.04496, 1.10807, 1.13493, 1.18865, 1.26032, 1.34329, 1.42625, 1.50921, 1.67514");
-            values ( \
-              "0.207951, 0.215779, 0.2357, 0.245446, 0.251722, 0.252177, 0.24866, 0.241785, 0.229948, 0.214749, 0.196081, 0.187865, 0.177761, 0.121614, 0.0914333, 0.0706771, 0.0556037, 0.0458412, 0.0357963, 0.0290017, 0.0227995, 0.0160755, 0.0138304, 0.0101609, 0.00666642, 0.0040366, 0.00243225, 0.00145281, 0.00051549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.256611, 0.321829, 0.341651, 0.349325, 0.364674, 0.395371, 0.488168, 0.620836, 0.746707, 0.82967, 0.863045, 0.92319, 0.994917, 1.19167, 1.31627, 1.41935, 1.4596, 1.53397, 1.65605, 1.76427, 1.83843, 1.9214, 2.06102, 2.14398, 2.22694, 2.39286, 2.55879, 2.72471, 2.9736");
-            values ( \
-              "0.265786, 0.270985, 0.274019, 0.274381, 0.273847, 0.271492, 0.260124, 0.242674, 0.224619, 0.211137, 0.204757, 0.191181, 0.172826, 0.11991, 0.09024, 0.0696177, 0.0627553, 0.0510963, 0.036523, 0.0270116, 0.0220145, 0.0172093, 0.011408, 0.009035, 0.00691924, 0.0041553, 0.00247237, 0.00145533, 0.000772511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.271962, 0.278465, 0.287149, 0.292716, 0.296652, 0.299841, 0.304173, 0.308903, 0.317623, 0.320953, 0.326362, 0.335662, 0.338898, 0.344484, 0.352544, 0.361443, 0.372322, 0.378184, 0.38273, 0.388728, 0.411366, 0.424465, 0.432686, 0.441998, 0.460623, 0.487675, 0.520249");
-            values ( \
-              "0.00619414, 0.034132, 0.0533391, 0.0625637, 0.0670888, 0.0698199, 0.072428, 0.0745046, 0.0759947, 0.0754411, 0.0732208, 0.0678253, 0.0665844, 0.0628457, 0.0494479, 0.036896, 0.0239579, 0.0202074, 0.0200417, 0.0182799, 0.00904569, 0.00536943, 0.00388139, 0.00261095, 0.00120795, 0.000338061, 0.00010718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.271882, 0.286953, 0.295107, 0.307914, 0.31405, 0.319502, 0.329182, 0.333802, 0.346208, 0.354013, 0.366138, 0.377239, 0.392677, 0.409817, 0.414165, 0.420297, 0.449516, 0.469273, 0.482029, 0.499036, 0.527036, 0.545604");
-            values ( \
-              "0.00876905, 0.0768575, 0.0968825, 0.113324, 0.117811, 0.12028, 0.121837, 0.121118, 0.114667, 0.109395, 0.0984067, 0.0774861, 0.0536582, 0.0328763, 0.0293096, 0.0263827, 0.0152191, 0.00895787, 0.00616558, 0.0036946, 0.00145101, 0.00113431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.271924, 0.290126, 0.296381, 0.304121, 0.316544, 0.330629, 0.338575, 0.354937, 0.378542, 0.396649, 0.449042, 0.474482, 0.493423, 0.533728, 0.579306, 0.630545, 0.643416");
-            values ( \
-              "0.00091923, 0.109202, 0.128851, 0.144988, 0.161653, 0.171104, 0.17279, 0.17095, 0.158956, 0.145346, 0.0744264, 0.0482365, 0.0344372, 0.0194247, 0.00889025, 0.0032324, 0.00282659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.283131, 0.294765, 0.308079, 0.328265, 0.337837, 0.346446, 0.359941, 0.373181, 0.400588, 0.429379, 0.460362, 0.487892, 0.548577, 0.59431, 0.628253, 0.647468, 0.670742, 0.699723, 0.73267, 0.783323, 0.817297, 0.865241, 0.922259, 0.947768");
-            values ( \
-              "0.135924, 0.145657, 0.180083, 0.208025, 0.214433, 0.217432, 0.218554, 0.21681, 0.208549, 0.196019, 0.179986, 0.158739, 0.102712, 0.0683089, 0.0483328, 0.0398363, 0.032026, 0.0244744, 0.0178526, 0.0105741, 0.00738018, 0.00424987, 0.00223072, 0.00192049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.288779, 0.316105, 0.329181, 0.340215, 0.350983, 0.36534, 0.387505, 0.391981, 0.418839, 0.440897, 0.492134, 0.534868, 0.605129, 0.664905, 0.756186, 0.82069, 0.873528, 0.900308, 0.943674, 0.993956, 1.05749, 1.09855, 1.17163, 1.20522, 1.27241, 1.35537, 1.43834, 1.5213, 1.68722");
-            values ( \
-              "0.198054, 0.214257, 0.233315, 0.243163, 0.248604, 0.251824, 0.251716, 0.251139, 0.246548, 0.241836, 0.229428, 0.218021, 0.196402, 0.168815, 0.121445, 0.0914058, 0.0705029, 0.0612641, 0.0484291, 0.037055, 0.026445, 0.0212468, 0.0141638, 0.0116342, 0.00792918, 0.00475994, 0.0029322, 0.00169636, 0.000579268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.296139, 0.381072, 0.38953, 0.406446, 0.436341, 0.57808, 0.67939, 0.786914, 0.869876, 0.903252, 0.963397, 1.03512, 1.23188, 1.35647, 1.43944, 1.49981, 1.57418, 1.65714, 1.69626, 1.79429, 1.87219, 1.95515, 2.018, 2.18392, 2.34985, 2.51577, 2.6817, 3.01355");
-            values ( \
-              "0.260565, 0.27404, 0.274274, 0.27385, 0.271311, 0.253631, 0.240145, 0.224709, 0.211046, 0.204847, 0.191091, 0.172916, 0.12, 0.0903301, 0.073419, 0.0626654, 0.0511861, 0.0407438, 0.0366128, 0.0278913, 0.0223315, 0.0176252, 0.0146439, 0.00895093, 0.00541946, 0.00325804, 0.00194721, 0.000683053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.355487, 0.360645, 0.363251, 0.369414, 0.374913, 0.382079, 0.386369, 0.391148, 0.399872, 0.403379, 0.408612, 0.416243, 0.421253, 0.42683, 0.43469, 0.444319, 0.45584, 0.461419, 0.464985, 0.476712, 0.487223, 0.501172, 0.507802, 0.517414, 0.529944, 0.551419, 0.580278, 0.615021");
-            values ( \
-              "0.0170512, 0.0338264, 0.0401682, 0.0533173, 0.0624732, 0.0698174, 0.072281, 0.0745372, 0.0760498, 0.0752921, 0.0732834, 0.0686684, 0.0664674, 0.0627896, 0.0495438, 0.0361853, 0.0226195, 0.0201511, 0.0201026, 0.0162527, 0.011421, 0.00666963, 0.0051064, 0.00343656, 0.00212559, 0.000767742, 0.000279406, 2.10738e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.355299, 0.363534, 0.377243, 0.38464, 0.390073, 0.396216, 0.401661, 0.411221, 0.416209, 0.424187, 0.431356, 0.445808, 0.469406, 0.492192, 0.498251, 0.544121, 0.555254, 0.570308, 0.587029, 0.614073");
-            values ( \
-              "0.00769156, 0.0583187, 0.0966768, 0.107758, 0.113276, 0.117728, 0.120266, 0.12179, 0.120997, 0.117266, 0.112949, 0.101783, 0.0611988, 0.0326118, 0.0282189, 0.0109938, 0.00800849, 0.00511101, 0.00310874, 0.00132968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.355372, 0.370706, 0.379455, 0.386311, 0.398628, 0.412833, 0.420777, 0.437141, 0.460731, 0.478919, 0.530859, 0.559395, 0.576871, 0.614709, 0.661918, 0.713921, 0.727304");
-            values ( \
-              "0.00598021, 0.102866, 0.130945, 0.144857, 0.161489, 0.171086, 0.172754, 0.170948, 0.158961, 0.145279, 0.0748796, 0.0458254, 0.033792, 0.0197888, 0.00881989, 0.00315296, 0.00273966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.366911, 0.381154, 0.385277, 0.396177, 0.410658, 0.420257, 0.428887, 0.442384, 0.455625, 0.483031, 0.511824, 0.542805, 0.569557, 0.618486, 0.630616, 0.646789, 0.679136, 0.708811, 0.728657, 0.751355, 0.785641, 0.818018, 0.841469, 0.864296, 0.894732, 0.941582, 0.997039, 1.06549, 1.14845");
-            values ( \
-              "0.157025, 0.157819, 0.168723, 0.190171, 0.208042, 0.214265, 0.217516, 0.218424, 0.216909, 0.208648, 0.195918, 0.179823, 0.159563, 0.113449, 0.102976, 0.0900141, 0.0666582, 0.0491989, 0.0403741, 0.0324809, 0.0235931, 0.0174176, 0.0135462, 0.0106601, 0.00787311, 0.00448366, 0.00251764, 0.000945121, 0.000479386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.370317, 0.395228, 0.40964, 0.425916, 0.441131, 0.457855, 0.498086, 0.568163, 0.628591, 0.687302, 0.753765, 0.862473, 0.942533, 0.997084, 1.07989, 1.12774, 1.23758, 1.35371, 1.43379, 1.51675, 1.55782");
-            values ( \
-              "0.19335, 0.208494, 0.23146, 0.245389, 0.250805, 0.252453, 0.247293, 0.231035, 0.214872, 0.196463, 0.165417, 0.109509, 0.0751954, 0.0565201, 0.0361719, 0.0280646, 0.0153132, 0.00803551, 0.00477961, 0.00307696, 0.0026536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.377285, 0.425559, 0.44157, 0.457074, 0.471797, 0.497752, 0.530451, 0.610768, 0.798357, 0.869187, 0.952149, 0.985525, 1.04567, 1.1174, 1.31415, 1.43875, 1.54183, 1.58208, 1.65645, 1.77853, 1.88341, 1.9588, 2.04176, 2.18342, 2.26638, 2.34934, 2.51527, 2.68119, 2.84712, 3.096");
-            values ( \
-              "0.256737, 0.261563, 0.27009, 0.273238, 0.27435, 0.273162, 0.270142, 0.260144, 0.235112, 0.224578, 0.211176, 0.204716, 0.191221, 0.172785, 0.119867, 0.0901974, 0.0695742, 0.0627988, 0.0510524, 0.0364788, 0.0272225, 0.0221938, 0.0172694, 0.0113639, 0.00908352, 0.00687403, 0.00410934, 0.0024259, 0.00140848, 0.000820065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.526309, 0.539606, 0.541634, 0.542648, 0.543663, 0.545304, 0.546946, 0.548596, 0.550245, 0.553001, 0.554378, 0.556052, 0.557137, 0.559472, 0.561739, 0.564717, 0.567694, 0.570671, 0.572793, 0.5735, 0.582815, 0.586895, 0.590976, 0.59291, 0.598464, 0.603519, 0.605622, 0.607961, 0.611396, 0.614831, 0.617526, 0.620221, 0.621568, 0.622914, 0.62426, 0.625606, 0.628872, 0.631441, 0.6344, 0.63588, 0.637278, 0.641472, 0.64624, 0.652656, 0.655875, 0.659093, 0.663685, 0.665982, 0.668278, 0.67263");
-            values ( \
-              "0.0615933, 0.0624824, 0.0650374, 0.0661441, 0.067137, 0.0685029, 0.0695705, 0.0715149, 0.073942, 0.0738661, 0.0738941, 0.0739939, 0.0742733, 0.0750603, 0.0756605, 0.0752878, 0.0747398, 0.0740165, 0.0731616, 0.0728424, 0.067853, 0.0653752, 0.0626165, 0.0603941, 0.0515, 0.0436834, 0.040945, 0.0376878, 0.0334054, 0.0286555, 0.0254635, 0.0226227, 0.0220148, 0.0214745, 0.0210018, 0.0205967, 0.0199845, 0.0194048, 0.0186296, 0.0181989, 0.0177082, 0.0161127, 0.0140477, 0.0111559, 0.00993568, 0.0088159, 0.00739576, 0.0067594, 0.00617219, 0.00517867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.518222, 0.534075, 0.542177, 0.54941, 0.560133, 0.56661, 0.577846, 0.589084, 0.60729, 0.614235, 0.623933, 0.63595, 0.658085, 0.664354, 0.70504, 0.720668, 0.74582, 0.769875, 0.781372");
-            values ( \
-              "0.00486218, 0.0771223, 0.0968145, 0.107629, 0.116874, 0.120165, 0.121557, 0.117413, 0.105674, 0.095539, 0.0780547, 0.0589314, 0.031554, 0.027821, 0.0122024, 0.00785653, 0.00362963, 0.00180516, 0.00136407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.524692, 0.532798, 0.540676, 0.548441, 0.558682, 0.569132, 0.577706, 0.585666, 0.602032, 0.618408, 0.625611, 0.643869, 0.653968, 0.681025, 0.700865, 0.726265, 0.738622, 0.749386, 0.772585, 0.802409, 0.817156, 0.836709, 0.86278, 0.897591, 0.919305");
-            values ( \
-              "0.0467476, 0.0921196, 0.121049, 0.139847, 0.155782, 0.166333, 0.170848, 0.172908, 0.170754, 0.163184, 0.158945, 0.145221, 0.132399, 0.0934375, 0.0690437, 0.0440366, 0.0354104, 0.0304335, 0.0220037, 0.013676, 0.010546, 0.00734389, 0.00448068, 0.00214362, 0.00152397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.528945, 0.538484, 0.550043, 0.558535, 0.567676, 0.578808, 0.593652, 0.611908, 0.620386, 0.633784, 0.647758, 0.676578, 0.707563, 0.730542, 0.783476, 0.809964, 0.838399, 0.857514, 0.879248, 0.903736, 0.935963, 0.97502, 1.01336, 1.06376, 1.10961, 1.1657, 1.23401, 1.31697");
-            values ( \
-              "0.111786, 0.132477, 0.168597, 0.185635, 0.199561, 0.210508, 0.217469, 0.218311, 0.216871, 0.213376, 0.208478, 0.195971, 0.180121, 0.162575, 0.113326, 0.0911201, 0.0703759, 0.0582915, 0.046473, 0.0364669, 0.0271502, 0.0187166, 0.0127061, 0.00742, 0.00442673, 0.00230908, 0.00100479, 0.000360802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.538695, 0.575105, 0.590863, 0.598227, 0.612957, 0.635101, 0.639042, 0.662684, 0.687957, 0.742799, 0.793535, 0.848741, 0.872654, 0.894088, 1.00289, 1.06827, 1.12027, 1.16489, 1.19967, 1.24724, 1.28808, 1.33265, 1.39559, 1.47551, 1.54494, 1.62791, 1.71087, 1.79383, 1.95976");
-            values ( \
-              "0.224382, 0.231884, 0.245304, 0.248646, 0.251901, 0.251641, 0.251159, 0.247202, 0.241817, 0.228462, 0.214742, 0.197249, 0.187698, 0.177661, 0.121617, 0.0911564, 0.070621, 0.0557795, 0.0461539, 0.0358544, 0.0288989, 0.0227496, 0.0160528, 0.0101849, 0.00677679, 0.00410286, 0.00247456, 0.00147655, 0.000523401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.5455, 0.54552, 0.695403, 0.926217, 1.00918, 1.08307, 1.15001, 1.23297, 1.47642, 1.59897, 1.68193, 1.75531, 1.8264, 1.90936, 1.95062, 2.03315, 2.10871, 2.19168, 2.29132, 2.42869, 2.59461, 2.76054, 3.00942, 3.25831");
-            values ( \
-              "1e-22, 0.278436, 0.269965, 0.240121, 0.228369, 0.216882, 0.204879, 0.1855, 0.120578, 0.0912673, 0.0742625, 0.0612428, 0.0504251, 0.0401675, 0.0358458, 0.0284849, 0.0229999, 0.0181269, 0.0135678, 0.00903314, 0.00548055, 0.00330604, 0.00152947, 0.000715037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.854141, 0.867527, 0.875111, 0.877464, 0.880399, 0.884101, 0.888361, 0.89316, 0.899779, 0.901867, 0.906043, 0.910631, 0.919938, 0.923042, 0.928399, 0.939018, 0.944111, 0.955812, 0.961477, 0.966384, 0.970476, 0.974485, 0.992402, 0.998317, 1.00656, 1.01615, 1.02893, 1.05272, 1.07944");
-            values ( \
-              "0.00262664, 0.0457287, 0.0596361, 0.0631313, 0.0665526, 0.0697746, 0.0723705, 0.0744177, 0.0758293, 0.0759177, 0.0751392, 0.0731285, 0.0677258, 0.0666061, 0.0632558, 0.0460087, 0.0389974, 0.0249889, 0.0204059, 0.0201569, 0.0191298, 0.0178013, 0.0102555, 0.00820828, 0.00589685, 0.00399196, 0.00236166, 0.000811522, 0.000271821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.854121, 0.872164, 0.879343, 0.883607, 0.892216, 0.898326, 0.903817, 0.91359, 0.917962, 0.923792, 0.931554, 0.938356, 0.950522, 0.957374, 0.972798, 0.994282, 1.00048, 1.03614, 1.04595, 1.06201, 1.08821, 1.11017");
-            values ( \
-              "0.000452481, 0.0790776, 0.0966751, 0.10367, 0.113233, 0.117641, 0.120198, 0.121675, 0.121017, 0.118608, 0.113985, 0.109323, 0.0983903, 0.0848403, 0.0595824, 0.0327585, 0.0283006, 0.0144187, 0.0111704, 0.0070406, 0.00316332, 0.00165504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.8597, 0.869856, 0.880718, 0.888107, 0.900329, 0.906051, 0.914644, 0.922591, 0.938966, 0.95535, 0.962545, 0.98086, 1.03341, 1.06543, 1.07452, 1.08551, 1.10308, 1.12481, 1.15129, 1.18701, 1.23298, 1.24129");
-            values ( \
-              "0.0204081, 0.0923537, 0.129625, 0.14481, 0.161226, 0.166227, 0.170875, 0.172721, 0.17076, 0.163024, 0.158908, 0.145174, 0.0741138, 0.0422911, 0.0361243, 0.0307534, 0.0241767, 0.0174216, 0.0110947, 0.00567613, 0.00223315, 0.00205151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.864846, 0.879733, 0.887151, 0.898104, 0.912286, 0.92203, 0.93079, 0.944299, 0.957545, 0.984964, 1.01377, 1.04476, 1.07137, 1.12046, 1.14844, 1.18042, 1.20022, 1.22484, 1.24479, 1.26495, 1.29207, 1.32429, 1.35175, 1.39084, 1.43562, 1.48944, 1.55563, 1.6386");
-            values ( \
-              "0.0833002, 0.147816, 0.168682, 0.190079, 0.207568, 0.214146, 0.217275, 0.218389, 0.2167, 0.208465, 0.195936, 0.179918, 0.1596, 0.11358, 0.0902388, 0.0672444, 0.0551672, 0.0427698, 0.0354018, 0.0293176, 0.022823, 0.0166025, 0.0125701, 0.00830809, 0.00504324, 0.00271218, 0.0012202, 0.000436388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.87504, 0.91073, 0.92796, 0.944061, 0.960349, 0.976178, 1.00017, 1.06956, 1.13074, 1.18941, 1.41105, 1.49725, 1.54776, 1.58622, 1.66018, 1.7244, 1.80779, 1.87253, 2.03846, 2.03873");
-            values ( \
-              "0.216411, 0.230018, 0.2451, 0.250951, 0.252189, 0.250972, 0.247056, 0.23101, 0.214661, 0.196428, 0.088893, 0.0573554, 0.0435144, 0.035616, 0.0239861, 0.016856, 0.0105065, 0.00719818, 0.00263489, 0.00263262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.88285, 0.88287, 1.02543, 1.13117, 1.29162, 1.37137, 1.45367, 1.48767, 1.5478, 1.61955, 1.81631, 1.94091, 2.02387, 2.08423, 2.1586, 2.24157, 2.28069, 2.38711, 2.46194, 2.5449, 2.60267, 2.68563, 2.76859, 2.93452, 3.10044, 3.34933, 3.59822");
-            values ( \
-              "1e-22, 0.277805, 0.270632, 0.257551, 0.236255, 0.224672, 0.211164, 0.204831, 0.191103, 0.172902, 0.119984, 0.0903137, 0.0734318, 0.0626819, 0.0511712, 0.0407584, 0.0365959, 0.0272213, 0.0220135, 0.017338, 0.0146536, 0.0114805, 0.00896432, 0.00543513, 0.00327511, 0.0015265, 0.000701961" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0100245, 0.010026, 0.0100274, 0.0100285, 0.0100291, 0.0100294", \
-            "0.0119851, 0.0119857, 0.0119865, 0.0119873, 0.0119878, 0.0119881", \
-            "0.0132865, 0.0132865, 0.0132869, 0.0132873, 0.0132877, 0.013288", \
-            "0.0142214, 0.0142213, 0.0142212, 0.0142213, 0.0142215, 0.0142217", \
-            "0.0148088, 0.0148087, 0.0148086, 0.0148084, 0.0148084, 0.0148084", \
-            "0.0152481, 0.0152481, 0.015248, 0.0152479, 0.0152477, 0.0152476" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0141128, 0.0141124, 0.0141124, 0.0141128, 0.0141131, 0.0141133", \
-            "0.014956, 0.0149549, 0.0149541, 0.0149537, 0.0149536, 0.0149536", \
-            "0.0150468, 0.0150462, 0.0150453, 0.0150445, 0.0150441, 0.0150439", \
-            "0.0155537, 0.0155536, 0.0155532, 0.0155524, 0.0155517, 0.0155513", \
-            "0.0132476, 0.0132472, 0.0132469, 0.0132466, 0.013246, 0.0132453", \
-            "0.012471, 0.0125153, 0.0125724, 0.0126002, 0.0126171, 0.0126246" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.171368, 0.191809, 0.202947, 0.212128, 0.220419, 0.22831, 0.236051, 0.244745, 0.250947, 0.270212, 0.278775, 0.290041, 0.295154, 0.304121, 0.32187, 0.339297");
-            values ( \
-              "-0.0140736, -0.0507023, -0.0667563, -0.0762459, -0.0816896, -0.0843592, -0.0851405, -0.0805982, -0.0712854, -0.0265273, -0.0156387, -0.00648866, -0.00404176, -0.0030957, -0.00324742, -0.00213636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.174819, 0.181369, 0.184656, 0.192235, 0.205168, 0.211342, 0.22265, 0.232972, 0.24284, 0.252561, 0.262503, 0.265681, 0.269313, 0.287874, 0.295359, 0.301277, 0.308907, 0.31345, 0.322535, 0.32919, 0.334834, 0.338365, 0.345427, 0.374781, 0.427883, 0.45114");
-            values ( \
-              "-0.0373791, -0.0455331, -0.0524835, -0.0738182, -0.101987, -0.11262, -0.127594, -0.1355, -0.139638, -0.139913, -0.132986, -0.128269, -0.120813, -0.0637043, -0.0460832, -0.0351574, -0.0245726, -0.0197325, -0.0123189, -0.0079311, -0.00527509, -0.00425599, -0.00319258, -0.00306381, -0.000935911, -0.00042313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.177847, 0.186153, 0.195763, 0.200592, 0.207397, 0.218406, 0.225426, 0.240793, 0.255016, 0.268805, 0.282522, 0.296237, 0.297762, 0.303864, 0.326182, 0.335224, 0.342383, 0.351928, 0.361144, 0.365258, 0.373487, 0.387309, 0.399563, 0.408526, 0.426452, 0.452069, 0.483214, 0.543414, 0.614891");
-            values ( \
-              "-0.0635704, -0.0719928, -0.104033, -0.118712, -0.136786, -0.161048, -0.173256, -0.192806, -0.202342, -0.206219, -0.204025, -0.191541, -0.189487, -0.177283, -0.110694, -0.0870194, -0.0711727, -0.0537493, -0.0406527, -0.0358199, -0.0276826, -0.0176716, -0.0114159, -0.00792127, -0.00363116, -0.00237744, -0.00226794, -0.0010858, -0.000257968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.183456, 0.201998, 0.222054, 0.237695, 0.248373, 0.269728, 0.28213, 0.293429, 0.315123, 0.336816, 0.347063, 0.357641, 0.365608, 0.375417, 0.405028, 0.424111, 0.435966, 0.460281, 0.470287, 0.496021, 0.51602, 0.537762, 0.554599, 0.588274, 0.635285, 0.734749");
-            values ( \
-              "-0.114892, -0.142391, -0.197708, -0.227248, -0.241746, -0.260691, -0.266124, -0.268768, -0.268528, -0.260695, -0.253345, -0.242273, -0.230409, -0.21103, -0.144493, -0.108056, -0.0893384, -0.0593073, -0.0498681, -0.0316003, -0.0219127, -0.0145259, -0.010308, -0.0047703, -0.00221651, -0.00143324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.190211, 0.21524, 0.23217, 0.245998, 0.269966, 0.287937, 0.317493, 0.344749, 0.364547, 0.402576, 0.419488, 0.45487, 0.478768, 0.500134, 0.58983, 0.617149, 0.652657, 0.683531, 0.713154, 0.752651, 0.780937, 0.813888, 0.857823, 0.920178, 0.982533, 1.04489, 1.06239");
-            values ( \
-              "-0.1919, -0.197813, -0.239426, -0.26425, -0.293237, -0.305953, -0.316509, -0.318271, -0.316851, -0.309494, -0.304311, -0.288642, -0.272033, -0.250123, -0.135145, -0.107895, -0.0794006, -0.0601822, -0.0459027, -0.0316772, -0.0242035, -0.0176157, -0.0113048, -0.0056912, -0.00315133, -0.00195419, -0.00185546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.199217, 0.245536, 0.269311, 0.286605, 0.316013, 0.33886, 0.360058, 0.396021, 0.43168, 0.491626, 0.579678, 0.642033, 0.690242, 0.74266, 0.805016, 0.910982, 0.998203, 1.06948, 1.13183, 1.20674, 1.25635, 1.35231, 1.41467, 1.53938, 1.66409, 1.78652");
-            values ( \
-              "-0.262001, -0.278001, -0.310426, -0.325516, -0.340724, -0.346515, -0.348888, -0.349474, -0.347144, -0.340699, -0.327127, -0.314227, -0.300465, -0.278097, -0.238205, -0.164058, -0.115144, -0.084673, -0.0640749, -0.045404, -0.0360648, -0.0228704, -0.0168599, -0.00902016, -0.00482222, -0.00274059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.1877, 0.196271, 0.210047, 0.221179, 0.23035, 0.238648, 0.246539, 0.254279, 0.262013, 0.26919, 0.280382, 0.288471, 0.297016, 0.308216, 0.313323, 0.322337, 0.34008, 0.375455, 0.380541");
-            values ( \
-              "-0.00428418, -0.0263333, -0.0506035, -0.0666489, -0.0763554, -0.0815955, -0.0844553, -0.08506, -0.0814878, -0.0712535, -0.0421425, -0.026477, -0.0156259, -0.00652426, -0.00405756, -0.00309077, -0.00324911, -0.000991547, -0.000883126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.193921, 0.204079, 0.211033, 0.219973, 0.22955, 0.238436, 0.245674, 0.251161, 0.261036, 0.270758, 0.280702, 0.283872, 0.287495, 0.306074, 0.313564, 0.319485, 0.327107, 0.331644, 0.340718, 0.347384, 0.353036, 0.356576, 0.363655, 0.393045, 0.44617, 0.469446");
-            values ( \
-              "-0.0421579, -0.0556568, -0.0751104, -0.0951857, -0.112631, -0.124828, -0.131702, -0.135465, -0.13957, -0.139915, -0.132968, -0.12827, -0.120841, -0.0637074, -0.0460721, -0.0351466, -0.0245722, -0.0197412, -0.0123297, -0.00793756, -0.00527688, -0.00425218, -0.00319249, -0.00306471, -0.000931722, -0.000423337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.198503, 0.211568, 0.218887, 0.225638, 0.236637, 0.243664, 0.25903, 0.273252, 0.28704, 0.300757, 0.314472, 0.315998, 0.322102, 0.344392, 0.353449, 0.360636, 0.370218, 0.379383, 0.391661, 0.405521, 0.417814, 0.426818, 0.444827, 0.470449, 0.501655, 0.557636");
-            values ( \
-              "-0.0818147, -0.0961779, -0.118986, -0.136741, -0.161129, -0.173205, -0.192883, -0.202285, -0.206286, -0.203968, -0.191601, -0.189482, -0.177272, -0.110768, -0.0870393, -0.0711332, -0.0536622, -0.04065, -0.0277381, -0.0176828, -0.0114098, -0.00789956, -0.00361203, -0.00237722, -0.00226553, -0.00141248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.200963, 0.215235, 0.230713, 0.240369, 0.256042, 0.266685, 0.287969, 0.300855, 0.311738, 0.333432, 0.355125, 0.365378, 0.375962, 0.383895, 0.393714, 0.423364, 0.44244, 0.454251, 0.478466, 0.488593, 0.500344, 0.514428, 0.534385, 0.561575, 0.597829, 0.640145, 0.742528");
-            values ( \
-              "-0.115044, -0.124245, -0.174051, -0.19773, -0.227341, -0.241741, -0.260678, -0.266234, -0.268801, -0.268498, -0.260726, -0.253345, -0.242254, -0.230444, -0.211061, -0.144433, -0.108025, -0.0893767, -0.0594381, -0.0498744, -0.0405708, -0.0315436, -0.021893, -0.0130149, -0.0057723, -0.00251466, -0.00159473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.208003, 0.237561, 0.248912, 0.261884, 0.278572, 0.293278, 0.306163, 0.326191, 0.344781, 0.380979, 0.407508, 0.421339, 0.449, 0.46125, 0.481546, 0.504102, 0.548093, 0.586847, 0.619948, 0.63546, 0.67345, 0.703092, 0.72964, 0.7564, 0.795086, 0.836987, 0.869454, 0.931809, 0.994165, 1.05652, 1.30594");
-            values ( \
-              "-0.175965, -0.209296, -0.236173, -0.260499, -0.283184, -0.297164, -0.305712, -0.313957, -0.317413, -0.317103, -0.312715, -0.309306, -0.300103, -0.294758, -0.283514, -0.265626, -0.211275, -0.160036, -0.122941, -0.108054, -0.0778031, -0.0596246, -0.0467845, -0.036463, -0.0252583, -0.0168674, -0.0121658, -0.00617931, -0.00332441, -0.00207695, -0.000580143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.216762, 0.334155, 0.378401, 0.414364, 0.510541, 0.598021, 0.708587, 0.761004, 1.01739, 1.14329, 1.24781, 1.39596, 1.57455, 1.59395");
-            values ( \
-              "-0.25272, -0.340695, -0.348927, -0.34944, -0.340591, -0.327162, -0.300429, -0.278132, -0.114759, -0.0660702, -0.0408599, -0.0202237, -0.0083251, -0.00787591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.229687, 0.250224, 0.261363, 0.270528, 0.278839, 0.286732, 0.294473, 0.303168, 0.309359, 0.328609, 0.337182, 0.348532, 0.353654, 0.362563, 0.380318, 0.39882");
-            values ( \
-              "-0.0137129, -0.0506301, -0.0666891, -0.0762538, -0.0816387, -0.0843834, -0.0851011, -0.0805904, -0.0713072, -0.0265676, -0.0156554, -0.0064428, -0.00402163, -0.0031022, -0.00324522, -0.00206711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.232924, 0.243432, 0.251182, 0.256224, 0.269789, 0.281096, 0.291424, 0.301293, 0.311014, 0.320957, 0.324131, 0.327758, 0.346329, 0.353815, 0.359734, 0.367362, 0.371903, 0.380986, 0.391523, 0.396823, 0.403889, 0.433249, 0.486356, 0.509616");
-            values ( \
-              "-0.0335105, -0.0532341, -0.0749225, -0.0867006, -0.112623, -0.127528, -0.135519, -0.139589, -0.139937, -0.132964, -0.12828, -0.120824, -0.0637122, -0.0460754, -0.0351601, -0.0245677, -0.0197389, -0.0123165, -0.00597941, -0.00425082, -0.00319693, -0.0030683, -0.000930822, -0.000427389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.238562, 0.251714, 0.259099, 0.265818, 0.276822, 0.283853, 0.299224, 0.313448, 0.327238, 0.340956, 0.354671, 0.356197, 0.362297, 0.384632, 0.393665, 0.400807, 0.41033, 0.419578, 0.431959, 0.445759, 0.457989, 0.466927, 0.484805, 0.510417, 0.541526, 0.597922");
-            values ( \
-              "-0.0806456, -0.0959194, -0.118947, -0.136653, -0.16104, -0.173159, -0.192832, -0.202269, -0.206255, -0.203965, -0.191582, -0.189478, -0.177281, -0.110651, -0.0870039, -0.0711933, -0.0538035, -0.0406563, -0.0276515, -0.0176628, -0.0114212, -0.00793246, -0.00364427, -0.00237602, -0.00227099, -0.00140926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.241877, 0.260475, 0.280507, 0.296159, 0.306829, 0.32817, 0.340688, 0.351888, 0.373583, 0.395276, 0.405517, 0.416089, 0.424092, 0.43389, 0.46346, 0.482551, 0.494453, 0.518872, 0.528752, 0.55438, 0.574421, 0.596323, 0.613302, 0.647259, 0.694428, 0.793193");
-            values ( \
-              "-0.114535, -0.142457, -0.19763, -0.227266, -0.241687, -0.2607, -0.266113, -0.268789, -0.26849, -0.260722, -0.25335, -0.242284, -0.230365, -0.211003, -0.144551, -0.108093, -0.0893025, -0.0591752, -0.0498655, -0.0316558, -0.021937, -0.0144981, -0.0102529, -0.00471291, -0.00220324, -0.00142992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.249091, 0.279445, 0.294786, 0.304562, 0.324115, 0.346481, 0.37584, 0.385134, 0.403721, 0.423103, 0.461133, 0.478015, 0.495442, 0.513541, 0.537673, 0.557997, 0.617521, 0.65538, 0.675323, 0.699266, 0.731191, 0.75976, 0.77607, 0.80159, 0.83778, 0.883071, 0.943459, 1.00581, 1.13053, 1.37995");
-            values ( \
-              "-0.184309, -0.213403, -0.247499, -0.2643, -0.288909, -0.306005, -0.316428, -0.317667, -0.318244, -0.316865, -0.309479, -0.304321, -0.297585, -0.288576, -0.271736, -0.25095, -0.171815, -0.127651, -0.108212, -0.0881563, -0.0663784, -0.0512482, -0.0440899, -0.0347655, -0.0246334, -0.0158767, -0.00842879, -0.0042585, -0.00161976, -0.00046913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.258491, 0.304056, 0.32806, 0.345121, 0.373923, 0.397082, 0.418568, 0.454534, 0.49019, 0.550196, 0.638188, 0.700544, 0.748752, 0.801171, 0.863526, 0.973449, 1.05756, 1.12111, 1.18346, 1.22354, 1.28797, 1.35032, 1.43613, 1.55237, 1.61472, 1.73943, 1.85466");
-            values ( \
-              "-0.270833, -0.278084, -0.31074, -0.325529, -0.340536, -0.346444, -0.348916, -0.34945, -0.347171, -0.340665, -0.327154, -0.314199, -0.300437, -0.278125, -0.238177, -0.161587, -0.114758, -0.0873056, -0.0660678, -0.0550511, -0.0408657, -0.0304627, -0.0202288, -0.0114119, -0.008319, -0.00448177, -0.00269129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.312356, 0.31857, 0.328326, 0.335815, 0.348653, 0.352718, 0.361047, 0.36894, 0.376686, 0.38538, 0.391533, 0.402896, 0.410736, 0.419345, 0.431005, 0.436159, 0.444877, 0.453035, 0.462638, 0.498123, 0.502019");
-            values ( \
-              "-0.0168377, -0.0254139, -0.0437806, -0.0557781, -0.0723482, -0.076058, -0.0815809, -0.0843338, -0.0850494, -0.0805688, -0.0713773, -0.0419151, -0.0267199, -0.0157211, -0.0062797, -0.00394575, -0.00312929, -0.0035224, -0.00324104, -0.000983337, -0.00090137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.315675, 0.32204, 0.33341, 0.340242, 0.350608, 0.359422, 0.363338, 0.373667, 0.378657, 0.383531, 0.39328, 0.403204, 0.406219, 0.409664, 0.428568, 0.436132, 0.442122, 0.449573, 0.454008, 0.462878, 0.469742, 0.475525, 0.479201, 0.486554, 0.516551, 0.570014, 0.593594");
-            values ( \
-              "-0.0403487, -0.0450714, -0.074736, -0.0903916, -0.110208, -0.122836, -0.127215, -0.135395, -0.137898, -0.139545, -0.139839, -0.13291, -0.128487, -0.121615, -0.0637478, -0.0459446, -0.0349362, -0.0246196, -0.0198817, -0.0125754, -0.0080348, -0.00528941, -0.00422381, -0.00316297, -0.00304955, -0.000892196, -0.000400942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.321102, 0.334013, 0.341386, 0.348114, 0.35912, 0.366152, 0.381525, 0.39575, 0.409542, 0.42326, 0.436977, 0.438501, 0.444599, 0.466961, 0.475979, 0.483096, 0.492586, 0.502207, 0.515871, 0.528797, 0.539813, 0.547585, 0.563129, 0.588656, 0.618167, 0.679518");
-            values ( \
-              "-0.0839627, -0.095948, -0.118923, -0.136628, -0.16102, -0.173126, -0.192814, -0.202244, -0.206247, -0.203948, -0.191581, -0.189472, -0.177282, -0.110584, -0.0869818, -0.0712266, -0.0538861, -0.0402559, -0.0262779, -0.0172498, -0.0116445, -0.00852019, -0.00430946, -0.00234245, -0.00237166, -0.00139558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.323958, 0.342885, 0.362838, 0.378504, 0.389159, 0.41047, 0.423197, 0.434218, 0.455913, 0.477607, 0.487847, 0.498417, 0.506427, 0.516223, 0.545784, 0.564877, 0.576789, 0.601231, 0.611083, 0.636689, 0.656739, 0.678675, 0.695684, 0.729702, 0.776903, 0.875247");
-            values ( \
-              "-0.110833, -0.142789, -0.197659, -0.227293, -0.241689, -0.260678, -0.266164, -0.26879, -0.268483, -0.260724, -0.25335, -0.242285, -0.230355, -0.210997, -0.144563, -0.1081, -0.0892947, -0.0591469, -0.0498649, -0.0316677, -0.0219421, -0.0144921, -0.0102411, -0.0047006, -0.00220056, -0.00143145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.330783, 0.359797, 0.373569, 0.386861, 0.410779, 0.428803, 0.458265, 0.467444, 0.485801, 0.505414, 0.543444, 0.560332, 0.577766, 0.595828, 0.61991, 0.640456, 0.698955, 0.737107, 0.757608, 0.782472, 0.815623, 0.841302, 0.882232, 0.919167, 0.966985, 1.02934, 1.0917, 1.21641, 1.46583");
-            values ( \
-              "-0.179173, -0.20833, -0.240453, -0.264275, -0.293143, -0.305973, -0.316457, -0.317658, -0.31825, -0.316856, -0.309484, -0.304318, -0.297579, -0.28859, -0.271799, -0.250774, -0.172939, -0.12826, -0.108234, -0.0874713, -0.0651122, -0.0515999, -0.0353045, -0.0248408, -0.0156169, -0.00809104, -0.00410499, -0.00158395, -0.000460599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.339522, 0.386408, 0.410187, 0.42747, 0.456752, 0.479662, 0.50092, 0.536884, 0.572542, 0.632927, 0.720541, 0.782896, 0.831106, 0.883524, 0.945879, 1.0558, 1.13991, 1.20346, 1.26581, 1.3059, 1.37033, 1.43268, 1.51848, 1.57236, 1.69707, 1.82178, 1.93685");
-            values ( \
-              "-0.255444, -0.27804, -0.310519, -0.325497, -0.340732, -0.346465, -0.348935, -0.349431, -0.347188, -0.340599, -0.327169, -0.314184, -0.300422, -0.278139, -0.238164, -0.161595, -0.114766, -0.0873112, -0.0660633, -0.0550539, -0.0408672, -0.0304592, -0.020231, -0.0155712, -0.00831761, -0.0044804, -0.00269241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.475451, 0.482408, 0.493433, 0.501612, 0.507316, 0.516474, 0.524757, 0.53263, 0.540349, 0.548062, 0.553528, 0.567533, 0.572692, 0.576401, 0.58342, 0.589855, 0.595427, 0.60065, 0.609014, 0.616849, 0.626585, 0.662451, 0.679734, 0.70173");
-            values ( \
-              "-0.011878, -0.025853, -0.0460046, -0.059002, -0.0667105, -0.0764787, -0.0817692, -0.0846738, -0.0853077, -0.0817178, -0.0748784, -0.039535, -0.0294056, -0.0235273, -0.0152164, -0.00971119, -0.00575234, -0.00372779, -0.00318694, -0.00351062, -0.00321827, -0.000952488, -0.000423713, -0.000160181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.481089, 0.492858, 0.502135, 0.515662, 0.526944, 0.537241, 0.550104, 0.556156, 0.560345, 0.565132, 0.569579, 0.575509, 0.590124, 0.599399, 0.612869, 0.625078, 0.632647, 0.643082, 0.651444, 0.682055, 0.716914");
-            values ( \
-              "-0.0475924, -0.063868, -0.0868619, -0.112867, -0.127862, -0.135924, -0.140674, -0.14044, -0.138651, -0.134771, -0.128483, -0.115392, -0.0688195, -0.0462905, -0.0247695, -0.0134494, -0.00838807, -0.00410836, -0.00309464, -0.00300919, -0.00184071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.484123, 0.497577, 0.511676, 0.522641, 0.529661, 0.544983, 0.550076, 0.559133, 0.572854, 0.585111, 0.589452, 0.59494, 0.60075, 0.612371, 0.639538, 0.653026, 0.662461, 0.671715, 0.684052, 0.693893, 0.710518, 0.72991, 0.756486, 0.833103");
-            values ( \
-              "-0.0759451, -0.0961316, -0.137028, -0.161461, -0.173685, -0.193461, -0.197488, -0.202853, -0.206203, -0.204381, -0.202239, -0.197921, -0.190706, -0.165356, -0.0864381, -0.0587804, -0.0442318, -0.0332667, -0.0224809, -0.0162448, -0.00866898, -0.00364822, -0.00233789, -0.00135993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.487099, 0.501412, 0.516895, 0.526518, 0.542157, 0.55273, 0.564198, 0.575772, 0.586995, 0.597722, 0.619427, 0.641134, 0.651338, 0.661871, 0.67011, 0.679841, 0.709137, 0.73631, 0.748872, 0.765622, 0.785085, 0.799001, 0.819739, 0.843289, 0.861796, 0.898811, 0.948021, 1.12696");
-            values ( \
-              "-0.113939, -0.124374, -0.17439, -0.198213, -0.228, -0.243364, -0.25382, -0.261519, -0.265975, -0.268749, -0.268223, -0.260749, -0.253308, -0.242321, -0.230048, -0.21079, -0.144936, -0.0953177, -0.0775451, -0.0582811, -0.0414984, -0.0323687, -0.0221563, -0.0141872, -0.00968174, -0.00412709, -0.00208468, -0.0005952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.493995, 0.523612, 0.546549, 0.556525, 0.570296, 0.581646, 0.592, 0.611977, 0.63064, 0.668716, 0.706797, 0.734055, 0.74716, 0.767444, 0.790012, 0.834001, 0.872763, 0.90587, 0.92137, 0.959328, 0.988993, 1.01557, 1.04232, 1.081, 1.12289, 1.15534, 1.21769, 1.28005, 1.3424, 1.59183");
-            values ( \
-              "-0.176049, -0.209713, -0.259074, -0.274003, -0.289305, -0.298875, -0.305488, -0.313726, -0.317258, -0.316822, -0.309515, -0.300466, -0.294771, -0.283524, -0.265632, -0.211272, -0.160027, -0.122928, -0.108054, -0.0778242, -0.0596293, -0.0467778, -0.036459, -0.0252571, -0.0168691, -0.0121684, -0.00618111, -0.00332559, -0.00207784, -0.000580274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.502585, 0.545878, 0.576105, 0.590504, 0.619303, 0.651612, 0.68895, 0.735783, 0.79516, 0.835684, 0.883705, 0.946061, 0.994272, 1.04669, 1.10905, 1.21897, 1.30308, 1.36663, 1.42898, 1.46906, 1.53349, 1.59585, 1.68165, 1.79788, 1.86024, 1.98495, 2.10966, 2.12126");
-            values ( \
-              "-0.261947, -0.270949, -0.312405, -0.324643, -0.339987, -0.347611, -0.349497, -0.347187, -0.340705, -0.335074, -0.327162, -0.314179, -0.30042, -0.278134, -0.238165, -0.161592, -0.114763, -0.0873078, -0.0660658, -0.0550511, -0.0408648, -0.0304623, -0.0202282, -0.0114112, -0.0083203, -0.0044831, -0.00254533, -0.00248659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.812267, 0.818421, 0.829091, 0.83747, 0.844036, 0.853352, 0.861759, 0.869727, 0.877526, 0.885442, 0.891199, 0.904617, 0.908336, 0.914199, 0.92127, 0.932295, 0.937483, 0.945867, 0.95412, 0.963573, 0.99852, 1.00431");
-            values ( \
-              "-0.0179833, -0.0246712, -0.0434358, -0.0566309, -0.0655347, -0.075234, -0.0807592, -0.0836797, -0.08456, -0.0809676, -0.0735208, -0.0400823, -0.0324626, -0.0229134, -0.0147681, -0.00605061, -0.00387462, -0.00317901, -0.00353922, -0.00323899, -0.00101522, -0.000821189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.815105, 0.821812, 0.825171, 0.833077, 0.84044, 0.850725, 0.85606, 0.865429, 0.874328, 0.884265, 0.894032, 0.904006, 0.906998, 0.910416, 0.928434, 0.932924, 0.940038, 0.946244, 0.952487, 0.960811, 0.968996, 0.973579, 0.98094, 0.990755, 1.0203, 1.0738, 1.098");
-            values ( \
-              "-0.0371632, -0.0438046, -0.0505438, -0.0720193, -0.0885752, -0.10814, -0.116355, -0.127532, -0.134464, -0.138829, -0.13941, -0.132641, -0.128328, -0.121598, -0.0663072, -0.0548278, -0.0399509, -0.0299465, -0.022269, -0.0146886, -0.00900574, -0.00642505, -0.00399284, -0.0029803, -0.0029482, -0.000803144, -0.000363565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.820938, 0.833884, 0.842098, 0.848486, 0.859629, 0.866744, 0.882224, 0.896507, 0.910334, 0.924071, 0.937805, 0.9393, 0.945281, 0.972995, 0.985919, 0.995057, 1.00282, 1.01251, 1.02543, 1.03309, 1.04271, 1.05554, 1.07792, 1.23299");
-            values ( \
-              "-0.0835439, -0.0927194, -0.117898, -0.134513, -0.159172, -0.171604, -0.191776, -0.201639, -0.205862, -0.2038, -0.191501, -0.189471, -0.177615, -0.0964312, -0.0672269, -0.0512887, -0.0405195, -0.0300006, -0.019805, -0.0153089, -0.0107478, -0.00621854, -0.00264637, -0.000521456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.823953, 0.843141, 0.853911, 0.863452, 0.879299, 0.889958, 0.911276, 0.916903, 0.924407, 0.935102, 0.956794, 0.978488, 0.988339, 0.998507, 1.00901, 1.02302, 1.0421, 1.06167, 1.07512, 1.08266, 1.09773, 1.11163, 1.12854, 1.15109, 1.16609, 1.17434, 1.19085, 1.21594, 1.25304, 1.30809, 1.47527");
-            values ( \
-              "-0.109705, -0.139657, -0.17212, -0.195581, -0.225963, -0.240705, -0.26016, -0.263048, -0.26605, -0.268668, -0.268622, -0.260935, -0.253922, -0.243523, -0.227503, -0.197807, -0.154284, -0.115185, -0.0929853, -0.0822059, -0.0636882, -0.0500743, -0.0371497, -0.0246664, -0.0186863, -0.0159593, -0.0115059, -0.00658114, -0.00321803, -0.00188153, -0.000536591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.830938, 0.856306, 0.873422, 0.887354, 0.911495, 0.929411, 0.958156, 0.968053, 0.987088, 1.00599, 1.04397, 1.06025, 1.07706, 1.09849, 1.12709, 1.17071, 1.21102, 1.23716, 1.25892, 1.28341, 1.32061, 1.35262, 1.37619, 1.41729, 1.46255, 1.49835, 1.56071, 1.62306, 1.68542, 1.70784");
-            values ( \
-              "-0.190218, -0.194643, -0.237267, -0.262773, -0.292675, -0.305646, -0.316453, -0.317805, -0.318602, -0.31727, -0.310061, -0.305088, -0.29866, -0.287848, -0.266078, -0.212028, -0.158649, -0.129373, -0.107992, -0.0874701, -0.0627511, -0.0468669, -0.0376486, -0.0254768, -0.0164624, -0.0114589, -0.00579676, -0.00318224, -0.0019885, -0.00185989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.839379, 0.886308, 0.910352, 0.956024, 0.979369, 1.00096, 1.03689, 1.13119, 1.22009, 1.29844, 1.35578, 1.42703, 1.63302, 1.70794, 1.7703, 1.85455, 1.99266, 2.1747, 2.25885");
-            values ( \
-              "-0.256859, -0.276144, -0.309684, -0.340387, -0.346727, -0.349317, -0.350095, -0.3416, -0.328033, -0.310098, -0.291013, -0.250967, -0.117834, -0.0853562, -0.0645217, -0.0438542, -0.0228161, -0.0092857, -0.00709613" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0102823", \
-            "0.0115369", \
-            "0.0122237", \
-            "0.0126353", \
-            "0.01285", \
-            "0.0129686" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0123704", \
-            "0.0127357", \
-            "0.0131098", \
-            "0.0137915", \
-            "0.0147698", \
-            "0.0158889" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(CK * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.165787, 0.180148, 0.205851, 0.252865, 0.340858, 0.517001", \
-            "0.170538, 0.184901, 0.210607, 0.257602, 0.345641, 0.521737", \
-            "0.180879, 0.1952, 0.220958, 0.267951, 0.355967, 0.532099", \
-            "0.197346, 0.211703, 0.23742, 0.284463, 0.372487, 0.54862", \
-            "0.213365, 0.227731, 0.253488, 0.300565, 0.388619, 0.564768", \
-            "0.22138, 0.235747, 0.261617, 0.308824, 0.396966, 0.573101" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0477163, 0.060806, 0.0872335, 0.139777, 0.24924, 0.483556", \
-            "0.0477124, 0.0608076, 0.08719, 0.139786, 0.249236, 0.483535", \
-            "0.0477227, 0.0607811, 0.0871841, 0.139779, 0.249273, 0.483553", \
-            "0.0477444, 0.0608257, 0.0872461, 0.139857, 0.249228, 0.483549", \
-            "0.0478607, 0.0609498, 0.0872156, 0.139829, 0.249418, 0.483564", \
-            "0.0482758, 0.0612813, 0.0876327, 0.140093, 0.249324, 0.483588" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.003212, 0.00321204, 0.00321208, 0.00321212, 0.00321214, 0.00321215", \
-            "0.0041769, 0.00417689, 0.0041769, 0.00417691, 0.00417692, 0.00417692", \
-            "0.00481266, 0.00481264, 0.00481262, 0.00481261, 0.0048126, 0.0048126", \
-            "0.00521558, 0.00521556, 0.00521554, 0.00521552, 0.0052155, 0.00521549", \
-            "0.00547582, 0.00547581, 0.00547579, 0.00547577, 0.00547575, 0.00547574", \
-            "0.00564258, 0.00564258, 0.00564257, 0.00564255, 0.00564254, 0.00564252" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00506425, 0.00506415, 0.00506408, 0.00506404, 0.00506402, 0.00506401", \
-            "0.00542775, 0.00542763, 0.0054275, 0.0054274, 0.00542734, 0.0054273", \
-            "0.0052845, 0.00528438, 0.00528421, 0.00528404, 0.00528392, 0.00528384", \
-            "0.00554954, 0.00554962, 0.00554962, 0.00554953, 0.0055494, 0.0055493", \
-            "0.00594473, 0.00594361, 0.00594267, 0.00594209, 0.0059418, 0.00594166", \
-            "0.00572172, 0.00572787, 0.00575408, 0.0057442, 0.00572616, 0.00572391" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.12391, 0.131699, 0.140243, 0.147235, 0.153341, 0.161135, 0.166266, 0.169727, 0.177309, 0.184799, 0.192293, 0.193689, 0.19795, 0.201074, 0.21215, 0.218578, 0.223739, 0.226881, 0.23355, 0.239014, 0.244113, 0.252847, 0.261943, 0.271523, 0.307342, 0.31426");
-            values ( \
-              "-0.0020871, -0.0315987, -0.052047, -0.0639088, -0.0723578, -0.0803654, -0.0838457, -0.0854851, -0.087553, -0.0874098, -0.0830157, -0.0816446, -0.0746747, -0.0681232, -0.0387577, -0.0265782, -0.019339, -0.0158956, -0.0101126, -0.00616689, -0.00368566, -0.00272747, -0.0032859, -0.00313641, -0.00102286, -0.000875557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.127742, 0.13543, 0.140955, 0.148845, 0.154411, 0.161375, 0.172098, 0.182054, 0.191689, 0.201251, 0.211705, 0.215283, 0.220054, 0.234478, 0.24422, 0.251444, 0.257466, 0.267373, 0.276804, 0.284057, 0.289397, 0.300077, 0.330058, 0.364614, 0.374084");
-            values ( \
-              "-0.0241426, -0.0574802, -0.0753133, -0.0958689, -0.10767, -0.119779, -0.13309, -0.139789, -0.14246, -0.141645, -0.132609, -0.126464, -0.114802, -0.0688803, -0.0452934, -0.0324679, -0.0245068, -0.015163, -0.00885626, -0.00523031, -0.00377551, -0.00287757, -0.00292128, -0.00140755, -0.00118237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.131173, 0.138557, 0.146911, 0.157561, 0.174681, 0.182778, 0.189561, 0.203126, 0.210195, 0.217296, 0.226502, 0.231059, 0.237993, 0.245414, 0.258957, 0.279982, 0.294706, 0.303211, 0.313356, 0.33048, 0.339844, 0.354163, 0.373255, 0.397534, 0.55577");
-            values ( \
-              "-0.0671554, -0.0853197, -0.116288, -0.146594, -0.179914, -0.190424, -0.196701, -0.204264, -0.205692, -0.20582, -0.203938, -0.201803, -0.196981, -0.188258, -0.158817, -0.0972577, -0.0647229, -0.0502749, -0.0370319, -0.0216125, -0.0158284, -0.0092728, -0.00396378, -0.00235374, -0.000342623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.135163, 0.150777, 0.171565, 0.180933, 0.194021, 0.203488, 0.21208, 0.220134, 0.230305, 0.248004, 0.25939, 0.274008, 0.286409, 0.303472, 0.309688, 0.32169, 0.360032, 0.386886, 0.414538, 0.429353, 0.449106, 0.465754, 0.490615, 0.523763, 0.565517, 0.660015");
-            values ( \
-              "-0.120152, -0.148466, -0.206015, -0.22372, -0.241764, -0.250915, -0.256682, -0.260217, -0.263152, -0.265271, -0.265727, -0.264045, -0.259559, -0.246556, -0.239076, -0.218086, -0.133057, -0.0870294, -0.0543531, -0.0418983, -0.0293576, -0.0216157, -0.0134412, -0.00649004, -0.00265114, -0.00174973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.142879, 0.170396, 0.194781, 0.209442, 0.22114, 0.232277, 0.265855, 0.291209, 0.307851, 0.325993, 0.347918, 0.3761, 0.391997, 0.423789, 0.434756, 0.456688, 0.529126, 0.565827, 0.602821, 0.632845, 0.660488, 0.697344, 0.728239, 0.772071, 0.830513, 0.891979, 1.01491, 1.02045");
-            values ( \
-              "-0.216149, -0.222626, -0.268275, -0.284929, -0.293453, -0.299913, -0.312481, -0.317261, -0.31753, -0.31599, -0.311886, -0.302862, -0.296093, -0.276084, -0.266547, -0.241034, -0.14598, -0.108046, -0.0784398, -0.0598487, -0.0464752, -0.0329194, -0.0245139, -0.0160242, -0.0087165, -0.00442187, -0.0016707, -0.00164401" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.148787, 0.194176, 0.220087, 0.266607, 0.295665, 0.328367, 0.380813, 0.416609, 0.453447, 0.528348, 0.606589, 0.668055, 0.691181, 0.735223, 0.88188, 0.95164, 1.02434, 1.08423, 1.13941, 1.1915, 1.25296, 1.31945, 1.42063, 1.48209, 1.60502, 1.72795, 1.75941");
-            values ( \
-              "-0.268818, -0.282074, -0.310759, -0.33708, -0.346556, -0.350413, -0.348461, -0.344507, -0.339858, -0.327856, -0.310599, -0.289499, -0.278507, -0.251008, -0.150245, -0.112749, -0.0822764, -0.062771, -0.0487522, -0.0383003, -0.0285842, -0.0208628, -0.0127231, -0.00928864, -0.00500901, -0.00282519, -0.00264399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.144621, 0.159283, 0.165974, 0.171973, 0.180165, 0.184642, 0.188361, 0.195944, 0.20035, 0.203406, 0.209519, 0.214246, 0.219712, 0.230334, 0.235329, 0.244389, 0.249319, 0.258461, 0.263625, 0.272398, 0.281155, 0.290868, 0.327045, 0.366695");
-            values ( \
-              "-0.0153957, -0.0530263, -0.0641863, -0.0723899, -0.0807022, -0.0836405, -0.0855346, -0.0875831, -0.0878656, -0.08735, -0.084278, -0.0789285, -0.0677285, -0.0397112, -0.0297236, -0.0170311, -0.0123844, -0.00563997, -0.00345432, -0.00277474, -0.00330345, -0.00309362, -0.000988175, -0.000188168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.148041, 0.153333, 0.16519, 0.172064, 0.180021, 0.190757, 0.200699, 0.210334, 0.219897, 0.230351, 0.233928, 0.238697, 0.253128, 0.262861, 0.270078, 0.276117, 0.286031, 0.295458, 0.302705, 0.30804, 0.318709, 0.348688, 0.383241, 0.429074");
-            values ( \
-              "-0.0477303, -0.0550192, -0.0904743, -0.105691, -0.119806, -0.133076, -0.139801, -0.142441, -0.141657, -0.132608, -0.126465, -0.114808, -0.0688708, -0.0453042, -0.0324868, -0.0245017, -0.0151549, -0.00885143, -0.00522954, -0.00377669, -0.00287782, -0.00292165, -0.00140801, -0.000317619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.152358, 0.163688, 0.176281, 0.18646, 0.201475, 0.208282, 0.221895, 0.235013, 0.24195, 0.249774, 0.256693, 0.264133, 0.27581, 0.30319, 0.316851, 0.335438, 0.357626, 0.374376, 0.393676, 0.419789, 0.479634");
-            values ( \
-              "-0.099955, -0.109785, -0.14662, -0.168491, -0.190369, -0.196726, -0.204249, -0.205882, -0.204909, -0.201806, -0.196954, -0.188265, -0.163958, -0.0861921, -0.0584693, -0.0333179, -0.0163295, -0.00871418, -0.00364809, -0.00233721, -0.00156578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.155505, 0.171596, 0.181099, 0.190344, 0.198505, 0.215947, 0.228067, 0.238926, 0.249693, 0.276791, 0.283045, 0.294014, 0.305192, 0.322648, 0.328475, 0.340129, 0.377119, 0.405039, 0.42155, 0.437858, 0.455559, 0.471975, 0.486976, 0.501986, 0.521999, 0.55007, 0.595794, 0.682945");
-            values ( \
-              "-0.143303, -0.155503, -0.184078, -0.206005, -0.22156, -0.245096, -0.255085, -0.260205, -0.263375, -0.265763, -0.265542, -0.263741, -0.259568, -0.246125, -0.239072, -0.218802, -0.136442, -0.087907, -0.0666347, -0.0502289, -0.0367113, -0.0273487, -0.0206886, -0.0155699, -0.0104421, -0.00545864, -0.00237697, -0.00164712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.159045, 0.202924, 0.228798, 0.251996, 0.284141, 0.31029, 0.326896, 0.367004, 0.395168, 0.411073, 0.442884, 0.475728, 0.549456, 0.584911, 0.621629, 0.651804, 0.6798, 0.717128, 0.747551, 0.790696, 0.848222, 0.909688, 1.00166");
-            values ( \
-              "-0.174295, -0.251421, -0.285353, -0.30035, -0.312308, -0.317306, -0.317498, -0.311912, -0.302847, -0.296111, -0.276053, -0.241067, -0.144547, -0.108051, -0.0786366, -0.0599067, -0.0463685, -0.0327039, -0.0244522, -0.016091, -0.00885566, -0.00448132, -0.00238636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.166404, 0.212863, 0.239971, 0.280013, 0.31022, 0.327982, 0.346454, 0.368769, 0.396432, 0.435721, 0.547017, 0.625257, 0.686722, 0.709842, 0.753884, 0.900542, 0.970299, 1.04299, 1.10289, 1.15807, 1.21016, 1.27162, 1.3381, 1.43746, 1.49892, 1.62185, 1.74478, 1.78379");
-            values ( \
-              "-0.255907, -0.282416, -0.311973, -0.334587, -0.345505, -0.348795, -0.350317, -0.350318, -0.34864, -0.344491, -0.327801, -0.310546, -0.28955, -0.278455, -0.251064, -0.150212, -0.112723, -0.082254, -0.0627932, -0.0487689, -0.0382844, -0.0285987, -0.0208582, -0.0128378, -0.00937964, -0.00505577, -0.00284831, -0.00262124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.184042, 0.191565, 0.200034, 0.206904, 0.213217, 0.221745, 0.225934, 0.229608, 0.237188, 0.244682, 0.252175, 0.253572, 0.257833, 0.260957, 0.272033, 0.278462, 0.283624, 0.286765, 0.293433, 0.298899, 0.303999, 0.312735, 0.321833, 0.331414, 0.367236, 0.374284");
-            values ( \
-              "-0.00335195, -0.0315252, -0.051863, -0.0635671, -0.0723414, -0.0809447, -0.0837389, -0.0854847, -0.0875469, -0.0874089, -0.0830095, -0.0816426, -0.0746728, -0.0681206, -0.0387554, -0.0265769, -0.0193367, -0.0158942, -0.0101116, -0.00616575, -0.00368506, -0.00272848, -0.00328692, -0.00313678, -0.00102232, -0.000872314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.187596, 0.195209, 0.200515, 0.208679, 0.216149, 0.221208, 0.231326, 0.241878, 0.251491, 0.261052, 0.271518, 0.275131, 0.279949, 0.294148, 0.304237, 0.311744, 0.317086, 0.326741, 0.336296, 0.343771, 0.349309, 0.360387, 0.390458, 0.425097, 0.471263");
-            values ( \
-              "-0.0244546, -0.057284, -0.0743999, -0.0959056, -0.111268, -0.119724, -0.132516, -0.139675, -0.142497, -0.141555, -0.132569, -0.126349, -0.114528, -0.0692724, -0.0448748, -0.0317275, -0.0247214, -0.0155011, -0.00904609, -0.00526127, -0.0037469, -0.00286106, -0.00289964, -0.00138247, -0.000307709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.191099, 0.198538, 0.205817, 0.213299, 0.225759, 0.234418, 0.241808, 0.249528, 0.263536, 0.276261, 0.283321, 0.291021, 0.299515, 0.305379, 0.317107, 0.335773, 0.344474, 0.358031, 0.367489, 0.376722, 0.389034, 0.398914, 0.415506, 0.434822, 0.460888, 0.621539");
-            values ( \
-              "-0.0659653, -0.0853807, -0.112886, -0.135676, -0.164682, -0.179693, -0.189281, -0.19674, -0.204362, -0.205859, -0.204943, -0.20184, -0.195543, -0.188336, -0.163812, -0.1083, -0.0860888, -0.0585733, -0.0441413, -0.0332662, -0.0225137, -0.0162971, -0.00874985, -0.00366227, -0.0023357, -0.000268297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.197922, 0.215913, 0.231488, 0.239462, 0.257086, 0.269207, 0.280064, 0.290831, 0.317943, 0.335148, 0.346325, 0.363796, 0.369607, 0.38123, 0.418139, 0.446178, 0.46241, 0.478972, 0.496921, 0.513289, 0.543023, 0.562801, 0.590075, 0.635618, 0.726635");
-            values ( \
-              "-0.157968, -0.165825, -0.206113, -0.221252, -0.245095, -0.255126, -0.260192, -0.263406, -0.265783, -0.263762, -0.259584, -0.24612, -0.239079, -0.218874, -0.136661, -0.0878833, -0.0669316, -0.0502297, -0.0365445, -0.0272704, -0.0155844, -0.0105293, -0.00559412, -0.0024215, -0.0016484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.202464, 0.230305, 0.252496, 0.268002, 0.279024, 0.306908, 0.332787, 0.353347, 0.368675, 0.384032, 0.404508, 0.427312, 0.451899, 0.488957, 0.506293, 0.592809, 0.625796, 0.66159, 0.692266, 0.721458, 0.760381, 0.789202, 0.822674, 0.867303, 0.928084, 0.989549, 1.05101, 1.29688");
-            values ( \
-              "-0.210938, -0.222723, -0.265414, -0.283585, -0.292236, -0.30579, -0.314241, -0.317358, -0.317588, -0.3163, -0.312614, -0.306076, -0.296045, -0.271718, -0.253919, -0.141657, -0.107988, -0.0792201, -0.0601805, -0.0460791, -0.0319157, -0.0243119, -0.017611, -0.0111639, -0.00576611, -0.00316476, -0.00201795, -0.00059432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.210468, 0.323927, 0.354449, 0.388449, 0.441058, 0.476854, 0.588596, 0.666837, 0.728303, 0.795471, 0.942128, 1.01189, 1.14448, 1.25175, 1.3797, 1.54234, 1.66895");
-            values ( \
-              "-0.271293, -0.335759, -0.346212, -0.350384, -0.348429, -0.344548, -0.327819, -0.310563, -0.289535, -0.251044, -0.150212, -0.112718, -0.0628018, -0.0382703, -0.0208343, -0.00931682, -0.00598798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.26804, 0.276094, 0.284553, 0.291718, 0.300212, 0.306353, 0.30906, 0.31422, 0.321801, 0.3293, 0.336797, 0.338189, 0.342451, 0.345579, 0.35666, 0.363077, 0.368226, 0.371385, 0.378091, 0.383553, 0.388659, 0.397423, 0.406545, 0.416134, 0.452, 0.459334");
-            values ( \
-              "-0.00125632, -0.0313157, -0.0515546, -0.0637803, -0.0750542, -0.0808561, -0.0827682, -0.0854572, -0.0874997, -0.0873931, -0.0829783, -0.0816295, -0.0746686, -0.0681102, -0.0387436, -0.0265923, -0.0193617, -0.0158985, -0.0100839, -0.00614632, -0.00367435, -0.00273287, -0.00329078, -0.00313481, -0.00101565, -0.000860685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.272017, 0.27978, 0.284995, 0.290919, 0.298102, 0.305865, 0.31661, 0.326553, 0.336191, 0.345756, 0.356212, 0.359786, 0.364553, 0.379, 0.388713, 0.395912, 0.401995, 0.411923, 0.421342, 0.428573, 0.433893, 0.444532, 0.474503, 0.509047, 0.518321");
-            values ( \
-              "-0.0226746, -0.0568994, -0.0736891, -0.0900816, -0.105987, -0.119711, -0.133031, -0.139747, -0.142413, -0.141621, -0.132584, -0.126452, -0.114815, -0.0688379, -0.0453279, -0.032534, -0.0244892, -0.0151355, -0.008841, -0.00522953, -0.00378052, -0.00288003, -0.00292308, -0.00140971, -0.00118875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.275355, 0.295221, 0.312073, 0.319188, 0.334069, 0.347664, 0.354322, 0.361796, 0.370992, 0.375574, 0.382508, 0.389929, 0.40358, 0.423862, 0.439918, 0.457411, 0.470575, 0.482793, 0.498548, 0.511268, 0.534461, 0.68846");
-            values ( \
-              "-0.0607199, -0.128148, -0.168018, -0.179928, -0.196713, -0.204188, -0.205613, -0.205788, -0.203932, -0.201787, -0.196981, -0.188267, -0.158528, -0.0989221, -0.0634412, -0.0375532, -0.0249111, -0.016697, -0.0093217, -0.0053784, -0.00245221, -0.000427222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.279499, 0.292561, 0.300594, 0.316171, 0.329137, 0.339808, 0.353537, 0.364744, 0.3787, 0.397523, 0.408871, 0.424455, 0.431003, 0.442167, 0.454246, 0.475803, 0.507817, 0.529535, 0.557336, 0.571417, 0.591452, 0.610685, 0.635057, 0.667554, 0.709228, 0.792467");
-            values ( \
-              "-0.120981, -0.137614, -0.16591, -0.206152, -0.229339, -0.24309, -0.254785, -0.260318, -0.263674, -0.265667, -0.26555, -0.26242, -0.259608, -0.252274, -0.23897, -0.197449, -0.126931, -0.0900501, -0.0562144, -0.0439535, -0.0307368, -0.0215269, -0.0134992, -0.00667436, -0.00266723, -0.00185856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.286982, 0.315004, 0.339396, 0.356875, 0.367561, 0.378553, 0.408767, 0.420378, 0.435956, 0.452629, 0.470168, 0.492732, 0.520434, 0.536571, 0.568845, 0.579334, 0.600313, 0.674744, 0.710411, 0.747082, 0.777282, 0.805339, 0.842747, 0.87309, 0.916117, 0.973487, 1.03495, 1.15788, 1.15903");
-            values ( \
-              "-0.20801, -0.222855, -0.26841, -0.287223, -0.294685, -0.300529, -0.311958, -0.314912, -0.31726, -0.317639, -0.316138, -0.311787, -0.303017, -0.29605, -0.275748, -0.266501, -0.242309, -0.14474, -0.108002, -0.0786214, -0.0599647, -0.0463964, -0.0326213, -0.0244871, -0.0161466, -0.00883556, -0.00453541, -0.0017267, -0.00172113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.294742, 0.353459, 0.376509, 0.408647, 0.439044, 0.472945, 0.495397, 0.525499, 0.561295, 0.673036, 0.751278, 0.812743, 0.835869, 0.879911, 1.02657, 1.09633, 1.16902, 1.22892, 1.2841, 1.33619, 1.39765, 1.46414, 1.56388, 1.62534, 1.74827, 1.8712, 1.88936");
-            values ( \
-              "-0.269481, -0.301106, -0.319329, -0.335955, -0.346302, -0.350418, -0.350254, -0.348445, -0.344538, -0.327829, -0.310573, -0.289525, -0.278481, -0.251034, -0.150221, -0.112725, -0.0822528, -0.0627944, -0.0487753, -0.0382771, -0.0286072, -0.0208401, -0.012793, -0.00938086, -0.00506748, -0.00286597, -0.00276066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.435952, 0.445912, 0.451469, 0.458302, 0.46444, 0.472633, 0.47536, 0.480915, 0.488529, 0.491471, 0.496008, 0.503511, 0.504982, 0.512318, 0.522632, 0.528487, 0.5322, 0.53884, 0.544504, 0.551992, 0.557648, 0.563425, 0.578195, 0.623383");
-            values ( \
-              "-0.0114444, -0.039274, -0.0519189, -0.0634082, -0.0718858, -0.0802721, -0.0822394, -0.0852037, -0.0873339, -0.0875579, -0.0872377, -0.0828226, -0.0814173, -0.0680214, -0.0404519, -0.0287799, -0.0229961, -0.0151883, -0.0103385, -0.00522432, -0.00327582, -0.00290512, -0.00324714, -0.000908816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.439349, 0.456501, 0.466437, 0.472434, 0.483219, 0.493195, 0.502849, 0.512429, 0.522006, 0.524074, 0.531163, 0.545814, 0.554092, 0.566902, 0.574295, 0.582744, 0.595828, 0.607274, 0.638037, 0.669977");
-            values ( \
-              "-0.036641, -0.0869378, -0.108831, -0.119089, -0.132673, -0.139387, -0.142203, -0.141385, -0.133707, -0.130542, -0.114974, -0.0684866, -0.0481439, -0.0266577, -0.0187518, -0.0121882, -0.00504625, -0.00306686, -0.00305823, -0.00196363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.443061, 0.45267, 0.462356, 0.468726, 0.478515, 0.485908, 0.494025, 0.500821, 0.514413, 0.521425, 0.528596, 0.537861, 0.542371, 0.550157, 0.556708, 0.559827, 0.565845, 0.586342, 0.594851, 0.604011, 0.610648, 0.621881, 0.627012, 0.635785, 0.648652, 0.655626, 0.666902, 0.681936, 0.707739, 0.7368, 0.865919");
-            values ( \
-              "-0.0803476, -0.0954571, -0.128893, -0.145876, -0.167095, -0.179475, -0.189979, -0.196388, -0.203945, -0.20543, -0.205595, -0.203749, -0.201644, -0.196107, -0.188442, -0.18344, -0.17047, -0.110191, -0.0881621, -0.0683158, -0.0563325, -0.0401756, -0.0343149, -0.0260838, -0.0171819, -0.0134912, -0.00870978, -0.00449895, -0.00228898, -0.0023849, -0.00032473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.446501, 0.459425, 0.467195, 0.48059, 0.496204, 0.508479, 0.520756, 0.531458, 0.542084, 0.567666, 0.575591, 0.586565, 0.597745, 0.614934, 0.621028, 0.633036, 0.671377, 0.698229, 0.725881, 0.740696, 0.760449, 0.777097, 0.801958, 0.835107, 0.876854, 0.96005");
-            values ( \
-              "-0.129956, -0.13796, -0.165249, -0.200806, -0.229542, -0.24494, -0.254964, -0.26005, -0.263186, -0.265605, -0.26544, -0.263639, -0.259522, -0.246373, -0.239061, -0.218057, -0.133039, -0.0870438, -0.0543687, -0.0419158, -0.0293399, -0.0216337, -0.0134599, -0.00647114, -0.00267028, -0.00187645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.452738, 0.476557, 0.489799, 0.498816, 0.520355, 0.531974, 0.540291, 0.572659, 0.583636, 0.594374, 0.608692, 0.62533, 0.644333, 0.663688, 0.693467, 0.703427, 0.723347, 0.746114, 0.789935, 0.829032, 0.862453, 0.882416, 0.913437, 0.944326, 0.972212, 1.00939, 1.03996, 1.08333, 1.14114, 1.20261, 1.26407, 1.32554, 1.5714");
-            values ( \
-              "-0.204411, -0.208486, -0.24038, -0.257205, -0.284357, -0.292853, -0.298135, -0.31085, -0.313987, -0.31612, -0.317504, -0.317282, -0.31497, -0.310696, -0.300564, -0.296061, -0.28481, -0.26647, -0.211757, -0.159834, -0.122358, -0.103512, -0.0790826, -0.0599198, -0.0464296, -0.0327446, -0.0244976, -0.0160941, -0.00878725, -0.00448652, -0.00266182, -0.00170167, -0.00050763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.460019, 0.505416, 0.531396, 0.578419, 0.606485, 0.620552, 0.639844, 0.662394, 0.692074, 0.727873, 0.826176, 0.866481, 0.917853, 0.979318, 1.00244, 1.04649, 1.14998, 1.21061, 1.25219, 1.32726, 1.38873, 1.47519, 1.5218, 1.61181, 1.6689, 1.79183, 1.91476, 2.03769, 2.40648");
-            values ( \
-              "-0.268918, -0.281867, -0.310602, -0.337011, -0.346217, -0.348705, -0.350263, -0.350261, -0.348353, -0.344595, -0.330194, -0.322594, -0.310524, -0.289578, -0.278431, -0.251089, -0.177522, -0.139969, -0.117904, -0.0853109, -0.0647641, -0.0435466, -0.0350226, -0.0228306, -0.0173159, -0.00937044, -0.00505633, -0.00285408, -0.000712443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.775306, 0.791815, 0.798477, 0.804967, 0.813678, 0.81989, 0.825225, 0.829322, 0.836885, 0.845766, 0.850033, 0.853263, 0.864431, 0.870602, 0.875516, 0.88008, 0.891832, 0.897048, 0.905891, 0.914806, 0.924504, 0.960715, 0.967389");
-            values ( \
-              "-0.0115811, -0.0500726, -0.0613803, -0.070561, -0.0795365, -0.0835782, -0.0857131, -0.0866538, -0.0867194, -0.0812365, -0.0744724, -0.0678552, -0.0385319, -0.0268689, -0.0198789, -0.0149308, -0.00578494, -0.00350445, -0.00280196, -0.00332051, -0.00311237, -0.000978114, -0.000843964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.775309, 0.785152, 0.797048, 0.8065, 0.813051, 0.823625, 0.832395, 0.837188, 0.843684, 0.853307, 0.863798, 0.867268, 0.871895, 0.887066, 0.895808, 0.902198, 0.910246, 0.915203, 0.931401, 0.936917, 0.946961, 0.97559, 1.02533");
-            values ( \
-              "-0.00525009, -0.0499779, -0.0849544, -0.106066, -0.11755, -0.131164, -0.137606, -0.139809, -0.141467, -0.140788, -0.13204, -0.126234, -0.115303, -0.0675869, -0.0464607, -0.0347128, -0.023854, -0.01882, -0.00739589, -0.00499531, -0.00315511, -0.00311132, -0.00112657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.78362, 0.793728, 0.809219, 0.819601, 0.826604, 0.834773, 0.841612, 0.855289, 0.861958, 0.869483, 0.878715, 0.883267, 0.890245, 0.897704, 0.911499, 0.937281, 0.949773, 0.966591, 0.979566, 0.991283, 1.00518, 1.01619, 1.03823, 1.12807");
-            values ( \
-              "-0.0901615, -0.0949122, -0.143654, -0.166293, -0.178067, -0.188812, -0.195375, -0.20318, -0.204688, -0.204973, -0.203234, -0.201172, -0.196459, -0.187845, -0.157996, -0.0850515, -0.059785, -0.0359654, -0.0239688, -0.0163738, -0.0098153, -0.00610457, -0.00265807, -0.00136042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.787567, 0.802784, 0.816069, 0.823711, 0.832462, 0.846761, 0.854997, 0.864071, 0.872559, 0.882974, 0.908375, 0.916794, 0.932125, 0.938959, 0.950131, 0.962216, 0.983353, 1.00674, 1.03529, 1.05337, 1.06808, 1.08042, 1.09512, 1.11624, 1.1317, 1.15695, 1.185, 1.21588, 1.25811, 1.31856");
-            values ( \
-              "-0.133542, -0.145463, -0.186133, -0.204121, -0.220815, -0.241077, -0.249153, -0.255424, -0.259347, -0.262392, -0.26531, -0.265215, -0.262239, -0.259415, -0.252085, -0.23888, -0.19833, -0.145197, -0.0932956, -0.0690283, -0.0536051, -0.043176, -0.0331383, -0.0225639, -0.0168367, -0.0101178, -0.00541924, -0.002993, -0.00197139, -0.00148492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.790717, 0.811621, 0.835633, 0.847127, 0.862023, 0.885209, 0.917925, 0.943595, 0.960274, 0.97873, 1.00041, 1.01353, 1.04454, 1.07625, 1.0873, 1.1094, 1.18316, 1.21573, 1.25874, 1.29472, 1.33698, 1.37651, 1.42194, 1.45755, 1.51901, 1.58048, 1.64194, 1.66259");
-            values ( \
-              "-0.172848, -0.189879, -0.249078, -0.267173, -0.284238, -0.299478, -0.312108, -0.317149, -0.317391, -0.315845, -0.311863, -0.308191, -0.296094, -0.276126, -0.266572, -0.240799, -0.144283, -0.110495, -0.0761553, -0.0550451, -0.0372279, -0.0255698, -0.0164936, -0.011508, -0.0058781, -0.00323993, -0.00202817, -0.00190619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.798879, 0.835317, 0.861288, 0.884608, 0.91508, 0.94552, 0.961887, 0.980694, 1.00321, 1.03341, 1.06921, 1.16751, 1.24023, 1.29737, 1.34364, 1.4051, 1.517, 1.59994, 1.66176, 1.72323, 1.76666, 1.83685, 1.89832, 1.97552, 2.08433, 2.14579, 2.26872, 2.39165, 2.76044");
-            values ( \
-              "-0.257778, -0.261119, -0.299916, -0.318512, -0.334811, -0.345713, -0.348677, -0.350245, -0.350229, -0.348354, -0.34458, -0.330209, -0.315348, -0.298707, -0.278438, -0.238918, -0.160884, -0.114749, -0.0879403, -0.0668234, -0.0548373, -0.0396117, -0.0296438, -0.0205043, -0.0120172, -0.00880863, -0.00477267, -0.00271441, -0.000694675" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302065", \
-            "0.00361675", \
-            "0.0039831", \
-            "0.00419802", \
-            "0.00431269", \
-            "0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434341", \
-            "0.0048304", \
-            "0.0051089", \
-            "0.0054248", \
-            "0.00593648", \
-            "0.00683593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(!CK * D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.167239, 0.18168, 0.207736, 0.254777, 0.342227, 0.518245", \
-            "0.171997, 0.186443, 0.212501, 0.25957, 0.347012, 0.523056", \
-            "0.182318, 0.196752, 0.222813, 0.269887, 0.357317, 0.533366", \
-            "0.198884, 0.213318, 0.239414, 0.286491, 0.373936, 0.550003", \
-            "0.215176, 0.229611, 0.255724, 0.302845, 0.39038, 0.566417", \
-            "0.223415, 0.237895, 0.264103, 0.311379, 0.398958, 0.574996" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0481597, 0.0614059, 0.0877451, 0.139659, 0.248523, 0.483348", \
-            "0.0481367, 0.061402, 0.0877428, 0.139726, 0.248458, 0.483278", \
-            "0.0481689, 0.06141, 0.0877456, 0.139726, 0.248583, 0.483279", \
-            "0.0481877, 0.0614235, 0.0878255, 0.139718, 0.248449, 0.483277", \
-            "0.0483437, 0.061566, 0.0878636, 0.139684, 0.248551, 0.483287", \
-            "0.0487577, 0.0619404, 0.0881638, 0.139991, 0.248621, 0.483218" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00321202, 0.00321206, 0.0032121, 0.00321214, 0.00321216, 0.00321217", \
-            "0.00417704, 0.00417704, 0.00417705, 0.00417706, 0.00417707, 0.00417707", \
-            "0.00481265, 0.00481263, 0.00481261, 0.0048126, 0.00481259, 0.00481259", \
-            "0.00521557, 0.00521555, 0.00521662, 0.0052155, 0.00521549, 0.00521548", \
-            "0.00547581, 0.0054758, 0.00547578, 0.00547576, 0.00547574, 0.00547573", \
-            "0.00564251, 0.00564251, 0.00564249, 0.00564248, 0.00564246, 0.00564245" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0050643, 0.0050642, 0.00506413, 0.00506409, 0.00506407, 0.00506406", \
-            "0.00542828, 0.00542816, 0.00542803, 0.00542793, 0.00542786, 0.00542783", \
-            "0.00528426, 0.00528414, 0.00528397, 0.0052838, 0.00528367, 0.0052836", \
-            "0.00554194, 0.00554202, 0.00554109, 0.00554194, 0.00554182, 0.00554171", \
-            "0.00593915, 0.00593811, 0.00593722, 0.00593664, 0.00593635, 0.0059362", \
-            "0.00572532, 0.00573193, 0.00575784, 0.00574702, 0.00572959, 0.00572769" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.126221, 0.132525, 0.13932, 0.142204, 0.1486, 0.154575, 0.161333, 0.167016, 0.171165, 0.178804, 0.186356, 0.193905, 0.19531, 0.202748, 0.213115, 0.219066, 0.222816, 0.22799, 0.232381, 0.241421, 0.246806, 0.255678, 0.264563, 0.274334, 0.310921, 0.311813");
-            values ( \
-              "-0.0107069, -0.0309277, -0.0470154, -0.0529274, -0.0632364, -0.0710201, -0.0785122, -0.082709, -0.0847379, -0.0869151, -0.086752, -0.0824177, -0.0810884, -0.0677351, -0.04026, -0.0283874, -0.0225696, -0.0163698, -0.0123368, -0.00576428, -0.00359306, -0.00303379, -0.00350453, -0.00318273, -0.000938044, -0.00091142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.132285, 0.141922, 0.146827, 0.156182, 0.168689, 0.173475, 0.183526, 0.193218, 0.202876, 0.213497, 0.217357, 0.222503, 0.239011, 0.249781, 0.261668, 0.268046, 0.277848, 0.285631, 0.291484, 0.30319, 0.333371, 0.364354");
-            values ( \
-              "-0.06724, -0.0737715, -0.0876228, -0.107295, -0.127002, -0.131689, -0.138894, -0.141086, -0.140179, -0.130792, -0.12431, -0.111729, -0.0616478, -0.0386439, -0.0222779, -0.0163575, -0.00947735, -0.00541919, -0.0038165, -0.00294883, -0.00284703, -0.00147698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.132215, 0.139576, 0.148071, 0.158892, 0.166657, 0.176177, 0.191164, 0.194393, 0.205353, 0.21925, 0.226195, 0.233157, 0.247617, 0.251545, 0.259402, 0.283876, 0.300371, 0.30949, 0.318059, 0.329484, 0.340079, 0.354239, 0.365236, 0.387229, 0.539689");
-            values ( \
-              "-0.0691335, -0.0837969, -0.114648, -0.144631, -0.162139, -0.178706, -0.195396, -0.197449, -0.201687, -0.202562, -0.202027, -0.200308, -0.189073, -0.182822, -0.164244, -0.0927278, -0.0580774, -0.0441246, -0.0338902, -0.0235671, -0.0166599, -0.00989343, -0.00614787, -0.00264204, -0.000458057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.138795, 0.156744, 0.173035, 0.181624, 0.19104, 0.198842, 0.208965, 0.231092, 0.243671, 0.254006, 0.266277, 0.273217, 0.288197, 0.308062, 0.311359, 0.317954, 0.331144, 0.35342, 0.372187, 0.383493, 0.399117, 0.415941, 0.430782, 0.450571, 0.46717, 0.491963, 0.525021, 0.566873, 0.801533");
-            values ( \
-              "-0.152534, -0.161926, -0.204519, -0.220632, -0.234277, -0.24255, -0.250091, -0.260229, -0.264978, -0.267523, -0.268236, -0.267268, -0.26199, -0.244621, -0.2405, -0.229428, -0.201463, -0.150232, -0.112896, -0.0943297, -0.0727714, -0.0543562, -0.0418639, -0.02937, -0.0215769, -0.0134204, -0.00654897, -0.00260999, -0.000380769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.143522, 0.171755, 0.196359, 0.20897, 0.234825, 0.258754, 0.273341, 0.28624, 0.300857, 0.315382, 0.344431, 0.372022, 0.393278, 0.433405, 0.451545, 0.527237, 0.566993, 0.60962, 0.636241, 0.685555, 0.725107, 0.770662, 0.806376, 0.86785, 0.990799, 1.01554");
-            values ( \
-              "-0.206592, -0.220864, -0.265885, -0.278643, -0.297963, -0.312064, -0.317133, -0.319429, -0.320101, -0.31922, -0.314007, -0.305449, -0.296335, -0.269006, -0.24934, -0.149573, -0.108056, -0.0746453, -0.0587296, -0.0372513, -0.0255815, -0.0164821, -0.0114848, -0.00586673, -0.00202585, -0.00187997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.151092, 0.195904, 0.207018, 0.25562, 0.285705, 0.303446, 0.326267, 0.354217, 0.382279, 0.434297, 0.49152, 0.529748, 0.591223, 0.641666, 0.692483, 0.753958, 0.857574, 0.95276, 1.02414, 1.08561, 1.14125, 1.19323, 1.25471, 1.32089, 1.42187, 1.48335, 1.6063, 1.72924, 1.76247");
-            values ( \
-              "-0.278333, -0.279577, -0.293109, -0.333102, -0.346616, -0.350213, -0.351814, -0.351144, -0.348818, -0.342675, -0.334314, -0.32778, -0.314846, -0.300301, -0.278449, -0.238931, -0.166166, -0.112884, -0.0828878, -0.0628444, -0.0487069, -0.0382449, -0.0285758, -0.0208654, -0.0127377, -0.00930515, -0.00501685, -0.00282788, -0.00263585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.14527, 0.151385, 0.159959, 0.169112, 0.181003, 0.18373, 0.189845, 0.195773, 0.200879, 0.205005, 0.212544, 0.214052, 0.21837, 0.221405, 0.231778, 0.237716, 0.241469, 0.246646, 0.251044, 0.260087, 0.265472, 0.274349, 0.283237, 0.293005, 0.329588, 0.331142");
-            values ( \
-              "-0.0134189, -0.0313035, -0.0513575, -0.0659394, -0.0794764, -0.0814324, -0.0847758, -0.0866102, -0.0872308, -0.0867376, -0.0824399, -0.080961, -0.0739948, -0.0677131, -0.0402448, -0.0284015, -0.0225684, -0.016375, -0.012335, -0.00576409, -0.00358765, -0.00303198, -0.00350125, -0.00318434, -0.000936525, -0.000890419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.148061, 0.165503, 0.174939, 0.181365, 0.187385, 0.192173, 0.202223, 0.211915, 0.221573, 0.232194, 0.236056, 0.241206, 0.257703, 0.268484, 0.280358, 0.286737, 0.296541, 0.304326, 0.310181, 0.321891, 0.352073, 0.385194");
-            values ( \
-              "-0.0348655, -0.0874865, -0.107357, -0.118215, -0.126957, -0.131752, -0.138856, -0.141137, -0.140142, -0.13079, -0.124304, -0.111712, -0.0616586, -0.0386313, -0.0222843, -0.0163615, -0.00947899, -0.00541913, -0.00381657, -0.00294828, -0.00284642, -0.00138189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.151748, 0.163308, 0.17756, 0.184972, 0.194843, 0.209782, 0.212871, 0.224019, 0.237917, 0.244858, 0.251818, 0.264847, 0.269042, 0.274635, 0.304144, 0.313339, 0.331022, 0.344815, 0.357611, 0.375771, 0.390729, 0.416643, 0.575032");
-            values ( \
-              "-0.075834, -0.103013, -0.144454, -0.161635, -0.178572, -0.195525, -0.197355, -0.201689, -0.20257, -0.202042, -0.200312, -0.190777, -0.184893, -0.17337, -0.088806, -0.068612, -0.0404219, -0.0262643, -0.0173054, -0.00878256, -0.00453009, -0.00226651, -0.000320425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.154941, 0.171667, 0.191704, 0.206016, 0.217511, 0.228985, 0.263127, 0.27417, 0.284938, 0.300453, 0.306877, 0.317939, 0.329951, 0.353534, 0.379895, 0.407105, 0.434743, 0.449568, 0.469334, 0.485954, 0.510779, 0.54388, 0.58557, 0.679675");
-            values ( \
-              "-0.117932, -0.150156, -0.204264, -0.229919, -0.242471, -0.251345, -0.265152, -0.267784, -0.268119, -0.26497, -0.26188, -0.254166, -0.240253, -0.193179, -0.134108, -0.0870777, -0.0543455, -0.0418668, -0.0293919, -0.0215768, -0.0134095, -0.00654198, -0.00261514, -0.00171739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.158741, 0.182281, 0.201253, 0.215225, 0.22605, 0.257669, 0.273383, 0.296464, 0.313532, 0.334261, 0.359624, 0.372495, 0.412153, 0.439988, 0.45485, 0.484574, 0.537879, 0.585755, 0.6235, 0.653711, 0.679346, 0.706295, 0.745116, 0.771126, 0.812877, 0.860158, 0.921633, 0.983107, 1.04303");
-            values ( \
-              "-0.165735, -0.198241, -0.244439, -0.265711, -0.277739, -0.300842, -0.310152, -0.31812, -0.31999, -0.319306, -0.314853, -0.311579, -0.296384, -0.279282, -0.266599, -0.230798, -0.159508, -0.108208, -0.0781066, -0.0594742, -0.0470402, -0.0366498, -0.0252931, -0.0197625, -0.01309, -0.00788307, -0.00414038, -0.00245185, -0.0020734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.163449, 0.198953, 0.221472, 0.268606, 0.281705, 0.312438, 0.329504, 0.353632, 0.385176, 0.431776, 0.548267, 0.626513, 0.687987, 0.711107, 0.755151, 0.90181, 0.97157, 1.04427, 1.10416, 1.15934, 1.21143, 1.2729, 1.33937, 1.44055, 1.50202, 1.62497, 1.74792, 1.79214");
-            values ( \
-              "-0.219342, -0.252614, -0.28877, -0.32933, -0.337614, -0.348668, -0.35102, -0.351943, -0.350237, -0.34529, -0.327813, -0.31056, -0.289514, -0.278471, -0.251033, -0.15023, -0.112739, -0.0822692, -0.0627771, -0.0487544, -0.0382983, -0.0285836, -0.0208698, -0.0127317, -0.0092797, -0.00499859, -0.0028137, -0.0025587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.186845, 0.201746, 0.209127, 0.221554, 0.226197, 0.231041, 0.238681, 0.246233, 0.253783, 0.25519, 0.262626, 0.272994, 0.278944, 0.287869, 0.29226, 0.3013, 0.306685, 0.315556, 0.324442, 0.3708, 0.372874");
-            values ( \
-              "-0.017269, -0.0527445, -0.064409, -0.0789973, -0.0823218, -0.0846867, -0.0869438, -0.0867175, -0.0824376, -0.0810878, -0.0677295, -0.0402559, -0.0283846, -0.0163667, -0.0123338, -0.00576128, -0.00359567, -0.00303127, -0.00350721, -0.000940719, -0.000900934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.189592, 0.195334, 0.206596, 0.216092, 0.222485, 0.228506, 0.233296, 0.243348, 0.253041, 0.262699, 0.273321, 0.277184, 0.282333, 0.298831, 0.309611, 0.321487, 0.327866, 0.337669, 0.345455, 0.35131, 0.36302, 0.393201, 0.428109, 0.428718");
-            values ( \
-              "-0.0413766, -0.0533152, -0.0872489, -0.10731, -0.118217, -0.126883, -0.131751, -0.138812, -0.141144, -0.140114, -0.130781, -0.124297, -0.111711, -0.0616576, -0.0386328, -0.0222827, -0.0163617, -0.00947786, -0.00541824, -0.00381735, -0.00294772, -0.00284571, -0.00130214, -0.00128883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.193432, 0.204657, 0.218702, 0.225869, 0.23599, 0.25083, 0.253865, 0.265167, 0.279066, 0.286007, 0.292967, 0.305995, 0.310193, 0.315791, 0.345295, 0.354488, 0.372172, 0.385966, 0.398762, 0.41692, 0.431878, 0.457718, 0.616091");
-            values ( \
-              "-0.0846587, -0.103471, -0.144482, -0.161023, -0.178614, -0.195375, -0.197249, -0.201675, -0.202565, -0.202034, -0.20031, -0.190774, -0.184886, -0.173354, -0.0888115, -0.0686147, -0.0404221, -0.0262642, -0.0173049, -0.00878217, -0.00453107, -0.00226926, -0.000321724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.196468, 0.21277, 0.232881, 0.246907, 0.258688, 0.270121, 0.296193, 0.315347, 0.326115, 0.341618, 0.348054, 0.359116, 0.371128, 0.394711, 0.421992, 0.447892, 0.475814, 0.490959, 0.511152, 0.527351, 0.551537, 0.583786, 0.625308, 0.715422");
-            values ( \
-              "-0.12408, -0.149697, -0.204335, -0.229423, -0.242533, -0.251255, -0.262566, -0.26777, -0.268133, -0.264964, -0.261888, -0.254161, -0.240258, -0.193176, -0.132252, -0.0876617, -0.0544622, -0.041723, -0.0290337, -0.0215014, -0.0135366, -0.00673132, -0.00268714, -0.00180802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.200313, 0.223493, 0.242557, 0.256794, 0.264024, 0.288179, 0.311422, 0.324844, 0.337598, 0.354035, 0.373351, 0.379464, 0.40047, 0.413607, 0.439883, 0.45327, 0.473437, 0.495936, 0.539755, 0.578854, 0.612282, 0.632228, 0.663222, 0.694135, 0.722045, 0.759259, 0.789798, 0.833112, 0.890864, 0.952338, 1.01381, 1.07529, 1.32118");
-            values ( \
-              "-0.172736, -0.198363, -0.244667, -0.266484, -0.274417, -0.293143, -0.308483, -0.314543, -0.318095, -0.320061, -0.319461, -0.318774, -0.31492, -0.311485, -0.302354, -0.296327, -0.284771, -0.266529, -0.211758, -0.159829, -0.122339, -0.103516, -0.0791056, -0.0599218, -0.0464206, -0.0327304, -0.0244922, -0.0160979, -0.00879788, -0.00448933, -0.00266434, -0.00170125, -0.000506774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.205269, 0.239195, 0.265656, 0.310107, 0.338393, 0.35456, 0.370614, 0.394896, 0.426507, 0.47245, 0.589378, 0.667623, 0.729098, 0.752218, 0.796262, 0.942921, 1.01268, 1.08538, 1.14527, 1.20045, 1.25254, 1.31402, 1.38048, 1.48166, 1.54314, 1.66608, 1.78903, 1.83786");
-            values ( \
-              "-0.23048, -0.250589, -0.292067, -0.329629, -0.344406, -0.348847, -0.351034, -0.351917, -0.350239, -0.345363, -0.327792, -0.310539, -0.289535, -0.27845, -0.251053, -0.150209, -0.112718, -0.0822486, -0.0627977, -0.0487749, -0.0382779, -0.0286041, -0.0208494, -0.0127113, -0.00930013, -0.00501907, -0.00283423, -0.00255267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.272048, 0.276994, 0.286436, 0.293784, 0.306213, 0.310985, 0.315747, 0.32339, 0.330944, 0.338496, 0.3399, 0.34734, 0.357708, 0.363659, 0.372585, 0.376976, 0.386016, 0.391403, 0.400271, 0.409159, 0.455512, 0.458614");
-            values ( \
-              "-0.0230551, -0.0300112, -0.0526485, -0.0642718, -0.0789051, -0.08233, -0.0846501, -0.0869155, -0.0866995, -0.0824219, -0.0810776, -0.0677239, -0.040254, -0.0283831, -0.016366, -0.0123331, -0.00576028, -0.00359539, -0.0030322, -0.0035074, -0.000940781, -0.000881287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.274731, 0.27999, 0.291293, 0.300793, 0.307195, 0.313221, 0.318011, 0.328066, 0.337761, 0.347421, 0.358043, 0.361901, 0.367045, 0.383561, 0.394324, 0.400383, 0.406221, 0.412597, 0.4224, 0.430182, 0.436034, 0.447739, 0.461882, 0.477915, 0.512818, 0.514916");
-            values ( \
-              "-0.0504297, -0.0529652, -0.0871312, -0.107224, -0.118127, -0.126843, -0.13169, -0.138791, -0.141108, -0.140107, -0.130772, -0.124299, -0.111738, -0.0616408, -0.0386525, -0.0292634, -0.0222716, -0.0163556, -0.00947437, -0.00541749, -0.00381752, -0.00294828, -0.0031727, -0.00284626, -0.00130284, -0.00125701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.278213, 0.289298, 0.303456, 0.31067, 0.320745, 0.335597, 0.33847, 0.347666, 0.361674, 0.368108, 0.379901, 0.384187, 0.392145, 0.405944, 0.431319, 0.444203, 0.453775, 0.463391, 0.476211, 0.485473, 0.501029, 0.520429, 0.544337, 0.631063");
-            values ( \
-              "-0.0855007, -0.102989, -0.14448, -0.161096, -0.178616, -0.195368, -0.197154, -0.201135, -0.202592, -0.202342, -0.199426, -0.197046, -0.188869, -0.15895, -0.0859535, -0.059564, -0.0445463, -0.033113, -0.0220634, -0.0161717, -0.00900948, -0.00379016, -0.00233774, -0.00124888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.281686, 0.297457, 0.317639, 0.331334, 0.343448, 0.354821, 0.38095, 0.400094, 0.410862, 0.426373, 0.4328, 0.443861, 0.455873, 0.479456, 0.505098, 0.5212, 0.549512, 0.5719, 0.585494, 0.607535, 0.639116, 0.660171, 0.700579, 0.75453, 0.932818");
-            values ( \
-              "-0.132058, -0.149382, -0.204483, -0.228922, -0.242633, -0.251186, -0.262571, -0.267773, -0.268164, -0.264956, -0.261908, -0.254156, -0.240271, -0.193168, -0.135547, -0.105523, -0.0659895, -0.0446286, -0.0350868, -0.0233822, -0.0127856, -0.00821399, -0.00321173, -0.00192978, -0.000465964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.285078, 0.308575, 0.327583, 0.34622, 0.375695, 0.396283, 0.409586, 0.422269, 0.438717, 0.464186, 0.498276, 0.524391, 0.537938, 0.565033, 0.580631, 0.611828, 0.667156, 0.710041, 0.754702, 0.787062, 0.822977, 0.867017, 0.89937, 0.921596, 0.966047, 1.02752, 1.15047, 1.16722");
-            values ( \
-              "-0.17276, -0.199737, -0.245415, -0.271995, -0.295286, -0.308633, -0.314597, -0.318117, -0.320074, -0.318772, -0.311486, -0.30242, -0.296327, -0.279876, -0.266552, -0.228862, -0.155245, -0.109537, -0.0743802, -0.0555422, -0.0398783, -0.0262359, -0.0192388, -0.015476, -0.00978448, -0.00498571, -0.0018205, -0.00173269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.289797, 0.324664, 0.347396, 0.394559, 0.422229, 0.438396, 0.455441, 0.479605, 0.511182, 0.557575, 0.674203, 0.752449, 0.813923, 0.837043, 0.881087, 1.02775, 1.09751, 1.1702, 1.2301, 1.28528, 1.33737, 1.39884, 1.46531, 1.56649, 1.62796, 1.75091, 1.87386, 1.9182");
-            values ( \
-              "-0.224932, -0.252165, -0.288772, -0.329395, -0.344071, -0.348675, -0.351044, -0.351933, -0.350247, -0.345322, -0.327798, -0.310545, -0.28953, -0.278455, -0.251048, -0.150214, -0.112723, -0.0822534, -0.0627929, -0.0487702, -0.0382825, -0.0285994, -0.0208539, -0.0127157, -0.00929561, -0.00501445, -0.00282952, -0.00257383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.436687, 0.443464, 0.453182, 0.460467, 0.472895, 0.478241, 0.482654, 0.490321, 0.497894, 0.505465, 0.506845, 0.514319, 0.524699, 0.530657, 0.539591, 0.550225, 0.557544, 0.562083, 0.576562, 0.619264");
-            values ( \
-              "-0.00910814, -0.029617, -0.051835, -0.0634865, -0.0783036, -0.0822321, -0.0843228, -0.0866937, -0.0864777, -0.0822985, -0.0809654, -0.0676495, -0.0402219, -0.028357, -0.0163514, -0.00754525, -0.00395841, -0.00323045, -0.00347243, -0.00114702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.442539, 0.453051, 0.458081, 0.467442, 0.4801, 0.484892, 0.494978, 0.504694, 0.514372, 0.524048, 0.526279, 0.52883, 0.53393, 0.545822, 0.550158, 0.557829, 0.563847, 0.569249, 0.576137, 0.58532, 0.596164, 0.60174, 0.609174, 0.639451, 0.693637, 0.717671");
-            values ( \
-              "-0.0567257, -0.072527, -0.08625, -0.10618, -0.126348, -0.131233, -0.138443, -0.140815, -0.139883, -0.131862, -0.128604, -0.124247, -0.111907, -0.0745697, -0.062625, -0.0453638, -0.0345467, -0.0269149, -0.0194377, -0.0121492, -0.00583525, -0.0041554, -0.00320073, -0.00306922, -0.000847914, -0.000369198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.446869, 0.459307, 0.47022, 0.48758, 0.502667, 0.51502, 0.52561, 0.537691, 0.544654, 0.554458, 0.559107, 0.565084, 0.606085, 0.623911, 0.637756, 0.650539, 0.668624, 0.683515, 0.709312, 0.747748");
-            values ( \
-              "-0.1129, -0.113392, -0.143789, -0.178199, -0.194996, -0.201102, -0.202514, -0.201979, -0.200189, -0.19432, -0.188908, -0.17875, -0.0688638, -0.0404093, -0.0262161, -0.0172831, -0.00879158, -0.00455247, -0.00228477, -0.00180785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.448575, 0.463532, 0.478267, 0.484607, 0.497287, 0.509914, 0.517143, 0.523021, 0.55011, 0.556097, 0.563516, 0.570748, 0.577927, 0.588795, 0.599859, 0.620289, 0.623027, 0.628502, 0.638117, 0.667158, 0.68622, 0.694272, 0.706901, 0.723739, 0.742553, 0.756003, 0.777143, 0.787025, 0.801486, 0.820766, 0.859328, 0.909076, 1.08818");
-            values ( \
-              "-0.13574, -0.145303, -0.189528, -0.204098, -0.226793, -0.2419, -0.247659, -0.250993, -0.263205, -0.265228, -0.266913, -0.26787, -0.267974, -0.266211, -0.261775, -0.243865, -0.240352, -0.231474, -0.212021, -0.145718, -0.108835, -0.0956637, -0.0776546, -0.0581847, -0.0418493, -0.0328999, -0.0223267, -0.0185714, -0.0140697, -0.00943196, -0.00384497, -0.00202792, -0.00056768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.452691, 0.47577, 0.497391, 0.519476, 0.551428, 0.570883, 0.589291, 0.60718, 0.627143, 0.653511, 0.684621, 0.704992, 0.745142, 0.763131, 0.839092, 0.878708, 0.921244, 0.94792, 0.997311, 1.03685, 1.08233, 1.11798, 1.17945, 1.3024, 1.32922");
-            values ( \
-              "-0.184306, -0.199877, -0.250083, -0.277838, -0.301408, -0.312087, -0.317909, -0.319983, -0.319181, -0.314641, -0.305108, -0.296326, -0.268985, -0.249496, -0.149407, -0.108056, -0.0747047, -0.0587476, -0.0372364, -0.0255749, -0.0164896, -0.0114987, -0.00587374, -0.0020272, -0.00186893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.45885, 0.499036, 0.518415, 0.570424, 0.599489, 0.622608, 0.647523, 0.680743, 0.719941, 0.766457, 0.841357, 0.919603, 0.981077, 1.0042, 1.04824, 1.15173, 1.21236, 1.25394, 1.32903, 1.39051, 1.47691, 1.52353, 1.61357, 1.67069, 1.79364, 1.91659, 2.03954, 2.40839");
-            values ( \
-              "-0.241864, -0.266207, -0.292769, -0.334907, -0.347069, -0.350987, -0.351779, -0.35005, -0.34592, -0.339815, -0.32777, -0.310519, -0.289555, -0.278431, -0.251072, -0.177513, -0.139979, -0.117895, -0.0853147, -0.064752, -0.0435592, -0.035022, -0.0228259, -0.0173176, -0.00937241, -0.00505906, -0.00285737, -0.000716168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.775929, 0.78342, 0.793778, 0.80108, 0.813541, 0.819884, 0.823729, 0.831462, 0.839085, 0.846707, 0.848026, 0.855587, 0.865999, 0.87197, 0.880929, 0.894426, 0.899867, 0.908644, 0.917575, 0.959024");
-            values ( \
-              "-0.00747148, -0.0275628, -0.0500673, -0.061781, -0.0769328, -0.0817207, -0.0835355, -0.0860461, -0.0859623, -0.0818973, -0.0806567, -0.0674425, -0.0401263, -0.0282879, -0.0163104, -0.0056955, -0.00358014, -0.00307792, -0.00352353, -0.00121113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.782217, 0.793503, 0.798801, 0.808139, 0.814976, 0.821147, 0.825954, 0.836119, 0.845892, 0.855618, 0.865341, 0.867528, 0.875028, 0.892611, 0.901136, 0.911672, 0.917707, 0.925753, 0.937317, 0.943353, 0.9514, 0.98259, 1.01605");
-            values ( \
-              "-0.0507854, -0.0696364, -0.0839709, -0.104015, -0.116007, -0.125067, -0.130093, -0.13752, -0.14008, -0.139253, -0.131382, -0.128232, -0.112248, -0.0597063, -0.041352, -0.0254888, -0.0191631, -0.0127423, -0.00591001, -0.00409492, -0.0031525, -0.00302438, -0.001502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.785185, 0.796532, 0.811093, 0.818131, 0.828646, 0.84355, 0.847688, 0.852102, 0.858039, 0.865088, 0.872039, 0.879009, 0.885987, 0.898728, 0.903851, 0.909727, 0.929975, 0.938414, 0.947486, 0.954093, 0.965278, 0.979113, 0.991904, 1.01002, 1.02493, 1.05071, 1.07968, 1.20881");
-            values ( \
-              "-0.087061, -0.0980459, -0.14156, -0.157918, -0.17679, -0.193795, -0.196476, -0.198635, -0.200492, -0.201439, -0.201621, -0.201212, -0.199649, -0.190704, -0.183492, -0.170915, -0.110821, -0.0886452, -0.0687691, -0.0566821, -0.0404011, -0.0262223, -0.017283, -0.00878592, -0.00454435, -0.00227873, -0.00237599, -0.00032375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.788242, 0.805301, 0.818351, 0.840135, 0.851611, 0.863099, 0.897407, 0.908476, 0.919255, 0.934877, 0.941218, 0.95229, 0.964307, 0.987904, 1.0158, 1.04076, 1.06892, 1.08434, 1.10489, 1.12073, 1.14438, 1.17591, 1.2158, 1.30473");
-            values ( \
-              "-0.117006, -0.146491, -0.18579, -0.228385, -0.241179, -0.250028, -0.264582, -0.267337, -0.267775, -0.264687, -0.26166, -0.253998, -0.240127, -0.193125, -0.130997, -0.0881438, -0.0545535, -0.0415949, -0.0287389, -0.0214315, -0.0136379, -0.0069007, -0.00283979, -0.00193082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.793788, 0.815598, 0.839153, 0.849313, 0.860863, 0.891861, 0.909614, 0.930749, 0.947884, 0.968573, 0.994538, 1.0261, 1.04648, 1.08663, 1.1046, 1.18059, 1.21574, 1.23745, 1.27108, 1.30393, 1.34526, 1.38189, 1.42869, 1.49017, 1.55164, 1.67459, 1.92049");
-            values ( \
-              "-0.189807, -0.194077, -0.249696, -0.264648, -0.276836, -0.300077, -0.31062, -0.317785, -0.319822, -0.319105, -0.314667, -0.305063, -0.296327, -0.268975, -0.24952, -0.149398, -0.112197, -0.0932979, -0.0693892, -0.0515264, -0.0351063, -0.02478, -0.0157339, -0.00822637, -0.00421681, -0.00163413, -0.000486833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.799557, 0.84017, 0.859661, 0.91025, 0.940842, 0.964027, 0.993472, 1.05478, 1.10774, 1.18275, 1.23474, 1.29422, 1.34557, 1.37993, 1.4999, 1.59727, 1.65306, 1.71453, 1.80557, 1.8555, 1.95456, 2.01603, 2.13898, 2.26193, 2.38488, 2.42648");
-            values ( \
-              "-0.236932, -0.264885, -0.29171, -0.33376, -0.346972, -0.350894, -0.351623, -0.346689, -0.339878, -0.327819, -0.317146, -0.300468, -0.278405, -0.257625, -0.172987, -0.116909, -0.0920685, -0.0700235, -0.0462043, -0.036598, -0.0228627, -0.016975, -0.009179, -0.00495889, -0.0028059, -0.00256912" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302065", \
-            "0.00361675", \
-            "0.0039831", \
-            "0.00419802", \
-            "0.00431269", \
-            "0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434341", \
-            "0.0048304", \
-            "0.0051089", \
-            "0.0054248", \
-            "0.00593648", \
-            "0.00683593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        when : "(!CK * !D * !SN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.166847, 0.181264, 0.207284, 0.254306, 0.341755, 0.517793", \
-            "0.171605, 0.186023, 0.212045, 0.259062, 0.34654, 0.522564", \
-            "0.181912, 0.196327, 0.222352, 0.269383, 0.356836, 0.532876", \
-            "0.198372, 0.212787, 0.238828, 0.285879, 0.373352, 0.549408", \
-            "0.214406, 0.228823, 0.254896, 0.30199, 0.389526, 0.565553", \
-            "0.222451, 0.236899, 0.263057, 0.310264, 0.397854, 0.573948" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0480915, 0.0613229, 0.0876616, 0.139705, 0.248544, 0.483372", \
-            "0.0480891, 0.0613193, 0.0876562, 0.139608, 0.248491, 0.483299", \
-            "0.0480974, 0.0613275, 0.0876621, 0.139716, 0.248542, 0.483372", \
-            "0.0481157, 0.0613388, 0.0876603, 0.13961, 0.248531, 0.483299", \
-            "0.048255, 0.0614646, 0.0878032, 0.139575, 0.248573, 0.483381", \
-            "0.0486578, 0.0618249, 0.0880474, 0.139819, 0.248525, 0.483279" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00321198, 0.00321201, 0.00321206, 0.00321209, 0.00321211, 0.00321213", \
-            "0.00417689, 0.00417689, 0.00417689, 0.0041769, 0.00417691, 0.00417692", \
-            "0.00481266, 0.00481264, 0.00481262, 0.00481261, 0.00481261, 0.0048126", \
-            "0.00521561, 0.00521559, 0.00521557, 0.00521554, 0.00521553, 0.00521552", \
-            "0.00547586, 0.00547585, 0.00547583, 0.00547581, 0.00547579, 0.00547578", \
-            "0.00564263, 0.00564263, 0.00564262, 0.0056426, 0.00564258, 0.00564257" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00506435, 0.00506425, 0.00506418, 0.00506413, 0.00506411, 0.0050641", \
-            "0.00542788, 0.00542776, 0.00542763, 0.00542753, 0.00542746, 0.00542742", \
-            "0.00528479, 0.00528467, 0.0052845, 0.00528433, 0.0052842, 0.00528412", \
-            "0.00554972, 0.0055498, 0.0055498, 0.00554971, 0.00554958, 0.00554947", \
-            "0.00594944, 0.00594833, 0.00594736, 0.00594672, 0.00594638, 0.00594621", \
-            "0.00572226, 0.00572861, 0.00575469, 0.00574454, 0.00572687, 0.00572496" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.126085, 0.132162, 0.14148, 0.148969, 0.161189, 0.166148, 0.170768, 0.178395, 0.185935, 0.193471, 0.19488, 0.202304, 0.212659, 0.218605, 0.227521, 0.231906, 0.240933, 0.246305, 0.255182, 0.264075, 0.310324, 0.315087");
-            values ( \
-              "-0.0124294, -0.0307752, -0.052559, -0.0645416, -0.0789651, -0.0825699, -0.0848374, -0.0870779, -0.0868767, -0.0825531, -0.0812018, -0.0678067, -0.0402937, -0.0284105, -0.0163827, -0.0123501, -0.00578061, -0.0035986, -0.00301994, -0.00350059, -0.000944737, -0.000852669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.129062, 0.14649, 0.155847, 0.162314, 0.16833, 0.173109, 0.183145, 0.192822, 0.202465, 0.213076, 0.216954, 0.222124, 0.238541, 0.249393, 0.261172, 0.267563, 0.277372, 0.285166, 0.291026, 0.302747, 0.332903, 0.362259");
-            values ( \
-              "-0.0356716, -0.0876167, -0.107406, -0.118317, -0.127141, -0.131904, -0.139086, -0.141335, -0.140362, -0.130935, -0.124377, -0.111651, -0.061739, -0.0385529, -0.0223339, -0.0163882, -0.0094979, -0.00542557, -0.00381475, -0.0029429, -0.00284723, -0.00155024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.13418, 0.147721, 0.158531, 0.166427, 0.175793, 0.192054, 0.200532, 0.204917, 0.211903, 0.22575, 0.232701, 0.245296, 0.24716, 0.250886, 0.25658, 0.276627, 0.285045, 0.294101, 0.300707, 0.311894, 0.325728, 0.338513, 0.356607, 0.371505, 0.397383, 0.426346, 0.487507");
-            values ( \
-              "-0.0930078, -0.11484, -0.144898, -0.162539, -0.179027, -0.196532, -0.200778, -0.201949, -0.202764, -0.202171, -0.200415, -0.191317, -0.189105, -0.1832, -0.170655, -0.110851, -0.0886895, -0.0688149, -0.0567221, -0.040421, -0.0262329, -0.0172835, -0.00879234, -0.00455453, -0.00226326, -0.00237949, -0.00140871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.137183, 0.155736, 0.16861, 0.180556, 0.198362, 0.208562, 0.230565, 0.249621, 0.264482, 0.273371, 0.28045, 0.287676, 0.298736, 0.310758, 0.334349, 0.359689, 0.379524, 0.39546, 0.409346, 0.432412, 0.445806, 0.466024, 0.487756, 0.529549, 0.574194, 0.66888");
-            values ( \
-              "-0.134063, -0.160099, -0.195872, -0.219964, -0.242974, -0.250406, -0.26046, -0.266777, -0.268258, -0.267094, -0.26514, -0.261814, -0.254062, -0.240189, -0.193132, -0.136059, -0.099848, -0.0769084, -0.0605719, -0.0404202, -0.0318947, -0.0219147, -0.0145005, -0.00581794, -0.00249691, -0.00167087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.141636, 0.166363, 0.181756, 0.194237, 0.206618, 0.238431, 0.251259, 0.264611, 0.27727, 0.293678, 0.312895, 0.328121, 0.345522, 0.368638, 0.39296, 0.433022, 0.452754, 0.510757, 0.54787, 0.562852, 0.588325, 0.617438, 0.64246, 0.67252, 0.712601, 0.742716, 0.790513, 0.851968, 0.913424, 0.974879, 1.2207");
-            values ( \
-              "-0.191554, -0.207537, -0.243743, -0.263844, -0.277311, -0.300816, -0.308597, -0.314536, -0.318033, -0.319976, -0.319389, -0.317322, -0.313539, -0.306469, -0.296307, -0.269042, -0.247456, -0.169451, -0.126399, -0.111634, -0.0898086, -0.0693943, -0.0553424, -0.0419633, -0.0287427, -0.0215694, -0.0134843, -0.00694188, -0.00368327, -0.00225989, -0.000615163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.146751, 0.18707, 0.206207, 0.256768, 0.287097, 0.30511, 0.321178, 0.342602, 0.381717, 0.433401, 0.490971, 0.529202, 0.590657, 0.641157, 0.691936, 0.753392, 0.865401, 0.952398, 1.00996, 1.07141, 1.11506, 1.18565, 1.2471, 1.32365, 1.43198, 1.49343, 1.61634, 1.73925, 1.77283");
-            values ( \
-              "-0.240852, -0.266672, -0.293069, -0.334159, -0.347055, -0.350434, -0.351615, -0.351565, -0.348834, -0.342701, -0.334305, -0.327783, -0.314848, -0.300288, -0.27845, -0.238921, -0.160861, -0.112789, -0.0880079, -0.0668569, -0.0548303, -0.0395345, -0.0295729, -0.0205305, -0.0120646, -0.00883416, -0.00478389, -0.00271809, -0.00253364" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.14507, 0.160103, 0.167642, 0.179875, 0.184685, 0.189404, 0.197031, 0.20457, 0.212106, 0.213517, 0.217806, 0.220939, 0.231294, 0.23724, 0.240985, 0.246155, 0.250541, 0.259568, 0.264939, 0.273816, 0.282711, 0.292458, 0.328959, 0.346652, 0.369393");
-            values ( \
-              "-0.0153322, -0.0526432, -0.064652, -0.07905, -0.0825175, -0.0848433, -0.087081, -0.0868828, -0.0825516, -0.0812047, -0.0742959, -0.0678063, -0.0402929, -0.0284096, -0.0225955, -0.0163814, -0.0123487, -0.00577919, -0.00359987, -0.00301828, -0.00350188, -0.00318024, -0.000946145, -0.00040924, -0.000164445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.148266, 0.153867, 0.165142, 0.174529, 0.180973, 0.186987, 0.191766, 0.201801, 0.211478, 0.221121, 0.231732, 0.235612, 0.240787, 0.257193, 0.268056, 0.279821, 0.286214, 0.296024, 0.30382, 0.309683, 0.321408, 0.351564, 0.386443, 0.386618");
-            values ( \
-              "-0.0437157, -0.0533668, -0.0875276, -0.107417, -0.118379, -0.127118, -0.131944, -0.13907, -0.141363, -0.140348, -0.130935, -0.124371, -0.111632, -0.0617493, -0.0385402, -0.0223399, -0.0163924, -0.00949913, -0.00542507, -0.00381517, -0.00294197, -0.00284623, -0.00130528, -0.00130144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.151748, 0.163225, 0.172335, 0.184143, 0.194508, 0.209142, 0.214998, 0.223626, 0.230611, 0.237528, 0.244459, 0.25141, 0.264, 0.265868, 0.269604, 0.275293, 0.295336, 0.303754, 0.312809, 0.319416, 0.330603, 0.344437, 0.357222, 0.375315, 0.390213, 0.416057, 0.445022, 0.506408");
-            values ( \
-              "-0.080505, -0.103621, -0.132058, -0.160684, -0.178927, -0.195506, -0.199, -0.201949, -0.20276, -0.202763, -0.202166, -0.200417, -0.191318, -0.189109, -0.18318, -0.170647, -0.110854, -0.0886843, -0.0688187, -0.0567197, -0.0404183, -0.0262301, -0.0172858, -0.00879452, -0.00455244, -0.002267, -0.00237708, -0.00140294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.155205, 0.168542, 0.178268, 0.190086, 0.199267, 0.206436, 0.217151, 0.227038, 0.249297, 0.262085, 0.270558, 0.284549, 0.29541, 0.306471, 0.32692, 0.329635, 0.3447, 0.371815, 0.386518, 0.402891, 0.421737, 0.438749, 0.457598, 0.478315, 0.489398, 0.501196, 0.516926, 0.54314, 0.58596, 0.640461, 0.818676");
-            values ( \
-              "-0.134896, -0.139626, -0.171586, -0.202003, -0.219752, -0.2308, -0.242937, -0.250337, -0.260398, -0.265098, -0.267255, -0.268231, -0.266292, -0.261922, -0.243796, -0.24043, -0.212138, -0.149819, -0.120301, -0.0925803, -0.0675339, -0.0502716, -0.0359734, -0.0247802, -0.0200628, -0.0160423, -0.0118656, -0.00652367, -0.00274597, -0.00174665, -0.000495464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.158811, 0.183573, 0.203401, 0.214753, 0.22622, 0.254504, 0.272963, 0.295936, 0.312924, 0.333741, 0.359004, 0.371984, 0.411648, 0.439059, 0.454345, 0.484919, 0.537301, 0.585281, 0.622111, 0.652882, 0.679446, 0.706181, 0.744838, 0.770484, 0.813089, 0.863312, 0.924768, 0.986223, 1.05164");
-            values ( \
-              "-0.170991, -0.203282, -0.249248, -0.26635, -0.278389, -0.299075, -0.310149, -0.318005, -0.319961, -0.319175, -0.314857, -0.311475, -0.296312, -0.279623, -0.266551, -0.229689, -0.159594, -0.108187, -0.0787139, -0.0596489, -0.0467761, -0.0365106, -0.02524, -0.0197886, -0.0129959, -0.00756336, -0.00399897, -0.00238189, -0.00198224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.169172, 0.214056, 0.255551, 0.290416, 0.306408, 0.324527, 0.338342, 0.356762, 0.385892, 0.429208, 0.47299, 0.547891, 0.574783, 0.626137, 0.687592, 0.710731, 0.754774, 0.827955, 0.901434, 0.971193, 1.04389, 1.10378, 1.15897, 1.21105, 1.27251, 1.339, 1.44017, 1.56308, 1.68599, 1.8089, 2.11618");
-            values ( \
-              "-0.277196, -0.280004, -0.319669, -0.341937, -0.347193, -0.350569, -0.351599, -0.351651, -0.350138, -0.3456, -0.339761, -0.327727, -0.322605, -0.310478, -0.289605, -0.278391, -0.251115, -0.198323, -0.150152, -0.112661, -0.0821923, -0.0628542, -0.0488311, -0.0382218, -0.0286628, -0.0207932, -0.0126557, -0.00677147, -0.00370757, -0.00213921, -0.000763407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.184136, 0.19201, 0.201396, 0.208727, 0.220986, 0.226164, 0.23064, 0.238268, 0.245808, 0.253345, 0.254754, 0.262178, 0.272534, 0.27848, 0.287395, 0.291781, 0.300808, 0.30618, 0.315057, 0.32395, 0.3702, 0.373421");
-            values ( \
-              "-0.00269011, -0.0306489, -0.052714, -0.0644078, -0.0789161, -0.0826665, -0.0848054, -0.0870835, -0.0868554, -0.0825607, -0.0811994, -0.0678033, -0.040292, -0.0284095, -0.0163817, -0.0123492, -0.00577956, -0.0035992, -0.00301945, -0.00350134, -0.000945385, -0.000883122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.190175, 0.198805, 0.206271, 0.20943, 0.215748, 0.222139, 0.228152, 0.232935, 0.242972, 0.25265, 0.262293, 0.272905, 0.276785, 0.281959, 0.298367, 0.309229, 0.315248, 0.320996, 0.327389, 0.337199, 0.344995, 0.350857, 0.362582, 0.392738, 0.427617, 0.429427");
-            values ( \
-              "-0.0498905, -0.0654833, -0.08726, -0.0941576, -0.107398, -0.118399, -0.127027, -0.131959, -0.139009, -0.141385, -0.140303, -0.130924, -0.124365, -0.111633, -0.0617482, -0.0385425, -0.0292296, -0.0223381, -0.0163923, -0.00949809, -0.00542438, -0.00381581, -0.00294161, -0.00284573, -0.00130474, -0.00126513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.193699, 0.203948, 0.207566, 0.218377, 0.22564, 0.235643, 0.252169, 0.258186, 0.264767, 0.271767, 0.285599, 0.29255, 0.305167, 0.306956, 0.310534, 0.316319, 0.336464, 0.344892, 0.353963, 0.360565, 0.371744, 0.385574, 0.398361, 0.405283, 0.416462, 0.431368, 0.457213, 0.486183, 0.615518");
-            values ( \
-              "-0.096303, -0.101849, -0.114679, -0.144768, -0.161329, -0.178954, -0.196702, -0.19993, -0.201964, -0.202775, -0.202169, -0.200421, -0.191285, -0.189179, -0.183559, -0.170944, -0.110888, -0.0886965, -0.0687952, -0.0567052, -0.0404172, -0.0262326, -0.0172885, -0.0135858, -0.00879357, -0.00454873, -0.00226824, -0.00237573, -0.000323417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.196598, 0.215563, 0.232477, 0.240129, 0.250044, 0.258244, 0.268504, 0.275188, 0.303837, 0.315341, 0.325646, 0.340575, 0.347574, 0.358634, 0.370654, 0.394242, 0.419348, 0.435869, 0.455616, 0.469661, 0.484129, 0.504607, 0.525519, 0.539464, 0.56375, 0.592969, 0.638623, 0.875199");
-            values ( \
-              "-0.126882, -0.159869, -0.204721, -0.219451, -0.234046, -0.242986, -0.250449, -0.253758, -0.265282, -0.267736, -0.26821, -0.265011, -0.261921, -0.254012, -0.240289, -0.193061, -0.136651, -0.105687, -0.0764436, -0.0602536, -0.0467044, -0.0324021, -0.0221968, -0.0169715, -0.0104121, -0.00547919, -0.00224976, -0.000297122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.200824, 0.226285, 0.241553, 0.254151, 0.266398, 0.290365, 0.311141, 0.32449, 0.337145, 0.353552, 0.372778, 0.387941, 0.405269, 0.428762, 0.452834, 0.492902, 0.512592, 0.570795, 0.607853, 0.626369, 0.648035, 0.676924, 0.702315, 0.732823, 0.7735, 0.802662, 0.849009, 0.910465, 0.97192, 1.03338, 1.2792");
-            values ( \
-              "-0.180593, -0.207657, -0.243569, -0.263955, -0.277203, -0.295274, -0.308647, -0.314508, -0.318076, -0.319942, -0.319428, -0.317294, -0.313612, -0.30642, -0.296267, -0.269076, -0.247458, -0.169283, -0.126242, -0.108226, -0.0898924, -0.0696818, -0.0553976, -0.0418419, -0.0284146, -0.0215054, -0.0136264, -0.00709433, -0.00367996, -0.00233001, -0.000666445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.206059, 0.24654, 0.26631, 0.315435, 0.345564, 0.363332, 0.384471, 0.412655, 0.441624, 0.495573, 0.550887, 0.589101, 0.650557, 0.701057, 0.751836, 0.813292, 0.924122, 1.01228, 1.07225, 1.13371, 1.19546, 1.2379, 1.29935, 1.38703, 1.44206, 1.56497, 1.68788, 1.81079, 1.82929");
-            values ( \
-              "-0.233784, -0.266007, -0.293365, -0.333418, -0.346683, -0.350218, -0.351717, -0.351127, -0.348782, -0.342397, -0.334302, -0.327784, -0.314847, -0.300286, -0.278452, -0.238919, -0.161609, -0.112792, -0.087098, -0.0661279, -0.0498878, -0.0409913, -0.0306554, -0.0202027, -0.0154334, -0.0083114, -0.00450893, -0.00256998, -0.00247381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.270062, 0.276546, 0.285818, 0.29363, 0.305778, 0.31039, 0.315247, 0.322877, 0.33042, 0.337959, 0.339363, 0.346792, 0.357148, 0.363095, 0.372011, 0.376397, 0.385424, 0.390797, 0.399672, 0.408567, 0.454818, 0.458397");
-            values ( \
-              "-0.00961881, -0.0308538, -0.0521331, -0.0646962, -0.0789932, -0.0823686, -0.0847876, -0.0870527, -0.0868422, -0.0825471, -0.0811892, -0.0678014, -0.0402932, -0.0284106, -0.0163835, -0.0123507, -0.00578086, -0.00359679, -0.00302243, -0.0034994, -0.000943094, -0.000873918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.2763, 0.285994, 0.29094, 0.300212, 0.312774, 0.317551, 0.32759, 0.337269, 0.346915, 0.357526, 0.361397, 0.36656, 0.383001, 0.393829, 0.405639, 0.412026, 0.421833, 0.429622, 0.435479, 0.447192, 0.477346, 0.508578");
-            values ( \
-              "-0.0666276, -0.0735467, -0.0875811, -0.1072, -0.127102, -0.131829, -0.139063, -0.14129, -0.140355, -0.130924, -0.124381, -0.11169, -0.0617172, -0.0385804, -0.0223185, -0.01638, -0.00949298, -0.00542449, -0.00381525, -0.00294354, -0.00284773, -0.00146773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.276349, 0.283713, 0.292213, 0.303025, 0.309955, 0.320293, 0.334956, 0.340403, 0.349414, 0.356401, 0.363318, 0.370249, 0.377199, 0.389796, 0.391658, 0.395381, 0.401076, 0.421125, 0.429543, 0.438599, 0.445205, 0.456392, 0.470226, 0.483011, 0.501104, 0.516002, 0.54184, 0.570805, 0.63025");
-            values ( \
-              "-0.0691481, -0.0837096, -0.114626, -0.144739, -0.160648, -0.178951, -0.195459, -0.198791, -0.201936, -0.202749, -0.202757, -0.202164, -0.200418, -0.191313, -0.189111, -0.183205, -0.170666, -0.110856, -0.0886866, -0.0688171, -0.0567199, -0.0404192, -0.0262311, -0.0172855, -0.008794, -0.00455294, -0.00226663, -0.00237758, -0.00143427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.282866, 0.300183, 0.309343, 0.320389, 0.334893, 0.342946, 0.352759, 0.375161, 0.387835, 0.396587, 0.410341, 0.421201, 0.432263, 0.452744, 0.455426, 0.470455, 0.507871, 0.530941, 0.54329, 0.559646, 0.575691, 0.597084, 0.612096, 0.63038, 0.654759, 0.692294, 0.741319, 0.919097");
-            values ( \
-              "-0.152286, -0.159826, -0.18633, -0.211372, -0.234316, -0.242914, -0.250238, -0.260419, -0.2651, -0.267305, -0.268236, -0.266314, -0.261921, -0.243768, -0.240422, -0.21221, -0.128789, -0.0892364, -0.0726803, -0.0547375, -0.0412687, -0.0280978, -0.0212385, -0.0150125, -0.00913742, -0.00373384, -0.00209501, -0.000520443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.287348, 0.315901, 0.338062, 0.352252, 0.395139, 0.408324, 0.421646, 0.438067, 0.457298, 0.472317, 0.49767, 0.51695, 0.53733, 0.577487, 0.595461, 0.671451, 0.711052, 0.753579, 0.780261, 0.829661, 0.869194, 0.914668, 0.950309, 1.01176, 1.07322, 1.13468, 1.3805");
-            values ( \
-              "-0.201409, -0.221238, -0.263119, -0.27842, -0.308383, -0.314333, -0.318065, -0.319992, -0.319402, -0.317349, -0.311427, -0.30504, -0.296295, -0.268957, -0.249502, -0.149389, -0.108055, -0.0747109, -0.0587493, -0.0372347, -0.0255741, -0.0164905, -0.0115004, -0.00587575, -0.00323702, -0.00202802, -0.000576705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.292338, 0.331722, 0.350592, 0.401933, 0.432392, 0.454946, 0.481256, 0.512743, 0.552968, 0.598806, 0.673708, 0.751953, 0.813409, 0.836547, 0.88059, 1.02725, 1.09701, 1.16971, 1.2296, 1.28478, 1.33687, 1.39833, 1.46482, 1.56598, 1.62744, 1.75035, 1.87326, 1.90617");
-            values ( \
-              "-0.254593, -0.266943, -0.292928, -0.334605, -0.347283, -0.350984, -0.351729, -0.35002, -0.345799, -0.339813, -0.32778, -0.310532, -0.289551, -0.278446, -0.251059, -0.150212, -0.112724, -0.0822552, -0.0627909, -0.0487664, -0.0382868, -0.0285974, -0.0208615, -0.0127247, -0.00928785, -0.00500666, -0.00282102, -0.00263101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.437889, 0.442801, 0.452453, 0.459825, 0.465232, 0.472107, 0.477597, 0.481899, 0.489551, 0.497111, 0.504667, 0.50605, 0.513508, 0.523875, 0.529827, 0.538751, 0.550225, 0.557926, 0.562853, 0.575697, 0.618842");
-            values ( \
-              "-0.02398, -0.0294622, -0.0519233, -0.0637322, -0.0703669, -0.0783964, -0.082421, -0.0845087, -0.0868269, -0.0866751, -0.0824048, -0.081092, -0.0677348, -0.0402635, -0.0283848, -0.0163674, -0.00698096, -0.00363898, -0.00314525, -0.00345657, -0.00112233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.440602, 0.449521, 0.457368, 0.466798, 0.473313, 0.479368, 0.484155, 0.494222, 0.50392, 0.513581, 0.5242, 0.528046, 0.533174, 0.549735, 0.560576, 0.572295, 0.578701, 0.588523, 0.596352, 0.602249, 0.614042, 0.644236, 0.675983");
-            values ( \
-              "-0.0452989, -0.0634131, -0.0863948, -0.106531, -0.117737, -0.126576, -0.131469, -0.138705, -0.141076, -0.140124, -0.130784, -0.124334, -0.111824, -0.0615967, -0.0385152, -0.0223569, -0.0163964, -0.00949268, -0.0054117, -0.00380756, -0.00294824, -0.00284081, -0.00143721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.444401, 0.455046, 0.4695, 0.476581, 0.486831, 0.501564, 0.506417, 0.516007, 0.529927, 0.536865, 0.54382, 0.550079, 0.558282, 0.563664, 0.593637, 0.605339, 0.623109, 0.636952, 0.649738, 0.667845, 0.682758, 0.708542, 0.866949");
-            values ( \
-              "-0.0917285, -0.100836, -0.144042, -0.160237, -0.178525, -0.195107, -0.19819, -0.201678, -0.202563, -0.201995, -0.200292, -0.197113, -0.189022, -0.180037, -0.0951721, -0.0687427, -0.0403958, -0.0262076, -0.0172877, -0.00878783, -0.0045352, -0.00230126, -0.000309969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.447587, 0.460964, 0.470571, 0.481795, 0.496093, 0.506967, 0.514996, 0.52218, 0.537818, 0.555231, 0.567021, 0.575355, 0.580459, 0.587264, 0.597232, 0.602467, 0.609447, 0.619842, 0.626353, 0.635034, 0.666809, 0.683621, 0.698954, 0.72257, 0.731581, 0.752247, 0.772702, 0.785963, 0.806084, 0.828124, 0.87025, 0.986292, 1.10305");
-            values ( \
-              "-0.135867, -0.138963, -0.170711, -0.199956, -0.226421, -0.240135, -0.247011, -0.251277, -0.258606, -0.265364, -0.267676, -0.268124, -0.267765, -0.26638, -0.262708, -0.259831, -0.254396, -0.243329, -0.233492, -0.21663, -0.144466, -0.111747, -0.0872462, -0.0584282, -0.0499362, -0.0347077, -0.0238003, -0.0185933, -0.0125625, -0.0079077, -0.00296847, -0.00122621, -0.000418656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.451301, 0.476174, 0.495483, 0.518582, 0.551978, 0.569886, 0.588416, 0.606282, 0.626275, 0.652553, 0.683765, 0.704138, 0.744293, 0.762272, 0.838253, 0.877859, 0.920388, 0.947069, 0.996466, 1.036, 1.08148, 1.11712, 1.17857, 1.30148, 1.32945");
-            values ( \
-              "-0.172051, -0.203508, -0.248345, -0.278062, -0.302495, -0.312053, -0.317864, -0.319911, -0.319107, -0.314598, -0.305063, -0.296295, -0.26897, -0.2495, -0.149394, -0.108055, -0.0747094, -0.058749, -0.0372352, -0.0255744, -0.0164902, -0.0114998, -0.0058756, -0.0020282, -0.00186311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.461859, 0.506704, 0.52584, 0.569979, 0.596735, 0.614878, 0.635779, 0.663648, 0.693098, 0.749505, 0.798111, 0.840577, 0.902033, 0.952538, 1.00331, 1.06477, 1.17679, 1.25966, 1.32129, 1.38274, 1.42645, 1.49714, 1.5586, 1.63497, 1.74316, 1.80462, 1.92753, 2.05044, 2.0811");
-            values ( \
-              "-0.277756, -0.279779, -0.300381, -0.335083, -0.34644, -0.350118, -0.351647, -0.351131, -0.348766, -0.342076, -0.334987, -0.327776, -0.314856, -0.300292, -0.278445, -0.23895, -0.160835, -0.114743, -0.0880159, -0.0668773, -0.0548221, -0.0395082, -0.0295587, -0.0205344, -0.0120746, -0.00884388, -0.00478928, -0.00272114, -0.00255245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.775343, 0.782555, 0.792005, 0.800735, 0.805889, 0.81305, 0.818057, 0.822766, 0.830482, 0.83809, 0.847012, 0.851304, 0.85456, 0.867113, 0.874679, 0.881867, 0.893334, 0.898754, 0.907548, 0.916473, 0.958335");
-            values ( \
-              "-0.00847251, -0.0279014, -0.048243, -0.0625887, -0.0692737, -0.0773991, -0.0812435, -0.0837817, -0.0861658, -0.0862125, -0.080817, -0.074119, -0.067546, -0.035297, -0.0225199, -0.0143828, -0.00572183, -0.00358776, -0.00306177, -0.00351772, -0.00118754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.781723, 0.791932, 0.796421, 0.80764, 0.814004, 0.820139, 0.824963, 0.835107, 0.84486, 0.854566, 0.86521, 0.868981, 0.874009, 0.886975, 0.892729, 0.900349, 0.907101, 0.912379, 0.922103, 0.931157, 0.935482, 0.942831, 0.952629, 0.982583, 1.01707, 1.06268");
-            values ( \
-              "-0.0568963, -0.0675287, -0.0803902, -0.104973, -0.116353, -0.125157, -0.130416, -0.137728, -0.140442, -0.139484, -0.130372, -0.12417, -0.112195, -0.0718675, -0.0568417, -0.0407913, -0.0299296, -0.023425, -0.0145908, -0.00855091, -0.00622316, -0.003951, -0.00303702, -0.00295171, -0.00141452, -0.000316808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.78186, 0.799257, 0.810375, 0.818384, 0.827892, 0.842962, 0.847782, 0.857231, 0.871203, 0.878162, 0.885132, 0.89957, 0.908917, 0.92911, 0.946614, 0.953221, 0.964409, 0.978247, 0.991039, 1.00915, 1.02407, 1.04988, 1.07886, 1.11593");
-            values ( \
-              "-0.0544687, -0.110733, -0.142036, -0.160073, -0.177229, -0.194206, -0.197384, -0.200893, -0.201945, -0.201469, -0.199854, -0.188834, -0.17081, -0.110812, -0.068772, -0.0566802, -0.0403959, -0.0262165, -0.0172808, -0.00878466, -0.00454076, -0.00227862, -0.00237491, -0.00178586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.788436, 0.807432, 0.823268, 0.832683, 0.850546, 0.860509, 0.882833, 0.900117, 0.915407, 0.92357, 0.931737, 0.940072, 0.95674, 0.963197, 0.975129, 1.01349, 1.03246, 1.052, 1.06787, 1.08272, 1.10252, 1.11911, 1.14389, 1.17693, 1.21578, 1.32145");
-            values ( \
-              "-0.128984, -0.156847, -0.199949, -0.21832, -0.241676, -0.24921, -0.259709, -0.265867, -0.267934, -0.267294, -0.265249, -0.261661, -0.248262, -0.24023, -0.218838, -0.133049, -0.0988867, -0.0715164, -0.0544058, -0.0419104, -0.0293014, -0.0216287, -0.0134784, -0.0064959, -0.0028474, -0.00176115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.793079, 0.821207, 0.838532, 0.848366, 0.858945, 0.883757, 0.903754, 0.916926, 0.929765, 0.946206, 0.967591, 0.986493, 1.00584, 1.04432, 1.06255, 1.08199, 1.10074, 1.16711, 1.20292, 1.22526, 1.25305, 1.29115, 1.31901, 1.34472, 1.3811, 1.42708, 1.48839, 1.54985, 1.67276, 1.91858");
-            values ( \
-              "-0.178041, -0.213086, -0.250396, -0.264999, -0.276292, -0.295206, -0.308221, -0.314157, -0.317779, -0.319757, -0.319026, -0.316116, -0.311378, -0.296926, -0.286863, -0.272305, -0.252853, -0.164522, -0.123691, -0.102685, -0.0806671, -0.0573268, -0.044401, -0.03493, -0.024713, -0.015819, -0.00829068, -0.0042477, -0.00164224, -0.000489218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.798888, 0.862077, 0.902435, 0.930057, 0.946299, 0.963417, 0.987732, 1.01944, 1.06511, 1.10732, 1.18222, 1.2605, 1.31546, 1.34494, 1.40389, 1.52186, 1.58332, 1.65364, 1.70884, 1.75563, 1.80927, 1.85787, 1.95423, 2.01569, 2.1386, 2.26151, 2.38442, 2.39001");
-            values ( \
-              "-0.230036, -0.294822, -0.328995, -0.343708, -0.348354, -0.350778, -0.351721, -0.350106, -0.345344, -0.33985, -0.327826, -0.310507, -0.292268, -0.278407, -0.240643, -0.158618, -0.123683, -0.0916156, -0.0717128, -0.0580208, -0.0453318, -0.0361085, -0.0228418, -0.0169766, -0.00918515, -0.0049669, -0.00281422, -0.0027824" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302065", \
-            "0.00361675", \
-            "0.0039831", \
-            "0.00419802", \
-            "0.00431269", \
-            "0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434341", \
-            "0.0048304", \
-            "0.0051089", \
-            "0.0054248", \
-            "0.00593648", \
-            "0.00683593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : negative_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.167239, 0.18168, 0.207736, 0.254777, 0.342227, 0.518245", \
-            "0.171997, 0.186443, 0.212501, 0.25957, 0.347012, 0.523056", \
-            "0.182318, 0.196752, 0.222813, 0.269887, 0.357317, 0.533366", \
-            "0.198884, 0.213318, 0.239414, 0.286491, 0.373936, 0.550003", \
-            "0.215176, 0.229611, 0.255724, 0.302845, 0.39038, 0.566417", \
-            "0.223415, 0.237895, 0.264103, 0.311379, 0.398958, 0.574996" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0481597, 0.0614059, 0.0877451, 0.139659, 0.248523, 0.483348", \
-            "0.0481367, 0.061402, 0.0877428, 0.139726, 0.248458, 0.483278", \
-            "0.0481689, 0.06141, 0.0877456, 0.139726, 0.248583, 0.483279", \
-            "0.0481877, 0.0614235, 0.0878255, 0.139718, 0.248449, 0.483277", \
-            "0.0483437, 0.061566, 0.0878636, 0.139684, 0.248551, 0.483287", \
-            "0.0487577, 0.0619404, 0.0881638, 0.139991, 0.248621, 0.483218" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00321202, 0.00321206, 0.0032121, 0.00321214, 0.00321216, 0.00321217", \
-            "0.00417704, 0.00417704, 0.00417705, 0.00417706, 0.00417707, 0.00417707", \
-            "0.00481265, 0.00481263, 0.00481261, 0.0048126, 0.00481259, 0.00481259", \
-            "0.00521557, 0.00521555, 0.00521662, 0.0052155, 0.00521549, 0.00521548", \
-            "0.00547581, 0.0054758, 0.00547578, 0.00547576, 0.00547574, 0.00547573", \
-            "0.00564251, 0.00564251, 0.00564249, 0.00564248, 0.00564246, 0.00564245" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0050643, 0.0050642, 0.00506413, 0.00506409, 0.00506407, 0.00506406", \
-            "0.00542828, 0.00542816, 0.00542803, 0.00542793, 0.00542786, 0.00542783", \
-            "0.00528426, 0.00528414, 0.00528397, 0.0052838, 0.00528367, 0.0052836", \
-            "0.00554194, 0.00554202, 0.00554109, 0.00554194, 0.00554182, 0.00554171", \
-            "0.00593915, 0.00593811, 0.00593722, 0.00593664, 0.00593635, 0.0059362", \
-            "0.00572532, 0.00573193, 0.00575784, 0.00574702, 0.00572959, 0.00572769" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.126221, 0.132525, 0.13932, 0.142204, 0.1486, 0.154575, 0.161333, 0.167016, 0.171165, 0.178804, 0.186356, 0.193905, 0.19531, 0.202748, 0.213115, 0.219066, 0.222816, 0.22799, 0.232381, 0.241421, 0.246806, 0.255678, 0.264563, 0.274334, 0.310921, 0.311813");
-            values ( \
-              "-0.0107069, -0.0309277, -0.0470154, -0.0529274, -0.0632364, -0.0710201, -0.0785122, -0.082709, -0.0847379, -0.0869151, -0.086752, -0.0824177, -0.0810884, -0.0677351, -0.04026, -0.0283874, -0.0225696, -0.0163698, -0.0123368, -0.00576428, -0.00359306, -0.00303379, -0.00350453, -0.00318273, -0.000938044, -0.00091142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.132285, 0.141922, 0.146827, 0.156182, 0.168689, 0.173475, 0.183526, 0.193218, 0.202876, 0.213497, 0.217357, 0.222503, 0.239011, 0.249781, 0.261668, 0.268046, 0.277848, 0.285631, 0.291484, 0.30319, 0.333371, 0.364354");
-            values ( \
-              "-0.06724, -0.0737715, -0.0876228, -0.107295, -0.127002, -0.131689, -0.138894, -0.141086, -0.140179, -0.130792, -0.12431, -0.111729, -0.0616478, -0.0386439, -0.0222779, -0.0163575, -0.00947735, -0.00541919, -0.0038165, -0.00294883, -0.00284703, -0.00147698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.132215, 0.139576, 0.148071, 0.158892, 0.166657, 0.176177, 0.191164, 0.194393, 0.205353, 0.21925, 0.226195, 0.233157, 0.247617, 0.251545, 0.259402, 0.283876, 0.300371, 0.30949, 0.318059, 0.329484, 0.340079, 0.354239, 0.365236, 0.387229, 0.539689");
-            values ( \
-              "-0.0691335, -0.0837969, -0.114648, -0.144631, -0.162139, -0.178706, -0.195396, -0.197449, -0.201687, -0.202562, -0.202027, -0.200308, -0.189073, -0.182822, -0.164244, -0.0927278, -0.0580774, -0.0441246, -0.0338902, -0.0235671, -0.0166599, -0.00989343, -0.00614787, -0.00264204, -0.000458057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.138795, 0.156744, 0.173035, 0.181624, 0.19104, 0.198842, 0.208965, 0.231092, 0.243671, 0.254006, 0.266277, 0.273217, 0.288197, 0.308062, 0.311359, 0.317954, 0.331144, 0.35342, 0.372187, 0.383493, 0.399117, 0.415941, 0.430782, 0.450571, 0.46717, 0.491963, 0.525021, 0.566873, 0.801533");
-            values ( \
-              "-0.152534, -0.161926, -0.204519, -0.220632, -0.234277, -0.24255, -0.250091, -0.260229, -0.264978, -0.267523, -0.268236, -0.267268, -0.26199, -0.244621, -0.2405, -0.229428, -0.201463, -0.150232, -0.112896, -0.0943297, -0.0727714, -0.0543562, -0.0418639, -0.02937, -0.0215769, -0.0134204, -0.00654897, -0.00260999, -0.000380769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.143522, 0.171755, 0.196359, 0.20897, 0.234825, 0.258754, 0.273341, 0.28624, 0.300857, 0.315382, 0.344431, 0.372022, 0.393278, 0.433405, 0.451545, 0.527237, 0.566993, 0.60962, 0.636241, 0.685555, 0.725107, 0.770662, 0.806376, 0.86785, 0.990799, 1.01554");
-            values ( \
-              "-0.206592, -0.220864, -0.265885, -0.278643, -0.297963, -0.312064, -0.317133, -0.319429, -0.320101, -0.31922, -0.314007, -0.305449, -0.296335, -0.269006, -0.24934, -0.149573, -0.108056, -0.0746453, -0.0587296, -0.0372513, -0.0255815, -0.0164821, -0.0114848, -0.00586673, -0.00202585, -0.00187997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.151092, 0.195904, 0.207018, 0.25562, 0.285705, 0.303446, 0.326267, 0.354217, 0.382279, 0.434297, 0.49152, 0.529748, 0.591223, 0.641666, 0.692483, 0.753958, 0.857574, 0.95276, 1.02414, 1.08561, 1.14125, 1.19323, 1.25471, 1.32089, 1.42187, 1.48335, 1.6063, 1.72924, 1.76247");
-            values ( \
-              "-0.278333, -0.279577, -0.293109, -0.333102, -0.346616, -0.350213, -0.351814, -0.351144, -0.348818, -0.342675, -0.334314, -0.32778, -0.314846, -0.300301, -0.278449, -0.238931, -0.166166, -0.112884, -0.0828878, -0.0628444, -0.0487069, -0.0382449, -0.0285758, -0.0208654, -0.0127377, -0.00930515, -0.00501685, -0.00282788, -0.00263585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.14527, 0.151385, 0.159959, 0.169112, 0.181003, 0.18373, 0.189845, 0.195773, 0.200879, 0.205005, 0.212544, 0.214052, 0.21837, 0.221405, 0.231778, 0.237716, 0.241469, 0.246646, 0.251044, 0.260087, 0.265472, 0.274349, 0.283237, 0.293005, 0.329588, 0.331142");
-            values ( \
-              "-0.0134189, -0.0313035, -0.0513575, -0.0659394, -0.0794764, -0.0814324, -0.0847758, -0.0866102, -0.0872308, -0.0867376, -0.0824399, -0.080961, -0.0739948, -0.0677131, -0.0402448, -0.0284015, -0.0225684, -0.016375, -0.012335, -0.00576409, -0.00358765, -0.00303198, -0.00350125, -0.00318434, -0.000936525, -0.000890419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.148061, 0.165503, 0.174939, 0.181365, 0.187385, 0.192173, 0.202223, 0.211915, 0.221573, 0.232194, 0.236056, 0.241206, 0.257703, 0.268484, 0.280358, 0.286737, 0.296541, 0.304326, 0.310181, 0.321891, 0.352073, 0.385194");
-            values ( \
-              "-0.0348655, -0.0874865, -0.107357, -0.118215, -0.126957, -0.131752, -0.138856, -0.141137, -0.140142, -0.13079, -0.124304, -0.111712, -0.0616586, -0.0386313, -0.0222843, -0.0163615, -0.00947899, -0.00541913, -0.00381657, -0.00294828, -0.00284642, -0.00138189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.151748, 0.163308, 0.17756, 0.184972, 0.194843, 0.209782, 0.212871, 0.224019, 0.237917, 0.244858, 0.251818, 0.264847, 0.269042, 0.274635, 0.304144, 0.313339, 0.331022, 0.344815, 0.357611, 0.375771, 0.390729, 0.416643, 0.575032");
-            values ( \
-              "-0.075834, -0.103013, -0.144454, -0.161635, -0.178572, -0.195525, -0.197355, -0.201689, -0.20257, -0.202042, -0.200312, -0.190777, -0.184893, -0.17337, -0.088806, -0.068612, -0.0404219, -0.0262643, -0.0173054, -0.00878256, -0.00453009, -0.00226651, -0.000320425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.154941, 0.171667, 0.191704, 0.206016, 0.217511, 0.228985, 0.263127, 0.27417, 0.284938, 0.300453, 0.306877, 0.317939, 0.329951, 0.353534, 0.379895, 0.407105, 0.434743, 0.449568, 0.469334, 0.485954, 0.510779, 0.54388, 0.58557, 0.679675");
-            values ( \
-              "-0.117932, -0.150156, -0.204264, -0.229919, -0.242471, -0.251345, -0.265152, -0.267784, -0.268119, -0.26497, -0.26188, -0.254166, -0.240253, -0.193179, -0.134108, -0.0870777, -0.0543455, -0.0418668, -0.0293919, -0.0215768, -0.0134095, -0.00654198, -0.00261514, -0.00171739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.158741, 0.182281, 0.201253, 0.215225, 0.22605, 0.257669, 0.273383, 0.296464, 0.313532, 0.334261, 0.359624, 0.372495, 0.412153, 0.439988, 0.45485, 0.484574, 0.537879, 0.585755, 0.6235, 0.653711, 0.679346, 0.706295, 0.745116, 0.771126, 0.812877, 0.860158, 0.921633, 0.983107, 1.04303");
-            values ( \
-              "-0.165735, -0.198241, -0.244439, -0.265711, -0.277739, -0.300842, -0.310152, -0.31812, -0.31999, -0.319306, -0.314853, -0.311579, -0.296384, -0.279282, -0.266599, -0.230798, -0.159508, -0.108208, -0.0781066, -0.0594742, -0.0470402, -0.0366498, -0.0252931, -0.0197625, -0.01309, -0.00788307, -0.00414038, -0.00245185, -0.0020734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.163449, 0.198953, 0.221472, 0.268606, 0.281705, 0.312438, 0.329504, 0.353632, 0.385176, 0.431776, 0.548267, 0.626513, 0.687987, 0.711107, 0.755151, 0.90181, 0.97157, 1.04427, 1.10416, 1.15934, 1.21143, 1.2729, 1.33937, 1.44055, 1.50202, 1.62497, 1.74792, 1.79214");
-            values ( \
-              "-0.219342, -0.252614, -0.28877, -0.32933, -0.337614, -0.348668, -0.35102, -0.351943, -0.350237, -0.34529, -0.327813, -0.31056, -0.289514, -0.278471, -0.251033, -0.15023, -0.112739, -0.0822692, -0.0627771, -0.0487544, -0.0382983, -0.0285836, -0.0208698, -0.0127317, -0.0092797, -0.00499859, -0.0028137, -0.0025587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.186845, 0.201746, 0.209127, 0.221554, 0.226197, 0.231041, 0.238681, 0.246233, 0.253783, 0.25519, 0.262626, 0.272994, 0.278944, 0.287869, 0.29226, 0.3013, 0.306685, 0.315556, 0.324442, 0.3708, 0.372874");
-            values ( \
-              "-0.017269, -0.0527445, -0.064409, -0.0789973, -0.0823218, -0.0846867, -0.0869438, -0.0867175, -0.0824376, -0.0810878, -0.0677295, -0.0402559, -0.0283846, -0.0163667, -0.0123338, -0.00576128, -0.00359567, -0.00303127, -0.00350721, -0.000940719, -0.000900934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.189592, 0.195334, 0.206596, 0.216092, 0.222485, 0.228506, 0.233296, 0.243348, 0.253041, 0.262699, 0.273321, 0.277184, 0.282333, 0.298831, 0.309611, 0.321487, 0.327866, 0.337669, 0.345455, 0.35131, 0.36302, 0.393201, 0.428109, 0.428718");
-            values ( \
-              "-0.0413766, -0.0533152, -0.0872489, -0.10731, -0.118217, -0.126883, -0.131751, -0.138812, -0.141144, -0.140114, -0.130781, -0.124297, -0.111711, -0.0616576, -0.0386328, -0.0222827, -0.0163617, -0.00947786, -0.00541824, -0.00381735, -0.00294772, -0.00284571, -0.00130214, -0.00128883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.193432, 0.204657, 0.218702, 0.225869, 0.23599, 0.25083, 0.253865, 0.265167, 0.279066, 0.286007, 0.292967, 0.305995, 0.310193, 0.315791, 0.345295, 0.354488, 0.372172, 0.385966, 0.398762, 0.41692, 0.431878, 0.457718, 0.616091");
-            values ( \
-              "-0.0846587, -0.103471, -0.144482, -0.161023, -0.178614, -0.195375, -0.197249, -0.201675, -0.202565, -0.202034, -0.20031, -0.190774, -0.184886, -0.173354, -0.0888115, -0.0686147, -0.0404221, -0.0262642, -0.0173049, -0.00878217, -0.00453107, -0.00226926, -0.000321724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.196468, 0.21277, 0.232881, 0.246907, 0.258688, 0.270121, 0.296193, 0.315347, 0.326115, 0.341618, 0.348054, 0.359116, 0.371128, 0.394711, 0.421992, 0.447892, 0.475814, 0.490959, 0.511152, 0.527351, 0.551537, 0.583786, 0.625308, 0.715422");
-            values ( \
-              "-0.12408, -0.149697, -0.204335, -0.229423, -0.242533, -0.251255, -0.262566, -0.26777, -0.268133, -0.264964, -0.261888, -0.254161, -0.240258, -0.193176, -0.132252, -0.0876617, -0.0544622, -0.041723, -0.0290337, -0.0215014, -0.0135366, -0.00673132, -0.00268714, -0.00180802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.200313, 0.223493, 0.242557, 0.256794, 0.264024, 0.288179, 0.311422, 0.324844, 0.337598, 0.354035, 0.373351, 0.379464, 0.40047, 0.413607, 0.439883, 0.45327, 0.473437, 0.495936, 0.539755, 0.578854, 0.612282, 0.632228, 0.663222, 0.694135, 0.722045, 0.759259, 0.789798, 0.833112, 0.890864, 0.952338, 1.01381, 1.07529, 1.32118");
-            values ( \
-              "-0.172736, -0.198363, -0.244667, -0.266484, -0.274417, -0.293143, -0.308483, -0.314543, -0.318095, -0.320061, -0.319461, -0.318774, -0.31492, -0.311485, -0.302354, -0.296327, -0.284771, -0.266529, -0.211758, -0.159829, -0.122339, -0.103516, -0.0791056, -0.0599218, -0.0464206, -0.0327304, -0.0244922, -0.0160979, -0.00879788, -0.00448933, -0.00266434, -0.00170125, -0.000506774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.205269, 0.239195, 0.265656, 0.310107, 0.338393, 0.35456, 0.370614, 0.394896, 0.426507, 0.47245, 0.589378, 0.667623, 0.729098, 0.752218, 0.796262, 0.942921, 1.01268, 1.08538, 1.14527, 1.20045, 1.25254, 1.31402, 1.38048, 1.48166, 1.54314, 1.66608, 1.78903, 1.83786");
-            values ( \
-              "-0.23048, -0.250589, -0.292067, -0.329629, -0.344406, -0.348847, -0.351034, -0.351917, -0.350239, -0.345363, -0.327792, -0.310539, -0.289535, -0.27845, -0.251053, -0.150209, -0.112718, -0.0822486, -0.0627977, -0.0487749, -0.0382779, -0.0286041, -0.0208494, -0.0127113, -0.00930013, -0.00501907, -0.00283423, -0.00255267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.272048, 0.276994, 0.286436, 0.293784, 0.306213, 0.310985, 0.315747, 0.32339, 0.330944, 0.338496, 0.3399, 0.34734, 0.357708, 0.363659, 0.372585, 0.376976, 0.386016, 0.391403, 0.400271, 0.409159, 0.455512, 0.458614");
-            values ( \
-              "-0.0230551, -0.0300112, -0.0526485, -0.0642718, -0.0789051, -0.08233, -0.0846501, -0.0869155, -0.0866995, -0.0824219, -0.0810776, -0.0677239, -0.040254, -0.0283831, -0.016366, -0.0123331, -0.00576028, -0.00359539, -0.0030322, -0.0035074, -0.000940781, -0.000881287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.274731, 0.27999, 0.291293, 0.300793, 0.307195, 0.313221, 0.318011, 0.328066, 0.337761, 0.347421, 0.358043, 0.361901, 0.367045, 0.383561, 0.394324, 0.400383, 0.406221, 0.412597, 0.4224, 0.430182, 0.436034, 0.447739, 0.461882, 0.477915, 0.512818, 0.514916");
-            values ( \
-              "-0.0504297, -0.0529652, -0.0871312, -0.107224, -0.118127, -0.126843, -0.13169, -0.138791, -0.141108, -0.140107, -0.130772, -0.124299, -0.111738, -0.0616408, -0.0386525, -0.0292634, -0.0222716, -0.0163556, -0.00947437, -0.00541749, -0.00381752, -0.00294828, -0.0031727, -0.00284626, -0.00130284, -0.00125701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.278213, 0.289298, 0.303456, 0.31067, 0.320745, 0.335597, 0.33847, 0.347666, 0.361674, 0.368108, 0.379901, 0.384187, 0.392145, 0.405944, 0.431319, 0.444203, 0.453775, 0.463391, 0.476211, 0.485473, 0.501029, 0.520429, 0.544337, 0.631063");
-            values ( \
-              "-0.0855007, -0.102989, -0.14448, -0.161096, -0.178616, -0.195368, -0.197154, -0.201135, -0.202592, -0.202342, -0.199426, -0.197046, -0.188869, -0.15895, -0.0859535, -0.059564, -0.0445463, -0.033113, -0.0220634, -0.0161717, -0.00900948, -0.00379016, -0.00233774, -0.00124888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.281686, 0.297457, 0.317639, 0.331334, 0.343448, 0.354821, 0.38095, 0.400094, 0.410862, 0.426373, 0.4328, 0.443861, 0.455873, 0.479456, 0.505098, 0.5212, 0.549512, 0.5719, 0.585494, 0.607535, 0.639116, 0.660171, 0.700579, 0.75453, 0.932818");
-            values ( \
-              "-0.132058, -0.149382, -0.204483, -0.228922, -0.242633, -0.251186, -0.262571, -0.267773, -0.268164, -0.264956, -0.261908, -0.254156, -0.240271, -0.193168, -0.135547, -0.105523, -0.0659895, -0.0446286, -0.0350868, -0.0233822, -0.0127856, -0.00821399, -0.00321173, -0.00192978, -0.000465964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.285078, 0.308575, 0.327583, 0.34622, 0.375695, 0.396283, 0.409586, 0.422269, 0.438717, 0.464186, 0.498276, 0.524391, 0.537938, 0.565033, 0.580631, 0.611828, 0.667156, 0.710041, 0.754702, 0.787062, 0.822977, 0.867017, 0.89937, 0.921596, 0.966047, 1.02752, 1.15047, 1.16722");
-            values ( \
-              "-0.17276, -0.199737, -0.245415, -0.271995, -0.295286, -0.308633, -0.314597, -0.318117, -0.320074, -0.318772, -0.311486, -0.30242, -0.296327, -0.279876, -0.266552, -0.228862, -0.155245, -0.109537, -0.0743802, -0.0555422, -0.0398783, -0.0262359, -0.0192388, -0.015476, -0.00978448, -0.00498571, -0.0018205, -0.00173269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.289797, 0.324664, 0.347396, 0.394559, 0.422229, 0.438396, 0.455441, 0.479605, 0.511182, 0.557575, 0.674203, 0.752449, 0.813923, 0.837043, 0.881087, 1.02775, 1.09751, 1.1702, 1.2301, 1.28528, 1.33737, 1.39884, 1.46531, 1.56649, 1.62796, 1.75091, 1.87386, 1.9182");
-            values ( \
-              "-0.224932, -0.252165, -0.288772, -0.329395, -0.344071, -0.348675, -0.351044, -0.351933, -0.350247, -0.345322, -0.327798, -0.310545, -0.28953, -0.278455, -0.251048, -0.150214, -0.112723, -0.0822534, -0.0627929, -0.0487702, -0.0382825, -0.0285994, -0.0208539, -0.0127157, -0.00929561, -0.00501445, -0.00282952, -0.00257383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.436687, 0.443464, 0.453182, 0.460467, 0.472895, 0.478241, 0.482654, 0.490321, 0.497894, 0.505465, 0.506845, 0.514319, 0.524699, 0.530657, 0.539591, 0.550225, 0.557544, 0.562083, 0.576562, 0.619264");
-            values ( \
-              "-0.00910814, -0.029617, -0.051835, -0.0634865, -0.0783036, -0.0822321, -0.0843228, -0.0866937, -0.0864777, -0.0822985, -0.0809654, -0.0676495, -0.0402219, -0.028357, -0.0163514, -0.00754525, -0.00395841, -0.00323045, -0.00347243, -0.00114702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.442539, 0.453051, 0.458081, 0.467442, 0.4801, 0.484892, 0.494978, 0.504694, 0.514372, 0.524048, 0.526279, 0.52883, 0.53393, 0.545822, 0.550158, 0.557829, 0.563847, 0.569249, 0.576137, 0.58532, 0.596164, 0.60174, 0.609174, 0.639451, 0.693637, 0.717671");
-            values ( \
-              "-0.0567257, -0.072527, -0.08625, -0.10618, -0.126348, -0.131233, -0.138443, -0.140815, -0.139883, -0.131862, -0.128604, -0.124247, -0.111907, -0.0745697, -0.062625, -0.0453638, -0.0345467, -0.0269149, -0.0194377, -0.0121492, -0.00583525, -0.0041554, -0.00320073, -0.00306922, -0.000847914, -0.000369198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.446869, 0.459307, 0.47022, 0.48758, 0.502667, 0.51502, 0.52561, 0.537691, 0.544654, 0.554458, 0.559107, 0.565084, 0.606085, 0.623911, 0.637756, 0.650539, 0.668624, 0.683515, 0.709312, 0.747748");
-            values ( \
-              "-0.1129, -0.113392, -0.143789, -0.178199, -0.194996, -0.201102, -0.202514, -0.201979, -0.200189, -0.19432, -0.188908, -0.17875, -0.0688638, -0.0404093, -0.0262161, -0.0172831, -0.00879158, -0.00455247, -0.00228477, -0.00180785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.448575, 0.463532, 0.478267, 0.484607, 0.497287, 0.509914, 0.517143, 0.523021, 0.55011, 0.556097, 0.563516, 0.570748, 0.577927, 0.588795, 0.599859, 0.620289, 0.623027, 0.628502, 0.638117, 0.667158, 0.68622, 0.694272, 0.706901, 0.723739, 0.742553, 0.756003, 0.777143, 0.787025, 0.801486, 0.820766, 0.859328, 0.909076, 1.08818");
-            values ( \
-              "-0.13574, -0.145303, -0.189528, -0.204098, -0.226793, -0.2419, -0.247659, -0.250993, -0.263205, -0.265228, -0.266913, -0.26787, -0.267974, -0.266211, -0.261775, -0.243865, -0.240352, -0.231474, -0.212021, -0.145718, -0.108835, -0.0956637, -0.0776546, -0.0581847, -0.0418493, -0.0328999, -0.0223267, -0.0185714, -0.0140697, -0.00943196, -0.00384497, -0.00202792, -0.00056768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.452691, 0.47577, 0.497391, 0.519476, 0.551428, 0.570883, 0.589291, 0.60718, 0.627143, 0.653511, 0.684621, 0.704992, 0.745142, 0.763131, 0.839092, 0.878708, 0.921244, 0.94792, 0.997311, 1.03685, 1.08233, 1.11798, 1.17945, 1.3024, 1.32922");
-            values ( \
-              "-0.184306, -0.199877, -0.250083, -0.277838, -0.301408, -0.312087, -0.317909, -0.319983, -0.319181, -0.314641, -0.305108, -0.296326, -0.268985, -0.249496, -0.149407, -0.108056, -0.0747047, -0.0587476, -0.0372364, -0.0255749, -0.0164896, -0.0114987, -0.00587374, -0.0020272, -0.00186893" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.45885, 0.499036, 0.518415, 0.570424, 0.599489, 0.622608, 0.647523, 0.680743, 0.719941, 0.766457, 0.841357, 0.919603, 0.981077, 1.0042, 1.04824, 1.15173, 1.21236, 1.25394, 1.32903, 1.39051, 1.47691, 1.52353, 1.61357, 1.67069, 1.79364, 1.91659, 2.03954, 2.40839");
-            values ( \
-              "-0.241864, -0.266207, -0.292769, -0.334907, -0.347069, -0.350987, -0.351779, -0.35005, -0.34592, -0.339815, -0.32777, -0.310519, -0.289555, -0.278431, -0.251072, -0.177513, -0.139979, -0.117895, -0.0853147, -0.064752, -0.0435592, -0.035022, -0.0228259, -0.0173176, -0.00937241, -0.00505906, -0.00285737, -0.000716168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.775929, 0.78342, 0.793778, 0.80108, 0.813541, 0.819884, 0.823729, 0.831462, 0.839085, 0.846707, 0.848026, 0.855587, 0.865999, 0.87197, 0.880929, 0.894426, 0.899867, 0.908644, 0.917575, 0.959024");
-            values ( \
-              "-0.00747148, -0.0275628, -0.0500673, -0.061781, -0.0769328, -0.0817207, -0.0835355, -0.0860461, -0.0859623, -0.0818973, -0.0806567, -0.0674425, -0.0401263, -0.0282879, -0.0163104, -0.0056955, -0.00358014, -0.00307792, -0.00352353, -0.00121113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.782217, 0.793503, 0.798801, 0.808139, 0.814976, 0.821147, 0.825954, 0.836119, 0.845892, 0.855618, 0.865341, 0.867528, 0.875028, 0.892611, 0.901136, 0.911672, 0.917707, 0.925753, 0.937317, 0.943353, 0.9514, 0.98259, 1.01605");
-            values ( \
-              "-0.0507854, -0.0696364, -0.0839709, -0.104015, -0.116007, -0.125067, -0.130093, -0.13752, -0.14008, -0.139253, -0.131382, -0.128232, -0.112248, -0.0597063, -0.041352, -0.0254888, -0.0191631, -0.0127423, -0.00591001, -0.00409492, -0.0031525, -0.00302438, -0.001502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.785185, 0.796532, 0.811093, 0.818131, 0.828646, 0.84355, 0.847688, 0.852102, 0.858039, 0.865088, 0.872039, 0.879009, 0.885987, 0.898728, 0.903851, 0.909727, 0.929975, 0.938414, 0.947486, 0.954093, 0.965278, 0.979113, 0.991904, 1.01002, 1.02493, 1.05071, 1.07968, 1.20881");
-            values ( \
-              "-0.087061, -0.0980459, -0.14156, -0.157918, -0.17679, -0.193795, -0.196476, -0.198635, -0.200492, -0.201439, -0.201621, -0.201212, -0.199649, -0.190704, -0.183492, -0.170915, -0.110821, -0.0886452, -0.0687691, -0.0566821, -0.0404011, -0.0262223, -0.017283, -0.00878592, -0.00454435, -0.00227873, -0.00237599, -0.00032375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.788242, 0.805301, 0.818351, 0.840135, 0.851611, 0.863099, 0.897407, 0.908476, 0.919255, 0.934877, 0.941218, 0.95229, 0.964307, 0.987904, 1.0158, 1.04076, 1.06892, 1.08434, 1.10489, 1.12073, 1.14438, 1.17591, 1.2158, 1.30473");
-            values ( \
-              "-0.117006, -0.146491, -0.18579, -0.228385, -0.241179, -0.250028, -0.264582, -0.267337, -0.267775, -0.264687, -0.26166, -0.253998, -0.240127, -0.193125, -0.130997, -0.0881438, -0.0545535, -0.0415949, -0.0287389, -0.0214315, -0.0136379, -0.0069007, -0.00283979, -0.00193082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.793788, 0.815598, 0.839153, 0.849313, 0.860863, 0.891861, 0.909614, 0.930749, 0.947884, 0.968573, 0.994538, 1.0261, 1.04648, 1.08663, 1.1046, 1.18059, 1.21574, 1.23745, 1.27108, 1.30393, 1.34526, 1.38189, 1.42869, 1.49017, 1.55164, 1.67459, 1.92049");
-            values ( \
-              "-0.189807, -0.194077, -0.249696, -0.264648, -0.276836, -0.300077, -0.31062, -0.317785, -0.319822, -0.319105, -0.314667, -0.305063, -0.296327, -0.268975, -0.24952, -0.149398, -0.112197, -0.0932979, -0.0693892, -0.0515264, -0.0351063, -0.02478, -0.0157339, -0.00822637, -0.00421681, -0.00163413, -0.000486833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.799557, 0.84017, 0.859661, 0.91025, 0.940842, 0.964027, 0.993472, 1.05478, 1.10774, 1.18275, 1.23474, 1.29422, 1.34557, 1.37993, 1.4999, 1.59727, 1.65306, 1.71453, 1.80557, 1.8555, 1.95456, 2.01603, 2.13898, 2.26193, 2.38488, 2.42648");
-            values ( \
-              "-0.236932, -0.264885, -0.29171, -0.33376, -0.346972, -0.350894, -0.351623, -0.346689, -0.339878, -0.327819, -0.317146, -0.300468, -0.278405, -0.257625, -0.172987, -0.116909, -0.0920685, -0.0700235, -0.0462043, -0.036598, -0.0228627, -0.016975, -0.009179, -0.00495889, -0.0028059, -0.00256912" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00302065", \
-            "0.00361675", \
-            "0.0039831", \
-            "0.00419802", \
-            "0.00431269", \
-            "0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00434341", \
-            "0.0048304", \
-            "0.0051089", \
-            "0.0054248", \
-            "0.00593648", \
-            "0.00683593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.16971, 0.185252, 0.21489, 0.273013, 0.389729, 0.629525", \
-            "0.175782, 0.191334, 0.220972, 0.279096, 0.395811, 0.635605", \
-            "0.190342, 0.205892, 0.235533, 0.293662, 0.410368, 0.650172", \
-            "0.222147, 0.237691, 0.267311, 0.32546, 0.442189, 0.682007", \
-            "0.276427, 0.292008, 0.32166, 0.379814, 0.496602, 0.736446", \
-            "0.362547, 0.378143, 0.407859, 0.466087, 0.582929, 0.822834" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0574784, 0.0751116, 0.11324, 0.193874, 0.363525, 0.718131", \
-            "0.0574906, 0.0751143, 0.113252, 0.193873, 0.363525, 0.71813", \
-            "0.0574957, 0.0751145, 0.113266, 0.193875, 0.363561, 0.71813", \
-            "0.0575527, 0.0751489, 0.113276, 0.193878, 0.363477, 0.718129", \
-            "0.0579592, 0.075566, 0.113495, 0.193982, 0.363523, 0.718127", \
-            "0.0589566, 0.0762428, 0.113946, 0.194116, 0.363626, 0.718109" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.130583, 0.135851, 0.146147, 0.15273, 0.161867, 0.164404, 0.16862, 0.179873, 0.19019, 0.193023, 0.198317, 0.20944, 0.229541, 0.234728, 0.238023, 0.25028, 0.262022, 0.278392, 0.293717, 0.318916, 0.365517, 0.393114");
-            values ( \
-              "0.0118251, 0.0380414, 0.0620601, 0.0707889, 0.0775992, 0.07792, 0.0796206, 0.0768683, 0.0697809, 0.0669055, 0.0642178, 0.0447715, 0.0209707, 0.0195134, 0.0195211, 0.0155973, 0.0102697, 0.00546601, 0.00300815, 0.0010988, 0.000122747, 0.000270607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.133839, 0.142112, 0.148551, 0.151593, 0.156566, 0.163762, 0.172699, 0.174828, 0.179084, 0.185649, 0.195674, 0.196748, 0.198897, 0.208623, 0.219068, 0.227183, 0.242144, 0.249924, 0.266124, 0.271781, 0.274544, 0.279699, 0.287387, 0.29661, 0.298045, 0.300914, 0.306652, 0.315291, 0.327175, 0.33162, 0.358291, 0.416784, 0.45491");
-            values ( \
-              "0.0412633, 0.077619, 0.0960433, 0.101788, 0.111077, 0.119578, 0.125, 0.124847, 0.126154, 0.124608, 0.120241, 0.118726, 0.117868, 0.110167, 0.0995708, 0.0842797, 0.0596055, 0.0491817, 0.0305957, 0.0273677, 0.0267937, 0.0243562, 0.0221511, 0.0180961, 0.018092, 0.0164551, 0.0148939, 0.0114985, 0.00880674, 0.00727835, 0.00321415, 0.000309765, 0.000446044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.137619, 0.146331, 0.160061, 0.172891, 0.18427, 0.193262, 0.207153, 0.22628, 0.250478, 0.304571, 0.332579, 0.355935, 0.400185, 0.440191, 0.498004, 0.498325");
-            values ( \
-              "0.101927, 0.115374, 0.153076, 0.170068, 0.175807, 0.175628, 0.173028, 0.16206, 0.144663, 0.0734497, 0.0454518, 0.0312163, 0.0164437, 0.00811409, 0.00257356, 0.00256617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.141709, 0.156118, 0.173969, 0.180406, 0.189042, 0.201093, 0.227809, 0.255418, 0.287909, 0.31551, 0.337699, 0.39235, 0.425001, 0.470192, 0.493132, 0.531853, 0.5701, 0.617617, 0.674758, 0.780277, 0.940135");
-            values ( \
-              "0.168739, 0.169396, 0.205046, 0.212294, 0.216736, 0.22016, 0.215701, 0.207061, 0.193945, 0.179517, 0.163638, 0.112402, 0.0854547, 0.055244, 0.0434501, 0.0300492, 0.020861, 0.0128492, 0.00685276, 0.00182521, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.146104, 0.172941, 0.178763, 0.198133, 0.21214, 0.228612, 0.252223, 0.279288, 0.328876, 0.401201, 0.459681, 0.510197, 0.624845, 0.700271, 0.740538, 0.783374, 0.836003, 0.860955, 0.902925, 0.945904, 1.00533, 1.07603, 1.14679, 1.23096, 1.31513, 1.3993, 1.56764");
-            values ( \
-              "0.223846, 0.225989, 0.234861, 0.248939, 0.250893, 0.250967, 0.247902, 0.243595, 0.233831, 0.215388, 0.197077, 0.1738, 0.114501, 0.0808979, 0.0659535, 0.0523697, 0.0394047, 0.0345894, 0.0276954, 0.0219317, 0.0158018, 0.0105778, 0.00695079, 0.00421055, 0.00248616, 0.00150475, 0.000538127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.151945, 0.22795, 0.258124, 0.318436, 0.419272, 0.64145, 0.757436, 1.20406, 1.36285, 1.51773, 1.63616, 1.7971, 1.95416, 2.28208, 2.42609");
-            values ( \
-              "0.27095, 0.271741, 0.271725, 0.267935, 0.256407, 0.225523, 0.205648, 0.0921286, 0.0607521, 0.0395986, 0.0283901, 0.0177979, 0.011104, 0.00389131, 0.00320478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.150452, 0.155975, 0.160035, 0.164745, 0.169339, 0.175906, 0.180467, 0.18453, 0.188769, 0.192829, 0.201268, 0.218029, 0.231853, 0.248788, 0.25403, 0.258289, 0.264629, 0.283102, 0.290046, 0.300021, 0.307107, 0.319508, 0.334428, 0.356025, 0.407108");
-            values ( \
-              "0.0100994, 0.037414, 0.0494428, 0.0591409, 0.0663587, 0.0733671, 0.0763486, 0.0783447, 0.079178, 0.0793738, 0.0757528, 0.0638136, 0.0418887, 0.0214508, 0.0196882, 0.0193396, 0.0175288, 0.0100937, 0.00782533, 0.00532247, 0.00405033, 0.00252862, 0.00149481, 0.000658928, 0.00012395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.153605, 0.158953, 0.164362, 0.171601, 0.181816, 0.187683, 0.194837, 0.199091, 0.205658, 0.216757, 0.223331, 0.239235, 0.256203, 0.267023, 0.284143, 0.288925, 0.294547, 0.324165, 0.34166, 0.36435, 0.378716, 0.404569, 0.426175");
-            values ( \
-              "0.0359745, 0.0656854, 0.0846516, 0.102293, 0.117422, 0.122314, 0.125344, 0.125656, 0.125102, 0.119209, 0.114368, 0.0998251, 0.0685088, 0.0531752, 0.0328915, 0.0289943, 0.026434, 0.0154612, 0.00987716, 0.0052035, 0.00351137, 0.00152602, 0.00110428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.15757, 0.17505, 0.188268, 0.197418, 0.204504, 0.213498, 0.229637, 0.234018, 0.253982, 0.273783, 0.308012, 0.327091, 0.35304, 0.371956, 0.418343, 0.446403, 0.464767, 0.488477, 0.522965, 0.529513");
-            values ( \
-              "0.0943754, 0.141462, 0.164896, 0.172729, 0.175281, 0.176152, 0.171858, 0.169377, 0.157181, 0.142005, 0.0937946, 0.0711027, 0.0451579, 0.0328668, 0.0169056, 0.0104245, 0.00758931, 0.00464666, 0.00244158, 0.00230679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.161567, 0.176338, 0.184422, 0.194132, 0.200557, 0.20917, 0.221256, 0.234596, 0.266255, 0.304566, 0.335672, 0.357194, 0.397614, 0.429806, 0.469648, 0.501959, 0.517936, 0.541631, 0.567825, 0.602412, 0.640764, 0.691948, 0.738922, 0.796555, 0.951261");
-            values ( \
-              "0.161013, 0.170135, 0.190026, 0.20559, 0.211744, 0.217259, 0.219625, 0.218883, 0.209906, 0.195129, 0.180017, 0.1637, 0.126178, 0.0978159, 0.0682399, 0.0490096, 0.0419241, 0.0331724, 0.0260133, 0.018623, 0.012576, 0.00720088, 0.00449906, 0.00204374, 0.000159001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.165808, 0.192989, 0.206283, 0.218181, 0.232146, 0.266341, 0.27833, 0.315721, 0.348763, 0.421248, 0.479728, 0.530258, 0.644899, 0.720292, 0.760584, 0.803435, 0.856052, 0.886998, 0.965947, 1.02538, 1.09608, 1.16684, 1.25101, 1.33518, 1.39082");
-            values ( \
-              "0.217606, 0.226547, 0.242034, 0.248393, 0.251437, 0.24878, 0.247005, 0.240682, 0.233843, 0.215411, 0.1971, 0.17377, 0.114521, 0.080932, 0.065977, 0.0523891, 0.0393812, 0.033485, 0.0219553, 0.015779, 0.0105546, 0.00697356, 0.00418746, 0.00250905, 0.00206972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.171336, 0.232721, 0.248552, 0.264009, 0.277964, 0.306461, 0.338149, 0.380898, 0.439035, 0.553984, 0.66131, 0.716267, 0.777296, 0.861467, 1.07562, 1.15569, 1.22392, 1.30809, 1.38271, 1.45342, 1.53759, 1.57707, 1.65602, 1.73279, 1.81696, 1.91824, 2.05819, 2.14236, 2.22654, 2.39488, 2.64739, 2.8999");
-            values ( \
-              "0.2688, 0.27006, 0.271381, 0.271472, 0.271364, 0.269978, 0.267585, 0.263156, 0.256056, 0.240747, 0.225162, 0.216294, 0.205286, 0.185393, 0.127862, 0.107533, 0.0917649, 0.0743752, 0.0611158, 0.0504121, 0.0399623, 0.0358877, 0.0287537, 0.0231855, 0.0181616, 0.0135705, 0.0089706, 0.00691974, 0.00540933, 0.00324611, 0.00145345, 0.000701013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.194891, 0.201371, 0.205597, 0.206358, 0.207372, 0.211371, 0.215491, 0.218603, 0.221317, 0.225871, 0.229943, 0.234182, 0.238242, 0.244804, 0.246682, 0.263408, 0.277289, 0.284381, 0.294665, 0.299886, 0.303704, 0.310293, 0.315913, 0.32414, 0.333842, 0.348716, 0.350137, 0.352977, 0.358657, 0.368706, 0.388253, 0.410354, 0.435837, 0.463761");
-            values ( \
-              "0.00338632, 0.0371058, 0.0499627, 0.0510879, 0.0535169, 0.0612188, 0.0672057, 0.0707308, 0.0732652, 0.0764014, 0.0782553, 0.0792416, 0.0792901, 0.0768585, 0.0756724, 0.063925, 0.041787, 0.0326099, 0.0209984, 0.0197665, 0.0192522, 0.0175261, 0.0153188, 0.0117577, 0.00824056, 0.00462515, 0.00454088, 0.00390724, 0.00331845, 0.0021204, 0.00116875, 0.000412792, 0.000325909, 1.54049e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.197921, 0.204243, 0.213694, 0.221843, 0.22907, 0.237983, 0.244443, 0.250959, 0.260907, 0.283901, 0.307923, 0.331315, 0.337242, 0.379816, 0.406462, 0.445945");
-            values ( \
-              "0.0209492, 0.0651459, 0.095345, 0.11068, 0.119192, 0.124596, 0.125748, 0.125003, 0.119887, 0.100647, 0.0590729, 0.0311299, 0.0275918, 0.0120303, 0.00573101, 0.00202006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.201651, 0.208371, 0.212797, 0.220471, 0.225627, 0.231174, 0.236865, 0.242758, 0.249778, 0.258836, 0.27218, 0.280639, 0.315114, 0.324966, 0.352494, 0.376111, 0.39831, 0.4095, 0.419646, 0.44103, 0.474988, 0.491239, 0.513197, 0.5348, 0.570292, 0.593737");
-            values ( \
-              "0.0661042, 0.101512, 0.119036, 0.141541, 0.152615, 0.161595, 0.168182, 0.172589, 0.175357, 0.176023, 0.17283, 0.168768, 0.145625, 0.13415, 0.095116, 0.0669629, 0.0452799, 0.0372604, 0.0319837, 0.0239055, 0.0140507, 0.0105593, 0.00702492, 0.00470646, 0.00220839, 0.00153107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.205786, 0.218412, 0.226741, 0.239498, 0.246357, 0.255567, 0.26662, 0.279948, 0.293337, 0.320947, 0.353443, 0.381039, 0.402345, 0.458121, 0.474869, 0.488717, 0.514262, 0.548115, 0.564918, 0.58854, 0.624615, 0.658015, 0.686806, 0.729101, 0.770757, 0.825821, 0.893228, 0.977399");
-            values ( \
-              "0.141778, 0.159642, 0.183256, 0.20539, 0.212262, 0.217493, 0.219779, 0.218693, 0.215339, 0.206708, 0.193615, 0.179836, 0.164062, 0.112521, 0.0982445, 0.0871533, 0.0689126, 0.04878, 0.0410856, 0.0328835, 0.0234841, 0.0169522, 0.0126344, 0.00805059, 0.00506036, 0.00267067, 0.00118389, 0.000408204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.210141, 0.232755, 0.24352, 0.255731, 0.263621, 0.279089, 0.295514, 0.317318, 0.3577, 0.382012, 0.412494, 0.45504, 0.525177, 0.580409, 0.708376, 0.771622, 0.806059, 0.848047, 0.89675, 0.932223, 0.97301, 1.01448, 1.07239, 1.13854, 1.20812, 1.29229, 1.37646, 1.46063, 1.62897");
-            values ( \
-              "0.206852, 0.215732, 0.233511, 0.244622, 0.248402, 0.251319, 0.250405, 0.247949, 0.241334, 0.236503, 0.229597, 0.218652, 0.197067, 0.171455, 0.105859, 0.0786019, 0.0659519, 0.0526108, 0.0403705, 0.0335083, 0.0269882, 0.0215884, 0.0156364, 0.0107377, 0.00715085, 0.00429436, 0.00257544, 0.00152034, 0.000528741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.215001, 0.262916, 0.276843, 0.293258, 0.30929, 0.323536, 0.352194, 0.383669, 0.452389, 0.599255, 0.674283, 0.761536, 0.822567, 0.906738, 1.14758, 1.26919, 1.35336, 1.42799, 1.49869, 1.58286, 1.62234, 1.70129, 1.77807, 1.86224, 1.96352, 2.0988, 2.26715, 2.43549, 2.60383, 2.94051");
-            values ( \
-              "0.256886, 0.26502, 0.269893, 0.271356, 0.271515, 0.271326, 0.269923, 0.267534, 0.259978, 0.240715, 0.229997, 0.216327, 0.205254, 0.185426, 0.120952, 0.0917295, 0.0744108, 0.0611519, 0.0503759, 0.0399986, 0.0358511, 0.0287902, 0.0231489, 0.0181983, 0.0135333, 0.00905995, 0.00544924, 0.00325525, 0.00193461, 0.000673465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.294794, 0.301086, 0.305332, 0.309731, 0.315146, 0.318473, 0.321221, 0.325792, 0.329869, 0.334119, 0.338182, 0.344686, 0.363352, 0.377254, 0.385197, 0.395798, 0.400963, 0.408851, 0.42432, 0.440163, 0.449724, 0.464987, 0.483778, 0.505573, 0.557249");
-            values ( \
-              "0.00530053, 0.0364022, 0.0490325, 0.0579561, 0.0665059, 0.0704955, 0.0729387, 0.0762847, 0.0780209, 0.0791919, 0.0791145, 0.0768979, 0.0639915, 0.0417094, 0.0315702, 0.0202971, 0.0197523, 0.0180066, 0.0116469, 0.0064424, 0.0044364, 0.00244509, 0.00134944, 0.000496881, 3.68331e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.299347, 0.309617, 0.316918, 0.321841, 0.329134, 0.334583, 0.340231, 0.345708, 0.351063, 0.361436, 0.377055, 0.381796, 0.402599, 0.40786, 0.426036, 0.432276, 0.434374, 0.445531, 0.480494, 0.497005, 0.506067, 0.524192, 0.553146, 0.586314, 0.625455");
-            values ( \
-              "0.0495994, 0.0835836, 0.101547, 0.110102, 0.118872, 0.122826, 0.125073, 0.125635, 0.124924, 0.119534, 0.107813, 0.1031, 0.067283, 0.0593888, 0.0368118, 0.0302037, 0.0289365, 0.0243374, 0.0117186, 0.00744426, 0.00593514, 0.00332633, 0.00150717, 0.000401298, 0.000253469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.301556, 0.308118, 0.315491, 0.320286, 0.326452, 0.3322, 0.33762, 0.342652, 0.35053, 0.358751, 0.372432, 0.379817, 0.402674, 0.409857, 0.419122, 0.453319, 0.472299, 0.498148, 0.507252, 0.517005, 0.538842, 0.566264, 0.584431, 0.606264, 0.630698, 0.663504, 0.705946, 0.76324");
-            values ( \
-              "0.0714641, 0.100163, 0.127703, 0.140745, 0.153829, 0.162704, 0.168645, 0.172318, 0.175389, 0.175888, 0.172654, 0.169176, 0.154388, 0.149321, 0.141657, 0.09395, 0.0709722, 0.0453945, 0.0385256, 0.0331817, 0.0246577, 0.0162776, 0.0119639, 0.00805177, 0.00502346, 0.00259728, 0.00104255, 0.000288041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.306637, 0.321552, 0.334821, 0.34576, 0.354269, 0.366535, 0.379884, 0.393256, 0.420866, 0.453324, 0.480957, 0.502374, 0.560427, 0.594297, 0.622838, 0.649425, 0.668463, 0.691664, 0.718143, 0.751556, 0.78604, 0.83905, 0.886817, 0.94505, 0.974275");
-            values ( \
-              "0.158675, 0.169281, 0.198776, 0.211638, 0.216889, 0.219705, 0.218688, 0.2153, 0.206685, 0.193593, 0.17988, 0.163927, 0.11044, 0.0828955, 0.0633038, 0.0481057, 0.0395748, 0.0319752, 0.0250033, 0.0179993, 0.0126678, 0.00715208, 0.00424737, 0.00206805, 0.00172784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.310731, 0.334332, 0.344797, 0.352979, 0.363635, 0.377686, 0.394389, 0.417504, 0.444196, 0.480161, 0.512507, 0.559169, 0.612093, 0.65145, 0.691443, 0.775664, 0.842581, 0.893328, 0.934742, 0.966779, 1.01666, 1.06523, 1.12999, 1.19118, 1.22369, 1.28872, 1.37289, 1.45706, 1.54123, 1.70957");
-            values ( \
-              "0.215617, 0.218636, 0.234853, 0.242651, 0.248411, 0.25121, 0.250528, 0.247925, 0.243723, 0.2369, 0.229601, 0.217554, 0.201613, 0.185575, 0.16574, 0.121773, 0.0904908, 0.0704912, 0.0565873, 0.0475048, 0.0363817, 0.0281337, 0.019793, 0.0140711, 0.0116878, 0.00800997, 0.00482689, 0.00289393, 0.00171472, 0.000598529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.315708, 0.377844, 0.393741, 0.409259, 0.423105, 0.451533, 0.483303, 0.552358, 0.699228, 0.806554, 0.861512, 0.92254, 1.00671, 1.24756, 1.36916, 1.45333, 1.52796, 1.59866, 1.68283, 1.72231, 1.80126, 1.87804, 1.96221, 2.06349, 2.20344, 2.37178, 2.54012, 2.79263, 3.04514");
-            values ( \
-              "0.261269, 0.270012, 0.271348, 0.271488, 0.271359, 0.269983, 0.267586, 0.259964, 0.240733, 0.225148, 0.216308, 0.205272, 0.185407, 0.120973, 0.0917508, 0.0743893, 0.06113, 0.050398, 0.0399765, 0.0358735, 0.0287679, 0.0231713, 0.0181757, 0.0135564, 0.00895646, 0.00539519, 0.00323197, 0.0014676, 0.000686872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.499161, 0.504574, 0.509538, 0.515777, 0.521154, 0.525777, 0.534584, 0.542998, 0.55015, 0.567233, 0.586751, 0.598145, 0.603748, 0.60865, 0.614827, 0.633839, 0.640654, 0.650531, 0.66181, 0.669694, 0.685133, 0.706675, 0.757869");
-            values ( \
-              "0.0152532, 0.0332776, 0.0460712, 0.0587295, 0.0664289, 0.0714833, 0.0770923, 0.0785555, 0.0760201, 0.0646658, 0.0357675, 0.0223317, 0.0196404, 0.0193798, 0.0175747, 0.00996989, 0.00774057, 0.0053076, 0.00348685, 0.00254155, 0.0014804, 0.000646927, 0.000117034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.502438, 0.518147, 0.526252, 0.539581, 0.54493, 0.550118, 0.555853, 0.561344, 0.584914, 0.613858, 0.626287, 0.640495, 0.676676, 0.697336, 0.711154, 0.758843, 0.761458");
-            values ( \
-              "0.0451359, 0.0915836, 0.107625, 0.12147, 0.124043, 0.124617, 0.123894, 0.121777, 0.1053, 0.057937, 0.0422065, 0.0283906, 0.0146769, 0.00850349, 0.00573161, 0.00129063, 0.00124656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.506245, 0.515635, 0.519728, 0.530007, 0.537705, 0.542907, 0.550121, 0.555563, 0.559582, 0.563587, 0.571598, 0.579809, 0.600808, 0.614818, 0.624086, 0.65829, 0.677249, 0.703109, 0.712641, 0.72254, 0.744391, 0.766372, 0.793739, 0.812739, 0.834453, 0.867595, 0.911353, 0.969416");
-            values ( \
-              "0.0902788, 0.108454, 0.123226, 0.149292, 0.161566, 0.167664, 0.172599, 0.174064, 0.17466, 0.174826, 0.173755, 0.171009, 0.158938, 0.149277, 0.141599, 0.093967, 0.0709754, 0.0454211, 0.0382657, 0.032918, 0.0244667, 0.0175957, 0.0110667, 0.00784284, 0.00515478, 0.00265751, 0.00102649, 0.000283475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.510415, 0.525959, 0.539566, 0.549976, 0.561466, 0.571356, 0.581139, 0.597314, 0.620282, 0.654765, 0.685846, 0.707289, 0.765327, 0.799236, 0.854289, 0.896583, 0.956449, 0.990945, 1.04399, 1.09235, 1.14163");
-            values ( \
-              "0.149815, 0.165134, 0.196775, 0.209816, 0.216422, 0.218651, 0.218471, 0.215247, 0.208514, 0.194989, 0.179816, 0.163952, 0.110436, 0.0828452, 0.0480694, 0.0319109, 0.0180566, 0.0127243, 0.00720608, 0.00415923, 0.00242268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.515088, 0.543177, 0.55203, 0.563482, 0.578544, 0.594871, 0.616842, 0.648854, 0.674351, 0.713598, 0.771673, 0.830154, 0.879257, 0.994598, 1.05664, 1.10119, 1.13295, 1.1709, 1.22167, 1.27009, 1.33465, 1.39612, 1.49406, 1.57353, 1.6577, 1.74187, 1.91021");
-            values ( \
-              "0.205857, 0.223857, 0.236016, 0.245118, 0.250088, 0.250571, 0.248551, 0.243739, 0.239127, 0.230548, 0.215407, 0.1971, 0.174478, 0.114878, 0.0866758, 0.0694678, 0.0587265, 0.0477081, 0.0363866, 0.0281565, 0.0198203, 0.0140695, 0.00798913, 0.00496333, 0.00297218, 0.00176614, 0.000618121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.520542, 0.568021, 0.5813, 0.598042, 0.614407, 0.628242, 0.656779, 0.688534, 0.731348, 0.789583, 0.904422, 1.01175, 1.06671, 1.12773, 1.2119, 1.42606, 1.50613, 1.57435, 1.65852, 1.73315, 1.80386, 1.88803, 1.9275, 2.00646, 2.08323, 2.1674, 2.26868, 2.40863, 2.57697, 2.74532, 2.99783, 3.25034");
-            values ( \
-              "0.263105, 0.263928, 0.268916, 0.27097, 0.27134, 0.271313, 0.269997, 0.267605, 0.263164, 0.256046, 0.240747, 0.22516, 0.216295, 0.205284, 0.185396, 0.127867, 0.10754, 0.0917586, 0.0743818, 0.0611234, 0.0504046, 0.0399702, 0.0358795, 0.0287619, 0.0231771, 0.0181701, 0.0135605, 0.00896022, 0.00539871, 0.00323527, 0.00146461, 0.000689537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.914276, 0.920394, 0.930742, 0.938443, 0.946763, 0.953189, 0.961825, 0.970501, 0.987546, 1.00356, 1.01609, 1.02059, 1.02788, 1.03778, 1.05234, 1.06671, 1.07424, 1.08591, 1.10098, 1.12229, 1.1723, 1.20261");
-            values ( \
-              "0.0160447, 0.0268564, 0.0489275, 0.0614938, 0.0705285, 0.0747469, 0.0769303, 0.074131, 0.0633409, 0.0387532, 0.0236906, 0.0203653, 0.0194587, 0.0163851, 0.010178, 0.00590792, 0.00442257, 0.00282486, 0.00169795, 0.000704956, 0.000108433, 0.000131188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.91869, 0.926778, 0.9307, 0.939034, 0.948765, 0.958292, 0.963704, 0.969365, 0.974801, 0.98525, 0.996686, 0.998032, 1.00072, 1.00567, 1.02848, 1.03899, 1.05641, 1.06279, 1.09577, 1.10454, 1.11656, 1.13026, 1.14853, 1.17784, 1.22534");
-            values ( \
-              "0.0479613, 0.0578076, 0.0706398, 0.0919032, 0.10899, 0.118696, 0.12125, 0.122964, 0.122451, 0.118323, 0.110396, 0.108892, 0.107623, 0.10278, 0.0644508, 0.04996, 0.0300618, 0.026988, 0.0147177, 0.0119039, 0.00851605, 0.00576523, 0.00346358, 0.00131994, 0.000364014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.922309, 0.932465, 0.942626, 0.955291, 0.966039, 0.972987, 0.982454, 0.998765, 1.01574, 1.03894, 1.0487, 1.07691, 1.09915, 1.12226, 1.12852, 1.14685, 1.18604, 1.21317, 1.24014, 1.28204, 1.32728, 1.38841");
-            values ( \
-              "0.0817694, 0.0967766, 0.129654, 0.155989, 0.167947, 0.171521, 0.173716, 0.170603, 0.161177, 0.145401, 0.134519, 0.0943605, 0.0680909, 0.045145, 0.0402646, 0.030474, 0.0172613, 0.0108215, 0.00669416, 0.00293604, 0.00111358, 0.000266006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.927052, 0.940966, 0.951893, 0.957215, 0.962869, 0.969519, 0.977756, 0.990517, 1.00404, 1.0174, 1.04461, 1.07406, 1.10517, 1.12103, 1.18461, 1.2159, 1.25615, 1.2757, 1.30389, 1.33363, 1.35063, 1.38343, 1.41181, 1.45299, 1.49907, 1.5547, 1.5643");
-            values ( \
-              "0.137095, 0.145901, 0.178043, 0.189773, 0.198767, 0.207126, 0.21308, 0.217786, 0.217226, 0.214745, 0.206869, 0.194908, 0.179798, 0.168512, 0.110469, 0.0848496, 0.05829, 0.0474607, 0.0358979, 0.027319, 0.0232695, 0.0168763, 0.0126292, 0.00814485, 0.00486454, 0.00254356, 0.00234305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.931422, 0.956172, 0.975713, 0.987407, 1.00123, 1.0177, 1.04226, 1.07148, 1.12589, 1.18597, 1.2461, 1.29056, 1.39956, 1.46333, 1.5163, 1.56256, 1.5979, 1.64401, 1.72802, 1.7912, 1.87221, 1.94329, 2.02746, 2.1958, 2.36414");
-            values ( \
-              "0.184355, 0.207823, 0.237778, 0.246022, 0.249469, 0.249941, 0.247596, 0.24313, 0.232128, 0.216786, 0.198215, 0.178416, 0.121919, 0.091963, 0.0708874, 0.0554593, 0.0457321, 0.0357994, 0.0228606, 0.0161086, 0.0101528, 0.00668048, 0.0040152, 0.0014222, 0.000496998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.93734, 0.979367, 0.987121, 1.00209, 1.01818, 1.04741, 1.06175, 1.07599, 1.10782, 1.15073, 1.2091, 1.39106, 1.51101, 1.5471, 1.60706, 1.67877, 1.87266, 1.99483, 2.079, 2.15008, 2.22168, 2.30585, 2.34675, 2.42856, 2.50434, 2.58851, 2.68718, 2.82541, 2.99375, 3.16209, 3.4146, 3.66711");
-            values ( \
-              "0.243436, 0.256003, 0.262276, 0.268214, 0.270642, 0.271362, 0.270386, 0.270148, 0.267774, 0.263323, 0.256184, 0.231153, 0.211981, 0.205183, 0.191435, 0.173146, 0.120788, 0.0914685, 0.0741826, 0.0615372, 0.050583, 0.0401526, 0.0358601, 0.0285527, 0.0230352, 0.018093, 0.013576, 0.00901341, 0.00542662, 0.00324768, 0.0014875, 0.000684407" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00302507, 0.00302511, 0.00302516, 0.00302519, 0.00302521, 0.00302523", \
-            "0.00362213, 0.00362214, 0.00362216, 0.00362217, 0.00362219, 0.00362219", \
-            "0.00398429, 0.00398429, 0.00398429, 0.00398429, 0.0039843, 0.00398431", \
-            "0.00419769, 0.00419768, 0.00419768, 0.00419768, 0.00419768, 0.00419769", \
-            "0.00431078, 0.00431077, 0.00431077, 0.00431077, 0.00431076, 0.00431076", \
-            "0.00437285, 0.00437285, 0.00437285, 0.00437285, 0.00437285, 0.00437284" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00435479, 0.00435476, 0.00435474, 0.00435474, 0.00435475, 0.00435475", \
-            "0.00482568, 0.00482563, 0.00482558, 0.00482556, 0.00482555, 0.00482555", \
-            "0.00506955, 0.00506949, 0.00506943, 0.00506937, 0.00506933, 0.00506931", \
-            "0.00530461, 0.0053046, 0.00530451, 0.00530441, 0.00530434, 0.00530429", \
-            "0.00565613, 0.00565623, 0.00565635, 0.00565645, 0.0056565, 0.00565651", \
-            "0.00653664, 0.00653296, 0.00652756, 0.00652408, 0.00652217, 0.00652139" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(CK & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(CK * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.163748, 0.179054, 0.208326, 0.266071, 0.382829, 0.622699", \
-            "0.169839, 0.18516, 0.21442, 0.272163, 0.38892, 0.628791", \
-            "0.18438, 0.199681, 0.228956, 0.286712, 0.403472, 0.643343", \
-            "0.216187, 0.231479, 0.260728, 0.318496, 0.435309, 0.675196", \
-            "0.270294, 0.285582, 0.314898, 0.37273, 0.489546, 0.729448", \
-            "0.355654, 0.371017, 0.400346, 0.45822, 0.575105, 0.815048" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0563078, 0.073954, 0.112205, 0.193373, 0.363687, 0.718607", \
-            "0.0563032, 0.0739468, 0.112205, 0.193379, 0.363687, 0.718619", \
-            "0.0563102, 0.0739564, 0.112203, 0.193368, 0.363687, 0.718605", \
-            "0.0563674, 0.0740027, 0.112231, 0.193382, 0.363686, 0.718607", \
-            "0.0567696, 0.0743054, 0.112426, 0.193498, 0.363703, 0.718606", \
-            "0.0577045, 0.0750334, 0.112864, 0.193623, 0.363752, 0.718627" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.128086, 0.132861, 0.139329, 0.146184, 0.15509, 0.163076, 0.173334, 0.19161, 0.205553, 0.211541, 0.219775, 0.224365, 0.227635, 0.230769, 0.231723, 0.23363, 0.237444, 0.24161, 0.245975, 0.251054, 0.252114, 0.254235, 0.258476, 0.264831, 0.273965, 0.277924, 0.285842, 0.321917, 0.344135, 0.368856, 0.397608");
-            values ( \
-              "0.0154868, 0.0464277, 0.0631382, 0.0732972, 0.0798764, 0.0819868, 0.0790206, 0.0649044, 0.0418123, 0.0338423, 0.0240006, 0.0207331, 0.0195117, 0.0198518, 0.0189771, 0.0193512, 0.0175622, 0.0167257, 0.0141467, 0.0126646, 0.0115277, 0.0113527, 0.00909844, 0.00774367, 0.0048553, 0.00478021, 0.00295079, 0.000533368, 0.000746027, 1e-22, 0.000423636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.128019, 0.135221, 0.138076, 0.14386, 0.151279, 0.158394, 0.168209, 0.169145, 0.171017, 0.174762, 0.179727, 0.188357, 0.190655, 0.195253, 0.202358, 0.207696, 0.214818, 0.224408, 0.230518, 0.24476, 0.254475, 0.262111, 0.26451, 0.269308, 0.27598, 0.286201, 0.291489, 0.302066, 0.31229, 0.32172, 0.324532, 0.330156, 0.341405, 0.357218, 0.419591, 0.460552");
-            values ( \
-              "0.00117465, 0.0743214, 0.0867138, 0.103189, 0.116316, 0.123975, 0.128713, 0.12794, 0.12902, 0.128066, 0.12778, 0.122158, 0.121212, 0.116682, 0.111399, 0.106365, 0.0967997, 0.0781705, 0.0679096, 0.0477616, 0.0359398, 0.0288773, 0.0282295, 0.0255735, 0.0238281, 0.0194422, 0.0180336, 0.0135657, 0.0109577, 0.00793232, 0.00790862, 0.00620458, 0.00499949, 0.00267309, 0.000152226, 0.000419451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.128017, 0.141814, 0.1455, 0.154376, 0.161299, 0.17155, 0.187327, 0.203271, 0.214059, 0.237793, 0.2466, 0.28386, 0.297958, 0.322523, 0.333538, 0.358669, 0.385414, 0.415622, 0.41934, 0.426776, 0.462266, 0.542426, 0.605774");
-            values ( \
-              "0.00952188, 0.124386, 0.137865, 0.15847, 0.168679, 0.177034, 0.179181, 0.174154, 0.167839, 0.150899, 0.142818, 0.0900881, 0.0729133, 0.0482455, 0.0391453, 0.0271768, 0.0183619, 0.0109226, 0.0109651, 0.00885812, 0.0043276, 0.000491778, 0.000584276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.138015, 0.159271, 0.174279, 0.194945, 0.2139, 0.238445, 0.277583, 0.308699, 0.324601, 0.385606, 0.419287, 0.456835, 0.483217, 0.523324, 0.577134, 0.614441, 0.662594, 0.763568, 0.915784");
-            values ( \
-              "0.177455, 0.196815, 0.216727, 0.223245, 0.220301, 0.21232, 0.195493, 0.179267, 0.168574, 0.112294, 0.0845917, 0.0591225, 0.0448705, 0.0305194, 0.0181817, 0.0123445, 0.00723545, 0.0020258, 1.02624e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.142104, 0.175809, 0.191963, 0.212229, 0.244157, 0.340131, 0.452976, 0.679522, 0.756216, 0.844343, 0.894361, 1.00366, 1.05132, 1.19305, 1.29821");
-            values ( \
-              "0.235648, 0.243071, 0.252458, 0.254502, 0.250269, 0.229719, 0.197227, 0.0870555, 0.0582617, 0.0360963, 0.0276094, 0.0149766, 0.0113441, 0.00469303, 0.00330314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.146462, 0.146482, 0.313188, 0.352646, 0.526912, 0.634272, 0.718301, 0.750465, 0.810572, 0.882209, 1.07659, 1.19914, 1.28316, 1.35272, 1.42474, 1.50876, 1.55046, 1.63384, 1.70908, 1.7931, 1.86394, 1.94374, 2.02777, 2.19583, 2.36389, 2.61597, 2.86806");
-            values ( \
-              "1e-22, 0.280182, 0.267387, 0.26311, 0.240564, 0.225039, 0.211072, 0.20508, 0.191256, 0.173074, 0.120666, 0.091314, 0.0740197, 0.061651, 0.0506956, 0.0401957, 0.0358938, 0.028387, 0.0230048, 0.018009, 0.0146506, 0.011581, 0.00908835, 0.00549206, 0.00330515, 0.00146084, 0.000728271" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.148072, 0.152477, 0.159397, 0.166122, 0.17069, 0.178964, 0.187029, 0.195259, 0.211599, 0.229551, 0.241114, 0.245351, 0.253962, 0.282995, 0.300203, 0.329628, 0.352796");
-            values ( \
-              "0.0149476, 0.0446378, 0.0632237, 0.0731425, 0.0771291, 0.0811225, 0.0815559, 0.0774536, 0.0644001, 0.0366785, 0.0227976, 0.0200922, 0.0189358, 0.00790646, 0.00402891, 0.00138627, 0.000967879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.148189, 0.155226, 0.160062, 0.16393, 0.171207, 0.178383, 0.188188, 0.189133, 0.191023, 0.199716, 0.210647, 0.220507, 0.22235, 0.226036, 0.235357, 0.248379, 0.256819, 0.276949, 0.282186, 0.287015, 0.320626, 0.340774, 0.351111, 0.387062, 0.413226");
-            values ( \
-              "0.00246057, 0.0747957, 0.0925008, 0.103151, 0.116019, 0.123771, 0.128508, 0.12814, 0.128846, 0.127606, 0.121041, 0.113063, 0.110542, 0.108512, 0.0954524, 0.0714523, 0.0585985, 0.0333613, 0.0290496, 0.0266884, 0.0142536, 0.0083519, 0.00622826, 0.0021011, 0.0013546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.152263, 0.158736, 0.165455, 0.174204, 0.182987, 0.191497, 0.199438, 0.207274, 0.223187, 0.24672, 0.262179, 0.317904, 0.343226, 0.363716, 0.401757, 0.449174, 0.502062, 0.517384");
-            values ( \
-              "0.0612983, 0.112683, 0.137612, 0.15799, 0.170469, 0.176842, 0.178753, 0.178987, 0.173976, 0.15911, 0.147066, 0.0731318, 0.0476896, 0.0337245, 0.0196419, 0.00869325, 0.00300087, 0.00254541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.156594, 0.167836, 0.176572, 0.188264, 0.203634, 0.214887, 0.228017, 0.262934, 0.293814, 0.328667, 0.350187, 0.422933, 0.466685, 0.491556, 0.504793, 0.528229, 0.548305, 0.569418, 0.623952, 0.660177, 0.69816, 0.747729, 0.807188, 0.882909, 0.966938");
-            values ( \
-              "0.16601, 0.168827, 0.191769, 0.21097, 0.221334, 0.222539, 0.221487, 0.210343, 0.196894, 0.179818, 0.163412, 0.0976546, 0.0656574, 0.0508777, 0.0446218, 0.0352741, 0.0293301, 0.0240677, 0.0139994, 0.00953728, 0.00651946, 0.00349316, 0.00193352, 0.000571414, 0.000379488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.160679, 0.187281, 0.200815, 0.211985, 0.231069, 0.264076, 0.360138, 0.414418, 0.472983, 0.539517, 0.623724, 0.699705, 0.776105, 0.864313, 0.914443, 0.979852, 1.07127, 1.21259, 1.33968");
-            values ( \
-              "0.221805, 0.232122, 0.246506, 0.252329, 0.254366, 0.250114, 0.229545, 0.215316, 0.197052, 0.165699, 0.121439, 0.0868073, 0.0584752, 0.036279, 0.027774, 0.0198073, 0.011524, 0.00488352, 0.00240643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.16486, 0.216002, 0.225349, 0.245965, 0.262927, 0.349719, 0.466971, 0.654448, 0.770503, 0.902512, 1.06902, 1.21739, 1.37659, 1.53122, 1.6495, 1.72633, 1.81035, 1.96784, 2.1359, 2.30396, 2.64007, 2.89216");
-            values ( \
-              "0.267891, 0.27043, 0.273217, 0.274329, 0.273789, 0.265845, 0.251479, 0.225107, 0.205282, 0.173177, 0.12764, 0.0918814, 0.0608814, 0.0397898, 0.0285989, 0.0233483, 0.018011, 0.0112968, 0.00675821, 0.0039815, 0.00129379, 0.000868522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.191668, 0.199187, 0.201803, 0.207095, 0.216159, 0.220528, 0.22443, 0.228515, 0.232494, 0.238761, 0.240724, 0.24465, 0.249602, 0.257015, 0.259482, 0.264417, 0.272511, 0.279966, 0.289375, 0.292526, 0.295664, 0.297148, 0.300116, 0.304084, 0.308334, 0.312203, 0.317606, 0.334777, 0.340773, 0.342972, 0.347371, 0.35617, 0.388812, 0.410763, 0.436498, 0.465212");
-            values ( \
-              "0.00218097, 0.0484561, 0.0566905, 0.0673312, 0.0773524, 0.0791196, 0.0813546, 0.0812411, 0.0817849, 0.0782934, 0.0776757, 0.0737665, 0.0708353, 0.0642323, 0.0613824, 0.0524704, 0.0398041, 0.0303634, 0.020289, 0.0201697, 0.0193297, 0.0195456, 0.0185343, 0.0177079, 0.0156321, 0.0143514, 0.0116516, 0.00600578, 0.00510961, 0.00431217, 0.00400628, 0.00252134, 0.000627762, 0.000602772, 1e-22, 0.000287474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.191594, 0.205366, 0.20956, 0.216677, 0.223692, 0.233456, 0.234444, 0.236419, 0.24037, 0.245005, 0.255955, 0.273008, 0.28012, 0.289623, 0.301284, 0.319697, 0.327406, 0.366838, 0.386274, 0.389564, 0.396143, 0.428221, 0.493715");
-            values ( \
-              "0.00320077, 0.0930372, 0.103965, 0.11639, 0.12394, 0.128682, 0.127923, 0.129039, 0.128002, 0.127809, 0.121234, 0.106323, 0.096822, 0.0783034, 0.059635, 0.0360265, 0.0288751, 0.0137472, 0.00810644, 0.00796311, 0.00608217, 0.00220905, 5.16275e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.197422, 0.204091, 0.215082, 0.22309, 0.22957, 0.236857, 0.246473, 0.264976, 0.275755, 0.307436, 0.363266, 0.391297, 0.40275, 0.414681, 0.459463, 0.499063, 0.523004, 0.555652, 0.568818");
-            values ( \
-              "0.0567964, 0.11206, 0.14892, 0.163985, 0.171864, 0.177007, 0.17941, 0.175672, 0.170108, 0.147386, 0.0732616, 0.0453487, 0.0369973, 0.031088, 0.0162694, 0.00815315, 0.00511284, 0.00269134, 0.00218132" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.202556, 0.216276, 0.233585, 0.248097, 0.260209, 0.273346, 0.308159, 0.373993, 0.50731, 0.543928, 0.585036, 0.67047, 0.780021, 0.79111");
-            values ( \
-              "0.174685, 0.178573, 0.211234, 0.221291, 0.222326, 0.221733, 0.210577, 0.179898, 0.0684768, 0.047026, 0.0315442, 0.0136211, 0.00388658, 0.00360036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.206879, 0.235334, 0.248002, 0.271549, 0.305018, 0.388611, 0.4873, 0.518309, 0.567501, 0.682958, 0.745079, 0.800236, 0.859385, 0.926349, 1.02498, 1.0849, 1.17991, 1.34156, 1.59365");
-            values ( \
-              "0.232807, 0.236489, 0.248214, 0.254535, 0.251017, 0.23364, 0.20707, 0.196531, 0.174575, 0.114435, 0.0862728, 0.0653407, 0.0473721, 0.0331142, 0.0193208, 0.0137514, 0.00782188, 0.00276399, 0.000935974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.211083, 0.27611, 0.286033, 0.301671, 0.31162, 0.380444, 0.476554, 0.634165, 0.699689, 0.783718, 0.815878, 0.875985, 0.947622, 1.142, 1.26455, 1.34858, 1.41813, 1.49015, 1.57418, 1.61587, 1.69925, 1.77449, 1.85852, 1.92935, 2.00916, 2.09318, 2.26124, 2.4293, 2.68139, 2.93347");
-            values ( \
-              "0.273669, 0.2738, 0.27421, 0.274012, 0.273385, 0.267196, 0.255934, 0.234607, 0.225032, 0.211078, 0.205073, 0.191263, 0.173067, 0.120659, 0.0913065, 0.0740272, 0.0616584, 0.0506881, 0.0402031, 0.0358864, 0.0283943, 0.0229974, 0.0180163, 0.0146578, 0.0115882, 0.00908122, 0.00548497, 0.0032981, 0.00146785, 0.000721308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.290792, 0.299979, 0.304641, 0.311466, 0.316018, 0.321523, 0.3284, 0.332398, 0.338739, 0.356928, 0.375445, 0.389259, 0.39965, 0.425035, 0.447374, 0.472715, 0.497032");
-            values ( \
-              "0.00152803, 0.0513646, 0.062444, 0.0726359, 0.0766381, 0.0798161, 0.0815054, 0.0812596, 0.0785713, 0.0646082, 0.0359418, 0.0206622, 0.0189648, 0.00889836, 0.00368494, 0.00134556, 0.00072294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.295945, 0.305114, 0.311887, 0.319026, 0.323546, 0.328586, 0.334319, 0.339312, 0.344915, 0.354014, 0.373105, 0.403967, 0.425699, 0.430948, 0.465807, 0.486792, 0.495621, 0.530526, 0.553352");
-            values ( \
-              "0.054021, 0.0917087, 0.108054, 0.118679, 0.12315, 0.126434, 0.128219, 0.12843, 0.127242, 0.122193, 0.10656, 0.0559299, 0.0300932, 0.0272788, 0.0143063, 0.00820582, 0.00638832, 0.00224235, 0.00154944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.295698, 0.303801, 0.310587, 0.319588, 0.327008, 0.332068, 0.336718, 0.344231, 0.352509, 0.365759, 0.368459, 0.393161, 0.407273, 0.416826, 0.446702, 0.463163, 0.491187, 0.50262, 0.514518, 0.5342, 0.559524, 0.578218, 0.598754, 0.622555, 0.654869, 0.696217, 0.751646");
-            values ( \
-              "0.028373, 0.110564, 0.136362, 0.157508, 0.168529, 0.173514, 0.176377, 0.178776, 0.178653, 0.174945, 0.173689, 0.158205, 0.147447, 0.136017, 0.0934396, 0.0732633, 0.0453398, 0.0370009, 0.0311189, 0.0238539, 0.0162325, 0.0118461, 0.00817552, 0.0051553, 0.00272141, 0.00110983, 0.00034186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.303392, 0.324587, 0.333625, 0.339528, 0.34811, 0.360269, 0.373413, 0.408409, 0.44609, 0.474054, 0.496103, 0.551074, 0.589603, 0.623156, 0.64093, 0.659888, 0.682645, 0.716568, 0.74919, 0.795273, 0.825665, 0.872036, 0.92749, 0.995694, 1.07972");
-            values ( \
-              "0.178918, 0.196922, 0.210506, 0.215972, 0.220715, 0.222672, 0.221278, 0.21018, 0.193802, 0.179583, 0.1632, 0.112445, 0.0811818, 0.0588167, 0.0489112, 0.0403726, 0.0326057, 0.0237686, 0.0173466, 0.0107602, 0.0078055, 0.0045947, 0.00245129, 0.00103363, 0.000396508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.304671, 0.348246, 0.371924, 0.409488, 0.488543, 0.559768, 0.61834, 0.844763, 0.921664, 1.00942, 1.1254, 1.18497, 1.27951, 1.4253");
-            values ( \
-              "0.203977, 0.247914, 0.254174, 0.24989, 0.233341, 0.215118, 0.196852, 0.0867358, 0.0586048, 0.0365222, 0.0195948, 0.0140641, 0.00815713, 0.00357927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.313401, 0.313421, 0.495039, 0.591324, 0.692435, 0.799793, 0.883822, 0.915988, 0.976095, 1.04773, 1.24211, 1.36466, 1.44869, 1.51824, 1.59026, 1.67429, 1.71598, 1.79936, 1.8746, 1.95863, 2.05606, 2.19329, 2.36135, 2.52941, 2.78149, 3.03358");
-            values ( \
-              "1e-22, 0.284897, 0.265657, 0.25402, 0.24053, 0.225005, 0.211107, 0.205046, 0.19129, 0.17304, 0.120633, 0.0912806, 0.074053, 0.0616841, 0.0506627, 0.0402286, 0.035861, 0.0284197, 0.022972, 0.0180417, 0.0135963, 0.00905615, 0.0054599, 0.00327304, 0.0014929, 0.00069631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.496113, 0.501094, 0.506782, 0.511078, 0.519145, 0.523124, 0.52843, 0.528938, 0.529956, 0.53199, 0.53455, 0.537087, 0.545391, 0.547783, 0.56198, 0.574064, 0.578145, 0.590522, 0.595009, 0.598154, 0.601295, 0.601966, 0.603308, 0.605993, 0.609643, 0.622411, 0.639383, 0.645496, 0.647755, 0.652274, 0.661312, 0.694292, 0.716413, 0.741892, 0.770687");
-            values ( \
-              "0.0133355, 0.0393057, 0.055149, 0.0637692, 0.074021, 0.0770536, 0.0792467, 0.0798624, 0.0797376, 0.0806407, 0.0804689, 0.0807319, 0.0769107, 0.0747048, 0.0647871, 0.0444658, 0.0386632, 0.0235167, 0.0204449, 0.0197382, 0.0196469, 0.0192161, 0.0193128, 0.0182961, 0.0173985, 0.0116764, 0.00608896, 0.00508465, 0.00435412, 0.00395207, 0.00252565, 0.000649064, 0.000555657, 3.12251e-05, 0.000248144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.496091, 0.503843, 0.509127, 0.513097, 0.51619, 0.524729, 0.533533, 0.538486, 0.539017, 0.540077, 0.542198, 0.54967, 0.550138, 0.55479, 0.577413, 0.584386, 0.598203, 0.608764, 0.622992, 0.630505, 0.635985, 0.679065, 0.695161, 0.703826, 0.721157, 0.74893, 0.781113, 0.818936");
-            values ( \
-              "0.00340846, 0.0667019, 0.0867098, 0.0976804, 0.104731, 0.117971, 0.12534, 0.126701, 0.127383, 0.126961, 0.127802, 0.12687, 0.126143, 0.124339, 0.106863, 0.0971516, 0.0720295, 0.0560932, 0.038109, 0.0302307, 0.0272931, 0.0115956, 0.00747206, 0.00590275, 0.00350975, 0.00155427, 0.000537813, 0.000215319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.496095, 0.510748, 0.514792, 0.519287, 0.530931, 0.541403, 0.550121, 0.561469, 0.573265, 0.580073, 0.598233, 0.612156, 0.621749, 0.651583, 0.668034, 0.686835, 0.699887, 0.707524, 0.719454, 0.739085, 0.764391, 0.78995, 0.803764, 0.827515, 0.859846, 0.901061, 0.956446");
-            values ( \
-              "0.0062984, 0.117181, 0.131325, 0.144174, 0.165792, 0.175305, 0.178205, 0.177202, 0.173207, 0.169611, 0.15784, 0.1473, 0.135867, 0.0934566, 0.0732414, 0.053939, 0.0422078, 0.0370117, 0.0310659, 0.0238253, 0.0162114, 0.0104335, 0.00818301, 0.00512289, 0.00274249, 0.00108584, 0.000368411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.507145, 0.523352, 0.529396, 0.538204, 0.550062, 0.56498, 0.575884, 0.583392, 0.608951, 0.647708, 0.67887, 0.700244, 0.758481, 0.791477, 0.820851, 0.848434, 0.867244, 0.889966, 0.916459, 0.949241, 0.984363, 1.03807, 1.08542, 1.1422, 1.1711");
-            values ( \
-              "0.179032, 0.180516, 0.194968, 0.208854, 0.218696, 0.221631, 0.220909, 0.21945, 0.211587, 0.195094, 0.179654, 0.163689, 0.110229, 0.0834139, 0.0633106, 0.0475708, 0.039377, 0.0318782, 0.0249241, 0.0181649, 0.0127252, 0.00715746, 0.0041793, 0.0021632, 0.00181876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.507071, 0.519982, 0.531723, 0.536998, 0.546025, 0.54996, 0.561962, 0.567991, 0.574571, 0.57967, 0.585888, 0.595854, 0.614098, 0.693328, 0.734445, 0.764515, 0.82309, 0.871783, 0.987521, 1.04945, 1.09429, 1.12645, 1.16434, 1.21403, 1.26532, 1.33033, 1.38974, 1.42113, 1.4839, 1.56079, 1.64482, 1.72885, 1.8969");
-            values ( \
-              "0.186843, 0.18726, 0.219664, 0.23001, 0.241238, 0.244709, 0.250706, 0.252294, 0.253228, 0.253401, 0.253255, 0.252326, 0.249735, 0.23334, 0.223214, 0.215111, 0.196843, 0.17451, 0.114852, 0.0867529, 0.0694567, 0.0586007, 0.0476367, 0.0365583, 0.0278613, 0.0195664, 0.0140536, 0.0117425, 0.00816601, 0.00514514, 0.00309926, 0.00183078, 0.000636558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.516276, 0.516296, 0.684236, 0.722625, 0.828368, 0.934349, 1.00468, 1.08871, 1.12088, 1.18099, 1.25262, 1.447, 1.56955, 1.65358, 1.72313, 1.79515, 1.87918, 1.92087, 2.00425, 2.07949, 2.16352, 2.26095, 2.39818, 2.56624, 2.73429, 2.98638, 3.23847");
-            values ( \
-              "1e-22, 0.278274, 0.267287, 0.263125, 0.249829, 0.235325, 0.225, 0.211112, 0.205041, 0.191295, 0.173035, 0.120628, 0.0912754, 0.0740584, 0.0616896, 0.0506573, 0.0402341, 0.0358556, 0.0284253, 0.0229666, 0.0180473, 0.0135907, 0.0090506, 0.00545431, 0.00326742, 0.00149858, 0.000690644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.906191, 0.925939, 0.927951, 0.931975, 0.936688, 0.940673, 0.946817, 0.951796, 0.955189, 0.961598, 0.980257, 0.994236, 1.01073, 1.01476, 1.01746, 1.02043, 1.0277, 1.0409, 1.04852, 1.05792, 1.06405, 1.06628, 1.07074, 1.07965, 1.11723, 1.14024, 1.16569, 1.1958");
-            values ( \
-              "0.00101201, 0.0539596, 0.0579221, 0.0641994, 0.0700524, 0.0735919, 0.0772599, 0.0787671, 0.0787472, 0.0769074, 0.0642734, 0.0417991, 0.0217236, 0.0202567, 0.0197358, 0.0195488, 0.0175412, 0.0117095, 0.00909621, 0.00610431, 0.00504341, 0.00436949, 0.00393439, 0.00256176, 0.000555075, 0.000478027, 2.38891e-05, 0.000217321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.911991, 0.91922, 0.933089, 0.93807, 0.945615, 0.956806, 0.962312, 0.967665, 0.978741, 0.994558, 1.00038, 1.0225, 1.03997, 1.05058, 1.09782, 1.11261, 1.1321, 1.18448, 1.2333");
-            values ( \
-              "0.0195846, 0.0555346, 0.0974446, 0.107201, 0.117283, 0.124694, 0.125429, 0.125131, 0.11951, 0.107487, 0.100531, 0.0623493, 0.0394796, 0.0289899, 0.0113172, 0.00751183, 0.00424259, 0.000755458, 0.000257294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.912025, 0.923896, 0.93626, 0.942947, 0.954395, 0.959178, 0.966491, 0.975271, 0.988267, 0.997594, 1.01587, 1.03033, 1.08625, 1.11428, 1.12637, 1.13809, 1.16834, 1.20036, 1.21571, 1.24784, 1.29669, 1.30414");
-            values ( \
-              "0.00831935, 0.091358, 0.135507, 0.151164, 0.16777, 0.171928, 0.175245, 0.176472, 0.173639, 0.169342, 0.158022, 0.147239, 0.07331, 0.0452984, 0.0365639, 0.0309957, 0.0202866, 0.0119955, 0.0091055, 0.0050641, 0.00191075, 0.00172432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.918865, 0.939676, 0.947327, 0.952023, 0.956088, 0.962399, 0.970333, 0.983214, 0.985271, 0.989384, 1.00213, 1.01636, 1.03068, 1.06613, 1.09725, 1.10898, 1.13233, 1.18, 1.19651, 1.21581, 1.25517, 1.26964, 1.29497, 1.32596, 1.34305, 1.36459, 1.40331, 1.42045, 1.45472, 1.50172, 1.55789, 1.56926");
-            values ( \
-              "0.0898637, 0.169608, 0.189101, 0.197846, 0.204331, 0.211203, 0.217135, 0.22078, 0.220557, 0.220835, 0.218964, 0.215027, 0.210257, 0.195133, 0.17936, 0.171584, 0.151287, 0.107386, 0.0936204, 0.079146, 0.0541435, 0.0465456, 0.0362645, 0.0272927, 0.0232287, 0.0188656, 0.0127411, 0.0106477, 0.00734539, 0.00432727, 0.00224079, 0.00203201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.925001, 0.942564, 0.955129, 0.967579, 0.980311, 0.989821, 1.00292, 1.02697, 1.0413, 1.12874, 1.18303, 1.23877, 1.28175, 1.39208, 1.45205, 1.53856, 1.59291, 1.63765, 1.71954, 1.78326, 1.89659, 1.98018, 2.06421, 2.12283");
-            values ( \
-              "0.190044, 0.195175, 0.225194, 0.241476, 0.249594, 0.252151, 0.252908, 0.250591, 0.248025, 0.229451, 0.215232, 0.197742, 0.178774, 0.121757, 0.0935453, 0.0606097, 0.0451282, 0.0356052, 0.0230005, 0.0161666, 0.00845626, 0.00513229, 0.00307251, 0.00250812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.9352, 0.93522, 1.10249, 1.14085, 1.31556, 1.46189, 1.53907, 1.6231, 1.86432, 1.98599, 2.14519, 2.21579, 2.33925, 2.4181, 2.57896, 2.82048, 3.15659, 3.20615");
-            values ( \
-              "1e-22, 0.280165, 0.267412, 0.263271, 0.240533, 0.218878, 0.205075, 0.185419, 0.120888, 0.091694, 0.0610682, 0.0503421, 0.0358554, 0.0287838, 0.0181961, 0.00894761, 0.00323098, 0.00298072" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0030255, 0.00302555, 0.0030256, 0.00302563, 0.00302565, 0.00302566", \
-            "0.00362247, 0.00362248, 0.0036225, 0.00362252, 0.00362253, 0.00362254", \
-            "0.00398437, 0.00398437, 0.00398437, 0.00398437, 0.00398438, 0.00398439", \
-            "0.00419753, 0.00419752, 0.00419752, 0.00419752, 0.00419752, 0.00419753", \
-            "0.00431052, 0.00431052, 0.00431051, 0.00431051, 0.00431051, 0.00431051", \
-            "0.0043726, 0.00437259, 0.00437259, 0.00437259, 0.00437259, 0.00437259" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00435557, 0.00435554, 0.00435552, 0.00435552, 0.00435553, 0.00435553", \
-            "0.00482536, 0.00482531, 0.00482527, 0.00482525, 0.00482524, 0.00482524", \
-            "0.005067, 0.00506695, 0.00506688, 0.00506683, 0.00506679, 0.00506677", \
-            "0.00529904, 0.00529869, 0.00529885, 0.00529882, 0.00529879, 0.00529876", \
-            "0.00565443, 0.00565454, 0.00565465, 0.00565474, 0.00565478, 0.00565479", \
-            "0.00655438, 0.00655183, 0.00654639, 0.00654248, 0.00654026, 0.00653937" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.169745, 0.185194, 0.214824, 0.272823, 0.389558, 0.629373", \
-            "0.175825, 0.19127, 0.220904, 0.278912, 0.395641, 0.63544", \
-            "0.190392, 0.205877, 0.23547, 0.293478, 0.410211, 0.650017", \
-            "0.222186, 0.237673, 0.267253, 0.325271, 0.442027, 0.681854", \
-            "0.276535, 0.291956, 0.321645, 0.379691, 0.496472, 0.736332", \
-            "0.362762, 0.378232, 0.407924, 0.466006, 0.582863, 0.822794" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0567002, 0.0744699, 0.112688, 0.193576, 0.363332, 0.718067", \
-            "0.0567005, 0.0744674, 0.112689, 0.193592, 0.363322, 0.718068", \
-            "0.0567045, 0.074488, 0.112689, 0.193572, 0.363326, 0.718068", \
-            "0.056762, 0.0745282, 0.112716, 0.19358, 0.363318, 0.718065", \
-            "0.0571638, 0.074824, 0.112938, 0.19368, 0.363315, 0.718064", \
-            "0.0581372, 0.0755663, 0.113308, 0.193786, 0.363346, 0.718069" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.129524, 0.136509, 0.144433, 0.151854, 0.156393, 0.160913, 0.171126, 0.176659, 0.199395, 0.213148, 0.227808, 0.243711, 0.268045, 0.281062, 0.2822, 0.284476, 0.289027, 0.295537, 0.328046, 0.380777");
-            values ( \
-              "0.000274869, 0.0372843, 0.0573737, 0.0702769, 0.0747608, 0.0786161, 0.0810892, 0.0797175, 0.0628176, 0.0397774, 0.0220488, 0.0182357, 0.00802927, 0.00469361, 0.00519061, 0.00405981, 0.00404908, 0.00250175, 0.000435952, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.131354, 0.139543, 0.149237, 0.157901, 0.164103, 0.169797, 0.183932, 0.189158, 0.206024, 0.208521, 0.213513, 0.246238, 0.26427, 0.272697, 0.306465, 0.33507, 0.366071, 0.437414");
-            values ( \
-              "0.00213366, 0.0642657, 0.0971221, 0.114916, 0.122169, 0.125919, 0.127079, 0.125125, 0.112632, 0.109292, 0.106981, 0.0541829, 0.032639, 0.0270001, 0.0142526, 0.0064056, 0.00237708, 3.53824e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.138794, 0.147016, 0.150904, 0.156577, 0.160663, 0.166329, 0.172264, 0.185625, 0.193436, 0.209321, 0.230256, 0.23706, 0.244388, 0.253604, 0.28794, 0.304401, 0.320081, 0.332269, 0.3375, 0.347962, 0.358208, 0.372008, 0.389169, 0.401863, 0.419341, 0.43392, 0.453359, 0.484733, 0.522617, 0.573448");
-            values ( \
-              "0.110923, 0.115112, 0.130712, 0.146485, 0.156371, 0.16466, 0.171868, 0.177628, 0.176574, 0.172365, 0.159862, 0.15526, 0.150085, 0.142377, 0.0940752, 0.0737685, 0.0571413, 0.045667, 0.0414505, 0.0347331, 0.0301934, 0.024939, 0.0193986, 0.0158481, 0.0117308, 0.00900865, 0.00625109, 0.00332363, 0.00151397, 0.000473991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.138624, 0.15298, 0.167067, 0.174161, 0.183767, 0.201054, 0.227611, 0.255274, 0.284163, 0.315257, 0.336477, 0.39465, 0.427322, 0.463692, 0.484667, 0.503323, 0.526607, 0.550693, 0.582808, 0.619878, 0.676707, 0.725236, 0.78385, 0.856196, 0.940358");
-            values ( \
-              "0.0918599, 0.161241, 0.198713, 0.208048, 0.216817, 0.220692, 0.216028, 0.207307, 0.195317, 0.180011, 0.164297, 0.11056, 0.0837993, 0.0591426, 0.0475136, 0.0393757, 0.0316614, 0.0253057, 0.0185923, 0.0127753, 0.00694172, 0.00398028, 0.00201895, 0.000810676, 0.00030022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.147178, 0.198267, 0.222867, 0.271978, 0.337688, 0.446865, 0.485194, 0.643921, 0.718879, 0.812386, 0.865924, 0.924808, 1.0162, 1.15663, 1.32496, 1.57745");
-            values ( \
-              "0.223421, 0.249751, 0.251317, 0.245752, 0.232314, 0.201938, 0.186324, 0.104969, 0.0733622, 0.0443595, 0.0333146, 0.0242344, 0.0144851, 0.00621588, 0.00198714, 0.000871065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.152405, 0.152425, 0.336761, 0.390758, 0.641153, 0.757254, 0.888917, 1.05549, 1.20499, 1.36023, 1.51599, 1.63874, 1.79867, 1.95138, 2.27633, 2.61298, 2.86546");
-            values ( \
-              "1e-22, 0.276587, 0.266202, 0.260059, 0.225563, 0.205575, 0.173539, 0.127507, 0.0918568, 0.0611479, 0.0397634, 0.0281615, 0.0177037, 0.01119, 0.00396308, 0.00115663, 0.00109671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.150766, 0.156498, 0.159377, 0.166896, 0.172011, 0.180895, 0.184805, 0.191153, 0.196576, 0.219392, 0.233138, 0.247865, 0.253247, 0.263716, 0.277584, 0.28802, 0.304471, 0.309037, 0.315552, 0.348056, 0.400817");
-            values ( \
-              "0.00898254, 0.0368004, 0.0454919, 0.06175, 0.0704137, 0.0785011, 0.0798158, 0.0809615, 0.0796069, 0.0629701, 0.0399402, 0.0221387, 0.0200608, 0.0180747, 0.0120469, 0.00818668, 0.0042042, 0.00390193, 0.00264244, 0.000578341, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.154224, 0.159608, 0.165067, 0.172251, 0.177998, 0.183244, 0.186029, 0.189744, 0.195041, 0.205749, 0.212439, 0.225421, 0.228581, 0.234685, 0.241663, 0.251848, 0.257872, 0.267949, 0.285047, 0.287662, 0.291555, 0.327462, 0.337657, 0.346507, 0.354288, 0.364662, 0.381488, 0.411015, 0.447923");
-            values ( \
-              "0.0362397, 0.0647326, 0.0844318, 0.104029, 0.114415, 0.121049, 0.123364, 0.125317, 0.127218, 0.126302, 0.122931, 0.113016, 0.109506, 0.105655, 0.0950422, 0.0766324, 0.0667487, 0.0522581, 0.03207, 0.0299663, 0.0278322, 0.0141812, 0.0108756, 0.00850195, 0.00680616, 0.0050277, 0.00301634, 0.00117311, 0.000333937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.158297, 0.167237, 0.17094, 0.176645, 0.180699, 0.186377, 0.192306, 0.197536, 0.205661, 0.213473, 0.229597, 0.236781, 0.257102, 0.264426, 0.273641, 0.307978, 0.324439, 0.340156, 0.352307, 0.357523, 0.367954, 0.378217, 0.392036, 0.409251, 0.42193, 0.439392, 0.453942, 0.473343, 0.504711, 0.542588, 0.5934");
-            values ( \
-              "0.0962775, 0.1164, 0.130144, 0.147116, 0.155806, 0.165235, 0.171307, 0.174965, 0.177065, 0.177135, 0.171845, 0.167967, 0.155243, 0.150098, 0.142363, 0.0940878, 0.0737561, 0.0571161, 0.0456789, 0.0414499, 0.0347685, 0.0301929, 0.0249542, 0.0193737, 0.0158515, 0.0117167, 0.00900006, 0.0062687, 0.00331659, 0.00152717, 0.000463871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.159967, 0.168774, 0.17949, 0.190024, 0.194311, 0.202884, 0.212337, 0.221217, 0.234468, 0.247917, 0.275067, 0.304377, 0.335421, 0.358876, 0.411238, 0.422485, 0.437481, 0.467474, 0.485018, 0.505265, 0.531645, 0.549829, 0.563215, 0.581062, 0.602246, 0.640359, 0.657014, 0.690325, 0.738052, 0.795056, 0.865384, 0.949547");
-            values ( \
-              "0.122138, 0.142716, 0.181601, 0.203117, 0.208188, 0.215985, 0.219284, 0.2205, 0.218383, 0.215797, 0.207628, 0.195303, 0.179828, 0.162529, 0.113686, 0.103934, 0.0915684, 0.069601, 0.0584724, 0.0473029, 0.0363493, 0.030821, 0.0271877, 0.0229833, 0.0187199, 0.0127227, 0.010698, 0.00745297, 0.00436376, 0.00222741, 0.000956852, 0.000318734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.164374, 0.183513, 0.20187, 0.213173, 0.22788, 0.254586, 0.279855, 0.335604, 0.41294, 0.469225, 0.540848, 0.676432, 0.735033, 0.788648, 0.86402, 0.973335, 1.04496, 1.14274, 1.31107, 1.35517");
-            values ( \
-              "0.199077, 0.210647, 0.240111, 0.247844, 0.250987, 0.250509, 0.247531, 0.236854, 0.217895, 0.200536, 0.168398, 0.099568, 0.074922, 0.0565193, 0.0375615, 0.0209116, 0.0139938, 0.00790112, 0.00277295, 0.00241599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.174766, 0.174786, 0.410191, 0.553748, 0.712819, 0.777036, 0.861199, 1.10206, 1.22367, 1.38248, 1.45318, 1.57682, 1.73255, 1.87617, 2.05372, 2.39037, 2.50591");
-            values ( \
-              "1e-22, 0.284601, 0.259858, 0.240863, 0.217006, 0.205096, 0.185583, 0.120815, 0.0915952, 0.0612801, 0.0502453, 0.0357227, 0.0230223, 0.0151673, 0.00892814, 0.00313244, 0.00254291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.195003, 0.201867, 0.209414, 0.217791, 0.221761, 0.226263, 0.230194, 0.236658, 0.241738, 0.246651, 0.265158, 0.269297, 0.280229, 0.293614, 0.298945, 0.302993, 0.314778, 0.326397, 0.342991, 0.354181, 0.372941, 0.417981, 0.446032");
-            values ( \
-              "0.00159711, 0.0366061, 0.0564037, 0.0708448, 0.074914, 0.0783869, 0.0799042, 0.0808447, 0.0795917, 0.076577, 0.0625597, 0.0547296, 0.037671, 0.0221279, 0.0197224, 0.0197306, 0.0159456, 0.0107213, 0.00563957, 0.00359523, 0.00164823, 0.000184008, 0.000248907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.198101, 0.204873, 0.215088, 0.222389, 0.227527, 0.233291, 0.240326, 0.251048, 0.261776, 0.278942, 0.285569, 0.302781, 0.311367, 0.329776, 0.337711, 0.371415, 0.390857, 0.400559, 0.413494, 0.438359, 0.472302, 0.512197");
-            values ( \
-              "0.0161693, 0.0640884, 0.0979901, 0.113144, 0.11983, 0.12445, 0.127153, 0.126076, 0.12011, 0.106552, 0.0973093, 0.067339, 0.0547088, 0.0326436, 0.0273657, 0.014579, 0.00866629, 0.00655406, 0.00458381, 0.0020126, 0.000742614, 0.000107411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.202021, 0.209035, 0.216308, 0.221904, 0.226069, 0.231798, 0.237701, 0.242907, 0.251033, 0.258844, 0.27473, 0.276404, 0.295712, 0.309797, 0.319013, 0.353349, 0.36981, 0.385607, 0.397403, 0.40204, 0.411313, 0.422262, 0.436738, 0.457908, 0.474612, 0.486802, 0.497064, 0.510746, 0.538111, 0.572827, 0.619518, 0.682146");
-            values ( \
-              "0.0599453, 0.100722, 0.130162, 0.146746, 0.155871, 0.165196, 0.171417, 0.174852, 0.177169, 0.177019, 0.171915, 0.171139, 0.15984, 0.150094, 0.142369, 0.0940822, 0.0737622, 0.0570326, 0.0459145, 0.0421105, 0.0358731, 0.0307209, 0.0252003, 0.0184124, 0.014013, 0.0113074, 0.00938831, 0.00729701, 0.00424766, 0.00209774, 0.000737381, 0.000180432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.205847, 0.214257, 0.219964, 0.224973, 0.230499, 0.235495, 0.239794, 0.248391, 0.257832, 0.266699, 0.279951, 0.2934, 0.306823, 0.320521, 0.349788, 0.380914, 0.396323, 0.460324, 0.490464, 0.521697, 0.540238, 0.552956, 0.570711, 0.594163, 0.610132, 0.631423, 0.662664, 0.686995, 0.720075, 0.763926, 0.817103, 0.882992, 0.966141");
-            values ( \
-              "0.134891, 0.142502, 0.165571, 0.1814, 0.194094, 0.202933, 0.208359, 0.215846, 0.21945, 0.220347, 0.218538, 0.215648, 0.212026, 0.207492, 0.195325, 0.18, 0.169034, 0.110523, 0.0857142, 0.0639405, 0.0528148, 0.0462333, 0.0387054, 0.0311194, 0.0268435, 0.0219317, 0.0161322, 0.0125783, 0.00886327, 0.00544351, 0.00295256, 0.00133231, 0.000472447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.210346, 0.231847, 0.238729, 0.247947, 0.259261, 0.263711, 0.27261, 0.28745, 0.299658, 0.311924, 0.32588, 0.380868, 0.412415, 0.459059, 0.51194, 0.525084, 0.551371, 0.591308, 0.688911, 0.724948, 0.75706, 0.797835, 0.824441, 0.859915, 0.910832, 0.955459, 1.01792, 1.09057, 1.12375, 1.19011, 1.27427, 1.35843, 1.52676, 1.61092");
-            values ( \
-              "0.201518, 0.216864, 0.22971, 0.240698, 0.247922, 0.24931, 0.250797, 0.250895, 0.250422, 0.249292, 0.247342, 0.236806, 0.229711, 0.217642, 0.201634, 0.196872, 0.185561, 0.165758, 0.115125, 0.0981605, 0.0844339, 0.0688152, 0.0597877, 0.049307, 0.0374582, 0.0296098, 0.0211441, 0.0141024, 0.0117325, 0.00792142, 0.00482619, 0.00284137, 0.000983173, 0.000625869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.214858, 0.278692, 0.294162, 0.315686, 0.349941, 0.401937, 0.498056, 0.599127, 0.706419, 0.790582, 0.822521, 0.882596, 0.954184, 1.14809, 1.27025, 1.35442, 1.42549, 1.4971, 1.58126, 1.704, 1.77977, 1.86393, 1.93557, 2.01664, 2.09438, 2.26271, 2.43103, 2.68352, 2.93601");
-            values ( \
-              "0.253789, 0.27004, 0.271509, 0.272115, 0.270229, 0.265839, 0.254338, 0.24075, 0.225196, 0.211164, 0.205209, 0.191375, 0.173173, 0.120814, 0.0914939, 0.074154, 0.0615096, 0.050612, 0.0401245, 0.0285218, 0.0230637, 0.0180635, 0.0146613, 0.0115475, 0.0092212, 0.00556602, 0.00334438, 0.00148707, 0.000730084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.294674, 0.301917, 0.306248, 0.312168, 0.315677, 0.320767, 0.32359, 0.330152, 0.33648, 0.338324, 0.34201, 0.346631, 0.364941, 0.371033, 0.379206, 0.39178, 0.396067, 0.401217, 0.402951, 0.412052, 0.427329, 0.439417, 0.447389, 0.458842, 0.492393, 0.544526");
-            values ( \
-              "0.00163496, 0.0371447, 0.0487068, 0.0614889, 0.0674365, 0.0738909, 0.0763341, 0.0798059, 0.0806583, 0.080517, 0.0793254, 0.0765356, 0.0628379, 0.0516878, 0.0390615, 0.0238566, 0.0206168, 0.0196724, 0.0196877, 0.0169875, 0.0103758, 0.0065314, 0.00474816, 0.00297601, 0.000687497, 3.26432e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.299273, 0.307827, 0.314485, 0.317444, 0.323196, 0.328457, 0.331325, 0.33515, 0.340301, 0.351033, 0.361773, 0.371245, 0.373889, 0.379179, 0.386985, 0.400107, 0.411763, 0.429835, 0.434869, 0.442521, 0.465274, 0.48325, 0.494392, 0.510329, 0.529877, 0.562162, 0.600245");
-            values ( \
-              "0.0377158, 0.07513, 0.0960009, 0.103255, 0.114083, 0.120548, 0.122981, 0.125307, 0.126908, 0.126053, 0.119979, 0.11262, 0.109873, 0.106319, 0.0949726, 0.0716727, 0.0542129, 0.0326629, 0.0287471, 0.025469, 0.0168703, 0.0107095, 0.00783197, 0.00489791, 0.0028056, 0.00088299, 0.000331968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.303966, 0.31201, 0.321731, 0.331492, 0.33747, 0.34273, 0.350867, 0.358679, 0.376222, 0.39603, 0.409648, 0.418917, 0.455552, 0.477855, 0.501755, 0.518017, 0.539955, 0.556462, 0.586354, 0.611653, 0.640567, 0.677042, 0.690131");
-            values ( \
-              "0.113866, 0.113882, 0.146177, 0.164632, 0.171149, 0.174608, 0.177074, 0.176891, 0.171112, 0.15955, 0.150259, 0.142266, 0.0910499, 0.0647354, 0.0422074, 0.0324542, 0.0240423, 0.0188079, 0.0113737, 0.00713211, 0.00403428, 0.00189284, 0.00155567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.303981, 0.318279, 0.327509, 0.333048, 0.339565, 0.348956, 0.358, 0.366494, 0.379748, 0.393482, 0.42072, 0.454744, 0.480702, 0.50188, 0.560096, 0.592541, 0.622126, 0.650327, 0.66891, 0.692204, 0.715834, 0.739878, 0.77326, 0.815377, 0.853677, 0.904768, 0.96499, 1.04424");
-            values ( \
-              "0.0930268, 0.159705, 0.187676, 0.19891, 0.208153, 0.21609, 0.219486, 0.220213, 0.218558, 0.215547, 0.207322, 0.192981, 0.180025, 0.164321, 0.110547, 0.0839815, 0.0635657, 0.0474176, 0.0393107, 0.0316273, 0.0253892, 0.0201849, 0.0144427, 0.00927828, 0.00610068, 0.00338844, 0.00166832, 0.000612899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.312311, 0.338735, 0.347553, 0.356001, 0.363723, 0.379168, 0.395453, 0.408849, 0.418102, 0.442853, 0.481789, 0.512432, 0.559493, 0.609454, 0.625096, 0.65533, 0.691353, 0.775541, 0.84086, 0.892809, 0.936015, 0.969668, 1.01861, 1.06104, 1.10519, 1.16777, 1.19403, 1.24656, 1.31732, 1.40149, 1.48565, 1.56981, 1.73814");
-            values ( \
-              "0.227336, 0.229449, 0.240168, 0.246201, 0.249196, 0.251154, 0.250652, 0.249689, 0.248557, 0.244375, 0.236646, 0.229745, 0.217551, 0.202434, 0.196918, 0.183704, 0.165747, 0.121754, 0.0911635, 0.0706417, 0.0561525, 0.0467332, 0.0359835, 0.0287528, 0.0226883, 0.0160272, 0.013844, 0.0102336, 0.006757, 0.00405557, 0.00242834, 0.00143521, 0.000500025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.317172, 0.409184, 0.429432, 0.48298, 0.555914, 0.725937, 0.779713, 0.859642, 0.922339, 1.0065, 1.24736, 1.36897, 1.45313, 1.52778, 1.59848, 1.68264, 1.72212, 1.80107, 1.87785, 1.96201, 2.0633, 2.20324, 2.37157, 2.53989, 2.79238, 3.04487");
-            values ( \
-              "0.271694, 0.272079, 0.271591, 0.267602, 0.25966, 0.236951, 0.229199, 0.216607, 0.205239, 0.185437, 0.120957, 0.0917369, 0.0744042, 0.0611388, 0.0503866, 0.0399846, 0.0358639, 0.0287755, 0.0231635, 0.0181834, 0.0135527, 0.00895393, 0.0053933, 0.00323046, 0.00146953, 0.000686225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.49932, 0.505244, 0.510281, 0.516546, 0.522224, 0.526394, 0.531032, 0.534993, 0.543264, 0.550172, 0.566953, 0.570556, 0.577723, 0.585854, 0.596179, 0.602156, 0.607261, 0.613025, 0.628303, 0.644614, 0.652437, 0.66431, 0.678493, 0.701026, 0.711092");
-            values ( \
-              "0.0116222, 0.0326642, 0.045476, 0.0589467, 0.0681712, 0.0731682, 0.0766763, 0.0787919, 0.0798696, 0.0771007, 0.0653812, 0.0610607, 0.0489127, 0.0370662, 0.024805, 0.0198917, 0.0197763, 0.0183152, 0.0121048, 0.00659608, 0.00485012, 0.00302566, 0.00176215, 0.000680865, 0.00056749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.50255, 0.51206, 0.518878, 0.527957, 0.534151, 0.537925, 0.545165, 0.550138, 0.555949, 0.561344, 0.567023, 0.584988, 0.613531, 0.62895, 0.63858, 0.650333, 0.657359, 0.665948, 0.667199, 0.669702, 0.683469, 0.699867, 0.726956, 0.753191, 0.788986, 0.831073");
-            values ( \
-              "0.0354944, 0.0710714, 0.092473, 0.111914, 0.119713, 0.122772, 0.125912, 0.126158, 0.125571, 0.122848, 0.119328, 0.105187, 0.05859, 0.0391377, 0.0294189, 0.0243315, 0.0221921, 0.0184157, 0.0183546, 0.0169832, 0.0120763, 0.00762786, 0.00331105, 0.00169998, 0.000283233, 0.00028355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.506592, 0.512737, 0.520467, 0.526433, 0.530559, 0.536521, 0.542469, 0.55016, 0.555202, 0.559444, 0.563676, 0.571669, 0.57985, 0.600789, 0.614771, 0.623996, 0.658331, 0.674783, 0.690534, 0.702655, 0.707861, 0.718271, 0.728544, 0.742377, 0.759632, 0.789749, 0.804276, 0.823646, 0.855002, 0.892853, 0.943636");
-            values ( \
-              "0.0901368, 0.0930923, 0.124766, 0.142617, 0.152544, 0.162512, 0.169636, 0.174565, 0.175628, 0.17601, 0.17591, 0.174299, 0.171116, 0.159479, 0.150005, 0.142315, 0.0940907, 0.0737666, 0.0570945, 0.0456835, 0.0414616, 0.0347853, 0.0302017, 0.0249558, 0.0193665, 0.011718, 0.00900598, 0.00627118, 0.0033239, 0.00152661, 0.000468503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.51048, 0.524072, 0.535114, 0.544351, 0.555722, 0.561962, 0.571412, 0.587663, 0.598183, 0.610026, 0.623493, 0.654656, 0.685726, 0.701694, 0.778369, 0.819468, 0.852477, 0.871839, 0.895196, 0.924865, 0.957885, 0.990873, 1.0407, 1.08565, 1.14288, 1.21196, 1.29612");
-            values ( \
-              "0.139246, 0.160234, 0.191437, 0.206382, 0.215222, 0.21785, 0.219196, 0.21736, 0.215292, 0.212427, 0.208063, 0.195239, 0.180076, 0.168558, 0.0991962, 0.0684833, 0.0488774, 0.0401067, 0.0322359, 0.0244538, 0.0177449, 0.01269, 0.00744446, 0.00449559, 0.00229787, 0.000996904, 0.000339535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.515183, 0.537647, 0.550009, 0.562197, 0.568523, 0.581177, 0.594945, 0.605875, 0.616808, 0.629664, 0.666047, 0.709008, 0.762755, 0.824129, 0.841771, 0.865294, 0.999067, 1.02402, 1.07392, 1.11089, 1.1509, 1.20424, 1.23057, 1.2762, 1.31797, 1.37658, 1.44443, 1.51478, 1.59894, 1.68311, 1.76727, 1.9356");
-            values ( \
-              "0.206588, 0.216543, 0.236376, 0.245395, 0.247991, 0.25026, 0.250487, 0.250184, 0.249223, 0.247495, 0.240816, 0.231677, 0.217961, 0.199161, 0.192019, 0.181293, 0.112573, 0.100855, 0.0795551, 0.0659255, 0.0531654, 0.0397982, 0.034713, 0.0272256, 0.0217318, 0.0156959, 0.0106761, 0.00706443, 0.00425269, 0.00253972, 0.00150938, 0.000528726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.520012, 0.567773, 0.580125, 0.593643, 0.614179, 0.636903, 0.689265, 0.76128, 0.955477, 1.01132, 1.09548, 1.12742, 1.1875, 1.25908, 1.45299, 1.57515, 1.65932, 1.7304, 1.802, 1.88616, 1.92707, 2.0089, 2.08467, 2.16883, 2.26749, 2.4057, 2.57402, 2.74235, 2.99484, 3.24733");
-            values ( \
-              "0.259134, 0.264498, 0.268552, 0.270544, 0.272, 0.27145, 0.267505, 0.259617, 0.233439, 0.225165, 0.211195, 0.205178, 0.191406, 0.173142, 0.120782, 0.0914624, 0.0741859, 0.0615415, 0.0505801, 0.0401565, 0.0358567, 0.028554, 0.0230315, 0.0180959, 0.0135743, 0.00901224, 0.00542542, 0.00324631, 0.00149001, 0.000682667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.915231, 0.921159, 0.930998, 0.940242, 0.951624, 0.957759, 0.962131, 0.969867, 0.987578, 1.00339, 1.01552, 1.02, 1.02735, 1.03726, 1.05515, 1.06974, 1.07561, 1.09828, 1.12786");
-            values ( \
-              "0.0176527, 0.0265967, 0.0475869, 0.0640631, 0.0754002, 0.0778935, 0.0779333, 0.0756368, 0.0638185, 0.039134, 0.0245811, 0.0207814, 0.0197483, 0.0166711, 0.00916017, 0.00517199, 0.00409963, 0.00161634, 0.00073843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.918909, 0.924742, 0.931635, 0.939905, 0.946123, 0.952417, 0.957356, 0.964082, 0.968022, 0.975056, 0.986221, 0.993293, 1.00792, 1.01134, 1.02671, 1.0318, 1.04533, 1.05492, 1.05897, 1.06439, 1.09725, 1.11624, 1.12386, 1.13401, 1.15125, 1.18292, 1.21596");
-            values ( \
-              "0.0446551, 0.0485342, 0.0704694, 0.0935006, 0.10595, 0.115378, 0.119903, 0.123447, 0.124073, 0.123919, 0.118407, 0.113432, 0.101015, 0.0941212, 0.0675962, 0.060086, 0.0426018, 0.0320516, 0.0289699, 0.026483, 0.0140871, 0.00842574, 0.00676577, 0.0051385, 0.00294421, 0.00113878, 0.000298274" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.922873, 0.933292, 0.944668, 0.955368, 0.966312, 0.974685, 0.982567, 1.00201, 1.02264, 1.03765, 1.0452, 1.07963, 1.09849, 1.12786, 1.14699, 1.17976, 1.19651, 1.21579, 1.24146, 1.28585, 1.30664");
-            values ( \
-              "0.0769999, 0.0968525, 0.136054, 0.158542, 0.170279, 0.173726, 0.174757, 0.169166, 0.157828, 0.14727, 0.139531, 0.0911459, 0.0685804, 0.0406755, 0.0303534, 0.0189964, 0.0145702, 0.0102986, 0.00643309, 0.00265914, 0.00190164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.927547, 0.941214, 0.952033, 0.963148, 0.970418, 0.980933, 0.990557, 1.00397, 1.01732, 1.03718, 1.05729, 1.07391, 1.10498, 1.12698, 1.18433, 1.21581, 1.25581, 1.27453, 1.30284, 1.33362, 1.35139, 1.38518, 1.41161, 1.44991, 1.49529, 1.55005, 1.56412");
-            values ( \
-              "0.131779, 0.146727, 0.181284, 0.201765, 0.210116, 0.21606, 0.218298, 0.217161, 0.215166, 0.209893, 0.202367, 0.195237, 0.180089, 0.163604, 0.110569, 0.0847531, 0.0583288, 0.0478989, 0.036119, 0.0272254, 0.0230184, 0.0165218, 0.0126088, 0.00839206, 0.00505843, 0.00267753, 0.00236705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.933058, 0.957164, 0.971587, 0.980103, 0.987723, 1.00296, 1.0186, 1.03185, 1.04472, 1.10674, 1.18198, 1.23737, 1.30112, 1.41509, 1.50411, 1.55964, 1.59565, 1.64308, 1.68466, 1.72901, 1.79174, 1.87108, 1.94129, 2.02545, 2.19378, 2.3621");
-            values ( \
-              "0.196368, 0.212144, 0.235528, 0.243104, 0.246469, 0.249897, 0.249899, 0.249741, 0.248277, 0.236694, 0.218192, 0.201319, 0.173158, 0.114196, 0.075355, 0.0563175, 0.0462766, 0.0359371, 0.0288396, 0.0227372, 0.0160433, 0.0102076, 0.00677088, 0.00405497, 0.00143065, 0.000493891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.938262, 0.99137, 1.01245, 1.0339, 1.05847, 1.09195, 1.12664, 1.18091, 1.37334, 1.43103, 1.51519, 1.54713, 1.60721, 1.67879, 1.87269, 1.99486, 2.07903, 2.1501, 2.2217, 2.30587, 2.34678, 2.42861, 2.50438, 2.58854, 2.68721, 2.82541, 2.99374, 3.16206, 3.41455, 3.66704");
-            values ( \
-              "0.246367, 0.265161, 0.270058, 0.272051, 0.271516, 0.26914, 0.266028, 0.259828, 0.233709, 0.225166, 0.21119, 0.205181, 0.191403, 0.173145, 0.120785, 0.0914655, 0.0741829, 0.061539, 0.0505829, 0.0401537, 0.035859, 0.0285513, 0.0230338, 0.0180934, 0.0135761, 0.00901397, 0.00542708, 0.00324791, 0.00148855, 0.000684036" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00302505, 0.0030251, 0.00302515, 0.00302518, 0.0030252, 0.00302521", \
-            "0.00362213, 0.00362214, 0.00362216, 0.00362218, 0.00362219, 0.0036222", \
-            "0.00398429, 0.00398429, 0.00398429, 0.00398429, 0.0039843, 0.00398431", \
-            "0.00419771, 0.00419769, 0.00419769, 0.00419769, 0.0041977, 0.0041977", \
-            "0.00431078, 0.00431078, 0.00431078, 0.00431077, 0.00431077, 0.00431077", \
-            "0.00437286, 0.00437286, 0.00437286, 0.00437285, 0.00437285, 0.00437285" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00435485, 0.00435482, 0.0043548, 0.0043548, 0.0043548, 0.0043548", \
-            "0.00482569, 0.00482564, 0.0048256, 0.00482557, 0.00482556, 0.00482556", \
-            "0.0050696, 0.00506955, 0.00506948, 0.00506942, 0.00506939, 0.00506936", \
-            "0.00530387, 0.00530459, 0.00530436, 0.00530431, 0.00530422, 0.00530419", \
-            "0.00565604, 0.00565614, 0.00565627, 0.00565636, 0.00565641, 0.00565642", \
-            "0.00655337, 0.00654958, 0.00654436, 0.00654075, 0.00653861, 0.00653779" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.163774, 0.17898, 0.208184, 0.266047, 0.383037, 0.622921", \
-            "0.169887, 0.185092, 0.214295, 0.27216, 0.389145, 0.629057", \
-            "0.184422, 0.199611, 0.228831, 0.286702, 0.403695, 0.64357", \
-            "0.216232, 0.231394, 0.260616, 0.318503, 0.435523, 0.675452", \
-            "0.270427, 0.285608, 0.314848, 0.372812, 0.489847, 0.729808", \
-            "0.355892, 0.371118, 0.40039, 0.458371, 0.575482, 0.815475" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0554269, 0.0731964, 0.111805, 0.193496, 0.363904, 0.718562", \
-            "0.0554285, 0.0731982, 0.111806, 0.193496, 0.363919, 0.718678", \
-            "0.0554311, 0.0732057, 0.111807, 0.193496, 0.363945, 0.718679", \
-            "0.0554895, 0.0732331, 0.111827, 0.193498, 0.363919, 0.718679", \
-            "0.0558667, 0.0735318, 0.112002, 0.193597, 0.363895, 0.718678", \
-            "0.0567696, 0.0742132, 0.112427, 0.193734, 0.364053, 0.718646" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.128952, 0.133007, 0.142282, 0.151174, 0.159245, 0.165043, 0.167132, 0.17523, 0.184025, 0.186949, 0.191881, 0.202781, 0.222094, 0.227066, 0.235729, 0.262645, 0.275383, 0.297962, 0.320848");
-            values ( \
-              "0.0204995, 0.0442241, 0.0674972, 0.0793506, 0.0833344, 0.0829402, 0.0832859, 0.0786987, 0.0715387, 0.0679972, 0.0656899, 0.0459424, 0.021895, 0.020018, 0.0184009, 0.00786505, 0.00472289, 0.0018518, 0.00102031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.128892, 0.13582, 0.140714, 0.147377, 0.15258, 0.157305, 0.16157, 0.167256, 0.169268, 0.179704, 0.190547, 0.202026, 0.20697, 0.241661, 0.259294, 0.265586, 0.310019, 0.322543, 0.340758, 0.364657, 0.379438");
-            values ( \
-              "0.0052861, 0.0731187, 0.0922979, 0.110752, 0.119704, 0.125621, 0.128756, 0.129922, 0.130977, 0.129125, 0.122058, 0.111124, 0.107798, 0.0518428, 0.0308374, 0.0273114, 0.0110914, 0.00784124, 0.00461341, 0.00223019, 0.00156955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.132096, 0.13926, 0.151192, 0.160391, 0.171493, 0.187119, 0.197853, 0.20675, 0.226119, 0.241791, 0.249072, 0.283319, 0.3022, 0.327514, 0.339698, 0.350528, 0.385613, 0.4151, 0.438687, 0.465644, 0.501336, 0.520892");
-            values ( \
-              "0.0462804, 0.110922, 0.154932, 0.171244, 0.179724, 0.180449, 0.177, 0.172079, 0.159466, 0.147416, 0.139166, 0.0908282, 0.0682785, 0.0438049, 0.0354831, 0.0304538, 0.0184766, 0.0112565, 0.0073127, 0.00431125, 0.00207987, 0.0015181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.135898, 0.15428, 0.162184, 0.176936, 0.194969, 0.214298, 0.234731, 0.277662, 0.308841, 0.330827, 0.388462, 0.418509, 0.445974, 0.47245, 0.509169, 0.548604, 0.601806, 0.644656, 0.733259, 0.871388");
-            values ( \
-              "0.128997, 0.189904, 0.206291, 0.220764, 0.223942, 0.220155, 0.213393, 0.194842, 0.179536, 0.162906, 0.110037, 0.0859521, 0.0661488, 0.0504053, 0.0349111, 0.0241806, 0.0142259, 0.00898413, 0.00316257, 0.000389092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.143797, 0.184352, 0.200774, 0.235379, 0.308344, 0.391205, 0.433688, 0.483475, 0.608285, 0.683391, 0.73521, 0.792639, 0.861289, 0.964994, 1.10784, 1.27101, 1.52315");
-            values ( \
-              "0.249337, 0.250263, 0.254726, 0.251563, 0.236451, 0.216478, 0.203852, 0.183922, 0.119144, 0.0848598, 0.0653121, 0.0477882, 0.0330862, 0.018748, 0.0081364, 0.0028376, 0.00098995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.148881, 0.148901, 0.329936, 0.442413, 0.626616, 0.715049, 0.810751, 1.07685, 1.19939, 1.30663, 1.42503, 1.55074, 1.70933, 1.85092, 2.01584, 2.35203, 2.85631");
-            values ( \
-              "1e-22, 0.287793, 0.264794, 0.252306, 0.226602, 0.212247, 0.191744, 0.120266, 0.0909216, 0.0693693, 0.0503026, 0.0355029, 0.0226177, 0.0149151, 0.00904114, 0.00304401, 0.000369693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.149367, 0.15496, 0.160135, 0.166777, 0.175526, 0.185106, 0.191146, 0.206946, 0.21179, 0.227657, 0.238059, 0.245478, 0.249585, 0.250884, 0.253484, 0.258682, 0.263737, 0.269919, 0.270954, 0.273024, 0.277164, 0.282499, 0.29175, 0.295469, 0.302907, 0.317783, 0.336678, 0.360423, 0.386631");
-            values ( \
-              "0.0271684, 0.0499414, 0.0629116, 0.0744502, 0.0819476, 0.083531, 0.081513, 0.0685913, 0.0653343, 0.0389939, 0.026044, 0.0199927, 0.0201022, 0.01919, 0.0193305, 0.017025, 0.0156813, 0.0123346, 0.0125696, 0.0110618, 0.0101309, 0.00768838, 0.00589423, 0.00448214, 0.00389405, 0.00163446, 0.0012519, 5.93066e-05, 0.000483508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.149417, 0.155796, 0.164421, 0.17256, 0.178701, 0.187202, 0.193276, 0.19968, 0.209324, 0.227072, 0.230845, 0.250181, 0.262691, 0.279639, 0.28428, 0.313571, 0.332404, 0.357364, 0.376878, 0.409291, 0.446687");
-            values ( \
-              "0.012422, 0.0729054, 0.103894, 0.120276, 0.126768, 0.130548, 0.130611, 0.128489, 0.122797, 0.107705, 0.102997, 0.0688171, 0.0504682, 0.0305536, 0.027937, 0.0167089, 0.0103773, 0.0050888, 0.00285727, 0.00097482, 0.00031518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.152738, 0.159291, 0.171233, 0.175245, 0.186032, 0.19153, 0.199444, 0.212942, 0.222934, 0.246141, 0.261871, 0.269132, 0.303356, 0.322319, 0.34754, 0.359645, 0.370462, 0.406372, 0.43538, 0.457648, 0.483098, 0.518363, 0.563564, 0.625");
-            values ( \
-              "0.060352, 0.111558, 0.154215, 0.163147, 0.176478, 0.179033, 0.181033, 0.178689, 0.174423, 0.159443, 0.147344, 0.139168, 0.0908617, 0.068159, 0.0437832, 0.0355021, 0.0305281, 0.0183007, 0.0112398, 0.00743166, 0.00457372, 0.00218454, 0.000856216, 0.000169922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.156383, 0.164103, 0.174214, 0.184166, 0.188527, 0.197248, 0.20629, 0.219632, 0.237688, 0.250547, 0.281822, 0.328775, 0.350793, 0.40585, 0.439212, 0.477172, 0.501206, 0.541981, 0.559555, 0.614367, 0.663795, 0.693346, 0.744656, 0.803898, 0.881111, 0.965158");
-            values ( \
-              "0.149164, 0.153006, 0.18956, 0.208855, 0.214427, 0.220423, 0.223556, 0.223323, 0.21909, 0.214875, 0.201934, 0.179056, 0.163359, 0.112356, 0.084903, 0.0591134, 0.0460045, 0.0310717, 0.0263808, 0.0154052, 0.00912861, 0.00694765, 0.00357767, 0.00206547, 0.000530057, 0.000440501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.160703, 0.196844, 0.212276, 0.223015, 0.255257, 0.360629, 0.462394, 0.495759, 0.654862, 0.733164, 0.829681, 0.880418, 0.934309, 1.02922, 1.11547, 1.27457, 1.30477");
-            values ( \
-              "0.212354, 0.246283, 0.253486, 0.254658, 0.251459, 0.229253, 0.200926, 0.187428, 0.106531, 0.0734396, 0.0437995, 0.0334549, 0.0250673, 0.0147835, 0.00932375, 0.00370064, 0.00332371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.164505, 0.211206, 0.223807, 0.239711, 0.275658, 0.406116, 0.630075, 0.703478, 0.770599, 0.854646, 1.09583, 1.2175, 1.37666, 1.44728, 1.57075, 1.72644, 1.87009, 2.05177, 2.21986, 2.38796, 2.89224");
-            values ( \
-              "0.265707, 0.269906, 0.273389, 0.27441, 0.27216, 0.259219, 0.22888, 0.2174, 0.204899, 0.185573, 0.120694, 0.0914997, 0.0612674, 0.0501511, 0.035662, 0.0229689, 0.0151129, 0.00876174, 0.00520389, 0.00304118, 0.000494246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.192637, 0.198553, 0.202507, 0.20784, 0.216738, 0.22481, 0.230552, 0.232697, 0.240796, 0.252526, 0.257455, 0.268322, 0.275379, 0.28768, 0.2914, 0.301325, 0.324081, 0.34531, 0.367976, 0.390278");
-            values ( \
-              "0.000177117, 0.0441899, 0.0558313, 0.0675125, 0.0793875, 0.083376, 0.082883, 0.0833297, 0.0787438, 0.0679413, 0.0657273, 0.0459387, 0.036232, 0.0218415, 0.0204003, 0.0184395, 0.00920585, 0.00396162, 0.00155695, 0.000905914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.192615, 0.204277, 0.206117, 0.212786, 0.217896, 0.222625, 0.224139, 0.227167, 0.233223, 0.234679, 0.237591, 0.243415, 0.245106, 0.248489, 0.255931, 0.264588, 0.267595, 0.27334, 0.276699, 0.280345, 0.29206, 0.303549, 0.320828, 0.326697, 0.329252, 0.334363, 0.341593, 0.352377, 0.355742, 0.362474, 0.383626, 0.3885, 0.398249, 0.41761, 0.444716, 0.522724");
-            values ( \
-              "0.00236007, 0.0859931, 0.0922976, 0.110757, 0.119458, 0.125557, 0.126082, 0.128856, 0.129968, 0.130996, 0.130054, 0.129792, 0.128235, 0.127486, 0.121112, 0.114795, 0.11056, 0.107417, 0.101595, 0.0967661, 0.0745919, 0.0564818, 0.035058, 0.0292803, 0.0283956, 0.0256397, 0.0235936, 0.0190502, 0.0182622, 0.015204, 0.00863072, 0.0079747, 0.00559971, 0.0034964, 0.00115775, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.197812, 0.204668, 0.216629, 0.225801, 0.236911, 0.252539, 0.263263, 0.272186, 0.307282, 0.367754, 0.396932, 0.415755, 0.452511, 0.481003, 0.501936, 0.559932");
-            values ( \
-              "0.0534786, 0.110858, 0.154919, 0.171214, 0.179729, 0.180468, 0.177031, 0.172073, 0.147358, 0.0681388, 0.0406741, 0.0305285, 0.0180483, 0.0111554, 0.00762296, 0.00243213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.201723, 0.209476, 0.219585, 0.229525, 0.242638, 0.260272, 0.279515, 0.300463, 0.374144, 0.396124, 0.468975, 0.507033, 0.541818, 0.580951, 0.620149, 0.673924, 0.755473, 0.873172, 0.957219");
-            values ( \
-              "0.147309, 0.153708, 0.190269, 0.209553, 0.221149, 0.224107, 0.220319, 0.213302, 0.179603, 0.162845, 0.0970881, 0.0688599, 0.0482402, 0.0328417, 0.0226897, 0.0131673, 0.00528656, 0.00109231, 0.000797311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.205397, 0.226052, 0.241221, 0.265935, 0.297784, 0.405605, 0.518514, 0.750957, 0.853357, 0.921769, 0.978469, 1.11508, 1.25233, 1.42042, 1.58852");
-            values ( \
-              "0.20897, 0.225019, 0.246023, 0.254759, 0.252076, 0.229419, 0.19719, 0.0838594, 0.0489572, 0.0339156, 0.0250073, 0.0115211, 0.00493093, 0.00152975, 0.000303542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.209465, 0.262326, 0.285831, 0.420287, 0.483098, 0.736795, 0.816019, 0.900065, 1.14138, 1.26304, 1.37213, 1.49282, 1.6163, 1.772, 1.91565, 2.08557, 2.25366, 2.42176, 2.92604");
-            values ( \
-              "0.259322, 0.272347, 0.274639, 0.263027, 0.255527, 0.219597, 0.204699, 0.185827, 0.120501, 0.0913086, 0.069349, 0.0499626, 0.0354729, 0.0227803, 0.014925, 0.00889768, 0.0052147, 0.00297407, 0.000333847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.292299, 0.29825, 0.303751, 0.307637, 0.31144, 0.31611, 0.319434, 0.323233, 0.327537, 0.332565, 0.339762, 0.356314, 0.373889, 0.385271, 0.38973, 0.393907, 0.396236, 0.406631, 0.412896, 0.423555, 0.43834, 0.44048, 0.44476, 0.468455, 0.515071, 0.542784");
-            values ( \
-              "0.00103206, 0.0430239, 0.0583777, 0.066624, 0.0729437, 0.0782998, 0.0806932, 0.0824405, 0.083216, 0.0827916, 0.0790514, 0.0656421, 0.0380944, 0.0240957, 0.0207965, 0.0197401, 0.0196932, 0.0165429, 0.0135773, 0.00930765, 0.00517084, 0.00501043, 0.00397952, 0.00146168, 0.000143093, 0.000248648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.296011, 0.300948, 0.305905, 0.312612, 0.317785, 0.32401, 0.327066, 0.333178, 0.337344, 0.345, 0.349205, 0.355844, 0.371069, 0.375152, 0.396555, 0.407307, 0.424696, 0.430767, 0.458401, 0.475684, 0.487907, 0.505667, 0.528982, 0.561744, 0.600428");
-            values ( \
-              "0.0376766, 0.0724457, 0.0913662, 0.109917, 0.119414, 0.126236, 0.12819, 0.130281, 0.130433, 0.128656, 0.126426, 0.12155, 0.108759, 0.104529, 0.0671569, 0.0514378, 0.0307962, 0.0273926, 0.0168947, 0.0109765, 0.00781815, 0.00465643, 0.00231945, 0.000766942, 0.000251313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.296005, 0.30455, 0.311317, 0.316301, 0.32561, 0.33119, 0.336922, 0.34485, 0.352563, 0.358257, 0.368404, 0.391529, 0.407463, 0.41464, 0.448765, 0.468073, 0.492902, 0.504671, 0.515347, 0.533176, 0.555693, 0.573527, 0.597449, 0.615127, 0.647982, 0.687905, 0.741702");
-            values ( \
-              "0.0216962, 0.110078, 0.13832, 0.153047, 0.170127, 0.175829, 0.179057, 0.180686, 0.179909, 0.178429, 0.174133, 0.159462, 0.147194, 0.139017, 0.0908493, 0.0678068, 0.0438425, 0.0357337, 0.0307305, 0.0241294, 0.0171875, 0.0127388, 0.00827187, 0.00593245, 0.00305368, 0.00133064, 0.000381899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.300387, 0.313557, 0.322353, 0.327568, 0.333848, 0.342164, 0.351426, 0.360237, 0.374215, 0.386589, 0.398005, 0.419397, 0.442937, 0.474111, 0.496271, 0.553725, 0.589298, 0.616688, 0.641259, 0.660547, 0.683247, 0.715456, 0.747998, 0.77998, 0.827546, 0.874421, 0.929898, 0.998485, 1.08253");
-            values ( \
-              "0.108229, 0.170173, 0.196015, 0.205868, 0.213996, 0.220278, 0.223212, 0.223561, 0.221215, 0.217836, 0.213896, 0.205218, 0.194632, 0.179299, 0.163, 0.110277, 0.0815762, 0.0629454, 0.0488301, 0.0400858, 0.0324801, 0.0240719, 0.0175126, 0.012649, 0.00760555, 0.00451537, 0.00234119, 0.00104184, 0.000340632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.307996, 0.340704, 0.349088, 0.357333, 0.361765, 0.371286, 0.385848, 0.400457, 0.495072, 0.556578, 0.607238, 0.641276, 0.684866, 0.769213, 0.837624, 0.887351, 0.926501, 0.978701, 1.02524, 1.08561, 1.14693, 1.18723, 1.24353, 1.31861, 1.40265, 1.4867, 1.57075, 1.73884");
-            values ( \
-              "0.239695, 0.24476, 0.250285, 0.25308, 0.253863, 0.254346, 0.253404, 0.251198, 0.231461, 0.216076, 0.200773, 0.187014, 0.165557, 0.121646, 0.0897962, 0.0703097, 0.0571642, 0.0429195, 0.0335961, 0.0243559, 0.0174276, 0.0139012, 0.0100785, 0.00646364, 0.00389522, 0.00231969, 0.00138422, 0.000486491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.312639, 0.312659, 0.548555, 0.692505, 0.776552, 0.846688, 0.915985, 1.00003, 1.24122, 1.36289, 1.44693, 1.52206, 1.59267, 1.67672, 1.71615, 1.79501, 1.87183, 1.95588, 2.05741, 2.19736, 2.36546, 2.53355, 2.70165, 3.03783");
-            values ( \
-              "1e-22, 0.283082, 0.259408, 0.24051, 0.228545, 0.217586, 0.205072, 0.185402, 0.12087, 0.0916751, 0.0744276, 0.061092, 0.0503264, 0.0399936, 0.0358371, 0.0288016, 0.023144, 0.0182133, 0.0135285, 0.00893059, 0.00537471, 0.00321316, 0.00191148, 0.000667339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.493057, 0.509301, 0.517204, 0.521167, 0.525553, 0.535601, 0.537395, 0.540984, 0.547882, 0.550209, 0.557771, 0.562041, 0.573314, 0.578059, 0.588358, 0.592161, 0.596008, 0.600162, 0.601269, 0.603482, 0.613216, 0.624388, 0.639282, 0.644159, 0.645688, 0.648747, 0.654864, 0.678758, 0.726626, 0.755564");
-            values ( \
-              "0.00189877, 0.0588587, 0.0729956, 0.0766266, 0.0805165, 0.0826751, 0.0818201, 0.0810904, 0.0761745, 0.0737284, 0.0680629, 0.0653431, 0.0460415, 0.0390862, 0.0262924, 0.0224513, 0.0201824, 0.0199315, 0.0193985, 0.0192762, 0.0158837, 0.0108319, 0.00607189, 0.00529669, 0.0046775, 0.00444121, 0.00320002, 0.0011458, 6.50375e-05, 0.000249524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.498723, 0.50467, 0.509979, 0.516968, 0.527458, 0.530906, 0.539266, 0.549793, 0.553675, 0.5778, 0.585107, 0.598233, 0.607637, 0.627195, 0.633357, 0.66049, 0.681075, 0.69285, 0.708917, 0.729918, 0.756797");
-            values ( \
-              "0.0247646, 0.0666486, 0.0870284, 0.106954, 0.123653, 0.126425, 0.129573, 0.128261, 0.126178, 0.107041, 0.0961701, 0.0724494, 0.0577171, 0.0335782, 0.0284538, 0.0180014, 0.0108511, 0.00781458, 0.00489579, 0.00261852, 0.00118075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.502535, 0.515723, 0.521207, 0.525251, 0.530594, 0.536294, 0.541762, 0.551195, 0.557458, 0.562381, 0.567529, 0.577804, 0.595468, 0.616353, 0.651798, 0.6663, 0.682873, 0.69602, 0.701941, 0.713782, 0.736349, 0.764586, 0.782822, 0.802474, 0.825912, 0.858294, 0.898749, 0.953179");
-            values ( \
-              "0.0813324, 0.13335, 0.1506, 0.159228, 0.168506, 0.174065, 0.178048, 0.17985, 0.179287, 0.178116, 0.176355, 0.171334, 0.159999, 0.143254, 0.0933721, 0.0754535, 0.0577387, 0.0454181, 0.0407523, 0.0335323, 0.0246811, 0.0161261, 0.0118342, 0.00828565, 0.00527431, 0.00275481, 0.00115922, 0.000347369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.506136, 0.519017, 0.524002, 0.534215, 0.547142, 0.555866, 0.565043, 0.569635, 0.579443, 0.591442, 0.605074, 0.64783, 0.679011, 0.700595, 0.758644, 0.79282, 0.82121, 0.847519, 0.866666, 0.88936, 0.917957, 0.950571, 0.984617, 1.03613, 1.08324, 1.13952, 1.20884, 1.29289");
-            values ( \
-              "0.145616, 0.169287, 0.185917, 0.20701, 0.219501, 0.221907, 0.222637, 0.222331, 0.220629, 0.217493, 0.2129, 0.19457, 0.179283, 0.163497, 0.11027, 0.0825797, 0.06319, 0.0481198, 0.0396316, 0.0321009, 0.0246082, 0.0179364, 0.0126975, 0.00731467, 0.00430176, 0.00222714, 0.000960185, 0.000332336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.510567, 0.549543, 0.561708, 0.575725, 0.605333, 0.700364, 0.761386, 0.816135, 0.862473, 0.997994, 1.08873, 1.14533, 1.22327, 1.31657, 1.38947, 1.48894, 1.6512");
-            values ( \
-              "0.211185, 0.246796, 0.252153, 0.253844, 0.251096, 0.231422, 0.216176, 0.199486, 0.179369, 0.110017, 0.0715725, 0.0530102, 0.0349606, 0.0212129, 0.0141401, 0.00796201, 0.00304681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.514933, 0.561581, 0.572985, 0.591706, 0.619108, 0.700178, 0.753975, 0.89758, 0.981627, 1.05178, 1.12106, 1.20511, 1.4463, 1.56797, 1.65201, 1.72714, 1.79775, 1.88179, 1.92123, 2.00009, 2.07691, 2.16096, 2.26249, 2.40244, 2.57053, 2.73863, 2.99077, 3.24291");
-            values ( \
-              "0.267201, 0.269109, 0.27228, 0.273902, 0.272521, 0.265184, 0.259349, 0.24053, 0.228526, 0.217565, 0.205093, 0.185382, 0.120891, 0.0916967, 0.0744062, 0.0610705, 0.050348, 0.0399723, 0.0358587, 0.0287804, 0.0231655, 0.018192, 0.0135498, 0.00895188, 0.00539594, 0.00323436, 0.00147334, 0.00068845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.910199, 0.917222, 0.926422, 0.93377, 0.943447, 0.947327, 0.953815, 0.958983, 0.976164, 0.982288, 0.993699, 1.00509, 1.01265, 1.01579, 1.02465, 1.03993, 1.05516, 1.06166, 1.06393, 1.06846, 1.07753, 1.11267, 1.16442");
-            values ( \
-              "0.00604868, 0.0312839, 0.0531943, 0.0669911, 0.0773505, 0.0790323, 0.080691, 0.0796531, 0.0678256, 0.0625901, 0.0428921, 0.0282971, 0.0206567, 0.0204798, 0.0186376, 0.0119673, 0.00667662, 0.00556816, 0.0046514, 0.0043087, 0.00260711, 0.000475606, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.910053, 0.924373, 0.934043, 0.946957, 0.957217, 0.962596, 0.967905, 0.978889, 0.997679, 1.02953, 1.04734, 1.05538, 1.08903, 1.11669, 1.14694, 1.17642");
-            values ( \
-              "0.00438641, 0.0699915, 0.0994154, 0.12113, 0.12699, 0.127149, 0.126608, 0.120423, 0.104805, 0.0526521, 0.0313129, 0.0267068, 0.0141939, 0.0066427, 0.0026544, 0.00161602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.916582, 0.932486, 0.94262, 0.948676, 0.954537, 0.959597, 0.967741, 0.975506, 0.985539, 0.995492, 1.01489, 1.02975, 1.03698, 1.07206, 1.08941, 1.11302, 1.12121, 1.13057, 1.15433, 1.17078, 1.2025, 1.22609, 1.25089, 1.27257, 1.3094, 1.36845");
-            values ( \
-              "0.0519849, 0.12286, 0.152539, 0.163942, 0.170658, 0.174816, 0.177391, 0.177896, 0.175585, 0.170958, 0.158929, 0.147805, 0.140052, 0.0907908, 0.069934, 0.0464742, 0.0399722, 0.0343412, 0.0248306, 0.0195245, 0.0115253, 0.00752428, 0.00465353, 0.00302488, 0.00140476, 0.000358024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.922273, 0.952561, 0.964938, 0.974566, 0.983525, 1.00152, 1.02381, 1.06645, 1.09764, 1.11955, 1.17726, 1.21599, 1.26123, 1.28168, 1.30577, 1.34321, 1.37556, 1.40452, 1.44644, 1.49269, 1.54661, 1.54688");
-            values ( \
-              "0.128379, 0.202125, 0.216071, 0.220185, 0.221778, 0.219421, 0.212734, 0.194658, 0.17941, 0.163084, 0.110137, 0.0790944, 0.0510941, 0.0414002, 0.0330298, 0.0232891, 0.0169782, 0.0126361, 0.00808681, 0.00481147, 0.00257914, 0.00257521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.926515, 0.945428, 0.955602, 0.972763, 0.984868, 1.00136, 1.02841, 1.11198, 1.15526, 1.22849, 1.28097, 1.4165, 1.50701, 1.56408, 1.64187, 1.69435, 1.79108, 1.92137, 2.079");
-            values ( \
-              "0.196557, 0.206622, 0.229307, 0.247439, 0.251961, 0.253126, 0.250095, 0.233076, 0.222754, 0.201349, 0.179377, 0.110001, 0.0716481, 0.0529201, 0.0349335, 0.0264066, 0.0155184, 0.00733173, 0.0029403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.931509, 0.986487, 0.99757, 1.01431, 1.03372, 1.07879, 1.09769, 1.14338, 1.20544, 1.3679, 1.42368, 1.50773, 1.53987, 1.59998, 1.67161, 1.86596, 1.98848, 2.07252, 2.14222, 2.2142, 2.29825, 2.33987, 2.4231, 2.49839, 2.58244, 2.67999, 2.81731, 2.98541, 3.1535, 3.40564, 3.65778");
-            values ( \
-              "0.247184, 0.270086, 0.272443, 0.273604, 0.272785, 0.268464, 0.267246, 0.262887, 0.255477, 0.233245, 0.225006, 0.211076, 0.205048, 0.191281, 0.173041, 0.120637, 0.0912902, 0.0740665, 0.061674, 0.0506494, 0.0402243, 0.0358545, 0.0284363, 0.0229724, 0.0180513, 0.013589, 0.00904687, 0.0054513, 0.00326501, 0.00149814, 0.000689271" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00302549, 0.00302554, 0.00302558, 0.00302562, 0.00302564, 0.00302565", \
-            "0.00362247, 0.00362248, 0.0036225, 0.00362252, 0.00362253, 0.00362254", \
-            "0.00398437, 0.00398437, 0.00398437, 0.00398438, 0.00398438, 0.00398439", \
-            "0.00419757, 0.00419756, 0.00419755, 0.00419755, 0.00419755, 0.00419755", \
-            "0.00431052, 0.00431052, 0.00431052, 0.00431051, 0.00431051, 0.00431051", \
-            "0.0043726, 0.0043726, 0.0043726, 0.00437259, 0.00437259, 0.00437259" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00435562, 0.00435559, 0.00435557, 0.00435557, 0.00435558, 0.00435558", \
-            "0.00482538, 0.00482533, 0.00482529, 0.00482526, 0.00482525, 0.00482525", \
-            "0.00506706, 0.005067, 0.00506694, 0.00506688, 0.00506685, 0.00506683", \
-            "0.00529792, 0.00529851, 0.00529847, 0.00529843, 0.00529838, 0.00529835", \
-            "0.00565433, 0.00565443, 0.00565455, 0.00565463, 0.00565467, 0.00565468", \
-            "0.00657031, 0.00656788, 0.00656231, 0.00655824, 0.00655608, 0.00655493" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.162441, 0.177847, 0.207335, 0.265257, 0.381949, 0.621772", \
-            "0.168505, 0.183863, 0.213393, 0.271312, 0.388013, 0.627817", \
-            "0.182958, 0.198361, 0.227853, 0.28578, 0.402478, 0.642276", \
-            "0.213565, 0.228947, 0.258435, 0.316381, 0.433107, 0.672939", \
-            "0.263607, 0.278947, 0.308562, 0.366498, 0.48327, 0.72311", \
-            "0.343831, 0.359208, 0.388796, 0.446798, 0.563612, 0.803527" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.05631, 0.0741253, 0.112428, 0.193421, 0.36326, 0.718061", \
-            "0.0563103, 0.0741146, 0.112421, 0.193397, 0.363291, 0.71802", \
-            "0.0563169, 0.0741382, 0.11243, 0.193401, 0.363258, 0.71803", \
-            "0.0563932, 0.0741866, 0.112452, 0.193402, 0.363263, 0.718043", \
-            "0.0568169, 0.0744613, 0.1127, 0.193482, 0.363324, 0.718023", \
-            "0.0576201, 0.0751317, 0.112964, 0.193597, 0.363216, 0.718018" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.122477, 0.130577, 0.139887, 0.149214, 0.157543, 0.163915, 0.165619, 0.173854, 0.181227, 0.182769, 0.185851, 0.191053, 0.205586, 0.219329, 0.225221, 0.22998, 0.241721, 0.254541, 0.270409, 0.284926, 0.306762, 0.327234");
-            values ( \
-              "0.000205172, 0.0404582, 0.0634323, 0.0763625, 0.081133, 0.0812561, 0.0816078, 0.0774227, 0.0708579, 0.0706698, 0.0673699, 0.0647285, 0.0401166, 0.0235851, 0.0195659, 0.0196684, 0.015911, 0.0103981, 0.00564957, 0.00324521, 0.00139148, 0.000588805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.126185, 0.132726, 0.142186, 0.150749, 0.15689, 0.162778, 0.167652, 0.178288, 0.204728, 0.210622, 0.234444, 0.26054, 0.309472, 0.338616, 0.361851");
-            values ( \
-              "0.0174128, 0.0657339, 0.0986401, 0.116336, 0.123409, 0.127098, 0.127879, 0.127204, 0.107759, 0.101015, 0.0604514, 0.0294829, 0.0109397, 0.00470751, 0.00305932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.126293, 0.136738, 0.143887, 0.153515, 0.159079, 0.164969, 0.170188, 0.178245, 0.18602, 0.201813, 0.227373, 0.245998, 0.280367, 0.296839, 0.324675, 0.331839, 0.344678, 0.367087, 0.383443, 0.413437, 0.43933, 0.465899, 0.49826, 0.516954");
-            values ( \
-              "0.00459191, 0.103222, 0.132613, 0.158123, 0.166467, 0.173265, 0.175927, 0.178633, 0.177643, 0.172808, 0.157212, 0.142623, 0.0940765, 0.0737768, 0.045709, 0.0400061, 0.0326024, 0.0240372, 0.0188312, 0.0113575, 0.00712086, 0.00414202, 0.00221827, 0.0016194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.134613, 0.146685, 0.156337, 0.167023, 0.176768, 0.18858, 0.204152, 0.227948, 0.245519, 0.307838, 0.342745, 0.400522, 0.441743, 0.474255, 0.515929, 0.549703, 0.605781, 0.628352, 0.702558, 0.82648, 0.910221");
-            values ( \
-              "0.153711, 0.165497, 0.19338, 0.210462, 0.21766, 0.221557, 0.220111, 0.214401, 0.208673, 0.180304, 0.151939, 0.0988998, 0.0681178, 0.0487946, 0.0323735, 0.0235623, 0.0134155, 0.0105535, 0.00451806, 0.000839442, 0.000631782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.13925, 0.165758, 0.190568, 0.20596, 0.238638, 0.287811, 0.356199, 0.437211, 0.480812, 0.629162, 0.70157, 0.764152, 0.84568, 0.887086, 0.994255, 1.07373, 1.22824, 1.5632");
-            values ( \
-              "0.22411, 0.231344, 0.250706, 0.251683, 0.24992, 0.241169, 0.225728, 0.202342, 0.18455, 0.108331, 0.0770406, 0.0554545, 0.0356494, 0.0285854, 0.015777, 0.00993414, 0.00378223, 0.000231581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.144464, 0.23289, 0.306889, 0.417194, 0.633618, 0.749595, 1.19625, 1.35587, 1.51, 1.62819, 1.78877, 1.94686, 2.28182, 2.42057");
-            values ( \
-              "0.271582, 0.272784, 0.268312, 0.255709, 0.225411, 0.205548, 0.092035, 0.0607057, 0.0396778, 0.0284903, 0.0179141, 0.0111736, 0.00387883, 0.00276474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.14295, 0.149944, 0.152843, 0.154363, 0.157403, 0.165515, 0.173872, 0.177765, 0.184155, 0.185842, 0.189214, 0.202991, 0.206437, 0.212059, 0.220112, 0.230313, 0.233987, 0.239733, 0.24529, 0.250208, 0.25607, 0.261883, 0.2682, 0.270438, 0.274915, 0.281401, 0.290684, 0.294768, 0.302936, 0.319273, 0.339254, 0.349789");
-            values ( \
-              "0.000405193, 0.0370918, 0.0476109, 0.0497672, 0.0584065, 0.0724691, 0.0798418, 0.0802897, 0.0820794, 0.080831, 0.0806813, 0.069988, 0.06789, 0.0629973, 0.0486013, 0.0338246, 0.0305039, 0.0228022, 0.0202668, 0.0190471, 0.0184582, 0.0153313, 0.0136099, 0.0116456, 0.0109117, 0.00764467, 0.00620072, 0.00432595, 0.00401129, 0.00129484, 0.00133112, 0.000761185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.146572, 0.152856, 0.158194, 0.165253, 0.176039, 0.177019, 0.178979, 0.182898, 0.187782, 0.19593, 0.198397, 0.209366, 0.219639, 0.221156, 0.228901, 0.249503, 0.251201, 0.254597, 0.268253, 0.28016, 0.280661, 0.281664, 0.283669, 0.286251, 0.290956, 0.298567, 0.307884, 0.30932, 0.312194, 0.31794, 0.3257, 0.337701, 0.342023, 0.350667, 0.39147, 0.427345, 0.468408");
-            values ( \
-              "0.0199236, 0.0666144, 0.086448, 0.105996, 0.122731, 0.122621, 0.125133, 0.126325, 0.128645, 0.127209, 0.127474, 0.120682, 0.111074, 0.110401, 0.104015, 0.0677794, 0.0660919, 0.0599566, 0.0421653, 0.0294451, 0.0300962, 0.0285598, 0.028396, 0.0263771, 0.0254744, 0.0218342, 0.0191543, 0.017726, 0.0174652, 0.0144683, 0.0126625, 0.00840649, 0.00823089, 0.00566709, 0.00131918, 0.000936735, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.150891, 0.156764, 0.161065, 0.169452, 0.1791, 0.19021, 0.198267, 0.206043, 0.221836, 0.222098, 0.229489, 0.248529, 0.256853, 0.266039, 0.300382, 0.307442, 0.316854, 0.327258, 0.34469, 0.351854, 0.364692, 0.376843, 0.387103, 0.403459, 0.420931, 0.433486, 0.444512, 0.459214, 0.488617, 0.52203, 0.56979, 0.632207");
-            values ( \
-              "0.0893513, 0.102406, 0.12206, 0.149264, 0.16729, 0.176741, 0.177827, 0.178448, 0.172006, 0.172402, 0.168298, 0.15635, 0.149979, 0.142542, 0.0941071, 0.0850203, 0.073761, 0.0624511, 0.045717, 0.0400007, 0.0326067, 0.0277114, 0.0240398, 0.0188282, 0.0141995, 0.011349, 0.00927335, 0.00713841, 0.00391122, 0.0020478, 0.000642103, 0.000214496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.154579, 0.166716, 0.173651, 0.179211, 0.187029, 0.194486, 0.204418, 0.226964, 0.258618, 0.300449, 0.327834, 0.338196, 0.356635, 0.399835, 0.422307, 0.452269, 0.489277, 0.509979, 0.53433, 0.551027, 0.57487, 0.606662, 0.633695, 0.671751, 0.714006, 0.768244, 0.834538, 0.918279");
-            values ( \
-              "0.153272, 0.164748, 0.187275, 0.199277, 0.210594, 0.216051, 0.221059, 0.219756, 0.210814, 0.193772, 0.17988, 0.173004, 0.157288, 0.117108, 0.097652, 0.0747563, 0.0516684, 0.041633, 0.0330889, 0.0283644, 0.0226721, 0.0165937, 0.0125949, 0.00840967, 0.00526599, 0.0028054, 0.00126879, 0.00043595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.159468, 0.186073, 0.203181, 0.226282, 0.242584, 0.255928, 0.258952, 0.264999, 0.274447, 0.287724, 0.305228, 0.308105, 0.313861, 0.325371, 0.3389, 0.359388, 0.385395, 0.413573, 0.451411, 0.47207, 0.538427, 0.622276, 0.704186, 0.753704, 0.806763, 0.874464, 0.879533, 0.889671, 0.909947, 0.934503, 1.02448, 1.03873, 1.06723, 1.19362, 1.27736, 1.3611, 1.44484, 1.52858");
-            values ( \
-              "0.221592, 0.232212, 0.248234, 0.252528, 0.250677, 0.25062, 0.249094, 0.249398, 0.246741, 0.245451, 0.240933, 0.241464, 0.239239, 0.237939, 0.233972, 0.230264, 0.222631, 0.216048, 0.203232, 0.197603, 0.166232, 0.12142, 0.0838435, 0.0652046, 0.0488217, 0.0339001, 0.0339619, 0.0312598, 0.028975, 0.0245167, 0.0147519, 0.0145251, 0.0114855, 0.00522417, 0.00389305, 0.00157712, 0.00168505, 0.000253883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.164222, 0.23726, 0.250334, 0.256368, 0.268437, 0.278682, 0.295467, 0.313494, 0.331132, 0.34907, 0.374744, 0.403578, 0.439548, 0.445082, 0.456152, 0.478291, 0.504376, 0.653447, 0.769506, 0.901177, 1.06775, 1.15231, 1.3026, 1.37014, 1.52638, 1.65287, 1.81172, 1.86932, 1.88261, 1.9092, 1.96237, 2.02616, 2.1099, 2.19364, 2.27738, 2.36112, 2.44486, 2.5286, 2.61234, 2.69608, 2.77982, 2.86356");
-            values ( \
-              "0.270208, 0.272255, 0.271917, 0.273017, 0.271725, 0.272226, 0.270012, 0.26962, 0.267095, 0.266401, 0.262599, 0.260156, 0.25456, 0.254851, 0.252394, 0.250468, 0.245965, 0.225665, 0.20571, 0.173664, 0.127395, 0.105979, 0.0734579, 0.061432, 0.0398643, 0.0279038, 0.0175565, 0.0157606, 0.0141965, 0.0140843, 0.0111294, 0.0101118, 0.00698998, 0.00630437, 0.00401145, 0.00398148, 0.00220418, 0.00257817, 0.00111643, 0.00173584, 0.00046525, 0.00123201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.187501, 0.197675, 0.205216, 0.21456, 0.222883, 0.23096, 0.239196, 0.248112, 0.251009, 0.255988, 0.267337, 0.273846, 0.285662, 0.291002, 0.295333, 0.301346, 0.326321, 0.338919, 0.350637, 0.374152, 0.396399, 0.400182");
-            values ( \
-              "0.00205211, 0.0454892, 0.0632865, 0.0762752, 0.0810486, 0.0815363, 0.0773529, 0.0705959, 0.0675049, 0.0651719, 0.0451723, 0.0363557, 0.0224193, 0.019778, 0.0195508, 0.0178964, 0.0082252, 0.0050231, 0.00314518, 0.00123107, 0.000551547, 0.00052106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.191221, 0.198081, 0.205175, 0.21049, 0.219022, 0.227854, 0.233033, 0.243679, 0.254657, 0.266427, 0.270411, 0.276367, 0.29468, 0.30004, 0.318467, 0.325731, 0.334897, 0.342924, 0.357745, 0.376033, 0.386847, 0.402359, 0.4229, 0.456104, 0.494394");
-            values ( \
-              "0.0138507, 0.0654521, 0.0916976, 0.1057, 0.120025, 0.126939, 0.127848, 0.127194, 0.120326, 0.110176, 0.107533, 0.100428, 0.0683595, 0.0601295, 0.0369077, 0.02959, 0.0253686, 0.0227411, 0.0168289, 0.0105894, 0.00781361, 0.00494363, 0.00276817, 0.000811382, 0.000331003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.191262, 0.202037, 0.209191, 0.218823, 0.224386, 0.230279, 0.235499, 0.243557, 0.251333, 0.267127, 0.292686, 0.311312, 0.34568, 0.362152, 0.389988, 0.397153, 0.409991, 0.4324, 0.448756, 0.478751, 0.504643, 0.531186, 0.563545, 0.581853");
-            values ( \
-              "0.00142683, 0.103106, 0.132546, 0.158094, 0.166404, 0.173262, 0.175881, 0.178645, 0.177607, 0.172831, 0.157215, 0.142626, 0.0940742, 0.0737795, 0.0457067, 0.0400086, 0.0325999, 0.0240348, 0.0188336, 0.0113599, 0.00711859, 0.00414655, 0.00221734, 0.00163222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.199601, 0.212666, 0.22309, 0.228062, 0.232355, 0.240939, 0.250283, 0.259096, 0.272283, 0.304105, 0.32525, 0.345576, 0.373155, 0.386289, 0.469876, 0.503655, 0.530481, 0.546311, 0.57201, 0.592087, 0.614513, 0.63711, 0.668373, 0.70283, 0.741144, 0.790982, 0.850786, 0.926482, 1.01022");
-            values ( \
-              "0.143577, 0.167903, 0.19642, 0.204353, 0.210463, 0.216969, 0.221002, 0.220928, 0.219578, 0.210768, 0.202636, 0.193848, 0.179933, 0.170882, 0.095774, 0.0706102, 0.0539219, 0.0456606, 0.0354288, 0.0294737, 0.0239079, 0.0192428, 0.0140509, 0.009799, 0.00644593, 0.00365234, 0.00180077, 0.000707425, 0.000244643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.204627, 0.248747, 0.256444, 0.27184, 0.304514, 0.353689, 0.422063, 0.502474, 0.547868, 0.672417, 0.749674, 0.852268, 0.921342, 0.970962, 1.11587, 1.26483, 1.35708");
-            values ( \
-              "0.215705, 0.247363, 0.250727, 0.251663, 0.249944, 0.241192, 0.225752, 0.202561, 0.184017, 0.119346, 0.08409, 0.0490441, 0.0338542, 0.025937, 0.0114482, 0.00457307, 0.00340382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.208992, 0.263779, 0.301707, 0.349158, 0.435976, 0.603994, 0.753675, 0.814781, 0.898521, 1.1398, 1.26142, 1.37045, 1.49142, 1.61456, 1.7702, 1.91381, 2.09576, 2.26324, 2.43073, 2.93317");
-            values ( \
-              "0.267483, 0.269706, 0.272737, 0.270138, 0.261486, 0.239247, 0.216564, 0.204998, 0.185786, 0.120692, 0.09147, 0.0694951, 0.0500515, 0.0355965, 0.0229026, 0.0150464, 0.00868543, 0.00513953, 0.00298006, 0.000428995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.286366, 0.29659, 0.303921, 0.309422, 0.313322, 0.317784, 0.321685, 0.328053, 0.333236, 0.338027, 0.350115, 0.355416, 0.363768, 0.369774, 0.385093, 0.39066, 0.394171, 0.400551, 0.424081, 0.437133, 0.438622, 0.4416, 0.455027, 0.489627, 0.544219");
-            values ( \
-              "0.00400281, 0.0461105, 0.0622833, 0.0715179, 0.0755697, 0.0790452, 0.0805463, 0.0814293, 0.0800473, 0.0770591, 0.0675457, 0.0642445, 0.0493441, 0.0402838, 0.0217114, 0.0197712, 0.0194769, 0.0178253, 0.00847832, 0.00505783, 0.00498512, 0.00420319, 0.00241887, 0.000521792, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.290153, 0.296454, 0.3061, 0.314692, 0.319897, 0.326767, 0.331668, 0.34232, 0.352989, 0.365089, 0.369167, 0.375119, 0.398758, 0.417066, 0.424398, 0.433601, 0.474612, 0.485502, 0.501144, 0.521549, 0.554788, 0.593061");
-            values ( \
-              "0.0219973, 0.0643038, 0.0973179, 0.115366, 0.12177, 0.126498, 0.127923, 0.126792, 0.120545, 0.110133, 0.107442, 0.100259, 0.0600524, 0.0369777, 0.0295973, 0.0253605, 0.0106161, 0.00781726, 0.00492691, 0.0027691, 0.000811934, 0.000329843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.290368, 0.300588, 0.304452, 0.307834, 0.313483, 0.317527, 0.323056, 0.328973, 0.334247, 0.342321, 0.350098, 0.365652, 0.367164, 0.373211, 0.392823, 0.410113, 0.44445, 0.451509, 0.460922, 0.471325, 0.488757, 0.495921, 0.508759, 0.520908, 0.53117, 0.547526, 0.565021, 0.577558, 0.588573, 0.603259, 0.632631, 0.666151, 0.713899, 0.776428");
-            values ( \
-              "0.00923048, 0.100959, 0.117947, 0.131051, 0.147963, 0.157103, 0.166154, 0.172552, 0.17593, 0.178152, 0.177805, 0.17258, 0.171845, 0.168465, 0.156172, 0.142542, 0.0940976, 0.0850097, 0.0737753, 0.0624398, 0.0457048, 0.0400153, 0.0325924, 0.0277256, 0.0240254, 0.0188423, 0.01418, 0.0113623, 0.00928893, 0.00712721, 0.00392997, 0.002031, 0.000655747, 0.000199454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.298845, 0.310448, 0.318152, 0.326704, 0.331051, 0.339745, 0.349053, 0.35782, 0.371015, 0.385356, 0.411918, 0.444321, 0.471886, 0.484827, 0.561377, 0.581342, 0.61223, 0.644831, 0.668188, 0.686617, 0.715636, 0.737445, 0.776629, 0.829046, 0.876962, 0.934531, 1.00538, 1.08913");
-            values ( \
-              "0.163243, 0.163372, 0.187329, 0.204092, 0.209754, 0.217079, 0.220544, 0.221132, 0.219214, 0.215886, 0.207528, 0.193836, 0.179941, 0.171025, 0.101808, 0.0857635, 0.0641958, 0.0457765, 0.0363269, 0.0306995, 0.0233914, 0.018947, 0.0127444, 0.00726655, 0.00424099, 0.00214816, 0.000915421, 0.00030797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.303631, 0.33016, 0.338814, 0.34725, 0.354988, 0.370466, 0.386559, 0.409626, 0.434757, 0.47302, 0.503505, 0.550104, 0.603259, 0.641996, 0.682392, 0.766615, 0.833728, 0.884328, 0.925513, 0.957343, 1.00743, 1.05654, 1.12203, 1.18226, 1.2143, 1.2784, 1.36214, 1.44588, 1.52962, 1.6971");
-            values ( \
-              "0.223848, 0.231297, 0.241616, 0.247514, 0.250288, 0.25194, 0.251215, 0.248807, 0.24439, 0.236702, 0.229794, 0.217677, 0.201513, 0.185759, 0.165778, 0.121758, 0.0903913, 0.0704909, 0.0566356, 0.0476151, 0.0363968, 0.0280621, 0.0196832, 0.0140724, 0.0117009, 0.00808332, 0.00486856, 0.00294447, 0.00173308, 0.00060176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.30833, 0.397599, 0.429936, 0.467802, 0.540139, 0.690193, 0.769874, 0.852554, 0.913509, 0.997249, 1.23853, 1.36014, 1.44388, 1.51976, 1.59015, 1.67389, 1.71329, 1.79209, 1.86892, 1.95266, 2.05462, 2.19449, 2.36197, 2.52945, 2.78067, 3.03189");
-            values ( \
-              "0.270865, 0.272496, 0.271263, 0.268279, 0.260555, 0.240747, 0.229314, 0.216282, 0.20526, 0.185523, 0.12096, 0.0917382, 0.0744797, 0.0610027, 0.0503195, 0.0399739, 0.0358651, 0.0287857, 0.0231711, 0.0182095, 0.0135477, 0.00895309, 0.00540713, 0.00324761, 0.00148216, 0.00069632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.486195, 0.492791, 0.503871, 0.513576, 0.522077, 0.530268, 0.538575, 0.554754, 0.571319, 0.586592, 0.600553, 0.626199, 0.647337, 0.679303");
-            values ( \
-              "0.00632277, 0.0334526, 0.0600572, 0.0742207, 0.0797272, 0.0806784, 0.0768052, 0.0648833, 0.0389991, 0.0211477, 0.018011, 0.00813238, 0.00351672, 0.00121664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.490134, 0.498915, 0.509256, 0.515092, 0.521351, 0.525854, 0.53228, 0.542973, 0.550109, 0.569755, 0.574031, 0.599258, 0.616445, 0.625358, 0.636446, 0.643755, 0.652676, 0.654006, 0.656664, 0.661981, 0.670589, 0.682312, 0.68668, 0.712891, 0.776509, 0.819042");
-            values ( \
-              "0.0343461, 0.0701758, 0.101197, 0.113203, 0.121128, 0.124586, 0.127075, 0.12637, 0.122793, 0.107021, 0.102817, 0.0601952, 0.0383368, 0.0293005, 0.0244139, 0.0224227, 0.0183406, 0.0184556, 0.016819, 0.0154161, 0.0118796, 0.00913384, 0.00752974, 0.00330451, 0.000162438, 0.000382652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.494968, 0.503552, 0.507897, 0.513807, 0.517859, 0.523609, 0.529572, 0.534776, 0.542919, 0.554033, 0.558434, 0.566841, 0.592229, 0.610859, 0.645228, 0.661691, 0.689531, 0.696695, 0.709531, 0.731948, 0.748303, 0.778301, 0.804219, 0.830229, 0.862336, 0.878866");
-            values ( \
-              "0.0996323, 0.1089, 0.126755, 0.144397, 0.154467, 0.163819, 0.171212, 0.174301, 0.177478, 0.17617, 0.175051, 0.171608, 0.157029, 0.142578, 0.0940695, 0.0737911, 0.0457188, 0.0400075, 0.0325963, 0.0240333, 0.0188279, 0.0113559, 0.00712173, 0.00418618, 0.00225932, 0.00171768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.498912, 0.515523, 0.523512, 0.531579, 0.539145, 0.549143, 0.558098, 0.569842, 0.585129, 0.612636, 0.647263, 0.672606, 0.688504, 0.751994, 0.780532, 0.810165, 0.835379, 0.852752, 0.87613, 0.8969, 0.92705, 0.958797, 1.00562, 1.04106, 1.09083, 1.15269, 1.22954");
-            values ( \
-              "0.151204, 0.175313, 0.195602, 0.208163, 0.21473, 0.219818, 0.220475, 0.219002, 0.215893, 0.207487, 0.192815, 0.180031, 0.168666, 0.110543, 0.0869562, 0.0660044, 0.0509696, 0.0425249, 0.0340236, 0.028077, 0.0211103, 0.0154408, 0.00949321, 0.0064089, 0.00366789, 0.00173052, 0.000696995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.504026, 0.530633, 0.539371, 0.550064, 0.562353, 0.582633, 0.593168, 0.616188, 0.652923, 0.696405, 0.758375, 0.816825, 0.868611, 0.982637, 1.06657, 1.13198, 1.16633, 1.212, 1.24987, 1.2951, 1.35853, 1.44038, 1.51035, 1.59409, 1.7071");
-            values ( \
-              "0.224693, 0.228948, 0.240519, 0.24784, 0.250648, 0.25112, 0.250648, 0.247802, 0.240947, 0.231617, 0.215527, 0.197052, 0.173237, 0.114209, 0.0773214, 0.0548902, 0.0455288, 0.0356941, 0.029216, 0.0229305, 0.016119, 0.0101086, 0.00671534, 0.0040304, 0.00227514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.509141, 0.597575, 0.672438, 0.782662, 0.998371, 1.11446, 1.56378, 1.71514, 1.87135, 1.99782, 2.07292, 2.15666, 2.30731, 2.64228, 2.77607");
-            values ( \
-              "0.270848, 0.272627, 0.268215, 0.255566, 0.225417, 0.205435, 0.0913622, 0.0616778, 0.0401147, 0.0281578, 0.0231946, 0.0178107, 0.0113834, 0.00399791, 0.00329845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.89892, 0.907489, 0.912172, 0.921545, 0.926176, 0.932769, 0.939256, 0.943346, 0.950999, 0.956592, 0.960855, 0.968253, 0.970803, 0.982373, 0.986791, 0.999892, 1.00539, 1.00814, 1.01364, 1.01941, 1.03355, 1.03982, 1.04893, 1.0528, 1.06054, 1.07603, 1.09875, 1.12352, 1.15129");
-            values ( \
-              "0.0249095, 0.0374133, 0.0478307, 0.0651069, 0.0706765, 0.076405, 0.0788588, 0.0788841, 0.0762485, 0.0721792, 0.0695652, 0.064453, 0.0608683, 0.042088, 0.0360976, 0.0212744, 0.019706, 0.0195552, 0.0181317, 0.0160645, 0.0101839, 0.00802921, 0.00566063, 0.00481405, 0.00359295, 0.00187208, 0.000798277, 0.000260885, 0.000153868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.898891, 0.906679, 0.91334, 0.9214, 0.927755, 0.934042, 0.940053, 0.945212, 0.954624, 0.959002, 0.964839, 0.971887, 0.979059, 0.991136, 0.998837, 1.00857, 1.01699, 1.03508, 1.03868, 1.04274, 1.07761, 1.08945, 1.10447, 1.13067, 1.16245, 1.19984");
-            values ( \
-              "0.0196479, 0.0501205, 0.0723772, 0.0954457, 0.108501, 0.117394, 0.122324, 0.12476, 0.125096, 0.12382, 0.120664, 0.115435, 0.109534, 0.0979163, 0.0827249, 0.0664219, 0.0542516, 0.0326095, 0.0295777, 0.0274351, 0.014254, 0.0105508, 0.0068285, 0.00309545, 0.00116242, 0.000266813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.903313, 0.911284, 0.919651, 0.930151, 0.936595, 0.947498, 0.955782, 0.963621, 0.979823, 0.982975, 1.00321, 1.02023, 1.03095, 1.05763, 1.07815, 1.1047, 1.11839, 1.12871, 1.14954, 1.17692, 1.19484, 1.21582, 1.25821, 1.28813, 1.29244");
-            values ( \
-              "0.0578525, 0.0833784, 0.117696, 0.148341, 0.16047, 0.171904, 0.175226, 0.175804, 0.171313, 0.169814, 0.158266, 0.145981, 0.133207, 0.094838, 0.0698626, 0.0438444, 0.0346317, 0.0300369, 0.0224788, 0.014604, 0.0106739, 0.00723798, 0.00326617, 0.00176941, 0.00170078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.907982, 0.917315, 0.928932, 0.940214, 0.952365, 0.962296, 0.971455, 0.984786, 0.998099, 1.02189, 1.05464, 1.08568, 1.10978, 1.17272, 1.21574, 1.24659, 1.26667, 1.30319, 1.34171, 1.37468, 1.4175, 1.44998, 1.50009, 1.53636");
-            values ( \
-              "0.123405, 0.126575, 0.172034, 0.198241, 0.212738, 0.217772, 0.219396, 0.218074, 0.215709, 0.208849, 0.195331, 0.179785, 0.162054, 0.103936, 0.0709286, 0.0525992, 0.0426248, 0.0302484, 0.0210577, 0.0151297, 0.00968119, 0.00682157, 0.00383592, 0.00267546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.912739, 0.931214, 0.94333, 0.952088, 0.968585, 0.984205, 0.999809, 1.01684, 1.03499, 1.10933, 1.17147, 1.2157, 1.27017, 1.41915, 1.48033, 1.54649, 1.59402, 1.63648, 1.68738, 1.74562, 1.8221, 1.87177, 1.953, 2.03674, 2.12048, 2.28796");
-            values ( \
-              "0.18002, 0.195775, 0.224865, 0.237298, 0.24814, 0.250599, 0.250866, 0.249591, 0.24687, 0.231709, 0.215558, 0.202059, 0.178863, 0.102958, 0.0770505, 0.0544548, 0.0419454, 0.0335332, 0.0255732, 0.0186779, 0.012093, 0.00914512, 0.00557865, 0.00341348, 0.00198, 0.000675315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.923786, 0.923806, 1.08952, 1.13256, 1.30423, 1.41155, 1.48882, 1.5276, 1.5875, 1.65928, 1.85404, 1.97696, 2.0607, 2.12824, 2.20073, 2.28447, 2.32723, 2.41097, 2.48607, 2.56981, 2.66729, 2.8042, 2.97168, 3.13916, 3.39038, 3.6416");
-            values ( \
-              "1e-22, 0.279175, 0.267664, 0.263202, 0.240735, 0.225166, 0.21242, 0.205213, 0.191424, 0.173167, 0.12057, 0.0911153, 0.0739537, 0.0619279, 0.0507879, 0.0403591, 0.035864, 0.0283977, 0.0229555, 0.0180501, 0.0135932, 0.00906128, 0.00547071, 0.00328339, 0.00150901, 0.00069857" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00302299, 0.00302303, 0.00302308, 0.00302311, 0.00302313, 0.00302314", \
-            "0.00361979, 0.0036198, 0.00361982, 0.00361984, 0.00361985, 0.00361986", \
-            "0.00398347, 0.00398347, 0.00398347, 0.00398347, 0.00398348, 0.00398348", \
-            "0.0041985, 0.0041985, 0.00419849, 0.00419849, 0.00419849, 0.00419849", \
-            "0.00431299, 0.00431299, 0.00431298, 0.00431298, 0.00431298, 0.00431298", \
-            "0.00437549, 0.00437549, 0.00437549, 0.00437549, 0.00437548, 0.00437548" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00434882, 0.00434879, 0.00434878, 0.00434878, 0.00434878, 0.00434878", \
-            "0.0048261, 0.00482605, 0.00482601, 0.00482599, 0.00482598, 0.00482597", \
-            "0.00508757, 0.00508752, 0.00508745, 0.00508739, 0.00508736, 0.00508734", \
-            "0.00535626, 0.00535621, 0.00535622, 0.00535617, 0.00535612, 0.00535609", \
-            "0.00577733, 0.00577744, 0.00577758, 0.0057777, 0.00577776, 0.00577779", \
-            "0.00677493, 0.00677162, 0.00676788, 0.0067634, 0.00676101, 0.00676015" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "(~CK & ~D & ~SN)";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        when : "(!CK * !D * !SN)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.163713, 0.17892, 0.208126, 0.26599, 0.38298, 0.62289", \
-            "0.169825, 0.185031, 0.214235, 0.272101, 0.389087, 0.628997", \
-            "0.184363, 0.199543, 0.22877, 0.286641, 0.403633, 0.643546", \
-            "0.216152, 0.231339, 0.260556, 0.318444, 0.435464, 0.675392", \
-            "0.27026, 0.285438, 0.314674, 0.372617, 0.489674, 0.729624", \
-            "0.355877, 0.371114, 0.400384, 0.458369, 0.575478, 0.81547" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0554302, 0.0732007, 0.111809, 0.193498, 0.363904, 0.718678", \
-            "0.055432, 0.0732025, 0.11181, 0.193498, 0.363916, 0.718679", \
-            "0.055434, 0.073196, 0.111815, 0.193497, 0.363904, 0.718681", \
-            "0.0554835, 0.0732391, 0.11183, 0.1935, 0.363937, 0.718671", \
-            "0.0558989, 0.0735445, 0.112016, 0.193592, 0.36392, 0.718677", \
-            "0.0567747, 0.0742221, 0.112432, 0.193737, 0.364054, 0.718646" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.128803, 0.132948, 0.142227, 0.151119, 0.159192, 0.164982, 0.167078, 0.175178, 0.183974, 0.186863, 0.19176, 0.202875, 0.209596, 0.221751, 0.22558, 0.23699, 0.262181, 0.275332, 0.283301, 0.299097, 0.31953, 0.34374, 0.370341");
-            values ( \
-              "0.0191429, 0.0441863, 0.0675056, 0.0793678, 0.0833567, 0.0829032, 0.0833057, 0.0787183, 0.0715604, 0.0679866, 0.0658002, 0.0456837, 0.0364729, 0.0221162, 0.0204166, 0.0180121, 0.00797635, 0.00471086, 0.00359159, 0.00174835, 0.00091892, 0.000240587, 0.000238537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.128784, 0.135762, 0.140655, 0.147319, 0.152526, 0.157263, 0.16148, 0.167102, 0.169194, 0.179649, 0.190492, 0.201968, 0.207013, 0.2108, 0.231939, 0.242334, 0.259497, 0.264424, 0.311876, 0.338075, 0.358629, 0.374765");
-            values ( \
-              "0.00481731, 0.0730984, 0.0922913, 0.110762, 0.119686, 0.125642, 0.128741, 0.129875, 0.130976, 0.12913, 0.122066, 0.111111, 0.107709, 0.103041, 0.0659467, 0.0508795, 0.030624, 0.0278402, 0.0105339, 0.00499192, 0.0026914, 0.00181841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.132028, 0.139183, 0.151114, 0.160326, 0.171419, 0.187048, 0.192852, 0.197791, 0.206663, 0.226067, 0.241652, 0.248966, 0.283251, 0.302, 0.327465, 0.339779, 0.350647, 0.373247, 0.401874, 0.418281, 0.440722, 0.470643, 0.507222, 0.555313, 0.621467");
-            values ( \
-              "0.0465257, 0.110883, 0.15493, 0.171267, 0.179732, 0.180462, 0.177974, 0.177014, 0.172083, 0.159453, 0.147475, 0.139212, 0.090825, 0.068421, 0.0437892, 0.035402, 0.0303778, 0.0222707, 0.0141696, 0.0106354, 0.00702615, 0.00388589, 0.0018323, 0.000628661, 0.000133051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.135714, 0.143969, 0.154071, 0.164123, 0.176912, 0.186058, 0.194766, 0.199519, 0.204148, 0.208862, 0.214114, 0.217588, 0.220751, 0.221116, 0.221846, 0.223307, 0.225356, 0.229162, 0.234044, 0.277461, 0.292165, 0.308641, 0.33055, 0.403374, 0.444533, 0.47348, 0.511161, 0.545604, 0.593032, 0.610588, 0.615551, 0.625477, 0.645331, 0.725441, 0.863182, 0.947229");
-            values ( \
-              "0.129784, 0.152709, 0.189907, 0.209477, 0.220845, 0.222938, 0.223945, 0.222721, 0.222609, 0.220854, 0.220165, 0.218484, 0.218249, 0.217509, 0.217873, 0.216816, 0.216714, 0.214811, 0.213592, 0.194858, 0.186976, 0.179556, 0.162949, 0.0972126, 0.066932, 0.0497393, 0.0341973, 0.0248192, 0.0155319, 0.0134755, 0.0122832, 0.0115758, 0.0089001, 0.00347281, 0.000440663, 0.000502813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.143782, 0.184315, 0.200754, 0.235356, 0.30833, 0.391089, 0.433878, 0.483439, 0.608244, 0.683134, 0.735167, 0.793027, 0.861252, 0.963604, 1.02019, 1.10978, 1.27412, 1.52626");
-            values ( \
-              "0.249736, 0.250227, 0.254732, 0.251573, 0.23646, 0.216518, 0.2038, 0.18394, 0.119127, 0.0849311, 0.0652948, 0.0476571, 0.0330666, 0.0188731, 0.0136732, 0.00802061, 0.00275877, 0.000994007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.149111, 0.149131, 0.527434, 0.634889, 0.750944, 0.882965, 1.04948, 1.19785, 1.35702, 1.51167, 1.62997, 1.79084, 1.94828, 2.10656, 2.27466, 2.61084, 2.86298");
-            values ( \
-              "1e-22, 0.287958, 0.24091, 0.225291, 0.205468, 0.173362, 0.127453, 0.0920659, 0.0607024, 0.0396046, 0.0284132, 0.0178254, 0.0111143, 0.00678513, 0.00392572, 0.00115644, 0.00107249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.149141, 0.154881, 0.160044, 0.166688, 0.175436, 0.179226, 0.185009, 0.191058, 0.206823, 0.211627, 0.227563, 0.237962, 0.245377, 0.249485, 0.250798, 0.253425, 0.258678, 0.263699, 0.269847, 0.270872, 0.272922, 0.277023, 0.282308, 0.291631, 0.295386, 0.302897, 0.317917, 0.336934, 0.360742, 0.387036");
-            values ( \
-              "0.0247963, 0.0499278, 0.0628721, 0.0744236, 0.0819108, 0.0827623, 0.0834887, 0.0814719, 0.0685788, 0.0654523, 0.0390257, 0.0260764, 0.0200169, 0.0200817, 0.0192102, 0.0193018, 0.0170151, 0.015639, 0.0123479, 0.0125467, 0.011087, 0.01013, 0.00774088, 0.0058812, 0.00450179, 0.00386374, 0.00163683, 0.00122035, 7.33279e-05, 0.000461703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.149303, 0.155817, 0.158856, 0.164415, 0.172579, 0.178727, 0.187179, 0.193401, 0.199708, 0.209375, 0.227144, 0.230897, 0.245971, 0.255665, 0.262999, 0.274774, 0.281232, 0.289688, 0.322046, 0.334046, 0.346938, 0.355061, 0.371306, 0.402698, 0.43873");
-            values ( \
-              "0.0107399, 0.072808, 0.0864716, 0.103794, 0.120245, 0.126739, 0.130503, 0.13056, 0.128502, 0.122745, 0.107664, 0.10296, 0.0760259, 0.0602969, 0.0501023, 0.0360329, 0.0295256, 0.0255638, 0.013706, 0.00993079, 0.00695091, 0.00545571, 0.00339065, 0.00121389, 0.000399951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.152547, 0.159214, 0.171157, 0.17517, 0.185963, 0.191457, 0.199371, 0.212886, 0.222863, 0.246091, 0.261733, 0.269027, 0.303288, 0.32212, 0.34749, 0.359723, 0.370576, 0.393532, 0.422714, 0.459595, 0.487875, 0.523983, 0.544907");
-            values ( \
-              "0.0575098, 0.111466, 0.154244, 0.163092, 0.176419, 0.179054, 0.180975, 0.178636, 0.174385, 0.159428, 0.147403, 0.139214, 0.0908585, 0.0682992, 0.0437676, 0.0354217, 0.0304547, 0.022159, 0.0139464, 0.00715207, 0.00414799, 0.00193342, 0.00159365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.156261, 0.164091, 0.169363, 0.179191, 0.188518, 0.197234, 0.20628, 0.219629, 0.228968, 0.24989, 0.281704, 0.328771, 0.350801, 0.405842, 0.4387, 0.476855, 0.504261, 0.544707, 0.592279, 0.636107, 0.697755, 0.806407, 0.811816");
-            values ( \
-              "0.144825, 0.153038, 0.174943, 0.201095, 0.214382, 0.220418, 0.223515, 0.22329, 0.22143, 0.215086, 0.201963, 0.179076, 0.163328, 0.112379, 0.0853086, 0.0593404, 0.0445984, 0.0303441, 0.0192715, 0.0123086, 0.00624283, 0.00164092, 0.00158765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.160294, 0.178046, 0.183166, 0.19638, 0.212037, 0.222726, 0.237942, 0.255089, 0.360393, 0.410668, 0.461434, 0.496967, 0.62908, 0.682031, 0.75492, 0.803998, 0.848506, 0.915533, 0.949007, 1.01088, 1.08522, 1.20332, 1.37142, 1.53951");
-            values ( \
-              "0.217709, 0.217909, 0.22933, 0.246042, 0.253444, 0.254623, 0.253874, 0.251425, 0.229236, 0.216447, 0.201155, 0.18676, 0.118911, 0.0944317, 0.0655819, 0.0506616, 0.0395379, 0.0277192, 0.0234777, 0.0163831, 0.010709, 0.00525395, 0.00177128, 0.000514597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.169541, 0.169561, 0.329504, 0.403004, 0.496037, 0.701381, 0.770594, 0.854641, 1.09583, 1.2175, 1.37667, 1.44728, 1.57076, 1.72644, 1.87009, 2.04722, 2.38341, 2.88769");
-            values ( \
-              "1e-22, 0.291216, 0.26676, 0.259611, 0.247684, 0.217754, 0.204891, 0.185584, 0.120688, 0.091494, 0.0612728, 0.0501456, 0.0356564, 0.0229634, 0.0151074, 0.00888012, 0.00308159, 0.000499105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.193231, 0.198489, 0.202443, 0.207777, 0.216673, 0.224747, 0.23051, 0.232634, 0.240735, 0.252433, 0.25733, 0.268393, 0.275189, 0.28735, 0.291164, 0.302394, 0.328053, 0.3409, 0.363824, 0.392439");
-            values ( \
-              "0.00579337, 0.0441282, 0.055788, 0.0675037, 0.0793843, 0.0833809, 0.0828625, 0.083334, 0.0787487, 0.0679449, 0.0658151, 0.0457167, 0.0364034, 0.0220618, 0.0204309, 0.0180777, 0.00786731, 0.00469791, 0.00180209, 0.000787255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.196872, 0.203984, 0.209895, 0.217862, 0.224081, 0.227065, 0.233034, 0.234622, 0.237799, 0.244152, 0.245057, 0.246868, 0.250488, 0.254949, 0.255871, 0.257716, 0.261405, 0.266078, 0.274619, 0.280462, 0.291797, 0.305546, 0.323245, 0.326078, 0.326728, 0.328026, 0.330623, 0.334391, 0.341387, 0.351267, 0.355681, 0.364509, 0.372571, 0.384269, 0.388486, 0.39692, 0.413788, 0.47364, 0.514618");
-            values ( \
-              "0.0532518, 0.0853283, 0.104132, 0.120244, 0.126943, 0.127942, 0.130829, 0.130075, 0.13093, 0.128586, 0.129105, 0.127451, 0.126114, 0.121932, 0.121964, 0.11964, 0.117291, 0.112558, 0.105562, 0.0962844, 0.0748238, 0.0534878, 0.0321447, 0.030287, 0.029198, 0.0290711, 0.0271007, 0.0262143, 0.023044, 0.0200319, 0.0176813, 0.0150106, 0.0116664, 0.00901837, 0.00741954, 0.00637393, 0.0033581, 0.000233113, 0.000447715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.196807, 0.204691, 0.211394, 0.220643, 0.225605, 0.231569, 0.244846, 0.258343, 0.268342, 0.307261, 0.367692, 0.392956, 0.405096, 0.415915, 0.439351, 0.469279, 0.503492, 0.564297");
-            values ( \
-              "0.031087, 0.11171, 0.139458, 0.163136, 0.170046, 0.17662, 0.181095, 0.178773, 0.174514, 0.14735, 0.0681896, 0.0437706, 0.0354719, 0.0305208, 0.0220401, 0.0136811, 0.00736147, 0.00217793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.200534, 0.21349, 0.227454, 0.242239, 0.26018, 0.279494, 0.299217, 0.342874, 0.374054, 0.395879, 0.46867, 0.505713, 0.542945, 0.583011, 0.615879, 0.672289, 0.695492, 0.767961, 0.890898, 0.974944");
-            values ( \
-              "0.111256, 0.171124, 0.206518, 0.220923, 0.224051, 0.220257, 0.213713, 0.194885, 0.179584, 0.162991, 0.0972828, 0.0696939, 0.0476442, 0.0322161, 0.023653, 0.0134099, 0.0104703, 0.00455836, 0.000835652, 0.000683284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.208293, 0.241391, 0.266118, 0.300723, 0.373668, 0.456402, 0.499521, 0.548839, 0.673638, 0.742965, 0.800527, 0.85998, 0.926654, 1.02464, 1.08513, 1.18165, 1.34654, 1.59868");
-            values ( \
-              "0.24154, 0.246001, 0.254753, 0.251603, 0.236496, 0.216565, 0.203746, 0.183961, 0.119105, 0.0872172, 0.0652768, 0.0472259, 0.0330372, 0.0193159, 0.013684, 0.00767976, 0.00260225, 0.000990467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.212961, 0.212981, 0.46518, 0.676574, 0.74672, 0.81601, 0.900057, 1.14125, 1.2608, 1.37199, 1.49529, 1.61623, 1.76861, 1.91458, 1.92933, 1.95883, 2.01783, 2.09132, 2.17536, 2.25941, 2.34346, 2.4275, 2.51155, 2.5956, 2.67964, 2.76369, 2.84774, 2.93178");
-            values ( \
-              "1e-22, 0.283425, 0.25777, 0.228936, 0.217979, 0.204679, 0.185795, 0.120479, 0.0917526, 0.0693279, 0.0495803, 0.0354438, 0.0229723, 0.0149417, 0.0151047, 0.013087, 0.011736, 0.00871079, 0.00747731, 0.00509039, 0.00464839, 0.00288881, 0.0029366, 0.00156277, 0.00190868, 0.000769131, 0.00129443, 0.000295863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.289843, 0.303236, 0.305309, 0.31211, 0.31652, 0.32082, 0.324615, 0.330365, 0.332515, 0.336465, 0.349423, 0.352398, 0.35733, 0.368014, 0.377868, 0.381177, 0.383848, 0.387759, 0.392655, 0.396243, 0.401132, 0.40734, 0.413582, 0.416403, 0.422046, 0.428106, 0.43706, 0.440796, 0.448266, 0.48188, 0.505829, 0.531635");
-            values ( \
-              "0.000368297, 0.0572534, 0.0620694, 0.0740104, 0.0786373, 0.0815702, 0.082735, 0.0832505, 0.0827469, 0.0812687, 0.0710907, 0.0683602, 0.0651958, 0.0466158, 0.0327885, 0.0293887, 0.0255847, 0.0220372, 0.0196656, 0.0198278, 0.0180635, 0.0163628, 0.0131346, 0.0124504, 0.00971757, 0.00817748, 0.0053245, 0.00504765, 0.00332508, 0.000695992, 0.000619512, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.29198, 0.305814, 0.309733, 0.317613, 0.322342, 0.327075, 0.336652, 0.344925, 0.353241, 0.375515, 0.402702, 0.421998, 0.428503, 0.466137, 0.493158, 0.524856, 0.539724");
-            values ( \
-              "0.00679567, 0.0913888, 0.103129, 0.119265, 0.124887, 0.128266, 0.130421, 0.128602, 0.123571, 0.103948, 0.0576329, 0.0337945, 0.0284419, 0.0140276, 0.00669584, 0.00256378, 0.00206841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.297259, 0.304495, 0.311258, 0.31655, 0.325705, 0.331196, 0.336863, 0.34479, 0.352505, 0.35821, 0.36921, 0.391506, 0.407304, 0.414529, 0.448711, 0.467822, 0.492875, 0.504834, 0.515551, 0.529691, 0.554015, 0.571788, 0.599734, 0.620645, 0.654426, 0.69643, 0.753032");
-            values ( \
-              "0.0457369, 0.109922, 0.13821, 0.153962, 0.170413, 0.175806, 0.179107, 0.180622, 0.179945, 0.178378, 0.173657, 0.159437, 0.147283, 0.13909, 0.0908474, 0.0680154, 0.0438168, 0.03561, 0.0306267, 0.0252847, 0.0176399, 0.0131139, 0.00791705, 0.00532355, 0.00266974, 0.00110807, 0.000287514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.301154, 0.309237, 0.319383, 0.329409, 0.333724, 0.342355, 0.351458, 0.360119, 0.369431, 0.379296, 0.389411, 0.403465, 0.442822, 0.473996, 0.496338, 0.553602, 0.589523, 0.616671, 0.6408, 0.659691, 0.68252, 0.716535, 0.749179, 0.779989, 0.795142, 0.825447, 0.872212, 0.927222, 0.995462, 1.07951");
-            values ( \
-              "0.136367, 0.152142, 0.189071, 0.208793, 0.213916, 0.220428, 0.223186, 0.223563, 0.222267, 0.219878, 0.216844, 0.21175, 0.194602, 0.179266, 0.16288, 0.110317, 0.0812947, 0.0629168, 0.0489793, 0.0404076, 0.032633, 0.0237709, 0.0173165, 0.0126603, 0.0107818, 0.00780129, 0.00459668, 0.00244098, 0.00105166, 0.000380794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.304759, 0.321167, 0.332694, 0.341067, 0.357151, 0.370893, 0.388592, 0.402335, 0.505481, 0.559832, 0.618421, 0.684766, 0.791957, 0.866642, 0.934648, 0.968757, 1.01416, 1.05141, 1.09679, 1.16019, 1.22428, 1.27295, 1.3486, 1.43265, 1.68479");
-            values ( \
-              "0.206942, 0.212608, 0.236068, 0.245368, 0.25313, 0.254349, 0.253067, 0.250821, 0.229038, 0.215083, 0.196775, 0.165591, 0.110412, 0.0779333, 0.0546135, 0.0453819, 0.0356422, 0.0292768, 0.0229594, 0.0161465, 0.0112449, 0.00848615, 0.00540492, 0.00324569, 0.000677065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.313921, 0.313941, 0.544156, 0.651219, 0.800128, 0.916186, 1.0482, 1.24142, 1.36308, 1.52225, 1.59286, 1.67691, 1.79521, 1.87203, 2.02966, 2.19756, 2.36566, 2.53375, 2.65001");
-            values ( \
-              "1e-22, 0.286223, 0.259927, 0.246195, 0.224928, 0.205099, 0.172993, 0.12089, 0.0916949, 0.061073, 0.0503455, 0.0399746, 0.0287825, 0.0231624, 0.014691, 0.00894867, 0.00539279, 0.00323122, 0.00264423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.496014, 0.501462, 0.511555, 0.520794, 0.529027, 0.535017, 0.537023, 0.541008, 0.560172, 0.577651, 0.587864, 0.59548, 0.603486, 0.633279, 0.645501, 0.666694, 0.709557, 0.735562");
-            values ( \
-              "0.00968705, 0.0384916, 0.0638809, 0.077052, 0.081777, 0.0822212, 0.0821791, 0.0804746, 0.0669329, 0.0391843, 0.0264837, 0.0202577, 0.0191708, 0.00764153, 0.00466817, 0.00191604, 0.000258899, 0.000302071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.496131, 0.504384, 0.513735, 0.51674, 0.522221, 0.527308, 0.530576, 0.534913, 0.539063, 0.549596, 0.55313, 0.558984, 0.569277, 0.572152, 0.577171, 0.584891, 0.594625, 0.607342, 0.615234, 0.626913, 0.630401, 0.633217, 0.660296, 0.680909, 0.692656, 0.70867, 0.728023, 0.759918, 0.797345");
-            values ( \
-              "0.000266038, 0.0655893, 0.0987439, 0.106428, 0.116921, 0.123355, 0.126084, 0.128096, 0.129366, 0.128091, 0.126343, 0.122334, 0.114114, 0.110835, 0.107439, 0.0962453, 0.0782423, 0.0579016, 0.0471867, 0.0337316, 0.030027, 0.0283737, 0.0179569, 0.0107982, 0.00776891, 0.00485732, 0.00281195, 0.000915552, 0.000357041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.496219, 0.512379, 0.515446, 0.520979, 0.524996, 0.53036, 0.53606, 0.541523, 0.550179, 0.556459, 0.561838, 0.567409, 0.57561, 0.595846, 0.607699, 0.615548, 0.652146, 0.661821, 0.672878, 0.694749, 0.701607, 0.709444, 0.720111, 0.737957, 0.76047, 0.778143, 0.786722, 0.802379, 0.820271, 0.852173, 0.892285, 0.945534");
-            values ( \
-              "0.00473506, 0.12115, 0.133062, 0.150201, 0.159162, 0.168171, 0.174117, 0.177771, 0.179859, 0.179357, 0.178164, 0.176276, 0.172417, 0.159597, 0.150527, 0.143889, 0.0926689, 0.0804744, 0.0677973, 0.0462913, 0.0407855, 0.0357693, 0.0307241, 0.0241127, 0.017169, 0.0127981, 0.0109721, 0.00827121, 0.00586876, 0.00311655, 0.00132088, 0.000413697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.506169, 0.518625, 0.528997, 0.5383, 0.546924, 0.554285, 0.55957, 0.564821, 0.575032, 0.583348, 0.591233, 0.606856, 0.647609, 0.678791, 0.700318, 0.758426, 0.79241, 0.820941, 0.847482, 0.866567, 0.889271, 0.917473, 0.950117, 0.984366, 1.00167, 1.03629, 1.08345, 1.13983, 1.20926, 1.29331");
-            values ( \
-              "0.153479, 0.168451, 0.197711, 0.211909, 0.219206, 0.221701, 0.222581, 0.222709, 0.221499, 0.219639, 0.217533, 0.212155, 0.194559, 0.179277, 0.163547, 0.110271, 0.0827205, 0.0632217, 0.0480272, 0.039586, 0.0320616, 0.0246694, 0.0179778, 0.012701, 0.010597, 0.0072832, 0.00428087, 0.00221251, 0.000952741, 0.000329296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.51024, 0.534701, 0.542608, 0.550001, 0.561942, 0.569034, 0.575317, 0.591044, 0.60551, 0.699665, 0.761425, 0.809834, 0.823275, 0.850155, 0.889588, 0.9739, 1.00504, 1.04063, 1.09162, 1.13332, 1.16562, 1.21434, 1.26521, 1.33288, 1.39007, 1.42065, 1.4818, 1.5656, 1.64965, 1.73369, 1.90179");
-            values ( \
-              "0.21393, 0.229699, 0.240734, 0.24693, 0.251938, 0.25325, 0.253683, 0.253008, 0.250941, 0.231487, 0.216041, 0.201476, 0.196553, 0.185111, 0.165514, 0.121646, 0.106528, 0.090514, 0.0704517, 0.0564789, 0.047369, 0.0365057, 0.0278904, 0.0193127, 0.0140394, 0.0117821, 0.00827598, 0.0049945, 0.00300742, 0.00177548, 0.000617428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.514539, 0.561359, 0.574834, 0.592475, 0.618065, 0.699992, 0.753691, 0.897403, 0.981449, 1.05159, 1.12089, 1.20493, 1.44612, 1.56779, 1.65183, 1.72696, 1.79757, 1.88161, 1.92105, 1.99991, 2.07673, 2.16078, 2.26231, 2.40226, 2.57036, 2.73845, 2.99059, 3.24273");
-            values ( \
-              "0.265431, 0.268745, 0.272448, 0.273844, 0.27256, 0.265191, 0.259374, 0.240519, 0.228536, 0.217576, 0.205082, 0.185393, 0.12088, 0.0916855, 0.0744176, 0.0610818, 0.0503367, 0.0399836, 0.0358474, 0.0287916, 0.0231542, 0.0182032, 0.0135386, 0.00894064, 0.00538474, 0.00322319, 0.0014845, 0.000677282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.910139, 0.917022, 0.932317, 0.937877, 0.942752, 0.947134, 0.955347, 0.963645, 0.982084, 0.993798, 1.012, 1.02449, 1.05491, 1.07748, 1.10126");
-            values ( \
-              "0.0068816, 0.0312204, 0.0646216, 0.0725889, 0.0766873, 0.0793033, 0.0805347, 0.076811, 0.0629114, 0.0427047, 0.0211169, 0.0184033, 0.00692014, 0.00280932, 0.00137369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.910309, 0.924481, 0.934172, 0.940231, 0.946128, 0.950594, 0.955358, 0.961344, 0.968042, 0.977754, 0.995331, 0.999314, 1.01906, 1.03055, 1.04812, 1.05419, 1.09906, 1.11129, 1.12906, 1.15227, 1.18515, 1.21589");
-            values ( \
-              "0.00515063, 0.069847, 0.0993873, 0.111813, 0.120053, 0.123762, 0.126216, 0.127329, 0.126392, 0.121223, 0.10755, 0.102915, 0.0684348, 0.0515639, 0.0307563, 0.0274144, 0.010988, 0.00782484, 0.00466027, 0.00232593, 0.000767706, 0.000329474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.916704, 0.932486, 0.942623, 0.948664, 0.959604, 0.967749, 0.975515, 0.985572, 0.995482, 1.01494, 1.02966, 1.03668, 1.07207, 1.08962, 1.11307, 1.13012, 1.15425, 1.17124, 1.18868, 1.21579, 1.26536, 1.2971, 1.3512");
-            values ( \
-              "0.0543452, 0.122815, 0.152525, 0.163865, 0.174773, 0.177385, 0.177864, 0.175555, 0.170962, 0.158904, 0.147887, 0.140433, 0.0907929, 0.0697178, 0.0464419, 0.0345769, 0.0248656, 0.0193911, 0.0146547, 0.00907392, 0.00349627, 0.00182621, 0.000541324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.922379, 0.952618, 0.964952, 0.974598, 0.983573, 1.0016, 1.02383, 1.0665, 1.09769, 1.11961, 1.17731, 1.21604, 1.26126, 1.28172, 1.30584, 1.34321, 1.3756, 1.40456, 1.44651, 1.49282, 1.54316");
-            values ( \
-              "0.129844, 0.202084, 0.215996, 0.220154, 0.22175, 0.219403, 0.212741, 0.194657, 0.179411, 0.163076, 0.110137, 0.0790917, 0.0511026, 0.0414044, 0.0330239, 0.0232981, 0.0169787, 0.0126363, 0.00808453, 0.00480666, 0.00272525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.926579, 0.944844, 0.955586, 0.97279, 0.984855, 1.00136, 1.0284, 1.11192, 1.15525, 1.22848, 1.28097, 1.41651, 1.50713, 1.56376, 1.6417, 1.73499, 1.80788, 1.90729, 2.07523, 2.08328");
-            values ( \
-              "0.19987, 0.204908, 0.229278, 0.247439, 0.251944, 0.253117, 0.250092, 0.233085, 0.222756, 0.201349, 0.179372, 0.109994, 0.0716, 0.0530087, 0.0349619, 0.0212144, 0.0141415, 0.00796556, 0.00288785, 0.00281465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.931628, 0.986082, 0.997593, 1.01447, 1.03366, 1.07876, 1.09764, 1.14343, 1.20553, 1.36795, 1.42374, 1.50778, 1.53992, 1.60003, 1.67166, 1.86601, 1.98853, 2.07258, 2.14227, 2.21425, 2.2983, 2.33992, 2.42316, 2.49845, 2.58249, 2.68004, 2.81737, 2.98546, 3.15355, 3.40569, 3.65783");
-            values ( \
-              "0.248317, 0.269904, 0.272418, 0.273594, 0.272788, 0.268467, 0.267253, 0.262888, 0.255474, 0.233245, 0.225006, 0.211076, 0.205048, 0.191281, 0.173041, 0.120637, 0.09129, 0.0740666, 0.0616743, 0.0506494, 0.0402244, 0.0358544, 0.0284363, 0.0229723, 0.0180513, 0.0135889, 0.00904677, 0.00545121, 0.00326493, 0.00149821, 0.000689198" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00302535, 0.00302539, 0.00302544, 0.00302547, 0.00302549, 0.0030255", \
-            "0.00362233, 0.00362234, 0.00362235, 0.00362237, 0.00362238, 0.00362239", \
-            "0.00398433, 0.00398433, 0.00398433, 0.00398433, 0.00398434, 0.00398435", \
-            "0.00419763, 0.00419762, 0.00419762, 0.00419762, 0.00419762, 0.00419762", \
-            "0.00431062, 0.00431062, 0.00431062, 0.00431061, 0.00431061, 0.00431061", \
-            "0.00437269, 0.00437269, 0.00437269, 0.00437269, 0.00437268, 0.00437268" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00435532, 0.00435529, 0.00435528, 0.00435527, 0.00435528, 0.00435528", \
-            "0.00482546, 0.00482541, 0.00482537, 0.00482534, 0.00482534, 0.00482533", \
-            "0.00506806, 0.005068, 0.00506794, 0.00506788, 0.00506784, 0.00506782", \
-            "0.00529924, 0.00529955, 0.00529953, 0.00529949, 0.00529944, 0.00529941", \
-            "0.00565573, 0.00565583, 0.00565596, 0.00565606, 0.0056561, 0.00565611", \
-            "0.00656905, 0.00656645, 0.00656101, 0.00655691, 0.00655476, 0.0065536" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        timing_sense : negative_unate;
-        timing_type : preset;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.16971, 0.185252, 0.21489, 0.273013, 0.389729, 0.629525", \
-            "0.175782, 0.191334, 0.220972, 0.279096, 0.395811, 0.635605", \
-            "0.190342, 0.205892, 0.235533, 0.293662, 0.410368, 0.650172", \
-            "0.222147, 0.237691, 0.267311, 0.32546, 0.442189, 0.682007", \
-            "0.276427, 0.292008, 0.32166, 0.379814, 0.496602, 0.736446", \
-            "0.362547, 0.378143, 0.407859, 0.466087, 0.582929, 0.822834" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0574784, 0.0751116, 0.11324, 0.193874, 0.363525, 0.718131", \
-            "0.0574906, 0.0751143, 0.113252, 0.193873, 0.363525, 0.71813", \
-            "0.0574957, 0.0751145, 0.113266, 0.193875, 0.363561, 0.71813", \
-            "0.0575527, 0.0751489, 0.113276, 0.193878, 0.363477, 0.718129", \
-            "0.0579592, 0.075566, 0.113495, 0.193982, 0.363523, 0.718127", \
-            "0.0589566, 0.0762428, 0.113946, 0.194116, 0.363626, 0.718109" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.130583, 0.135851, 0.146147, 0.15273, 0.161867, 0.164404, 0.16862, 0.179873, 0.19019, 0.193023, 0.198317, 0.20944, 0.229541, 0.234728, 0.238023, 0.25028, 0.262022, 0.278392, 0.293717, 0.318916, 0.365517, 0.393114");
-            values ( \
-              "0.0118251, 0.0380414, 0.0620601, 0.0707889, 0.0775992, 0.07792, 0.0796206, 0.0768683, 0.0697809, 0.0669055, 0.0642178, 0.0447715, 0.0209707, 0.0195134, 0.0195211, 0.0155973, 0.0102697, 0.00546601, 0.00300815, 0.0010988, 0.000122747, 0.000270607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.133839, 0.142112, 0.148551, 0.151593, 0.156566, 0.163762, 0.172699, 0.174828, 0.179084, 0.185649, 0.195674, 0.196748, 0.198897, 0.208623, 0.219068, 0.227183, 0.242144, 0.249924, 0.266124, 0.271781, 0.274544, 0.279699, 0.287387, 0.29661, 0.298045, 0.300914, 0.306652, 0.315291, 0.327175, 0.33162, 0.358291, 0.416784, 0.45491");
-            values ( \
-              "0.0412633, 0.077619, 0.0960433, 0.101788, 0.111077, 0.119578, 0.125, 0.124847, 0.126154, 0.124608, 0.120241, 0.118726, 0.117868, 0.110167, 0.0995708, 0.0842797, 0.0596055, 0.0491817, 0.0305957, 0.0273677, 0.0267937, 0.0243562, 0.0221511, 0.0180961, 0.018092, 0.0164551, 0.0148939, 0.0114985, 0.00880674, 0.00727835, 0.00321415, 0.000309765, 0.000446044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.137619, 0.146331, 0.160061, 0.172891, 0.18427, 0.193262, 0.207153, 0.22628, 0.250478, 0.304571, 0.332579, 0.355935, 0.400185, 0.440191, 0.498004, 0.498325");
-            values ( \
-              "0.101927, 0.115374, 0.153076, 0.170068, 0.175807, 0.175628, 0.173028, 0.16206, 0.144663, 0.0734497, 0.0454518, 0.0312163, 0.0164437, 0.00811409, 0.00257356, 0.00256617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.141709, 0.156118, 0.173969, 0.180406, 0.189042, 0.201093, 0.227809, 0.255418, 0.287909, 0.31551, 0.337699, 0.39235, 0.425001, 0.470192, 0.493132, 0.531853, 0.5701, 0.617617, 0.674758, 0.780277, 0.940135");
-            values ( \
-              "0.168739, 0.169396, 0.205046, 0.212294, 0.216736, 0.22016, 0.215701, 0.207061, 0.193945, 0.179517, 0.163638, 0.112402, 0.0854547, 0.055244, 0.0434501, 0.0300492, 0.020861, 0.0128492, 0.00685276, 0.00182521, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.146104, 0.172941, 0.178763, 0.198133, 0.21214, 0.228612, 0.252223, 0.279288, 0.328876, 0.401201, 0.459681, 0.510197, 0.624845, 0.700271, 0.740538, 0.783374, 0.836003, 0.860955, 0.902925, 0.945904, 1.00533, 1.07603, 1.14679, 1.23096, 1.31513, 1.3993, 1.56764");
-            values ( \
-              "0.223846, 0.225989, 0.234861, 0.248939, 0.250893, 0.250967, 0.247902, 0.243595, 0.233831, 0.215388, 0.197077, 0.1738, 0.114501, 0.0808979, 0.0659535, 0.0523697, 0.0394047, 0.0345894, 0.0276954, 0.0219317, 0.0158018, 0.0105778, 0.00695079, 0.00421055, 0.00248616, 0.00150475, 0.000538127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.151945, 0.22795, 0.258124, 0.318436, 0.419272, 0.64145, 0.757436, 1.20406, 1.36285, 1.51773, 1.63616, 1.7971, 1.95416, 2.28208, 2.42609");
-            values ( \
-              "0.27095, 0.271741, 0.271725, 0.267935, 0.256407, 0.225523, 0.205648, 0.0921286, 0.0607521, 0.0395986, 0.0283901, 0.0177979, 0.011104, 0.00389131, 0.00320478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.150452, 0.155975, 0.160035, 0.164745, 0.169339, 0.175906, 0.180467, 0.18453, 0.188769, 0.192829, 0.201268, 0.218029, 0.231853, 0.248788, 0.25403, 0.258289, 0.264629, 0.283102, 0.290046, 0.300021, 0.307107, 0.319508, 0.334428, 0.356025, 0.407108");
-            values ( \
-              "0.0100994, 0.037414, 0.0494428, 0.0591409, 0.0663587, 0.0733671, 0.0763486, 0.0783447, 0.079178, 0.0793738, 0.0757528, 0.0638136, 0.0418887, 0.0214508, 0.0196882, 0.0193396, 0.0175288, 0.0100937, 0.00782533, 0.00532247, 0.00405033, 0.00252862, 0.00149481, 0.000658928, 0.00012395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.153605, 0.158953, 0.164362, 0.171601, 0.181816, 0.187683, 0.194837, 0.199091, 0.205658, 0.216757, 0.223331, 0.239235, 0.256203, 0.267023, 0.284143, 0.288925, 0.294547, 0.324165, 0.34166, 0.36435, 0.378716, 0.404569, 0.426175");
-            values ( \
-              "0.0359745, 0.0656854, 0.0846516, 0.102293, 0.117422, 0.122314, 0.125344, 0.125656, 0.125102, 0.119209, 0.114368, 0.0998251, 0.0685088, 0.0531752, 0.0328915, 0.0289943, 0.026434, 0.0154612, 0.00987716, 0.0052035, 0.00351137, 0.00152602, 0.00110428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.15757, 0.17505, 0.188268, 0.197418, 0.204504, 0.213498, 0.229637, 0.234018, 0.253982, 0.273783, 0.308012, 0.327091, 0.35304, 0.371956, 0.418343, 0.446403, 0.464767, 0.488477, 0.522965, 0.529513");
-            values ( \
-              "0.0943754, 0.141462, 0.164896, 0.172729, 0.175281, 0.176152, 0.171858, 0.169377, 0.157181, 0.142005, 0.0937946, 0.0711027, 0.0451579, 0.0328668, 0.0169056, 0.0104245, 0.00758931, 0.00464666, 0.00244158, 0.00230679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.161567, 0.176338, 0.184422, 0.194132, 0.200557, 0.20917, 0.221256, 0.234596, 0.266255, 0.304566, 0.335672, 0.357194, 0.397614, 0.429806, 0.469648, 0.501959, 0.517936, 0.541631, 0.567825, 0.602412, 0.640764, 0.691948, 0.738922, 0.796555, 0.951261");
-            values ( \
-              "0.161013, 0.170135, 0.190026, 0.20559, 0.211744, 0.217259, 0.219625, 0.218883, 0.209906, 0.195129, 0.180017, 0.1637, 0.126178, 0.0978159, 0.0682399, 0.0490096, 0.0419241, 0.0331724, 0.0260133, 0.018623, 0.012576, 0.00720088, 0.00449906, 0.00204374, 0.000159001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.165808, 0.192989, 0.206283, 0.218181, 0.232146, 0.266341, 0.27833, 0.315721, 0.348763, 0.421248, 0.479728, 0.530258, 0.644899, 0.720292, 0.760584, 0.803435, 0.856052, 0.886998, 0.965947, 1.02538, 1.09608, 1.16684, 1.25101, 1.33518, 1.39082");
-            values ( \
-              "0.217606, 0.226547, 0.242034, 0.248393, 0.251437, 0.24878, 0.247005, 0.240682, 0.233843, 0.215411, 0.1971, 0.17377, 0.114521, 0.080932, 0.065977, 0.0523891, 0.0393812, 0.033485, 0.0219553, 0.015779, 0.0105546, 0.00697356, 0.00418746, 0.00250905, 0.00206972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.171336, 0.232721, 0.248552, 0.264009, 0.277964, 0.306461, 0.338149, 0.380898, 0.439035, 0.553984, 0.66131, 0.716267, 0.777296, 0.861467, 1.07562, 1.15569, 1.22392, 1.30809, 1.38271, 1.45342, 1.53759, 1.57707, 1.65602, 1.73279, 1.81696, 1.91824, 2.05819, 2.14236, 2.22654, 2.39488, 2.64739, 2.8999");
-            values ( \
-              "0.2688, 0.27006, 0.271381, 0.271472, 0.271364, 0.269978, 0.267585, 0.263156, 0.256056, 0.240747, 0.225162, 0.216294, 0.205286, 0.185393, 0.127862, 0.107533, 0.0917649, 0.0743752, 0.0611158, 0.0504121, 0.0399623, 0.0358877, 0.0287537, 0.0231855, 0.0181616, 0.0135705, 0.0089706, 0.00691974, 0.00540933, 0.00324611, 0.00145345, 0.000701013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.194891, 0.201371, 0.205597, 0.206358, 0.207372, 0.211371, 0.215491, 0.218603, 0.221317, 0.225871, 0.229943, 0.234182, 0.238242, 0.244804, 0.246682, 0.263408, 0.277289, 0.284381, 0.294665, 0.299886, 0.303704, 0.310293, 0.315913, 0.32414, 0.333842, 0.348716, 0.350137, 0.352977, 0.358657, 0.368706, 0.388253, 0.410354, 0.435837, 0.463761");
-            values ( \
-              "0.00338632, 0.0371058, 0.0499627, 0.0510879, 0.0535169, 0.0612188, 0.0672057, 0.0707308, 0.0732652, 0.0764014, 0.0782553, 0.0792416, 0.0792901, 0.0768585, 0.0756724, 0.063925, 0.041787, 0.0326099, 0.0209984, 0.0197665, 0.0192522, 0.0175261, 0.0153188, 0.0117577, 0.00824056, 0.00462515, 0.00454088, 0.00390724, 0.00331845, 0.0021204, 0.00116875, 0.000412792, 0.000325909, 1.54049e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.197921, 0.204243, 0.213694, 0.221843, 0.22907, 0.237983, 0.244443, 0.250959, 0.260907, 0.283901, 0.307923, 0.331315, 0.337242, 0.379816, 0.406462, 0.445945");
-            values ( \
-              "0.0209492, 0.0651459, 0.095345, 0.11068, 0.119192, 0.124596, 0.125748, 0.125003, 0.119887, 0.100647, 0.0590729, 0.0311299, 0.0275918, 0.0120303, 0.00573101, 0.00202006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.201651, 0.208371, 0.212797, 0.220471, 0.225627, 0.231174, 0.236865, 0.242758, 0.249778, 0.258836, 0.27218, 0.280639, 0.315114, 0.324966, 0.352494, 0.376111, 0.39831, 0.4095, 0.419646, 0.44103, 0.474988, 0.491239, 0.513197, 0.5348, 0.570292, 0.593737");
-            values ( \
-              "0.0661042, 0.101512, 0.119036, 0.141541, 0.152615, 0.161595, 0.168182, 0.172589, 0.175357, 0.176023, 0.17283, 0.168768, 0.145625, 0.13415, 0.095116, 0.0669629, 0.0452799, 0.0372604, 0.0319837, 0.0239055, 0.0140507, 0.0105593, 0.00702492, 0.00470646, 0.00220839, 0.00153107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.205786, 0.218412, 0.226741, 0.239498, 0.246357, 0.255567, 0.26662, 0.279948, 0.293337, 0.320947, 0.353443, 0.381039, 0.402345, 0.458121, 0.474869, 0.488717, 0.514262, 0.548115, 0.564918, 0.58854, 0.624615, 0.658015, 0.686806, 0.729101, 0.770757, 0.825821, 0.893228, 0.977399");
-            values ( \
-              "0.141778, 0.159642, 0.183256, 0.20539, 0.212262, 0.217493, 0.219779, 0.218693, 0.215339, 0.206708, 0.193615, 0.179836, 0.164062, 0.112521, 0.0982445, 0.0871533, 0.0689126, 0.04878, 0.0410856, 0.0328835, 0.0234841, 0.0169522, 0.0126344, 0.00805059, 0.00506036, 0.00267067, 0.00118389, 0.000408204" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.210141, 0.232755, 0.24352, 0.255731, 0.263621, 0.279089, 0.295514, 0.317318, 0.3577, 0.382012, 0.412494, 0.45504, 0.525177, 0.580409, 0.708376, 0.771622, 0.806059, 0.848047, 0.89675, 0.932223, 0.97301, 1.01448, 1.07239, 1.13854, 1.20812, 1.29229, 1.37646, 1.46063, 1.62897");
-            values ( \
-              "0.206852, 0.215732, 0.233511, 0.244622, 0.248402, 0.251319, 0.250405, 0.247949, 0.241334, 0.236503, 0.229597, 0.218652, 0.197067, 0.171455, 0.105859, 0.0786019, 0.0659519, 0.0526108, 0.0403705, 0.0335083, 0.0269882, 0.0215884, 0.0156364, 0.0107377, 0.00715085, 0.00429436, 0.00257544, 0.00152034, 0.000528741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.215001, 0.262916, 0.276843, 0.293258, 0.30929, 0.323536, 0.352194, 0.383669, 0.452389, 0.599255, 0.674283, 0.761536, 0.822567, 0.906738, 1.14758, 1.26919, 1.35336, 1.42799, 1.49869, 1.58286, 1.62234, 1.70129, 1.77807, 1.86224, 1.96352, 2.0988, 2.26715, 2.43549, 2.60383, 2.94051");
-            values ( \
-              "0.256886, 0.26502, 0.269893, 0.271356, 0.271515, 0.271326, 0.269923, 0.267534, 0.259978, 0.240715, 0.229997, 0.216327, 0.205254, 0.185426, 0.120952, 0.0917295, 0.0744108, 0.0611519, 0.0503759, 0.0399986, 0.0358511, 0.0287902, 0.0231489, 0.0181983, 0.0135333, 0.00905995, 0.00544924, 0.00325525, 0.00193461, 0.000673465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.294794, 0.301086, 0.305332, 0.309731, 0.315146, 0.318473, 0.321221, 0.325792, 0.329869, 0.334119, 0.338182, 0.344686, 0.363352, 0.377254, 0.385197, 0.395798, 0.400963, 0.408851, 0.42432, 0.440163, 0.449724, 0.464987, 0.483778, 0.505573, 0.557249");
-            values ( \
-              "0.00530053, 0.0364022, 0.0490325, 0.0579561, 0.0665059, 0.0704955, 0.0729387, 0.0762847, 0.0780209, 0.0791919, 0.0791145, 0.0768979, 0.0639915, 0.0417094, 0.0315702, 0.0202971, 0.0197523, 0.0180066, 0.0116469, 0.0064424, 0.0044364, 0.00244509, 0.00134944, 0.000496881, 3.68331e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.299347, 0.309617, 0.316918, 0.321841, 0.329134, 0.334583, 0.340231, 0.345708, 0.351063, 0.361436, 0.377055, 0.381796, 0.402599, 0.40786, 0.426036, 0.432276, 0.434374, 0.445531, 0.480494, 0.497005, 0.506067, 0.524192, 0.553146, 0.586314, 0.625455");
-            values ( \
-              "0.0495994, 0.0835836, 0.101547, 0.110102, 0.118872, 0.122826, 0.125073, 0.125635, 0.124924, 0.119534, 0.107813, 0.1031, 0.067283, 0.0593888, 0.0368118, 0.0302037, 0.0289365, 0.0243374, 0.0117186, 0.00744426, 0.00593514, 0.00332633, 0.00150717, 0.000401298, 0.000253469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.301556, 0.308118, 0.315491, 0.320286, 0.326452, 0.3322, 0.33762, 0.342652, 0.35053, 0.358751, 0.372432, 0.379817, 0.402674, 0.409857, 0.419122, 0.453319, 0.472299, 0.498148, 0.507252, 0.517005, 0.538842, 0.566264, 0.584431, 0.606264, 0.630698, 0.663504, 0.705946, 0.76324");
-            values ( \
-              "0.0714641, 0.100163, 0.127703, 0.140745, 0.153829, 0.162704, 0.168645, 0.172318, 0.175389, 0.175888, 0.172654, 0.169176, 0.154388, 0.149321, 0.141657, 0.09395, 0.0709722, 0.0453945, 0.0385256, 0.0331817, 0.0246577, 0.0162776, 0.0119639, 0.00805177, 0.00502346, 0.00259728, 0.00104255, 0.000288041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.306637, 0.321552, 0.334821, 0.34576, 0.354269, 0.366535, 0.379884, 0.393256, 0.420866, 0.453324, 0.480957, 0.502374, 0.560427, 0.594297, 0.622838, 0.649425, 0.668463, 0.691664, 0.718143, 0.751556, 0.78604, 0.83905, 0.886817, 0.94505, 0.974275");
-            values ( \
-              "0.158675, 0.169281, 0.198776, 0.211638, 0.216889, 0.219705, 0.218688, 0.2153, 0.206685, 0.193593, 0.17988, 0.163927, 0.11044, 0.0828955, 0.0633038, 0.0481057, 0.0395748, 0.0319752, 0.0250033, 0.0179993, 0.0126678, 0.00715208, 0.00424737, 0.00206805, 0.00172784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.310731, 0.334332, 0.344797, 0.352979, 0.363635, 0.377686, 0.394389, 0.417504, 0.444196, 0.480161, 0.512507, 0.559169, 0.612093, 0.65145, 0.691443, 0.775664, 0.842581, 0.893328, 0.934742, 0.966779, 1.01666, 1.06523, 1.12999, 1.19118, 1.22369, 1.28872, 1.37289, 1.45706, 1.54123, 1.70957");
-            values ( \
-              "0.215617, 0.218636, 0.234853, 0.242651, 0.248411, 0.25121, 0.250528, 0.247925, 0.243723, 0.2369, 0.229601, 0.217554, 0.201613, 0.185575, 0.16574, 0.121773, 0.0904908, 0.0704912, 0.0565873, 0.0475048, 0.0363817, 0.0281337, 0.019793, 0.0140711, 0.0116878, 0.00800997, 0.00482689, 0.00289393, 0.00171472, 0.000598529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.315708, 0.377844, 0.393741, 0.409259, 0.423105, 0.451533, 0.483303, 0.552358, 0.699228, 0.806554, 0.861512, 0.92254, 1.00671, 1.24756, 1.36916, 1.45333, 1.52796, 1.59866, 1.68283, 1.72231, 1.80126, 1.87804, 1.96221, 2.06349, 2.20344, 2.37178, 2.54012, 2.79263, 3.04514");
-            values ( \
-              "0.261269, 0.270012, 0.271348, 0.271488, 0.271359, 0.269983, 0.267586, 0.259964, 0.240733, 0.225148, 0.216308, 0.205272, 0.185407, 0.120973, 0.0917508, 0.0743893, 0.06113, 0.050398, 0.0399765, 0.0358735, 0.0287679, 0.0231713, 0.0181757, 0.0135564, 0.00895646, 0.00539519, 0.00323197, 0.0014676, 0.000686872" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.499161, 0.504574, 0.509538, 0.515777, 0.521154, 0.525777, 0.534584, 0.542998, 0.55015, 0.567233, 0.586751, 0.598145, 0.603748, 0.60865, 0.614827, 0.633839, 0.640654, 0.650531, 0.66181, 0.669694, 0.685133, 0.706675, 0.757869");
-            values ( \
-              "0.0152532, 0.0332776, 0.0460712, 0.0587295, 0.0664289, 0.0714833, 0.0770923, 0.0785555, 0.0760201, 0.0646658, 0.0357675, 0.0223317, 0.0196404, 0.0193798, 0.0175747, 0.00996989, 0.00774057, 0.0053076, 0.00348685, 0.00254155, 0.0014804, 0.000646927, 0.000117034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.502438, 0.518147, 0.526252, 0.539581, 0.54493, 0.550118, 0.555853, 0.561344, 0.584914, 0.613858, 0.626287, 0.640495, 0.676676, 0.697336, 0.711154, 0.758843, 0.761458");
-            values ( \
-              "0.0451359, 0.0915836, 0.107625, 0.12147, 0.124043, 0.124617, 0.123894, 0.121777, 0.1053, 0.057937, 0.0422065, 0.0283906, 0.0146769, 0.00850349, 0.00573161, 0.00129063, 0.00124656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.506245, 0.515635, 0.519728, 0.530007, 0.537705, 0.542907, 0.550121, 0.555563, 0.559582, 0.563587, 0.571598, 0.579809, 0.600808, 0.614818, 0.624086, 0.65829, 0.677249, 0.703109, 0.712641, 0.72254, 0.744391, 0.766372, 0.793739, 0.812739, 0.834453, 0.867595, 0.911353, 0.969416");
-            values ( \
-              "0.0902788, 0.108454, 0.123226, 0.149292, 0.161566, 0.167664, 0.172599, 0.174064, 0.17466, 0.174826, 0.173755, 0.171009, 0.158938, 0.149277, 0.141599, 0.093967, 0.0709754, 0.0454211, 0.0382657, 0.032918, 0.0244667, 0.0175957, 0.0110667, 0.00784284, 0.00515478, 0.00265751, 0.00102649, 0.000283475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.510415, 0.525959, 0.539566, 0.549976, 0.561466, 0.571356, 0.581139, 0.597314, 0.620282, 0.654765, 0.685846, 0.707289, 0.765327, 0.799236, 0.854289, 0.896583, 0.956449, 0.990945, 1.04399, 1.09235, 1.14163");
-            values ( \
-              "0.149815, 0.165134, 0.196775, 0.209816, 0.216422, 0.218651, 0.218471, 0.215247, 0.208514, 0.194989, 0.179816, 0.163952, 0.110436, 0.0828452, 0.0480694, 0.0319109, 0.0180566, 0.0127243, 0.00720608, 0.00415923, 0.00242268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.515088, 0.543177, 0.55203, 0.563482, 0.578544, 0.594871, 0.616842, 0.648854, 0.674351, 0.713598, 0.771673, 0.830154, 0.879257, 0.994598, 1.05664, 1.10119, 1.13295, 1.1709, 1.22167, 1.27009, 1.33465, 1.39612, 1.49406, 1.57353, 1.6577, 1.74187, 1.91021");
-            values ( \
-              "0.205857, 0.223857, 0.236016, 0.245118, 0.250088, 0.250571, 0.248551, 0.243739, 0.239127, 0.230548, 0.215407, 0.1971, 0.174478, 0.114878, 0.0866758, 0.0694678, 0.0587265, 0.0477081, 0.0363866, 0.0281565, 0.0198203, 0.0140695, 0.00798913, 0.00496333, 0.00297218, 0.00176614, 0.000618121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.520542, 0.568021, 0.5813, 0.598042, 0.614407, 0.628242, 0.656779, 0.688534, 0.731348, 0.789583, 0.904422, 1.01175, 1.06671, 1.12773, 1.2119, 1.42606, 1.50613, 1.57435, 1.65852, 1.73315, 1.80386, 1.88803, 1.9275, 2.00646, 2.08323, 2.1674, 2.26868, 2.40863, 2.57697, 2.74532, 2.99783, 3.25034");
-            values ( \
-              "0.263105, 0.263928, 0.268916, 0.27097, 0.27134, 0.271313, 0.269997, 0.267605, 0.263164, 0.256046, 0.240747, 0.22516, 0.216295, 0.205284, 0.185396, 0.127867, 0.10754, 0.0917586, 0.0743818, 0.0611234, 0.0504046, 0.0399702, 0.0358795, 0.0287619, 0.0231771, 0.0181701, 0.0135605, 0.00896022, 0.00539871, 0.00323527, 0.00146461, 0.000689537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.914276, 0.920394, 0.930742, 0.938443, 0.946763, 0.953189, 0.961825, 0.970501, 0.987546, 1.00356, 1.01609, 1.02059, 1.02788, 1.03778, 1.05234, 1.06671, 1.07424, 1.08591, 1.10098, 1.12229, 1.1723, 1.20261");
-            values ( \
-              "0.0160447, 0.0268564, 0.0489275, 0.0614938, 0.0705285, 0.0747469, 0.0769303, 0.074131, 0.0633409, 0.0387532, 0.0236906, 0.0203653, 0.0194587, 0.0163851, 0.010178, 0.00590792, 0.00442257, 0.00282486, 0.00169795, 0.000704956, 0.000108433, 0.000131188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.91869, 0.926778, 0.9307, 0.939034, 0.948765, 0.958292, 0.963704, 0.969365, 0.974801, 0.98525, 0.996686, 0.998032, 1.00072, 1.00567, 1.02848, 1.03899, 1.05641, 1.06279, 1.09577, 1.10454, 1.11656, 1.13026, 1.14853, 1.17784, 1.22534");
-            values ( \
-              "0.0479613, 0.0578076, 0.0706398, 0.0919032, 0.10899, 0.118696, 0.12125, 0.122964, 0.122451, 0.118323, 0.110396, 0.108892, 0.107623, 0.10278, 0.0644508, 0.04996, 0.0300618, 0.026988, 0.0147177, 0.0119039, 0.00851605, 0.00576523, 0.00346358, 0.00131994, 0.000364014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.922309, 0.932465, 0.942626, 0.955291, 0.966039, 0.972987, 0.982454, 0.998765, 1.01574, 1.03894, 1.0487, 1.07691, 1.09915, 1.12226, 1.12852, 1.14685, 1.18604, 1.21317, 1.24014, 1.28204, 1.32728, 1.38841");
-            values ( \
-              "0.0817694, 0.0967766, 0.129654, 0.155989, 0.167947, 0.171521, 0.173716, 0.170603, 0.161177, 0.145401, 0.134519, 0.0943605, 0.0680909, 0.045145, 0.0402646, 0.030474, 0.0172613, 0.0108215, 0.00669416, 0.00293604, 0.00111358, 0.000266006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.927052, 0.940966, 0.951893, 0.957215, 0.962869, 0.969519, 0.977756, 0.990517, 1.00404, 1.0174, 1.04461, 1.07406, 1.10517, 1.12103, 1.18461, 1.2159, 1.25615, 1.2757, 1.30389, 1.33363, 1.35063, 1.38343, 1.41181, 1.45299, 1.49907, 1.5547, 1.5643");
-            values ( \
-              "0.137095, 0.145901, 0.178043, 0.189773, 0.198767, 0.207126, 0.21308, 0.217786, 0.217226, 0.214745, 0.206869, 0.194908, 0.179798, 0.168512, 0.110469, 0.0848496, 0.05829, 0.0474607, 0.0358979, 0.027319, 0.0232695, 0.0168763, 0.0126292, 0.00814485, 0.00486454, 0.00254356, 0.00234305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.931422, 0.956172, 0.975713, 0.987407, 1.00123, 1.0177, 1.04226, 1.07148, 1.12589, 1.18597, 1.2461, 1.29056, 1.39956, 1.46333, 1.5163, 1.56256, 1.5979, 1.64401, 1.72802, 1.7912, 1.87221, 1.94329, 2.02746, 2.1958, 2.36414");
-            values ( \
-              "0.184355, 0.207823, 0.237778, 0.246022, 0.249469, 0.249941, 0.247596, 0.24313, 0.232128, 0.216786, 0.198215, 0.178416, 0.121919, 0.091963, 0.0708874, 0.0554593, 0.0457321, 0.0357994, 0.0228606, 0.0161086, 0.0101528, 0.00668048, 0.0040152, 0.0014222, 0.000496998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.93734, 0.979367, 0.987121, 1.00209, 1.01818, 1.04741, 1.06175, 1.07599, 1.10782, 1.15073, 1.2091, 1.39106, 1.51101, 1.5471, 1.60706, 1.67877, 1.87266, 1.99483, 2.079, 2.15008, 2.22168, 2.30585, 2.34675, 2.42856, 2.50434, 2.58851, 2.68718, 2.82541, 2.99375, 3.16209, 3.4146, 3.66711");
-            values ( \
-              "0.243436, 0.256003, 0.262276, 0.268214, 0.270642, 0.271362, 0.270386, 0.270148, 0.267774, 0.263323, 0.256184, 0.231153, 0.211981, 0.205183, 0.191435, 0.173146, 0.120788, 0.0914685, 0.0741826, 0.0615372, 0.050583, 0.0401526, 0.0358601, 0.0285527, 0.0230352, 0.018093, 0.013576, 0.00901341, 0.00542662, 0.00324768, 0.0014875, 0.000684407" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321162", \
-            "0.00417649", \
-            "0.00481164", \
-            "0.00521322", \
-            "0.00547373", \
-            "0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00506447", \
-            "0.00542715", \
-            "0.00529421", \
-            "0.00571603", \
-            "0.00598812", \
-            "0.00574584" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00302507, 0.00302511, 0.00302516, 0.00302519, 0.00302521, 0.00302523", \
-            "0.00362213, 0.00362214, 0.00362216, 0.00362217, 0.00362219, 0.00362219", \
-            "0.00398429, 0.00398429, 0.00398429, 0.00398429, 0.0039843, 0.00398431", \
-            "0.00419769, 0.00419768, 0.00419768, 0.00419768, 0.00419768, 0.00419769", \
-            "0.00431078, 0.00431077, 0.00431077, 0.00431077, 0.00431076, 0.00431076", \
-            "0.00437285, 0.00437285, 0.00437285, 0.00437285, 0.00437285, 0.00437284" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00435479, 0.00435476, 0.00435474, 0.00435474, 0.00435475, 0.00435475", \
-            "0.00482568, 0.00482563, 0.00482558, 0.00482556, 0.00482555, 0.00482555", \
-            "0.00506955, 0.00506949, 0.00506943, 0.00506937, 0.00506933, 0.00506931", \
-            "0.00530461, 0.0053046, 0.00530451, 0.00530441, 0.00530434, 0.00530429", \
-            "0.00565613, 0.00565623, 0.00565635, 0.00565645, 0.0056565, 0.00565651", \
-            "0.00653664, 0.00653296, 0.00652756, 0.00652408, 0.00652217, 0.00652139" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(CK & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(CK * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.153631, 0.167954, 0.193685, 0.240656, 0.328488, 0.504543", \
-            "0.159491, 0.173824, 0.199558, 0.246545, 0.334389, 0.510449", \
-            "0.172693, 0.187016, 0.212788, 0.259786, 0.347652, 0.523718", \
-            "0.200738, 0.215076, 0.24089, 0.287982, 0.375917, 0.552041", \
-            "0.255048, 0.27007, 0.296869, 0.344689, 0.432972, 0.609156", \
-            "0.344803, 0.361625, 0.390931, 0.441687, 0.531518, 0.708377" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0477837, 0.0608291, 0.0872866, 0.139805, 0.249341, 0.483594", \
-            "0.0477889, 0.0608237, 0.0872856, 0.139801, 0.249336, 0.483594", \
-            "0.0477994, 0.0608298, 0.0871726, 0.139791, 0.24931, 0.483593", \
-            "0.0479896, 0.0610096, 0.0873858, 0.139822, 0.249214, 0.483589", \
-            "0.0516391, 0.064461, 0.0901946, 0.141502, 0.249851, 0.483644", \
-            "0.0604212, 0.0733955, 0.0989238, 0.148438, 0.253476, 0.484974" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0070088", \
-            "0.00893343", \
-            "0.0101256", \
-            "0.0107079", \
-            "0.0109698", \
-            "0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100415", \
-            "0.0103029", \
-            "0.00952997", \
-            "0.00886385", \
-            "0.00859958", \
-            "0.00848352" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.111694, 0.119474, 0.127748, 0.135903, 0.141176, 0.149732, 0.157593, 0.165185, 0.172687, 0.180186, 0.181585, 0.18585, 0.188973, 0.200058, 0.206502, 0.214809, 0.221483, 0.226973, 0.232105, 0.240906, 0.250053, 0.259651, 0.295487");
-            values ( \
-              "-0.00183174, -0.0319076, -0.0512652, -0.0650969, -0.0721804, -0.0810708, -0.0854131, -0.0875348, -0.0873014, -0.0829952, -0.0815852, -0.0746208, -0.068076, -0.0387158, -0.0265465, -0.015853, -0.0100779, -0.00612816, -0.00367003, -0.00275106, -0.00331479, -0.00314061, -0.00101402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.115357, 0.122452, 0.12874, 0.133107, 0.143722, 0.149183, 0.158588, 0.162584, 0.169868, 0.179489, 0.189071, 0.199523, 0.203073, 0.207805, 0.222426, 0.231909, 0.238917, 0.245461, 0.255553, 0.264874, 0.27193, 0.277088, 0.287405, 0.317297, 0.372216, 0.397271");
-            values ( \
-              "-0.0214011, -0.0552041, -0.0751509, -0.087429, -0.110559, -0.11953, -0.13177, -0.135139, -0.139563, -0.142373, -0.141431, -0.132462, -0.126408, -0.114952, -0.0685211, -0.0456, -0.0330565, -0.024359, -0.0149283, -0.00873455, -0.00523213, -0.00381744, -0.00289963, -0.0029404, -0.000761212, -0.000327693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.118616, 0.140762, 0.15255, 0.160682, 0.166172, 0.177404, 0.191428, 0.198093, 0.205169, 0.215164, 0.218895, 0.225856, 0.233286, 0.24789, 0.261617, 0.280876, 0.298509, 0.312554, 0.325355, 0.343301, 0.354237, 0.374899, 0.46396");
-            values ( \
-              "-0.0602575, -0.13449, -0.162427, -0.177015, -0.184851, -0.196537, -0.204045, -0.205451, -0.205561, -0.203557, -0.201663, -0.197042, -0.188326, -0.155974, -0.11433, -0.0679859, -0.0402228, -0.0259898, -0.0171366, -0.00877304, -0.00547954, -0.00264412, -0.00137394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.12496, 0.143694, 0.159318, 0.182807, 0.197935, 0.20795, 0.218523, 0.242899, 0.252066, 0.267305, 0.274181, 0.285331, 0.297405, 0.318891, 0.355455, 0.377983, 0.403318, 0.4154, 0.430155, 0.45132, 0.477161, 0.492958, 0.52265, 0.569158, 0.642272");
-            values ( \
-              "-0.145106, -0.165899, -0.206022, -0.242891, -0.25522, -0.260085, -0.263108, -0.266044, -0.265876, -0.262822, -0.259866, -0.252478, -0.239112, -0.19764, -0.118489, -0.0824685, -0.0534954, -0.0432764, -0.0332422, -0.022559, -0.0138116, -0.00996882, -0.00507572, -0.0022434, -0.00166073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.129133, 0.152842, 0.166668, 0.178567, 0.190624, 0.201677, 0.212074, 0.222564, 0.247995, 0.269779, 0.289279, 0.301665, 0.320661, 0.340031, 0.372078, 0.395158, 0.422456, 0.466056, 0.506292, 0.540678, 0.558136, 0.585167, 0.619394, 0.65695, 0.681881, 0.716862, 0.758902, 0.814956, 0.879515, 0.944074, 1.00863, 1.20231");
-            values ( \
-              "-0.20547, -0.207823, -0.241054, -0.262233, -0.277888, -0.287978, -0.295231, -0.300583, -0.310921, -0.316396, -0.317945, -0.317522, -0.315123, -0.310784, -0.299651, -0.287722, -0.266464, -0.212119, -0.158725, -0.120478, -0.104058, -0.0823666, -0.0605959, -0.0429429, -0.0340318, -0.0243855, -0.0162239, -0.00906255, -0.00444045, -0.00259716, -0.00161428, -0.000652055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.137427, 0.181865, 0.207791, 0.241277, 0.254399, 0.283382, 0.316413, 0.339724, 0.368398, 0.40423, 0.441112, 0.505671, 0.576798, 0.629179, 0.678701, 0.74326, 0.835963, 0.876381, 0.939449, 1.00942, 1.07079, 1.1295, 1.18077, 1.24533, 1.34165, 1.45717, 1.52173, 1.71541, 1.77378");
-            values ( \
-              "-0.281124, -0.281525, -0.310359, -0.330831, -0.337475, -0.346868, -0.350587, -0.350268, -0.348502, -0.344541, -0.339854, -0.329577, -0.315058, -0.299899, -0.278417, -0.236766, -0.171216, -0.146239, -0.112717, -0.083238, -0.0631368, -0.0482525, -0.0380059, -0.0279835, -0.01765, -0.0099391, -0.00714321, -0.00285403, -0.00252734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.132828, 0.139974, 0.147571, 0.155737, 0.160979, 0.169537, 0.177399, 0.184992, 0.192495, 0.199994, 0.201391, 0.205656, 0.208782, 0.219867, 0.226305, 0.234614, 0.241305, 0.246792, 0.251924, 0.260734, 0.269889, 0.27949, 0.315422, 0.315879");
-            values ( \
-              "-0.00962322, -0.0335282, -0.0512517, -0.0651142, -0.0721561, -0.0810601, -0.0853949, -0.0875365, -0.0872852, -0.0830043, -0.0815866, -0.0746252, -0.068073, -0.0387112, -0.0265565, -0.0158584, -0.0100668, -0.00612234, -0.00366565, -0.00275218, -0.0033142, -0.00313997, -0.00100643, -0.000996871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.134898, 0.142174, 0.15228, 0.163851, 0.168916, 0.181531, 0.189604, 0.198257, 0.201219, 0.204994, 0.208768, 0.216314, 0.218637, 0.223282, 0.229774, 0.245678, 0.255282, 0.261343, 0.267207, 0.27361, 0.283482, 0.291334, 0.29724, 0.309051, 0.339249, 0.377557");
-            values ( \
-              "-0.0194578, -0.0552704, -0.085839, -0.111168, -0.119587, -0.134514, -0.139569, -0.14219, -0.142539, -0.142399, -0.141375, -0.136135, -0.133368, -0.125143, -0.10818, -0.0591436, -0.0386762, -0.0292043, -0.0221588, -0.0162364, -0.00942523, -0.00534677, -0.00370431, -0.00285012, -0.00286237, -0.00136283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.141525, 0.153507, 0.160938, 0.172251, 0.185742, 0.197242, 0.208187, 0.217427, 0.225009, 0.234902, 0.238732, 0.245692, 0.253124, 0.267727, 0.281455, 0.300863, 0.31579, 0.323481, 0.332245, 0.345099, 0.363331, 0.375006, 0.39741, 0.551021");
-            values ( \
-              "-0.101709, -0.112819, -0.13544, -0.162173, -0.184493, -0.196529, -0.203059, -0.205417, -0.205548, -0.203619, -0.201654, -0.19706, -0.18831, -0.155987, -0.114312, -0.0676818, -0.043458, -0.0343301, -0.0261276, -0.0171783, -0.00869064, -0.00527094, -0.00247485, -0.000451212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.144762, 0.163554, 0.17917, 0.202691, 0.217565, 0.227798, 0.238352, 0.262616, 0.271912, 0.287065, 0.294026, 0.305175, 0.31725, 0.338668, 0.375561, 0.39756, 0.408548, 0.427187, 0.450086, 0.471218, 0.486699, 0.512609, 0.542133, 0.588526, 0.666974");
-            values ( \
-              "-0.144076, -0.166006, -0.206085, -0.242971, -0.255096, -0.260115, -0.263107, -0.266026, -0.265888, -0.262834, -0.259878, -0.252462, -0.239125, -0.197778, -0.118024, -0.0828524, -0.0688386, -0.0498508, -0.0331723, -0.0225542, -0.0168525, -0.00999258, -0.00513619, -0.00223533, -0.00160742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.148993, 0.172729, 0.18655, 0.198408, 0.210587, 0.221634, 0.232048, 0.242511, 0.267194, 0.285683, 0.296572, 0.308772, 0.321542, 0.340538, 0.359908, 0.391672, 0.415596, 0.44233, 0.485957, 0.519455, 0.540549, 0.57367, 0.609516, 0.640164, 0.669289, 0.708123, 0.737033, 0.770591, 0.815334, 0.879894, 0.944453, 1.00901, 1.26725");
-            values ( \
-              "-0.204825, -0.207937, -0.241131, -0.262204, -0.278001, -0.288079, -0.295297, -0.300641, -0.310707, -0.315714, -0.317297, -0.317934, -0.317526, -0.315116, -0.310789, -0.299766, -0.287369, -0.266469, -0.212071, -0.16705, -0.141838, -0.108016, -0.0792126, -0.0601408, -0.0460708, -0.0319836, -0.0242957, -0.0175777, -0.0111714, -0.00548084, -0.00299373, -0.00185234, -0.000507863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.157419, 0.216169, 0.238732, 0.274579, 0.303406, 0.336302, 0.359675, 0.388285, 0.424117, 0.525558, 0.596685, 0.649066, 0.698587, 0.763147, 0.855933, 0.896317, 0.959336, 1.02929, 1.09067, 1.14941, 1.20067, 1.26523, 1.36152, 1.41265, 1.54177, 1.67089, 1.8, 2.1228");
-            values ( \
-              "-0.268004, -0.30034, -0.318614, -0.337586, -0.346877, -0.350567, -0.350283, -0.348484, -0.344558, -0.329595, -0.315042, -0.299883, -0.278433, -0.23675, -0.171177, -0.146194, -0.112702, -0.0832303, -0.0631547, -0.0482635, -0.0379886, -0.0279971, -0.0176664, -0.0137107, -0.00712283, -0.00378341, -0.0021319, -0.000700886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.174743, 0.186084, 0.191602, 0.199748, 0.205012, 0.213575, 0.221439, 0.229034, 0.236537, 0.244037, 0.245434, 0.249699, 0.252825, 0.263912, 0.270349, 0.278659, 0.285353, 0.290839, 0.295972, 0.304786, 0.313943, 0.323544, 0.359481, 0.359769");
-            values ( \
-              "-0.00233291, -0.0381721, -0.0512641, -0.0650683, -0.0720893, -0.0810538, -0.0853563, -0.0875356, -0.0872627, -0.0830052, -0.0815811, -0.0746217, -0.0680687, -0.0387088, -0.0265576, -0.015859, -0.0100638, -0.00612109, -0.00366412, -0.00275337, -0.00331405, -0.00314028, -0.00100516, -0.000999141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.181806, 0.1897, 0.192546, 0.200468, 0.20793, 0.213016, 0.222715, 0.229881, 0.233708, 0.241361, 0.247344, 0.25287, 0.262445, 0.264651, 0.267172, 0.272214, 0.283874, 0.293674, 0.298463, 0.305042, 0.313514, 0.319628, 0.333282, 0.340885, 0.351022, 0.380902, 0.435778, 0.460722");
-            values ( \
-              "-0.0563563, -0.0651714, -0.0750403, -0.0956571, -0.111124, -0.119505, -0.131993, -0.137448, -0.139551, -0.141999, -0.142536, -0.141402, -0.133757, -0.13032, -0.125843, -0.113237, -0.0754902, -0.0499661, -0.0403487, -0.029721, -0.0199393, -0.014753, -0.00624045, -0.00382867, -0.00290938, -0.00295169, -0.000767186, -0.000333684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.185414, 0.196542, 0.203019, 0.209369, 0.219024, 0.2265, 0.234603, 0.241392, 0.25497, 0.262022, 0.269153, 0.275989, 0.282921, 0.292393, 0.297282, 0.304629, 0.326118, 0.335814, 0.344104, 0.350557, 0.362384, 0.376516, 0.389289, 0.399771, 0.407076, 0.421687, 0.447574, 0.476389, 0.605223");
-            values ( \
-              "-0.100386, -0.108722, -0.129714, -0.146526, -0.167357, -0.17982, -0.190228, -0.196593, -0.204049, -0.205473, -0.205651, -0.204569, -0.201709, -0.194536, -0.188469, -0.174977, -0.112555, -0.0872428, -0.0692492, -0.0574113, -0.0402118, -0.0259075, -0.0171155, -0.0118012, -0.00883214, -0.00464216, -0.00228186, -0.00239399, -0.000329611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.188428, 0.207768, 0.223368, 0.232745, 0.246842, 0.26198, 0.271981, 0.282565, 0.306874, 0.316093, 0.33127, 0.338206, 0.349356, 0.36143, 0.38283, 0.420707, 0.440819, 0.451084, 0.471345, 0.494819, 0.515733, 0.530672, 0.555803, 0.584854, 0.630746, 0.692316");
-            values ( \
-              "-0.135156, -0.166182, -0.20618, -0.223302, -0.242968, -0.255272, -0.260141, -0.26313, -0.266044, -0.265908, -0.262835, -0.259892, -0.252466, -0.239133, -0.197817, -0.116255, -0.0841205, -0.0707902, -0.0498601, -0.0328386, -0.0224095, -0.0169214, -0.0102104, -0.00530394, -0.00228294, -0.00178018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.192998, 0.217018, 0.23068, 0.23946, 0.246614, 0.260374, 0.271698, 0.280405, 0.298629, 0.310165, 0.324195, 0.334563, 0.348388, 0.365681, 0.384683, 0.404051, 0.434373, 0.443783, 0.462604, 0.486465, 0.530229, 0.561773, 0.581102, 0.617768, 0.632154, 0.654629, 0.684726, 0.71254, 0.749625, 0.780291, 0.794791, 0.82379, 0.881788, 0.946347, 1.01091, 1.07547, 1.26914");
-            values ( \
-              "-0.201196, -0.20854, -0.24125, -0.257372, -0.267995, -0.283783, -0.292343, -0.297894, -0.306072, -0.310195, -0.314671, -0.316639, -0.317776, -0.317627, -0.315078, -0.310867, -0.300319, -0.296153, -0.285495, -0.266529, -0.211797, -0.169325, -0.145921, -0.108029, -0.0955447, -0.078517, -0.0598948, -0.0464392, -0.0327994, -0.0244991, -0.0213301, -0.0160704, -0.00876897, -0.00430933, -0.00253632, -0.00158748, -0.000639608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.201072, 0.245859, 0.271687, 0.305564, 0.318794, 0.347568, 0.380396, 0.403828, 0.432366, 0.468198, 0.50508, 0.569639, 0.640767, 0.693147, 0.742669, 0.807228, 0.900084, 0.94044, 1.00342, 1.07335, 1.13475, 1.1935, 1.24476, 1.30932, 1.40559, 1.5214, 1.58596, 1.71508, 1.84419, 2.16699");
-            values ( \
-              "-0.276546, -0.281714, -0.310389, -0.331054, -0.337694, -0.346927, -0.350587, -0.350277, -0.348495, -0.34455, -0.339845, -0.329586, -0.315049, -0.29989, -0.278427, -0.236757, -0.171126, -0.146176, -0.112707, -0.0832418, -0.0631523, -0.0482554, -0.0379915, -0.027991, -0.0176626, -0.00993573, -0.00712397, -0.00378662, -0.00213612, -0.000695347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.270954, 0.278752, 0.287302, 0.295443, 0.301066, 0.309701, 0.317607, 0.325231, 0.332756, 0.340278, 0.341634, 0.345895, 0.349072, 0.360192, 0.366497, 0.374856, 0.381922, 0.387355, 0.392528, 0.401588, 0.410445, 0.420133, 0.455812");
-            values ( \
-              "-0.00368599, -0.0299553, -0.049521, -0.0635684, -0.071474, -0.080433, -0.0850694, -0.0871725, -0.0871289, -0.082797, -0.0814893, -0.074609, -0.0680088, -0.038632, -0.0267208, -0.0159189, -0.00982966, -0.00594984, -0.00358668, -0.0027721, -0.00333054, -0.00312079, -0.00101226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.278057, 0.286506, 0.291923, 0.304039, 0.309072, 0.31896, 0.325381, 0.329861, 0.339525, 0.349111, 0.358699, 0.36076, 0.363116, 0.367827, 0.382563, 0.391891, 0.398768, 0.403515, 0.409856, 0.418311, 0.425938, 0.432311, 0.436564, 0.44507, 0.475711, 0.509946, 0.554604");
-            values ( \
-              "-0.0641838, -0.0667581, -0.083355, -0.110271, -0.118672, -0.131528, -0.136609, -0.139117, -0.142045, -0.141228, -0.133592, -0.130444, -0.126362, -0.115018, -0.0683255, -0.0457854, -0.0334021, -0.0267804, -0.0198272, -0.0129791, -0.00809421, -0.00512031, -0.00396927, -0.00299838, -0.00301287, -0.00150022, -0.000351719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.278117, 0.299089, 0.31566, 0.322642, 0.337574, 0.351211, 0.357717, 0.365358, 0.37455, 0.379152, 0.386093, 0.393512, 0.408093, 0.431423, 0.442208, 0.458553, 0.468005, 0.480607, 0.498599, 0.511885, 0.533866, 0.617208");
-            values ( \
-              "-0.0589073, -0.128468, -0.167559, -0.179183, -0.196196, -0.203808, -0.205199, -0.20548, -0.203729, -0.201598, -0.19693, -0.188307, -0.155999, -0.0890004, -0.06588, -0.0404405, -0.0302037, -0.0202122, -0.0107366, -0.00610318, -0.00262238, -0.00144967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.284591, 0.303875, 0.319539, 0.342945, 0.358859, 0.368212, 0.378884, 0.403292, 0.412336, 0.427606, 0.43445, 0.4456, 0.457673, 0.479125, 0.516173, 0.537815, 0.548714, 0.567607, 0.590598, 0.611695, 0.637222, 0.652924, 0.682496, 0.728819, 0.806278");
-            values ( \
-              "-0.136899, -0.165285, -0.20568, -0.242616, -0.255464, -0.260021, -0.263021, -0.26601, -0.265898, -0.262791, -0.259894, -0.252461, -0.239138, -0.197698, -0.117676, -0.0830782, -0.0691453, -0.0498581, -0.0331242, -0.0225249, -0.0138779, -0.0100238, -0.00513412, -0.00224675, -0.00162557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.289151, 0.313159, 0.335592, 0.356591, 0.367886, 0.376601, 0.39484, 0.42054, 0.443727, 0.459279, 0.488754, 0.519528, 0.539951, 0.580148, 0.598, 0.674208, 0.713695, 0.756148, 0.782873, 0.804448, 0.832337, 0.871855, 0.917268, 0.952854, 1.01741, 1.08197, 1.14653, 1.40477");
-            values ( \
-              "-0.201436, -0.208137, -0.2571, -0.283693, -0.292266, -0.297832, -0.306069, -0.314728, -0.317766, -0.317803, -0.313603, -0.304678, -0.296059, -0.268894, -0.249613, -0.149257, -0.108057, -0.0747608, -0.0587649, -0.0482512, -0.0372231, -0.0255691, -0.016497, -0.0115123, -0.00567028, -0.00305753, -0.00189763, -0.00051719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.297493, 0.34234, 0.368125, 0.402331, 0.415634, 0.444233, 0.476951, 0.500528, 0.528844, 0.564676, 0.601557, 0.666116, 0.737243, 0.789625, 0.839146, 0.903705, 0.99577, 1.03644, 1.09989, 1.17003, 1.23131, 1.28981, 1.34112, 1.40568, 1.50223, 1.61666, 1.68122, 1.81033, 1.93945, 2.26225");
-            values ( \
-              "-0.275838, -0.281655, -0.310323, -0.331242, -0.337895, -0.347002, -0.350608, -0.350279, -0.348499, -0.344552, -0.339845, -0.329586, -0.315049, -0.299889, -0.278428, -0.236756, -0.171644, -0.146451, -0.112707, -0.0831678, -0.063127, -0.0482949, -0.0380109, -0.0280067, -0.0176496, -0.00999934, -0.00716693, -0.00380708, -0.00214577, -0.000699391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.470412, 0.477202, 0.490129, 0.495794, 0.503728, 0.513341, 0.522008, 0.530228, 0.538271, 0.546307, 0.552733, 0.566679, 0.574353, 0.582943, 0.590803, 0.596335, 0.602084, 0.617692, 0.627332, 0.664403, 0.664827");
-            values ( \
-              "-0.0164747, -0.0228698, -0.0451074, -0.0534687, -0.0635394, -0.0729674, -0.0782335, -0.081172, -0.081963, -0.078885, -0.0709064, -0.0379838, -0.0245421, -0.0144937, -0.00813965, -0.00468051, -0.00321498, -0.00339434, -0.00313586, -0.000941727, -0.000933764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.470937, 0.492766, 0.50258, 0.510629, 0.515759, 0.527159, 0.533239, 0.538065, 0.545084, 0.550083, 0.555132, 0.560164, 0.565381, 0.573509, 0.590497, 0.602185, 0.608582, 0.615156, 0.623921, 0.632243, 0.639677, 0.644938, 0.65546, 0.686017, 0.720814, 0.767455");
-            values ( \
-              "-0.014631, -0.0712005, -0.0910731, -0.10514, -0.11252, -0.124937, -0.129485, -0.132126, -0.13467, -0.135542, -0.134995, -0.133137, -0.128939, -0.114861, -0.0647891, -0.0391753, -0.0291824, -0.0214691, -0.0139996, -0.0084888, -0.0050188, -0.00380389, -0.00298327, -0.00288198, -0.00132373, -0.00026554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.479272, 0.491007, 0.500141, 0.508284, 0.51993, 0.535837, 0.542984, 0.550117, 0.564992, 0.571924, 0.581622, 0.586021, 0.593112, 0.600617, 0.61542, 0.637934, 0.650114, 0.656166, 0.669453, 0.687733, 0.69945, 0.718885, 0.739035, 0.823496");
-            values ( \
-              "-0.0780802, -0.0826674, -0.110662, -0.130869, -0.154764, -0.178412, -0.185872, -0.191381, -0.198607, -0.199632, -0.199511, -0.197802, -0.194116, -0.186054, -0.154924, -0.0908133, -0.0646493, -0.0542793, -0.0362343, -0.0203324, -0.0136661, -0.00614965, -0.00292671, -0.00153071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.483305, 0.497921, 0.509426, 0.522312, 0.533568, 0.55005, 0.564429, 0.57816, 0.597583, 0.608748, 0.619697, 0.635628, 0.641874, 0.6531, 0.665214, 0.687233, 0.713025, 0.736177, 0.756174, 0.770909, 0.798281, 0.819334, 0.844652, 0.864733, 0.904504, 0.955017, 1.13461");
-            values ( \
-              "-0.11515, -0.119832, -0.15569, -0.187267, -0.208997, -0.23262, -0.246563, -0.255039, -0.261288, -0.26334, -0.264069, -0.2615, -0.259042, -0.251878, -0.238769, -0.196346, -0.138452, -0.0965693, -0.0692606, -0.0537167, -0.0330547, -0.0224917, -0.0139158, -0.00913931, -0.00363478, -0.00198292, -0.000556559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.490599, 0.516666, 0.532195, 0.546707, 0.558768, 0.574689, 0.592061, 0.614085, 0.63592, 0.644758, 0.657254, 0.669653, 0.688677, 0.708042, 0.740189, 0.763002, 0.790482, 0.834077, 0.874343, 0.908754, 0.926147, 0.953077, 0.987389, 1.02512, 1.05001, 1.08494, 1.12684, 1.1827, 1.24726, 1.31182, 1.37638, 1.57005");
-            values ( \
-              "-0.177186, -0.190047, -0.226907, -0.252853, -0.268971, -0.285108, -0.296808, -0.307894, -0.314872, -0.316342, -0.317307, -0.317066, -0.314879, -0.310637, -0.299569, -0.28781, -0.266447, -0.212134, -0.158695, -0.120432, -0.104068, -0.0824481, -0.0606166, -0.0428814, -0.0340052, -0.0243689, -0.0162342, -0.00909669, -0.0044486, -0.00260725, -0.00161208, -0.000657945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.49956, 0.548329, 0.578951, 0.608172, 0.638145, 0.665074, 0.684589, 0.70821, 0.736535, 0.772373, 0.809243, 0.873802, 0.944932, 0.997305, 1.04683, 1.11139, 1.21559, 1.30268, 1.37108, 1.43564, 1.51516, 1.57972, 1.65282, 1.75367, 1.81823, 1.94735, 2.07646, 2.13084");
-            values ( \
-              "-0.243536, -0.269292, -0.306709, -0.327437, -0.342084, -0.348501, -0.35021, -0.350191, -0.348366, -0.344593, -0.339782, -0.329646, -0.315009, -0.299858, -0.278466, -0.23672, -0.16383, -0.114987, -0.0856175, -0.0640799, -0.0444951, -0.0328473, -0.0232011, -0.0142125, -0.0102751, -0.00536008, -0.00292921, -0.00261475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.874889, 0.885733, 0.890919, 0.910528, 0.92098, 0.930517, 0.943055, 0.952748, 0.96209, 0.971424, 0.980196, 0.994086, 0.999947, 1.00909, 1.02095, 1.02721, 1.05205, 1.09004, 1.10214");
-            values ( \
-              "-0.0085965, -0.0155896, -0.0197036, -0.0416347, -0.0514595, -0.0589107, -0.065835, -0.0693761, -0.071105, -0.069767, -0.0621262, -0.0346747, -0.0254954, -0.0151289, -0.00594325, -0.00384396, -0.00337783, -0.00101155, -0.000663095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.880589, 0.890202, 0.895935, 0.920074, 0.932825, 0.945235, 0.957778, 0.969506, 0.980866, 0.992213, 0.997794, 1.00342, 1.01983, 1.02918, 1.04166, 1.05028, 1.06138, 1.06699, 1.0725, 1.08352, 1.09919, 1.14999, 1.19753");
-            values ( \
-              "-0.0274494, -0.0290989, -0.0363345, -0.0746369, -0.0909472, -0.103637, -0.112857, -0.118439, -0.120933, -0.11752, -0.112151, -0.101864, -0.0598323, -0.0410267, -0.0237421, -0.0159159, -0.00849915, -0.00573993, -0.00431674, -0.00337549, -0.00343631, -0.00134333, -0.000275617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.887427, 0.901625, 0.91718, 0.937578, 0.94822, 0.966543, 0.982297, 0.988433, 0.998847, 1.00661, 1.01425, 1.02191, 1.02981, 1.04297, 1.06729, 1.08523, 1.10234, 1.11117, 1.12416, 1.14253, 1.15737, 1.18255, 1.32301");
-            values ( \
-              "-0.056824, -0.0578763, -0.0906399, -0.126864, -0.142412, -0.163328, -0.17524, -0.178307, -0.181897, -0.183298, -0.183332, -0.181336, -0.176116, -0.155332, -0.0913938, -0.0558969, -0.0336952, -0.0255882, -0.0169297, -0.00852803, -0.00448712, -0.00251411, -0.000487629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.892666, 0.911153, 0.923881, 0.944423, 0.957843, 0.975604, 0.988981, 1.01365, 1.03063, 1.03922, 1.04963, 1.0651, 1.07259, 1.08409, 1.09635, 1.1182, 1.14541, 1.16199, 1.18631, 1.20223, 1.21586, 1.24292, 1.26246, 1.29568, 1.33383, 1.38217, 1.41757");
-            values ( \
-              "-0.0805405, -0.0899337, -0.120317, -0.162275, -0.184892, -0.208858, -0.222454, -0.239299, -0.247239, -0.250708, -0.253483, -0.254221, -0.252488, -0.246925, -0.235255, -0.195761, -0.136074, -0.105589, -0.0709358, -0.0539488, -0.0425072, -0.0269171, -0.0188234, -0.00967538, -0.00401879, -0.00213302, -0.00182424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.902767, 0.929444, 0.943362, 0.954129, 0.973054, 0.979912, 0.992737, 1.01672, 1.03851, 1.05052, 1.06164, 1.0777, 1.09492, 1.10071, 1.11227, 1.13502, 1.14847, 1.16368, 1.17954, 1.21126, 1.21589, 1.23427, 1.30237, 1.33791, 1.35342, 1.37049, 1.39147, 1.42113, 1.44729, 1.47412, 1.51285, 1.53048, 1.55499, 1.58761, 1.65217, 1.71673, 1.78128, 1.9104, 2.03952");
-            values ( \
-              "-0.141066, -0.144097, -0.175676, -0.197125, -0.228443, -0.237929, -0.253047, -0.274942, -0.290988, -0.29865, -0.304017, -0.309442, -0.31194, -0.31229, -0.311953, -0.30894, -0.305668, -0.300757, -0.294306, -0.275029, -0.271408, -0.253126, -0.1634, -0.123013, -0.108119, -0.0934586, -0.0778512, -0.0595548, -0.0468805, -0.0365829, -0.025253, -0.0213273, -0.0168082, -0.0121745, -0.00595976, -0.00321256, -0.00193146, -0.000948705, -0.000496867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.91327, 0.953761, 0.992186, 1.01864, 1.05509, 1.06946, 1.09588, 1.11583, 1.13884, 1.16816, 1.20424, 1.28393, 1.31617, 1.38065, 1.42213, 1.47893, 1.54349, 1.64779, 1.73483, 1.80304, 1.8676, 1.94781, 2.01237, 2.08502, 2.18558, 2.25014, 2.37926, 2.50838, 2.56091");
-            values ( \
-              "-0.204325, -0.207124, -0.26823, -0.295675, -0.324998, -0.333671, -0.342965, -0.345915, -0.347261, -0.345941, -0.34286, -0.333058, -0.327674, -0.31412, -0.302322, -0.278451, -0.236769, -0.163776, -0.114968, -0.0856789, -0.0641373, -0.0443862, -0.032771, -0.0231972, -0.0142316, -0.0102851, -0.00536375, -0.00292972, -0.00262559" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00692686, 0.00692819, 0.00692957, 0.00693064, 0.00693132, 0.0069317", \
-            "0.00817638, 0.00817689, 0.00817763, 0.00817837, 0.00817891, 0.00817924", \
-            "0.00893334, 0.00893342, 0.00893363, 0.00893396, 0.00893428, 0.00893451", \
-            "0.00937869, 0.00937873, 0.00937877, 0.00937886, 0.00937901, 0.00937915", \
-            "0.00961218, 0.00961219, 0.00961221, 0.00961225, 0.0096123, 0.00961237", \
-            "0.00975018, 0.00975019, 0.00975021, 0.00975023, 0.00975025, 0.00975028" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00970304, 0.00970359, 0.00970455, 0.00970559, 0.00970637, 0.00970684", \
-            "0.0106212, 0.0106213, 0.0106216, 0.0106222, 0.0106227, 0.0106231", \
-            "0.0110018, 0.0110021, 0.0110024, 0.0110028, 0.0110032, 0.0110036", \
-            "0.0112669, 0.0112659, 0.0112651, 0.0112646, 0.0112644, 0.0112644", \
-            "0.011925, 0.011923, 0.0119201, 0.0119168, 0.0119141, 0.0119122", \
-            "0.0120966, 0.0121587, 0.0121974, 0.0122217, 0.0122369, 0.0122449" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & D & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * D * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.152672, 0.167094, 0.193077, 0.240009, 0.327315, 0.503249", \
-            "0.158572, 0.172994, 0.198989, 0.245937, 0.333253, 0.509188", \
-            "0.171934, 0.186349, 0.212355, 0.259331, 0.346666, 0.522628", \
-            "0.200497, 0.214923, 0.240985, 0.288048, 0.375471, 0.55147", \
-            "0.255527, 0.270682, 0.297748, 0.345465, 0.433044, 0.60918", \
-            "0.346041, 0.363008, 0.392777, 0.442848, 0.531639, 0.708317" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0480206, 0.0612276, 0.0875293, 0.139533, 0.248275, 0.483392", \
-            "0.0480241, 0.0612297, 0.0875213, 0.139529, 0.248392, 0.483392", \
-            "0.048045, 0.0612476, 0.0875251, 0.139524, 0.248292, 0.483326", \
-            "0.0482236, 0.0613961, 0.0876291, 0.139534, 0.248273, 0.483387", \
-            "0.0520876, 0.0649873, 0.0904158, 0.141034, 0.248743, 0.483341", \
-            "0.0612618, 0.0743285, 0.0989733, 0.147042, 0.251659, 0.484413" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0070088", \
-            "0.00893343", \
-            "0.0101256", \
-            "0.0107079", \
-            "0.0109698", \
-            "0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100415", \
-            "0.0103029", \
-            "0.00952997", \
-            "0.00886385", \
-            "0.00859958", \
-            "0.00848352" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.112758, 0.127431, 0.134815, 0.147122, 0.151848, 0.156611, 0.164228, 0.171758, 0.179285, 0.180695, 0.188108, 0.198453, 0.204393, 0.213299, 0.217677, 0.226689, 0.232047, 0.24067, 0.249782, 0.29429, 0.300574");
-            values ( \
-              "-0.0176608, -0.0531234, -0.0647988, -0.0792524, -0.0826403, -0.0849399, -0.0872079, -0.0869632, -0.0826684, -0.0812977, -0.0678722, -0.0403317, -0.0284385, -0.0164002, -0.0123666, -0.00579587, -0.00360974, -0.00301938, -0.0034969, -0.00102191, -0.000885908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.115402, 0.132346, 0.148156, 0.154151, 0.15893, 0.16895, 0.178615, 0.188244, 0.198837, 0.202697, 0.207843, 0.224283, 0.23508, 0.246899, 0.253275, 0.263066, 0.270826, 0.276652, 0.288302, 0.317441, 0.347841");
-            values ( \
-              "-0.0394417, -0.0878768, -0.118683, -0.127297, -0.132175, -0.139213, -0.141577, -0.140527, -0.131153, -0.124601, -0.111899, -0.0617774, -0.0386478, -0.0223331, -0.0163977, -0.00950866, -0.0054421, -0.00382865, -0.00293843, -0.00288126, -0.00188671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.119053, 0.129981, 0.140095, 0.151778, 0.161634, 0.176129, 0.179735, 0.18993, 0.201775, 0.210187, 0.218488, 0.230895, 0.232879, 0.236846, 0.244781, 0.26903, 0.278914, 0.285763, 0.297559, 0.311712, 0.324376, 0.341849, 0.356177, 0.381239, 0.408773, 0.459385");
-            values ( \
-              "-0.0817452, -0.102766, -0.134161, -0.162053, -0.179132, -0.195677, -0.197969, -0.202098, -0.203191, -0.202757, -0.200778, -0.191771, -0.189411, -0.183002, -0.164061, -0.0929557, -0.0706235, -0.0578079, -0.0404392, -0.0259707, -0.017188, -0.00896197, -0.00474878, -0.00230774, -0.00239691, -0.00157656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.122108, 0.138383, 0.158416, 0.172529, 0.184168, 0.195299, 0.222006, 0.240686, 0.251446, 0.266477, 0.273361, 0.284409, 0.29642, 0.31999, 0.34516, 0.369527, 0.39538, 0.409876, 0.430492, 0.451299, 0.465162, 0.489339, 0.518546, 0.562607, 0.655577");
-            values ( \
-              "-0.124432, -0.150304, -0.204758, -0.230137, -0.243134, -0.251791, -0.263299, -0.268185, -0.268463, -0.265381, -0.262096, -0.254326, -0.240369, -0.19323, -0.136541, -0.0930301, -0.060276, -0.0467037, -0.0323198, -0.0221766, -0.0169866, -0.010447, -0.00549643, -0.00232196, -0.0015174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.125765, 0.148506, 0.157274, 0.167474, 0.182274, 0.195106, 0.22413, 0.243111, 0.257179, 0.26297, 0.274553, 0.292551, 0.300669, 0.316904, 0.338892, 0.377313, 0.396139, 0.41622, 0.431357, 0.506217, 0.541984, 0.555687, 0.576765, 0.604869, 0.623599, 0.6548, 0.690459, 0.717831, 0.746948, 0.78577, 0.850289, 0.914807, 0.979326, 1.2374");
-            values ( \
-              "-0.172895, -0.196917, -0.221096, -0.243886, -0.267041, -0.279995, -0.301263, -0.312048, -0.317061, -0.318378, -0.31996, -0.320066, -0.319377, -0.31689, -0.311571, -0.297031, -0.286554, -0.271252, -0.255682, -0.157019, -0.117694, -0.1049, -0.0875062, -0.0681947, -0.0575758, -0.0432402, -0.0309168, -0.0238289, -0.0179978, -0.0121922, -0.00601743, -0.00319555, -0.00197267, -0.000533531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.130189, 0.162505, 0.19132, 0.23501, 0.262226, 0.277622, 0.295818, 0.318548, 0.36707, 0.415719, 0.47628, 0.514532, 0.579051, 0.620392, 0.677286, 0.741804, 0.838146, 0.930088, 1.02152, 1.0892, 1.1727, 1.23722, 1.3074, 1.41499, 1.47951, 1.54403, 1.67306, 1.76049");
-            values ( \
-              "-0.228029, -0.245844, -0.292881, -0.329846, -0.344226, -0.348563, -0.351251, -0.351989, -0.348832, -0.343146, -0.334344, -0.327761, -0.314124, -0.302369, -0.27842, -0.236825, -0.168879, -0.116491, -0.0783658, -0.0577134, -0.0391916, -0.0289522, -0.0206542, -0.0121708, -0.00883291, -0.00631482, -0.00338317, -0.00239582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.132356, 0.147197, 0.15457, 0.166905, 0.171582, 0.176378, 0.183996, 0.191526, 0.199054, 0.200462, 0.204747, 0.207876, 0.218222, 0.224162, 0.227903, 0.233068, 0.237446, 0.246458, 0.251817, 0.260439, 0.269552, 0.278945, 0.314058, 0.330823, 0.352185");
-            values ( \
-              "-0.0161175, -0.0530419, -0.0647211, -0.0792152, -0.0825818, -0.0849602, -0.0871772, -0.0869844, -0.0826426, -0.0812934, -0.0743721, -0.0678749, -0.040335, -0.0284413, -0.0226183, -0.0164026, -0.0123683, -0.00579737, -0.00360776, -0.00302112, -0.00349511, -0.00320402, -0.00102026, -0.000466389, -0.000195068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.136863, 0.144512, 0.152188, 0.155315, 0.161569, 0.173977, 0.178753, 0.188775, 0.19844, 0.208069, 0.218662, 0.222522, 0.227669, 0.244108, 0.254906, 0.260938, 0.266724, 0.2731, 0.282892, 0.290653, 0.296479, 0.30813, 0.33727, 0.376727");
-            values ( \
-              "-0.0601696, -0.0654739, -0.0878673, -0.0946094, -0.107712, -0.1273, -0.132149, -0.139227, -0.141552, -0.140549, -0.131149, -0.124598, -0.111898, -0.0617786, -0.0386465, -0.0292821, -0.0223326, -0.0163984, -0.00950783, -0.00544113, -0.00382917, -0.0029378, -0.0028805, -0.00130613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.13911, 0.150397, 0.159812, 0.171208, 0.181526, 0.195943, 0.199919, 0.202972, 0.210616, 0.221691, 0.230025, 0.238377, 0.250948, 0.252719, 0.256261, 0.262052, 0.282189, 0.290614, 0.304119, 0.313439, 0.321718, 0.334455, 0.345846, 0.361789, 0.380443, 0.405326, 0.558209");
-            values ( \
-              "-0.0834604, -0.104715, -0.133727, -0.161117, -0.17916, -0.195616, -0.198104, -0.199764, -0.2023, -0.203233, -0.202805, -0.20073, -0.191617, -0.189432, -0.183921, -0.17115, -0.110934, -0.0888209, -0.0605587, -0.0456413, -0.0353823, -0.0236628, -0.016392, -0.00903029, -0.0037819, -0.0024082, -0.000279827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.141599, 0.158337, 0.17121, 0.192567, 0.204075, 0.215223, 0.249575, 0.260592, 0.271352, 0.286405, 0.293267, 0.304315, 0.316326, 0.339896, 0.365156, 0.389401, 0.415186, 0.42982, 0.450712, 0.471316, 0.484993, 0.50877, 0.53758, 0.581398, 0.671109");
-            values ( \
-              "-0.116978, -0.150696, -0.188963, -0.230445, -0.243074, -0.251895, -0.265626, -0.268233, -0.268428, -0.265414, -0.262063, -0.254362, -0.240338, -0.193263, -0.136352, -0.0930771, -0.0603832, -0.0466685, -0.0321316, -0.0221375, -0.0170063, -0.0105482, -0.00561489, -0.00234492, -0.00155844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.145266, 0.168736, 0.187372, 0.202191, 0.209809, 0.233322, 0.256201, 0.26922, 0.282715, 0.298456, 0.317039, 0.327349, 0.358688, 0.393872, 0.423236, 0.441024, 0.4766, 0.520842, 0.553336, 0.571939, 0.613051, 0.640743, 0.663987, 0.691489, 0.730723, 0.774935, 0.809452, 0.873971, 0.938489, 1.00301, 1.26108");
-            values ( \
-              "-0.166939, -0.198369, -0.24416, -0.267235, -0.275582, -0.293729, -0.308727, -0.31464, -0.318382, -0.320258, -0.319736, -0.318505, -0.311556, -0.298553, -0.281565, -0.266521, -0.222971, -0.163504, -0.126374, -0.108257, -0.0757843, -0.0590705, -0.0477649, -0.0369713, -0.0254695, -0.0166242, -0.0117337, -0.00578953, -0.00310401, -0.00192631, -0.000523803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.150006, 0.181473, 0.211029, 0.254621, 0.281767, 0.297191, 0.315655, 0.338386, 0.386938, 0.435618, 0.496148, 0.5344, 0.598919, 0.64026, 0.697154, 0.761672, 0.856141, 0.895884, 0.957948, 1.02615, 1.08881, 1.14891, 1.19985, 1.26437, 1.35931, 1.41274, 1.54178, 1.73533, 2.12244");
-            values ( \
-              "-0.228692, -0.243756, -0.292794, -0.329667, -0.344092, -0.348527, -0.351228, -0.352018, -0.34886, -0.343114, -0.334315, -0.32779, -0.314095, -0.302338, -0.278451, -0.236794, -0.170131, -0.145632, -0.112702, -0.0838812, -0.063284, -0.0480563, -0.0378903, -0.0279186, -0.0177255, -0.0136195, -0.0070834, -0.00283851, -0.000684977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.176894, 0.191523, 0.198913, 0.211255, 0.215948, 0.220738, 0.228359, 0.235892, 0.243421, 0.244827, 0.252245, 0.262591, 0.268531, 0.277438, 0.281816, 0.290829, 0.296188, 0.304807, 0.313922, 0.35775");
-            values ( \
-              "-0.0189055, -0.0530059, -0.0646879, -0.0792, -0.0825613, -0.0848982, -0.0871658, -0.0869451, -0.0826429, -0.0812859, -0.0678654, -0.04033, -0.028438, -0.0164, -0.0123663, -0.00579517, -0.00360877, -0.00302089, -0.0034965, -0.00105894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.179436, 0.185148, 0.19634, 0.205763, 0.21216, 0.218164, 0.222942, 0.232968, 0.242635, 0.252267, 0.262859, 0.266712, 0.27185, 0.288317, 0.299088, 0.31094, 0.317312, 0.327102, 0.334857, 0.340679, 0.352322, 0.381459, 0.413831");
-            values ( \
-              "-0.0413579, -0.0538215, -0.0877062, -0.107632, -0.118563, -0.127222, -0.13209, -0.139173, -0.141529, -0.140513, -0.131139, -0.124605, -0.111943, -0.0617525, -0.0386771, -0.0223162, -0.016388, -0.00950339, -0.00544105, -0.00382866, -0.0029396, -0.00288215, -0.00182283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.183238, 0.194044, 0.19773, 0.208501, 0.215901, 0.225735, 0.240322, 0.243282, 0.246664, 0.254837, 0.25988, 0.267007, 0.277291, 0.282562, 0.293105, 0.296959, 0.3043, 0.331798, 0.344115, 0.350583, 0.36169, 0.375459, 0.388255, 0.398949, 0.406439, 0.421421, 0.44641, 0.474283, 0.531431");
-            values ( \
-              "-0.0837081, -0.102492, -0.115135, -0.145056, -0.162023, -0.179118, -0.195701, -0.197608, -0.199455, -0.202246, -0.202959, -0.203174, -0.202269, -0.200777, -0.193881, -0.189347, -0.175953, -0.0964454, -0.0684515, -0.0566252, -0.0404378, -0.0262893, -0.0173218, -0.0118406, -0.00878146, -0.0045215, -0.00230987, -0.00238202, -0.00146056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.186298, 0.202506, 0.222543, 0.236575, 0.248291, 0.259398, 0.286141, 0.304801, 0.31556, 0.330632, 0.337474, 0.348522, 0.360532, 0.384102, 0.409615, 0.43352, 0.459115, 0.474132, 0.49581, 0.524832, 0.542826, 0.578814, 0.619805, 0.704783");
-            values ( \
-              "-0.125088, -0.150412, -0.204848, -0.230079, -0.243171, -0.251805, -0.26334, -0.268216, -0.268471, -0.265387, -0.262096, -0.254343, -0.240363, -0.193243, -0.135829, -0.0932276, -0.0606591, -0.0465999, -0.0316331, -0.0184981, -0.0131413, -0.00578086, -0.00261877, -0.00183514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.190095, 0.212226, 0.22145, 0.231552, 0.246001, 0.253824, 0.277189, 0.300521, 0.313617, 0.327064, 0.342836, 0.361455, 0.371563, 0.385041, 0.403035, 0.441504, 0.45962, 0.478944, 0.498324, 0.561798, 0.598431, 0.616124, 0.636502, 0.657419, 0.684996, 0.708013, 0.735567, 0.774841, 0.793219, 0.819216, 0.85388, 0.918399, 0.982917, 1.04744, 1.30551");
-            values ( \
-              "-0.176093, -0.195705, -0.22137, -0.243811, -0.266552, -0.275294, -0.293427, -0.308756, -0.314677, -0.318413, -0.320264, -0.319749, -0.318542, -0.316096, -0.311568, -0.297003, -0.286974, -0.272516, -0.252363, -0.167638, -0.125387, -0.108232, -0.0909167, -0.075663, -0.0590217, -0.0478262, -0.0370095, -0.025479, -0.0213713, -0.0166061, -0.0117041, -0.00577278, -0.00309819, -0.00192179, -0.000522665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.194745, 0.224534, 0.243917, 0.252933, 0.303537, 0.317364, 0.332435, 0.346511, 0.360124, 0.384234, 0.41563, 0.462671, 0.503966, 0.568485, 0.639873, 0.691598, 0.741559, 0.806078, 0.903247, 0.962322, 1.0017, 1.04255, 1.08393, 1.13843, 1.18333, 1.23781, 1.30233, 1.37121, 1.41334, 1.47786, 1.54238, 1.67142, 1.80045, 2.18757");
-            values ( \
-              "-0.235874, -0.240457, -0.278291, -0.289942, -0.332768, -0.340421, -0.346207, -0.349454, -0.35123, -0.351967, -0.350368, -0.345341, -0.339807, -0.329663, -0.314921, -0.299976, -0.278479, -0.236727, -0.168384, -0.133093, -0.112955, -0.0948047, -0.0790607, -0.061806, -0.0503254, -0.0390864, -0.0287698, -0.0207545, -0.016884, -0.0123133, -0.00883364, -0.00462903, -0.0025557, -0.000601839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.271115, 0.278207, 0.287983, 0.295177, 0.307499, 0.313246, 0.317374, 0.325022, 0.332575, 0.340125, 0.341497, 0.348955, 0.35931, 0.365256, 0.374169, 0.387569, 0.392936, 0.401525, 0.410652, 0.455095, 0.455259");
-            values ( \
-              "-0.00750127, -0.0295025, -0.0518776, -0.0634795, -0.0783182, -0.0825777, -0.0845289, -0.0869126, -0.0867341, -0.0825193, -0.0811912, -0.0678033, -0.0403039, -0.0284191, -0.0163914, -0.00578724, -0.00360657, -0.00303241, -0.00349816, -0.00102191, -0.00101834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.276206, 0.284821, 0.292886, 0.302238, 0.308805, 0.314862, 0.319642, 0.329702, 0.339391, 0.349039, 0.359637, 0.363445, 0.368523, 0.385549, 0.394893, 0.406472, 0.416037, 0.425164, 0.429548, 0.437021, 0.446986, 0.475889, 0.507468");
-            values ( \
-              "-0.0472887, -0.0626109, -0.0863789, -0.106397, -0.117801, -0.126612, -0.131567, -0.138793, -0.141259, -0.14031, -0.131021, -0.124613, -0.112227, -0.060639, -0.0404558, -0.023684, -0.0148747, -0.00872923, -0.00632168, -0.00395255, -0.0030126, -0.00297516, -0.00190045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.277698, 0.30508, 0.31301, 0.322396, 0.337076, 0.342569, 0.35154, 0.365438, 0.372361, 0.3793, 0.391581, 0.393732, 0.398035, 0.406641, 0.428579, 0.441664, 0.458262, 0.467119, 0.478929, 0.498453, 0.512778, 0.534398, 0.681765");
-            values ( \
-              "-0.0605151, -0.144193, -0.162087, -0.178662, -0.195259, -0.198739, -0.201981, -0.20297, -0.202425, -0.200698, -0.19193, -0.189365, -0.182342, -0.161151, -0.0962962, -0.0668776, -0.0406388, -0.0308733, -0.0211634, -0.0106573, -0.0057361, -0.00258673, -0.000510847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.283363, 0.299138, 0.312844, 0.319456, 0.332681, 0.345682, 0.35825, 0.382065, 0.390753, 0.401778, 0.412526, 0.427476, 0.434436, 0.445482, 0.457493, 0.48106, 0.49955, 0.515198, 0.530758, 0.555273, 0.564612, 0.581244, 0.600252, 0.615169, 0.631708, 0.653761, 0.691944, 0.738252, 0.823584");
-            values ( \
-              "-0.130775, -0.148488, -0.189503, -0.204511, -0.228433, -0.24361, -0.252149, -0.262819, -0.265793, -0.268177, -0.268596, -0.265398, -0.262188, -0.254314, -0.240425, -0.193203, -0.15053, -0.119018, -0.0928645, -0.0614232, -0.0522295, -0.0389525, -0.0276105, -0.0209893, -0.0153527, -0.00977016, -0.00400166, -0.0021327, -0.00147745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.287097, 0.311826, 0.330289, 0.343482, 0.347907, 0.360448, 0.377124, 0.39777, 0.410603, 0.423997, 0.43979, 0.458447, 0.468361, 0.48158, 0.499961, 0.538424, 0.556623, 0.576035, 0.594919, 0.660675, 0.69666, 0.71309, 0.731539, 0.753127, 0.781419, 0.80588, 0.8331, 0.872125, 0.890158, 0.91547, 0.94922, 1.01374, 1.07826, 1.14278, 1.27181, 1.40085");
-            values ( \
-              "-0.172054, -0.203789, -0.247215, -0.267156, -0.272278, -0.283228, -0.295644, -0.309013, -0.314772, -0.318472, -0.32031, -0.319765, -0.318575, -0.316198, -0.311572, -0.297014, -0.286926, -0.272371, -0.252757, -0.165175, -0.124047, -0.108193, -0.0924153, -0.0764797, -0.0592837, -0.0474056, -0.0367954, -0.0253911, -0.0213674, -0.0167154, -0.0118978, -0.00587368, -0.00313571, -0.0019439, -0.000975398, -0.000527532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.292043, 0.323629, 0.352339, 0.383567, 0.408699, 0.438898, 0.457028, 0.479939, 0.528275, 0.576743, 0.637484, 0.675737, 0.740256, 0.781597, 0.838491, 0.903009, 1.00723, 1.09436, 1.16303, 1.22755, 1.30623, 1.35447, 1.44799, 1.50598, 1.5705, 1.63502, 1.76405, 1.89309, 1.93149");
-            values ( \
-              "-0.236858, -0.245725, -0.29281, -0.320354, -0.337788, -0.348722, -0.351244, -0.352073, -0.348893, -0.343116, -0.334292, -0.327813, -0.314073, -0.30232, -0.278469, -0.236777, -0.16387, -0.114999, -0.0855249, -0.0640148, -0.0446283, -0.0356084, -0.0228235, -0.0172513, -0.0125332, -0.00904765, -0.00474947, -0.00262994, -0.00243255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.469482, 0.479876, 0.497033, 0.512059, 0.52219, 0.530457, 0.538545, 0.546627, 0.55269, 0.568187, 0.575676, 0.583229, 0.59684, 0.602794, 0.618275, 0.663663, 0.702843");
-            values ( \
-              "-0.0124014, -0.0270199, -0.0548608, -0.0712027, -0.0776063, -0.0807335, -0.0814904, -0.0784654, -0.071173, -0.0357415, -0.0231023, -0.0145651, -0.00476257, -0.00342706, -0.00358576, -0.000970255, -0.000184807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.473548, 0.480779, 0.494926, 0.505351, 0.521983, 0.53009, 0.535476, 0.545785, 0.550177, 0.555914, 0.560999, 0.566276, 0.5737, 0.592523, 0.600033, 0.61167, 0.618941, 0.628637, 0.640796, 0.651386, 0.68025, 0.717303");
-            values ( \
-              "-0.0338104, -0.0413269, -0.0747041, -0.0943912, -0.118829, -0.126247, -0.129617, -0.133851, -0.134369, -0.133737, -0.131916, -0.1275, -0.115688, -0.0621805, -0.0453064, -0.0267747, -0.0190196, -0.0115303, -0.00502567, -0.00328353, -0.00309958, -0.00177003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.47974, 0.491978, 0.5039, 0.5166, 0.527529, 0.543552, 0.550083, 0.558408, 0.571728, 0.579048, 0.586986, 0.599863, 0.604851, 0.610798, 0.639576, 0.648621, 0.666437, 0.680278, 0.693065, 0.711154, 0.726049, 0.750917, 0.901975");
-            values ( \
-              "-0.0817291, -0.0848032, -0.117872, -0.14649, -0.16573, -0.18484, -0.189487, -0.193458, -0.197209, -0.198142, -0.197502, -0.189603, -0.182946, -0.170587, -0.0885916, -0.0687956, -0.0404023, -0.026221, -0.0172838, -0.00879269, -0.00455322, -0.00232607, -0.000393509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.483175, 0.502532, 0.51654, 0.524465, 0.540316, 0.550111, 0.567342, 0.591775, 0.609749, 0.620533, 0.636284, 0.642446, 0.653556, 0.665601, 0.689194, 0.717603, 0.735, 0.753882, 0.770101, 0.785809, 0.806754, 0.829894, 0.845265, 0.876007, 0.915688, 0.969488, 1.14654");
-            values ( \
-              "-0.101457, -0.132449, -0.171539, -0.189355, -0.217758, -0.229907, -0.244941, -0.260194, -0.266549, -0.2673, -0.264596, -0.261581, -0.254133, -0.24009, -0.193251, -0.129841, -0.0987801, -0.0722002, -0.054816, -0.0416193, -0.0282659, -0.0183929, -0.0139122, -0.00693195, -0.00307123, -0.00171741, -0.000611087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.487722, 0.514609, 0.523459, 0.536826, 0.547976, 0.559552, 0.58253, 0.593018, 0.605838, 0.619268, 0.632055, 0.648392, 0.667597, 0.683546, 0.701774, 0.720577, 0.747723, 0.787728, 0.808067, 0.864107, 0.901698, 0.929011, 0.944553, 0.975638, 0.993041, 1.02349, 1.05828, 1.08665, 1.11672, 1.15682, 1.22134, 1.28586, 1.35038, 1.4149, 1.60845");
-            values ( \
-              "-0.139965, -0.181885, -0.204788, -0.233987, -0.251305, -0.265438, -0.288376, -0.297534, -0.306721, -0.313562, -0.317571, -0.319813, -0.319412, -0.317251, -0.313246, -0.307545, -0.296358, -0.269158, -0.246799, -0.171306, -0.127381, -0.101411, -0.0886712, -0.0673024, -0.0574822, -0.0434917, -0.0313414, -0.0239488, -0.0179233, -0.011962, -0.00590977, -0.00314135, -0.00195519, -0.0013258, -0.000537138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.493826, 0.529164, 0.540763, 0.558127, 0.604248, 0.617683, 0.632089, 0.648191, 0.665465, 0.689569, 0.713097, 0.736633, 0.772426, 0.809324, 0.873843, 0.945224, 0.996967, 1.04692, 1.11143, 1.21574, 1.30278, 1.37108, 1.43559, 1.4666, 1.51561, 1.58013, 1.65295, 1.68905, 1.75357, 1.81809, 1.94713, 2.07617, 2.2052, 2.46328");
-            values ( \
-              "-0.198018, -0.229253, -0.253471, -0.279411, -0.327376, -0.336626, -0.343545, -0.34838, -0.350996, -0.351909, -0.350866, -0.348849, -0.344761, -0.339839, -0.329632, -0.31495, -0.299999, -0.278452, -0.236754, -0.163782, -0.114978, -0.0856495, -0.0641214, -0.055676, -0.0444191, -0.0327999, -0.0231985, -0.0195053, -0.0142276, -0.0102858, -0.00536702, -0.00293306, -0.00171141, -0.000689047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.876987, 0.885966, 0.890322, 0.914113, 0.93363, 0.944155, 0.953953, 0.963406, 0.972847, 0.981512, 0.99622, 1.00463, 1.01626, 1.02709, 1.04799, 1.09258");
-            values ( \
-              "-0.0137387, -0.0154759, -0.0188615, -0.0439197, -0.0595367, -0.064955, -0.0686412, -0.0702451, -0.068959, -0.0616053, -0.0333561, -0.0214015, -0.0104449, -0.00443141, -0.00382019, -0.00101138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.88038, 0.890554, 0.900809, 0.914281, 0.92573, 0.932149, 0.944554, 0.957599, 0.966628, 0.970956, 0.979613, 0.98248, 0.988184, 0.993996, 0.99981, 1.00648, 1.02414, 1.03334, 1.03989, 1.04625, 1.05282, 1.06303, 1.06839, 1.07194, 1.07905, 1.1078, 1.15315");
-            values ( \
-              "-0.0247159, -0.0287034, -0.0425956, -0.0640625, -0.0795024, -0.0876029, -0.100792, -0.110591, -0.115333, -0.116949, -0.118757, -0.118852, -0.11825, -0.115384, -0.110647, -0.098637, -0.0548112, -0.0376453, -0.0282653, -0.0212206, -0.0155341, -0.00856167, -0.00592748, -0.00485463, -0.00377167, -0.00330805, -0.00129713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.889521, 0.910972, 0.937101, 0.949352, 0.960023, 0.967895, 0.980772, 1.00854, 1.01602, 1.02825, 1.03133, 1.0375, 1.04828, 1.07008, 1.08122, 1.09016, 1.1059, 1.11731, 1.12675, 1.14124, 1.15991, 1.1853, 1.34234");
-            values ( \
-              "-0.0559126, -0.0752387, -0.122936, -0.140604, -0.1538, -0.161135, -0.17067, -0.18357, -0.185164, -0.182326, -0.179982, -0.172323, -0.147087, -0.0880491, -0.0647548, -0.0498744, -0.0309373, -0.021566, -0.0157931, -0.00915934, -0.00402179, -0.00242933, -0.00033029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.894218, 0.919252, 0.945496, 0.964835, 0.977097, 1.01332, 1.02794, 1.03378, 1.04545, 1.05072, 1.06126, 1.07316, 1.09575, 1.09873, 1.11063, 1.14622, 1.16093, 1.17711, 1.18823, 1.20682, 1.22393, 1.242, 1.26912, 1.28511, 1.31711, 1.35931, 1.58728");
-            values ( \
-              "-0.0793206, -0.105385, -0.160388, -0.190804, -0.205746, -0.239447, -0.250451, -0.253876, -0.258457, -0.2596, -0.259833, -0.256864, -0.238783, -0.234976, -0.213417, -0.134714, -0.107417, -0.082698, -0.0686118, -0.0497726, -0.0366814, -0.0266055, -0.0161006, -0.0117286, -0.00571369, -0.00252933, -0.000435059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.905756, 0.935648, 0.962064, 0.974523, 1.0147, 1.03498, 1.04833, 1.06251, 1.07969, 1.10098, 1.12723, 1.159, 1.17947, 1.21581, 1.23599, 1.30992, 1.35354, 1.3946, 1.42226, 1.47276, 1.51205, 1.53913, 1.58599, 1.6428, 1.70732, 1.77184, 1.81385");
-            values ( \
-              "-0.152815, -0.154484, -0.20657, -0.22505, -0.273703, -0.294241, -0.303824, -0.310359, -0.314615, -0.315419, -0.3122, -0.303273, -0.294724, -0.271454, -0.251141, -0.154202, -0.107967, -0.0756115, -0.0590854, -0.0369433, -0.0255632, -0.0195813, -0.0122673, -0.00676491, -0.00337903, -0.00219525, -0.00194181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.918985, 0.974137, 1.02069, 1.04698, 1.06292, 1.07999, 1.09651, 1.12228, 1.16836, 1.25877, 1.28709, 1.37478, 1.43425, 1.47905, 1.54357, 1.64782, 1.73489, 1.80332, 1.86784, 1.94738, 2.0119, 2.08503, 2.18585, 2.25037, 2.37941, 2.50844, 2.54978");
-            values ( \
-              "-0.216227, -0.237248, -0.299447, -0.325952, -0.33611, -0.342946, -0.346655, -0.348815, -0.346819, -0.336706, -0.332607, -0.315569, -0.29818, -0.278434, -0.236736, -0.163808, -0.114981, -0.0856014, -0.0640859, -0.0444916, -0.0328547, -0.0232032, -0.0142168, -0.0102777, -0.00536246, -0.00293044, -0.00269105" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00634287, 0.00634409, 0.00634536, 0.00634635, 0.00634698, 0.00634733", \
-            "0.00740488, 0.00740536, 0.00740604, 0.00740671, 0.00740722, 0.00740752", \
-            "0.00805861, 0.00805868, 0.00805888, 0.00805919, 0.0080595, 0.00805971", \
-            "0.00845364, 0.00845367, 0.00845371, 0.0084538, 0.00845394, 0.00845408", \
-            "0.00866755, 0.00866756, 0.00866759, 0.00866763, 0.00866769, 0.00866776", \
-            "0.00878803, 0.00878803, 0.00878806, 0.00878809, 0.00878811, 0.00878815" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00888408, 0.00888459, 0.00888547, 0.00888643, 0.00888715, 0.0088876", \
-            "0.00996552, 0.00996575, 0.00996619, 0.00996682, 0.0099674, 0.0099678", \
-            "0.0105955, 0.0105959, 0.0105964, 0.0105969, 0.0105974, 0.0105979", \
-            "0.0109587, 0.0109577, 0.0109567, 0.0109561, 0.0109559, 0.0109559", \
-            "0.011379, 0.011377, 0.0113741, 0.0113708, 0.011368, 0.0113662", \
-            "0.0112053, 0.0112467, 0.0112688, 0.011283, 0.0112887, 0.0112921" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "(~CK & ~D & RN)";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        when : "(!CK * !D * RN)";
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.154565, 0.169006, 0.195029, 0.242021, 0.329391, 0.505372", \
-            "0.160417, 0.174859, 0.200894, 0.247926, 0.335297, 0.511261", \
-            "0.173591, 0.188027, 0.214073, 0.261128, 0.348541, 0.524523", \
-            "0.201671, 0.216097, 0.242196, 0.289345, 0.37682, 0.552841", \
-            "0.256161, 0.271341, 0.298428, 0.34623, 0.433906, 0.609996", \
-            "0.34641, 0.363409, 0.39314, 0.443307, 0.532167, 0.708851" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0480861, 0.0613244, 0.0876732, 0.139729, 0.248573, 0.483383", \
-            "0.0480898, 0.0613256, 0.0876712, 0.139728, 0.248572, 0.483454", \
-            "0.0481071, 0.0613409, 0.0876757, 0.139715, 0.248451, 0.483379", \
-            "0.0483191, 0.0615006, 0.0877729, 0.13974, 0.248563, 0.483445", \
-            "0.0520985, 0.0650627, 0.090548, 0.14123, 0.248893, 0.4834", \
-            "0.0612048, 0.0743202, 0.0990093, 0.147299, 0.251837, 0.484512" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0070088", \
-            "0.00893343", \
-            "0.0101256", \
-            "0.0107079", \
-            "0.0109698", \
-            "0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100415", \
-            "0.0103029", \
-            "0.00952997", \
-            "0.00886385", \
-            "0.00859958", \
-            "0.00848352" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.114355, 0.129201, 0.136594, 0.149665, 0.158468, 0.16445, 0.169369, 0.17361, 0.181139, 0.182643, 0.186956, 0.189988, 0.200349, 0.206278, 0.210026, 0.215198, 0.219594, 0.228628, 0.234001, 0.242884, 0.251797, 0.261543, 0.298055, 0.299936");
-            values ( \
-              "-0.0171509, -0.0528297, -0.0645176, -0.0796893, -0.0848795, -0.0867103, -0.0873373, -0.086863, -0.0825425, -0.0810638, -0.0740827, -0.0677912, -0.0402942, -0.0284416, -0.0225958, -0.0163954, -0.0123499, -0.00577922, -0.00358454, -0.00301952, -0.00349217, -0.0031858, -0.000938788, -0.000883269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.117151, 0.122912, 0.13413, 0.143597, 0.149987, 0.156, 0.160784, 0.17082, 0.180498, 0.190141, 0.20075, 0.204622, 0.209784, 0.226225, 0.23706, 0.248869, 0.255259, 0.26507, 0.272868, 0.278734, 0.290466, 0.320628, 0.355515, 0.356691");
-            values ( \
-              "-0.0409263, -0.0534631, -0.0875274, -0.107479, -0.118359, -0.127061, -0.13194, -0.139028, -0.141375, -0.140331, -0.130944, -0.12439, -0.111692, -0.0617112, -0.0385662, -0.0223101, -0.0163754, -0.00948556, -0.00541687, -0.00381293, -0.00294292, -0.0028451, -0.00130331, -0.00127759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.120642, 0.131921, 0.146237, 0.154666, 0.163499, 0.178513, 0.192642, 0.2014, 0.213446, 0.220402, 0.232783, 0.234861, 0.239016, 0.247327, 0.270348, 0.281953, 0.299619, 0.313479, 0.326257, 0.344308, 0.356221, 0.379343, 0.533515");
-            values ( \
-              "-0.0788411, -0.102922, -0.144601, -0.163874, -0.178777, -0.195891, -0.202369, -0.203125, -0.202342, -0.200328, -0.191628, -0.189005, -0.182425, -0.162236, -0.0947147, -0.0685843, -0.0403913, -0.0261854, -0.0172977, -0.00882147, -0.00520553, -0.00245616, -0.000391612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.124916, 0.144574, 0.160334, 0.173435, 0.185916, 0.200411, 0.219368, 0.237397, 0.244972, 0.253541, 0.26995, 0.275406, 0.286318, 0.298553, 0.322135, 0.35625, 0.371859, 0.396447, 0.405726, 0.422248, 0.44113, 0.456194, 0.472881, 0.49513, 0.53471, 0.582976, 0.645103");
-            values ( \
-              "-0.132811, -0.163519, -0.204821, -0.227932, -0.242985, -0.25253, -0.260811, -0.266659, -0.267885, -0.268082, -0.264437, -0.261861, -0.254224, -0.240218, -0.193129, -0.119059, -0.0928296, -0.0613264, -0.0522082, -0.0390103, -0.0277229, -0.0210143, -0.0153276, -0.00971473, -0.00383545, -0.00207152, -0.00155045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.129183, 0.158298, 0.174523, 0.193712, 0.238797, 0.251871, 0.264763, 0.281013, 0.302573, 0.317183, 0.340744, 0.354537, 0.380469, 0.420495, 0.440488, 0.51249, 0.552454, 0.5972, 0.623577, 0.665594, 0.709372, 0.764288, 0.80531, 0.869847, 0.99892, 1.01358");
-            values ( \
-              "-0.185409, -0.219497, -0.252933, -0.277035, -0.308343, -0.314324, -0.317837, -0.319932, -0.319186, -0.31706, -0.311489, -0.306975, -0.296356, -0.269028, -0.247226, -0.151805, -0.109603, -0.0744551, -0.0585956, -0.0399059, -0.0262166, -0.0153841, -0.0102044, -0.00491741, -0.00167966, -0.0016079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.134268, 0.174096, 0.194072, 0.241492, 0.255123, 0.284604, 0.298032, 0.322989, 0.355321, 0.397167, 0.441926, 0.506463, 0.577753, 0.629729, 0.679524, 0.744061, 0.840886, 0.932659, 1.02255, 1.09138, 1.17546, 1.24, 1.30932, 1.41632, 1.48085, 1.54539, 1.67446, 1.75369");
-            values ( \
-              "-0.24206, -0.26526, -0.293155, -0.332063, -0.33983, -0.349148, -0.350829, -0.351807, -0.350038, -0.34564, -0.33985, -0.329591, -0.315006, -0.299983, -0.278406, -0.236784, -0.168527, -0.116301, -0.0787677, -0.0577093, -0.0390807, -0.0288764, -0.0206759, -0.012219, -0.00887568, -0.00633623, -0.00339064, -0.00249237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.133857, 0.148899, 0.15633, 0.169409, 0.178184, 0.184222, 0.189035, 0.193327, 0.200856, 0.20236, 0.206673, 0.209706, 0.220067, 0.225995, 0.229743, 0.234915, 0.239311, 0.248345, 0.253719, 0.262601, 0.271516, 0.281261, 0.317774, 0.33547, 0.358229");
-            values ( \
-              "-0.0153581, -0.0527263, -0.0644998, -0.0796754, -0.084861, -0.0867274, -0.0873418, -0.086852, -0.0825486, -0.0810638, -0.0740837, -0.0677902, -0.040294, -0.0284414, -0.022597, -0.0163947, -0.0123489, -0.00577805, -0.00358519, -0.0030186, -0.00349297, -0.00318489, -0.000939622, -0.000415546, -0.000158304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.13689, 0.154067, 0.16349, 0.169911, 0.175926, 0.180708, 0.190745, 0.200423, 0.210066, 0.220675, 0.224545, 0.229706, 0.246152, 0.256982, 0.268797, 0.275186, 0.284997, 0.292795, 0.29866, 0.310391, 0.340555, 0.36542");
-            values ( \
-              "-0.0382054, -0.0875878, -0.107437, -0.118326, -0.127078, -0.131915, -0.139043, -0.141355, -0.140349, -0.130945, -0.124393, -0.1117, -0.0617067, -0.0385705, -0.0223072, -0.0163733, -0.00948475, -0.00541676, -0.00381252, -0.00294335, -0.00284544, -0.0017465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.1403, 0.151724, 0.16606, 0.174564, 0.18332, 0.198331, 0.212461, 0.221244, 0.233264, 0.24022, 0.252565, 0.254678, 0.258905, 0.267359, 0.289885, 0.30218, 0.317011, 0.324307, 0.333108, 0.345952, 0.364366, 0.376639, 0.400549, 0.555592");
-            values ( \
-              "-0.0760811, -0.102925, -0.144633, -0.164051, -0.178796, -0.195898, -0.202367, -0.20312, -0.202333, -0.200342, -0.191659, -0.189019, -0.182276, -0.161631, -0.0954154, -0.0677625, -0.0435353, -0.0347795, -0.0263622, -0.0173515, -0.00871565, -0.00508565, -0.00237956, -0.000390825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.143549, 0.157518, 0.173275, 0.180208, 0.194072, 0.205998, 0.217459, 0.243251, 0.262614, 0.273387, 0.288744, 0.295336, 0.306401, 0.318419, 0.34201, 0.367103, 0.383632, 0.403517, 0.417795, 0.431779, 0.451706, 0.473022, 0.487399, 0.512927, 0.543868, 0.59043, 0.687057");
-            values ( \
-              "-0.125655, -0.140384, -0.188764, -0.204706, -0.229334, -0.243052, -0.251474, -0.262402, -0.267587, -0.268073, -0.264837, -0.261831, -0.254009, -0.240235, -0.193085, -0.13666, -0.105708, -0.0762844, -0.0598577, -0.0468114, -0.0328077, -0.0222882, -0.0169246, -0.0101093, -0.00508266, -0.00217324, -0.00140782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.147138, 0.166365, 0.178856, 0.189944, 0.209487, 0.238959, 0.258308, 0.271442, 0.284676, 0.300973, 0.320117, 0.336687, 0.360714, 0.38, 0.400376, 0.440533, 0.458504, 0.5345, 0.574098, 0.616622, 0.643306, 0.6648, 0.692707, 0.73224, 0.777711, 0.813349, 0.877886, 0.942422, 1.00696, 1.2651");
-            values ( \
-              "-0.179646, -0.184213, -0.220871, -0.245092, -0.273059, -0.295853, -0.308247, -0.314164, -0.317937, -0.31988, -0.319383, -0.317061, -0.311393, -0.305048, -0.296272, -0.268974, -0.249506, -0.149385, -0.108055, -0.0747123, -0.0587503, -0.0482751, -0.0372342, -0.0255744, -0.0164912, -0.0115008, -0.00566673, -0.00305645, -0.00189793, -0.000517797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.157351, 0.202711, 0.244247, 0.278132, 0.293877, 0.311716, 0.330204, 0.354854, 0.389138, 0.441984, 0.498392, 0.536614, 0.60115, 0.64244, 0.699368, 0.763904, 0.868252, 0.955275, 1.02348, 1.08801, 1.16832, 1.23285, 1.30547, 1.40598, 1.47051, 1.59959, 1.72866, 1.77448");
-            values ( \
-              "-0.271488, -0.279725, -0.319446, -0.341256, -0.346783, -0.350247, -0.351616, -0.351373, -0.348786, -0.342552, -0.334312, -0.327775, -0.314098, -0.302359, -0.27845, -0.236754, -0.16378, -0.114986, -0.08569, -0.064136, -0.0443763, -0.0327617, -0.0231943, -0.0142324, -0.0102903, -0.00536885, -0.00293393, -0.0026683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.178822, 0.183624, 0.193032, 0.200359, 0.205713, 0.213499, 0.219313, 0.228294, 0.233205, 0.237454, 0.244985, 0.246486, 0.253835, 0.264196, 0.270126, 0.279046, 0.292477, 0.297851, 0.306732, 0.315647, 0.361907, 0.362616");
-            values ( \
-              "-0.0243266, -0.0303383, -0.0527398, -0.0643289, -0.0707963, -0.0796164, -0.0833499, -0.0866925, -0.0873224, -0.0868301, -0.0825384, -0.0810562, -0.0677834, -0.0402908, -0.0284395, -0.0163935, -0.005777, -0.0035851, -0.00301926, -0.0034933, -0.000939575, -0.000925892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.181534, 0.186658, 0.197899, 0.207428, 0.213791, 0.219808, 0.224594, 0.234635, 0.244315, 0.253959, 0.264569, 0.268437, 0.273594, 0.290052, 0.30087, 0.306909, 0.3127, 0.319087, 0.328898, 0.336693, 0.342557, 0.354285, 0.36842, 0.384446, 0.419333, 0.421601");
-            values ( \
-              "-0.0526274, -0.0534748, -0.0872302, -0.107387, -0.118293, -0.126967, -0.13189, -0.138977, -0.141352, -0.140308, -0.130932, -0.124391, -0.111716, -0.0616949, -0.0385835, -0.029236, -0.0222994, -0.0163695, -0.009482, -0.00541589, -0.00381306, -0.00294352, -0.00317209, -0.00284541, -0.00130351, -0.00125394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.184918, 0.195886, 0.210063, 0.218349, 0.227328, 0.242342, 0.256473, 0.265218, 0.277277, 0.284233, 0.296597, 0.298692, 0.302881, 0.31126, 0.334046, 0.345977, 0.363454, 0.377193, 0.390012, 0.400755, 0.4206, 0.444668, 0.599858");
-            values ( \
-              "-0.0864643, -0.103183, -0.144646, -0.163491, -0.178825, -0.195819, -0.202327, -0.203096, -0.20232, -0.200344, -0.191631, -0.189015, -0.182351, -0.161951, -0.095049, -0.0682073, -0.0403827, -0.0262763, -0.0173496, -0.0117942, -0.00506756, -0.0023993, -0.000359361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.187908, 0.201692, 0.217696, 0.224228, 0.23729, 0.249721, 0.259254, 0.282229, 0.30269, 0.317407, 0.332171, 0.339336, 0.350397, 0.362419, 0.386009, 0.420049, 0.435746, 0.460479, 0.469631, 0.485925, 0.504546, 0.519908, 0.536892, 0.559536, 0.599172, 0.647686, 0.713649");
-            values ( \
-              "-0.131607, -0.140903, -0.189785, -0.204825, -0.228151, -0.242848, -0.249907, -0.26043, -0.266973, -0.268104, -0.26507, -0.26183, -0.254034, -0.240223, -0.193102, -0.119183, -0.092796, -0.0611487, -0.0521718, -0.0391408, -0.0279529, -0.0210738, -0.0152845, -0.00960077, -0.00378479, -0.00206207, -0.00150998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.192049, 0.216424, 0.223093, 0.236432, 0.247677, 0.259235, 0.287686, 0.304393, 0.316624, 0.32889, 0.345835, 0.366701, 0.385595, 0.404941, 0.443411, 0.461693, 0.481193, 0.499598, 0.560051, 0.582481, 0.618373, 0.655403, 0.685407, 0.713004, 0.749799, 0.780756, 0.824679, 0.883242, 0.947779, 1.01232, 1.07685, 1.27046");
-            values ( \
-              "-0.176456, -0.202878, -0.221083, -0.249328, -0.266274, -0.278443, -0.299084, -0.309253, -0.314507, -0.317928, -0.31989, -0.31916, -0.31619, -0.311469, -0.296931, -0.286835, -0.272206, -0.253125, -0.172305, -0.145009, -0.108018, -0.0783905, -0.0598624, -0.0465081, -0.0329284, -0.0245402, -0.0160319, -0.00867991, -0.00427884, -0.00251621, -0.00158536, -0.000632344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.202428, 0.258217, 0.293516, 0.316329, 0.347159, 0.362001, 0.386367, 0.41799, 0.46371, 0.570428, 0.641716, 0.693699, 0.743489, 0.808026, 0.900307, 0.940907, 1.00424, 1.07433, 1.13564, 1.1942, 1.2455, 1.31004, 1.40655, 1.5215, 1.58604, 1.77964, 1.84291");
-            values ( \
-              "-0.270936, -0.293627, -0.323628, -0.338479, -0.348887, -0.350884, -0.351796, -0.350169, -0.345352, -0.32961, -0.314988, -0.299966, -0.278421, -0.236769, -0.171486, -0.146415, -0.112744, -0.083227, -0.0630904, -0.0482387, -0.0380535, -0.0279575, -0.017593, -0.00991391, -0.00721077, -0.00280981, -0.00245416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.272293, 0.27916, 0.28903, 0.296268, 0.309243, 0.313181, 0.318536, 0.326194, 0.333758, 0.34132, 0.342685, 0.350159, 0.360524, 0.366471, 0.375393, 0.379784, 0.388821, 0.394204, 0.403059, 0.411973, 0.458249, 0.46001");
-            values ( \
-              "-0.00900336, -0.0292124, -0.0515209, -0.0631855, -0.0786611, -0.0815684, -0.0844035, -0.0867506, -0.08663, -0.0823904, -0.0810903, -0.0677396, -0.0402759, -0.0284019, -0.0163757, -0.0123396, -0.00576548, -0.00359251, -0.00302882, -0.00350236, -0.00094252, -0.000908565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.278144, 0.286799, 0.293, 0.297054, 0.303983, 0.315943, 0.320763, 0.330839, 0.340544, 0.350206, 0.360822, 0.364644, 0.36974, 0.386743, 0.396162, 0.402768, 0.4077, 0.417261, 0.426419, 0.430831, 0.438386, 0.44846, 0.478411, 0.512938, 0.519152");
-            values ( \
-              "-0.0590173, -0.0651681, -0.0835109, -0.092899, -0.107203, -0.126237, -0.131352, -0.138548, -0.14108, -0.140075, -0.130802, -0.124392, -0.112004, -0.0606273, -0.0403346, -0.0297744, -0.0236754, -0.0148801, -0.00871972, -0.00630366, -0.00393305, -0.00301235, -0.0029392, -0.00140386, -0.00125567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.2816, 0.295214, 0.306143, 0.3235, 0.338552, 0.350751, 0.361622, 0.373536, 0.380495, 0.392734, 0.394955, 0.399395, 0.408277, 0.429333, 0.443712, 0.451963, 0.462518, 0.476333, 0.487955, 0.50439, 0.52372, 0.549469, 0.710077");
-            values ( \
-              "-0.0935162, -0.113195, -0.143752, -0.17837, -0.195254, -0.201428, -0.202835, -0.20217, -0.20028, -0.191724, -0.188989, -0.181852, -0.159758, -0.0975802, -0.0653837, -0.0511202, -0.0371302, -0.0240687, -0.0163566, -0.00878429, -0.00372907, -0.00227878, -0.000322181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.284737, 0.304751, 0.320544, 0.334714, 0.346369, 0.357809, 0.38384, 0.403011, 0.413783, 0.429218, 0.435729, 0.446794, 0.45881, 0.4824, 0.50609, 0.534587, 0.551528, 0.568139, 0.586417, 0.605343, 0.631375, 0.649062, 0.676808, 0.721331, 0.802303");
-            values ( \
-              "-0.128331, -0.162874, -0.204431, -0.229212, -0.242957, -0.251094, -0.26242, -0.267592, -0.268143, -0.264812, -0.261879, -0.254011, -0.240262, -0.193077, -0.139534, -0.0891121, -0.0670557, -0.050266, -0.036347, -0.0258571, -0.0158246, -0.0111877, -0.00591574, -0.00251441, -0.00180133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.288881, 0.312832, 0.332349, 0.352296, 0.376542, 0.399039, 0.412356, 0.425166, 0.441546, 0.46081, 0.475915, 0.493179, 0.51701, 0.540857, 0.58093, 0.599465, 0.663671, 0.714508, 0.753532, 0.782392, 0.808041, 0.834986, 0.873804, 0.916309, 0.949314, 1.01385, 1.07839, 1.14292, 1.40107");
-            values ( \
-              "-0.185891, -0.203083, -0.248523, -0.275181, -0.29377, -0.308461, -0.314447, -0.318001, -0.319964, -0.319381, -0.317331, -0.31361, -0.306311, -0.296298, -0.269041, -0.248919, -0.163156, -0.108165, -0.0771413, -0.0595024, -0.047064, -0.0366181, -0.0253214, -0.0168063, -0.0120568, -0.00595728, -0.00316419, -0.00196236, -0.000532303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.293908, 0.334579, 0.354439, 0.403104, 0.433026, 0.450677, 0.474157, 0.501895, 0.529742, 0.582698, 0.638998, 0.677219, 0.741756, 0.783045, 0.839973, 0.90451, 1.00389, 1.10031, 1.17292, 1.23395, 1.28715, 1.3397, 1.40423, 1.46793, 1.57095, 1.63548, 1.76456, 1.89363, 1.91779");
-            values ( \
-              "-0.231489, -0.265574, -0.293179, -0.333057, -0.346466, -0.350097, -0.351744, -0.351055, -0.348779, -0.342528, -0.334303, -0.327784, -0.31409, -0.302349, -0.278459, -0.236773, -0.166946, -0.112874, -0.0824161, -0.0626153, -0.0490799, -0.0384262, -0.0283036, -0.0208998, -0.0126251, -0.00908918, -0.00476322, -0.00262996, -0.00250504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.469244, 0.484609, 0.489366, 0.498716, 0.512042, 0.522968, 0.531256, 0.53935, 0.547438, 0.552213, 0.556613, 0.566899, 0.574006, 0.582735, 0.594258, 0.599778, 0.608608, 0.622282, 0.665215");
-            values ( \
-              "-0.0092776, -0.0335415, -0.0428089, -0.0558741, -0.0703322, -0.0775117, -0.0806627, -0.0814297, -0.0783983, -0.0729363, -0.0650847, -0.039988, -0.0267603, -0.0158164, -0.0066485, -0.00402114, -0.00318318, -0.00352095, -0.000964888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.475818, 0.484911, 0.495278, 0.505797, 0.520176, 0.525271, 0.536116, 0.550143, 0.556548, 0.561638, 0.566919, 0.574617, 0.592252, 0.60396, 0.610357, 0.616853, 0.625515, 0.633779, 0.641107, 0.646278, 0.656618, 0.687271, 0.722125, 0.76899");
-            values ( \
-              "-0.0471439, -0.0486428, -0.0740639, -0.0939976, -0.115865, -0.121159, -0.129847, -0.134404, -0.133632, -0.131818, -0.127362, -0.11495, -0.0645299, -0.0392186, -0.0293146, -0.0216782, -0.0141813, -0.00860153, -0.00515194, -0.00392957, -0.00308596, -0.00287866, -0.00130194, -0.000258116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.479558, 0.492528, 0.509161, 0.517177, 0.528143, 0.544164, 0.550066, 0.565191, 0.573433, 0.580603, 0.587683, 0.600495, 0.605744, 0.611625, 0.640349, 0.64938, 0.667227, 0.681084, 0.693878, 0.711978, 0.726883, 0.752616, 0.781562, 0.82787");
-            values ( \
-              "-0.0723555, -0.0846542, -0.128971, -0.146308, -0.165607, -0.184748, -0.18899, -0.195302, -0.197073, -0.197741, -0.19708, -0.189325, -0.182348, -0.170101, -0.0885037, -0.0687707, -0.0403783, -0.026202, -0.0172615, -0.00877461, -0.00455386, -0.00228436, -0.00238356, -0.0016463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.483204, 0.49852, 0.515326, 0.52509, 0.541453, 0.550108, 0.563652, 0.586854, 0.599324, 0.610554, 0.621294, 0.636533, 0.643306, 0.654393, 0.666418, 0.690034, 0.717679, 0.735838, 0.755054, 0.771098, 0.786372, 0.806738, 0.830746, 0.8467, 0.878607, 0.919899, 1.01385");
-            values ( \
-              "-0.103254, -0.117304, -0.166763, -0.18933, -0.218362, -0.229127, -0.241429, -0.256594, -0.262454, -0.26596, -0.266908, -0.264343, -0.261282, -0.253767, -0.239963, -0.193081, -0.131368, -0.0988181, -0.0718419, -0.0546149, -0.0417742, -0.0287779, -0.0184501, -0.0137002, -0.00669733, -0.00283808, -0.00191413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.492891, 0.523984, 0.546868, 0.559011, 0.583556, 0.606816, 0.620252, 0.632773, 0.649619, 0.670638, 0.696453, 0.728183, 0.74856, 0.788718, 0.806688, 0.882686, 0.922283, 0.964808, 0.991491, 1.01299, 1.04089, 1.08043, 1.1259, 1.16154, 1.22607, 1.29061, 1.35515, 1.61329");
-            values ( \
-              "-0.183302, -0.204789, -0.249185, -0.264422, -0.288414, -0.306411, -0.313221, -0.31713, -0.319497, -0.318924, -0.314653, -0.30504, -0.296272, -0.268967, -0.249506, -0.149384, -0.108055, -0.0747127, -0.0587499, -0.0482746, -0.0372343, -0.025574, -0.0164909, -0.011501, -0.00566646, -0.00305647, -0.00189762, -0.00051761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.498675, 0.54048, 0.569432, 0.592279, 0.613605, 0.628563, 0.645034, 0.663961, 0.679448, 0.697147, 0.747277, 0.810043, 0.874579, 0.946071, 0.997531, 1.04767, 1.11221, 1.21647, 1.30353, 1.37186, 1.4364, 1.51623, 1.58077, 1.65369, 1.7544, 1.81894, 1.94801, 2.07708, 2.13253");
-            values ( \
-              "-0.233433, -0.251647, -0.291492, -0.315613, -0.333059, -0.34127, -0.347011, -0.350448, -0.351484, -0.351474, -0.347733, -0.339807, -0.329639, -0.314923, -0.300061, -0.278453, -0.236739, -0.1638, -0.11498, -0.0856374, -0.0641056, -0.0444476, -0.0328179, -0.0231997, -0.014222, -0.0102811, -0.00536378, -0.00293107, -0.00260996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.878369, 0.891606, 0.912509, 0.933879, 0.944432, 0.954214, 0.963659, 0.973092, 0.981908, 1.00198, 1.01136, 1.02492, 1.03183, 1.04825, 1.08254, 1.09214");
-            values ( \
-              "-0.0146568, -0.0194299, -0.0421209, -0.0593946, -0.0650911, -0.0686481, -0.0703593, -0.0689641, -0.0614593, -0.0250108, -0.0147172, -0.00510937, -0.00393512, -0.00385653, -0.00140009, -0.00120394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.884402, 0.899515, 0.914687, 0.922415, 0.934537, 0.946801, 0.959476, 0.971341, 0.982858, 0.994364, 1.00151, 1.00688, 1.02274, 1.03361, 1.04027, 1.04677, 1.05331, 1.06353, 1.0689, 1.0796, 1.10893, 1.16263, 1.18616");
-            values ( \
-              "-0.0347788, -0.0400246, -0.064111, -0.0745482, -0.0899871, -0.10248, -0.111644, -0.117012, -0.119065, -0.115866, -0.108936, -0.0983791, -0.0587462, -0.0378277, -0.0282926, -0.0211233, -0.0154534, -0.0085163, -0.00588526, -0.00376339, -0.00328204, -0.000942491, -0.000424094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.884474, 0.897747, 0.935777, 0.949773, 0.960547, 0.968292, 0.981502, 1.00326, 1.00766, 1.01643, 1.02939, 1.03659, 1.0462, 1.07185, 1.0852, 1.09481, 1.10428, 1.11691, 1.12658, 1.14288, 1.1623, 1.18858, 1.27226");
-            values ( \
-              "-0.0353592, -0.0494681, -0.120033, -0.140943, -0.153953, -0.161439, -0.170877, -0.181154, -0.18282, -0.184918, -0.18146, -0.174131, -0.153868, -0.0850174, -0.0585024, -0.0440032, -0.0329865, -0.0221337, -0.016125, -0.00872249, -0.00370107, -0.00242551, -0.00132118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.893062, 0.914948, 0.93302, 0.946028, 0.965731, 0.97759, 0.990888, 1.02042, 1.02847, 1.04026, 1.05131, 1.06814, 1.07383, 1.08516, 1.09732, 1.12125, 1.14801, 1.16331, 1.17481, 1.18668, 1.20762, 1.21592, 1.24332, 1.25723, 1.27484, 1.29832, 1.33707, 1.38652, 1.41891");
-            values ( \
-              "-0.0723113, -0.0945803, -0.135218, -0.160462, -0.191686, -0.205804, -0.218701, -0.244398, -0.249916, -0.25613, -0.259081, -0.258464, -0.256456, -0.249997, -0.237292, -0.191671, -0.132725, -0.104812, -0.0870581, -0.0713953, -0.0497627, -0.0430354, -0.0270958, -0.021053, -0.0150929, -0.00928125, -0.0038014, -0.00207587, -0.00180138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.903206, 0.938203, 0.958224, 0.973465, 1.02245, 1.03559, 1.05001, 1.063, 1.081, 1.1015, 1.12953, 1.14013, 1.15958, 1.18005, 1.21584, 1.23771, 1.30251, 1.33817, 1.35977, 1.38987, 1.42129, 1.44852, 1.48482, 1.53115, 1.56091, 1.62043, 1.68497, 1.74951, 1.81404, 2.00765");
-            values ( \
-              "-0.121971, -0.159483, -0.199603, -0.223316, -0.281457, -0.293947, -0.304015, -0.30998, -0.314306, -0.315157, -0.311577, -0.309083, -0.303087, -0.294663, -0.271836, -0.249758, -0.16376, -0.123427, -0.102905, -0.0792408, -0.059855, -0.0466737, -0.0331014, -0.0212673, -0.0160184, -0.00848479, -0.00427307, -0.00242755, -0.00162874, -0.000569554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.918012, 0.974107, 1.02104, 1.0485, 1.0648, 1.0814, 1.09696, 1.12403, 1.15959, 1.18743, 1.28654, 1.31684, 1.37746, 1.4305, 1.47959, 1.54412, 1.64838, 1.73544, 1.80381, 1.86835, 1.94806, 2.01259, 2.0856, 2.18636, 2.25089, 2.37997, 2.50904, 2.5405");
-            values ( \
-              "-0.205843, -0.236939, -0.299015, -0.326335, -0.336404, -0.342833, -0.346299, -0.348583, -0.347451, -0.344884, -0.332759, -0.327665, -0.315047, -0.299698, -0.278438, -0.236741, -0.163803, -0.114978, -0.0856242, -0.0640977, -0.0444651, -0.0328314, -0.0232009, -0.0142196, -0.0102795, -0.00536262, -0.00293028, -0.00274816" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00692961, 0.00693092, 0.00693229, 0.00693337, 0.00693405, 0.00693442", \
-            "0.00817791, 0.00817844, 0.00817919, 0.00817994, 0.00818049, 0.00818083", \
-            "0.00893354, 0.00893362, 0.00893383, 0.00893417, 0.0089345, 0.00893474", \
-            "0.00937869, 0.0093787, 0.00937874, 0.00937884, 0.00937898, 0.00937912", \
-            "0.0096122, 0.0096122, 0.00961222, 0.00961225, 0.0096123, 0.00961237", \
-            "0.00975019, 0.00975019, 0.00975022, 0.00975024, 0.00975026, 0.00975029" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00970505, 0.00970568, 0.00970666, 0.00970774, 0.00970852, 0.009709", \
-            "0.0106204, 0.0106205, 0.0106208, 0.0106214, 0.0106219, 0.0106223", \
-            "0.0110018, 0.0110021, 0.0110024, 0.0110028, 0.0110032, 0.0110036", \
-            "0.0112658, 0.0112649, 0.011264, 0.0112635, 0.0112633, 0.0112633", \
-            "0.0119153, 0.0119133, 0.0119103, 0.0119069, 0.0119041, 0.0119022", \
-            "0.0123699, 0.0124118, 0.0124337, 0.0124476, 0.0124518, 0.0124564" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_sense : positive_unate;
-        timing_type : clear;
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.154565, 0.169006, 0.195029, 0.242021, 0.329391, 0.505372", \
-            "0.160417, 0.174859, 0.200894, 0.247926, 0.335297, 0.511261", \
-            "0.173591, 0.188027, 0.214073, 0.261128, 0.348541, 0.524523", \
-            "0.201671, 0.216097, 0.242196, 0.289345, 0.37682, 0.552841", \
-            "0.256161, 0.271341, 0.298428, 0.34623, 0.433906, 0.609996", \
-            "0.34641, 0.363409, 0.39314, 0.443307, 0.532167, 0.708851" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0480861, 0.0613244, 0.0876732, 0.139729, 0.248573, 0.483383", \
-            "0.0480898, 0.0613256, 0.0876712, 0.139728, 0.248572, 0.483454", \
-            "0.0481071, 0.0613409, 0.0876757, 0.139715, 0.248451, 0.483379", \
-            "0.0483191, 0.0615006, 0.0877729, 0.13974, 0.248563, 0.483445", \
-            "0.0520985, 0.0650627, 0.090548, 0.14123, 0.248893, 0.4834", \
-            "0.0612048, 0.0743202, 0.0990093, 0.147299, 0.251837, 0.484512" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0070088", \
-            "0.00893343", \
-            "0.0101256", \
-            "0.0107079", \
-            "0.0109698", \
-            "0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0100415", \
-            "0.0103029", \
-            "0.00952997", \
-            "0.00886385", \
-            "0.00859958", \
-            "0.00848352" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.114355, 0.129201, 0.136594, 0.149665, 0.158468, 0.16445, 0.169369, 0.17361, 0.181139, 0.182643, 0.186956, 0.189988, 0.200349, 0.206278, 0.210026, 0.215198, 0.219594, 0.228628, 0.234001, 0.242884, 0.251797, 0.261543, 0.298055, 0.299936");
-            values ( \
-              "-0.0171509, -0.0528297, -0.0645176, -0.0796893, -0.0848795, -0.0867103, -0.0873373, -0.086863, -0.0825425, -0.0810638, -0.0740827, -0.0677912, -0.0402942, -0.0284416, -0.0225958, -0.0163954, -0.0123499, -0.00577922, -0.00358454, -0.00301952, -0.00349217, -0.0031858, -0.000938788, -0.000883269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00754106");
-            index_3 ("0.117151, 0.122912, 0.13413, 0.143597, 0.149987, 0.156, 0.160784, 0.17082, 0.180498, 0.190141, 0.20075, 0.204622, 0.209784, 0.226225, 0.23706, 0.248869, 0.255259, 0.26507, 0.272868, 0.278734, 0.290466, 0.320628, 0.355515, 0.356691");
-            values ( \
-              "-0.0409263, -0.0534631, -0.0875274, -0.107479, -0.118359, -0.127061, -0.13194, -0.139028, -0.141375, -0.140331, -0.130944, -0.12439, -0.111692, -0.0617112, -0.0385662, -0.0223101, -0.0163754, -0.00948556, -0.00541687, -0.00381293, -0.00294292, -0.0028451, -0.00130331, -0.00127759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156216");
-            index_3 ("0.120642, 0.131921, 0.146237, 0.154666, 0.163499, 0.178513, 0.192642, 0.2014, 0.213446, 0.220402, 0.232783, 0.234861, 0.239016, 0.247327, 0.270348, 0.281953, 0.299619, 0.313479, 0.326257, 0.344308, 0.356221, 0.379343, 0.533515");
-            values ( \
-              "-0.0788411, -0.102922, -0.144601, -0.163874, -0.178777, -0.195891, -0.202369, -0.203125, -0.202342, -0.200328, -0.191628, -0.189005, -0.182425, -0.162236, -0.0947147, -0.0685843, -0.0403913, -0.0261854, -0.0172977, -0.00882147, -0.00520553, -0.00245616, -0.000391612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0323607");
-            index_3 ("0.124916, 0.144574, 0.160334, 0.173435, 0.185916, 0.200411, 0.219368, 0.237397, 0.244972, 0.253541, 0.26995, 0.275406, 0.286318, 0.298553, 0.322135, 0.35625, 0.371859, 0.396447, 0.405726, 0.422248, 0.44113, 0.456194, 0.472881, 0.49513, 0.53471, 0.582976, 0.645103");
-            values ( \
-              "-0.132811, -0.163519, -0.204821, -0.227932, -0.242985, -0.25253, -0.260811, -0.266659, -0.267885, -0.268082, -0.264437, -0.261861, -0.254224, -0.240218, -0.193129, -0.119059, -0.0928296, -0.0613264, -0.0522082, -0.0390103, -0.0277229, -0.0210143, -0.0153276, -0.00971473, -0.00383545, -0.00207152, -0.00155045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0670364");
-            index_3 ("0.129183, 0.158298, 0.174523, 0.193712, 0.238797, 0.251871, 0.264763, 0.281013, 0.302573, 0.317183, 0.340744, 0.354537, 0.380469, 0.420495, 0.440488, 0.51249, 0.552454, 0.5972, 0.623577, 0.665594, 0.709372, 0.764288, 0.80531, 0.869847, 0.99892, 1.01358");
-            values ( \
-              "-0.185409, -0.219497, -0.252933, -0.277035, -0.308343, -0.314324, -0.317837, -0.319932, -0.319186, -0.31706, -0.311489, -0.306975, -0.296356, -0.269028, -0.247226, -0.151805, -0.109603, -0.0744551, -0.0585956, -0.0399059, -0.0262166, -0.0153841, -0.0102044, -0.00491741, -0.00167966, -0.0016079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.138868");
-            index_3 ("0.134268, 0.174096, 0.194072, 0.241492, 0.255123, 0.284604, 0.298032, 0.322989, 0.355321, 0.397167, 0.441926, 0.506463, 0.577753, 0.629729, 0.679524, 0.744061, 0.840886, 0.932659, 1.02255, 1.09138, 1.17546, 1.24, 1.30932, 1.41632, 1.48085, 1.54539, 1.67446, 1.75369");
-            values ( \
-              "-0.24206, -0.26526, -0.293155, -0.332063, -0.33983, -0.349148, -0.350829, -0.351807, -0.350038, -0.34564, -0.33985, -0.329591, -0.315006, -0.299983, -0.278406, -0.236784, -0.168527, -0.116301, -0.0787677, -0.0577093, -0.0390807, -0.0288764, -0.0206759, -0.012219, -0.00887568, -0.00633623, -0.00339064, -0.00249237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.133857, 0.148899, 0.15633, 0.169409, 0.178184, 0.184222, 0.189035, 0.193327, 0.200856, 0.20236, 0.206673, 0.209706, 0.220067, 0.225995, 0.229743, 0.234915, 0.239311, 0.248345, 0.253719, 0.262601, 0.271516, 0.281261, 0.317774, 0.33547, 0.358229");
-            values ( \
-              "-0.0153581, -0.0527263, -0.0644998, -0.0796754, -0.084861, -0.0867274, -0.0873418, -0.086852, -0.0825486, -0.0810638, -0.0740837, -0.0677902, -0.040294, -0.0284414, -0.022597, -0.0163947, -0.0123489, -0.00577805, -0.00358519, -0.0030186, -0.00349297, -0.00318489, -0.000939622, -0.000415546, -0.000158304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00754106");
-            index_3 ("0.13689, 0.154067, 0.16349, 0.169911, 0.175926, 0.180708, 0.190745, 0.200423, 0.210066, 0.220675, 0.224545, 0.229706, 0.246152, 0.256982, 0.268797, 0.275186, 0.284997, 0.292795, 0.29866, 0.310391, 0.340555, 0.36542");
-            values ( \
-              "-0.0382054, -0.0875878, -0.107437, -0.118326, -0.127078, -0.131915, -0.139043, -0.141355, -0.140349, -0.130945, -0.124393, -0.1117, -0.0617067, -0.0385705, -0.0223072, -0.0163733, -0.00948475, -0.00541676, -0.00381252, -0.00294335, -0.00284544, -0.0017465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156216");
-            index_3 ("0.1403, 0.151724, 0.16606, 0.174564, 0.18332, 0.198331, 0.212461, 0.221244, 0.233264, 0.24022, 0.252565, 0.254678, 0.258905, 0.267359, 0.289885, 0.30218, 0.317011, 0.324307, 0.333108, 0.345952, 0.364366, 0.376639, 0.400549, 0.555592");
-            values ( \
-              "-0.0760811, -0.102925, -0.144633, -0.164051, -0.178796, -0.195898, -0.202367, -0.20312, -0.202333, -0.200342, -0.191659, -0.189019, -0.182276, -0.161631, -0.0954154, -0.0677625, -0.0435353, -0.0347795, -0.0263622, -0.0173515, -0.00871565, -0.00508565, -0.00237956, -0.000390825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0323607");
-            index_3 ("0.143549, 0.157518, 0.173275, 0.180208, 0.194072, 0.205998, 0.217459, 0.243251, 0.262614, 0.273387, 0.288744, 0.295336, 0.306401, 0.318419, 0.34201, 0.367103, 0.383632, 0.403517, 0.417795, 0.431779, 0.451706, 0.473022, 0.487399, 0.512927, 0.543868, 0.59043, 0.687057");
-            values ( \
-              "-0.125655, -0.140384, -0.188764, -0.204706, -0.229334, -0.243052, -0.251474, -0.262402, -0.267587, -0.268073, -0.264837, -0.261831, -0.254009, -0.240235, -0.193085, -0.13666, -0.105708, -0.0762844, -0.0598577, -0.0468114, -0.0328077, -0.0222882, -0.0169246, -0.0101093, -0.00508266, -0.00217324, -0.00140782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0670364");
-            index_3 ("0.147138, 0.166365, 0.178856, 0.189944, 0.209487, 0.238959, 0.258308, 0.271442, 0.284676, 0.300973, 0.320117, 0.336687, 0.360714, 0.38, 0.400376, 0.440533, 0.458504, 0.5345, 0.574098, 0.616622, 0.643306, 0.6648, 0.692707, 0.73224, 0.777711, 0.813349, 0.877886, 0.942422, 1.00696, 1.2651");
-            values ( \
-              "-0.179646, -0.184213, -0.220871, -0.245092, -0.273059, -0.295853, -0.308247, -0.314164, -0.317937, -0.31988, -0.319383, -0.317061, -0.311393, -0.305048, -0.296272, -0.268974, -0.249506, -0.149385, -0.108055, -0.0747123, -0.0587503, -0.0482751, -0.0372342, -0.0255744, -0.0164912, -0.0115008, -0.00566673, -0.00305645, -0.00189793, -0.000517797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.138868");
-            index_3 ("0.157351, 0.202711, 0.244247, 0.278132, 0.293877, 0.311716, 0.330204, 0.354854, 0.389138, 0.441984, 0.498392, 0.536614, 0.60115, 0.64244, 0.699368, 0.763904, 0.868252, 0.955275, 1.02348, 1.08801, 1.16832, 1.23285, 1.30547, 1.40598, 1.47051, 1.59959, 1.72866, 1.77448");
-            values ( \
-              "-0.271488, -0.279725, -0.319446, -0.341256, -0.346783, -0.350247, -0.351616, -0.351373, -0.348786, -0.342552, -0.334312, -0.327775, -0.314098, -0.302359, -0.27845, -0.236754, -0.16378, -0.114986, -0.08569, -0.064136, -0.0443763, -0.0327617, -0.0231943, -0.0142324, -0.0102903, -0.00536885, -0.00293393, -0.0026683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.178822, 0.183624, 0.193032, 0.200359, 0.205713, 0.213499, 0.219313, 0.228294, 0.233205, 0.237454, 0.244985, 0.246486, 0.253835, 0.264196, 0.270126, 0.279046, 0.292477, 0.297851, 0.306732, 0.315647, 0.361907, 0.362616");
-            values ( \
-              "-0.0243266, -0.0303383, -0.0527398, -0.0643289, -0.0707963, -0.0796164, -0.0833499, -0.0866925, -0.0873224, -0.0868301, -0.0825384, -0.0810562, -0.0677834, -0.0402908, -0.0284395, -0.0163935, -0.005777, -0.0035851, -0.00301926, -0.0034933, -0.000939575, -0.000925892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00754106");
-            index_3 ("0.181534, 0.186658, 0.197899, 0.207428, 0.213791, 0.219808, 0.224594, 0.234635, 0.244315, 0.253959, 0.264569, 0.268437, 0.273594, 0.290052, 0.30087, 0.306909, 0.3127, 0.319087, 0.328898, 0.336693, 0.342557, 0.354285, 0.36842, 0.384446, 0.419333, 0.421601");
-            values ( \
-              "-0.0526274, -0.0534748, -0.0872302, -0.107387, -0.118293, -0.126967, -0.13189, -0.138977, -0.141352, -0.140308, -0.130932, -0.124391, -0.111716, -0.0616949, -0.0385835, -0.029236, -0.0222994, -0.0163695, -0.009482, -0.00541589, -0.00381306, -0.00294352, -0.00317209, -0.00284541, -0.00130351, -0.00125394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156216");
-            index_3 ("0.184918, 0.195886, 0.210063, 0.218349, 0.227328, 0.242342, 0.256473, 0.265218, 0.277277, 0.284233, 0.296597, 0.298692, 0.302881, 0.31126, 0.334046, 0.345977, 0.363454, 0.377193, 0.390012, 0.400755, 0.4206, 0.444668, 0.599858");
-            values ( \
-              "-0.0864643, -0.103183, -0.144646, -0.163491, -0.178825, -0.195819, -0.202327, -0.203096, -0.20232, -0.200344, -0.191631, -0.189015, -0.182351, -0.161951, -0.095049, -0.0682073, -0.0403827, -0.0262763, -0.0173496, -0.0117942, -0.00506756, -0.0023993, -0.000359361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0323607");
-            index_3 ("0.187908, 0.201692, 0.217696, 0.224228, 0.23729, 0.249721, 0.259254, 0.282229, 0.30269, 0.317407, 0.332171, 0.339336, 0.350397, 0.362419, 0.386009, 0.420049, 0.435746, 0.460479, 0.469631, 0.485925, 0.504546, 0.519908, 0.536892, 0.559536, 0.599172, 0.647686, 0.713649");
-            values ( \
-              "-0.131607, -0.140903, -0.189785, -0.204825, -0.228151, -0.242848, -0.249907, -0.26043, -0.266973, -0.268104, -0.26507, -0.26183, -0.254034, -0.240223, -0.193102, -0.119183, -0.092796, -0.0611487, -0.0521718, -0.0391408, -0.0279529, -0.0210738, -0.0152845, -0.00960077, -0.00378479, -0.00206207, -0.00150998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0670364");
-            index_3 ("0.192049, 0.216424, 0.223093, 0.236432, 0.247677, 0.259235, 0.287686, 0.304393, 0.316624, 0.32889, 0.345835, 0.366701, 0.385595, 0.404941, 0.443411, 0.461693, 0.481193, 0.499598, 0.560051, 0.582481, 0.618373, 0.655403, 0.685407, 0.713004, 0.749799, 0.780756, 0.824679, 0.883242, 0.947779, 1.01232, 1.07685, 1.27046");
-            values ( \
-              "-0.176456, -0.202878, -0.221083, -0.249328, -0.266274, -0.278443, -0.299084, -0.309253, -0.314507, -0.317928, -0.31989, -0.31916, -0.31619, -0.311469, -0.296931, -0.286835, -0.272206, -0.253125, -0.172305, -0.145009, -0.108018, -0.0783905, -0.0598624, -0.0465081, -0.0329284, -0.0245402, -0.0160319, -0.00867991, -0.00427884, -0.00251621, -0.00158536, -0.000632344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.138868");
-            index_3 ("0.202428, 0.258217, 0.293516, 0.316329, 0.347159, 0.362001, 0.386367, 0.41799, 0.46371, 0.570428, 0.641716, 0.693699, 0.743489, 0.808026, 0.900307, 0.940907, 1.00424, 1.07433, 1.13564, 1.1942, 1.2455, 1.31004, 1.40655, 1.5215, 1.58604, 1.77964, 1.84291");
-            values ( \
-              "-0.270936, -0.293627, -0.323628, -0.338479, -0.348887, -0.350884, -0.351796, -0.350169, -0.345352, -0.32961, -0.314988, -0.299966, -0.278421, -0.236769, -0.171486, -0.146415, -0.112744, -0.083227, -0.0630904, -0.0482387, -0.0380535, -0.0279575, -0.017593, -0.00991391, -0.00721077, -0.00280981, -0.00245416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.272293, 0.27916, 0.28903, 0.296268, 0.309243, 0.313181, 0.318536, 0.326194, 0.333758, 0.34132, 0.342685, 0.350159, 0.360524, 0.366471, 0.375393, 0.379784, 0.388821, 0.394204, 0.403059, 0.411973, 0.458249, 0.46001");
-            values ( \
-              "-0.00900336, -0.0292124, -0.0515209, -0.0631855, -0.0786611, -0.0815684, -0.0844035, -0.0867506, -0.08663, -0.0823904, -0.0810903, -0.0677396, -0.0402759, -0.0284019, -0.0163757, -0.0123396, -0.00576548, -0.00359251, -0.00302882, -0.00350236, -0.00094252, -0.000908565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00754106");
-            index_3 ("0.278144, 0.286799, 0.293, 0.297054, 0.303983, 0.315943, 0.320763, 0.330839, 0.340544, 0.350206, 0.360822, 0.364644, 0.36974, 0.386743, 0.396162, 0.402768, 0.4077, 0.417261, 0.426419, 0.430831, 0.438386, 0.44846, 0.478411, 0.512938, 0.519152");
-            values ( \
-              "-0.0590173, -0.0651681, -0.0835109, -0.092899, -0.107203, -0.126237, -0.131352, -0.138548, -0.14108, -0.140075, -0.130802, -0.124392, -0.112004, -0.0606273, -0.0403346, -0.0297744, -0.0236754, -0.0148801, -0.00871972, -0.00630366, -0.00393305, -0.00301235, -0.0029392, -0.00140386, -0.00125567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156216");
-            index_3 ("0.2816, 0.295214, 0.306143, 0.3235, 0.338552, 0.350751, 0.361622, 0.373536, 0.380495, 0.392734, 0.394955, 0.399395, 0.408277, 0.429333, 0.443712, 0.451963, 0.462518, 0.476333, 0.487955, 0.50439, 0.52372, 0.549469, 0.710077");
-            values ( \
-              "-0.0935162, -0.113195, -0.143752, -0.17837, -0.195254, -0.201428, -0.202835, -0.20217, -0.20028, -0.191724, -0.188989, -0.181852, -0.159758, -0.0975802, -0.0653837, -0.0511202, -0.0371302, -0.0240687, -0.0163566, -0.00878429, -0.00372907, -0.00227878, -0.000322181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0323607");
-            index_3 ("0.284737, 0.304751, 0.320544, 0.334714, 0.346369, 0.357809, 0.38384, 0.403011, 0.413783, 0.429218, 0.435729, 0.446794, 0.45881, 0.4824, 0.50609, 0.534587, 0.551528, 0.568139, 0.586417, 0.605343, 0.631375, 0.649062, 0.676808, 0.721331, 0.802303");
-            values ( \
-              "-0.128331, -0.162874, -0.204431, -0.229212, -0.242957, -0.251094, -0.26242, -0.267592, -0.268143, -0.264812, -0.261879, -0.254011, -0.240262, -0.193077, -0.139534, -0.0891121, -0.0670557, -0.050266, -0.036347, -0.0258571, -0.0158246, -0.0111877, -0.00591574, -0.00251441, -0.00180133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0670364");
-            index_3 ("0.288881, 0.312832, 0.332349, 0.352296, 0.376542, 0.399039, 0.412356, 0.425166, 0.441546, 0.46081, 0.475915, 0.493179, 0.51701, 0.540857, 0.58093, 0.599465, 0.663671, 0.714508, 0.753532, 0.782392, 0.808041, 0.834986, 0.873804, 0.916309, 0.949314, 1.01385, 1.07839, 1.14292, 1.40107");
-            values ( \
-              "-0.185891, -0.203083, -0.248523, -0.275181, -0.29377, -0.308461, -0.314447, -0.318001, -0.319964, -0.319381, -0.317331, -0.31361, -0.306311, -0.296298, -0.269041, -0.248919, -0.163156, -0.108165, -0.0771413, -0.0595024, -0.047064, -0.0366181, -0.0253214, -0.0168063, -0.0120568, -0.00595728, -0.00316419, -0.00196236, -0.000532303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.138868");
-            index_3 ("0.293908, 0.334579, 0.354439, 0.403104, 0.433026, 0.450677, 0.474157, 0.501895, 0.529742, 0.582698, 0.638998, 0.677219, 0.741756, 0.783045, 0.839973, 0.90451, 1.00389, 1.10031, 1.17292, 1.23395, 1.28715, 1.3397, 1.40423, 1.46793, 1.57095, 1.63548, 1.76456, 1.89363, 1.91779");
-            values ( \
-              "-0.231489, -0.265574, -0.293179, -0.333057, -0.346466, -0.350097, -0.351744, -0.351055, -0.348779, -0.342528, -0.334303, -0.327784, -0.31409, -0.302349, -0.278459, -0.236773, -0.166946, -0.112874, -0.0824161, -0.0626153, -0.0490799, -0.0384262, -0.0283036, -0.0208998, -0.0126251, -0.00908918, -0.00476322, -0.00262996, -0.00250504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.469244, 0.484609, 0.489366, 0.498716, 0.512042, 0.522968, 0.531256, 0.53935, 0.547438, 0.552213, 0.556613, 0.566899, 0.574006, 0.582735, 0.594258, 0.599778, 0.608608, 0.622282, 0.665215");
-            values ( \
-              "-0.0092776, -0.0335415, -0.0428089, -0.0558741, -0.0703322, -0.0775117, -0.0806627, -0.0814297, -0.0783983, -0.0729363, -0.0650847, -0.039988, -0.0267603, -0.0158164, -0.0066485, -0.00402114, -0.00318318, -0.00352095, -0.000964888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00754106");
-            index_3 ("0.475818, 0.484911, 0.495278, 0.505797, 0.520176, 0.525271, 0.536116, 0.550143, 0.556548, 0.561638, 0.566919, 0.574617, 0.592252, 0.60396, 0.610357, 0.616853, 0.625515, 0.633779, 0.641107, 0.646278, 0.656618, 0.687271, 0.722125, 0.76899");
-            values ( \
-              "-0.0471439, -0.0486428, -0.0740639, -0.0939976, -0.115865, -0.121159, -0.129847, -0.134404, -0.133632, -0.131818, -0.127362, -0.11495, -0.0645299, -0.0392186, -0.0293146, -0.0216782, -0.0141813, -0.00860153, -0.00515194, -0.00392957, -0.00308596, -0.00287866, -0.00130194, -0.000258116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156216");
-            index_3 ("0.479558, 0.492528, 0.509161, 0.517177, 0.528143, 0.544164, 0.550066, 0.565191, 0.573433, 0.580603, 0.587683, 0.600495, 0.605744, 0.611625, 0.640349, 0.64938, 0.667227, 0.681084, 0.693878, 0.711978, 0.726883, 0.752616, 0.781562, 0.82787");
-            values ( \
-              "-0.0723555, -0.0846542, -0.128971, -0.146308, -0.165607, -0.184748, -0.18899, -0.195302, -0.197073, -0.197741, -0.19708, -0.189325, -0.182348, -0.170101, -0.0885037, -0.0687707, -0.0403783, -0.026202, -0.0172615, -0.00877461, -0.00455386, -0.00228436, -0.00238356, -0.0016463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0323607");
-            index_3 ("0.483204, 0.49852, 0.515326, 0.52509, 0.541453, 0.550108, 0.563652, 0.586854, 0.599324, 0.610554, 0.621294, 0.636533, 0.643306, 0.654393, 0.666418, 0.690034, 0.717679, 0.735838, 0.755054, 0.771098, 0.786372, 0.806738, 0.830746, 0.8467, 0.878607, 0.919899, 1.01385");
-            values ( \
-              "-0.103254, -0.117304, -0.166763, -0.18933, -0.218362, -0.229127, -0.241429, -0.256594, -0.262454, -0.26596, -0.266908, -0.264343, -0.261282, -0.253767, -0.239963, -0.193081, -0.131368, -0.0988181, -0.0718419, -0.0546149, -0.0417742, -0.0287779, -0.0184501, -0.0137002, -0.00669733, -0.00283808, -0.00191413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0670364");
-            index_3 ("0.492891, 0.523984, 0.546868, 0.559011, 0.583556, 0.606816, 0.620252, 0.632773, 0.649619, 0.670638, 0.696453, 0.728183, 0.74856, 0.788718, 0.806688, 0.882686, 0.922283, 0.964808, 0.991491, 1.01299, 1.04089, 1.08043, 1.1259, 1.16154, 1.22607, 1.29061, 1.35515, 1.61329");
-            values ( \
-              "-0.183302, -0.204789, -0.249185, -0.264422, -0.288414, -0.306411, -0.313221, -0.31713, -0.319497, -0.318924, -0.314653, -0.30504, -0.296272, -0.268967, -0.249506, -0.149384, -0.108055, -0.0747127, -0.0587499, -0.0482746, -0.0372343, -0.025574, -0.0164909, -0.011501, -0.00566646, -0.00305647, -0.00189762, -0.00051761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.138868");
-            index_3 ("0.498675, 0.54048, 0.569432, 0.592279, 0.613605, 0.628563, 0.645034, 0.663961, 0.679448, 0.697147, 0.747277, 0.810043, 0.874579, 0.946071, 0.997531, 1.04767, 1.11221, 1.21647, 1.30353, 1.37186, 1.4364, 1.51623, 1.58077, 1.65369, 1.7544, 1.81894, 1.94801, 2.07708, 2.13253");
-            values ( \
-              "-0.233433, -0.251647, -0.291492, -0.315613, -0.333059, -0.34127, -0.347011, -0.350448, -0.351484, -0.351474, -0.347733, -0.339807, -0.329639, -0.314923, -0.300061, -0.278453, -0.236739, -0.1638, -0.11498, -0.0856374, -0.0641056, -0.0444476, -0.0328179, -0.0231997, -0.014222, -0.0102811, -0.00536378, -0.00293107, -0.00260996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.878369, 0.891606, 0.912509, 0.933879, 0.944432, 0.954214, 0.963659, 0.973092, 0.981908, 1.00198, 1.01136, 1.02492, 1.03183, 1.04825, 1.08254, 1.09214");
-            values ( \
-              "-0.0146568, -0.0194299, -0.0421209, -0.0593946, -0.0650911, -0.0686481, -0.0703593, -0.0689641, -0.0614593, -0.0250108, -0.0147172, -0.00510937, -0.00393512, -0.00385653, -0.00140009, -0.00120394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00754106");
-            index_3 ("0.884402, 0.899515, 0.914687, 0.922415, 0.934537, 0.946801, 0.959476, 0.971341, 0.982858, 0.994364, 1.00151, 1.00688, 1.02274, 1.03361, 1.04027, 1.04677, 1.05331, 1.06353, 1.0689, 1.0796, 1.10893, 1.16263, 1.18616");
-            values ( \
-              "-0.0347788, -0.0400246, -0.064111, -0.0745482, -0.0899871, -0.10248, -0.111644, -0.117012, -0.119065, -0.115866, -0.108936, -0.0983791, -0.0587462, -0.0378277, -0.0282926, -0.0211233, -0.0154534, -0.0085163, -0.00588526, -0.00376339, -0.00328204, -0.000942491, -0.000424094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156216");
-            index_3 ("0.884474, 0.897747, 0.935777, 0.949773, 0.960547, 0.968292, 0.981502, 1.00326, 1.00766, 1.01643, 1.02939, 1.03659, 1.0462, 1.07185, 1.0852, 1.09481, 1.10428, 1.11691, 1.12658, 1.14288, 1.1623, 1.18858, 1.27226");
-            values ( \
-              "-0.0353592, -0.0494681, -0.120033, -0.140943, -0.153953, -0.161439, -0.170877, -0.181154, -0.18282, -0.184918, -0.18146, -0.174131, -0.153868, -0.0850174, -0.0585024, -0.0440032, -0.0329865, -0.0221337, -0.016125, -0.00872249, -0.00370107, -0.00242551, -0.00132118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0323607");
-            index_3 ("0.893062, 0.914948, 0.93302, 0.946028, 0.965731, 0.97759, 0.990888, 1.02042, 1.02847, 1.04026, 1.05131, 1.06814, 1.07383, 1.08516, 1.09732, 1.12125, 1.14801, 1.16331, 1.17481, 1.18668, 1.20762, 1.21592, 1.24332, 1.25723, 1.27484, 1.29832, 1.33707, 1.38652, 1.41891");
-            values ( \
-              "-0.0723113, -0.0945803, -0.135218, -0.160462, -0.191686, -0.205804, -0.218701, -0.244398, -0.249916, -0.25613, -0.259081, -0.258464, -0.256456, -0.249997, -0.237292, -0.191671, -0.132725, -0.104812, -0.0870581, -0.0713953, -0.0497627, -0.0430354, -0.0270958, -0.021053, -0.0150929, -0.00928125, -0.0038014, -0.00207587, -0.00180138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0670364");
-            index_3 ("0.903206, 0.938203, 0.958224, 0.973465, 1.02245, 1.03559, 1.05001, 1.063, 1.081, 1.1015, 1.12953, 1.14013, 1.15958, 1.18005, 1.21584, 1.23771, 1.30251, 1.33817, 1.35977, 1.38987, 1.42129, 1.44852, 1.48482, 1.53115, 1.56091, 1.62043, 1.68497, 1.74951, 1.81404, 2.00765");
-            values ( \
-              "-0.121971, -0.159483, -0.199603, -0.223316, -0.281457, -0.293947, -0.304015, -0.30998, -0.314306, -0.315157, -0.311577, -0.309083, -0.303087, -0.294663, -0.271836, -0.249758, -0.16376, -0.123427, -0.102905, -0.0792408, -0.059855, -0.0466737, -0.0331014, -0.0212673, -0.0160184, -0.00848479, -0.00427307, -0.00242755, -0.00162874, -0.000569554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.138868");
-            index_3 ("0.918012, 0.974107, 1.02104, 1.0485, 1.0648, 1.0814, 1.09696, 1.12403, 1.15959, 1.18743, 1.28654, 1.31684, 1.37746, 1.4305, 1.47959, 1.54412, 1.64838, 1.73544, 1.80381, 1.86835, 1.94806, 2.01259, 2.0856, 2.18636, 2.25089, 2.37997, 2.50904, 2.5405");
-            values ( \
-              "-0.205843, -0.236939, -0.299015, -0.326335, -0.336404, -0.342833, -0.346299, -0.348583, -0.347451, -0.344884, -0.332759, -0.327665, -0.315047, -0.299698, -0.278438, -0.236741, -0.163803, -0.114978, -0.0856242, -0.0640977, -0.0444651, -0.0328314, -0.0232009, -0.0142196, -0.0102795, -0.00536262, -0.00293028, -0.00274816" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00692961, 0.00693092, 0.00693229, 0.00693337, 0.00693405, 0.00693442", \
-            "0.00817791, 0.00817844, 0.00817919, 0.00817994, 0.00818049, 0.00818083", \
-            "0.00893354, 0.00893362, 0.00893383, 0.00893417, 0.0089345, 0.00893474", \
-            "0.00937869, 0.0093787, 0.00937874, 0.00937884, 0.00937898, 0.00937912", \
-            "0.0096122, 0.0096122, 0.00961222, 0.00961225, 0.0096123, 0.00961237", \
-            "0.00975019, 0.00975019, 0.00975022, 0.00975024, 0.00975026, 0.00975029" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.00970505, 0.00970568, 0.00970666, 0.00970774, 0.00970852, 0.009709", \
-            "0.0106204, 0.0106205, 0.0106208, 0.0106214, 0.0106219, 0.0106223", \
-            "0.0110018, 0.0110021, 0.0110024, 0.0110028, 0.0110032, 0.0110036", \
-            "0.0112658, 0.0112649, 0.011264, 0.0112635, 0.0112633, 0.0112633", \
-            "0.0119153, 0.0119133, 0.0119103, 0.0119069, 0.0119041, 0.0119022", \
-            "0.0123699, 0.0124118, 0.0124337, 0.0124476, 0.0124518, 0.0124564" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0175698, 0.0175688, 0.0174905, 0.0172153, 0.0165699, 0.0151846", \
-            "0.0174485, 0.0174477, 0.0173699, 0.0170938, 0.0164495, 0.0150635", \
-            "0.0171966, 0.0171969, 0.0171189, 0.0168446, 0.0161982, 0.014813", \
-            "0.0170579, 0.0170581, 0.0169784, 0.0167037, 0.0160551, 0.0146705", \
-            "0.0172009, 0.0172101, 0.0171303, 0.0168541, 0.0162059, 0.0148152", \
-            "0.0183143, 0.0183368, 0.0182587, 0.0180074, 0.0173612, 0.0159581" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0164242, 0.0164135, 0.0162922, 0.0159068, 0.0150206, 0.0131467", \
-            "0.0162406, 0.0162282, 0.0161085, 0.0157238, 0.0148367, 0.0129666", \
-            "0.0159317, 0.0159205, 0.0157999, 0.0154159, 0.014533, 0.0126623", \
-            "0.0157213, 0.0157079, 0.0155934, 0.0152123, 0.01433, 0.0124576", \
-            "0.0161101, 0.0160716, 0.0159682, 0.0155974, 0.0147103, 0.0128254", \
-            "0.0182857, 0.0182714, 0.0181704, 0.0178456, 0.0168805, 0.014967" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542995, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542995, -0.112483", \
-            "-0.00294865, -0.00610825, -0.0126535, -0.0262121, -0.0542995, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542995, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542994, -0.112482" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0376777, 0.0376729, 0.0375737, 0.0372639, 0.0365825, 0.0351881", \
-            "0.0375723, 0.0375685, 0.0374685, 0.0371599, 0.0364785, 0.0350843", \
-            "0.0374269, 0.0374228, 0.0373219, 0.0370166, 0.0363353, 0.0349406", \
-            "0.0374797, 0.0374725, 0.0373733, 0.0370679, 0.036391, 0.0349995", \
-            "0.0377964, 0.0378153, 0.0377079, 0.0374029, 0.0367149, 0.0353261", \
-            "0.0390567, 0.0390317, 0.0389175, 0.0386741, 0.0379935, 0.0365873" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(CK * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0371524, 0.0371437, 0.0370425, 0.0367283, 0.0360465, 0.0346518", \
-            "0.0370497, 0.037041, 0.0369399, 0.0366257, 0.0359425, 0.0345506", \
-            "0.0369056, 0.0368975, 0.0367962, 0.0364836, 0.0358018, 0.0344094", \
-            "0.0369631, 0.0369543, 0.0368533, 0.0365441, 0.0358635, 0.0344703", \
-            "0.03725, 0.0372303, 0.0371375, 0.036847, 0.0361758, 0.0347695", \
-            "0.0386315, 0.0386161, 0.0384975, 0.0382194, 0.0375327, 0.0361381" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0316551, 0.0316462, 0.0315244, 0.0311422, 0.0302555, 0.0283879", \
-            "0.0315581, 0.0315503, 0.0314278, 0.0310463, 0.0301588, 0.0282915", \
-            "0.0313928, 0.0313804, 0.0312651, 0.0308817, 0.0299945, 0.0281263", \
-            "0.0312512, 0.0312426, 0.0311243, 0.030748, 0.0298637, 0.0279918", \
-            "0.0314571, 0.031449, 0.031332, 0.0309688, 0.0300901, 0.0282353", \
-            "0.032594, 0.032579, 0.0324704, 0.0321773, 0.0312398, 0.0293181" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610825, -0.0126535, -0.0262122, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112482" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0312403, 0.0312384, 0.031152, 0.0308576, 0.0301932, 0.028805", \
-            "0.0311363, 0.0311357, 0.0310491, 0.030755, 0.030091, 0.0287064", \
-            "0.0309928, 0.0309971, 0.0309053, 0.030612, 0.0299489, 0.0285603", \
-            "0.0310536, 0.0310547, 0.0309608, 0.0306707, 0.0300106, 0.0286288", \
-            "0.0313934, 0.031383, 0.0313162, 0.0310334, 0.0303797, 0.0289962", \
-            "0.0326834, 0.0326807, 0.0325679, 0.0323314, 0.0316533, 0.0302698" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0308643, 0.0308633, 0.0307674, 0.0304727, 0.0298103, 0.0284274", \
-            "0.030763, 0.030762, 0.0306658, 0.0303707, 0.0297081, 0.0283222", \
-            "0.0306212, 0.0306173, 0.0305241, 0.0302301, 0.0295669, 0.0281861", \
-            "0.0306874, 0.0306798, 0.0305856, 0.0302945, 0.0296368, 0.0282534", \
-            "0.0309813, 0.0309678, 0.0308736, 0.0306122, 0.0299369, 0.0285608", \
-            "0.0323935, 0.0323842, 0.0322628, 0.0320186, 0.0313566, 0.0299595" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0258572, 0.0258487, 0.0257269, 0.025346, 0.0244549, 0.0225849", \
-            "0.0257553, 0.0257501, 0.0256282, 0.0252484, 0.0243579, 0.0224922", \
-            "0.0255962, 0.0255874, 0.0254657, 0.025087, 0.0241957, 0.0223301", \
-            "0.0254519, 0.0254431, 0.0253223, 0.0249491, 0.0240603, 0.0221927", \
-            "0.0256681, 0.0256459, 0.0255258, 0.0251672, 0.0242744, 0.0224017", \
-            "0.0268273, 0.0268123, 0.0267001, 0.0264091, 0.0254659, 0.0235155" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "-0.00294865, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542995, -0.112483", \
-            "-0.00294866, -0.00610825, -0.0126535, -0.0262122, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610825, -0.0126535, -0.0262122, -0.0542995, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112482" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0230432, 0.0230534, 0.0229688, 0.0226819, 0.0220261, 0.0206443", \
-            "0.0229426, 0.0229461, 0.0228691, 0.0225832, 0.0219247, 0.0205438", \
-            "0.0228484, 0.0228584, 0.0227744, 0.0224904, 0.0218339, 0.0204572", \
-            "0.0229765, 0.0229842, 0.0228996, 0.0226177, 0.0219654, 0.0205861", \
-            "0.0234368, 0.0234314, 0.02336, 0.0230763, 0.0224366, 0.0210556", \
-            "0.0249472, 0.024933, 0.0248531, 0.0246119, 0.0239221, 0.0225572" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        when : "(!CK * !D * !SN)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0309188, 0.030918, 0.030822, 0.0305271, 0.0298648, 0.0284778", \
-            "0.0308192, 0.0308177, 0.0307219, 0.0304269, 0.0297644, 0.0283775", \
-            "0.030677, 0.0306747, 0.0305808, 0.0302861, 0.0296248, 0.0282393", \
-            "0.0307386, 0.0307344, 0.0306392, 0.0303485, 0.02969, 0.0283073", \
-            "0.0311124, 0.0310961, 0.0309995, 0.0307248, 0.0300852, 0.0287038", \
-            "0.03243, 0.0324199, 0.0322987, 0.0320552, 0.0313924, 0.0299954" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0258264, 0.0258181, 0.0256952, 0.0253158, 0.0244225, 0.022552", \
-            "0.0257284, 0.0257203, 0.0255978, 0.0252175, 0.0243269, 0.0224615", \
-            "0.0255659, 0.0255573, 0.0254352, 0.0250562, 0.0241644, 0.0222928", \
-            "0.0254296, 0.0254211, 0.0253021, 0.0249268, 0.024037, 0.0221703", \
-            "0.0256607, 0.0256383, 0.0255159, 0.0251512, 0.0242662, 0.0223911", \
-            "0.0268148, 0.0268004, 0.0266878, 0.0263958, 0.0254456, 0.0235223" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542995, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542995, -0.112483", \
-            "-0.00294865, -0.00610825, -0.0126535, -0.0262121, -0.0542995, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542995, -0.112483", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262121, -0.0542994, -0.112482" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "RN";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0376777, 0.0376729, 0.0375737, 0.0372639, 0.0365825, 0.0351881", \
-            "0.0375723, 0.0375685, 0.0374685, 0.0371599, 0.0364785, 0.0350843", \
-            "0.0374269, 0.0374228, 0.0373219, 0.0370166, 0.0363353, 0.0349406", \
-            "0.0374797, 0.0374725, 0.0373733, 0.0370679, 0.036391, 0.0349995", \
-            "0.0377964, 0.0378153, 0.0377079, 0.0374029, 0.0367149, 0.0353261", \
-            "0.0390567, 0.0390317, 0.0389175, 0.0386741, 0.0379935, 0.0365873" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0316551, 0.0316462, 0.0315244, 0.0311422, 0.0302555, 0.0283879", \
-            "0.0315581, 0.0315503, 0.0314278, 0.0310463, 0.0301588, 0.0282915", \
-            "0.0313928, 0.0313804, 0.0312651, 0.0308817, 0.0299945, 0.0281263", \
-            "0.0312512, 0.0312426, 0.0311243, 0.030748, 0.0298637, 0.0279918", \
-            "0.0314571, 0.031449, 0.031332, 0.0309688, 0.0300901, 0.0282353", \
-            "0.032594, 0.032579, 0.0324704, 0.0321773, 0.0312398, 0.0293181" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.11248", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.11248", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112479", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112478", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112473", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112446" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(CK * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0358019, 0.0357915, 0.0356714, 0.0352806, 0.0343777, 0.0325064", \
-            "0.0356681, 0.0356597, 0.0355388, 0.0351504, 0.0342489, 0.0323777", \
-            "0.0354865, 0.0354752, 0.0353585, 0.0349755, 0.0340788, 0.0322073", \
-            "0.0352566, 0.0352444, 0.0351309, 0.0347608, 0.0338773, 0.0320111", \
-            "0.0349916, 0.0349833, 0.0348732, 0.0345266, 0.0336653, 0.0318079", \
-            "0.0355374, 0.0355076, 0.0353834, 0.0350641, 0.0341806, 0.0322973" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.11248", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.11248", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112479", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112478", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112473", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112445" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * D * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.023803, 0.023797, 0.0236739, 0.0232864, 0.0223764, 0.020504", \
-            "0.0237336, 0.0237275, 0.0236054, 0.0232202, 0.0223137, 0.0204388", \
-            "0.0236374, 0.0236302, 0.0235108, 0.0231295, 0.0222243, 0.0203614", \
-            "0.0235013, 0.0234913, 0.023377, 0.0230102, 0.0221183, 0.0202517", \
-            "0.0233626, 0.0233563, 0.023254, 0.022898, 0.0220325, 0.0201756", \
-            "0.0236868, 0.0236366, 0.0235184, 0.0231682, 0.0223215, 0.0204453" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.11248", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.11248", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112479", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112478", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112473", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112445" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        when : "(!CK * !D * RN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0298821, 0.0298788, 0.0297566, 0.0293693, 0.0284651, 0.0265949", \
-            "0.0297462, 0.0297432, 0.0296227, 0.0292374, 0.0283336, 0.0264555", \
-            "0.02957, 0.0295657, 0.0294469, 0.0290661, 0.0281612, 0.0262972", \
-            "0.0293617, 0.029352, 0.0292376, 0.0288702, 0.0279832, 0.0261116", \
-            "0.0291686, 0.0291676, 0.0290565, 0.0287053, 0.0278414, 0.0259835", \
-            "0.0297651, 0.0297309, 0.0296459, 0.0292813, 0.0283979, 0.0265204" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.11248", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.11248", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112479", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112478", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112473", \
-            "-0.00294866, -0.00610826, -0.0126535, -0.0262122, -0.0542994, -0.112445" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "SN";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00754106, 0.0156216, 0.0323607, 0.0670364, 0.138868");
-          values ( \
-            "0.0358019, 0.0357915, 0.0356714, 0.0352806, 0.0343777, 0.0325064", \
-            "0.0356681, 0.0356597, 0.0355388, 0.0351504, 0.0342489, 0.0323777", \
-            "0.0354865, 0.0354752, 0.0353585, 0.0349755, 0.0340788, 0.0322073", \
-            "0.0352566, 0.0352444, 0.0351309, 0.0347608, 0.0338773, 0.0320111", \
-            "0.0349916, 0.0349833, 0.0348732, 0.0345266, 0.0336653, 0.0318079", \
-            "0.0355374, 0.0355076, 0.0353834, 0.0350641, 0.0341806, 0.0322973" \
-          );
-        }
-      }
-    }
-    pin (CK) {
-      clock : true;
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0157688;
-      rise_capacitance : 0.0157688;
-      rise_capacitance_range (0.0122128, 0.0157688);
-      fall_capacitance : 0.0157233;
-      fall_capacitance_range (0.0119251, 0.0157233);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond7";
-        timing_type : min_pulse_width;
-        when : "(D * RN * SN)";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.109177, 0.134277, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.241302, 0.252209, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond8";
-        timing_type : min_pulse_width;
-        when : "(!D * RN * SN)";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.136653, 0.134277, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.118232, 0.153933, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00956005, 0.0110507, 0.0119554, 0.012563, 0.0129613, 0.0132944" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0132453, 0.0139287, 0.0141923, 0.015188, 0.0155368, 0.0150974" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0102823, 0.0115369, 0.0122237, 0.0126353, 0.01285, 0.0129686" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0123704, 0.0127357, 0.0131098, 0.0137915, 0.0147698, 0.0158889" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00929561, 0.0105838, 0.011358, 0.0118517, 0.0121259, 0.012275" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0124538, 0.0138025, 0.0150601, 0.0164005, 0.0168154, 0.0150109" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00929561, 0.0105838, 0.011358, 0.0118517, 0.0121259, 0.012275" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0124538, 0.0138025, 0.0150601, 0.0164005, 0.0168154, 0.0150109" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * !RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00997851, 0.011927, 0.0132692, 0.0142741, 0.0150121, 0.0154825" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0140628, 0.0152013, 0.0153641, 0.0158301, 0.01347, 0.0119309" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00928742, 0.0105829, 0.0113892, 0.0119163, 0.0122138, 0.0123856" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0125397, 0.0138714, 0.0148718, 0.0162835, 0.0169938, 0.0149375" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(D * !RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00997482, 0.0119233, 0.0132678, 0.0142742, 0.0150118, 0.0154824" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0140631, 0.0152033, 0.0153648, 0.0158306, 0.0134814, 0.0119303" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00927976, 0.0105747, 0.0113828, 0.0119156, 0.0122135, 0.0123854" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0125299, 0.0138698, 0.0148813, 0.0162868, 0.0169982, 0.0149359" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * SN) + (!D * !RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0105696, 0.0130066, 0.0146965, 0.0158919, 0.016648, 0.017189" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0149334, 0.0158406, 0.0156963, 0.0162249, 0.0160655, 0.0152194" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00925174, 0.0105414, 0.0113532, 0.0118877, 0.0121937, 0.012362" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0125574, 0.0141268, 0.0154576, 0.0166647, 0.0178289, 0.0190871" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.010443, 0.0118797, 0.0127265, 0.0132418, 0.013514, 0.0136676" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0132595, 0.0139692, 0.014437, 0.0152679, 0.0165577, 0.0177041" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.010443, 0.0118797, 0.0127265, 0.0132418, 0.013514, 0.0136676" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0132595, 0.0139692, 0.014437, 0.0152679, 0.0165577, 0.0177041" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!D * RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0103492, 0.0123775, 0.0137391, 0.0147464, 0.0154221, 0.0159324" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0147222, 0.0157141, 0.0158997, 0.0171079, 0.0177163, 0.0170532" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0104479, 0.0118828, 0.0127288, 0.0132432, 0.0135144, 0.0136674" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0132625, 0.0139705, 0.0144374, 0.0152748, 0.0167385, 0.0191864" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.050479, 0.0502738, 0.0499597, 0.049845, 0.0505613, 0.0531687" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00140304, -0.00159311, -0.00179424, -0.00189812, -0.00149076, 0.000953077" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0204844, 0.0202769, 0.0202477, 0.0205622, 0.0217581, 0.0250937" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00926085, 0.0090175, 0.00859232, 0.00809308, 0.00832373, 0.0104673" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0355718, 0.0354042, 0.0352974, 0.0353406, 0.036281, 0.0392396" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * !SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !RN * !SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0091577, 0.00891493, 0.00849129, 0.00799483, 0.00822704, 0.0103759" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0356441, 0.0354734, 0.0353514, 0.0354266, 0.0363472, 0.0392381" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.048327, 0.0479259, 0.0476753, 0.0479795, 0.0498422, 0.0555821" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * SN * !Q * QN) + (!D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00164218, -0.00184389, -0.00206316, -0.00214034, -0.00166419, 0.000799595" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0233212, 0.0231681, 0.0230596, 0.0233692, 0.0244475, 0.0275406" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * !SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * RN * !SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00619435, 0.00577207, 0.00531136, 0.00507358, 0.00589412, 0.0104126" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0271147, 0.026708, 0.0265432, 0.0270072, 0.0291501, 0.0350911" \
-          );
-        }
-      }
-    }
-    pin (D) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00538616;
-      rise_capacitance : 0.00538616;
-      rise_capacitance_range (0.00442532, 0.00538616);
-      fall_capacitance : 0.0053517;
-      fall_capacitance_range (0.00388564, 0.0053517);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond0";
-        timing_type : hold_rising;
-        when : "(RN * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.06141, -0.0414982, -0.058635", \
-            "-0.0785018, -0.06, -0.0723419", \
-            "-0.123229, -0.0983826, -0.0804792" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.114089, -0.135706, -0.316832", \
-            "-0.145461, -0.167978, -0.343951", \
-            "-0.302292, -0.319342, -0.485047" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.06141, -0.0414982, -0.058635", \
-            "-0.0785018, -0.06, -0.0723419", \
-            "-0.123229, -0.0983826, -0.0804792" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.114089, -0.135706, -0.316832", \
-            "-0.145461, -0.167978, -0.343951", \
-            "-0.302292, -0.319342, -0.485047" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond0";
-        timing_type : setup_rising;
-        when : "(RN * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.187009, 0.162082, 0.219202", \
-            "0.202643, 0.180153, 0.239833", \
-            "0.240503, 0.219013, 0.268698" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.139041, 0.149059, 0.329103", \
-            "0.170229, 0.179305, 0.356508", \
-            "0.333004, 0.339133, 0.499082" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.187009, 0.162082, 0.219202", \
-            "0.202643, 0.180153, 0.239833", \
-            "0.240503, 0.219013, 0.268698" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.139041, 0.149059, 0.329103", \
-            "0.170229, 0.179305, 0.356508", \
-            "0.333004, 0.339133, 0.499082" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "CK";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00325811, 0.00424805, 0.00491367, 0.00526492, 0.00543165, 0.00550942" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00509513, 0.00533031, 0.00501784, 0.00477866, 0.00465731, 0.00461193" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316644, 0.00372467, 0.00402716, 0.00418121, 0.00425426, 0.00428919" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00423795, 0.00464663, 0.00502364, 0.00536407, 0.00555703, 0.00564169" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331954, 0.00436065, 0.00505801, 0.00543756, 0.00567943, 0.00582677" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0054632, 0.00577052, 0.00545286, 0.00527126, 0.00547705, 0.00523418" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316931, 0.00375831, 0.00411038, 0.00431252, 0.00441549, 0.00447202" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00445995, 0.00490544, 0.00506851, 0.00525168, 0.00557115, 0.00599849" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331967, 0.00436068, 0.00505776, 0.00543724, 0.00567929, 0.00582678" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00546296, 0.00576919, 0.00544918, 0.00527319, 0.00550141, 0.00536435" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00317056, 0.0037591, 0.00411083, 0.004313, 0.00441574, 0.00447198" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00445931, 0.00490569, 0.00506917, 0.00525069, 0.00557092, 0.00620156" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !RN * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331924, 0.00436215, 0.00505866, 0.00543723, 0.00567839, 0.00582672" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00545779, 0.00576785, 0.00544884, 0.0052715, 0.00549924, 0.00536495" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00317064, 0.0037593, 0.00411102, 0.0043131, 0.00441579, 0.00447204" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00445942, 0.00490587, 0.00506911, 0.00525197, 0.00556831, 0.00619945" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !RN * !SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331998, 0.00436107, 0.00505812, 0.00543746, 0.00567919, 0.00582661" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00546367, 0.00576972, 0.00545186, 0.00527186, 0.00549957, 0.00536464" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00317083, 0.0037594, 0.00411104, 0.0043131, 0.00441579, 0.00447202" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00445979, 0.00490599, 0.00506934, 0.00525105, 0.00557037, 0.00620132" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00492234, -0.00498259, -0.00504743, -0.00509967, -0.00511603, -0.0051098" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00512355, 0.00512246, 0.00511146, 0.00511706, 0.00512218, 0.0051098" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * SN * Q * !QN) + (!CK * RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0226888, 0.0225285, 0.022316, 0.0220718, 0.022057, 0.0229119" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0341982, 0.0341047, 0.0339983, 0.033829, 0.0337769, 0.0345633" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * !SN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * RN * !SN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00909209, 0.0089333, 0.00872894, 0.00852499, 0.00854788, 0.00951023" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0146731, 0.0145817, 0.0144948, 0.0144091, 0.0144831, 0.0155129" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00907939, 0.00892503, 0.00870642, 0.00851551, 0.00854474, 0.00950546" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0147184, 0.0146268, 0.0145392, 0.0144527, 0.0144874, 0.0155451" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * !SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !RN * !SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00915291, 0.00899398, 0.008793, 0.00858805, 0.00861105, 0.00957455" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0146032, 0.014513, 0.0144259, 0.0143395, 0.0144143, 0.0154443" \
-          );
-        }
-      }
-    }
-    pin (RN) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00538245;
-      rise_capacitance : 0.00538245;
-      rise_capacitance_range (0.0041753, 0.00538245);
-      fall_capacitance : 0.00534387;
-      fall_capacitance_range (0.00376291, 0.00534387);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond1";
-        timing_type : recovery_rising;
-        when : "(D * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.135997, 0.114985, 0.170133", \
-            "0.158339, 0.135326, 0.192475", \
-            "0.189463, 0.163453, 0.223599" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : recovery_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.135997, 0.114985, 0.170133", \
-            "0.158339, 0.135326, 0.192475", \
-            "0.189463, 0.163453, 0.223599" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond1";
-        timing_type : removal_rising;
-        when : "(D * SN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0171137, 0.00201357, 0.0222207", \
-            "-0.0410966, -0.0192834, -0.0018446", \
-            "-0.0643434, -0.045367, -0.025009" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : removal_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0171137, 0.00201357, 0.0222207", \
-            "-0.0410966, -0.0192834, -0.0018446", \
-            "-0.0643434, -0.045367, -0.025009" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "adacond2";
-        timing_type : min_pulse_width;
-        when : "(CK * SN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.122789, 0.157208, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "RN";
-        sdf_cond : "adacond3";
-        timing_type : min_pulse_width;
-        when : "(!CK * SN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.120332, 0.153933, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "CK";
-        timing_type : non_seq_hold_rising;
-        when : "CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.142335, -0.152922, -0.313713", \
-            "-0.167862, -0.175381, -0.334954", \
-            "-0.199772, -0.206365, -0.35516" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "~CK";
-        timing_type : non_seq_hold_rising;
-        when : "!CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.143436, -0.152885, -0.31432", \
-            "-0.164845, -0.175205, -0.335431", \
-            "-0.19918, -0.208613, -0.358071" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_type : non_seq_hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.142335, -0.152885, -0.313713", \
-            "-0.164845, -0.175205, -0.334954", \
-            "-0.19918, -0.206365, -0.35516" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "CK";
-        timing_type : non_seq_setup_rising;
-        when : "CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.161619, 0.173229, 0.471684", \
-            "0.184194, 0.194873, 0.479248", \
-            "0.218987, 0.226595, 0.477085" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "~CK";
-        timing_type : non_seq_setup_rising;
-        when : "!CK";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.150617, 0.163754, 0.476942", \
-            "0.174858, 0.187192, 0.486192", \
-            "0.208341, 0.217604, 0.482698" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        timing_type : non_seq_setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.161619, 0.173229, 0.476942", \
-            "0.184194, 0.194873, 0.486192", \
-            "0.218987, 0.226595, 0.482698" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * SN) + (!CK * !D * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00321162, 0.00417649, 0.00481164, 0.00521322, 0.00547373, 0.00565053" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00506447, 0.00542715, 0.00529421, 0.00571603, 0.00598812, 0.00574584" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00302065, 0.00361675, 0.0039831, 0.00419802, 0.00431269, 0.00437724" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00434341, 0.0048304, 0.0051089, 0.0054248, 0.00593648, 0.00683593" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * D * SN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00321153, 0.00417678, 0.00481188, 0.00521311, 0.00547304, 0.00564639" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00506486, 0.00542803, 0.00528861, 0.00563115, 0.00595496, 0.00572048" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00302229, 0.00361839, 0.00398379, 0.00419652, 0.00431013, 0.00437434" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00435261, 0.00482756, 0.00508404, 0.00536081, 0.0057705, 0.00669421" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * SN * !Q * QN) + (!CK * !D * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00413659, 0.00397125, 0.0037919, 0.00371278, 0.00419461, 0.00671999" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0141678, 0.0139658, 0.0139299, 0.0142515, 0.01545, 0.018857" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * SN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * SN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0180945, 0.0179081, 0.0176169, 0.0173989, 0.0178124, 0.0201334" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0305766, 0.0303686, 0.0302089, 0.030286, 0.031309, 0.0343669" \
-          );
-        }
-      }
-    }
-    pin (SN) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0114267;
-      rise_capacitance : 0.0107559;
-      rise_capacitance_range (0.00926321, 0.0107559);
-      fall_capacitance : 0.0114267;
-      fall_capacitance_range (0.00785593, 0.0114267);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond4";
-        timing_type : recovery_rising;
-        when : "(!D * RN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.0336397, 0.0197482, 0.0433148", \
-            "0.0589614, 0.0436166, 0.0602102", \
-            "0.178946, 0.149764, 0.124659" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : recovery_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.0336397, 0.0197482, 0.0433148", \
-            "0.0589614, 0.0436166, 0.0602102", \
-            "0.178946, 0.149764, 0.124659" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "adacond4";
-        timing_type : removal_rising;
-        when : "(!D * RN)";
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0147915, -0.00757219, -0.0292783", \
-            "-0.0402958, -0.03, -0.0505941", \
-            "-0.06817, -0.060789, -0.0786593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : removal_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0147915, -0.00757219, -0.0292783", \
-            "-0.0402958, -0.03, -0.0505941", \
-            "-0.06817, -0.060789, -0.0786593" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "adacond5";
-        timing_type : min_pulse_width;
-        when : "(CK * RN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.147358, 0.189967, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "SN";
-        sdf_cond : "adacond6";
-        timing_type : min_pulse_width;
-        when : "(!CK * RN)";
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.139884, 0.183415, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * RN) + (!CK * D * RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0070088, 0.00893343, 0.0101256, 0.0107079, 0.0109698, 0.0110873" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0100415, 0.0103029, 0.00952997, 0.00886385, 0.00859958, 0.00848352" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00575776, 0.00663618, 0.00719027, 0.00752978, 0.0077247, 0.00782722" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00806139, 0.00929968, 0.0101627, 0.0106606, 0.0108496, 0.0109203" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(CK * !RN) + (!CK * !D * !RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00735657, 0.00936134, 0.0106727, 0.0113611, 0.0116825, 0.0118363" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0110266, 0.0114382, 0.0108755, 0.0103383, 0.0100919, 0.00998345" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00694717, 0.00809349, 0.00874033, 0.00910031, 0.00927526, 0.00935415" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00946533, 0.0103982, 0.011076, 0.011576, 0.0118992, 0.01204" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * D * !RN)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00731659, 0.00933858, 0.0106601, 0.0113406, 0.011651, 0.0117937" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0110495, 0.0116017, 0.0109383, 0.010389, 0.0101274, 0.0100152" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00687051, 0.00802686, 0.00870562, 0.00909822, 0.00930034, 0.00939725" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00938124, 0.0104162, 0.0111716, 0.0116263, 0.0118675, 0.0119748" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!CK * !D * RN)";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00718682, 0.00915828, 0.0103928, 0.0110482, 0.0114276, 0.0116612" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0104703, 0.0108181, 0.0101806, 0.00988735, 0.0102735, 0.0105543" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00633516, 0.00738479, 0.00802265, 0.00841084, 0.00861904, 0.00873364" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00885007, 0.00992959, 0.0105781, 0.0109729, 0.0113795, 0.0120918" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * RN * Q * !QN) + (!CK * D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0116313, -0.0116223, -0.0116201, -0.0116347, -0.0116545, -0.0116212" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0116313, 0.0116223, 0.0116201, 0.011692, 0.0116545, 0.0116212" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(CK * !RN * !Q * QN) + (!CK * !D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0115663, -0.011733, -0.0118412, -0.0118577, -0.0119094, -0.0118923" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0119018, 0.0118866, 0.011928, 0.0119672, 0.0119242, 0.0118963" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * !RN * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * D * !RN * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0113093, -0.0113943, -0.0114461, -0.0114969, -0.011498, -0.0114731" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.011472, 0.011467, 0.0115278, 0.0115462, 0.0115027, 0.0114752" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !D * RN * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * !D * RN * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00751743, 0.00739684, 0.00715363, 0.00702484, 0.00708756, 0.00804691" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0235, 0.0233831, 0.0232031, 0.0230851, 0.0230176, 0.0237188" \
-          );
-        }
-      }
-    }
-    ff (IQ,IQN) {
-      clear : "!RN";
-      clear_preset_var1 : L;
-      clear_preset_var2 : H;
-      clocked_on : "CK";
-      next_state : "D";
-      power_down_function : "(!VDD) + (GND)";
-      preset : "!SN";
-    }
-  }
-  cell (DFFX1) {
-    area : 48.3516;
-    cell_footprint : "DFF";
-    cell_leakage_power : 0.862713;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.755686;
-      when : "(CK * D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.102;
-      when : "(CK * D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.02109;
-      when : "(CK * !D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.0954;
-      when : "(CK * !D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.670474;
-      when : "(!CK * D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.494022;
-      when : "(!CK * D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.83374;
-      when : "(!CK * !D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.929289;
-      when : "(!CK * !D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.862713;
-      related_pg_pin : VDD;
-    }
-    pin (Q) {
-      direction : output;
-      function : "IQ";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.209178;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.173931, 0.200282, 0.255815, 0.37675, 0.646463, 1.25298", \
-            "0.17816, 0.204485, 0.260023, 0.380998, 0.650794, 1.25685", \
-            "0.187567, 0.213843, 0.26944, 0.390436, 0.66027, 1.26663", \
-            "0.201662, 0.227935, 0.283586, 0.404563, 0.674409, 1.28044", \
-            "0.214425, 0.240726, 0.296233, 0.417221, 0.687243, 1.2932", \
-            "0.219152, 0.245638, 0.301295, 0.422283, 0.691667, 1.29734" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0262492, 0.0428004, 0.0804055, 0.165672, 0.357679, 0.789144", \
-            "0.0262352, 0.0428202, 0.080422, 0.165631, 0.357931, 0.788788", \
-            "0.0262619, 0.0428355, 0.0804131, 0.165556, 0.357872, 0.789123", \
-            "0.0262646, 0.0428525, 0.0804088, 0.165625, 0.357943, 0.788795", \
-            "0.026278, 0.0427379, 0.080374, 0.165711, 0.357578, 0.789217", \
-            "0.0263707, 0.0428726, 0.0803711, 0.16549, 0.357597, 0.789234" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.236478, 0.262272, 0.317458, 0.438661, 0.709866, 1.31896", \
-            "0.241135, 0.266923, 0.322109, 0.443385, 0.714626, 1.32362", \
-            "0.250393, 0.276188, 0.331362, 0.452624, 0.723676, 1.33289", \
-            "0.264285, 0.290076, 0.345298, 0.46656, 0.73741, 1.34688", \
-            "0.278162, 0.303966, 0.359259, 0.48061, 0.751641, 1.36071", \
-            "0.282387, 0.308187, 0.363403, 0.484777, 0.755762, 1.3656" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0218604, 0.0355296, 0.0664223, 0.136065, 0.292778, 0.644064", \
-            "0.0218679, 0.0355285, 0.0664215, 0.136128, 0.292719, 0.644063", \
-            "0.0218652, 0.0355365, 0.0663851, 0.136034, 0.292611, 0.644061", \
-            "0.0218616, 0.0355388, 0.0664021, 0.136124, 0.29247, 0.643996", \
-            "0.0218222, 0.0355339, 0.0664126, 0.136054, 0.29278, 0.644089", \
-            "0.0218596, 0.035529, 0.0663865, 0.136017, 0.292435, 0.643864" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.161096, 0.165643, 0.167383, 0.168254, 0.169516, 0.170778, 0.172041, 0.173303, 0.173447, 0.173733, 0.175166, 0.176027, 0.177177, 0.177752, 0.178749, 0.179746, 0.180742, 0.181739, 0.183687, 0.18466, 0.185634, 0.187579, 0.189525, 0.189759, 0.190383, 0.191007, 0.191632, 0.192951, 0.194964, 0.196978, 0.197984, 0.201482, 0.202668, 0.203854, 0.204923, 0.205992, 0.207061, 0.208131, 0.210962, 0.212935, 0.213922, 0.215896, 0.216883, 0.218826, 0.221242, 0.222208, 0.22308, 0.225696, 0.229418, 0.232898");
-            values ( \
-              "0.0874707, 0.0890722, 0.103463, 0.110108, 0.119093, 0.127306, 0.134746, 0.141412, 0.142721, 0.144452, 0.151959, 0.155884, 0.160283, 0.162193, 0.165048, 0.167325, 0.169022, 0.17014, 0.168749, 0.167848, 0.166811, 0.16433, 0.161303, 0.16062, 0.158067, 0.155089, 0.15169, 0.143013, 0.128438, 0.112677, 0.104352, 0.0810126, 0.0746428, 0.0687046, 0.0637228, 0.0590916, 0.054811, 0.050881, 0.0413605, 0.035861, 0.0334655, 0.029078, 0.0270861, 0.0235561, 0.019517, 0.0181007, 0.0170283, 0.0141171, 0.0108407, 0.00820835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00818493");
-            index_3 ("0.162512, 0.172326, 0.182, 0.187207, 0.192096, 0.199056, 0.205511, 0.21187, 0.21876, 0.22311, 0.236659, 0.247042, 0.257414, 0.267697, 0.280206, 0.294098, 0.306188, 0.310569");
-            values ( \
-              "0.00352805, 0.0565593, 0.137587, 0.173815, 0.19964, 0.223874, 0.232448, 0.231573, 0.218012, 0.200065, 0.122711, 0.0787359, 0.0493646, 0.0302891, 0.0164048, 0.00829409, 0.00450794, 0.00389388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0184031");
-            index_3 ("0.0641866, 0.0941257, 0.111788, 0.123778, 0.134873, 0.15328, 0.165511, 0.179052, 0.185832, 0.191714, 0.214872, 0.230531, 0.243479, 0.255561, 0.267539, 0.280759, 0.29242, 0.313513, 0.328627, 0.349594, 0.370217, 0.392399, 0.415666, 0.439683");
-            values ( \
-              "0.00153779, 0.00146719, 0.00874332, 0.011135, 0.00963843, 0.00194266, 1e-22, 0.00427679, 0.0222725, 0.0461018, 0.177199, 0.24179, 0.269937, 0.278443, 0.275225, 0.257327, 0.225554, 0.153265, 0.109931, 0.065934, 0.0381939, 0.0205953, 0.010602, 0.00533375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0413776");
-            index_3 ("0.134592, 0.229559, 0.25236, 0.279606, 0.300721, 0.312027, 0.334637, 0.346539, 0.363475, 0.388228, 0.413016, 0.419542, 0.442342, 0.489599, 0.526148, 0.55933, 0.592645, 0.610648, 0.636008, 0.662404, 0.682626, 0.72307, 0.771");
-            values ( \
-              "0.00406598, 0.0363387, 0.100354, 0.189664, 0.243807, 0.264337, 0.291856, 0.299103, 0.302931, 0.298562, 0.281391, 0.274416, 0.241539, 0.159871, 0.106601, 0.0706722, 0.0453884, 0.0354237, 0.0247429, 0.0169853, 0.0126801, 0.00692067, 0.00382234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0930337");
-            index_3 ("0.155181, 0.230073, 0.24663, 0.262998, 0.294116, 0.348819, 0.422667, 0.469819, 0.493273, 0.540183, 0.550708, 0.571759, 0.604475, 0.658449, 0.67898, 0.713699, 0.742126, 0.775087, 0.901787, 0.964444, 1.02606, 1.07252, 1.12364, 1.20356, 1.25046, 1.30406, 1.40395, 1.4716");
-            values ( \
-              "0.0759346, 0.0135429, 0.0102902, 0.0095708, 0.0189913, 0.0762716, 0.194252, 0.253602, 0.274972, 0.3026, 0.306463, 0.311601, 0.314997, 0.309637, 0.304307, 0.290907, 0.275136, 0.251743, 0.149029, 0.106544, 0.0742001, 0.0555935, 0.0400216, 0.023483, 0.0171059, 0.0118315, 0.00578539, 0.00377309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.209178");
-            index_3 ("0.19669, 0.326741, 0.36532, 0.410505, 0.498039, 0.597924, 0.744753, 0.844639, 0.900814, 1.0007, 1.02755, 1.08126, 1.14629, 1.24618, 1.26456, 1.30132, 1.37485, 1.41253, 1.46276, 1.52332, 1.7401, 1.89924, 2.03495, 2.13167, 2.22745, 2.28655, 2.38643, 2.45528, 2.59951, 2.6994, 2.79929, 2.99906, 3.19883, 3.49848");
-            values ( \
-              "0.0974012, 0.0184593, 0.0143845, 0.0135156, 0.0314862, 0.0879043, 0.196899, 0.256085, 0.280007, 0.307572, 0.312003, 0.317898, 0.320476, 0.316574, 0.314885, 0.310674, 0.298565, 0.290093, 0.276375, 0.256646, 0.175043, 0.120928, 0.0847947, 0.0646858, 0.049069, 0.0412078, 0.030474, 0.0246972, 0.0158102, 0.0115514, 0.00842741, 0.00446666, 0.00236081, 0.000900685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.175769, 0.177073, 0.181154, 0.186438, 0.191837, 0.194587, 0.195919, 0.19799, 0.199898, 0.20379, 0.207682, 0.210109, 0.216129, 0.218458, 0.222043, 0.226299, 0.231058, 0.234962, 0.238465, 0.243921, 0.248856, 0.255471, 0.26429, 0.271273");
-            values ( \
-              "0.0234703, 0.0286531, 0.0624239, 0.110823, 0.144159, 0.156093, 0.160463, 0.165379, 0.168156, 0.168698, 0.161538, 0.150461, 0.104266, 0.0890677, 0.068996, 0.0508161, 0.0362765, 0.0272603, 0.0211682, 0.0142251, 0.00992632, 0.00621025, 0.00333789, 0.00231099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00818493");
-            index_3 ("0.179438, 0.190207, 0.201771, 0.21015, 0.21712, 0.22358, 0.229941, 0.236296, 0.265116, 0.27549, 0.285772, 0.298281, 0.312175, 0.321676");
-            values ( \
-              "0.000281386, 0.0544673, 0.149557, 0.199656, 0.223329, 0.232633, 0.231213, 0.219884, 0.0787351, 0.0493624, 0.030289, 0.0164044, 0.00829441, 0.00639144" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0184031");
-            index_3 ("0.0825628, 0.110366, 0.141308, 0.18068, 0.194028, 0.208944, 0.23083, 0.24867, 0.261595, 0.273715, 0.2857, 0.298917, 0.353683, 0.371804, 0.393689, 0.412793, 0.437064, 0.444798");
-            values ( \
-              "0.00153818, 0.00125599, 0.0110854, 1e-22, 2e-22, 0.0414349, 0.166666, 0.242011, 0.269474, 0.27778, 0.275225, 0.257265, 0.0935593, 0.0593826, 0.0330415, 0.0193386, 0.0096748, 0.00811327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0413776");
-            index_3 ("0.157349, 0.241877, 0.259619, 0.297952, 0.319541, 0.330349, 0.351965, 0.366764, 0.381756, 0.406475, 0.43128, 0.437933, 0.460625, 0.50784, 0.544409, 0.577661, 0.610889, 0.654275, 0.700965, 0.741476, 0.77195");
-            values ( \
-              "0.0156652, 0.0250646, 0.0664345, 0.190136, 0.245106, 0.264611, 0.291118, 0.299932, 0.302991, 0.29853, 0.281467, 0.274286, 0.241528, 0.159937, 0.106626, 0.0706275, 0.0454111, 0.0247469, 0.0126701, 0.00690657, 0.00494123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0930337");
-            index_3 ("0.166543, 0.2483, 0.277745, 0.311035, 0.365779, 0.440877, 0.478639, 0.511398, 0.569006, 0.622853, 0.676445, 0.730023, 0.744452, 0.79349, 0.920053, 0.971658, 1.04447, 1.09087, 1.1419, 1.22189, 1.3226, 1.42249, 1.47577");
-            values ( \
-              "0.0684069, 0.0135645, 0.00943522, 0.0182107, 0.0743743, 0.19416, 0.243516, 0.274826, 0.306739, 0.315428, 0.309974, 0.292232, 0.284814, 0.251851, 0.149105, 0.113402, 0.0741788, 0.0555958, 0.0400507, 0.0234884, 0.0118184, 0.00577511, 0.00419503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.209178");
-            index_3 ("0.207049, 0.565496, 0.815542, 0.915428, 0.96331, 1.00597, 1.04494, 1.10601, 1.16372, 1.26361, 1.31883, 1.39246, 1.42972, 1.4794, 1.54079, 1.82435, 1.95526, 2.03952, 2.1294, 2.18261, 2.26751, 2.34483, 2.44471, 2.49575, 2.59564, 2.69553, 2.8953, 2.99518, 3.29484, 3.49461");
-            values ( \
-              "0.0176948, 0.0550791, 0.231224, 0.279054, 0.294598, 0.305093, 0.311749, 0.318412, 0.320294, 0.316615, 0.310717, 0.298425, 0.290061, 0.27669, 0.25656, 0.150973, 0.109629, 0.0877497, 0.0684882, 0.0587452, 0.0459813, 0.0364089, 0.0269661, 0.022956, 0.0169322, 0.0122788, 0.00649922, 0.00482982, 0.00177373, 0.000907984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.216091, 0.231626, 0.235949, 0.239932, 0.243827, 0.247717, 0.250148, 0.258494, 0.266337, 0.271064, 0.278518, 0.288915, 0.304181, 0.311693");
-            values ( \
-              "0.0241869, 0.142989, 0.160418, 0.168342, 0.168708, 0.161649, 0.150466, 0.0890546, 0.0508042, 0.0363453, 0.0211361, 0.00990968, 0.00337069, 0.00225574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00818493");
-            index_3 ("0.120156, 0.151983, 0.168381, 0.179492, 0.192576, 0.21892, 0.230298, 0.247809, 0.256624, 0.259893, 0.264175, 0.270541, 0.277082, 0.295347, 0.30573, 0.316108, 0.326389, 0.3389, 0.352795, 0.364239");
-            values ( \
-              "0.00124511, 0.000665881, 0.00404258, 0.00426021, 0.000647101, 1e-22, 0.0494394, 0.184048, 0.220317, 0.227327, 0.232052, 0.231081, 0.219019, 0.122683, 0.078733, 0.0493529, 0.0302903, 0.0164059, 0.00829169, 0.00471143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0184031");
-            index_3 ("0.123798, 0.151784, 0.155438, 0.170872, 0.181723, 0.192344, 0.199405, 0.209746, 0.221888, 0.235142, 0.245091, 0.24795, 0.2566, 0.273269, 0.280248, 0.28892, 0.301875, 0.313958, 0.325937, 0.339157, 0.35081, 0.368141, 0.384429, 0.396497, 0.408868, 0.418956, 0.428012, 0.440086, 0.45022, 0.463882, 0.482098, 0.512755");
-            values ( \
-              "0.0015211, 0.00149519, 0.00228152, 0.00887146, 0.0111857, 0.00981895, 0.00778303, 0.00300719, 1e-22, 0.000434814, 0.0251139, 0.0361743, 0.0798425, 0.177175, 0.210676, 0.241692, 0.269887, 0.278404, 0.275217, 0.257309, 0.22558, 0.165345, 0.116762, 0.0879121, 0.0644875, 0.0495039, 0.0388304, 0.0278137, 0.0209424, 0.0142282, 0.00836685, 0.00352896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0413776");
-            index_3 ("0.192304, 0.282383, 0.298047, 0.338291, 0.359937, 0.370678, 0.39216, 0.407346, 0.422079, 0.446792, 0.454713, 0.462696, 0.472506, 0.492125, 0.541909, 0.575179, 0.590405, 0.606925, 0.628951, 0.641027, 0.655049, 0.672239, 0.696098, 0.70588, 0.725446, 0.764578, 0.836685, 0.921386");
-            values ( \
-              "0.0129999, 0.0253237, 0.0612794, 0.190109, 0.245438, 0.264528, 0.291166, 0.30018, 0.302869, 0.298666, 0.294359, 0.288992, 0.280366, 0.255673, 0.170052, 0.119022, 0.0995747, 0.0812369, 0.0611289, 0.0520661, 0.0430649, 0.0339404, 0.0242302, 0.0210756, 0.0159155, 0.00889401, 0.00276356, 0.00063979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0930337");
-            index_3 ("0.210878, 0.287817, 0.320921, 0.356232, 0.409491, 0.480507, 0.551098, 0.608639, 0.662497, 0.716083, 0.784103, 0.833123, 1.01129, 1.08411, 1.1305, 1.18152, 1.26152, 1.36225, 1.46213, 1.49085");
-            values ( \
-              "0.0734666, 0.013616, 0.00949067, 0.0216145, 0.0804941, 0.194148, 0.275038, 0.30689, 0.315427, 0.310044, 0.284811, 0.251852, 0.113401, 0.0741757, 0.0555949, 0.0400523, 0.023488, 0.0118165, 0.00577507, 0.00492318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.209178");
-            index_3 ("0.253215, 0.385137, 0.425009, 0.467539, 0.552599, 0.652485, 0.80322, 0.903105, 0.959292, 1.05918, 1.08602, 1.1397, 1.20476, 1.30464, 1.32302, 1.35978, 1.43329, 1.47101, 1.52131, 1.58178, 1.79856, 1.96521, 2.08051, 2.1704, 2.23571, 2.30846, 2.38578, 2.48567, 2.63661, 2.7365, 2.83639, 3.03616, 3.23593, 3.53558");
-            values ( \
-              "0.0956707, 0.0185383, 0.0143627, 0.0133925, 0.0301095, 0.0850242, 0.196984, 0.256086, 0.280079, 0.307557, 0.312059, 0.317871, 0.320524, 0.31654, 0.314929, 0.31064, 0.298609, 0.290125, 0.276313, 0.256683, 0.175011, 0.118637, 0.0878372, 0.0683941, 0.0567585, 0.0459003, 0.0364947, 0.0268838, 0.0168494, 0.0123613, 0.00897701, 0.00474815, 0.00249825, 0.000988244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.29806, 0.302385, 0.3073, 0.311807, 0.31396, 0.318281, 0.322264, 0.32616, 0.330051, 0.332481, 0.340829, 0.344427, 0.348672, 0.35341, 0.357295, 0.360852, 0.366326, 0.37125, 0.377819, 0.386578, 0.400994");
-            values ( \
-              "0.00663721, 0.0540033, 0.0976592, 0.130715, 0.1429, 0.160569, 0.168175, 0.16881, 0.161487, 0.150443, 0.0890465, 0.0689133, 0.0507949, 0.0363165, 0.027331, 0.0211416, 0.0141891, 0.00990895, 0.0062198, 0.00336023, 0.00122491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00818493");
-            index_3 ("0.194042, 0.22699, 0.261036, 0.274195, 0.300635, 0.312062, 0.332661, 0.341133, 0.346094, 0.350946, 0.358984, 0.386438, 0.404266, 0.414557, 0.429777, 0.440112");
-            values ( \
-              "0.000407125, 0.000518813, 0.00429034, 0.000747271, 1e-22, 0.048413, 0.199093, 0.225966, 0.231937, 0.232034, 0.218736, 0.0831578, 0.0367909, 0.0223738, 0.0105982, 0.00781941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0184031");
-            index_3 ("0.200302, 0.227125, 0.236433, 0.249097, 0.263844, 0.274668, 0.282028, 0.293093, 0.305473, 0.319115, 0.326631, 0.332402, 0.355566, 0.371227, 0.384176, 0.396259, 0.408237, 0.421457, 0.433114, 0.454204, 0.469266, 0.490376, 0.500957, 0.510876, 0.524102, 0.533061, 0.556518, 0.583325, 0.587901");
-            values ( \
-              "0.000781126, 0.00114164, 0.00214614, 0.00748865, 0.011034, 0.00971184, 0.00762467, 0.00243725, 1e-22, 0.00317875, 0.0227333, 0.0461027, 0.177146, 0.241742, 0.269937, 0.278409, 0.275235, 0.257323, 0.225566, 0.153291, 0.110086, 0.0657944, 0.0499018, 0.0382363, 0.0264832, 0.0206186, 0.0105554, 0.0047143, 0.00431846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0413776");
-            index_3 ("0.27793, 0.364989, 0.382023, 0.420527, 0.442123, 0.452914, 0.474496, 0.489387, 0.504317, 0.529034, 0.553839, 0.560499, 0.583185, 0.630398, 0.666967, 0.700223, 0.733447, 0.776834, 0.823528, 0.864043, 0.894339");
-            values ( \
-              "0.0137197, 0.0260862, 0.0660602, 0.19022, 0.245202, 0.26464, 0.291128, 0.299987, 0.302985, 0.298552, 0.281454, 0.274281, 0.241528, 0.15994, 0.106627, 0.070625, 0.0454123, 0.0247473, 0.0126694, 0.00690604, 0.00495235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0930337");
-            index_3 ("0.209745, 0.227055, 0.236366, 0.267818, 0.285761, 0.299521, 0.312058, 0.386503, 0.407062, 0.44818, 0.501434, 0.563497, 0.602769, 0.634032, 0.691649, 0.745498, 0.799092, 0.852671, 0.867095, 0.916138, 1.01577, 1.09431, 1.16712, 1.21352, 1.26455, 1.34454, 1.44524, 1.54513, 1.59604");
-            values ( \
-              "0.00109313, 0.00161304, 0.00344544, 0.02915, 0.035314, 0.0344348, 0.0314985, 0.0105056, 0.00973337, 0.028042, 0.0942787, 0.193953, 0.245274, 0.274656, 0.306846, 0.315292, 0.310092, 0.292105, 0.28481, 0.251846, 0.17003, 0.113399, 0.0741777, 0.0555942, 0.0400484, 0.0234868, 0.0118174, 0.00577673, 0.00426557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.209178");
-            index_3 ("0.326109, 0.687961, 0.938091, 1.03798, 1.08645, 1.12881, 1.16753, 1.2286, 1.28631, 1.3862, 1.44143, 1.51506, 1.5523, 1.60196, 1.66339, 1.94694, 2.07786, 2.16212, 2.252, 2.30521, 2.3901, 2.46742, 2.5673, 2.71824, 2.81812, 2.91801, 3.11778, 3.31755, 3.6172");
-            values ( \
-              "0.0170551, 0.0550146, 0.2312, 0.279022, 0.294755, 0.305123, 0.311757, 0.318392, 0.320306, 0.316597, 0.310697, 0.29844, 0.290084, 0.276679, 0.256582, 0.151001, 0.109658, 0.0877821, 0.0684541, 0.058778, 0.045948, 0.0364436, 0.0269322, 0.0168977, 0.0123133, 0.00902462, 0.00479509, 0.00254452, 0.000942966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.459978, 0.46411, 0.477293, 0.481631, 0.485622, 0.489521, 0.493415, 0.495739, 0.504113, 0.51159, 0.523096, 0.534521, 0.545204, 0.548996");
-            values ( \
-              "0.0190654, 0.0385788, 0.14196, 0.160269, 0.167846, 0.168852, 0.161455, 0.151163, 0.0896943, 0.0524845, 0.0229731, 0.00998002, 0.00471148, 0.00399984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00818493");
-            index_3 ("0.465771, 0.476211, 0.487731, 0.493105, 0.496103, 0.503053, 0.50496, 0.508774, 0.510972, 0.513402, 0.515828, 0.52068, 0.522363, 0.525693, 0.529563, 0.537062, 0.542921, 0.547095, 0.554078, 0.558782, 0.565055, 0.568546, 0.572711, 0.577707, 0.584711, 0.593599, 0.605449, 0.622352");
-            values ( \
-              "0.00110543, 0.0553556, 0.14986, 0.184231, 0.199865, 0.223511, 0.227277, 0.232038, 0.232993, 0.232845, 0.231192, 0.223705, 0.219017, 0.207021, 0.186561, 0.14118, 0.111891, 0.0938379, 0.0693001, 0.0560678, 0.0417762, 0.0354194, 0.0290065, 0.0227469, 0.0161106, 0.0104017, 0.00572498, 0.00262735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0184031");
-            index_3 ("0.353523, 0.37995, 0.391021, 0.396308, 0.399601, 0.412068, 0.416532, 0.427359, 0.433646, 0.438463, 0.445884, 0.457669, 0.470391, 0.484458, 0.489991, 0.495421, 0.505668, 0.518979, 0.529599, 0.534625, 0.544677, 0.547523, 0.550388, 0.55506, 0.559583, 0.564771, 0.571552, 0.583566, 0.589635, 0.596108, 0.614076, 0.62047, 0.627778, 0.639093, 0.64887, 0.655994, 0.665185, 0.672649, 0.681779, 0.69465, 0.700408, 0.70824, 0.718683, 0.739569, 0.756436");
-            values ( \
-              "0.000340946, 0.00102192, 0.000941689, 0.00161462, 0.00210465, 0.00728983, 0.00871208, 0.0107947, 0.0104295, 0.0095882, 0.00745819, 0.00192624, 1e-22, 0.00693713, 0.0224502, 0.0442006, 0.0988424, 0.177847, 0.225108, 0.242425, 0.265365, 0.269894, 0.273048, 0.275882, 0.277547, 0.277509, 0.274186, 0.259545, 0.245698, 0.226585, 0.164531, 0.144266, 0.123013, 0.0945794, 0.0744883, 0.062118, 0.0487961, 0.0399535, 0.0311125, 0.0216881, 0.0184528, 0.0147899, 0.01097, 0.00592977, 0.00409486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0413776");
-            index_3 ("0.438465, 0.530384, 0.60085, 0.616281, 0.642917, 0.667813, 0.69257, 0.723834, 0.746635, 0.793897, 0.830439, 0.8636, 0.89694, 0.940297, 0.986899, 1.02733, 1.05222");
-            values ( \
-              "0.00950153, 0.0295487, 0.234811, 0.26441, 0.295056, 0.30336, 0.298805, 0.274523, 0.241585, 0.15986, 0.106595, 0.0706845, 0.0453817, 0.0247412, 0.0126816, 0.0069238, 0.00531467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0930337");
-            index_3 ("0.458742, 0.63747, 0.727737, 0.775186, 0.798064, 0.843819, 0.878558, 0.909126, 0.96254, 1.0183, 1.07477, 1.18691, 1.26129, 1.32361, 1.40359, 1.49646, 1.55363, 1.62985, 1.72974, 1.75227");
-            values ( \
-              "0.00697334, 0.0550564, 0.195457, 0.255096, 0.275399, 0.302664, 0.312367, 0.314974, 0.309913, 0.291, 0.25589, 0.164057, 0.111353, 0.077505, 0.0469655, 0.0254215, 0.0172751, 0.010168, 0.0049526, 0.00438089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.209178");
-            index_3 ("0.500171, 0.823484, 0.92337, 1.02326, 1.09922, 1.1991, 1.23833, 1.28316, 1.33114, 1.42711, 1.4501, 1.4961, 1.56844, 1.66833, 1.69159, 1.73812, 1.82712, 2.04427, 2.11127, 2.21116, 2.28065, 2.32499, 2.41368, 2.48116, 2.55642, 2.63296, 2.73285, 2.78233, 2.8813, 2.98119, 3.08107, 3.28084, 3.48061, 3.78027");
-            values ( \
-              "0.0315814, 0.0404609, 0.103517, 0.178594, 0.229247, 0.277833, 0.29124, 0.302804, 0.311735, 0.319993, 0.320473, 0.319602, 0.314819, 0.300567, 0.295871, 0.284459, 0.256791, 0.174925, 0.150954, 0.118489, 0.0990762, 0.08811, 0.0688101, 0.0567498, 0.045549, 0.036339, 0.0267264, 0.0230581, 0.0168723, 0.0124181, 0.00897896, 0.00473861, 0.00248256, 0.00101361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.799438, 0.804822, 0.808481, 0.814902, 0.819256, 0.823261, 0.827174, 0.831082, 0.833395, 0.841808, 0.849184, 0.853206, 0.85976, 0.866819, 0.871995, 0.882488, 0.891696, 0.892869");
-            values ( \
-              "0.0256506, 0.065109, 0.0990647, 0.141625, 0.159557, 0.167342, 0.168164, 0.161024, 0.150776, 0.0893777, 0.0527383, 0.0397377, 0.0246939, 0.0148075, 0.010142, 0.0048794, 0.00256938, 0.0024425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00818493");
-            index_3 ("0.805449, 0.813813, 0.823595, 0.833755, 0.840742, 0.847216, 0.853587, 0.860478, 0.888753, 0.899124, 0.909354, 0.921804, 0.935424, 0.943774");
-            values ( \
-              "0.014439, 0.0560539, 0.136471, 0.198586, 0.2232, 0.231839, 0.231279, 0.217839, 0.0788689, 0.049471, 0.030448, 0.0165506, 0.00848633, 0.00674267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0184031");
-            index_3 ("0.663115, 0.727809, 0.734989, 0.752958, 0.762495, 0.768438, 0.778763, 0.800523, 0.813904, 0.827967, 0.83119, 0.843381, 0.85671, 0.872416, 0.885344, 0.8911, 0.897418, 0.909399, 0.92139, 0.934158, 0.95171, 0.967458, 0.984954, 0.995054, 1.00916, 1.01749, 1.03138, 1.04688, 1.05914, 1.08365, 1.09397");
-            values ( \
-              "6.90717e-05, 0.000980456, 0.00236377, 0.00795066, 0.0098271, 0.00987869, 0.00850915, 1e-22, 2e-22, 0.0232155, 0.0354889, 0.098061, 0.177158, 0.241874, 0.269421, 0.275004, 0.278232, 0.274719, 0.260009, 0.226125, 0.165233, 0.118058, 0.077832, 0.0601453, 0.0413959, 0.0329764, 0.0223476, 0.0144371, 0.0101539, 0.0048847, 0.00395601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0413776");
-            index_3 ("0.777763, 0.868977, 0.888452, 0.92173, 0.943286, 0.954114, 0.975769, 0.990399, 1.00549, 1.03019, 1.06161, 1.08429, 1.14481, 1.16794, 1.20108, 1.23424, 1.26916, 1.28883, 1.3166, 1.35362, 1.40432");
-            values ( \
-              "0.00825444, 0.0313445, 0.0816198, 0.190411, 0.245047, 0.264909, 0.291232, 0.300017, 0.303276, 0.298687, 0.274551, 0.241788, 0.139126, 0.106903, 0.0709128, 0.0456467, 0.0280951, 0.0212624, 0.0142638, 0.00823856, 0.00424987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0930337");
-            index_3 ("0.797739, 0.873811, 0.904893, 0.936977, 0.988148, 1.06461, 1.0898, 1.13508, 1.19263, 1.21595, 1.24622, 1.26484, 1.29977, 1.34782, 1.37087, 1.41695, 1.52845, 1.60211, 1.68846, 1.7461, 1.78212, 1.85254, 1.97421, 2.07409, 2.0907");
-            values ( \
-              "0.0747783, 0.0133137, 0.00963707, 0.0197633, 0.0727461, 0.194666, 0.229192, 0.275392, 0.307217, 0.312699, 0.314742, 0.314259, 0.309446, 0.294423, 0.282913, 0.251653, 0.160527, 0.109057, 0.065309, 0.0453606, 0.0358396, 0.0223837, 0.00962837, 0.00471573, 0.00441649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.209178");
-            index_3 ("0.689405, 0.727669, 0.734833, 0.777197, 0.798645, 0.813536, 0.827579, 0.942523, 1.0003, 1.04172, 1.12456, 1.21553, 1.38497, 1.44632, 1.54121, 1.6411, 1.66799, 1.72176, 1.78675, 1.88663, 1.94181, 2.01538, 2.05288, 2.10288, 2.16379, 2.44733, 2.54722, 2.66252, 2.75241, 2.81772, 2.89047, 2.96779, 3.06768, 3.21861, 3.3185, 3.41838, 3.61815, 3.81792, 4.11758");
-            values ( \
-              "0.000339182, 0.0016725, 0.00412084, 0.0390939, 0.0460924, 0.0460349, 0.0442993, 0.0222891, 0.0150715, 0.0133006, 0.0265419, 0.0718888, 0.196201, 0.235279, 0.279784, 0.307566, 0.31186, 0.31792, 0.320373, 0.316619, 0.31071, 0.298492, 0.290073, 0.276515, 0.256605, 0.151017, 0.118702, 0.0877885, 0.068447, 0.0568078, 0.0459474, 0.0364501, 0.0269302, 0.0168952, 0.0123174, 0.00902183, 0.00479222, 0.00254169, 0.000945533" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0100141, 0.0100166, 0.0100198, 0.0100226, 0.0100243, 0.0100252", \
-            "0.0120382, 0.0120388, 0.01204, 0.0120415, 0.0120427, 0.0120433", \
-            "0.0134365, 0.0134363, 0.0134363, 0.0134367, 0.0134373, 0.0134378", \
-            "0.014488, 0.0144877, 0.0144874, 0.0144872, 0.0144873, 0.0144876", \
-            "0.0152244, 0.0152242, 0.0152238, 0.0152233, 0.0152229, 0.0152229", \
-            "0.015801, 0.0158009, 0.0158007, 0.0158004, 0.0157998, 0.0157994" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0144775, 0.0144751, 0.0144734, 0.014473, 0.0144731, 0.0144734", \
-            "0.015628, 0.0156257, 0.015623, 0.0156208, 0.0156195, 0.0156189", \
-            "0.0159652, 0.0159643, 0.0159625, 0.0159603, 0.0159585, 0.0159575", \
-            "0.0172203, 0.017222, 0.0172232, 0.0172231, 0.0172219, 0.0172209", \
-            "0.0164216, 0.016386, 0.0163533, 0.0163306, 0.0163182, 0.0163116", \
-            "0.0156404, 0.0156395, 0.015721, 0.0158642, 0.0157122, 0.015665" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.221019, 0.224389, 0.228206, 0.231753, 0.23682, 0.240972, 0.248169, 0.251532, 0.254892, 0.256259, 0.266205, 0.27022, 0.274619, 0.279831, 0.283275");
-            values ( \
-              "-0.0293303, -0.0454405, -0.0707606, -0.113957, -0.147839, -0.169593, -0.194295, -0.196033, -0.189228, -0.180007, -0.0677779, -0.0386117, -0.0200379, -0.00905967, -0.00591328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00818493");
-            index_3 ("0.226041, 0.237547, 0.247349, 0.255646, 0.262367, 0.268397, 0.274024, 0.279498, 0.284965, 0.287678, 0.301951, 0.312642, 0.321127, 0.327217");
-            values ( \
-              "-0.00400861, -0.0698454, -0.153119, -0.204234, -0.235492, -0.25422, -0.268696, -0.270536, -0.260172, -0.245319, -0.105464, -0.0430636, -0.0201236, -0.0127802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0184031");
-            index_3 ("0.0461591, 0.0678096, 0.0757738, 0.102079, 0.147013, 0.156657, 0.166263, 0.18069, 0.187421, 0.191148, 0.207086, 0.21218, 0.235592, 0.247942, 0.253063, 0.2675, 0.274982, 0.287098, 0.295266, 0.307918, 0.319139, 0.329633, 0.33985, 0.350054, 0.355163, 0.360437, 0.376436, 0.382499, 0.392289, 0.396689, 0.402208, 0.409971, 0.418228, 0.424586, 0.430043");
-            values ( \
-              "-0.00717063, -0.000949865, -9.02753e-06, -1e-22, -0.00371264, -0.0051823, -0.00771588, -0.0124558, -0.0118763, -0.0104436, -0.000887699, -1e-22, -2e-22, -0.0165156, -0.0356407, -0.102142, -0.150053, -0.209174, -0.241624, -0.282448, -0.307937, -0.322905, -0.326622, -0.312542, -0.294945, -0.265242, -0.156285, -0.122809, -0.0809008, -0.0665569, -0.0517891, -0.036058, -0.0244591, -0.0180552, -0.0144497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0413776");
-            index_3 ("0.179977, 0.287616, 0.319444, 0.346105, 0.379638, 0.405676, 0.428643, 0.450137, 0.471028, 0.492217, 0.501748, 0.511914, 0.538882, 0.554939, 0.57789, 0.590372, 0.607015, 0.62214, 0.646802, 0.679684, 0.710369");
-            values ( \
-              "-0.0163376, -0.0189163, -0.0974026, -0.180876, -0.26227, -0.309369, -0.338544, -0.35464, -0.35937, -0.343633, -0.325409, -0.29429, -0.193867, -0.143568, -0.0898548, -0.0688019, -0.0475855, -0.0338874, -0.0192562, -0.00869452, -0.00476445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0930337");
-            index_3 ("0.202258, 0.413389, 0.520086, 0.569365, 0.625789, 0.675216, 0.721311, 0.766174, 0.810978, 0.831697, 0.853085, 0.917229, 0.943839, 0.988794, 1.02501, 1.04846, 1.09437, 1.12102, 1.17432, 1.26497, 1.35562");
-            values ( \
-              "-0.000295558, -0.0628718, -0.21468, -0.271337, -0.32337, -0.353895, -0.372097, -0.375752, -0.361059, -0.340944, -0.308511, -0.193225, -0.153273, -0.100628, -0.0704959, -0.0556318, -0.0345952, -0.0261379, -0.0146901, -0.00511075, -0.00178492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.209178");
-            index_3 ("0.0743943, 0.100259, 0.154972, 0.192286, 0.210073, 0.244995, 0.3618, 0.410125, 0.466607, 0.557257, 0.647908, 0.917191, 1.06955, 1.11956, 1.21021, 1.26483, 1.32978, 1.42043, 1.44429, 1.47609, 1.52797, 1.61862, 1.76345, 1.81973, 1.89129, 1.94427, 2.02528, 2.10237, 2.15239, 2.22562, 2.3071, 2.39775, 2.4884, 2.6697, 2.851");
-            values ( \
-              "-0.00355041, -0.00150955, -0.00980459, -0.0457118, -0.0509164, -0.0467495, -0.0242725, -0.0176892, -0.0124254, -0.0191854, -0.0612179, -0.235359, -0.310752, -0.329689, -0.357772, -0.369941, -0.379633, -0.384584, -0.383477, -0.379853, -0.368152, -0.315766, -0.195189, -0.15643, -0.115971, -0.0919522, -0.0639517, -0.0446303, -0.0353115, -0.0249616, -0.0169075, -0.0108168, -0.00699386, -0.00288707, -0.00120067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.239502, 0.246668, 0.252325, 0.259446, 0.266635, 0.269999, 0.273358, 0.274712, 0.284672, 0.288685, 0.293084, 0.298298, 0.304258, 0.310487");
-            values ( \
-              "-0.0294682, -0.0697892, -0.127961, -0.169269, -0.193996, -0.196343, -0.188979, -0.180108, -0.067775, -0.0386116, -0.0200362, -0.00906138, -0.0036112, -0.00163844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00818493");
-            index_3 ("0.244636, 0.260014, 0.265919, 0.274223, 0.280944, 0.286973, 0.292601, 0.298075, 0.303541, 0.306257, 0.320537, 0.331211, 0.339708, 0.346398");
-            values ( \
-              "-0.00402681, -0.0962245, -0.15323, -0.204342, -0.235565, -0.254169, -0.268755, -0.27049, -0.260222, -0.245299, -0.105386, -0.0430925, -0.0201142, -0.0120595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0184031");
-            index_3 ("0.0641988, 0.0851569, 0.092365, 0.117771, 0.129039, 0.162227, 0.175266, 0.184774, 0.189405, 0.199417, 0.209944, 0.225826, 0.2309, 0.254209, 0.26647, 0.271679, 0.286106, 0.293548, 0.305649, 0.31387, 0.326521, 0.337742, 0.348236, 0.358453, 0.368658, 0.373766, 0.37904, 0.39504, 0.401099, 0.405378, 0.41089, 0.415293, 0.420812, 0.428573, 0.436828, 0.443184, 0.449288");
-            values ( \
-              "-0.00692091, -0.00126491, -0.000123554, -1e-22, -0.000533082, -0.00327891, -0.00525835, -0.00745176, -0.00967413, -0.0127152, -0.0105297, -0.000798075, -1e-22, -2e-22, -0.0162706, -0.0356751, -0.102215, -0.149883, -0.208984, -0.241601, -0.282485, -0.307907, -0.322942, -0.326588, -0.312579, -0.294944, -0.265252, -0.156285, -0.122826, -0.102723, -0.0809039, -0.0665523, -0.0517905, -0.0360572, -0.0244614, -0.0180616, -0.0140257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0413776");
-            index_3 ("0.198668, 0.305431, 0.330078, 0.376285, 0.398194, 0.424302, 0.44729, 0.468751, 0.489642, 0.510506, 0.520449, 0.530712, 0.556346, 0.574046, 0.596734, 0.60853, 0.624257, 0.63621, 0.649428, 0.667051, 0.702299, 0.751825, 0.812729");
-            values ( \
-              "-0.0176046, -0.0176357, -0.0758888, -0.211417, -0.261668, -0.3095, -0.338257, -0.355277, -0.358998, -0.344418, -0.325243, -0.293627, -0.197874, -0.142174, -0.0894118, -0.0694811, -0.0490918, -0.0375453, -0.0278442, -0.0185366, -0.00783939, -0.00211751, -0.000382909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0930337");
-            index_3 ("0.221466, 0.4237, 0.515237, 0.58849, 0.644785, 0.694273, 0.740359, 0.785218, 0.830686, 0.850712, 0.872074, 0.936329, 0.962846, 1.00761, 1.03114, 1.06766, 1.11342, 1.1399, 1.19287, 1.27559");
-            values ( \
-              "-0.00856178, -0.0519212, -0.183393, -0.272475, -0.322486, -0.354876, -0.371255, -0.37666, -0.360161, -0.340929, -0.308664, -0.193076, -0.15335, -0.100876, -0.0801538, -0.0555204, -0.0345773, -0.0262008, -0.0147551, -0.00599564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.209178");
-            index_3 ("0.252154, 0.664714, 0.936245, 1.01485, 1.0883, 1.13834, 1.22899, 1.2836, 1.34856, 1.43921, 1.46306, 1.49487, 1.54675, 1.6374, 1.78222, 1.8385, 1.91007, 1.96304, 2.04405, 2.12172, 2.17119, 2.24375, 2.32526, 2.41591, 2.50656, 2.68786, 2.86916");
-            values ( \
-              "-0.0117158, -0.059983, -0.235578, -0.277025, -0.310802, -0.329632, -0.35783, -0.369996, -0.379579, -0.384638, -0.383527, -0.379804, -0.368201, -0.315718, -0.195237, -0.156386, -0.115928, -0.0919957, -0.0639099, -0.0445488, -0.035347, -0.025078, -0.0169164, -0.010889, -0.00697411, -0.00285537, -0.00116411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.279799, 0.28233, 0.285815, 0.286866, 0.288969, 0.290418, 0.293271, 0.299645, 0.306834, 0.310197, 0.313555, 0.314938, 0.317352, 0.321759, 0.324868, 0.326981, 0.328883, 0.33142, 0.333282, 0.335515, 0.338492, 0.343897");
-            values ( \
-              "-0.0296439, -0.041326, -0.0674291, -0.0667019, -0.100293, -0.109916, -0.133679, -0.169564, -0.19414, -0.196289, -0.189014, -0.179919, -0.157334, -0.100639, -0.0677943, -0.0506713, -0.0386055, -0.0264478, -0.020032, -0.0143214, -0.00906815, -0.00411809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00818493");
-            index_3 ("0.290179, 0.296236, 0.299895, 0.304314, 0.308742, 0.320829, 0.326843, 0.332471, 0.337944, 0.34341, 0.34611, 0.348896, 0.36036, 0.365671, 0.371107, 0.37518, 0.379542, 0.382909, 0.389643, 0.396944");
-            values ( \
-              "-0.0698266, -0.0718676, -0.0963485, -0.140323, -0.171809, -0.235091, -0.254865, -0.268265, -0.27105, -0.259781, -0.245422, -0.222605, -0.105747, -0.0686933, -0.0429869, -0.0298638, -0.0201695, -0.0148277, -0.00791329, -0.0045773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0184031");
-            index_3 ("0.100177, 0.169714, 0.21323, 0.237667, 0.247088, 0.268358, 0.300756, 0.313068, 0.360198, 0.376945, 0.387432, 0.397651, 0.40802, 0.412953, 0.44018, 0.460046, 0.475989, 0.4949, 0.505075");
-            values ( \
-              "-0.00299301, -0.000759348, -0.00495992, -0.012444, -0.0112957, -1e-22, -0.00241164, -0.0449815, -0.266224, -0.308093, -0.322738, -0.326753, -0.312099, -0.294987, -0.123416, -0.051705, -0.0245065, -0.00975288, -0.00670072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0413776");
-            index_3 ("0.243713, 0.354973, 0.37836, 0.404931, 0.428373, 0.438433, 0.458553, 0.464455, 0.476259, 0.487418, 0.50891, 0.529795, 0.550983, 0.56055, 0.570755, 0.597188, 0.613904, 0.623827, 0.63681, 0.648843, 0.664887, 0.68082, 0.68943, 0.706648, 0.741086, 0.789746, 0.849372");
-            values ( \
-              "-0.00225623, -0.036441, -0.0976229, -0.181004, -0.239613, -0.262275, -0.299534, -0.309348, -0.325426, -0.338517, -0.354788, -0.359318, -0.343708, -0.325337, -0.294027, -0.195466, -0.143011, -0.117324, -0.0895676, -0.0692483, -0.0485642, -0.0339642, -0.0279437, -0.0187782, -0.00812612, -0.00225878, -0.000432857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0930337");
-            index_3 ("0.26375, 0.446202, 0.494374, 0.572365, 0.627991, 0.660193, 0.684082, 0.733702, 0.779746, 0.824614, 0.869423, 0.890078, 0.9114, 0.975842, 1.00221, 1.04665, 1.07068, 1.10735, 1.15284, 1.17905, 1.23146, 1.32211, 1.41276, 1.50341");
-            values ( \
-              "-0.0263173, -0.0325263, -0.0932863, -0.206404, -0.272083, -0.302879, -0.322988, -0.354053, -0.37187, -0.375902, -0.360867, -0.340994, -0.308741, -0.192939, -0.153388, -0.101212, -0.0800679, -0.0553786, -0.0345887, -0.0262641, -0.0149053, -0.00519276, -0.00180745, -0.000619342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.209178");
-            index_3 ("0.299511, 0.699958, 0.967113, 1.12882, 1.17814, 1.26879, 1.32339, 1.38835, 1.479, 1.50285, 1.53466, 1.58654, 1.67719, 1.82201, 1.8783, 1.97008, 2.06756, 2.13565, 2.19049, 2.24566, 2.31921, 2.40986, 2.50051, 2.68181, 2.86311");
-            values ( \
-              "-0.0152234, -0.0573008, -0.230487, -0.311133, -0.329606, -0.357861, -0.370022, -0.379554, -0.384665, -0.38355, -0.379784, -0.368222, -0.315697, -0.195257, -0.15637, -0.106146, -0.0688152, -0.0503019, -0.0389047, -0.0300083, -0.0211291, -0.0135822, -0.00874682, -0.00359816, -0.00148075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.362099, 0.3654, 0.367758, 0.368921, 0.371221, 0.37495, 0.381687, 0.388874, 0.392237, 0.395595, 0.396978, 0.406906, 0.410922, 0.415321, 0.420531, 0.425687");
-            values ( \
-              "-0.0304813, -0.0484276, -0.0670359, -0.0675444, -0.102648, -0.131287, -0.169671, -0.194235, -0.19623, -0.189106, -0.179929, -0.0677922, -0.0386101, -0.0200361, -0.00906545, -0.00434706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00818493");
-            index_3 ("0.36596, 0.373426, 0.380417, 0.382096, 0.385454, 0.392514, 0.403037, 0.409052, 0.414681, 0.420153, 0.425619, 0.428352, 0.442788, 0.447959, 0.453219, 0.457333, 0.461854, 0.465361, 0.472376, 0.476172");
-            values ( \
-              "-0.00617856, -0.0396851, -0.0872326, -0.0924338, -0.132648, -0.182418, -0.235548, -0.254457, -0.268582, -0.270778, -0.259993, -0.245194, -0.103958, -0.0682485, -0.0433595, -0.0300163, -0.0199847, -0.0144995, -0.00752734, -0.00587572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0184031");
-            index_3 ("0.152211, 0.217014, 0.2494, 0.251999, 0.288405, 0.296571, 0.305497, 0.319463, 0.324875, 0.333353, 0.347406, 0.352013, 0.373773, 0.38499, 0.39721, 0.408167, 0.420015, 0.435881, 0.448529, 0.459745, 0.470236, 0.480452, 0.490654, 0.495771, 0.517011, 0.523188, 0.532908, 0.542777, 0.55055, 0.55885, 0.565246, 0.578036, 0.579379");
-            values ( \
-              "-0.00144538, -1e-22, -1.71521e-05, -0.00188898, -0.00404582, -0.00525232, -0.00740139, -0.0122036, -0.0125646, -0.00969707, -0.000954068, -1e-22, -2e-22, -0.00609658, -0.0508165, -0.101931, -0.173469, -0.241873, -0.282554, -0.308056, -0.322965, -0.326673, -0.312582, -0.294937, -0.156432, -0.122359, -0.0808293, -0.0518602, -0.0360908, -0.0244319, -0.018001, -0.00958757, -0.00918989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0413776");
-            index_3 ("0.324261, 0.428191, 0.452237, 0.498608, 0.520371, 0.546474, 0.569461, 0.590922, 0.611812, 0.632676, 0.642623, 0.65289, 0.678463, 0.696238, 0.71891, 0.730687, 0.746389, 0.758366, 0.771607, 0.78926, 0.824567, 0.872763, 0.931991");
-            values ( \
-              "-0.0177765, -0.0186452, -0.0759484, -0.211882, -0.261648, -0.309571, -0.338215, -0.355339, -0.358951, -0.344475, -0.325235, -0.293598, -0.19806, -0.142111, -0.0894017, -0.0695007, -0.0491331, -0.0375566, -0.0278385, -0.0185196, -0.00781934, -0.0022102, -0.000428598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0930337");
-            index_3 ("0.343439, 0.550107, 0.658997, 0.71019, 0.747306, 0.80429, 0.838649, 0.861832, 0.906669, 0.95216, 0.972046, 0.993258, 1.05821, 1.08416, 1.12774, 1.15312, 1.19019, 1.23496, 1.26043, 1.31137, 1.40202, 1.49267, 1.58332");
-            values ( \
-              "-0.00409205, -0.0576917, -0.212325, -0.27219, -0.307663, -0.348279, -0.364458, -0.371252, -0.376217, -0.360091, -0.34104, -0.309113, -0.192441, -0.153581, -0.102208, -0.0797855, -0.0549503, -0.0345752, -0.0264763, -0.0152699, -0.00533288, -0.00184509, -0.000643322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.209178");
-            index_3 ("0.372517, 0.774905, 1.04712, 1.13714, 1.21161, 1.26074, 1.36958, 1.47093, 1.56953, 1.61721, 1.66903, 1.75902, 1.90552, 1.9909, 2.08507, 2.16785, 2.24022, 2.29343, 2.36954, 2.4479, 2.53855, 2.71985, 2.99181");
-            values ( \
-              "-0.017058, -0.0528891, -0.228566, -0.277465, -0.31079, -0.3301, -0.362278, -0.379992, -0.384325, -0.380151, -0.36791, -0.316618, -0.194241, -0.137959, -0.0918853, -0.0637066, -0.0451629, -0.0351765, -0.0244807, -0.0171066, -0.0106862, -0.00428163, -0.00135491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.528403, 0.532175, 0.532536, 0.533018, 0.533501, 0.534466, 0.535432, 0.536631, 0.537718, 0.538405, 0.539778, 0.541505, 0.543121, 0.54416, 0.5452, 0.54624, 0.546749, 0.547257, 0.547766, 0.548275, 0.549111, 0.549915, 0.550309, 0.551098, 0.553382, 0.554236, 0.554663, 0.555503, 0.555915, 0.55674, 0.557576, 0.558412, 0.559248, 0.560148, 0.56104, 0.56155, 0.562059, 0.563444, 0.563905, 0.569248, 0.570414, 0.570997, 0.572162, 0.573297, 0.574765, 0.575985, 0.577205, 0.578426, 0.579653, 0.580665");
-            values ( \
-              "-0.0629809, -0.0636435, -0.065773, -0.0691231, -0.0730471, -0.086817, -0.0982941, -0.10891, -0.117816, -0.123098, -0.132853, -0.14241, -0.15254, -0.15967, -0.167277, -0.175361, -0.175616, -0.176171, -0.177027, -0.178183, -0.180735, -0.183416, -0.186622, -0.188015, -0.19359, -0.19468, -0.195026, -0.195319, -0.195276, -0.194826, -0.193765, -0.192278, -0.190366, -0.187834, -0.182425, -0.178673, -0.174465, -0.160445, -0.155401, -0.0892783, -0.0769303, -0.0714175, -0.0612294, -0.0524383, -0.0420953, -0.0351184, -0.0295385, -0.0246882, -0.0206165, -0.0174978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00818493");
-            index_3 ("0.530661, 0.537645, 0.545216, 0.546604, 0.549379, 0.552417, 0.562298, 0.567442, 0.573462, 0.579094, 0.584566, 0.590038, 0.592689, 0.606865, 0.612232, 0.617825, 0.626086, 0.635822, 0.644465");
-            values ( \
-              "-0.00751218, -0.0387173, -0.0892588, -0.0934494, -0.127064, -0.150781, -0.210697, -0.234162, -0.254977, -0.267452, -0.271357, -0.259144, -0.245608, -0.106756, -0.0690698, -0.0426615, -0.0203202, -0.0082548, -0.00410803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0184031");
-            index_3 ("0.257712, 0.412949, 0.462635, 0.480244, 0.490254, 0.515967, 0.537398, 0.548329, 0.561999, 0.600023, 0.61269, 0.623907, 0.6344, 0.644617, 0.65482, 0.659946, 0.687444, 0.700768, 0.714232, 0.73193, 0.745906");
-            values ( \
-              "-0.00064976, -1e-22, -0.00511741, -0.0115806, -0.0125928, -1e-22, -2e-22, -0.00407491, -0.0537117, -0.241362, -0.282815, -0.307686, -0.323214, -0.326367, -0.312815, -0.294877, -0.121912, -0.0686395, -0.0368881, -0.0159178, -0.00819754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0413776");
-            index_3 ("0.490298, 0.530851, 0.559458, 0.565869, 0.574501, 0.591765, 0.613419, 0.651917, 0.685329, 0.711397, 0.734374, 0.755824, 0.776709, 0.798531, 0.807526, 0.817805, 0.843204, 0.861191, 0.883814, 0.89554, 0.911175, 0.927655, 0.954263, 0.989741, 1.00337");
-            values ( \
-              "-0.0617157, -0.01178, -0.00143162, -0.000174674, -0.000445852, -0.0169022, -0.0659442, -0.181942, -0.262985, -0.308901, -0.33928, -0.354604, -0.35987, -0.343025, -0.325219, -0.293562, -0.198569, -0.141949, -0.089384, -0.0695629, -0.0492375, -0.0340147, -0.0184616, -0.00776832, -0.00618635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0930337");
-            index_3 ("0.507974, 0.566459, 0.594642, 0.625064, 0.665156, 0.722549, 0.801589, 0.846711, 0.874944, 0.931264, 0.980765, 1.02686, 1.07172, 1.11653, 1.13723, 1.1586, 1.22282, 1.24937, 1.29419, 1.33073, 1.35412, 1.39993, 1.42646, 1.47953, 1.57018, 1.66083");
-            values ( \
-              "-0.0921533, -0.0224373, -0.0145207, -0.00832827, -0.0117823, -0.0676233, -0.182231, -0.240359, -0.271571, -0.323099, -0.354093, -0.371893, -0.375946, -0.360863, -0.340948, -0.308583, -0.193144, -0.15331, -0.100784, -0.0703851, -0.0555647, -0.0345915, -0.0261745, -0.0147428, -0.00513512, -0.00178769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.209178");
-            index_3 ("0.536703, 0.970874, 1.13977, 1.23042, 1.37476, 1.42537, 1.51602, 1.57066, 1.6356, 1.72625, 1.78192, 1.83379, 1.92444, 2.06927, 2.15696, 2.25428, 2.31256, 2.37916, 2.49425, 2.57132, 2.66197, 2.75262, 2.84327, 3.11522");
-            values ( \
-              "-0.00237294, -0.0716729, -0.18461, -0.2394, -0.310347, -0.329791, -0.357626, -0.369815, -0.379734, -0.384464, -0.379932, -0.368062, -0.315847, -0.195114, -0.137321, -0.0901748, -0.0696104, -0.051089, -0.0299002, -0.0205472, -0.0133438, -0.00845524, -0.00553148, -0.00136505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.862612, 0.867182, 0.870372, 0.872871, 0.875971, 0.883126, 0.890313, 0.893675, 0.897034, 0.898387, 0.900829, 0.908346, 0.912358, 0.916757, 0.921973, 0.927934, 0.929485");
-            values ( \
-              "-0.00641284, -0.0510029, -0.0709166, -0.103012, -0.127622, -0.169153, -0.193875, -0.196563, -0.188859, -0.180134, -0.157345, -0.0677834, -0.0386087, -0.0200309, -0.00906402, -0.0036039, -0.00310836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00818493");
-            index_3 ("0.871505, 0.877852, 0.882171, 0.88356, 0.886339, 0.893805, 0.904487, 0.9105, 0.916127, 0.921599, 0.927064, 0.929771, 0.932566, 0.944033, 0.949333, 0.954742, 0.958821, 0.963207, 0.966595, 0.973371, 0.980213");
-            values ( \
-              "-0.0351245, -0.057781, -0.0890665, -0.0928847, -0.126614, -0.18076, -0.235063, -0.255014, -0.268208, -0.271236, -0.259695, -0.245399, -0.222496, -0.105577, -0.0686296, -0.0430509, -0.029887, -0.020141, -0.0147801, -0.0078521, -0.00472856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0184031");
-            index_3 ("0.473689, 0.751972, 0.799442, 0.817577, 0.827931, 0.853441, 0.875391, 0.88656, 0.898217, 0.93727, 0.949907, 0.961119, 0.971608, 0.98182, 0.99202, 0.997172, 1.02497, 1.03785, 1.0513, 1.06982, 1.07638");
-            values ( \
-              "-0.000200448, -0.000523768, -0.00499347, -0.0114818, -0.0124079, -1e-22, -2e-22, -0.00659169, -0.049286, -0.241348, -0.283199, -0.307608, -0.323534, -0.326317, -0.313072, -0.294837, -0.120258, -0.0689851, -0.0370963, -0.0153799, -0.0119262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0413776");
-            index_3 ("0.826304, 0.930899, 0.962153, 0.988737, 1.02223, 1.04826, 1.07122, 1.0927, 1.11359, 1.13477, 1.14432, 1.15451, 1.18114, 1.1976, 1.21586, 1.22852, 1.24561, 1.26611, 1.27773, 1.30095, 1.34407");
-            values ( \
-              "-0.0160195, -0.0203415, -0.0980463, -0.181079, -0.262411, -0.309521, -0.338703, -0.354741, -0.359526, -0.343778, -0.325444, -0.294189, -0.194898, -0.143245, -0.0990109, -0.0766972, -0.0528127, -0.0333203, -0.0255848, -0.0148451, -0.00500219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0930337");
-            index_3 ("0.846826, 1.05511, 1.16188, 1.21569, 1.24517, 1.27655, 1.31739, 1.36357, 1.40842, 1.45325, 1.47375, 1.49492, 1.56008, 1.58585, 1.62908, 1.65501, 1.69225, 1.73672, 1.76188, 1.81221, 1.90286, 1.99351, 2.08416");
-            values ( \
-              "-0.00125989, -0.0618819, -0.213943, -0.276209, -0.303905, -0.329026, -0.353972, -0.371515, -0.375975, -0.3606, -0.341115, -0.309255, -0.192264, -0.153647, -0.102611, -0.0796804, -0.0547839, -0.0345788, -0.0265563, -0.015431, -0.00538349, -0.00186891, -0.000645402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.209178");
-            index_3 ("0.880233, 1.26319, 1.56402, 1.63982, 1.71296, 1.76321, 1.87202, 1.97335, 2.064, 2.11953, 2.17151, 2.26216, 2.40697, 2.49469, 2.592, 2.71674, 2.832, 2.90918, 2.99983, 3.09048, 3.18113, 3.19633");
-            values ( \
-              "-0.0238903, -0.045432, -0.237082, -0.277693, -0.310514, -0.330189, -0.362326, -0.380013, -0.384349, -0.380155, -0.367952, -0.31601, -0.194986, -0.137195, -0.0900584, -0.0510241, -0.0299844, -0.0204419, -0.0134221, -0.00836054, -0.00561447, -0.00537219" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00903717", \
-            "0.0103336", \
-            "0.0111061", \
-            "0.011596", \
-            "0.0118684", \
-            "0.0120169" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0122122", \
-            "0.0135669", \
-            "0.0148357", \
-            "0.0161797", \
-            "0.0165636", \
-            "0.0143782" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "QN";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.033864, 0.0471401, 0.0759903, 0.139962, 0.283225, 0.605034", \
-            "0.0403947, 0.0535781, 0.0823514, 0.146622, 0.290014, 0.611897", \
-            "0.0564111, 0.0698832, 0.0985224, 0.162545, 0.306045, 0.627932", \
-            "0.0835165, 0.103913, 0.136073, 0.199296, 0.341469, 0.664229", \
-            "0.127595, 0.158727, 0.208507, 0.283363, 0.425093, 0.745241", \
-            "0.205709, 0.250178, 0.325062, 0.441204, 0.612755, 0.930976" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0268772, 0.0461317, 0.0896892, 0.187669, 0.407911, 0.902942", \
-            "0.0273341, 0.0462517, 0.0896926, 0.187667, 0.407911, 0.902942", \
-            "0.0335958, 0.0489651, 0.0899254, 0.187671, 0.407911, 0.902942", \
-            "0.0527349, 0.0669182, 0.0989412, 0.188257, 0.407912, 0.902929", \
-            "0.0831224, 0.105249, 0.140588, 0.210611, 0.409593, 0.902875", \
-            "0.13195, 0.166257, 0.22091, 0.302687, 0.460948, 0.906616" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0252127, 0.0348189, 0.0555701, 0.10137, 0.203774, 0.433734", \
-            "0.030503, 0.0401749, 0.0610626, 0.107008, 0.209502, 0.439513", \
-            "0.0402941, 0.0525266, 0.0738912, 0.119978, 0.222624, 0.452726", \
-            "0.05188, 0.0703147, 0.100593, 0.14935, 0.252037, 0.482197", \
-            "0.0640747, 0.0910231, 0.135878, 0.207038, 0.31797, 0.54795", \
-            "0.0733867, 0.112241, 0.17816, 0.283902, 0.446114, 0.69595" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0168055, 0.029097, 0.0568153, 0.119198, 0.259554, 0.575209", \
-            "0.0176312, 0.0292411, 0.0568126, 0.119193, 0.259553, 0.57521", \
-            "0.0251867, 0.0344382, 0.0582149, 0.119203, 0.259554, 0.57521", \
-            "0.0394497, 0.0521789, 0.0737735, 0.124613, 0.259552, 0.57521", \
-            "0.062733, 0.0814397, 0.112655, 0.162437, 0.273909, 0.575232", \
-            "0.103719, 0.129401, 0.173513, 0.24474, 0.358236, 0.607432" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0274115, 0.0297101, 0.0313602, 0.0345267, 0.0357016, 0.0372681, 0.0396442, 0.0425213, 0.0461147, 0.0500981, 0.0554621, 0.0600255, 0.0654108, 0.0723998, 0.0771408, 0.0827509, 0.0876654, 0.0941791, 0.102864, 0.116776, 0.133287, 0.154152, 0.182199, 5.01801, 5.55913");
-            values ( \
-              "0.0860534, 0.182313, 0.191548, 0.19771, 0.197318, 0.195468, 0.190556, 0.182266, 0.168804, 0.147059, 0.104538, 0.0751181, 0.0508472, 0.0310187, 0.0221094, 0.0147579, 0.0103186, 0.00641386, 0.00331162, 0.00109183, 0.000250427, 3.91397e-05, 1e-22, 1.10399e-05, 0.00130194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00818493");
-            index_3 ("0.027365, 0.0316732, 0.0344001, 0.0371275, 0.0403663, 0.0465346, 0.0603395, 0.0865345, 0.0961771, 0.10611, 0.11982, 0.129435, 0.134894, 0.145811, 0.165848, 0.189822, 0.219892, 0.259153, 0.721834, 5.01796, 5.51597");
-            values ( \
-              "0.0725593, 0.249347, 0.25935, 0.263072, 0.260864, 0.249942, 0.214236, 0.0923834, 0.0615229, 0.0397526, 0.0209845, 0.013203, 0.0102278, 0.00582798, 0.00205964, 0.000444311, 0.000129983, 1e-22, 6.59921e-05, 1e-22, 0.00295213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0184031");
-            index_3 ("0.0291217, 0.0322648, 0.0349214, 0.0375345, 0.0400092, 0.0449587, 0.0514805, 0.0592763, 0.0817718, 0.0952603, 0.10283, 0.110758, 0.12899, 0.141234, 0.157542, 0.165816, 0.175272, 0.184059, 0.193917, 0.20706, 0.21517, 0.223436, 0.234456, 0.256496, 0.294795, 0.340671, 0.398891, 0.477145, 5.01799, 5.60532");
-            values ( \
-              "0.20373, 0.288721, 0.301727, 0.307587, 0.309252, 0.307549, 0.300556, 0.290441, 0.258466, 0.235553, 0.219005, 0.197938, 0.144348, 0.112864, 0.0788127, 0.0649775, 0.0515946, 0.0414022, 0.0321316, 0.0226844, 0.0182324, 0.0145775, 0.0107884, 0.00574854, 0.00177647, 0.000368698, 4.90537e-05, 1e-22, 2e-22, 0.00663989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0413776");
-            index_3 ("0.0315309, 0.0315509, 0.0726064, 0.113353, 0.143383, 0.167943, 0.174783, 0.200529, 0.238255, 0.263147, 0.296059, 0.326164, 0.344861, 0.357237, 0.373739, 0.403838, 0.424359, 0.438037, 0.465393, 0.520105, 0.602341, 0.702701, 0.803062, 5.01804, 5.60334");
-            values ( \
-              "1e-22, 0.361014, 0.322031, 0.293788, 0.271789, 0.251006, 0.244162, 0.212918, 0.158548, 0.12536, 0.0880747, 0.0618746, 0.0491582, 0.042037, 0.0338962, 0.0227297, 0.0171889, 0.0143265, 0.00975719, 0.00444835, 0.00117524, 0.000234661, 1e-22, 2e-22, 0.0149362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0930337");
-            index_3 ("0.0343862, 0.0344062, 0.122204, 0.222405, 0.294861, 0.33559, 0.365127, 0.401595, 0.490732, 0.536366, 0.592092, 0.639709, 0.670651, 0.710578, 0.73703, 0.772299, 0.836259, 0.865312, 0.908794, 0.96677, 1.06713, 1.16749, 1.26785, 1.46857, 1.66929, 1.87002, 5.01798, 5.60718");
-            values ( \
-              "1e-22, 0.387307, 0.330914, 0.298351, 0.273014, 0.256271, 0.241715, 0.220326, 0.160937, 0.131735, 0.100236, 0.0777325, 0.0654387, 0.0518338, 0.0442955, 0.0358317, 0.023923, 0.0199108, 0.0150805, 0.0104118, 0.00523629, 0.00270634, 0.00130479, 0.000301039, 4.69076e-05, 1e-22, 2e-22, 0.0336024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.209178");
-            index_3 ("0.037659, 0.037679, 0.233454, 0.455959, 0.616799, 0.707173, 0.772611, 0.853425, 1.1507, 1.23257, 1.35718, 1.42767, 1.55516, 1.61167, 1.69785, 1.79822, 1.9662, 2.16693, 2.36765, 2.56837, 3.17053, 5.01793, 5.59145");
-            values ( \
-              "1e-22, 0.389142, 0.33504, 0.302147, 0.276609, 0.259796, 0.24525, 0.223884, 0.13469, 0.112619, 0.0837559, 0.0702301, 0.0501435, 0.042849, 0.0338276, 0.025294, 0.015535, 0.00854847, 0.0046595, 0.0025169, 0.000352721, 6.42225e-05, 0.0755381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0436167, 0.0466077, 0.0509258, 0.0520812, 0.0536331, 0.056013, 0.0579172, 0.0595943, 0.0612677, 0.0646267, 0.0684078, 0.0713124, 0.0775442, 0.0829192, 0.0879669, 0.0904533, 0.0953083, 0.0985635, 0.10383, 0.108434, 0.114055, 0.121549, 0.135238, 0.151287, 0.171602, 0.198122, 5.01798, 5.60334");
-            values ( \
-              "0.0298817, 0.0914627, 0.132868, 0.152442, 0.168509, 0.180925, 0.184519, 0.184636, 0.182668, 0.174676, 0.159414, 0.141835, 0.0929877, 0.0631791, 0.0440683, 0.0369089, 0.0260149, 0.0206673, 0.0141428, 0.0100951, 0.00669634, 0.0038272, 0.00126649, 0.000329638, 3.84071e-05, 1e-22, 2e-22, 0.00131727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00818493");
-            index_3 ("0.0448071, 0.04904, 0.0534565, 0.0560677, 0.058158, 0.0600139, 0.0607065, 0.0620916, 0.0648618, 0.0689113, 0.0740111, 0.0803195, 0.0876737, 0.0998274, 0.106989, 0.116786, 0.122026, 0.128731, 0.13584, 0.140651, 0.147324, 0.154971, 0.165167, 0.18556, 0.209247, 0.239262, 0.277682, 5.01802, 5.59627");
-            values ( \
-              "0.0368112, 0.147286, 0.21809, 0.240854, 0.249759, 0.253028, 0.253645, 0.253676, 0.251594, 0.244594, 0.233008, 0.21569, 0.187635, 0.123538, 0.0924447, 0.0611818, 0.0486676, 0.0359619, 0.0259105, 0.020674, 0.0149868, 0.0103449, 0.00624063, 0.00205719, 0.000543212, 6.67659e-05, 1e-22, 3.04023e-06, 0.00295941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0184031");
-            index_3 ("0.0460598, 0.0513181, 0.0538578, 0.0565355, 0.0594073, 0.0617192, 0.0648016, 0.0704702, 0.0717635, 0.0840631, 0.10393, 0.115568, 0.123062, 0.131053, 0.149285, 0.161529, 0.177836, 0.186125, 0.195598, 0.204352, 0.214171, 0.227263, 0.235431, 0.243748, 0.254838, 0.277018, 0.315791, 0.361743, 0.420254, 0.498798, 5.01797, 5.60417");
-            values ( \
-              "0.0657258, 0.210279, 0.257794, 0.28414, 0.297973, 0.302678, 0.304587, 0.301419, 0.300109, 0.284382, 0.255641, 0.235595, 0.219126, 0.197989, 0.144319, 0.112899, 0.0787951, 0.0649783, 0.0515391, 0.0413892, 0.0321512, 0.0227577, 0.0182354, 0.0145574, 0.010777, 0.00569656, 0.00174718, 0.000342817, 6.18233e-05, 1e-22, 2e-22, 0.00666253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0413776");
-            index_3 ("0.0509127, 0.0703101, 0.0809813, 0.0931574, 0.148685, 0.172118, 0.190767, 0.204347, 0.221072, 0.258797, 0.28369, 0.316601, 0.346703, 0.3654, 0.377777, 0.394279, 0.424374, 0.438053, 0.458564, 0.485911, 0.540607, 0.623221, 0.723581, 0.823942, 5.01797, 5.59435");
-            values ( \
-              "0.334911, 0.335421, 0.329987, 0.32191, 0.283104, 0.265233, 0.248688, 0.234146, 0.212857, 0.158603, 0.125313, 0.0881178, 0.0619147, 0.0491249, 0.0420048, 0.0339324, 0.0226979, 0.0188892, 0.0142981, 0.00979224, 0.00441972, 0.00119825, 0.000201361, 2.82143e-05, 1e-22, 0.0149947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0930337");
-            index_3 ("0.0536958, 0.0537158, 0.142847, 0.297598, 0.351307, 0.366077, 0.422235, 0.557005, 0.627274, 0.660349, 0.691291, 0.740032, 0.792934, 0.856892, 0.878697, 0.929419, 0.987386, 1.08775, 1.18811, 1.28847, 1.38883, 1.48919, 1.99099, 5.01788, 5.59735");
-            values ( \
-              "1e-22, 0.376369, 0.331126, 0.279591, 0.258488, 0.251681, 0.220457, 0.131836, 0.0928806, 0.0778049, 0.0653673, 0.0492185, 0.0357653, 0.0239918, 0.0208607, 0.0151454, 0.0103504, 0.00530009, 0.00264397, 0.0013677, 0.000652864, 0.000363528, 1e-22, 2.01522e-05, 0.0337136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.209178");
-            index_3 ("0.0601645, 0.0601845, 0.254265, 0.476768, 0.637609, 0.727982, 0.793403, 0.874234, 1.17151, 1.25338, 1.37799, 1.44849, 1.57597, 1.71866, 1.81902, 1.98701, 2.18773, 2.38845, 2.58917, 3.19134, 5.01793, 5.58656");
-            values ( \
-              "1e-22, 0.395459, 0.335051, 0.302136, 0.276597, 0.259783, 0.245241, 0.223898, 0.134708, 0.112639, 0.0837772, 0.0702068, 0.0501214, 0.0338055, 0.0253177, 0.0155599, 0.00857348, 0.00468466, 0.00254223, 0.000378604, 3.69869e-05, 0.0759086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.072309, 0.0757674, 0.10131, 0.114701, 0.116997, 0.119937, 0.126536, 0.133455, 0.144025, 0.150765, 0.155666, 0.162125, 0.170737, 0.184593, 0.201092, 0.221874, 5.0178, 5.59747");
-            values ( \
-              "0.0166273, 0.0245682, 0.113059, 0.144996, 0.141744, 0.127501, 0.082591, 0.0504084, 0.0238997, 0.0147881, 0.0102871, 0.0064072, 0.00338384, 0.00107226, 0.000292073, 3.24325e-06, 1e-22, 0.00132496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00818493");
-            index_3 ("0.0727325, 0.0859698, 0.100638, 0.107209, 0.112608, 0.113654, 0.115168, 0.116404, 0.11805, 0.120671, 0.123378, 0.125825, 0.126893, 0.128318, 0.130695, 0.135447, 0.146497, 0.149812, 0.155105, 0.15706, 0.160972, 0.163106, 0.167375, 0.174791, 0.17723, 0.181733, 0.186879, 0.19401, 0.196998, 0.202975, 0.214927, 0.235657, 0.260058, 0.290797, 0.331391, 5.01799, 5.60714");
-            values ( \
-              "0.00480388, 0.0776662, 0.150344, 0.181135, 0.204274, 0.212527, 0.220498, 0.22428, 0.226835, 0.226694, 0.223316, 0.218226, 0.215548, 0.211613, 0.204067, 0.184603, 0.125598, 0.110227, 0.0889386, 0.082007, 0.0695451, 0.0635295, 0.0527401, 0.0377921, 0.0338478, 0.0275, 0.0215929, 0.0153334, 0.0132863, 0.00992593, 0.00544049, 0.00176385, 0.000428194, 5.40172e-05, 1e-22, 2e-22, 0.00297919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0184031");
-            index_3 ("0.0727654, 0.117668, 0.121305, 0.124676, 0.128026, 0.139171, 0.154046, 0.163706, 0.17028, 0.178087, 0.196321, 0.208565, 0.224872, 0.233124, 0.242556, 0.251383, 0.261285, 0.274489, 0.282518, 0.290701, 0.301612, 0.323433, 0.362125, 0.407894, 0.466189, 0.544147, 5.018, 5.59381");
-            values ( \
-              "0.000254132, 0.283458, 0.289068, 0.289126, 0.286691, 0.27293, 0.25068, 0.233309, 0.218773, 0.197917, 0.144363, 0.112867, 0.0788253, 0.0650124, 0.0516663, 0.0414209, 0.0321103, 0.0226191, 0.0182328, 0.0146126, 0.0108338, 0.00582445, 0.00176259, 0.000376623, 4.17679e-05, 1e-22, 7.72839e-06, 0.00669075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0413776");
-            index_3 ("0.0727575, 0.123267, 0.128274, 0.134056, 0.139923, 0.193401, 0.218886, 0.24206, 0.251112, 0.267839, 0.305564, 0.330457, 0.363367, 0.378991, 0.393468, 0.412165, 0.424541, 0.441042, 0.471135, 0.484812, 0.505318, 0.532659, 0.587342, 0.669948, 0.770309, 0.870669, 5.01798, 5.59559");
-            values ( \
-              "0.0133423, 0.327057, 0.327944, 0.325368, 0.321782, 0.284611, 0.265216, 0.244145, 0.234136, 0.212871, 0.158594, 0.125324, 0.0881118, 0.0736351, 0.0619097, 0.0491342, 0.042014, 0.0339277, 0.0227068, 0.0188981, 0.0143073, 0.00978858, 0.00442836, 0.00119262, 0.00020789, 2.18564e-05, 1e-22, 0.0150609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0930337");
-            index_3 ("0.0911066, 0.108058, 0.117262, 0.12158, 0.128701, 0.135895, 0.155894, 0.31076, 0.362299, 0.412874, 0.469033, 0.603803, 0.674071, 0.738086, 0.786828, 0.839729, 0.925489, 0.976206, 1.03417, 1.13453, 1.23489, 1.33525, 1.53597, 2.03777, 5.01781, 5.59226");
-            values ( \
-              "0.226041, 0.257742, 0.330656, 0.343623, 0.348799, 0.348138, 0.342014, 0.291249, 0.272921, 0.25163, 0.220409, 0.131804, 0.0929046, 0.0653873, 0.049237, 0.0357829, 0.020878, 0.0151316, 0.0103663, 0.00528596, 0.00265892, 0.00135324, 0.000349062, 2.38285e-06, 1e-22, 0.0339904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.209178");
-            index_3 ("0.0910146, 0.12329, 0.128866, 0.134735, 0.499659, 0.62525, 0.684277, 0.79649, 0.920907, 1.24694, 1.36298, 1.49511, 1.59437, 1.67916, 1.76534, 1.8657, 1.97137, 2.03363, 2.134, 2.23436, 2.33472, 2.53544, 2.6358, 2.93688, 3.33832, 5.01772, 5.59189");
-            values ( \
-              "0.202703, 0.356125, 0.359234, 0.359531, 0.305636, 0.28625, 0.276493, 0.255134, 0.223997, 0.126745, 0.0973387, 0.0701435, 0.0540445, 0.0429403, 0.0337347, 0.0253858, 0.0186883, 0.0156254, 0.011589, 0.00863715, 0.00636666, 0.00347673, 0.00260343, 0.00102165, 0.000290052, 1.90233e-05, 0.0764052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.13339, 0.149232, 0.183149, 0.197704, 0.205491, 0.212782, 0.219928, 0.225293, 0.235714, 0.248757, 0.251022, 0.265607, 0.274659, 0.285005, 0.300277, 0.318753, 0.342153, 0.375266, 5.01783, 5.5541");
-            values ( \
-              "0.00530028, 0.0157004, 0.0605002, 0.0759216, 0.0824636, 0.0865798, 0.0860611, 0.075675, 0.043356, 0.0201552, 0.0205026, 0.00961998, 0.00508181, 0.00229829, 0.000670102, 0.000109441, 1.61853e-05, 1e-22, 9.21205e-06, 0.00133231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00818493");
-            index_3 ("0.131789, 0.144676, 0.150126, 0.160754, 0.204968, 0.215767, 0.225464, 0.234546, 0.243563, 0.269966, 0.276968, 0.288413, 0.301355, 0.307728, 0.316225, 0.333219, 0.355953, 0.383972, 0.419607, 0.47181, 5.01797, 5.58474");
-            values ( \
-              "0.00816617, 0.017015, 0.0231756, 0.0401639, 0.120152, 0.13688, 0.1491, 0.155723, 0.148585, 0.0633467, 0.0467935, 0.027793, 0.0150194, 0.0110392, 0.00726972, 0.00297493, 0.000847843, 0.000134204, 1.25484e-05, 1e-22, 2e-22, 0.00301521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0184031");
-            index_3 ("0.134385, 0.15335, 0.159038, 0.175302, 0.229908, 0.25222, 0.256357, 0.260754, 0.26966, 0.28124, 0.307634, 0.32407, 0.34176, 0.353943, 0.366591, 0.375201, 0.391653, 0.400727, 0.418873, 0.455166, 0.497428, 0.550902, 0.619365, 5.01821, 5.56939");
-            values ( \
-              "0.0138519, 0.0335021, 0.0434931, 0.0785864, 0.2057, 0.248184, 0.25081, 0.24718, 0.233006, 0.20606, 0.129852, 0.0919553, 0.0610407, 0.0451463, 0.0326843, 0.02608, 0.0167677, 0.0130861, 0.00788337, 0.00260913, 0.000673759, 9.28851e-05, 1e-22, 2e-22, 0.0067827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0413776");
-            index_3 ("0.137224, 0.164407, 0.193149, 0.25202, 0.257209, 0.262336, 0.316474, 0.350216, 0.436238, 0.46915, 0.499272, 0.530312, 0.546787, 0.576902, 0.61112, 0.638496, 0.693249, 0.775872, 0.876232, 0.976593, 5.01887, 5.56521");
-            values ( \
-              "0.020067, 0.0577448, 0.13056, 0.299176, 0.308103, 0.308648, 0.271727, 0.241622, 0.125315, 0.0881196, 0.0618998, 0.0420175, 0.0339494, 0.0227081, 0.0143002, 0.00978477, 0.00441647, 0.0011935, 0.000203813, 2.53944e-05, 4.52438e-06, 0.0152526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0930337");
-            index_3 ("0.162267, 0.19793, 0.250308, 0.256115, 0.262076, 0.26885, 0.292145, 0.392342, 0.437896, 0.464798, 0.500604, 0.515373, 0.535065, 0.571532, 0.706301, 0.762026, 0.776569, 0.809641, 0.840583, 0.889324, 0.906958, 0.942225, 1.00618, 1.02798, 1.04972, 1.0787, 1.13666, 1.23702, 1.33738, 1.43774, 1.5381, 1.63846, 1.83918, 2.14026, 5.01673, 5.60561");
-            values ( \
-              "0.0944196, 0.157048, 0.326459, 0.337657, 0.339551, 0.338302, 0.330989, 0.298267, 0.282732, 0.272938, 0.258412, 0.251615, 0.241648, 0.220394, 0.131792, 0.100184, 0.0929144, 0.0777808, 0.0653958, 0.0492449, 0.0443424, 0.0357906, 0.0239696, 0.0208855, 0.0181988, 0.0151262, 0.0103733, 0.00528019, 0.0026653, 0.0013472, 0.000673676, 0.000342984, 8.86548e-05, 8.38624e-06, 1.73866e-06, 0.0344945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.209178");
-            index_3 ("0.163739, 0.220466, 0.252297, 0.2649, 0.73552, 0.879887, 1.02581, 1.30846, 1.46798, 1.57277, 1.71075, 1.75612, 1.8947, 2.04663, 2.24735, 2.44807, 2.54843, 2.64879, 2.74915, 2.84951, 2.94987, 3.05023, 3.15059, 3.25096, 3.35132, 3.45168, 3.55204, 3.6524, 3.75276, 3.85312, 3.95348, 5.0182, 5.58654");
-            values ( \
-              "0.0758374, 0.229498, 0.344536, 0.35613, 0.285966, 0.260172, 0.224502, 0.138435, 0.0968688, 0.0747982, 0.051992, 0.0459543, 0.0311294, 0.019995, 0.0109118, 0.00582051, 0.00501141, 0.00300543, 0.00291926, 0.00146089, 0.00177196, 0.000617403, 0.00114414, 0.000158294, 0.000800628, 1e-22, 0.000612338, 1e-22, 0.000508683, 1e-22, 0.000451149, 1e-22, 0.0775616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.210907, 0.275615, 0.294138, 0.314734, 0.320539, 0.349195, 0.358753, 0.372589, 0.386529, 0.399275, 0.411305, 0.422985, 0.431761, 0.434941, 0.454607, 0.464859, 0.475564, 0.488096, 0.49532, 0.504951, 0.524213, 0.549085, 0.550576, 0.553556, 0.557456, 0.561127, 0.578523, 0.594137, 0.618454, 5.01841, 5.50748");
-            values ( \
-              "0.000413691, 0.00186716, 0.00525465, 0.0106383, 0.0133161, 0.0311489, 0.036003, 0.0419029, 0.0466352, 0.0501412, 0.0524169, 0.053161, 0.0512099, 0.048584, 0.0235753, 0.0149547, 0.00895908, 0.00461198, 0.00306024, 0.00167918, 0.00034608, 1e-22, 2e-22, 0.00128892, 0.0019892, 0.00189707, 0.000645604, 0.000189652, 2.76039e-06, 8.39147e-06, 0.0013546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00818493");
-            index_3 ("0.275111, 0.309704, 0.325812, 0.367078, 0.383581, 0.404876, 0.412939, 0.433739, 0.443909, 0.458343, 0.469184, 0.489266, 0.503912, 0.514454, 0.529022, 0.537331, 0.550073, 0.55162, 0.555299, 0.559336, 0.577591, 0.592169, 0.614457, 0.64979, 0.696654, 0.767719, 0.86808, 0.96844, 1.0688, 1.16916, 1.26952, 1.36988, 1.47024, 1.5706, 1.67096, 1.77132, 5.0179, 5.55007");
-            values ( \
-              "0.0083779, 0.0143567, 0.0233841, 0.0558594, 0.0664529, 0.0787233, 0.0828984, 0.092062, 0.09534, 0.0967809, 0.0902383, 0.0560637, 0.0355874, 0.0245267, 0.013752, 0.00958187, 0.00531445, 0.00673111, 0.00737083, 0.00693212, 0.0032065, 0.00154242, 0.000421225, 4.9199e-05, 1e-22, 2.19497e-05, 1e-22, 2.14274e-05, 1e-22, 2.11203e-05, 1e-22, 2.0856e-05, 1e-22, 2.0598e-05, 1e-22, 2.03405e-05, 1e-22, 0.00310637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0184031");
-            index_3 ("0.275135, 0.318058, 0.33876, 0.384352, 0.4333, 0.456583, 0.477382, 0.483553, 0.496726, 0.515746, 0.530029, 0.548335, 0.5557, 0.576199, 0.588967, 0.606207, 0.620599, 0.627585, 0.6378, 0.651421, 0.678663, 0.719906, 0.770134, 0.834587, 5.01793, 5.56851");
-            values ( \
-              "0.0100311, 0.0246664, 0.0406835, 0.083162, 0.12501, 0.143058, 0.156703, 0.159999, 0.165275, 0.162578, 0.142668, 0.107798, 0.0983494, 0.0634888, 0.0463674, 0.0298268, 0.0202851, 0.0168127, 0.0127365, 0.00874082, 0.00395104, 0.0010805, 0.000175428, 1.2093e-05, 6.09598e-06, 0.00697829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0413776");
-            index_3 ("0.274927, 0.337386, 0.348479, 0.368304, 0.404855, 0.449952, 0.454017, 0.469325, 0.490938, 0.522168, 0.536028, 0.542958, 0.549888, 0.554133, 0.558226, 0.564313, 0.576487, 0.583843, 0.59169, 0.607226, 0.644942, 0.65604, 0.669832, 0.695912, 0.702742, 0.709445, 0.718382, 0.732853, 0.751542, 0.755664, 0.763908, 0.780395, 0.810498, 0.820758, 0.824177, 0.831016, 0.844693, 0.872046, 0.926753, 1.00936, 1.10972, 1.21008, 1.4108, 5.01772, 5.58788");
-            values ( \
-              "0.00727626, 0.0452101, 0.0556857, 0.0761184, 0.11558, 0.16523, 0.169975, 0.186769, 0.209586, 0.239738, 0.250845, 0.255657, 0.26004, 0.262547, 0.262835, 0.259617, 0.249124, 0.241565, 0.232729, 0.212822, 0.158609, 0.143295, 0.125317, 0.0950271, 0.0881208, 0.0816635, 0.0736185, 0.0619094, 0.0491297, 0.0466453, 0.0420153, 0.0339433, 0.0227069, 0.0197834, 0.018897, 0.0172261, 0.0143038, 0.0097914, 0.00442187, 0.00119609, 0.000203603, 2.60059e-05, 1e-22, 2e-22, 0.0157038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0930337");
-            index_3 ("0.275142, 0.365451, 0.429706, 0.545837, 0.555019, 0.561567, 0.567326, 0.588542, 0.682237, 0.711524, 0.754454, 0.806997, 0.941714, 1.01199, 1.04516, 1.07607, 1.12475, 1.17753, 1.24156, 1.26338, 1.3142, 1.37228, 1.47264, 1.573, 1.67336, 1.77372, 1.87408, 2.37588, 5.01793, 5.57273");
-            values ( \
-              "0.00242601, 0.0769002, 0.15379, 0.305516, 0.315913, 0.318811, 0.317732, 0.311149, 0.279558, 0.268584, 0.249857, 0.220342, 0.131795, 0.0929127, 0.0777396, 0.0653687, 0.0492412, 0.0358131, 0.0239785, 0.0208858, 0.0151208, 0.0103568, 0.00527564, 0.0026591, 0.00134793, 0.000670199, 0.000345062, 5.87096e-06, 4.01551e-06, 0.0353045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.209178");
-            index_3 ("0.275174, 0.604319, 0.859749, 0.966915, 1.04525, 1.09482, 1.1431, 1.19635, 1.25727, 1.47054, 1.58934, 1.70243, 1.79315, 1.88993, 1.98301, 2.03322, 2.11605, 2.21641, 2.2774, 2.37776, 2.47812, 2.57848, 2.67884, 2.7792, 2.97992, 3.28101, 3.58209, 5.01797, 5.57209");
-            values ( \
-              "0.0230631, 0.340091, 0.302044, 0.285404, 0.272204, 0.262947, 0.252858, 0.240239, 0.223933, 0.159379, 0.125094, 0.0966317, 0.0773471, 0.0602065, 0.046919, 0.0408797, 0.0324298, 0.0243467, 0.0204277, 0.0152334, 0.0113327, 0.00840833, 0.00623334, 0.00461122, 0.00251971, 0.00101654, 0.000406973, 6.07458e-06, 0.0793818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.494279, 0.59369, 0.618515, 0.639353, 0.662851, 0.676616, 0.694969, 0.739562, 0.765144, 0.787185, 0.807386, 0.826731, 0.845648, 0.859859, 0.864778, 0.874493, 0.897391, 0.911953, 0.930086, 0.942534, 0.959131, 0.986488, 1.01831, 1.21577, 1.22686, 1.24601, 1.25949, 1.28645, 1.31833, 1.37933, 1.47969, 1.58005, 1.68041, 1.78077, 1.88114, 1.9815, 5.01784, 5.48725");
-            values ( \
-              "0.000171684, 0.00138183, 0.00236162, 0.00338852, 0.0051512, 0.00681779, 0.0101796, 0.0215823, 0.0264673, 0.0295271, 0.0313946, 0.0324575, 0.0327382, 0.0320867, 0.0314191, 0.0287331, 0.0153812, 0.00972619, 0.00501735, 0.00306154, 0.00150046, 0.000297173, 2.30257e-06, 1e-22, 0.000611745, 0.000444573, 2.34228e-05, 3.66432e-05, 1e-22, 2.43374e-05, 1e-22, 2.16684e-05, 1e-22, 1.96506e-05, 1e-22, 1.81257e-05, 1e-22, 0.00144854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00818493");
-            index_3 ("0.495573, 0.593434, 0.627226, 0.656391, 0.677206, 0.692316, 0.709585, 0.764094, 0.796508, 0.824572, 0.850247, 0.874516, 0.898051, 0.915735, 0.921768, 0.933852, 0.967591, 0.984438, 1.00473, 1.01683, 1.03296, 1.06523, 1.10272, 1.2157, 1.22761, 1.24358, 1.25566, 1.27983, 1.30927, 5.01778, 5.52187");
-            values ( \
-              "0.000375503, 0.0026967, 0.00507638, 0.0080616, 0.0113186, 0.0147286, 0.0199221, 0.0385622, 0.0467306, 0.0521492, 0.055876, 0.058354, 0.0594462, 0.0582421, 0.0565447, 0.050273, 0.0250977, 0.016269, 0.00893677, 0.00604359, 0.00341853, 0.000784129, 1e-22, 2e-22, 0.000988598, 0.00101958, 0.000428889, 0.000121468, 1.14611e-05, 1e-22, 0.00325789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0184031");
-            index_3 ("0.593365, 0.661135, 0.691665, 0.721364, 0.763667, 0.790929, 0.825428, 0.851257, 0.888936, 0.933057, 0.954631, 0.985105, 1.008, 1.01634, 1.07536, 1.09179, 1.11041, 1.13282, 1.14491, 1.15872, 1.18634, 1.21589, 1.2301, 1.25099, 1.27121, 1.31165, 1.35204, 1.52899, 5.01797, 5.53805");
-            values ( \
-              "0.0110617, 0.0133885, 0.020344, 0.0304681, 0.0483545, 0.0586716, 0.0701055, 0.077779, 0.0878358, 0.0975369, 0.101104, 0.103442, 0.0987953, 0.0936283, 0.0438503, 0.0326166, 0.0224629, 0.0136654, 0.0102604, 0.00725858, 0.00331651, 0.00119431, 0.00252944, 0.00220912, 0.000984495, 0.000350052, 5.00153e-05, 1e-22, 4.82613e-06, 0.00748184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0413776");
-            index_3 ("0.607988, 0.681255, 0.698705, 0.726729, 0.799809, 0.939329, 0.990186, 1.03555, 1.04899, 1.07764, 1.11869, 1.14951, 1.21566, 1.2253, 1.26342, 1.27832, 1.30631, 1.33295, 1.34657, 1.36538, 1.38863, 1.43514, 1.51377, 1.6101, 1.81082, 1.91118, 2.01155, 2.11191, 2.21227, 2.31263, 2.41299, 2.51335, 2.61371, 2.71407, 2.81443, 2.91479, 3.01515, 3.11551, 5.01774, 5.52641");
-            values ( \
-              "0.0201657, 0.0234021, 0.0285682, 0.0387238, 0.0715555, 0.128622, 0.147316, 0.161687, 0.165223, 0.171159, 0.170414, 0.153343, 0.0932655, 0.0877015, 0.0556724, 0.0462342, 0.0324978, 0.0225451, 0.0187766, 0.0145335, 0.0107204, 0.00536331, 0.00170352, 0.000209704, 1e-22, 9.63042e-05, 1e-22, 9.43154e-05, 1e-22, 9.36968e-05, 1e-22, 9.31155e-05, 1e-22, 9.25414e-05, 1e-22, 9.19728e-05, 1e-22, 9.14093e-05, 1e-22, 0.0168898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0930337");
-            index_3 ("0.638336, 0.754646, 0.880853, 1.07163, 1.14062, 1.2024, 1.22087, 1.23084, 1.2838, 1.32755, 1.46239, 1.53265, 1.5966, 1.6454, 1.6984, 1.76229, 1.78407, 1.83469, 1.89253, 1.99289, 2.09325, 2.19361, 2.29397, 2.69541, 5.01797, 5.52587");
-            values ( \
-              "0.0294205, 0.0554878, 0.116745, 0.21321, 0.244155, 0.265986, 0.27036, 0.269115, 0.245478, 0.220473, 0.131807, 0.0929043, 0.0654103, 0.0492397, 0.0357631, 0.0239731, 0.0208777, 0.0151413, 0.0103818, 0.00529347, 0.00266321, 0.00135493, 0.000668842, 3.49948e-05, 1e-22, 0.0382363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.209178");
-            index_3 ("0.698693, 0.825522, 0.921892, 1.19612, 1.22573, 1.24307, 1.48562, 1.5643, 1.65894, 1.77585, 2.10746, 2.26437, 2.41369, 2.49984, 2.63084, 2.7312, 2.87377, 2.97413, 3.07449, 3.27521, 3.5763, 3.87738, 5.01793, 5.51392");
-            values ( \
-              "0.0749612, 0.0931271, 0.145806, 0.30929, 0.322915, 0.322737, 0.285386, 0.272121, 0.253461, 0.223934, 0.125222, 0.0870092, 0.059381, 0.0471429, 0.0327773, 0.0246088, 0.0162965, 0.0121332, 0.00900352, 0.00493965, 0.00199941, 0.00080058, 2.28536e-05, 0.0871499" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0236507, 0.0252425, 0.0278119, 0.0295592, 0.0313828, 0.0337871, 0.0367291, 0.0408689, 0.0500015, 0.0569082, 0.0649836, 0.0713881, 0.0788412, 0.0883617, 3.66619");
-            values ( \
-              "-0.156712, -0.239332, -0.258239, -0.262244, -0.263036, -0.260654, -0.252411, -0.223855, -0.0887735, -0.0316472, -0.00857012, -0.00262155, -0.000747364, -3.98384e-05, -6.52529e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00818493");
-            index_3 ("0.0236712, 0.0267946, 0.0288862, 0.0308196, 0.0329826, 0.0370717, 0.0406975, 0.045486, 0.0499136, 0.0535726, 0.0568985, 0.0693671, 0.07429, 0.0812979, 0.0863672, 0.0950576, 0.105542, 0.118347, 0.134355, 0.155472, 3.6662");
-            values ( \
-              "-0.120505, -0.33368, -0.34337, -0.346624, -0.347423, -0.344235, -0.338845, -0.328044, -0.311302, -0.29012, -0.262114, -0.115537, -0.0766353, -0.0412198, -0.0258575, -0.0113227, -0.00387266, -0.000918937, -0.000152702, -1e-22, -2.85523e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0184031");
-            index_3 ("0.024885, 0.0285068, 0.0331669, 0.0337869, 0.0350269, 0.0410123, 0.0489624, 0.06215, 0.0704947, 0.0812355, 0.0876782, 0.112098, 0.121802, 0.135462, 0.145447, 0.151165, 0.162602, 0.181942, 0.207, 0.237753, 0.340815, 3.66619");
-            values ( \
-              "-0.319052, -0.400055, -0.407463, -0.407155, -0.407424, -0.404362, -0.397481, -0.381596, -0.366519, -0.334659, -0.302675, -0.132358, -0.0874934, -0.0473976, -0.0297333, -0.0225566, -0.0130221, -0.00468293, -0.00123751, -9.58661e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0413776");
-            index_3 ("0.0254239, 0.0288351, 0.0348234, 0.0418256, 0.0633389, 0.0874085, 0.100387, 0.112817, 0.127522, 0.142875, 0.155372, 0.203992, 0.227989, 0.245833, 0.271715, 0.289972, 0.314314, 0.359695, 0.412853, 0.48025, 0.553574, 3.66613");
-            values ( \
-              "-0.399295, -0.434193, -0.442829, -0.441901, -0.432597, -0.418901, -0.409832, -0.399329, -0.383067, -0.358388, -0.326647, -0.150905, -0.0930756, -0.0638034, -0.0361316, -0.0240234, -0.0137021, -0.00439546, -0.0010444, -0.000160865, -1e-22, -1.07949e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0930337");
-            index_3 ("0.025623, 0.0297416, 0.0328225, 0.0356679, 0.0393483, 0.0497811, 0.0608105, 0.096256, 0.132409, 0.169386, 0.207552, 0.230897, 0.247273, 0.280023, 0.309198, 0.394923, 0.436413, 0.466431, 0.505168, 0.528794, 0.561805, 0.60184, 0.655221, 0.728545, 0.801869, 0.875194, 1.02184, 3.66614");
-            values ( \
-              "-0.418244, -0.454197, -0.459625, -0.461213, -0.461759, -0.46061, -0.45861, -0.450485, -0.440775, -0.429405, -0.4153, -0.404594, -0.395437, -0.371084, -0.335474, -0.183236, -0.126922, -0.0956419, -0.0656949, -0.0520142, -0.0371519, -0.0246549, -0.0140948, -0.0062698, -0.00285475, -0.00121539, -0.000209786, -3.87556e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.209178");
-            index_3 ("0.0255584, 0.0297548, 0.0344949, 0.0411927, 0.0551055, 0.0825714, 0.200341, 0.332976, 0.395624, 0.479751, 0.516057, 0.588668, 0.610154, 0.653126, 0.836436, 0.885244, 0.941955, 1.01528, 1.07271, 1.11377, 1.18709, 1.26792, 1.31998, 1.3933, 1.46663, 1.61328, 1.75992, 1.90657, 2.19987, 3.66599");
-            values ( \
-              "-0.427009, -0.462696, -0.469586, -0.471127, -0.470842, -0.468606, -0.455095, -0.43705, -0.427049, -0.410388, -0.401233, -0.376673, -0.366532, -0.340521, -0.190703, -0.157684, -0.125164, -0.0914793, -0.0711886, -0.0593769, -0.042498, -0.0294232, -0.0230547, -0.0164224, -0.0115657, -0.00576141, -0.00285272, -0.00140094, -0.000317872, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0382358, 0.0406252, 0.0415401, 0.0473375, 0.0509225, 0.0521968, 0.0531214, 0.0541869, 0.0554447, 0.0569443, 0.0580034, 0.0607561, 0.0633768, 0.0687683, 0.0720916, 0.074647, 0.0761455, 0.0790955, 0.0807926, 0.0841867, 0.0905031, 0.0978947, 0.107268, 0.119532, 3.66617");
-            values ( \
-              "-0.0303836, -0.119062, -0.134185, -0.197194, -0.229757, -0.247527, -0.251117, -0.252553, -0.251298, -0.246188, -0.240196, -0.216935, -0.181212, -0.0949252, -0.0588837, -0.0399494, -0.031615, -0.0197489, -0.0150159, -0.00854989, -0.00275948, -0.000696674, -0.000117359, -7.39794e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00818493");
-            index_3 ("0.0390978, 0.0429763, 0.0520816, 0.0535476, 0.0549151, 0.0563832, 0.058464, 0.0605573, 0.0647433, 0.0714959, 0.0740022, 0.0788816, 0.0896653, 0.0948839, 0.100135, 0.105703, 0.109086, 0.115851, 0.12688, 0.139982, 0.156489, 0.178718, 3.66622");
-            values ( \
-              "-0.0259313, -0.196357, -0.325809, -0.33623, -0.340157, -0.341139, -0.340047, -0.337247, -0.328419, -0.299185, -0.282088, -0.231346, -0.107171, -0.068935, -0.0432601, -0.0259323, -0.0189017, -0.00981861, -0.00310148, -0.000722067, -8.53352e-05, -1e-22, -8.07864e-07" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0184031");
-            index_3 ("0.0401859, 0.0456077, 0.0524965, 0.0573235, 0.0604469, 0.0623333, 0.0684004, 0.0816168, 0.0935094, 0.100671, 0.107109, 0.13153, 0.141233, 0.15489, 0.164873, 0.182024, 0.203308, 0.2282, 0.259678, 0.300674, 3.66618");
-            values ( \
-              "-0.0633047, -0.274864, -0.387839, -0.403927, -0.403578, -0.40249, -0.397432, -0.381513, -0.357666, -0.334601, -0.302641, -0.132422, -0.0875539, -0.0473639, -0.0297, -0.0129879, -0.00418179, -0.00106617, -0.000115822, -1.17912e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0413776");
-            index_3 ("0.0413787, 0.0519782, 0.0532957, 0.0547476, 0.0573717, 0.0614754, 0.0705835, 0.0791189, 0.0944406, 0.10941, 0.127214, 0.139648, 0.147165, 0.162201, 0.166681, 0.175642, 0.214951, 0.223634, 0.233917, 0.247626, 0.255846, 0.265478, 0.276271, 0.291356, 0.29744, 0.309607, 0.33394, 0.378905, 0.43156, 0.498168, 0.571492, 3.66618");
-            values ( \
-              "-0.161436, -0.409364, -0.427779, -0.434607, -0.440679, -0.441407, -0.438499, -0.434579, -0.426514, -0.417411, -0.404065, -0.392051, -0.383091, -0.359003, -0.34947, -0.324638, -0.177766, -0.150889, -0.123289, -0.0931035, -0.0783631, -0.0638167, -0.0504564, -0.0361493, -0.0315584, -0.0240441, -0.0136914, -0.00446959, -0.00106346, -0.000186871, -1e-22, -2.58903e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0930337");
-            index_3 ("0.0417759, 0.0531496, 0.0560641, 0.0585036, 0.063009, 0.0704496, 0.0805157, 0.115957, 0.15211, 0.184763, 0.227252, 0.250599, 0.266973, 0.29972, 0.309448, 0.328904, 0.414623, 0.456113, 0.48613, 0.50396, 0.524866, 0.548492, 0.581502, 0.621536, 0.674914, 0.748238, 0.821563, 0.894887, 0.968211, 1.11486, 1.33483, 3.66616");
-            values ( \
-              "-0.178876, -0.444501, -0.457321, -0.460137, -0.46125, -0.460482, -0.458646, -0.450471, -0.440737, -0.43078, -0.415259, -0.404552, -0.395478, -0.371046, -0.361125, -0.335426, -0.183198, -0.126887, -0.0956789, -0.0806169, -0.0657302, -0.0519816, -0.0371868, -0.0246896, -0.0140626, -0.00630366, -0.00282162, -0.00124881, -0.000563724, -0.000116114, -4.06489e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.209178");
-            index_3 ("0.0431185, 0.0528933, 0.0559966, 0.0649516, 0.099108, 0.245386, 0.353573, 0.415028, 0.465261, 0.536056, 0.60864, 0.630153, 0.673179, 0.856434, 0.905242, 0.961953, 1.03528, 1.0927, 1.13376, 1.20709, 1.28792, 1.33997, 1.4133, 1.48662, 1.55995, 1.63327, 1.70659, 1.85324, 2.29319, 3.66625");
-            values ( \
-              "-0.261879, -0.449787, -0.465743, -0.471214, -0.468979, -0.45196, -0.437057, -0.427055, -0.417815, -0.40133, -0.376589, -0.366628, -0.340388, -0.19061, -0.157592, -0.125072, -0.0915723, -0.0712808, -0.0592862, -0.0425899, -0.0293327, -0.0231462, -0.0163319, -0.0116569, -0.00815435, -0.00585233, -0.0040427, -0.00198717, -0.000198759, -5.15573e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0620666, 0.0832324, 0.0928001, 0.0967761, 0.0991419, 0.100266, 0.101674, 0.103097, 0.104901, 0.106987, 0.112626, 0.119053, 0.122729, 0.125263, 0.128159, 0.133951, 0.141368, 0.15068, 0.162728, 0.181368, 0.215351, 3.66619");
-            values ( \
-              "-0.00298301, -0.120959, -0.158475, -0.170893, -0.1759, -0.176982, -0.176514, -0.172945, -0.164588, -0.147135, -0.0817043, -0.036815, -0.0207972, -0.0139326, -0.00866179, -0.00316528, -0.000792512, -0.000149071, -4.46787e-06, -2.58672e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00818493");
-            index_3 ("0.0623741, 0.0909219, 0.103668, 0.108822, 0.113544, 0.115815, 0.120096, 0.133139, 0.142909, 0.148776, 0.156255, 0.164232, 0.176367, 0.191162, 0.210164, 3.66618");
-            values ( \
-              "-0.00397737, -0.202777, -0.265921, -0.285128, -0.296275, -0.290695, -0.258728, -0.108233, -0.0465652, -0.0271638, -0.0134869, -0.00615823, -0.00165396, -0.000307279, -1e-22, -1.51102e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0184031");
-            index_3 ("0.0660604, 0.0910785, 0.0985171, 0.113604, 0.114956, 0.11607, 0.118154, 0.124336, 0.130243, 0.133589, 0.137242, 0.146552, 0.150558, 0.169536, 0.173648, 0.1785, 0.184969, 0.19353, 0.198624, 0.208607, 0.214323, 0.225756, 0.246971, 0.271783, 0.303134, 0.343947, 3.66624");
-            values ( \
-              "-0.0163243, -0.238452, -0.288509, -0.380565, -0.38709, -0.388434, -0.388959, -0.382729, -0.373256, -0.366512, -0.357665, -0.325593, -0.304321, -0.166495, -0.141465, -0.11578, -0.0875895, -0.0597933, -0.0473369, -0.0296726, -0.0226411, -0.0129592, -0.00423397, -0.00104577, -0.000151374, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0413776");
-            index_3 ("0.0664982, 0.0925235, 0.112557, 0.11509, 0.118365, 0.121552, 0.122865, 0.130739, 0.152002, 0.161592, 0.172698, 0.18338, 0.190917, 0.205991, 0.210433, 0.219317, 0.228889, 0.242117, 0.258702, 0.267386, 0.277668, 0.291378, 0.299597, 0.309228, 0.320021, 0.335106, 0.341189, 0.353355, 0.377687, 0.422389, 0.474726, 0.540837, 0.614161, 3.66613");
-            values ( \
-              "-0.00294713, -0.272473, -0.411984, -0.427073, -0.435102, -0.434212, -0.434078, -0.430549, -0.418177, -0.411506, -0.402523, -0.392072, -0.383086, -0.358928, -0.349467, -0.324884, -0.290547, -0.238036, -0.177771, -0.150897, -0.123297, -0.0930979, -0.0783575, -0.0638118, -0.0504665, -0.0361435, -0.0315682, -0.0240381, -0.0137007, -0.00449789, -0.00109392, -0.000185401, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0930337");
-            index_3 ("0.0678604, 0.0928611, 0.114433, 0.11757, 0.124358, 0.195954, 0.232931, 0.271096, 0.310818, 0.343568, 0.372742, 0.473692, 0.529974, 0.568711, 0.592336, 0.625346, 0.665378, 0.718753, 0.792078, 0.865402, 0.938726, 1.01205, 1.37867, 3.66604");
-            values ( \
-              "-0.0050241, -0.286379, -0.447498, -0.457883, -0.45843, -0.440776, -0.429397, -0.415277, -0.395465, -0.371056, -0.335447, -0.160621, -0.0956771, -0.0657307, -0.0519807, -0.0371882, -0.0246919, -0.0140617, -0.00630576, -0.00282, -0.00125072, -0.000561866, -6.21972e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.209178");
-            index_3 ("0.0833388, 0.104719, 0.114941, 0.118223, 0.124577, 0.166818, 0.28927, 0.397431, 0.45892, 0.509136, 0.579931, 0.652515, 0.674028, 0.717052, 0.900309, 0.949117, 1.00583, 1.07915, 1.13658, 1.17764, 1.25096, 1.33179, 1.38384, 1.45717, 1.53049, 1.60382, 1.67714, 1.82379, 1.97044, 2.26374, 3.66608");
-            values ( \
-              "-0.325968, -0.384226, -0.462953, -0.468793, -0.47024, -0.466466, -0.451889, -0.436991, -0.427119, -0.417751, -0.401266, -0.376652, -0.366565, -0.340452, -0.190673, -0.157653, -0.125134, -0.0915115, -0.071222, -0.0593446, -0.0425313, -0.0293907, -0.0230888, -0.0163897, -0.0115994, -0.00821198, -0.00579494, -0.00288619, -0.00143439, -0.000351365, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.128373, 0.138514, 0.143767, 0.148328, 0.15574, 0.163772, 0.172103, 0.175521, 0.178763, 0.180444, 0.182125, 0.183246, 0.184367, 0.185487, 0.186548, 0.187608, 0.188669, 0.18931, 0.191236, 0.192181, 0.195078, 0.200911, 0.202715, 0.206607, 0.209143, 0.210737, 0.212331, 0.214765, 0.216406, 0.218047, 0.219688, 0.221329, 0.22297, 0.224611, 0.226252, 0.228644, 0.231037, 0.233429, 0.235822, 0.23959, 0.243358, 0.247126, 0.250894, 0.254014, 0.261055, 0.26385, 0.266644, 0.275561, 0.31785, 0.800828");
-            values ( \
-              "-0.0388784, -0.0389034, -0.0516397, -0.0608054, -0.0744621, -0.088355, -0.101151, -0.105985, -0.110249, -0.112096, -0.113588, -0.114353, -0.114944, -0.115361, -0.114874, -0.114055, -0.112904, -0.111953, -0.108112, -0.105169, -0.091323, -0.0579421, -0.0486657, -0.0315229, -0.023441, -0.0193615, -0.0158632, -0.0114312, -0.00886327, -0.00690979, -0.00526133, -0.00414373, -0.00318059, -0.00237192, -0.00171771, -0.00142587, -0.00120559, -0.00105687, -0.000979713, -0.00146908, -0.00182544, -0.0020488, -0.00213914, -0.00211328, -0.000819792, -0.000512221, -0.000278916, -3.10202e-05, -1.07864e-06, -1.66458e-10" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00818493");
-            index_3 ("0.134243, 0.148843, 0.152085, 0.165452, 0.176002, 0.182834, 0.191256, 0.197945, 0.200894, 0.202368, 0.203843, 0.205317, 0.206792, 0.207505, 0.208218, 0.20893, 0.210356, 0.212787, 0.214505, 0.215167, 0.215829, 0.218558, 0.22676, 0.22839, 0.230276, 0.233357, 0.236373, 0.238448, 0.241255, 0.244772, 0.248418, 0.249281, 0.249856, 0.250144, 0.250875, 0.251606, 0.253104, 0.255351, 0.258152, 0.260204, 0.263288, 0.266372, 0.268441, 0.27051, 0.272579, 0.274648, 0.281501, 0.288353, 0.336939, 1.44423");
-            values ( \
-              "-0.0755372, -0.0810031, -0.0897811, -0.122224, -0.146545, -0.160959, -0.17724, -0.188859, -0.192728, -0.193817, -0.194466, -0.194672, -0.194437, -0.194165, -0.19379, -0.192814, -0.190293, -0.18425, -0.178651, -0.175774, -0.172596, -0.155752, -0.0992686, -0.0887449, -0.0780421, -0.0624439, -0.0487241, -0.0412721, -0.0328707, -0.0243927, -0.0172159, -0.0173635, -0.0173534, -0.0173158, -0.0170429, -0.0166095, -0.01522, -0.0125974, -0.00973279, -0.00791907, -0.00587431, -0.00420084, -0.00340902, -0.00270244, -0.00208109, -0.00154496, -0.000770631, -0.000145733, -9.91211e-07, -5.14603e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0184031");
-            index_3 ("0.124524, 0.153206, 0.165783, 0.184309, 0.19893, 0.211553, 0.222929, 0.229389, 0.233526, 0.241799, 0.243901, 0.248103, 0.250611, 0.252762, 0.263014, 0.269795, 0.274991, 0.285049, 0.290098, 0.295432, 0.303034, 0.306335, 0.312937, 0.326141, 0.347545, 0.372971, 0.404901, 0.447753, 3.6662");
-            values ( \
-              "-0.00418057, -0.107196, -0.145782, -0.198081, -0.237104, -0.268194, -0.29244, -0.303009, -0.307369, -0.307098, -0.304033, -0.292658, -0.283528, -0.271538, -0.195416, -0.150653, -0.121917, -0.0790021, -0.0630471, -0.0494123, -0.0346562, -0.0296837, -0.0217064, -0.0113273, -0.00365485, -0.000859887, -0.000114234, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0413776");
-            index_3 ("0.124395, 0.179566, 0.226019, 0.252651, 0.259305, 0.264655, 0.282571, 0.297661, 0.307882, 0.327828, 0.365087, 0.381747, 0.404341, 0.431734, 0.448174, 0.460724, 0.485823, 0.53125, 0.58747, 0.732005, 3.66607");
-            values ( \
-              "-0.0030225, -0.203918, -0.345266, -0.416215, -0.409844, -0.407863, -0.390321, -0.369663, -0.349177, -0.285554, -0.150557, -0.108422, -0.0672192, -0.0367833, -0.0254818, -0.019446, -0.0106402, -0.00358679, -0.000586312, -1e-22, -0.000147084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0930337");
-            index_3 ("0.124426, 0.204502, 0.248841, 0.252449, 0.256366, 0.264955, 0.282303, 0.31178, 0.330593, 0.367864, 0.394613, 0.40844, 0.436093, 0.450879, 0.472756, 0.492491, 0.538796, 0.56412, 0.573796, 0.597826, 0.623459, 0.641265, 0.653669, 0.670207, 0.703284, 0.727018, 0.735088, 0.751228, 0.783508, 0.848068, 0.921392, 0.994716, 1.06804, 1.14136, 1.28801, 1.50799, 3.6661");
-            values ( \
-              "-0.00384202, -0.296744, -0.439741, -0.447125, -0.450122, -0.448263, -0.44379, -0.435316, -0.429335, -0.415649, -0.403226, -0.395488, -0.375617, -0.361131, -0.331678, -0.297137, -0.211648, -0.171138, -0.15727, -0.126691, -0.0996654, -0.0840356, -0.0745179, -0.0633555, -0.0454578, -0.035745, -0.0329307, -0.0279321, -0.0200025, -0.0100012, -0.00447531, -0.00199733, -0.000886917, -0.000397152, -7.99391e-05, -5.37212e-06, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.209178");
-            index_3 ("0.160079, 0.204991, 0.248672, 0.253098, 0.261951, 0.268994, 0.422802, 0.504753, 0.589362, 0.641121, 0.67741, 0.749987, 0.771506, 0.814546, 0.997788, 1.0466, 1.10331, 1.17663, 1.23406, 1.27512, 1.34844, 1.42927, 1.48132, 1.55464, 1.62797, 1.70129, 1.77462, 1.92126, 2.06791, 2.36121, 3.66593");
-            values ( \
-              "-0.2423, -0.306194, -0.454503, -0.463504, -0.467635, -0.465813, -0.447227, -0.435523, -0.421152, -0.41036, -0.401258, -0.376664, -0.366556, -0.340451, -0.190682, -0.157661, -0.125142, -0.0915048, -0.0712173, -0.0593497, -0.0425266, -0.0293954, -0.0230854, -0.0163942, -0.0115959, -0.00821603, -0.00579135, -0.00288265, -0.00143094, -0.000348123, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.253571, 0.27476, 0.279367, 0.285421, 0.294285, 0.305277, 0.318935, 0.332345, 0.343001, 0.346565, 0.350129, 0.353693, 0.354932, 0.355345, 0.356171, 0.356997, 0.357823, 0.358649, 0.359649, 0.361649, 0.362649, 0.364609, 0.366878, 0.371113, 0.378556, 0.383946, 0.388774, 0.391102, 0.395015, 0.398312, 0.401609, 0.404907, 0.407778, 0.410649, 0.413521, 0.416392, 0.419791, 0.42319, 0.426589, 0.429988, 0.44746, 0.475635, 0.50381, 0.531985, 0.56016, 0.574805, 0.589449, 0.604094, 0.618738, 3.21165");
-            values ( \
-              "-0.0176379, -0.0184385, -0.0225795, -0.0285857, -0.036185, -0.0447723, -0.054646, -0.0631216, -0.0691183, -0.0707305, -0.0720532, -0.0730865, -0.0731985, -0.0732186, -0.0732327, -0.0732123, -0.0731573, -0.0730676, -0.0728583, -0.0722118, -0.0717745, -0.0700878, -0.0671446, -0.0576977, -0.0372085, -0.0242024, -0.0159632, -0.0128775, -0.00853078, -0.00575085, -0.00402797, -0.00265939, -0.0020262, -0.0014817, -0.00102586, -0.000658704, -0.000482473, -0.000334641, -0.000215207, -0.000124172, -0.000104415, -0.000103099, -0.000139487, -0.00021358, -0.000325377, -0.000216579, -0.000126405, -5.48558e-05, -1.93171e-06, -2.01825e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00818493");
-            index_3 ("0.260048, 0.285509, 0.296856, 0.312464, 0.3297, 0.346201, 0.357339, 0.371036, 0.37452, 0.378005, 0.382652, 0.384975, 0.386021, 0.387067, 0.388112, 0.390204, 0.392567, 0.393884, 0.396519, 0.39833, 0.399306, 0.402235, 0.405826, 0.409999, 0.41707, 0.424155, 0.425905, 0.429778, 0.435798, 0.438725, 0.442238, 0.444581, 0.449265, 0.451607, 0.455392, 0.459177, 0.462962, 0.466747, 0.475601, 0.484456, 0.502622, 0.520788, 0.538954, 0.55712, 0.568291, 0.57308, 0.577868, 0.597021, 0.650124, 3.206");
-            values ( \
-              "-0.0343142, -0.0376911, -0.051339, -0.0680532, -0.0852159, -0.10026, -0.109699, -0.120023, -0.122161, -0.123978, -0.125897, -0.126641, -0.126684, -0.126654, -0.126553, -0.126137, -0.125231, -0.124484, -0.122518, -0.120146, -0.118146, -0.111411, -0.101353, -0.0883156, -0.0640645, -0.0432953, -0.0388025, -0.0306662, -0.0205819, -0.0163493, -0.0122163, -0.0103158, -0.00705431, -0.00569327, -0.00422044, -0.00298611, -0.00199029, -0.00123298, -0.00102669, -0.000858237, -0.000631042, -0.000563073, -0.00065433, -0.000904812, -0.00066119, -0.000443606, -0.000158119, -1.42113e-05, -2.96014e-07, -8.4475e-10" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0184031");
-            index_3 ("0.271738, 0.305863, 0.316279, 0.329021, 0.361039, 0.379079, 0.393641, 0.40363, 0.413533, 0.416032, 0.420464, 0.424064, 0.427982, 0.4319, 0.435818, 0.439737, 0.443656, 0.447576, 0.451496, 0.45585, 0.458454, 0.461482, 0.480861, 0.485047, 0.492256, 0.497432, 0.502607, 0.506748, 0.516891, 0.521658, 0.526426, 0.529605, 0.532784, 0.535962, 0.539523, 0.543084, 0.546645, 0.550206, 0.553653, 0.5571, 0.566947, 0.576508, 0.581288, 0.586069, 0.590849, 0.59563, 0.604558, 0.613487, 0.6492, 3.09688");
-            values ( \
-              "-0.0664248, -0.072227, -0.0860156, -0.101833, -0.139786, -0.159573, -0.174854, -0.184393, -0.193097, -0.195577, -0.200872, -0.206015, -0.205225, -0.20405, -0.20249, -0.200545, -0.198214, -0.195498, -0.192396, -0.18831, -0.181581, -0.171863, -0.101873, -0.0887965, -0.0686948, -0.0558506, -0.0457406, -0.0387607, -0.0248452, -0.0198011, -0.0156145, -0.0132598, -0.0112362, -0.00954363, -0.00837485, -0.00739885, -0.00661565, -0.00602523, -0.0057753, -0.00543011, -0.00391898, -0.00230139, -0.0018624, -0.00147517, -0.00113969, -0.000855964, -0.000514992, -0.00025384, -7.43243e-06, -1.27274e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0413776");
-            index_3 ("0.278628, 0.314418, 0.338254, 0.376411, 0.411448, 0.443451, 0.456063, 0.464757, 0.479876, 0.491789, 0.496278, 0.500766, 0.505255, 0.509744, 0.514232, 0.519888, 0.523388, 0.525721, 0.528054, 0.532721, 0.540624, 0.547548, 0.550204, 0.551533, 0.552861, 0.55419, 0.556777, 0.569828, 0.586375, 0.590677, 0.599598, 0.614449, 0.62078, 0.626544, 0.632308, 0.640437, 0.648566, 0.660721, 0.668907, 0.67873, 0.691828, 0.704926, 0.716923, 0.728921, 0.740918, 0.752916, 0.795574, 0.809793, 0.882737, 1.28602");
-            values ( \
-              "-0.0883263, -0.0907346, -0.125043, -0.17686, -0.222901, -0.261756, -0.276253, -0.285495, -0.300445, -0.311248, -0.314255, -0.316609, -0.317958, -0.318476, -0.318165, -0.316591, -0.314957, -0.312513, -0.309611, -0.30243, -0.286085, -0.267047, -0.243145, -0.243448, -0.242959, -0.241677, -0.236906, -0.189427, -0.138119, -0.126508, -0.106151, -0.0776151, -0.0672025, -0.0596317, -0.052754, -0.0443401, -0.0370896, -0.0279874, -0.0226561, -0.0173818, -0.0126742, -0.0088561, -0.00685598, -0.00512435, -0.00366119, -0.0024665, -0.000821198, -0.00045617, -2.54435e-05, -1.2771e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0930337");
-            index_3 ("0.275081, 0.325054, 0.374456, 0.437121, 0.487568, 0.543333, 0.550042, 0.554188, 0.558881, 0.57338, 0.593001, 0.612631, 0.624954, 0.645149, 0.667372, 0.710769, 0.749523, 0.774909, 0.808237, 0.828606, 0.85507, 0.877703, 0.902447, 0.937634, 0.95304, 0.973699, 1.00124, 1.05634, 1.12966, 1.20298, 1.27631, 1.34963, 1.49628, 1.64293, 3.66606");
-            values ( \
-              "-0.0593154, -0.110551, -0.18486, -0.275792, -0.345498, -0.415101, -0.422579, -0.425002, -0.425161, -0.419944, -0.411888, -0.40243, -0.395473, -0.381728, -0.361119, -0.294508, -0.222319, -0.180091, -0.134249, -0.111326, -0.0864231, -0.0693617, -0.0543463, -0.0379762, -0.0324861, -0.0263096, -0.0198409, -0.0110146, -0.00497208, -0.00217981, -0.00100865, -0.000411124, -6.09681e-05, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.209178");
-            index_3 ("0.310295, 0.385692, 0.518492, 0.549876, 0.55455, 0.559037, 0.639123, 0.721189, 0.794513, 0.822841, 0.893746, 0.966472, 0.987844, 1.03059, 1.07677, 1.1408, 1.21412, 1.26293, 1.31964, 1.39297, 1.45039, 1.49145, 1.56477, 1.61957, 1.6456, 1.69766, 1.77098, 1.84431, 1.91763, 1.99095, 2.06428, 2.21093, 2.50423, 3.66589");
-            values ( \
-              "-0.160004, -0.20729, -0.407681, -0.452376, -0.456049, -0.45718, -0.447166, -0.435459, -0.423268, -0.41781, -0.4013, -0.376559, -0.366595, -0.340621, -0.304166, -0.248786, -0.190647, -0.157629, -0.12511, -0.0915354, -0.0712433, -0.0593278, -0.0425523, -0.0331305, -0.0293735, -0.0231069, -0.0163723, -0.0116171, -0.00819466, -0.00581234, -0.004083, -0.00202752, -0.000492935, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.469826, 0.532135, 0.54384, 0.557071, 0.563347, 0.575898, 0.608293, 0.623188, 0.643218, 0.661768, 0.681416, 0.693538, 0.705333, 0.708016, 0.713382, 0.720061, 0.726936, 0.735626, 0.753947, 0.76662, 0.772168, 0.778508, 0.791188, 0.809857, 0.833289, 0.864973, 0.914957, 0.988281, 1.21596, 1.22581, 1.24254, 1.25463, 1.27881, 1.31031, 1.36243, 1.43576, 1.50908, 1.5824, 1.65573, 1.72905, 1.80238, 3.66623");
-            values ( \
-              "-0.000233901, -0.00406109, -0.00523361, -0.00692542, -0.00805495, -0.0107568, -0.021595, -0.0261026, -0.031687, -0.0363513, -0.0405751, -0.0426813, -0.0441199, -0.0443082, -0.0444923, -0.0440741, -0.0421211, -0.0354814, -0.0151325, -0.00681231, -0.00476011, -0.00316984, -0.00146086, -0.000622263, -0.00031892, -0.000146405, -2.09631e-05, -1e-22, -2e-22, -0.000281424, -5.68831e-05, -1e-22, -4.03169e-05, -1e-22, -2.58486e-05, -1e-22, -2.09229e-05, -1e-22, -1.7047e-05, -1e-22, -1.39804e-05, -1.83154e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00818493");
-            index_3 ("0.470276, 0.541127, 0.557203, 0.570941, 0.584922, 0.63195, 0.65231, 0.672548, 0.69577, 0.716428, 0.735388, 0.750136, 0.753382, 0.759875, 0.768247, 0.776906, 0.787744, 0.809531, 0.820213, 0.827708, 0.832516, 0.842133, 0.861367, 0.884624, 0.913824, 0.951433, 1.21597, 1.2343, 1.26623, 1.29486, 1.34616, 1.41391, 1.48724, 1.56056, 1.63389, 1.70721, 1.78054, 1.85386, 1.92718, 2.00051, 3.66624");
-            values ( \
-              "-0.000506252, -0.00809523, -0.0112135, -0.0146398, -0.0192204, -0.0403649, -0.0488964, -0.0568804, -0.0653272, -0.0720011, -0.0771054, -0.0799002, -0.0802501, -0.0806215, -0.0797591, -0.0757253, -0.0632912, -0.0299593, -0.0184059, -0.0127399, -0.00998064, -0.00598186, -0.00194299, -0.000456315, -0.0001337, -1e-22, -2e-22, -0.000367017, -1.89707e-05, -1e-22, -5.70032e-05, -1e-22, -4.66277e-05, -1e-22, -3.72675e-05, -1e-22, -2.96346e-05, -1e-22, -2.36415e-05, -1e-22, -2.83097e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0184031");
-            index_3 ("0.560813, 0.617709, 0.636919, 0.651684, 0.690651, 0.708384, 0.718907, 0.736445, 0.753447, 0.76508, 0.778878, 0.796657, 0.801576, 0.811415, 0.816334, 0.821254, 0.826173, 0.829584, 0.83072, 0.832994, 0.835268, 0.837542, 0.839815, 0.842578, 0.845341, 0.850866, 0.854502, 0.860568, 0.866325, 0.873795, 0.881007, 0.893599, 0.908269, 0.912605, 0.918269, 0.93189, 0.937077, 0.941226, 0.949525, 0.957824, 0.97277, 0.987717, 1.04765, 1.10759, 1.16752, 1.22746, 1.2496, 1.26745, 1.30316, 3.35589");
-            values ( \
-              "-0.0393269, -0.041284, -0.0522031, -0.0602076, -0.0804317, -0.0892637, -0.0942203, -0.102262, -0.109793, -0.11465, -0.120068, -0.126625, -0.128173, -0.130873, -0.132025, -0.133045, -0.133933, -0.134116, -0.134144, -0.13415, -0.134089, -0.133963, -0.13377, -0.133356, -0.132801, -0.131271, -0.129276, -0.123196, -0.116008, -0.105152, -0.0932533, -0.0704096, -0.0473643, -0.0416823, -0.0352415, -0.022546, -0.0184226, -0.0155456, -0.0113993, -0.00798643, -0.00471133, -0.00177181, -0.00156597, -0.00128238, -0.000921051, -0.000481976, -0.00030013, -7.49225e-05, -4.42236e-06, -2.41926e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0413776");
-            index_3 ("0.538404, 0.607947, 0.634607, 0.684404, 0.751402, 0.802422, 0.841792, 0.881417, 0.904896, 0.920224, 0.950879, 0.961217, 0.97458, 0.988656, 1.00273, 1.0475, 1.06511, 1.08024, 1.1004, 1.11578, 1.14052, 1.17351, 1.21581, 1.23145, 1.2805, 1.32507, 1.38064, 3.66607");
-            values ( \
-              "-0.0237869, -0.0416809, -0.0564873, -0.0873089, -0.126245, -0.154131, -0.174257, -0.192572, -0.201924, -0.207066, -0.213176, -0.212684, -0.208622, -0.197753, -0.178083, -0.100029, -0.074892, -0.0573664, -0.039207, -0.0289955, -0.017435, -0.00831244, -0.00285128, -0.00303678, -0.000972683, -0.000402344, -2.53751e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0930337");
-            index_3 ("0.538074, 0.657189, 0.757536, 0.85274, 0.925723, 0.987947, 1.03899, 1.0718, 1.09212, 1.11246, 1.1357, 1.14466, 1.16257, 1.19754, 1.24862, 1.27905, 1.31116, 1.34341, 1.38235, 1.41791, 1.4302, 1.45537, 1.48413, 1.54167, 1.61499, 1.68832, 1.76164, 1.83497, 2.12826, 3.666");
-            values ( \
-              "-0.0152933, -0.0745674, -0.139508, -0.198731, -0.242039, -0.276279, -0.301066, -0.314308, -0.320715, -0.324856, -0.325329, -0.323636, -0.315406, -0.275434, -0.191288, -0.146913, -0.109441, -0.0804024, -0.05479, -0.0382122, -0.0337366, -0.0260948, -0.019386, -0.0105015, -0.00470544, -0.00209616, -0.000935579, -0.000414226, -1.48266e-05, -1.24956e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.209178");
-            index_3 ("0.607924, 0.716213, 0.928041, 1.05125, 1.11093, 1.20172, 1.21559, 1.22879, 1.24635, 1.30376, 1.37459, 1.4096, 1.46856, 1.51644, 1.56044, 1.63405, 1.70974, 1.7747, 1.81489, 1.88129, 1.90088, 1.94005, 2.01338, 2.07482, 2.14158, 2.2149, 2.28823, 2.36155, 2.43488, 2.5082, 2.65485, 2.87482, 3.16812, 3.66586");
-            values ( \
-              "-0.0876484, -0.116368, -0.258061, -0.337113, -0.37325, -0.42368, -0.430692, -0.430848, -0.428504, -0.417756, -0.401273, -0.390776, -0.366595, -0.337062, -0.30169, -0.238336, -0.180279, -0.139258, -0.117906, -0.0887105, -0.0814677, -0.0685707, -0.0492828, -0.037237, -0.0274035, -0.0194631, -0.0137988, -0.00976224, -0.0069044, -0.004874, -0.00242753, -0.000854561, -0.000212642, -1.79993e-05" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0149838, 0.0150102, 0.0149012, 0.0144702, 0.0134215, 0.011068", \
-            "0.0147891, 0.0148092, 0.0147025, 0.0142749, 0.0132288, 0.0108914", \
-            "0.0144601, 0.014474, 0.0143724, 0.0139479, 0.012904, 0.0105568", \
-            "0.0142683, 0.014279, 0.0141805, 0.0137555, 0.0127109, 0.0103806", \
-            "0.0146887, 0.0147263, 0.0146092, 0.0141951, 0.013131, 0.0107633", \
-            "0.0171415, 0.0171561, 0.0170625, 0.0166917, 0.0156041, 0.0130974" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00818493, 0.0184031, 0.0413776, 0.0930337, 0.209178");
-          values ( \
-            "0.0166712, 0.0166568, 0.0164998, 0.0159912, 0.014768, 0.0119956", \
-            "0.0165494, 0.016535, 0.0163776, 0.0158704, 0.0146495, 0.0118735", \
-            "0.0162976, 0.016284, 0.0161255, 0.0156209, 0.0143988, 0.011622", \
-            "0.0161392, 0.0161254, 0.0159695, 0.0154627, 0.0142393, 0.0114649", \
-            "0.0163236, 0.0163074, 0.0161488, 0.0156441, 0.0144041, 0.0116235", \
-            "0.0174789, 0.0174547, 0.017294, 0.0167665, 0.0155441, 0.0127523" \
-          );
-        }
-      }
-    }
-    pin (QN) {
-      direction : output;
-      function : "IQN";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.201816;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0.206697, 0.219057, 0.244206, 0.297169, 0.41331, 0.671521", \
-            "0.211338, 0.223709, 0.248856, 0.30182, 0.417963, 0.67616", \
-            "0.220606, 0.232987, 0.258118, 0.311081, 0.427228, 0.685443", \
-            "0.234494, 0.246881, 0.272012, 0.324979, 0.441132, 0.699332", \
-            "0.248394, 0.26079, 0.286008, 0.33895, 0.455085, 0.713289", \
-            "0.252668, 0.265069, 0.290198, 0.343181, 0.459357, 0.717926" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0.0457968, 0.0593968, 0.0915695, 0.166441, 0.337068, 0.719657", \
-            "0.0458071, 0.0593987, 0.0915705, 0.166441, 0.337069, 0.719658", \
-            "0.0458082, 0.059404, 0.0916024, 0.166442, 0.337069, 0.719658", \
-            "0.0458092, 0.0594026, 0.0915758, 0.166444, 0.337071, 0.719659", \
-            "0.0457695, 0.0594698, 0.0916643, 0.16651, 0.337041, 0.719664", \
-            "0.0458338, 0.0594349, 0.0915933, 0.166429, 0.337426, 0.720002" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0.136285, 0.146727, 0.167111, 0.208284, 0.296925, 0.493532", \
-            "0.140515, 0.150955, 0.171339, 0.21253, 0.301167, 0.497802", \
-            "0.149914, 0.160352, 0.180742, 0.22195, 0.3106, 0.507247", \
-            "0.163945, 0.174414, 0.19485, 0.236079, 0.324721, 0.521405", \
-            "0.17666, 0.187162, 0.207593, 0.248757, 0.337271, 0.533893", \
-            "0.181237, 0.191864, 0.212527, 0.253967, 0.342483, 0.538773" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0.0345282, 0.044478, 0.066778, 0.117915, 0.235962, 0.502683", \
-            "0.0345317, 0.0444848, 0.0667816, 0.117902, 0.235769, 0.502682", \
-            "0.0345545, 0.0445055, 0.0667935, 0.117913, 0.235809, 0.502683", \
-            "0.0347703, 0.0447205, 0.066928, 0.117975, 0.235979, 0.502684", \
-            "0.0349811, 0.0448199, 0.0669699, 0.117856, 0.235884, 0.502695", \
-            "0.0361639, 0.0458584, 0.0677718, 0.118411, 0.235948, 0.502276" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.175708, 0.190742, 0.194496, 0.197412, 0.199728, 0.204885, 0.209918, 0.211475, 0.218257, 0.225127, 0.225625, 0.228612, 0.231075, 0.233699, 0.244741, 0.247999, 0.255616, 0.260256, 0.268306, 0.274793, 0.2791, 0.27989, 0.281469, 0.284628, 0.288933, 0.296371, 0.299299, 0.305153, 0.333216, 0.35478, 0.383904");
-            values ( \
-              "0.00812813, 0.0790425, 0.0891496, 0.0941488, 0.0971044, 0.0998205, 0.0991635, 0.0994377, 0.094032, 0.0845874, 0.0849559, 0.0818271, 0.0810448, 0.0762335, 0.0487128, 0.0420838, 0.0284816, 0.0238407, 0.0225355, 0.0182418, 0.0162163, 0.0148665, 0.0146689, 0.0120273, 0.010542, 0.00673123, 0.0065304, 0.00426389, 0.000760731, 0.000717852, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812649");
-            index_3 ("0.179992, 0.185011, 0.19256, 0.197705, 0.201257, 0.204292, 0.213017, 0.221647, 0.230537, 0.246063, 0.272307, 0.289108, 0.32081, 0.335124, 0.35431, 0.387945");
-            values ( \
-              "0.0279397, 0.088803, 0.13336, 0.152689, 0.159891, 0.164969, 0.170302, 0.168892, 0.160436, 0.14064, 0.0681699, 0.0368113, 0.017567, 0.0107212, 0.00513183, 0.00183449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181412");
-            index_3 ("0.179951, 0.188435, 0.196891, 0.202053, 0.211016, 0.222425, 0.22881, 0.240476, 0.244871, 0.27033, 0.277483, 0.286531, 0.307419, 0.319042, 0.344836, 0.361078, 0.376562, 0.396787, 0.41862, 0.451203, 0.511356, 0.557516");
-            values ( \
-              "0.00774712, 0.146434, 0.204813, 0.224846, 0.243195, 0.251725, 0.251107, 0.246505, 0.24293, 0.214851, 0.204742, 0.184192, 0.130312, 0.104802, 0.0603925, 0.0425995, 0.0329103, 0.0227665, 0.0143956, 0.00663042, 0.00114651, 0.000829695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404976");
-            index_3 ("0.179993, 0.193158, 0.199124, 0.20561, 0.214544, 0.221851, 0.229894, 0.237448, 0.248722, 0.279597, 0.333929, 0.338626, 0.354557, 0.403882, 0.434779, 0.457915, 0.478015, 0.493644, 0.5168, 0.537585, 0.565298, 0.597339, 0.613739, 0.646539, 0.687586, 0.737154, 0.797395, 0.8794");
-            values ( \
-              "0.0052932, 0.216373, 0.258107, 0.285269, 0.307051, 0.316519, 0.323073, 0.323846, 0.322596, 0.304904, 0.262126, 0.257459, 0.237242, 0.160916, 0.118698, 0.0922591, 0.072614, 0.0598658, 0.0459398, 0.0366759, 0.0269359, 0.0185132, 0.0151677, 0.0100409, 0.00585432, 0.00297765, 0.00126555, 0.000363667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0904051");
-            index_3 ("0.19036, 0.210657, 0.222784, 0.230595, 0.237781, 0.252153, 0.266702, 0.303322, 0.424938, 0.479587, 0.514296, 0.638172, 0.71646, 0.79262, 0.839088, 0.8815, 0.936937, 0.997249, 1.0915, 1.17005, 1.25206, 1.28581");
-            values ( \
-              "0.311705, 0.33394, 0.360146, 0.368427, 0.373612, 0.375486, 0.373707, 0.360876, 0.310722, 0.284981, 0.262159, 0.163576, 0.110051, 0.0708946, 0.0536736, 0.0418851, 0.030136, 0.0208546, 0.0114886, 0.00681899, 0.00396372, 0.00351798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201816");
-            index_3 ("0.196531, 0.196551, 0.343572, 0.615033, 0.765635, 0.860709, 1.0008, 1.12703, 1.24988, 1.35113, 1.4628, 1.58252, 1.68139, 1.83841, 1.9793, 2.14331, 2.30732, 2.47133, 2.79935, 2.88135");
-            values ( \
-              "1e-22, 0.415937, 0.394136, 0.339081, 0.306389, 0.27834, 0.225986, 0.176339, 0.133169, 0.103036, 0.0759476, 0.0541149, 0.0407412, 0.0256203, 0.0166914, 0.0099889, 0.00588336, 0.00339584, 0.00100428, 0.0013287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.194364, 0.209853, 0.216669, 0.21949, 0.222981, 0.225776, 0.228972, 0.231934, 0.236744, 0.244098, 0.250006, 0.252185, 0.265178, 0.277815, 0.282065, 0.285764, 0.307721, 0.314871, 0.323745, 0.335576, 0.35602, 0.378933");
-            values ( \
-              "0.00954666, 0.0810821, 0.0951761, 0.0977928, 0.0993864, 0.0997506, 0.0993872, 0.0980376, 0.0936345, 0.0846506, 0.0796971, 0.0763463, 0.0450584, 0.0238405, 0.0235315, 0.0225312, 0.0100122, 0.00713507, 0.00463234, 0.0025289, 0.0008856, 0.000242955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812649");
-            index_3 ("0.198676, 0.203578, 0.211148, 0.216241, 0.219814, 0.222866, 0.231591, 0.240221, 0.24378, 0.264425, 0.268801, 0.281351, 0.288138, 0.304913, 0.308751, 0.342815, 0.354639, 0.370513, 0.391677, 0.407971");
-            values ( \
-              "0.0301869, 0.0892557, 0.133193, 0.152368, 0.160027, 0.164772, 0.170113, 0.168711, 0.165745, 0.141131, 0.131801, 0.0924786, 0.0749378, 0.0408112, 0.0361163, 0.0160752, 0.0107323, 0.00600449, 0.00262279, 0.00157451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181412");
-            index_3 ("0.198609, 0.206964, 0.216106, 0.220583, 0.229787, 0.234298, 0.240953, 0.247342, 0.258948, 0.263522, 0.296256, 0.33756, 0.363432, 0.379749, 0.415262, 0.450716, 0.470111, 0.496531, 0.500818");
-            values ( \
-              "0.00959515, 0.146922, 0.207582, 0.224336, 0.243072, 0.247838, 0.251299, 0.25151, 0.246134, 0.242416, 0.204717, 0.105224, 0.0606788, 0.0428778, 0.0231622, 0.0109802, 0.00693373, 0.00367522, 0.00350168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404976");
-            index_3 ("0.19876, 0.211779, 0.217709, 0.224201, 0.233169, 0.240408, 0.248487, 0.256074, 0.267348, 0.278766, 0.302339, 0.327302, 0.357226, 0.373142, 0.42251, 0.453271, 0.476508, 0.496762, 0.512532, 0.535501, 0.556069, 0.576777, 0.605944, 0.64114, 0.673683, 0.716278, 0.766232, 0.830543, 0.912548");
-            values ( \
-              "0.00847651, 0.215675, 0.257212, 0.284485, 0.306392, 0.317069, 0.322414, 0.324474, 0.321959, 0.317185, 0.301834, 0.282653, 0.257484, 0.237306, 0.160917, 0.118862, 0.0922956, 0.0725023, 0.0596765, 0.0459056, 0.0367334, 0.0292322, 0.0208351, 0.0135974, 0.00900767, 0.00511105, 0.00258665, 0.00101806, 0.00029971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0904051");
-            index_3 ("0.209218, 0.229969, 0.241486, 0.249228, 0.25635, 0.270435, 0.285128, 0.321892, 0.443509, 0.498158, 0.532864, 0.559698, 0.65674, 0.682832, 0.734595, 0.757023, 0.780644, 0.81214, 0.857926, 0.899589, 0.954515, 0.999622, 1.01572, 1.04791, 1.10981, 1.18753, 1.26953, 1.35154, 1.51555");
-            values ( \
-              "0.31971, 0.335881, 0.360177, 0.368569, 0.373462, 0.375595, 0.373614, 0.360752, 0.310721, 0.28498, 0.26216, 0.241537, 0.163578, 0.144359, 0.110314, 0.0974617, 0.0850782, 0.0704908, 0.053599, 0.0420107, 0.0303188, 0.0229876, 0.0208688, 0.0170396, 0.0115092, 0.0068721, 0.00399417, 0.00225857, 0.000721323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201816");
-            index_3 ("0.215072, 0.215092, 0.361711, 0.395605, 0.702031, 0.81872, 0.950666, 1.11592, 1.26428, 1.34629, 1.41584, 1.48603, 1.56803, 1.68847, 1.76248, 1.84449, 1.99447, 2.15848, 2.32249, 2.65051, 2.89653");
-            values ( \
-              "1e-22, 0.417392, 0.393665, 0.387044, 0.324821, 0.297361, 0.252652, 0.187635, 0.134979, 0.109586, 0.0911577, 0.0754407, 0.0594956, 0.0421573, 0.0344165, 0.0266555, 0.016955, 0.0101887, 0.00604268, 0.00201845, 0.00124363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.237693, 0.244653, 0.252403, 0.256655, 0.259635, 0.263365, 0.267918, 0.273254, 0.283456, 0.291081, 0.304998, 0.31362, 0.318551, 0.326658, 0.343168, 0.352733, 0.364233, 0.377791, 0.390793, 0.410107, 0.434763");
-            values ( \
-              "0.0279885, 0.0636504, 0.0876795, 0.0951629, 0.0978788, 0.0994924, 0.0995697, 0.0970375, 0.0851385, 0.0795243, 0.0453433, 0.029672, 0.0235141, 0.0223196, 0.0121949, 0.00771359, 0.00436873, 0.00218019, 0.00134214, 0.000318886, 0.000254841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812649");
-            index_3 ("0.237819, 0.243691, 0.250869, 0.254559, 0.259477, 0.262982, 0.267457, 0.271709, 0.27843, 0.284156, 0.298724, 0.304418, 0.308916, 0.328098, 0.34502, 0.348943, 0.383, 0.394772, 0.410529, 0.431539, 0.440736");
-            values ( \
-              "0.0106684, 0.0890962, 0.13104, 0.14642, 0.159297, 0.164481, 0.16831, 0.169857, 0.169328, 0.165711, 0.147029, 0.141519, 0.13164, 0.0753177, 0.0408316, 0.0360437, 0.0160456, 0.0107339, 0.00603336, 0.00264713, 0.00204876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181412");
-            index_3 ("0.237869, 0.250904, 0.255607, 0.260801, 0.269518, 0.274513, 0.281177, 0.287558, 0.298789, 0.304464, 0.329065, 0.343915, 0.354041, 0.377931, 0.40007, 0.416635, 0.449011, 0.473936, 0.495089, 0.537387");
-            values ( \
-              "0.016243, 0.176132, 0.204413, 0.224499, 0.242463, 0.247844, 0.251313, 0.251512, 0.246402, 0.2417, 0.214237, 0.189619, 0.160415, 0.10505, 0.0658929, 0.0456242, 0.0261024, 0.0158408, 0.0100301, 0.00390054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404976");
-            index_3 ("0.243721, 0.254368, 0.257881, 0.264269, 0.269024, 0.273253, 0.280439, 0.288546, 0.296159, 0.307433, 0.318851, 0.338045, 0.367388, 0.397303, 0.403495, 0.413213, 0.462596, 0.475758, 0.493307, 0.516582, 0.536894, 0.552717, 0.575616, 0.596102, 0.61683, 0.646013, 0.681261, 0.713351, 0.75536, 0.804458, 0.867598, 0.949603");
-            values ( \
-              "0.142507, 0.235725, 0.257931, 0.284667, 0.297826, 0.306655, 0.316718, 0.322685, 0.324178, 0.322246, 0.316889, 0.30475, 0.282352, 0.257493, 0.250492, 0.237328, 0.160915, 0.142035, 0.118925, 0.0923069, 0.0724628, 0.0596036, 0.0458952, 0.0367571, 0.0292415, 0.0208414, 0.0135931, 0.00905601, 0.00518456, 0.0026557, 0.00106868, 0.00031237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0904051");
-            index_3 ("0.250358, 0.274029, 0.281396, 0.289253, 0.29648, 0.310373, 0.325162, 0.340083, 0.385284, 0.483639, 0.538288, 0.572975, 0.696842, 0.722895, 0.773944, 0.821509, 0.853996, 0.898537, 0.938844, 0.992586, 1.03908, 1.0998, 1.16336, 1.24251, 1.32452, 1.40652, 1.57053");
-            values ( \
-              "0.342, 0.345814, 0.359628, 0.368736, 0.373232, 0.375831, 0.373402, 0.368779, 0.351282, 0.310719, 0.284979, 0.262173, 0.163598, 0.144407, 0.110785, 0.084715, 0.0697626, 0.0534633, 0.0422381, 0.0307019, 0.0230889, 0.0158209, 0.0105557, 0.00622482, 0.00361729, 0.00203908, 0.000648561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201816");
-            index_3 ("0.256355, 0.256375, 0.742118, 0.858918, 0.919196, 0.990643, 1.18552, 1.30837, 1.4481, 1.52129, 1.64101, 1.74518, 1.81825, 1.95591, 2.11992, 2.28393, 2.44794, 2.55471");
-            values ( \
-              "1e-22, 0.423672, 0.324644, 0.296992, 0.278036, 0.252447, 0.176647, 0.133478, 0.0933323, 0.076258, 0.0544255, 0.0404278, 0.0327001, 0.0217618, 0.0132472, 0.00799475, 0.00479651, 0.00367391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.318933, 0.323356, 0.32686, 0.331425, 0.334553, 0.338857, 0.341793, 0.345567, 0.350046, 0.352152, 0.355455, 0.365534, 0.374382, 0.386298, 0.397223, 0.401449, 0.404274, 0.408919, 0.429583, 0.439988, 0.446166, 0.458522, 0.473563, 0.496884, 0.522838");
-            values ( \
-              "0.0113333, 0.048017, 0.0636637, 0.0792816, 0.0875815, 0.0951525, 0.0978805, 0.0994644, 0.0996141, 0.099033, 0.0970728, 0.0853308, 0.0771975, 0.0471018, 0.027088, 0.0236023, 0.0233887, 0.0222605, 0.0100776, 0.00605981, 0.00465776, 0.00234147, 0.00125274, 0.000223389, 0.00019338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812649");
-            index_3 ("0.318959, 0.328419, 0.333359, 0.338406, 0.34196, 0.345102, 0.349314, 0.353827, 0.360431, 0.366503, 0.371348, 0.380841, 0.386455, 0.39103, 0.403634, 0.410111, 0.416374, 0.427129, 0.43111, 0.43566, 0.465272, 0.476917, 0.492388, 0.513016, 0.540649, 0.575101");
-            values ( \
-              "0.00904192, 0.106717, 0.133004, 0.152013, 0.160028, 0.164584, 0.168137, 0.16991, 0.169342, 0.165474, 0.160038, 0.147028, 0.14163, 0.131666, 0.0923694, 0.075567, 0.0615913, 0.0408419, 0.0359966, 0.032809, 0.0159661, 0.0107253, 0.00609462, 0.00271889, 0.000861104, 0.000193502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181412");
-            index_3 ("0.318962, 0.334381, 0.336376, 0.341836, 0.349001, 0.35657, 0.359996, 0.366846, 0.375162, 0.382745, 0.394787, 0.411121, 0.422804, 0.446326, 0.459651, 0.482256, 0.488018, 0.498398, 0.517294, 0.541492, 0.558805, 0.580428, 0.600625, 0.629643, 0.641385");
-            values ( \
-              "0.0102215, 0.185506, 0.197487, 0.221259, 0.238544, 0.247905, 0.250019, 0.251796, 0.249786, 0.244865, 0.232965, 0.214273, 0.196416, 0.134583, 0.105652, 0.0658388, 0.0574109, 0.0456987, 0.0332101, 0.0213453, 0.0148869, 0.00913439, 0.00585207, 0.00266597, 0.0023258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404976");
-            index_3 ("0.328153, 0.339665, 0.347088, 0.355308, 0.36276, 0.370729, 0.378213, 0.389487, 0.400906, 0.419942, 0.449444, 0.479338, 0.485489, 0.495236, 0.544654, 0.575255, 0.598611, 0.61905, 0.634987, 0.657642, 0.67823, 0.698934, 0.728097, 0.76328, 0.794942, 0.836385, 0.884677, 0.94668, 1.02869");
-            values ( \
-              "0.233425, 0.256566, 0.287052, 0.306628, 0.317054, 0.322689, 0.324217, 0.322191, 0.31693, 0.304811, 0.282407, 0.257508, 0.250566, 0.237375, 0.160912, 0.119059, 0.0923339, 0.0723732, 0.059449, 0.0459161, 0.0367301, 0.029227, 0.0208356, 0.0135999, 0.0091124, 0.00525906, 0.00272746, 0.00111845, 0.000327291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0904051");
-            index_3 ("0.332838, 0.363292, 0.371218, 0.378508, 0.392161, 0.40707, 0.422112, 0.444049, 0.565669, 0.620318, 0.654984, 0.681858, 0.778842, 0.804856, 0.855196, 0.879162, 0.904265, 0.937472, 0.980983, 1.02011, 1.06023, 1.11726, 1.14121, 1.1891, 1.25173, 1.33128, 1.41328, 1.49529, 1.6593");
-            values ( \
-              "0.342667, 0.359501, 0.368618, 0.373292, 0.375756, 0.373491, 0.368709, 0.36058, 0.310719, 0.284978, 0.262187, 0.241538, 0.163621, 0.144456, 0.111257, 0.0974808, 0.084358, 0.0691572, 0.0533468, 0.0424374, 0.0335044, 0.0236433, 0.0204499, 0.0151037, 0.0101294, 0.00595181, 0.00345642, 0.00194804, 0.000619961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201816");
-            index_3 ("0.338902, 0.338922, 0.517565, 0.823986, 0.940676, 1.07262, 1.38624, 1.5378, 1.60799, 1.73014, 1.88444, 1.96644, 2.06336, 2.19844, 2.52646, 2.53582");
-            values ( \
-              "1e-22, 0.42719, 0.386772, 0.324559, 0.297098, 0.252388, 0.134713, 0.0914239, 0.0751743, 0.0532584, 0.0341499, 0.0269217, 0.0200938, 0.0133321, 0.00479633, 0.00472366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.48432, 0.488702, 0.496031, 0.501525, 0.50636, 0.512741, 0.516729, 0.523517, 0.530127, 0.538959, 0.552349, 0.565663, 0.573367, 0.596238, 0.616524, 0.629319");
-            values ( \
-              "0.0237196, 0.0522808, 0.0799623, 0.0929641, 0.0984529, 0.100279, 0.0995407, 0.0940898, 0.084829, 0.07688, 0.0438723, 0.0235457, 0.022253, 0.00920821, 0.00340464, 0.00192325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812649");
-            index_3 ("0.484305, 0.490332, 0.498062, 0.503024, 0.509075, 0.515465, 0.522619, 0.534917, 0.552757, 0.573949, 0.591516, 0.596474, 0.638416, 0.6638, 0.680659");
-            values ( \
-              "0.00818619, 0.0890116, 0.134562, 0.153024, 0.164568, 0.169525, 0.17047, 0.161678, 0.13777, 0.0772993, 0.0410358, 0.0352969, 0.0119335, 0.00467354, 0.0025986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181412");
-            index_3 ("0.484412, 0.49765, 0.502268, 0.507511, 0.515892, 0.527884, 0.545024, 0.547406, 0.550253, 0.57586, 0.586139, 0.611054, 0.624429, 0.646997, 0.663131, 0.682033, 0.697316, 0.723927, 0.739846, 0.757964, 0.785167, 0.791745");
-            values ( \
-              "0.0126725, 0.177291, 0.205062, 0.225362, 0.242705, 0.251927, 0.247336, 0.244418, 0.243146, 0.214422, 0.199171, 0.134685, 0.105556, 0.0657846, 0.0456632, 0.033282, 0.025516, 0.0148237, 0.0104012, 0.00690237, 0.00346056, 0.00320353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404976");
-            index_3 ("0.494035, 0.511282, 0.515865, 0.526807, 0.534869, 0.542793, 0.556724, 0.565523, 0.584464, 0.614079, 0.643965, 0.659854, 0.709301, 0.73982, 0.763239, 0.783773, 0.799796, 0.822293, 0.842892, 0.863598, 0.892766, 0.927949, 0.959368, 1.00049, 1.04832, 1.10969, 1.19169");
-            values ( \
-              "0.263806, 0.285578, 0.298922, 0.316909, 0.321977, 0.324642, 0.320444, 0.316512, 0.30477, 0.282333, 0.257488, 0.237399, 0.160902, 0.119157, 0.0923514, 0.072307, 0.0593293, 0.0459105, 0.036721, 0.02922, 0.0208317, 0.0135985, 0.00914132, 0.00530033, 0.00276831, 0.00114751, 0.000335912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0904051");
-            index_3 ("0.498325, 0.535657, 0.543008, 0.55652, 0.571025, 0.585519, 0.616802, 0.730217, 0.763227, 0.784784, 0.824296, 0.932451, 0.985056, 1.03148, 1.0696, 1.09898, 1.14499, 1.18556, 1.22534, 1.28211, 1.35299, 1.41571, 1.49451, 1.57652, 1.65852, 1.82253");
-            values ( \
-              "0.358331, 0.367882, 0.37377, 0.374745, 0.37318, 0.368975, 0.357219, 0.310683, 0.296115, 0.285173, 0.258695, 0.171989, 0.133513, 0.104361, 0.0839623, 0.0704163, 0.0534957, 0.0422052, 0.0333703, 0.0236039, 0.0151774, 0.0101509, 0.00601925, 0.00347253, 0.00198117, 0.000641645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201816");
-            index_3 ("0.504318, 0.504338, 0.646974, 0.988669, 1.10541, 1.18742, 1.23731, 1.42994, 1.55093, 1.63294, 1.70248, 1.77267, 1.85468, 1.97513, 2.04914, 2.13114, 2.20151, 2.36312, 2.52713, 2.69114, 2.93715, 3.18317");
-            values ( \
-              "1e-22, 0.43194, 0.394155, 0.324653, 0.297053, 0.270556, 0.252386, 0.177438, 0.134758, 0.109803, 0.0913745, 0.0752273, 0.0597103, 0.0423691, 0.0342026, 0.0268676, 0.0218007, 0.0133876, 0.00808284, 0.00485197, 0.00222889, 0.00103362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.820892, 0.825607, 0.833042, 0.83861, 0.84345, 0.850114, 0.85381, 0.857919, 0.867969, 0.876057, 0.887998, 0.899122, 0.903282, 0.910569, 0.932972, 0.953514, 0.982794, 1.00483");
-            values ( \
-              "0.018104, 0.0511837, 0.0792333, 0.0924834, 0.0980765, 0.0999711, 0.0992529, 0.0965646, 0.0842899, 0.0770762, 0.0469853, 0.0266524, 0.0237062, 0.0223661, 0.00921787, 0.00326039, 0.000588651, 0.00043614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812649");
-            index_3 ("0.820785, 0.827244, 0.83719, 0.842708, 0.846616, 0.851704, 0.862425, 0.867132, 0.882414, 0.887968, 0.893273, 0.911531, 0.929221, 0.933824, 0.963636, 0.977406, 0.99434, 1.03046");
-            values ( \
-              "0.00284095, 0.0878568, 0.142592, 0.158621, 0.164597, 0.168722, 0.169325, 0.166474, 0.146934, 0.141645, 0.129821, 0.0760969, 0.039761, 0.0352157, 0.0174183, 0.0108828, 0.00576931, 0.00158028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181412");
-            index_3 ("0.820888, 0.832894, 0.838144, 0.843824, 0.851546, 0.862927, 0.871261, 0.8844, 0.898132, 0.90683, 0.924699, 0.947983, 0.969723, 0.983853, 0.99995, 1.03252, 1.05642, 1.08141, 1.10133, 1.12976, 1.13933");
-            values ( \
-              "0.00024126, 0.162278, 0.197978, 0.222424, 0.239933, 0.25068, 0.251516, 0.245073, 0.231242, 0.221995, 0.196351, 0.134329, 0.089034, 0.0656621, 0.0455021, 0.0260969, 0.0161549, 0.0096301, 0.0056578, 0.00312001, 0.0025235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404976");
-            index_3 ("0.830586, 0.844121, 0.852848, 0.864213, 0.872332, 0.879951, 0.891236, 0.902656, 0.926235, 0.951202, 0.981055, 0.996925, 1.04638, 1.0767, 1.10021, 1.12082, 1.13692, 1.15932, 1.17917, 1.19992, 1.22604, 1.26794, 1.30171, 1.3435, 1.39352");
-            values ( \
-              "0.255218, 0.269033, 0.297962, 0.316786, 0.321947, 0.324347, 0.321638, 0.317196, 0.301912, 0.282786, 0.257585, 0.237544, 0.161055, 0.119541, 0.0925788, 0.0724251, 0.0593793, 0.0460129, 0.037105, 0.0295161, 0.02166, 0.013177, 0.00856073, 0.00494011, 0.00248304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0904051");
-            index_3 ("0.835111, 0.864858, 0.880339, 0.893778, 0.90881, 0.945909, 1.06753, 1.12215, 1.33874, 1.3991, 1.48086, 1.52672, 1.58009, 1.64029, 1.72991, 1.86629");
-            values ( \
-              "0.353788, 0.359065, 0.373358, 0.375143, 0.373757, 0.360899, 0.311166, 0.285593, 0.122989, 0.0880282, 0.0540019, 0.0412919, 0.0301403, 0.0208738, 0.0118614, 0.00521468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201816");
-            index_3 ("0.841186, 0.841206, 0.985521, 1.01962, 1.0821, 1.11603, 1.18388, 1.32638, 1.40227, 1.44312, 1.52482, 1.57502, 1.76768, 1.88871, 1.97071, 2.04007, 2.11031, 2.19231, 2.23254, 2.31299, 2.38694, 2.46895, 2.53922, 2.61869, 2.7007, 2.86471, 3.02872, 3.27473, 3.52075");
-            values ( \
-              "1e-22, 0.429543, 0.393396, 0.387186, 0.373616, 0.367666, 0.353228, 0.324618, 0.307567, 0.297031, 0.270672, 0.252379, 0.177431, 0.134744, 0.109777, 0.0913985, 0.0752478, 0.0597186, 0.0533161, 0.0423472, 0.0341966, 0.0268569, 0.0217997, 0.0171738, 0.0133921, 0.00808476, 0.00485223, 0.00223195, 0.00103136" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0.00977924, 0.00978104, 0.00978314, 0.00978478, 0.00978576, 0.00978626", \
-            "0.0117532, 0.0117537, 0.0117545, 0.0117555, 0.0117562, 0.0117567", \
-            "0.0130483, 0.0130482, 0.0130484, 0.0130488, 0.0130493, 0.0130496", \
-            "0.0139704, 0.0139702, 0.0139701, 0.01397, 0.0139702, 0.0139704", \
-            "0.0145499, 0.0145498, 0.0145496, 0.0145494, 0.0145493, 0.0145493", \
-            "0.014988, 0.014988, 0.0149879, 0.0149877, 0.0149875, 0.0149873" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0.0138606, 0.0138593, 0.0138588, 0.013859, 0.0138593, 0.0138596", \
-            "0.01466, 0.0146588, 0.0146575, 0.0146567, 0.0146564, 0.0146564", \
-            "0.0147572, 0.0147567, 0.0147557, 0.0147547, 0.0147539, 0.0147537", \
-            "0.0152608, 0.0152609, 0.0152607, 0.0152599, 0.015259, 0.0152584", \
-            "0.013025, 0.0130241, 0.0130231, 0.0130222, 0.0130212, 0.0130203", \
-            "0.012153, 0.0121645, 0.0122374, 0.0123457, 0.0123787, 0.0124007" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.111554, 0.118089, 0.121876, 0.127184, 0.136856, 0.142386, 0.147797, 0.153271, 0.158892, 0.163566, 0.173359, 0.181048, 0.186442, 0.198692, 0.204026, 0.222807, 0.252227, 0.256628");
-            values ( \
-              "-0.0147806, -0.0588293, -0.0762057, -0.0940685, -0.115956, -0.120493, -0.122084, -0.119501, -0.11071, -0.0964561, -0.0516502, -0.0289336, -0.0190531, -0.00499068, -0.00286684, -0.00369797, -0.00130027, -0.00115834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00812649");
-            index_3 ("0.113559, 0.118198, 0.122448, 0.132231, 0.136585, 0.144143, 0.151234, 0.158202, 0.165166, 0.16952, 0.172594, 0.178741, 0.192789, 0.20161, 0.210011, 0.21496, 0.222458, 0.231598, 0.239121, 0.261406, 0.296261");
-            values ( \
-              "-0.0397996, -0.086482, -0.119508, -0.169014, -0.184503, -0.20275, -0.209781, -0.210533, -0.205634, -0.19817, -0.190717, -0.1647, -0.0846233, -0.0514727, -0.03137, -0.0232233, -0.0140009, -0.00550546, -0.00276733, -0.00390601, -0.00237504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0181412");
-            index_3 ("0.115994, 0.127091, 0.140613, 0.146836, 0.157737, 0.168176, 0.178581, 0.188985, 0.196257, 0.200475, 0.208913, 0.229144, 0.238804, 0.25347, 0.264879, 0.275383, 0.289823, 0.301638, 0.320627, 0.366123, 0.394246");
-            values ( \
-              "-0.103238, -0.193969, -0.268075, -0.289161, -0.310241, -0.315625, -0.31242, -0.301766, -0.288147, -0.276321, -0.241421, -0.13442, -0.0967632, -0.0569207, -0.03706, -0.0245629, -0.0128632, -0.00637038, -0.00240522, -0.00295566, -0.00216198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0404976");
-            index_3 ("0.11791, 0.136064, 0.148669, 0.154144, 0.163048, 0.170242, 0.179834, 0.183553, 0.19099, 0.201507, 0.224256, 0.238811, 0.258053, 0.266186, 0.273861, 0.302455, 0.315777, 0.330655, 0.340342, 0.352984, 0.363427, 0.376515, 0.395205, 0.417726, 0.435581, 0.470374, 0.513345, 0.720661");
-            values ( \
-              "-0.162245, -0.294622, -0.355138, -0.372302, -0.391582, -0.400665, -0.406606, -0.407357, -0.407093, -0.403865, -0.389113, -0.373744, -0.34126, -0.320225, -0.29465, -0.192004, -0.152229, -0.116029, -0.0965952, -0.0755808, -0.0615269, -0.0473056, -0.0321929, -0.0198774, -0.0129737, -0.00481595, -0.00261382, -0.00049766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0904051");
-            index_3 ("0.123767, 0.166353, 0.180566, 0.201707, 0.219078, 0.236487, 0.271989, 0.308625, 0.346973, 0.367514, 0.388287, 0.429832, 0.469035, 0.513855, 0.541803, 0.573744, 0.61987, 0.658069, 0.681522, 0.717058, 0.76444, 0.826519, 0.888599, 0.919156");
-            values ( \
-              "-0.324067, -0.442384, -0.461686, -0.470067, -0.468633, -0.465087, -0.452487, -0.435998, -0.412652, -0.395774, -0.372345, -0.299422, -0.223405, -0.152812, -0.118855, -0.0881562, -0.0566219, -0.0387692, -0.0306602, -0.0212978, -0.0126155, -0.00603314, -0.00347728, -0.0031594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.201816");
-            index_3 ("0.129475, 0.16854, 0.182972, 0.194631, 0.205513, 0.225061, 0.249222, 0.313936, 0.453954, 0.56712, 0.626297, 0.674976, 0.737056, 0.850275, 0.938538, 1.01181, 1.09482, 1.15698, 1.20663, 1.30207, 1.36415, 1.48831, 1.61247, 1.73663, 1.77869");
-            values ( \
-              "-0.458719, -0.471562, -0.491395, -0.499111, -0.502962, -0.505006, -0.503792, -0.494339, -0.468018, -0.438529, -0.415959, -0.388813, -0.337552, -0.230244, -0.162638, -0.11969, -0.0832903, -0.0631082, -0.0505025, -0.0325383, -0.0241831, -0.0131852, -0.00712111, -0.0040105, -0.00366672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.130424, 0.13769, 0.145371, 0.149068, 0.155043, 0.160585, 0.165996, 0.171424, 0.177092, 0.181763, 0.191559, 0.199249, 0.204642, 0.216893, 0.222227, 0.233451, 0.241007, 0.270218");
-            values ( \
-              "-0.0258989, -0.0653998, -0.0939427, -0.103603, -0.115846, -0.120557, -0.121995, -0.119549, -0.110704, -0.0964656, -0.0516504, -0.0289319, -0.019052, -0.00499121, -0.00286623, -0.0037883, -0.00369881, -0.00131655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00812649");
-            index_3 ("0.13254, 0.143451, 0.154841, 0.162402, 0.169493, 0.176462, 0.183632, 0.190855, 0.197106, 0.211027, 0.220021, 0.228193, 0.240681, 0.249878, 0.257451, 0.279763, 0.30695");
-            values ( \
-              "-0.0664097, -0.136063, -0.184093, -0.203054, -0.209448, -0.210805, -0.205201, -0.190698, -0.164147, -0.0847307, -0.0510329, -0.0315163, -0.0140375, -0.00549962, -0.0027494, -0.00390249, -0.00271194" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0181412");
-            index_3 ("0.135712, 0.143523, 0.148847, 0.159929, 0.16504, 0.175971, 0.186395, 0.196801, 0.207439, 0.214465, 0.218695, 0.227157, 0.247273, 0.257121, 0.271692, 0.283067, 0.293583, 0.308085, 0.319956, 0.338978, 0.38449, 0.407867");
-            values ( \
-              "-0.153186, -0.180308, -0.217874, -0.272602, -0.288787, -0.310505, -0.315346, -0.312661, -0.301278, -0.288165, -0.276322, -0.241293, -0.134823, -0.0964331, -0.0569239, -0.0371108, -0.0245794, -0.0128321, -0.00633339, -0.00239709, -0.00294871, -0.00229148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0404976");
-            index_3 ("0.138611, 0.151967, 0.160472, 0.171291, 0.183619, 0.197333, 0.201739, 0.21055, 0.219682, 0.242403, 0.25698, 0.27622, 0.284364, 0.292034, 0.320612, 0.333943, 0.348833, 0.358517, 0.371155, 0.381589, 0.394679, 0.413371, 0.435897, 0.453758, 0.488553, 0.53153, 0.738856");
-            values ( \
-              "-0.241022, -0.279976, -0.328742, -0.369536, -0.395535, -0.406894, -0.407722, -0.407078, -0.403999, -0.389171, -0.373764, -0.341269, -0.320199, -0.294633, -0.19204, -0.152236, -0.116006, -0.0965825, -0.0755769, -0.0615335, -0.0473089, -0.0321943, -0.0198758, -0.0129707, -0.00481454, -0.00261361, -0.000497478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0904051");
-            index_3 ("0.143299, 0.164894, 0.176173, 0.184439, 0.198708, 0.209587, 0.219793, 0.237164, 0.254574, 0.290076, 0.322934, 0.34911, 0.365048, 0.396926, 0.406402, 0.425356, 0.478451, 0.508534, 0.527131, 0.557124, 0.591401, 0.619641, 0.640095, 0.681002, 0.727803, 0.765409, 0.827488, 0.889568, 0.951647, 1.19997");
-            values ( \
-              "-0.370888, -0.383204, -0.423967, -0.442812, -0.461319, -0.467545, -0.469719, -0.468958, -0.464774, -0.452221, -0.437654, -0.423253, -0.412617, -0.383951, -0.372406, -0.342368, -0.238838, -0.186885, -0.159117, -0.121756, -0.0882853, -0.0674433, -0.0553748, -0.0367882, -0.0228841, -0.015338, -0.00729042, -0.00397521, -0.00245201, -0.000663206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.201816");
-            index_3 ("0.149984, 0.205963, 0.223767, 0.243943, 0.268031, 0.332181, 0.472198, 0.585363, 0.644541, 0.69322, 0.755299, 0.872818, 0.957691, 1.02258, 1.08466, 1.12905, 1.20002, 1.2621, 1.34398, 1.4562, 1.51828, 1.70452, 1.78593");
-            values ( \
-              "-0.486217, -0.49493, -0.503207, -0.505041, -0.503792, -0.494375, -0.468047, -0.438557, -0.415984, -0.388794, -0.337571, -0.226532, -0.162017, -0.123564, -0.0945459, -0.0776007, -0.0563916, -0.042559, -0.0290122, -0.0169507, -0.0125799, -0.00503702, -0.00429143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.170926, 0.180312, 0.189333, 0.195319, 0.200865, 0.20628, 0.211712, 0.217381, 0.222029, 0.233542, 0.239543, 0.244936, 0.257185, 0.262519, 0.281301, 0.303713");
-            values ( \
-              "-0.0318976, -0.0758522, -0.103421, -0.115735, -0.120449, -0.121936, -0.11949, -0.110667, -0.0965526, -0.0454016, -0.0289249, -0.0190493, -0.00499189, -0.00286708, -0.00369973, -0.00245688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00812649");
-            index_3 ("0.172562, 0.183623, 0.190876, 0.195072, 0.202639, 0.209734, 0.216706, 0.223742, 0.231103, 0.237443, 0.251249, 0.258478, 0.267644, 0.275014, 0.281951, 0.289714, 0.295948, 0.32988, 0.348916");
-            values ( \
-              "-0.060134, -0.135381, -0.169718, -0.183965, -0.202847, -0.209403, -0.210675, -0.205349, -0.190665, -0.163652, -0.084861, -0.0566688, -0.0330824, -0.0211106, -0.0129678, -0.00579528, -0.00315045, -0.00367873, -0.00274303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0181412");
-            index_3 ("0.174691, 0.190038, 0.199241, 0.20537, 0.216277, 0.22672, 0.237127, 0.247767, 0.254777, 0.259023, 0.267517, 0.28748, 0.297579, 0.312024, 0.323355, 0.333886, 0.348469, 0.360415, 0.379481, 0.425007, 0.437707");
-            values ( \
-              "-0.112732, -0.222977, -0.268452, -0.288754, -0.310323, -0.315342, -0.312563, -0.301263, -0.288188, -0.276303, -0.241129, -0.135368, -0.0960019, -0.0569212, -0.0371712, -0.0246077, -0.0127971, -0.00627831, -0.0023931, -0.00294583, -0.00258855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0404976");
-            index_3 ("0.179207, 0.191854, 0.204311, 0.21472, 0.223853, 0.237953, 0.241982, 0.250039, 0.259927, 0.282687, 0.297226, 0.316468, 0.324598, 0.332274, 0.360871, 0.374192, 0.389067, 0.398755, 0.411398, 0.421842, 0.43493, 0.45362, 0.476139, 0.493994, 0.528784, 0.571751, 0.779051");
-            values ( \
-              "-0.257238, -0.277895, -0.344649, -0.378053, -0.395382, -0.406903, -0.407755, -0.407127, -0.404038, -0.38913, -0.373759, -0.341262, -0.320234, -0.294656, -0.191996, -0.152228, -0.116034, -0.0965979, -0.0755817, -0.0615256, -0.0473049, -0.0321926, -0.0198779, -0.0129744, -0.00481659, -0.00261393, -0.000497786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0904051");
-            index_3 ("0.181711, 0.197331, 0.205179, 0.216425, 0.224728, 0.23914, 0.249947, 0.260085, 0.277457, 0.294867, 0.330369, 0.364567, 0.400854, 0.429608, 0.446621, 0.480649, 0.522687, 0.571924, 0.619045, 0.644235, 0.674404, 0.714629, 0.739594, 0.779168, 0.831933, 0.894013, 0.956093, 0.98593");
-            values ( \
-              "-0.337802, -0.341039, -0.38273, -0.424141, -0.442464, -0.461679, -0.467312, -0.469915, -0.468752, -0.464953, -0.452364, -0.437111, -0.415859, -0.392023, -0.372417, -0.31406, -0.231708, -0.153046, -0.0996656, -0.0785665, -0.058761, -0.0393902, -0.0306812, -0.0204161, -0.0113302, -0.00542197, -0.0032713, -0.0029841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.201816");
-            index_3 ("0.18752, 0.227087, 0.241357, 0.253096, 0.264056, 0.283646, 0.307841, 0.372481, 0.512499, 0.563585, 0.625664, 0.684842, 0.73352, 0.7956, 0.91312, 1.01097, 1.06288, 1.12496, 1.16935, 1.24033, 1.30241, 1.38428, 1.49649, 1.55857, 1.68273, 1.80689, 1.82099");
-            values ( \
-              "-0.446678, -0.471779, -0.491191, -0.499178, -0.502886, -0.505082, -0.503699, -0.494259, -0.467943, -0.45608, -0.438455, -0.415887, -0.388891, -0.337474, -0.22661, -0.153695, -0.123628, -0.0944831, -0.0776572, -0.0564454, -0.0425023, -0.0290653, -0.0170035, -0.0125285, -0.00681959, -0.0038956, -0.00378626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.253868, 0.259623, 0.26726, 0.271292, 0.277349, 0.282946, 0.288399, 0.293845, 0.299312, 0.301069, 0.303076, 0.313984, 0.321548, 0.327704, 0.338494, 0.343922, 0.363369, 0.392833, 0.39685");
-            values ( \
-              "-0.0506646, -0.0633124, -0.0909941, -0.102088, -0.114384, -0.11965, -0.121092, -0.119051, -0.110838, -0.106906, -0.101035, -0.051803, -0.0293024, -0.0182391, -0.0057185, -0.00298335, -0.00375808, -0.0012616, -0.00113172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00812649");
-            index_3 ("0.253749, 0.264847, 0.272673, 0.277039, 0.284671, 0.291809, 0.298812, 0.305809, 0.309571, 0.313246, 0.320596, 0.333196, 0.341761, 0.350701, 0.355662, 0.363169, 0.372356, 0.379925, 0.402219, 0.438518");
-            values ( \
-              "-0.0492673, -0.128864, -0.166659, -0.182341, -0.201153, -0.208607, -0.209674, -0.205053, -0.198898, -0.190313, -0.157984, -0.0860179, -0.0531827, -0.0314197, -0.0232353, -0.0140073, -0.00547327, -0.00277072, -0.00391822, -0.00231837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0181412");
-            index_3 ("0.256194, 0.261484, 0.270772, 0.275039, 0.282301, 0.287408, 0.298367, 0.30884, 0.319267, 0.329693, 0.336727, 0.341184, 0.350099, 0.368154, 0.377124, 0.382241, 0.393561, 0.402468, 0.411969, 0.421306, 0.436872, 0.453828, 0.49956, 0.540259");
-            values ( \
-              "-0.109525, -0.137658, -0.212598, -0.23728, -0.270388, -0.287104, -0.309118, -0.31471, -0.312022, -0.301346, -0.288497, -0.276143, -0.238918, -0.1422, -0.105241, -0.0879333, -0.0582088, -0.0417498, -0.0289484, -0.0198266, -0.00902781, -0.00306414, -0.00310697, -0.00209521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0404976");
-            index_3 ("0.260115, 0.273627, 0.286457, 0.29521, 0.306073, 0.320357, 0.324241, 0.332009, 0.342201, 0.360194, 0.364918, 0.379511, 0.398753, 0.406894, 0.414567, 0.443149, 0.456478, 0.471365, 0.48105, 0.493688, 0.504125, 0.517215, 0.535906, 0.558431, 0.57629, 0.611088, 0.654067, 0.861421");
-            values ( \
-              "-0.21817, -0.272193, -0.342341, -0.372133, -0.394299, -0.406506, -0.4072, -0.406938, -0.403727, -0.392998, -0.389089, -0.373711, -0.341246, -0.320193, -0.294628, -0.192028, -0.152233, -0.116013, -0.0965859, -0.0755779, -0.0615316, -0.0473079, -0.032194, -0.0198766, -0.012972, -0.00481444, -0.00261339, -0.000497298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0904051");
-            index_3 ("0.264814, 0.285507, 0.297567, 0.306848, 0.321264, 0.336261, 0.342265, 0.354271, 0.37705, 0.424546, 0.449203, 0.486084, 0.508011, 0.52886, 0.570556, 0.609064, 0.658809, 0.685167, 0.717307, 0.751831, 0.77581, 0.809296, 0.849753, 0.903697, 0.965776, 1.07534");
-            values ( \
-              "-0.351858, -0.372249, -0.419195, -0.441321, -0.460742, -0.468497, -0.469538, -0.46939, -0.464832, -0.447248, -0.435777, -0.413693, -0.395833, -0.372354, -0.299115, -0.224383, -0.147021, -0.115858, -0.0857006, -0.0615713, -0.0487131, -0.0348929, -0.0231254, -0.0127758, -0.00606852, -0.00267078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.201816");
-            index_3 ("0.271016, 0.335531, 0.346398, 0.365931, 0.39034, 0.454831, 0.594849, 0.708014, 0.767192, 0.815871, 0.87795, 0.995466, 1.08034, 1.14524, 1.20732, 1.25169, 1.32266, 1.38473, 1.46664, 1.57887, 1.64095, 1.82719, 1.9006");
-            values ( \
-              "-0.459548, -0.498769, -0.502971, -0.504798, -0.503857, -0.494437, -0.4681, -0.438606, -0.416026, -0.388753, -0.33761, -0.226518, -0.162004, -0.123565, -0.0945411, -0.0776118, -0.0564071, -0.0425501, -0.029026, -0.0169641, -0.0125633, -0.00505282, -0.00437483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.412709, 0.41864, 0.422501, 0.430126, 0.435942, 0.440573, 0.444916, 0.448852, 0.451706, 0.457166, 0.460538, 0.4629, 0.467625, 0.47742, 0.485117, 0.49053, 0.502764, 0.508115, 0.519393, 0.526942, 0.557589");
-            values ( \
-              "-0.00313427, -0.0410659, -0.0608045, -0.0884082, -0.104128, -0.112839, -0.117754, -0.119834, -0.120318, -0.118672, -0.114471, -0.110055, -0.0959746, -0.0514965, -0.0289006, -0.0190193, -0.0049517, -0.00290949, -0.00383765, -0.00369379, -0.00130862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00812649");
-            index_3 ("0.417422, 0.431422, 0.435711, 0.440412, 0.448114, 0.455291, 0.462319, 0.469342, 0.470853, 0.475534, 0.47925, 0.484206, 0.496601, 0.505389, 0.509932, 0.514055, 0.519058, 0.526591, 0.535908, 0.543603, 0.569457, 0.598547");
-            values ( \
-              "-0.0670097, -0.143198, -0.16323, -0.180152, -0.199881, -0.20754, -0.209275, -0.204653, -0.202537, -0.193471, -0.181935, -0.157114, -0.0862499, -0.0527111, -0.0403974, -0.031642, -0.0233471, -0.0140469, -0.00541297, -0.00274448, -0.00362447, -0.00223628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0181412");
-            index_3 ("0.419683, 0.425007, 0.429759, 0.43827, 0.444567, 0.450748, 0.461752, 0.472243, 0.482673, 0.493101, 0.499864, 0.504572, 0.513987, 0.529059, 0.541516, 0.550151, 0.562115, 0.569283, 0.579809, 0.59458, 0.606706, 0.626536, 0.672368, 0.691716");
-            values ( \
-              "-0.137458, -0.138352, -0.17992, -0.234298, -0.264004, -0.285489, -0.308281, -0.314475, -0.312084, -0.301619, -0.289431, -0.276468, -0.236537, -0.154105, -0.101626, -0.0747497, -0.0491504, -0.0372964, -0.0246611, -0.0126543, -0.00611294, -0.00239795, -0.00291637, -0.00237226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0404976");
-            index_3 ("0.424798, 0.438006, 0.449762, 0.461031, 0.469436, 0.484159, 0.494491, 0.505541, 0.528135, 0.542772, 0.556315, 0.570721, 0.601393, 0.626818, 0.655644, 0.688781, 0.717819, 0.738981, 0.773445, 0.830466");
-            values ( \
-              "-0.275407, -0.276538, -0.340324, -0.377778, -0.393788, -0.406975, -0.407611, -0.404341, -0.390035, -0.374623, -0.354039, -0.318365, -0.208713, -0.134018, -0.0774862, -0.039837, -0.0216333, -0.013124, -0.00489442, -0.00388861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0904051");
-            index_3 ("0.427389, 0.450597, 0.462193, 0.470291, 0.484732, 0.495563, 0.505675, 0.523033, 0.540392, 0.55831, 0.593527, 0.612499, 0.649492, 0.669788, 0.69216, 0.734489, 0.770089, 0.80034, 0.823723, 0.856247, 0.88297, 0.90568, 0.931681, 0.968801, 1.00975, 1.04157, 1.10365, 1.16573, 1.22781, 1.26246");
-            values ( \
-              "-0.323462, -0.378187, -0.422047, -0.441042, -0.461165, -0.467624, -0.470303, -0.469769, -0.465963, -0.460067, -0.444671, -0.435587, -0.413654, -0.397229, -0.372349, -0.297883, -0.228517, -0.177817, -0.144988, -0.107864, -0.083892, -0.0675256, -0.0524174, -0.0362363, -0.0239495, -0.0170885, -0.00826179, -0.00425805, -0.00268258, -0.00241234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.201816");
-            index_3 ("0.433162, 0.468839, 0.493555, 0.509498, 0.529358, 0.560501, 0.655181, 0.766303, 0.871008, 0.930175, 0.978861, 1.04094, 1.15846, 1.24766, 1.30822, 1.3703, 1.41469, 1.48568, 1.54776, 1.62961, 1.74181, 1.80389, 1.92805, 2.05221, 2.09496");
-            values ( \
-              "-0.460063, -0.462453, -0.496464, -0.50359, -0.506274, -0.503878, -0.487798, -0.466064, -0.438422, -0.415869, -0.388904, -0.337459, -0.226617, -0.159293, -0.123638, -0.0944775, -0.0776639, -0.0564493, -0.0424926, -0.0290736, -0.0170128, -0.0125229, -0.00681296, -0.0038885, -0.00355706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.74768, 0.760047, 0.771062, 0.777566, 0.783464, 0.789147, 0.794782, 0.800414, 0.801865, 0.803522, 0.806837, 0.816176, 0.820635, 0.823415, 0.828492, 0.839256, 0.843258, 0.847919, 0.86328, 0.891733, 0.922234");
-            values ( \
-              "-0.0114331, -0.0610634, -0.093971, -0.107559, -0.114338, -0.116805, -0.115956, -0.108547, -0.105711, -0.101586, -0.0888061, -0.0478417, -0.0344347, -0.027905, -0.0188908, -0.00611301, -0.00368405, -0.0029418, -0.00388971, -0.00137033, -0.00020965" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00812649");
-            index_3 ("0.752944, 0.759392, 0.764106, 0.773397, 0.777182, 0.785217, 0.792615, 0.79981, 0.806996, 0.814511, 0.816371, 0.822382, 0.835253, 0.841298, 0.847086, 0.855333, 0.867127, 0.872663, 0.876655, 0.884638, 0.906848, 0.941777");
-            values ( \
-              "-0.0852353, -0.0871792, -0.114507, -0.157539, -0.171403, -0.192818, -0.202353, -0.20498, -0.201631, -0.187967, -0.18254, -0.154278, -0.0823411, -0.0588589, -0.0420604, -0.0257761, -0.0111458, -0.00626146, -0.00410255, -0.00268771, -0.0039263, -0.00181675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0181412");
-            index_3 ("0.754155, 0.762409, 0.769967, 0.77498, 0.782896, 0.788004, 0.798219, 0.801397, 0.805634, 0.809936, 0.820492, 0.824399, 0.831235, 0.842598, 0.845946, 0.850378, 0.866495, 0.873429, 0.881171, 0.886561, 0.895676, 0.90702, 0.917563, 0.932164, 0.944125, 0.962762, 1.00679, 1.08216");
-            values ( \
-              "-0.102942, -0.13605, -0.191654, -0.221029, -0.25889, -0.276564, -0.300591, -0.304416, -0.307714, -0.309786, -0.308555, -0.306029, -0.298737, -0.274911, -0.263649, -0.243922, -0.156782, -0.125072, -0.0960257, -0.07931, -0.0568511, -0.0371254, -0.0245861, -0.0127742, -0.00625463, -0.0024539, -0.00298716, -0.000826352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0404976");
-            index_3 ("0.758782, 0.771936, 0.780239, 0.786971, 0.798629, 0.80709, 0.821634, 0.833178, 0.84354, 0.866012, 0.880964, 0.893871, 0.901368, 0.916364, 0.940825, 0.964592, 0.975366, 0.989732, 1.00183, 1.02102, 1.04657, 1.06246, 1.09424, 1.12958, 1.22295");
-            values ( \
-              "-0.207625, -0.241231, -0.29594, -0.329626, -0.370414, -0.387735, -0.402565, -0.404195, -0.401708, -0.388531, -0.373333, -0.354011, -0.338764, -0.293582, -0.204692, -0.135125, -0.110664, -0.0839846, -0.0662908, -0.0451185, -0.0265575, -0.0187423, -0.00816975, -0.00325805, -0.00210631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0904051");
-            index_3 ("0.764229, 0.787587, 0.796709, 0.807743, 0.822041, 0.833178, 0.843474, 0.860961, 0.878366, 0.906482, 0.950504, 0.987404, 1.00839, 1.03007, 1.07239, 1.10786, 1.15545, 1.19414, 1.21591, 1.26044, 1.29361, 1.33811, 1.36749, 1.42625, 1.48833, 1.55041, 1.55737");
-            values ( \
-              "-0.330965, -0.366118, -0.404296, -0.434335, -0.456021, -0.463845, -0.467202, -0.467534, -0.464194, -0.455084, -0.436197, -0.41411, -0.397079, -0.372744, -0.298058, -0.228796, -0.153098, -0.107826, -0.0879159, -0.0575773, -0.0415007, -0.0265467, -0.0195511, -0.00998435, -0.00491267, -0.00301437, -0.00295253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.201816");
-            index_3 ("0.771093, 0.811284, 0.82733, 0.842955, 0.856414, 0.874358, 0.919752, 0.993248, 1.06876, 1.14679, 1.2284, 1.28472, 1.31666, 1.37874, 1.49603, 1.58539, 1.64636, 1.70844, 1.75225, 1.82217, 1.88425, 1.9679, 2.08141, 2.14349, 2.26765, 2.39181, 2.40915");
-            values ( \
-              "-0.464452, -0.466385, -0.488782, -0.498982, -0.50262, -0.504095, -0.50027, -0.488419, -0.474241, -0.456702, -0.432285, -0.407759, -0.388806, -0.33741, -0.226766, -0.159286, -0.12343, -0.0942965, -0.0777301, -0.0567778, -0.0427306, -0.0290038, -0.0168623, -0.0124005, -0.00674752, -0.00385275, -0.00371946" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00903717", \
-            "0.0103336", \
-            "0.0111061", \
-            "0.011596", \
-            "0.0118684", \
-            "0.0120169" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0122122", \
-            "0.0135669", \
-            "0.0148357", \
-            "0.0161797", \
-            "0.0165636", \
-            "0.0143782" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0.0166619, 0.0166472, 0.0164978, 0.0160085, 0.0148327, 0.0121639", \
-            "0.016542, 0.0165254, 0.0163761, 0.0158865, 0.0147106, 0.012034", \
-            "0.016288, 0.0162726, 0.0161246, 0.0156346, 0.0144578, 0.0117906", \
-            "0.016129, 0.0161138, 0.0159669, 0.0154784, 0.0143008, 0.0116254", \
-            "0.0163096, 0.0163006, 0.0161479, 0.0156487, 0.0144562, 0.0117892", \
-            "0.0174772, 0.017468, 0.0173621, 0.0168654, 0.0157, 0.0130727" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00812649, 0.0181412, 0.0404976, 0.0904051, 0.201816");
-          values ( \
-            "0.014925, 0.0149449, 0.0148384, 0.0144323, 0.0134245, 0.0111665", \
-            "0.014728, 0.0147469, 0.0146398, 0.0142339, 0.0132395, 0.0109737", \
-            "0.0143977, 0.0144154, 0.0143091, 0.0139053, 0.0129139, 0.0106527", \
-            "0.0141979, 0.0142185, 0.0141153, 0.0137119, 0.0127087, 0.0104562", \
-            "0.0147034, 0.0147418, 0.0146157, 0.0141831, 0.0131846, 0.0109123", \
-            "0.016996, 0.0169703, 0.0168609, 0.0165353, 0.0154791, 0.0131291" \
-          );
-        }
-      }
-    }
-    pin (CK) {
-      clock : true;
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0153449;
-      rise_capacitance : 0.0153449;
-      rise_capacitance_range (0.0119534, 0.0153449);
-      fall_capacitance : 0.0151224;
-      fall_capacitance_range (0.0116818, 0.0151224);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "D";
-        timing_type : min_pulse_width;
-        when : "D";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.0733185, 0.134277, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.162573, 0.18014, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "~D";
-        timing_type : min_pulse_width;
-        when : "!D";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.116348, 0.134277, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.0876617, 0.134277, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00903717, 0.0103336, 0.0111061, 0.011596, 0.0118684, 0.0120169" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0122122, 0.0135669, 0.0148357, 0.0161797, 0.0165636, 0.0143782" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00903717, 0.0103336, 0.0111061, 0.011596, 0.0118684, 0.0120169" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0122122, 0.0135669, 0.0148357, 0.0161797, 0.0165636, 0.0143782" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00929362, 0.0107936, 0.0117015, 0.0123062, 0.0127025, 0.0130374" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0129909, 0.0136763, 0.0139438, 0.0149533, 0.0153057, 0.0148704" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0100038, 0.0112727, 0.0119677, 0.0123783, 0.0125915, 0.0127095" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0121108, 0.0124843, 0.0128697, 0.0135682, 0.0145571, 0.0156698" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0101756, 0.0116281, 0.0124825, 0.0129975, 0.0132693, 0.0134235" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0130368, 0.0137725, 0.0142858, 0.0151801, 0.0162876, 0.0175017" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0101756, 0.0116281, 0.0124825, 0.0129975, 0.0132693, 0.0134235" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0130368, 0.0137725, 0.0142858, 0.0151801, 0.0162876, 0.0175017" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0103231, 0.0127771, 0.0144617, 0.0156424, 0.0163887, 0.016929" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0146973, 0.0155368, 0.015386, 0.0159742, 0.015833, 0.0149956" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00900505, 0.0103012, 0.0111091, 0.0116375, 0.0119398, 0.0121064" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0123508, 0.0139298, 0.0152632, 0.0164551, 0.0176086, 0.0188668" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0014403, -0.00162745, -0.00182404, -0.00192543, -0.00151305, 0.000939643" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0203273, 0.0201544, 0.0201353, 0.0204504, 0.0216526, 0.024993" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0434436, 0.0432339, 0.042921, 0.0427835, 0.0434912, 0.0462824" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0439531, 0.0435729, 0.0433177, 0.0436115, 0.0456904, 0.0519237" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00177254, -0.00197119, -0.00218712, -0.00226347, -0.00177961, 0.000704097" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0232985, 0.0231562, 0.0230549, 0.0233597, 0.0244392, 0.0275416" \
-          );
-        }
-      }
-    }
-    pin (D) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00556728;
-      rise_capacitance : 0.00556728;
-      rise_capacitance_range (0.00446641, 0.00556728);
-      fall_capacitance : 0.00553305;
-      fall_capacitance_range (0.00393906, 0.00553305);
-      timing () {
-        related_pin : "CK";
-        timing_type : hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.034761, -0.0209275, -0.0414655", \
-            "-0.0558078, -0.0383602, -0.054507", \
-            "-0.0947557, -0.0681263, -0.0534903" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0935572, -0.124804, -0.294361", \
-            "-0.123625, -0.156774, -0.324263", \
-            "-0.271693, -0.298276, -0.464501" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.106408, 0.0885459, 0.15895", \
-            "0.125436, 0.107499, 0.177977", \
-            "0.166378, 0.148442, 0.206927" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.113515, 0.1361, 0.311922", \
-            "0.14149, 0.165199, 0.339156", \
-            "0.300564, 0.3143, 0.478984" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "CK";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331777, 0.00431741, 0.00497808, 0.00532604, 0.00549204, 0.00556972" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516589, 0.00538682, 0.00508071, 0.00484485, 0.00472392, 0.004678" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00319084, 0.00375631, 0.0040645, 0.00422892, 0.00431303, 0.00435759" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00431061, 0.00474366, 0.00513563, 0.00547831, 0.00565176, 0.00571986" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!CK";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00336259, 0.00440834, 0.00510426, 0.00549262, 0.00575429, 0.00593438" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00550159, 0.00581828, 0.00559553, 0.00558201, 0.00595521, 0.00573884" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00320292, 0.00380639, 0.00416708, 0.00437384, 0.00447867, 0.00453662" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00452753, 0.0049776, 0.00513786, 0.00541596, 0.00591672, 0.00655705" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00459144, -0.00482774, -0.0049872, -0.00507413, -0.00510198, -0.00511425" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00511962, 0.00511778, 0.00512874, 0.0051551, 0.00513498, 0.00512428" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * Q * !QN) + (!CK * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * Q * !QN) + (!CK * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0156017, 0.0154305, 0.0152097, 0.0150738, 0.015239, 0.0162218" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0300774, 0.0299546, 0.0297967, 0.0296729, 0.0297963, 0.030831" \
-          );
-        }
-      }
-    }
-    ff (IQ,IQN) {
-      clocked_on : "CK";
-      next_state : "D";
-      power_down_function : "(!VDD) + (GND)";
-    }
-  }
-  cell (DFFXL) {
-    area : 48.3516;
-    cell_footprint : "DFF";
-    cell_leakage_power : 0.764741;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.657714;
-      when : "(CK * D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.00402;
-      when : "(CK * D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.923121;
-      when : "(CK * !D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(CK * !D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.997431;
-      when : "(CK * !D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.572501;
-      when : "(!CK * D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.39605;
-      when : "(!CK * D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * !Q * QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.735767;
-      when : "(!CK * !D * !Q * QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!CK * !D * Q * !QN)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.831316;
-      when : "(!CK * !D * Q * !QN)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.764741;
-      related_pg_pin : VDD;
-    }
-    pin (Q) {
-      direction : output;
-      function : "IQ";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.140891;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.182425, 0.21364, 0.275701, 0.401946, 0.66303, 1.20489", \
-            "0.186629, 0.21789, 0.279829, 0.406268, 0.667512, 1.20936", \
-            "0.196043, 0.227273, 0.289365, 0.415639, 0.676501, 1.21862", \
-            "0.210039, 0.241366, 0.30342, 0.429757, 0.691057, 1.23299", \
-            "0.222804, 0.253993, 0.31603, 0.442277, 0.703906, 1.24562", \
-            "0.227382, 0.258777, 0.321001, 0.447224, 0.707977, 1.24969" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0337993, 0.0548254, 0.0988279, 0.190618, 0.381605, 0.777942", \
-            "0.0338366, 0.0548337, 0.0989417, 0.190769, 0.381789, 0.777911", \
-            "0.0338013, 0.0548265, 0.0988534, 0.190801, 0.381688, 0.777931", \
-            "0.0338509, 0.0548429, 0.0988362, 0.190774, 0.38179, 0.778052", \
-            "0.0337735, 0.0549571, 0.0988253, 0.190802, 0.381627, 0.777835", \
-            "0.0339046, 0.0548869, 0.098784, 0.190482, 0.381603, 0.777856" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.247761, 0.27921, 0.342298, 0.471553, 0.738234, 1.29166", \
-            "0.25243, 0.283883, 0.346993, 0.476238, 0.742742, 1.29654", \
-            "0.261685, 0.293139, 0.356256, 0.485477, 0.75206, 1.30554", \
-            "0.275555, 0.307019, 0.370155, 0.499351, 0.766077, 1.31955", \
-            "0.289455, 0.320947, 0.384215, 0.513428, 0.779945, 1.33347", \
-            "0.293573, 0.325032, 0.388151, 0.517345, 0.784075, 1.33822" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0275427, 0.0442504, 0.0790401, 0.151212, 0.301363, 0.611966", \
-            "0.0275343, 0.0442447, 0.0790268, 0.151202, 0.301237, 0.611881", \
-            "0.0275335, 0.0442448, 0.0790234, 0.151214, 0.301282, 0.611868", \
-            "0.027532, 0.0442452, 0.0790094, 0.15123, 0.301351, 0.611912", \
-            "0.0275958, 0.0442456, 0.07901, 0.151187, 0.301205, 0.611866", \
-            "0.0275355, 0.0442377, 0.0789988, 0.151194, 0.301199, 0.611558" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.157107, 0.16275, 0.175326, 0.183897, 0.188953, 0.193932, 0.199052, 0.217007, 0.22535, 0.236663, 0.246329, 0.252396, 0.262614, 0.276239, 0.277731");
-            values ( \
-              "0.00924553, 0.0330812, 0.103014, 0.127229, 0.131898, 0.131644, 0.124114, 0.0563207, 0.0358561, 0.0187911, 0.0106183, 0.00743007, 0.00406834, 0.00179326, 0.00173625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0075629");
-            index_3 ("0.0599317, 0.092735, 0.109573, 0.117873, 0.137933, 0.157725, 0.169789, 0.194035, 0.208281, 0.212338, 0.217794, 0.225877, 0.233974, 0.258927, 0.271739, 0.283016, 0.29807, 0.314162, 0.331493");
-            values ( \
-              "0.00120344, 0.000897012, 0.00550953, 0.00584061, 0.000992326, 1e-22, 0.0107923, 0.125484, 0.16235, 0.166619, 0.169091, 0.167529, 0.157513, 0.0856068, 0.0563885, 0.0380177, 0.0217153, 0.0116458, 0.00589379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0157122");
-            index_3 ("0.11958, 0.189588, 0.198587, 0.22331, 0.235699, 0.242344, 0.255632, 0.258014, 0.262778, 0.272686, 0.287288, 0.301918, 0.310941, 0.31955, 0.336769, 0.350397, 0.359925, 0.372015, 0.381057, 0.390495, 0.403051, 0.406803, 0.414306, 0.429311, 0.442012, 0.459119, 0.481928, 0.527218, 0.580031");
-            values ( \
-              "0.00406947, 0.0137963, 0.0366821, 0.123803, 0.157276, 0.170536, 0.187659, 0.189577, 0.192461, 0.195092, 0.191968, 0.180042, 0.166868, 0.151354, 0.118217, 0.0948772, 0.0801358, 0.0638307, 0.0534452, 0.0441174, 0.0338632, 0.0312741, 0.0265831, 0.0190811, 0.0143408, 0.00973095, 0.00572311, 0.00179686, 0.000444404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0326427");
-            index_3 ("0.130069, 0.236816, 0.256876, 0.289469, 0.314872, 0.326647, 0.350195, 0.370182, 0.385169, 0.413301, 0.43264, 0.442889, 0.463387, 0.530484, 0.574447, 0.613617, 0.653635, 0.675007, 0.70519, 0.736607, 0.760677, 0.808816, 0.86429");
-            values ( \
-              "0.00991095, 0.0255926, 0.0610711, 0.129245, 0.169924, 0.183341, 0.201171, 0.208192, 0.209736, 0.205926, 0.198018, 0.19183, 0.175291, 0.107783, 0.0710163, 0.0470071, 0.0299939, 0.0234057, 0.0163513, 0.0112314, 0.0083863, 0.00459087, 0.00259748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0678163");
-            index_3 ("0.137087, 0.192453, 0.239252, 0.272188, 0.316391, 0.358972, 0.425994, 0.474342, 0.500843, 0.561404, 0.576525, 0.606766, 0.618129, 0.640856, 0.674639, 0.731465, 0.767146, 0.799917, 0.916102, 0.998456, 1.06805, 1.11497, 1.15669, 1.21232, 1.26021, 1.32449, 1.41021, 1.50822, 1.58037");
-            values ( \
-              "0.0671386, 0.0210721, 0.00992949, 0.00758806, 0.0221973, 0.0585763, 0.132131, 0.173721, 0.190224, 0.212174, 0.214592, 0.217426, 0.217438, 0.216854, 0.213004, 0.199598, 0.185308, 0.169499, 0.109194, 0.0735087, 0.0507867, 0.0390663, 0.0307541, 0.0221358, 0.0166226, 0.0112605, 0.00658373, 0.00353936, 0.00232106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.140891");
-            index_3 ("0.171954, 0.508507, 0.561491, 0.686394, 0.755928, 0.848641, 0.889784, 0.940469, 0.985427, 1.07534, 1.10126, 1.1531, 1.2168, 1.3148, 1.33772, 1.38355, 1.47229, 1.69485, 1.76757, 1.86558, 1.92875, 2.03396, 2.11881, 2.17592, 2.25207, 2.35008, 2.42581, 2.58277, 2.68078, 2.87679, 2.9748, 3.17081, 3.46483");
-            values ( \
-              "0.0264, 0.0295512, 0.0526989, 0.121424, 0.155883, 0.189877, 0.200364, 0.209964, 0.215732, 0.221164, 0.221373, 0.220386, 0.216739, 0.20572, 0.201975, 0.193348, 0.172837, 0.115072, 0.0978082, 0.077174, 0.0657118, 0.0496684, 0.0392995, 0.0334622, 0.0268646, 0.0201921, 0.0161144, 0.0101007, 0.00747774, 0.0041042, 0.0030671, 0.00168636, 0.000658207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.183246, 0.191704, 0.193149, 0.194051, 0.195855, 0.196757, 0.19812, 0.199483, 0.200846, 0.202208, 0.203476, 0.204743, 0.20601, 0.207277, 0.208524, 0.20977, 0.211016, 0.212262, 0.213507, 0.214752, 0.215997, 0.217385, 0.217814, 0.218528, 0.219386, 0.221108, 0.22386, 0.226142, 0.228012, 0.232097, 0.232835, 0.235049, 0.236457, 0.237866, 0.239274, 0.240683, 0.243783, 0.245064, 0.245491, 0.247199, 0.248908, 0.250077, 0.252416, 0.254655, 0.257151, 0.257865, 0.260717, 0.26357, 0.267398, 0.271084");
-            values ( \
-              "0.0911649, 0.0947552, 0.101644, 0.105465, 0.112325, 0.115366, 0.119464, 0.12297, 0.125881, 0.128199, 0.129059, 0.129729, 0.130209, 0.130498, 0.130596, 0.13051, 0.13024, 0.129786, 0.128712, 0.127407, 0.125871, 0.123884, 0.122923, 0.120873, 0.118116, 0.111758, 0.099894, 0.0897193, 0.0819505, 0.0661811, 0.0637394, 0.0568429, 0.0528164, 0.0490325, 0.0454913, 0.0421927, 0.0355433, 0.0329484, 0.0321198, 0.0292634, 0.026606, 0.0249694, 0.0218402, 0.0190239, 0.0163094, 0.0156151, 0.0132927, 0.0112573, 0.00902462, 0.00709272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0075629");
-            index_3 ("0.07821, 0.109423, 0.124903, 0.133045, 0.137868, 0.154816, 0.163915, 0.174449, 0.186219, 0.192344, 0.212439, 0.218876, 0.22763, 0.235797, 0.243885, 0.251968, 0.274386, 0.283456, 0.297201, 0.309256, 0.315458, 0.331069, 0.347811, 0.357747");
-            values ( \
-              "0.00120218, 0.000783834, 0.00500411, 0.00585819, 0.00569713, 0.00142435, 1e-22, 2e-22, 0.00647655, 0.028767, 0.12687, 0.147092, 0.163998, 0.169362, 0.167623, 0.157612, 0.0924636, 0.0695806, 0.0435779, 0.0280569, 0.0222303, 0.0121553, 0.00631275, 0.00439934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0157122");
-            index_3 ("0.140042, 0.20185, 0.218756, 0.224748, 0.236672, 0.249939, 0.26024, 0.275988, 0.290697, 0.305318, 0.320527, 0.328819, 0.337555, 0.355028, 0.367919, 0.377623, 0.389907, 0.399275, 0.411766, 0.421758, 0.429738, 0.440379, 0.457643, 0.465565, 0.477088, 0.492452, 0.52318, 0.573613, 0.634741");
-            values ( \
-              "0.0149638, 0.00402981, 0.0429326, 0.0631439, 0.107814, 0.147946, 0.169664, 0.189613, 0.195013, 0.192157, 0.179261, 0.167112, 0.151353, 0.117757, 0.0956658, 0.0805843, 0.0639653, 0.0532124, 0.0412019, 0.0333616, 0.0281102, 0.0222815, 0.0151111, 0.0126461, 0.00973975, 0.00684957, 0.00327343, 0.000871806, 0.000147109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0326427");
-            index_3 ("0.147698, 0.257085, 0.274761, 0.307691, 0.330127, 0.344831, 0.37518, 0.403504, 0.431761, 0.460011, 0.478233, 0.554596, 0.594509, 0.628654, 0.652631, 0.674371, 0.703358, 0.72661, 0.752215, 0.786356, 0.854636, 0.893102");
-            values ( \
-              "0.00718945, 0.0285655, 0.0604342, 0.129218, 0.166018, 0.183238, 0.204533, 0.210063, 0.206101, 0.192892, 0.178483, 0.102423, 0.0698148, 0.0487311, 0.0373429, 0.0291664, 0.0207745, 0.0157567, 0.0115951, 0.00764049, 0.00315183, 0.00220915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0678163");
-            index_3 ("0.164914, 0.349867, 0.37536, 0.444236, 0.487795, 0.519001, 0.57963, 0.636465, 0.693106, 0.749739, 0.787136, 0.818285, 0.940163, 1.01806, 1.08487, 1.13265, 1.17629, 1.23449, 1.27976, 1.32974, 1.39638, 1.49438, 1.59239, 1.59946");
-            values ( \
-              "0.0164448, 0.0328679, 0.0566907, 0.132133, 0.17044, 0.190131, 0.21227, 0.217901, 0.213395, 0.199704, 0.18467, 0.169541, 0.106437, 0.0730408, 0.0512138, 0.0392098, 0.0305258, 0.0216303, 0.0164966, 0.0121965, 0.00807896, 0.00434661, 0.00232057, 0.0022606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.140891");
-            index_3 ("0.190406, 0.547176, 0.728341, 0.824234, 0.881391, 0.979397, 1.05553, 1.12043, 1.21843, 1.23588, 1.27079, 1.35681, 1.45416, 1.68596, 1.83606, 2.01458, 2.11258, 2.20903, 2.27535, 2.44629, 2.59513, 2.69313, 2.98715, 3.00151");
-            values ( \
-              "0.0195741, 0.0372011, 0.133522, 0.175744, 0.193614, 0.212998, 0.219797, 0.221415, 0.21811, 0.216833, 0.213676, 0.201981, 0.181902, 0.122066, 0.0869563, 0.0551002, 0.042124, 0.0321149, 0.0265483, 0.0160607, 0.0102849, 0.00765091, 0.00310927, 0.00303851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.222977, 0.230466, 0.232641, 0.233667, 0.234693, 0.235719, 0.236745, 0.236935, 0.237314, 0.238746, 0.239421, 0.240157, 0.240892, 0.241628, 0.242363, 0.243628, 0.244894, 0.246159, 0.247424, 0.24867, 0.249915, 0.251161, 0.252407, 0.253652, 0.254896, 0.256141, 0.257386, 0.257819, 0.258684, 0.25955, 0.26128, 0.261857, 0.267015, 0.268996, 0.272321, 0.273877, 0.275433, 0.278217, 0.279609, 0.281002, 0.285395, 0.288485, 0.291029, 0.29515, 0.297514, 0.298302, 0.299879, 0.303031, 0.305734, 0.310861");
-            values ( \
-              "0.0872131, 0.0878712, 0.0981024, 0.102358, 0.106246, 0.109767, 0.11292, 0.113877, 0.115153, 0.119661, 0.121739, 0.123697, 0.125428, 0.126931, 0.128207, 0.129072, 0.129745, 0.130227, 0.130517, 0.130615, 0.130527, 0.130253, 0.129793, 0.128856, 0.127616, 0.126074, 0.12423, 0.123331, 0.120815, 0.117992, 0.111653, 0.109335, 0.0866483, 0.0785669, 0.0658797, 0.0608651, 0.0561555, 0.0485013, 0.0450316, 0.0418002, 0.032564, 0.0274593, 0.0238663, 0.0185544, 0.0160956, 0.0153493, 0.0140577, 0.0117317, 0.0100485, 0.0073288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0075629");
-            index_3 ("0.120461, 0.151018, 0.165725, 0.173735, 0.181127, 0.196142, 0.205343, 0.215913, 0.227988, 0.233751, 0.252428, 0.259182, 0.266552, 0.270655, 0.27608, 0.284162, 0.29226, 0.321622, 0.336393, 0.348906, 0.354576, 0.366521, 0.375083, 0.387749, 0.391912");
-            values ( \
-              "0.00121259, 0.0010006, 0.0050687, 0.0058426, 0.00510733, 0.00103414, 1e-22, 2e-22, 0.0105261, 0.0337834, 0.12594, 0.146641, 0.162359, 0.16666, 0.169065, 0.167544, 0.157495, 0.0745399, 0.0453018, 0.0287123, 0.0232333, 0.0146874, 0.0105293, 0.00639995, 0.00561507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0157122");
-            index_3 ("0.178308, 0.240646, 0.25048, 0.281913, 0.300955, 0.316662, 0.331349, 0.345959, 0.360564, 0.418428, 0.439779, 0.465433, 0.487799, 0.517837, 0.552778");
-            values ( \
-              "0.015573, 0.0025887, 0.0184616, 0.123664, 0.170532, 0.189764, 0.195396, 0.192076, 0.180151, 0.0803293, 0.0533485, 0.0312751, 0.0191431, 0.00971103, 0.00565487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0326427");
-            index_3 ("0.189657, 0.221069, 0.247897, 0.266137, 0.287773, 0.314208, 0.339731, 0.364118, 0.385057, 0.415383, 0.443742, 0.472003, 0.50147, 0.518452, 0.594752, 0.634735, 0.668923, 0.692886, 0.714597, 0.743544, 0.766836, 0.792479, 0.82667, 0.89505, 0.931393");
-            values ( \
-              "0.0604567, 0.0143826, 0.0051036, 0.0032573, 0.0163431, 0.0586398, 0.112912, 0.156817, 0.18308, 0.204482, 0.209998, 0.206112, 0.192056, 0.178502, 0.102504, 0.0698279, 0.048719, 0.0373383, 0.0291728, 0.0207898, 0.0157601, 0.0115923, 0.00763426, 0.00314423, 0.0022559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0678163");
-            index_3 ("0.129098, 0.150594, 0.154211, 0.178521, 0.189558, 0.197363, 0.210401, 0.221822, 0.292011, 0.323, 0.363358, 0.419986, 0.484131, 0.533175, 0.559103, 0.61096, 0.637163, 0.672099, 0.702304, 0.732968, 0.789833, 0.825052, 0.858255, 0.972896, 1.05634, 1.09199, 1.13951, 1.20527, 1.24415, 1.3095, 1.38319, 1.44163, 1.53963, 1.6367");
-            values ( \
-              "0.00128613, 0.00250496, 0.00399941, 0.0247499, 0.0302353, 0.0317255, 0.0313827, 0.0291055, 0.0109954, 0.00734803, 0.016099, 0.0610143, 0.131581, 0.17393, 0.18995, 0.209946, 0.214863, 0.217493, 0.216491, 0.21307, 0.199469, 0.185497, 0.16949, 0.109945, 0.0736735, 0.0611873, 0.0472127, 0.0325378, 0.0259293, 0.0175302, 0.0112324, 0.00783534, 0.00421101, 0.00226991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.140891");
-            index_3 ("0.233286, 0.631232, 0.767668, 0.848828, 0.920508, 1.01851, 1.04388, 1.09461, 1.15954, 1.25754, 1.275, 1.3099, 1.39592, 1.42835, 1.4932, 1.77717, 1.84674, 1.93624, 1.99933, 2.07263, 2.16939, 2.25748, 2.33984, 2.43785, 2.53755, 2.59618, 2.69419, 2.7922, 2.98821, 3.18422, 3.47824");
-            values ( \
-              "0.00316575, 0.0584941, 0.133561, 0.170291, 0.193571, 0.213015, 0.215901, 0.21981, 0.221389, 0.218128, 0.216812, 0.213691, 0.201995, 0.196022, 0.181932, 0.109157, 0.0930897, 0.0747987, 0.0636279, 0.0523565, 0.0401004, 0.0312648, 0.024643, 0.0184742, 0.0137485, 0.0115361, 0.00858134, 0.00636861, 0.00349685, 0.00191402, 0.000776477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.295743, 0.302963, 0.315515, 0.31915, 0.324611, 0.329677, 0.334664, 0.339647, 0.357456, 0.366192, 0.377423, 0.385983, 0.398322, 0.407968, 0.409535");
-            values ( \
-              "0.00490117, 0.0296857, 0.10034, 0.113195, 0.126906, 0.131651, 0.13148, 0.124319, 0.0571919, 0.0356596, 0.0187836, 0.0113469, 0.00549565, 0.0030857, 0.00290349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0075629");
-            index_3 ("0.194108, 0.231817, 0.246284, 0.257245, 0.285378, 0.295902, 0.307569, 0.314267, 0.336802, 0.349831, 0.357992, 0.366081, 0.374456, 0.395941, 0.410303, 0.420826, 0.433422, 0.445369, 0.464197, 0.477898, 0.490573");
-            values ( \
-              "0.000413112, 0.000990318, 0.0048652, 0.00578303, 1e-22, 2e-22, 0.00461947, 0.027738, 0.134853, 0.164152, 0.169158, 0.167761, 0.156993, 0.0942949, 0.0595778, 0.0413982, 0.026062, 0.0165429, 0.00793188, 0.00457584, 0.00307666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0157122");
-            index_3 ("0.262259, 0.328008, 0.334101, 0.364125, 0.376779, 0.383178, 0.395977, 0.404593, 0.413521, 0.428111, 0.443361, 0.500987, 0.521749, 0.547647, 0.570394, 0.599815, 0.622324, 0.641462");
-            values ( \
-              "0.00864305, 0.00951514, 0.0217873, 0.123306, 0.158, 0.170216, 0.187369, 0.192994, 0.194907, 0.192066, 0.179232, 0.0798207, 0.0536068, 0.0312755, 0.0189798, 0.00976309, 0.00578607, 0.00410495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0326427");
-            index_3 ("0.267278, 0.382904, 0.396942, 0.430195, 0.448908, 0.467314, 0.497632, 0.525985, 0.554242, 0.582493, 0.600709, 0.677059, 0.69465, 0.716987, 0.733012, 0.751141, 0.775115, 0.796849, 0.825828, 0.849088, 0.874701, 0.908852, 0.977154, 1.07176, 1.16977");
-            values ( \
-              "0.00201383, 0.0337333, 0.0598327, 0.129213, 0.160761, 0.183216, 0.204518, 0.210053, 0.206103, 0.192887, 0.17849, 0.102438, 0.0870338, 0.0698161, 0.0591656, 0.0487296, 0.0373408, 0.0291667, 0.0207786, 0.0157564, 0.0115936, 0.00763997, 0.0031494, 0.000834084, 0.000208525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0678163");
-            index_3 ("0.281385, 0.473994, 0.567386, 0.611965, 0.642155, 0.702853, 0.759618, 0.816399, 0.875387, 0.941434, 1.05983, 1.14045, 1.20894, 1.29868, 1.35532, 1.40218, 1.46503, 1.54884, 1.64685, 1.67196");
-            values ( \
-              "0.0139923, 0.0337072, 0.132098, 0.171243, 0.190096, 0.212333, 0.21786, 0.213417, 0.19881, 0.169545, 0.108115, 0.0733232, 0.0509576, 0.0306627, 0.0219367, 0.0165715, 0.0113239, 0.00670766, 0.00360355, 0.00317259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.140891");
-            index_3 ("0.305367, 0.697723, 0.849994, 0.945588, 1.0032, 1.1012, 1.12666, 1.17756, 1.24234, 1.34035, 1.35782, 1.39275, 1.47875, 1.511, 1.57551, 1.86002, 1.95631, 2.04013, 2.13836, 2.23637, 2.32874, 2.38744, 2.48544, 2.5647, 2.63648, 2.73219, 2.8302, 2.9282, 3.12421, 3.32023, 3.61425");
-            values ( \
-              "0.00809415, 0.0503198, 0.133189, 0.175331, 0.193476, 0.212859, 0.215883, 0.219707, 0.221404, 0.218053, 0.216843, 0.213623, 0.201941, 0.196093, 0.182007, 0.109115, 0.087345, 0.0709396, 0.0548577, 0.041882, 0.0322942, 0.0273445, 0.0204795, 0.0162552, 0.0131377, 0.00979679, 0.00733019, 0.00538624, 0.00294202, 0.00159697, 0.000686537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.462801, 0.467706, 0.474038, 0.479901, 0.48246, 0.487903, 0.49296, 0.497938, 0.502912, 0.506773, 0.515527, 0.520665, 0.529364, 0.534447, 0.540496, 0.552686, 0.56679, 0.576223, 0.579751");
-            values ( \
-              "0.0143796, 0.0390658, 0.0773157, 0.10508, 0.113731, 0.127106, 0.13199, 0.131632, 0.1246, 0.112234, 0.074793, 0.0574466, 0.035891, 0.0269569, 0.019015, 0.00908885, 0.00399431, 0.00232761, 0.0020206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0075629");
-            index_3 ("0.46374, 0.477625, 0.493498, 0.504045, 0.512809, 0.520976, 0.529059, 0.537137, 0.566916, 0.581115, 0.598065, 0.616412, 0.633035, 0.648913");
-            values ( \
-              "0.0106021, 0.0286304, 0.109109, 0.146883, 0.163825, 0.169521, 0.167676, 0.157914, 0.0738129, 0.0457387, 0.0245789, 0.0121419, 0.00631611, 0.00434286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0157122");
-            index_3 ("0.426129, 0.491111, 0.495531, 0.504372, 0.527135, 0.539742, 0.546132, 0.550087, 0.556244, 0.561674, 0.567903, 0.5764, 0.59103, 0.605698, 0.614555, 0.623329, 0.640876, 0.653569, 0.663324, 0.675664, 0.685123, 0.697736, 0.707593, 0.715472, 0.725978, 0.743371, 0.751352, 0.763034, 0.77861, 0.809762, 0.860385, 0.921862");
-            values ( \
-              "0.00851056, 0.0097389, 0.0182845, 0.0431237, 0.12401, 0.158189, 0.170794, 0.177069, 0.183646, 0.188611, 0.192318, 0.1945, 0.191657, 0.179875, 0.167036, 0.151291, 0.117583, 0.0958689, 0.0806926, 0.0639968, 0.0531444, 0.0410406, 0.0333283, 0.0281433, 0.0223734, 0.0151318, 0.0126467, 0.00970512, 0.00679033, 0.00320976, 0.00084857, 0.000141558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0326427");
-            index_3 ("0.434217, 0.541469, 0.605668, 0.630373, 0.660699, 0.689103, 0.717364, 0.746831, 0.880097, 0.914286, 0.959959, 1.0122, 1.07204, 1.11522");
-            values ( \
-              "0.00890381, 0.02679, 0.150719, 0.183034, 0.204445, 0.210003, 0.206103, 0.192055, 0.0698261, 0.0487195, 0.0291714, 0.0157588, 0.00763471, 0.00479842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0678163");
-            index_3 ("0.441657, 0.646327, 0.730916, 0.759464, 0.805477, 0.865981, 0.922776, 0.979521, 1.03608, 1.10456, 1.22381, 1.30376, 1.37183, 1.46199, 1.56548, 1.68467, 1.78268, 1.82794");
-            values ( \
-              "0.00533237, 0.0421698, 0.132944, 0.159263, 0.190587, 0.212465, 0.218, 0.213418, 0.199712, 0.169554, 0.107706, 0.0732546, 0.0510199, 0.0306296, 0.0165537, 0.00797637, 0.00429168, 0.00336755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.140891");
-            index_3 ("0.467997, 0.826918, 1.01468, 1.1109, 1.16759, 1.26559, 1.3416, 1.40656, 1.50457, 1.52201, 1.55689, 1.64293, 1.74061, 1.97207, 2.12217, 2.20429, 2.30071, 2.39872, 2.49513, 2.65943, 2.7324, 2.88131, 2.97932, 3.27334, 3.31052");
-            values ( \
-              "0.0201705, 0.0346529, 0.133779, 0.176008, 0.193734, 0.213031, 0.219805, 0.221461, 0.218101, 0.216865, 0.213669, 0.201971, 0.181812, 0.122077, 0.086967, 0.0709183, 0.0551037, 0.0421165, 0.0321145, 0.0199185, 0.0160626, 0.0102826, 0.00764955, 0.0031088, 0.00292547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.805511, 0.812726, 0.815602, 0.81632, 0.817231, 0.819052, 0.819961, 0.82133, 0.822698, 0.824067, 0.825435, 0.826705, 0.827974, 0.829244, 0.830514, 0.831765, 0.833013, 0.834262, 0.835513, 0.836761, 0.839257, 0.840645, 0.841066, 0.841767, 0.84261, 0.844352, 0.847127, 0.849411, 0.851283, 0.855368, 0.856107, 0.85832, 0.859729, 0.861138, 0.862547, 0.863955, 0.866843, 0.868335, 0.868762, 0.870468, 0.872176, 0.873344, 0.875681, 0.877914, 0.8804, 0.881112, 0.883952, 0.886795, 0.890608, 0.894261");
-            values ( \
-              "0.081327, 0.0835117, 0.0978772, 0.101256, 0.105065, 0.111912, 0.114951, 0.119034, 0.122538, 0.125462, 0.127806, 0.128675, 0.129355, 0.129846, 0.130148, 0.13026, 0.130189, 0.129936, 0.129499, 0.128217, 0.125382, 0.123649, 0.12275, 0.120761, 0.118082, 0.111703, 0.0998129, 0.0896505, 0.081897, 0.0661594, 0.0637237, 0.0568423, 0.0528157, 0.0490332, 0.045495, 0.0422009, 0.0360136, 0.0329744, 0.0321469, 0.0292948, 0.0266409, 0.0250054, 0.0218784, 0.0190686, 0.0163624, 0.0156699, 0.0133518, 0.0113188, 0.00908502, 0.00716064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0075629");
-            index_3 ("0.652762, 0.722996, 0.756683, 0.787872, 0.798731, 0.810878, 0.841667, 0.850447, 0.858632, 0.86673, 0.875682, 0.910277, 0.934022, 0.945156, 0.965146, 0.973254");
-            values ( \
-              "2.90091e-05, 0.000533073, 0.00517416, 1e-22, 2e-22, 0.012548, 0.146244, 0.163839, 0.168883, 0.167676, 0.155847, 0.0610146, 0.0261475, 0.0171307, 0.00784643, 0.00655981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0157122");
-            index_3 ("0.762185, 0.829625, 0.834994, 0.845731, 0.861797, 0.871397, 0.884011, 0.899725, 0.914408, 0.92901, 0.943607, 0.95254, 0.961215, 0.978564, 0.991724, 1.00134, 1.01353, 1.02273, 1.0321, 1.04456, 1.04825, 1.05563, 1.07038, 1.08314, 1.10014, 1.12281, 1.16651, 1.21595");
-            values ( \
-              "0.00623257, 0.0112869, 0.0224312, 0.0552169, 0.113397, 0.142594, 0.170549, 0.189877, 0.195452, 0.192218, 0.180238, 0.167182, 0.151522, 0.1181, 0.0955219, 0.0805686, 0.0640693, 0.0534765, 0.0442109, 0.0339982, 0.031443, 0.0267999, 0.0193503, 0.0145253, 0.00988078, 0.0058331, 0.00192518, 0.000537305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0326427");
-            index_3 ("0.770457, 0.875867, 0.89489, 0.931378, 0.956113, 0.968487, 0.993235, 1.00957, 1.02697, 1.05594, 1.08464, 1.11335, 1.17088, 1.2171, 1.24591, 1.27025, 1.29688, 1.34679, 1.40335, 1.45275, 1.50049");
-            values ( \
-              "0.012231, 0.0220406, 0.0537867, 0.129647, 0.169314, 0.1836, 0.201977, 0.207839, 0.209972, 0.205823, 0.192034, 0.167669, 0.109179, 0.0702521, 0.0519971, 0.0397808, 0.0294332, 0.01635, 0.00827435, 0.00445433, 0.00277564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0678163");
-            index_3 ("0.78691, 0.969209, 1.00264, 1.06755, 1.1107, 1.14215, 1.20272, 1.22829, 1.25933, 1.28744, 1.31592, 1.37281, 1.40774, 1.44121, 1.55489, 1.6388, 1.67588, 1.72531, 1.78729, 1.824, 1.89138, 1.96854, 2.03038, 2.12838, 2.22639, 2.237");
-            values ( \
-              "0.0183175, 0.0299855, 0.0610147, 0.132454, 0.170478, 0.190335, 0.212475, 0.215961, 0.217456, 0.216366, 0.213046, 0.199489, 0.185618, 0.169494, 0.110417, 0.0738561, 0.0608801, 0.0464634, 0.0327108, 0.0264048, 0.0176362, 0.0110648, 0.00755224, 0.00405832, 0.00216828, 0.00208423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.140891");
-            index_3 ("0.684196, 0.723518, 0.73075, 0.770552, 0.788225, 0.801634, 0.82897, 0.916006, 0.978026, 1.05135, 1.14936, 1.2213, 1.35052, 1.41787, 1.5034, 1.60141, 1.62672, 1.67734, 1.74236, 1.85781, 1.89269, 1.96246, 2.01127, 2.07636, 2.35996, 2.51904, 2.65543, 2.75219, 2.84027, 2.92263, 3.02064, 3.17897, 3.27698, 3.37498, 3.571, 3.76701, 4.06103");
-            values ( \
-              "0.000298279, 0.00126745, 0.00286584, 0.0329146, 0.0392538, 0.0401026, 0.0368585, 0.021335, 0.0134594, 0.0104799, 0.0295332, 0.0622751, 0.133807, 0.164677, 0.193842, 0.21291, 0.216097, 0.219692, 0.221556, 0.216954, 0.213579, 0.204794, 0.19611, 0.18175, 0.109084, 0.0747268, 0.0524235, 0.0400355, 0.0312036, 0.0247059, 0.0184137, 0.0114815, 0.0086366, 0.0063143, 0.00344298, 0.00186063, 0.000829085" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0100125, 0.0100142, 0.0100162, 0.0100177, 0.0100188, 0.0100193", \
-            "0.012038, 0.0120384, 0.0120392, 0.0120401, 0.0120407, 0.0120411", \
-            "0.0134366, 0.0134365, 0.0134366, 0.0134368, 0.0134372, 0.0134374", \
-            "0.0144879, 0.0144877, 0.0144875, 0.0144875, 0.0144876, 0.0144877", \
-            "0.0152245, 0.0152243, 0.0152241, 0.0152238, 0.0152236, 0.0152235", \
-            "0.0158009, 0.0158008, 0.0158007, 0.0158004, 0.0158001, 0.0157998" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0144787, 0.0144772, 0.0144763, 0.014476, 0.0144761, 0.0144762", \
-            "0.0156295, 0.0156279, 0.0156263, 0.015625, 0.0156242, 0.0156239", \
-            "0.0159661, 0.0159654, 0.0159642, 0.0159628, 0.0159619, 0.0159613", \
-            "0.0172164, 0.0172177, 0.0172186, 0.0172185, 0.017218, 0.0172175", \
-            "0.0162725, 0.0162442, 0.0162186, 0.0162012, 0.0161906, 0.016185", \
-            "0.0156498, 0.0156545, 0.0157485, 0.0158035, 0.015703, 0.0156717" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.226026, 0.234513, 0.238881, 0.245194, 0.250402, 0.255079, 0.259443, 0.26368, 0.267977, 0.269936, 0.279081, 0.282606, 0.285971, 0.29078, 0.296787, 0.30153, 0.308263");
-            values ( \
-              "-0.0244589, -0.0586507, -0.0902932, -0.117377, -0.134105, -0.146561, -0.153444, -0.156407, -0.148641, -0.14077, -0.0732966, -0.051582, -0.0359319, -0.0208365, -0.0103727, -0.00591274, -0.00296952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0075629");
-            index_3 ("0.232148, 0.24348, 0.255565, 0.26795, 0.27634, 0.283823, 0.290823, 0.297627, 0.304535, 0.307926, 0.32526, 0.332307, 0.341496, 0.355184, 0.365078");
-            values ( \
-              "-0.00374431, -0.0443448, -0.103579, -0.150388, -0.174335, -0.189511, -0.199153, -0.201699, -0.192377, -0.180987, -0.0795509, -0.0507377, -0.0270603, -0.010201, -0.00508876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0157122");
-            index_3 ("0.0494354, 0.0599132, 0.0676976, 0.0757036, 0.0832126, 0.101947, 0.146064, 0.155647, 0.165074, 0.169466, 0.17465, 0.178836, 0.183441, 0.191036, 0.200346, 0.209266, 0.215451, 0.245157, 0.261189, 0.267911, 0.280947, 0.291344, 0.294796, 0.3017, 0.313655, 0.32871, 0.342068, 0.354544, 0.366677, 0.378794, 0.381734, 0.384871, 0.391143, 0.402196, 0.410504, 0.417598, 0.422823, 0.429543, 0.434988, 0.44162, 0.450969, 0.455156, 0.460863, 0.468473, 0.478301");
-            values ( \
-              "-0.00454076, -0.00248043, -0.00117501, -0.000354662, -1e-22, -2e-22, -0.00304136, -0.00393598, -0.00578709, -0.0067632, -0.00814136, -0.00860524, -0.00843684, -0.00664328, -0.00306297, -0.000268174, -1e-22, -2e-22, -0.0202209, -0.0383473, -0.0773061, -0.115296, -0.124722, -0.144904, -0.173092, -0.202743, -0.220734, -0.232264, -0.234762, -0.2248, -0.219109, -0.211065, -0.188761, -0.142312, -0.110462, -0.0874166, -0.0729489, -0.0573139, -0.0469156, -0.0365386, -0.0254594, -0.0216434, -0.0173196, -0.0128158, -0.00899214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0326427");
-            index_3 ("0.186513, 0.300044, 0.316779, 0.368248, 0.381863, 0.404981, 0.433888, 0.459421, 0.483249, 0.506427, 0.529574, 0.535023, 0.552458, 0.575668, 0.603088, 0.626798, 0.651285, 0.676739, 0.692104, 0.722836, 0.755467");
-            values ( \
-              "-0.00920422, -0.0120834, -0.0358068, -0.131421, -0.154068, -0.186843, -0.219865, -0.240265, -0.252476, -0.255436, -0.244597, -0.238461, -0.205791, -0.150567, -0.0958756, -0.0622079, -0.0388435, -0.0233697, -0.0171104, -0.00895501, -0.00504067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0678163");
-            index_3 ("0.0669026, 0.0824013, 0.101125, 0.154886, 0.168728, 0.186374, 0.190351, 0.19643, 0.21478, 0.260498, 0.297137, 0.325635, 0.346058, 0.383063, 0.437016, 0.519315, 0.544196, 0.593555, 0.651467, 0.702342, 0.749778, 0.79591, 0.84198, 0.863783, 0.886291, 0.947978, 0.972545, 1.00372, 1.03477, 1.06373, 1.10234, 1.12609, 1.15282, 1.18846, 1.25973, 1.34749, 1.43526");
-            values ( \
-              "-0.00293715, -0.00132072, -0.000768042, -0.0058709, -0.0107575, -0.0206581, -0.0219244, -0.0225103, -0.0207961, -0.012977, -0.00762403, -0.00464294, -0.0036968, -0.0107472, -0.0514949, -0.13331, -0.155459, -0.193537, -0.228936, -0.25076, -0.263463, -0.266704, -0.255458, -0.239983, -0.215597, -0.140046, -0.114402, -0.0871073, -0.0654369, -0.0497243, -0.0340277, -0.0268772, -0.020569, -0.0143045, -0.00668747, -0.00252806, -0.000960969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.140891");
-            index_3 ("0.245148, 0.620202, 0.800696, 0.903935, 0.986766, 1.05665, 1.10392, 1.19169, 1.239, 1.3039, 1.39166, 1.43282, 1.4619, 1.49209, 1.5402, 1.71352, 1.77087, 1.85752, 1.95638, 2.02741, 2.08995, 2.18511, 2.27288, 2.36064, 2.4484, 2.45748");
-            values ( \
-              "-0.0108542, -0.0302923, -0.118771, -0.165503, -0.197488, -0.220498, -0.233679, -0.253503, -0.261433, -0.269085, -0.272707, -0.270506, -0.266962, -0.260523, -0.242552, -0.138918, -0.109889, -0.0751676, -0.0475845, -0.0338149, -0.0249431, -0.0155781, -0.00999526, -0.00640173, -0.00408373, -0.00397954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.24419, 0.249189, 0.253002, 0.257263, 0.263655, 0.268857, 0.273535, 0.277899, 0.282137, 0.286368, 0.288407, 0.297373, 0.30219, 0.307171, 0.310906, 0.315919, 0.320062, 0.328348, 0.352621");
-            values ( \
-              "-0.00989413, -0.0425981, -0.0593724, -0.0905727, -0.117074, -0.134425, -0.146223, -0.153719, -0.15613, -0.148966, -0.140691, -0.0744403, -0.0457609, -0.0264404, -0.0171502, -0.00958602, -0.005885, -0.00217405, -0.000258457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0075629");
-            index_3 ("0.254118, 0.261989, 0.268869, 0.276124, 0.286413, 0.2948, 0.302286, 0.309284, 0.316088, 0.322996, 0.326362, 0.329954, 0.340141, 0.345694, 0.350159, 0.354183, 0.357003, 0.362644, 0.369432, 0.37486, 0.385717, 0.402119, 0.422274");
-            values ( \
-              "-0.0245588, -0.0446802, -0.0727611, -0.112798, -0.150761, -0.174055, -0.189796, -0.19894, -0.201909, -0.192253, -0.181088, -0.162174, -0.0982679, -0.0704289, -0.0528202, -0.0403964, -0.0333089, -0.0224001, -0.0138489, -0.00935698, -0.00415405, -0.00114657, -0.000285483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0157122");
-            index_3 ("0.0669838, 0.0775407, 0.085087, 0.0922997, 0.0995298, 0.117696, 0.128972, 0.151098, 0.161416, 0.17374, 0.182989, 0.187319, 0.196776, 0.203675, 0.207134, 0.216821, 0.224256, 0.229661, 0.268291, 0.282583, 0.286575, 0.299538, 0.309913, 0.320249, 0.332203, 0.347252, 0.360608, 0.373083, 0.385214, 0.39733, 0.400278, 0.403423, 0.409712, 0.420534, 0.428993, 0.433446, 0.441468, 0.44812, 0.453439, 0.4601, 0.469472, 0.473687, 0.47945, 0.487134, 0.499782");
-            values ( \
-              "-0.00458369, -0.00256429, -0.00132019, -0.000468262, -1e-22, -2e-22, -0.000504001, -0.00216578, -0.00271502, -0.00397156, -0.0054595, -0.00680781, -0.00874144, -0.00798779, -0.00739017, -0.00382287, -0.00131617, -1e-22, -2e-22, -0.0276529, -0.0387668, -0.0775475, -0.115488, -0.145013, -0.173165, -0.202804, -0.220768, -0.232298, -0.23478, -0.224813, -0.219103, -0.211029, -0.188634, -0.143128, -0.110623, -0.0956737, -0.0726665, -0.057228, -0.0470635, -0.0366144, -0.0254914, -0.0216464, -0.0172841, -0.0127507, -0.0078659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0326427");
-            index_3 ("0.206586, 0.318599, 0.339999, 0.38683, 0.423533, 0.452442, 0.47799, 0.501817, 0.524996, 0.548142, 0.553576, 0.570965, 0.621576, 0.645321, 0.669905, 0.695305, 0.710612, 0.741227, 0.756909");
-            values ( \
-              "-0.00951067, -0.0120371, -0.0437758, -0.131954, -0.187153, -0.219561, -0.240562, -0.252211, -0.2557, -0.244353, -0.238491, -0.205939, -0.0960067, -0.0622571, -0.0388048, -0.0233716, -0.0171294, -0.00899149, -0.00709407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0678163");
-            index_3 ("0.22893, 0.323758, 0.361973, 0.395105, 0.449781, 0.537933, 0.589178, 0.612277, 0.658474, 0.692974, 0.7208, 0.768313, 0.814444, 0.86053, 0.871, 0.882168, 0.904505, 0.968538, 0.999325, 1.01664, 1.05127, 1.09422, 1.13005, 1.15454, 1.19046, 1.23834, 1.3261, 1.34402");
-            values ( \
-              "-0.0448041, -0.00670926, -0.00369111, -0.00820763, -0.0458294, -0.133589, -0.176416, -0.193784, -0.222413, -0.239559, -0.250808, -0.26315, -0.266832, -0.25521, -0.249077, -0.240089, -0.215989, -0.137793, -0.106624, -0.0916047, -0.0666724, -0.0443019, -0.0311042, -0.024378, -0.0169792, -0.0103442, -0.00394007, -0.00343702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.140891");
-            index_3 ("0.267285, 0.650897, 0.853698, 0.941462, 1.00533, 1.0737, 1.12242, 1.22595, 1.32237, 1.41014, 1.45124, 1.48034, 1.51055, 1.55866, 1.732, 1.78932, 1.87595, 1.97488, 2.04595, 2.10841, 2.20346, 2.29122, 2.37899, 2.46675, 2.50255");
-            values ( \
-              "-0.00692504, -0.0354596, -0.135356, -0.173218, -0.197728, -0.219968, -0.233869, -0.256443, -0.269221, -0.272616, -0.270601, -0.266906, -0.260604, -0.24249, -0.138909, -0.109894, -0.0751818, -0.0475791, -0.0337991, -0.0249404, -0.0155847, -0.0100026, -0.00640333, -0.00408787, -0.00367635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.284484, 0.289281, 0.293092, 0.297269, 0.303742, 0.308945, 0.313623, 0.317987, 0.322224, 0.326456, 0.328497, 0.339529, 0.344457, 0.350956, 0.35606, 0.360277, 0.368712, 0.374491");
-            values ( \
-              "-0.0121088, -0.0425916, -0.0593888, -0.090078, -0.117024, -0.13437, -0.146292, -0.153656, -0.1562, -0.148897, -0.140689, -0.060873, -0.0361529, -0.0172207, -0.00952345, -0.00579959, -0.0020917, -0.00164912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0075629");
-            index_3 ("0.294575, 0.302081, 0.30896, 0.316208, 0.326504, 0.334891, 0.342377, 0.349375, 0.356179, 0.363086, 0.366453, 0.370045, 0.380231, 0.385787, 0.390254, 0.394269, 0.397083, 0.402711, 0.409554, 0.415026, 0.42597, 0.442159, 0.462077");
-            values ( \
-              "-0.0278634, -0.0447396, -0.0727277, -0.112734, -0.150726, -0.174091, -0.189761, -0.198974, -0.201873, -0.192263, -0.181095, -0.162167, -0.0982661, -0.0704256, -0.0528027, -0.0404066, -0.0333373, -0.0224348, -0.0138161, -0.00930887, -0.00409971, -0.00116, -0.000291064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0157122");
-            index_3 ("0.105583, 0.113166, 0.115146, 0.141384, 0.159474, 0.213065, 0.236141, 0.245667, 0.270049, 0.308694, 0.322399, 0.339582, 0.349869, 0.372239, 0.387264, 0.400641, 0.413115, 0.425246, 0.437361, 0.443473, 0.473564, 0.488201, 0.500067, 0.519544, 0.534096");
-            values ( \
-              "-0.00189938, -0.00169913, -0.0032706, -5.75586e-05, -1e-22, -0.00384663, -0.00860304, -0.00768526, -1e-22, -2e-22, -0.0271118, -0.0771204, -0.115626, -0.173008, -0.202953, -0.220623, -0.23245, -0.234654, -0.224941, -0.210974, -0.0953978, -0.0571239, -0.0367044, -0.0172398, -0.0106751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0326427");
-            index_3 ("0.247702, 0.359976, 0.369191, 0.397783, 0.426661, 0.463352, 0.492226, 0.517819, 0.541649, 0.564828, 0.587975, 0.59341, 0.599207, 0.610802, 0.634137, 0.661414, 0.685157, 0.697307, 0.709736, 0.735139, 0.750448, 0.781068, 0.831693, 0.891773");
-            values ( \
-              "-0.00806896, -0.0138123, -0.0262674, -0.0755433, -0.131823, -0.187038, -0.219552, -0.240484, -0.252247, -0.255643, -0.244388, -0.238482, -0.229715, -0.205927, -0.150408, -0.0960006, -0.0622553, -0.0494232, -0.0388066, -0.0233715, -0.0171294, -0.00898973, -0.00283663, -0.000677252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0678163");
-            index_3 ("0.269229, 0.458684, 0.508583, 0.577816, 0.630377, 0.685081, 0.709778, 0.76085, 0.808252, 0.854396, 0.900476, 0.910977, 0.922179, 0.944581, 1.00771, 1.0574, 1.107, 1.13196, 1.16523, 1.19418, 1.23658, 1.29312, 1.38088, 1.38133");
-            values ( \
-              "-0.0140837, -0.0202133, -0.0641697, -0.133501, -0.177439, -0.214809, -0.228744, -0.25065, -0.263406, -0.266632, -0.255442, -0.249057, -0.240053, -0.21583, -0.138663, -0.0909493, -0.0574864, -0.0452662, -0.0326251, -0.0244638, -0.0159452, -0.00883165, -0.00335045, -0.00333981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.140891");
-            index_3 ("0.30776, 0.466928, 0.551998, 0.639762, 0.727526, 0.85642, 0.967057, 1.04499, 1.11448, 1.16213, 1.2499, 1.29723, 1.36211, 1.44988, 1.49106, 1.53812, 1.57417, 1.61167, 1.74263, 1.85183, 1.95356, 2.05524, 2.15015, 2.20404, 2.2918, 2.37957, 2.46733, 2.52114");
-            values ( \
-              "-0.0536711, -0.0100741, -0.00638812, -0.0168533, -0.0523433, -0.117481, -0.167472, -0.19752, -0.22032, -0.233708, -0.253445, -0.261387, -0.269114, -0.272665, -0.270521, -0.263532, -0.252941, -0.235689, -0.155346, -0.099605, -0.063092, -0.0390937, -0.0246268, -0.0189036, -0.0121341, -0.00779797, -0.00496115, -0.00405667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.367158, 0.37286, 0.375134, 0.379285, 0.385781, 0.390983, 0.395659, 0.400024, 0.404261, 0.408492, 0.410535, 0.421569, 0.426494, 0.432967, 0.438135, 0.442404, 0.450943, 0.455397");
-            values ( \
-              "-0.0202242, -0.0506851, -0.0593742, -0.0900117, -0.117065, -0.134399, -0.146284, -0.153678, -0.156189, -0.148914, -0.140685, -0.060851, -0.0361531, -0.0172725, -0.00948016, -0.00573936, -0.00203948, -0.00170488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0075629");
-            index_3 ("0.378632, 0.386492, 0.391097, 0.396408, 0.4022, 0.40864, 0.417028, 0.42451, 0.431508, 0.438312, 0.445107, 0.448609, 0.465921, 0.472976, 0.482262, 0.487348, 0.495762, 0.506982, 0.512471");
-            values ( \
-              "-0.0435106, -0.0546298, -0.073614, -0.104239, -0.128456, -0.150446, -0.174372, -0.189518, -0.199194, -0.201679, -0.192616, -0.180996, -0.0796733, -0.0507942, -0.0268981, -0.0187981, -0.0102759, -0.0044191, -0.00332348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0157122");
-            index_3 ("0.156973, 0.24892, 0.295168, 0.316824, 0.325819, 0.352745, 0.378533, 0.392901, 0.406633, 0.453738, 0.468785, 0.482141, 0.494613, 0.506744, 0.518858, 0.524956, 0.554999, 0.569661, 0.581607, 0.600993, 0.624122, 0.633389");
-            values ( \
-              "-0.00104631, -4.31139e-05, -0.00413953, -0.00855766, -0.00802925, -1e-22, -2e-22, -0.00320613, -0.034752, -0.173336, -0.202772, -0.220906, -0.232247, -0.234887, -0.224753, -0.211022, -0.0955954, -0.0571977, -0.0366439, -0.0172717, -0.00678741, -0.0051779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0326427");
-            index_3 ("0.330239, 0.441325, 0.457077, 0.50894, 0.545672, 0.57462, 0.60015, 0.623981, 0.647162, 0.670673, 0.675735, 0.693091, 0.74369, 0.76746, 0.792114, 0.817476, 0.832741, 0.863271, 0.877018");
-            values ( \
-              "-0.00943941, -0.0126364, -0.0350393, -0.131884, -0.187124, -0.219444, -0.240586, -0.252104, -0.255748, -0.244099, -0.238491, -0.206033, -0.0961011, -0.0622931, -0.0387758, -0.0233722, -0.0171442, -0.00901732, -0.00733308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0678163");
-            index_3 ("0.352847, 0.44578, 0.468888, 0.491323, 0.532939, 0.583241, 0.647431, 0.685906, 0.734529, 0.792371, 0.843243, 0.890676, 0.936807, 0.982875, 1.00469, 1.02721, 1.08873, 1.11344, 1.14479, 1.17571, 1.20453, 1.24296, 1.2669, 1.29381, 1.32969, 1.40146, 1.48922, 1.57698");
-            values ( \
-              "-0.0459238, -0.00664227, -0.00438623, -0.0038385, -0.0151989, -0.056826, -0.121636, -0.156226, -0.193561, -0.22901, -0.250724, -0.263525, -0.266662, -0.255515, -0.23998, -0.215567, -0.140207, -0.114407, -0.0869669, -0.0654059, -0.0497659, -0.0341257, -0.0268991, -0.0205473, -0.0142572, -0.00662299, -0.00250689, -0.000949593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.140891");
-            index_3 ("0.392747, 0.548706, 0.60522, 0.651885, 0.731146, 0.81891, 0.934979, 1.0565, 1.12708, 1.19596, 1.24418, 1.33194, 1.37923, 1.44414, 1.5319, 1.57302, 1.60211, 1.63232, 1.68043, 1.85376, 1.91109, 1.99772, 2.09664, 2.16769, 2.23018, 2.32525, 2.41302, 2.50078, 2.58855, 2.85184");
-            values ( \
-              "-0.0549468, -0.0101142, -0.00703122, -0.00660477, -0.0197759, -0.0569454, -0.1159, -0.170624, -0.197558, -0.220244, -0.233719, -0.253521, -0.261444, -0.26911, -0.27271, -0.27053, -0.266962, -0.260541, -0.242546, -0.138911, -0.109894, -0.0751794, -0.0475818, -0.0338021, -0.0249401, -0.015582, -0.0100022, -0.00640176, -0.00408819, -0.00105996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.528884, 0.539613, 0.543952, 0.550621, 0.555357, 0.56004, 0.564418, 0.568663, 0.572904, 0.574876, 0.576913, 0.586705, 0.591513, 0.594858, 0.597995, 0.601368, 0.603971, 0.609176, 0.618569, 0.644326");
-            values ( \
-              "-0.00203231, -0.0590872, -0.0904934, -0.11833, -0.133877, -0.145771, -0.153307, -0.155875, -0.148726, -0.140852, -0.128778, -0.0565771, -0.0338361, -0.0232118, -0.016138, -0.0109173, -0.0080575, -0.00436637, -0.00141751, -0.000186379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0075629");
-            index_3 ("0.538256, 0.55547, 0.558734, 0.562769, 0.573088, 0.581478, 0.588957, 0.595966, 0.598423, 0.602755, 0.609528, 0.611633, 0.613878, 0.617339, 0.623447, 0.630987, 0.638132, 0.642181, 0.646808, 0.65444, 0.659619, 0.669979, 0.685142, 0.703613");
-            values ( \
-              "-0.00633146, -0.0718394, -0.0924666, -0.112369, -0.150463, -0.174002, -0.189397, -0.198773, -0.200766, -0.201431, -0.1926, -0.186411, -0.177204, -0.157736, -0.118311, -0.0767606, -0.0484759, -0.0369119, -0.0267671, -0.0156101, -0.0107561, -0.0049608, -0.00153311, -0.000406886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0157122");
-            index_3 ("0.263241, 0.413169, 0.461798, 0.478583, 0.488693, 0.515467, 0.550481, 0.557733, 0.570748, 0.586153, 0.595049, 0.618741, 0.63379, 0.647142, 0.659614, 0.671744, 0.683857, 0.686828, 0.723333, 0.739044, 0.755287, 0.767525, 0.777367, 0.791616");
-            values ( \
-              "-0.000522833, -1e-22, -0.00395336, -0.00809583, -0.0084854, -1e-22, -2e-22, -0.00320561, -0.0323325, -0.0768682, -0.111563, -0.172945, -0.203157, -0.220564, -0.23256, -0.2346, -0.225015, -0.219072, -0.0854328, -0.0487038, -0.0261747, -0.0162446, -0.0109743, -0.00652433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0326427");
-            index_3 ("0.493445, 0.605849, 0.620611, 0.645637, 0.673447, 0.710131, 0.739047, 0.76458, 0.788406, 0.811582, 0.83509, 0.840171, 0.845977, 0.857589, 0.880845, 0.908211, 0.931933, 0.944007, 0.956454, 0.981887, 0.99723, 1.02792, 1.07753, 1.13638");
-            values ( \
-              "-0.00849766, -0.0129253, -0.0337473, -0.0778429, -0.132082, -0.187209, -0.219604, -0.240592, -0.252221, -0.255722, -0.244137, -0.238484, -0.229696, -0.205851, -0.150509, -0.0959238, -0.0622267, -0.0494724, -0.0388319, -0.0233724, -0.0171184, -0.00896974, -0.0029122, -0.000722809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0678163");
-            index_3 ("0.515146, 0.612214, 0.632448, 0.654032, 0.697198, 0.746797, 0.824782, 0.87528, 0.899045, 0.946575, 0.977059, 1.00755, 1.05506, 1.10118, 1.14727, 1.15776, 1.16896, 1.19136, 1.25458, 1.28629, 1.30411, 1.3387, 1.37884, 1.41223, 1.45502, 1.4831, 1.53926, 1.62702, 1.63589");
-            values ( \
-              "-0.0437917, -0.00653324, -0.00455408, -0.00378038, -0.0151376, -0.0558625, -0.133605, -0.176135, -0.19373, -0.223344, -0.238537, -0.250741, -0.263289, -0.266748, -0.255327, -0.249067, -0.240052, -0.215852, -0.138571, -0.106418, -0.0910118, -0.0662401, -0.0452221, -0.0325582, -0.0212499, -0.0159903, -0.00889239, -0.00337531, -0.00316318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.140891");
-            index_3 ("0.556862, 0.899687, 1.22723, 1.35965, 1.40837, 1.49613, 1.54348, 1.60836, 1.69612, 1.7373, 1.78437, 1.82039, 1.8579, 1.98887, 2.09807, 2.19981, 2.30148, 2.39639, 2.45028, 2.53804, 2.62581, 2.71357, 2.8891, 3.06463");
-            values ( \
-              "-0.0200691, -0.0210738, -0.173238, -0.220067, -0.233634, -0.253512, -0.261451, -0.26905, -0.272726, -0.270475, -0.263572, -0.252989, -0.235658, -0.155309, -0.0996392, -0.0631249, -0.0391201, -0.0246519, -0.0188791, -0.0121586, -0.00777386, -0.00498511, -0.00203412, -0.000831336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.868518, 0.876744, 0.881087, 0.887396, 0.892609, 0.897276, 0.90164, 0.905876, 0.910172, 0.912157, 0.923195, 0.928107, 0.93446, 0.939914, 0.944417, 0.94977");
-            values ( \
-              "-0.0252692, -0.0594473, -0.08969, -0.1171, -0.134472, -0.14636, -0.153686, -0.156272, -0.14877, -0.140671, -0.0607708, -0.036146, -0.0175156, -0.00929715, -0.00547107, -0.00330235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0075629");
-            index_3 ("0.873569, 0.892757, 0.897094, 0.910293, 0.918681, 0.926164, 0.933161, 0.939964, 0.94687, 0.950281, 0.968012, 0.981778, 0.992447, 1.00099");
-            values ( \
-              "-0.00387207, -0.0720724, -0.0999612, -0.150281, -0.174519, -0.189463, -0.199289, -0.201692, -0.1925, -0.180942, -0.0775543, -0.0312958, -0.014726, -0.00874576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0157122");
-            index_3 ("0.476624, 0.737704, 0.755041, 0.799618, 0.812306, 0.816784, 0.825208, 0.833681, 0.850864, 0.856882, 0.884429, 0.899531, 0.904824, 0.923168, 0.936966, 0.955773, 0.97082, 0.984176, 0.996645, 1.00877, 1.02147, 1.02692, 1.05266, 1.05943, 1.07154, 1.08379, 1.0931, 1.10284, 1.11031, 1.12525, 1.12607");
-            values ( \
-              "-0.000170332, -1e-22, -0.000686473, -0.00400059, -0.00670659, -0.00826451, -0.00853754, -0.00651068, -0.000370695, -1e-22, -2e-22, -0.0117204, -0.0243275, -0.0776743, -0.126144, -0.173931, -0.202217, -0.221443, -0.231816, -0.235359, -0.223768, -0.211259, -0.110233, -0.088178, -0.0574879, -0.0364105, -0.0254122, -0.0173941, -0.0129412, -0.00704775, -0.00689832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0326427");
-            index_3 ("0.829711, 0.942582, 0.954995, 0.967753, 1.00355, 1.0243, 1.04718, 1.07612, 1.10165, 1.12548, 1.14865, 1.1718, 1.17724, 1.19465, 1.22442, 1.24808, 1.27332, 1.28623, 1.30345, 1.31892, 1.34246, 1.37386, 1.38612");
-            values ( \
-              "-0.00943576, -0.0122754, -0.0292142, -0.0508576, -0.119608, -0.154372, -0.186722, -0.219901, -0.24025, -0.252547, -0.255455, -0.244676, -0.238521, -0.205894, -0.137203, -0.0921398, -0.0579394, -0.0452414, -0.0322024, -0.023648, -0.0146582, -0.00754596, -0.00630536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0678163");
-            index_3 ("0.850291, 1.04388, 1.09132, 1.16171, 1.22018, 1.26458, 1.29343, 1.34444, 1.39188, 1.43802, 1.4841, 1.49462, 1.50583, 1.52827, 1.59095, 1.62468, 1.64471, 1.67659, 1.71117, 1.7344, 1.77888, 1.80344, 1.85255, 1.94032, 2.02808, 2.11584");
-            values ( \
-              "-0.0120427, -0.0214397, -0.0633799, -0.133786, -0.182391, -0.21249, -0.228712, -0.250769, -0.263344, -0.266742, -0.255367, -0.249063, -0.240028, -0.215762, -0.139089, -0.104995, -0.0879917, -0.0656131, -0.0472566, -0.037687, -0.0242132, -0.0189083, -0.0113837, -0.00433952, -0.00162569, -0.000630627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.140891");
-            index_3 ("0.884316, 1.24479, 1.28218, 1.43834, 1.55845, 1.69841, 1.74658, 1.83434, 1.88143, 1.94643, 2.0342, 2.07511, 2.12186, 2.15942, 2.20949, 2.37042, 2.42908, 2.46912, 2.54624, 2.65012, 2.73455, 2.78668, 2.87444, 2.96221, 3.04997, 3.10695");
-            values ( \
-              "-0.0157484, -0.0237745, -0.0388912, -0.11689, -0.170895, -0.220643, -0.233726, -0.253836, -0.261692, -0.269051, -0.272922, -0.270484, -0.26382, -0.252716, -0.228045, -0.131138, -0.102759, -0.086201, -0.0607254, -0.0370911, -0.0245831, -0.0191008, -0.0122038, -0.00790005, -0.0049706, -0.00385378" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00903667", \
-            "0.0103335", \
-            "0.0111061", \
-            "0.0115959", \
-            "0.0118684", \
-            "0.0120169" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.012212", \
-            "0.0135667", \
-            "0.0148358", \
-            "0.0161799", \
-            "0.0165637", \
-            "0.0143778" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "QN";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0391634, 0.0552942, 0.0879445, 0.155058, 0.294025, 0.582473", \
-            "0.0456488, 0.0615499, 0.0945549, 0.161845, 0.300944, 0.589469", \
-            "0.0619104, 0.0778368, 0.110447, 0.177727, 0.316962, 0.605551", \
-            "0.0922887, 0.114065, 0.147798, 0.214255, 0.353435, 0.642024", \
-            "0.140476, 0.174081, 0.224543, 0.298049, 0.435822, 0.723287", \
-            "0.222185, 0.271669, 0.348812, 0.46181, 0.621882, 0.908704" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0345645, 0.058393, 0.107951, 0.210883, 0.424688, 0.868726", \
-            "0.0347503, 0.0584271, 0.10795, 0.210882, 0.424688, 0.868726", \
-            "0.0391145, 0.0597085, 0.108003, 0.210883, 0.424688, 0.868726", \
-            "0.0582776, 0.0743252, 0.113945, 0.21101, 0.424689, 0.868727", \
-            "0.0908259, 0.114543, 0.151248, 0.229366, 0.425755, 0.868726", \
-            "0.141858, 0.179262, 0.234526, 0.315302, 0.472112, 0.873431" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.028661, 0.0400201, 0.0629393, 0.109965, 0.207303, 0.409338", \
-            "0.0341454, 0.0455973, 0.0686603, 0.115436, 0.213231, 0.415311", \
-            "0.0454617, 0.0586375, 0.0818138, 0.129118, 0.226516, 0.428662", \
-            "0.0605081, 0.0802081, 0.110729, 0.159104, 0.256753, 0.458994", \
-            "0.0784364, 0.107206, 0.152641, 0.220933, 0.323559, 0.525633", \
-            "0.0968878, 0.13914, 0.206005, 0.30749, 0.456813, 0.67676" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0215411, 0.0365299, 0.067721, 0.132587, 0.267436, 0.547648", \
-            "0.0219202, 0.0365557, 0.0677157, 0.132585, 0.267436, 0.547648", \
-            "0.0288139, 0.0402911, 0.0684247, 0.132589, 0.267436, 0.547649", \
-            "0.0439683, 0.0579166, 0.0812821, 0.136479, 0.267523, 0.547648", \
-            "0.0681276, 0.088599, 0.120341, 0.17022, 0.279781, 0.547655", \
-            "0.108982, 0.137262, 0.18277, 0.252777, 0.360648, 0.582253" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.027345, 0.0298781, 0.0321443, 0.0337134, 0.0351894, 0.040702, 0.0506232, 0.0713313, 0.0796742, 0.0859367, 0.0942953, 0.104579, 0.112557, 0.123196, 0.140062, 0.160192, 0.185499, 4.6579, 4.75532, 4.81313, 4.87094");
-            values ( \
-              "0.00984175, 0.147243, 0.154345, 0.156126, 0.156432, 0.151157, 0.131883, 0.0552056, 0.0354183, 0.0251571, 0.0155958, 0.00850639, 0.00526849, 0.00277279, 0.000857284, 0.000247063, 1e-22, 3.38086e-05, 1e-22, 3.38372e-05, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0075629");
-            index_3 ("0.0291465, 0.0327654, 0.0367869, 0.0381088, 0.0404376, 0.0472889, 0.0667454, 0.0765281, 0.0923483, 0.104929, 0.11583, 0.130987, 0.138609, 0.148772, 0.161929, 0.17123, 0.189832, 0.217731, 0.251522, 0.294579, 4.87093, 5.36695");
-            values ( \
-              "0.166539, 0.190709, 0.194636, 0.194477, 0.19325, 0.186396, 0.159332, 0.138835, 0.0930179, 0.0639821, 0.0448934, 0.0264935, 0.020095, 0.0136629, 0.00833394, 0.00574878, 0.0027473, 0.000769285, 0.000191248, 1e-22, 2e-22, 0.00281161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0157122");
-            index_3 ("0.0292404, 0.0353575, 0.0362227, 0.037953, 0.0414137, 0.0440955, 0.0546675, 0.0810847, 0.0994746, 0.107601, 0.117741, 0.128671, 0.147054, 0.164086, 0.173098, 0.184297, 0.19162, 0.201384, 0.215456, 0.219842, 0.228612, 0.246154, 0.257359, 0.269928, 0.286685, 0.320201, 0.369696, 0.429683, 0.506014, 0.603435, 0.700856, 0.798276, 0.895697, 0.993117, 1.09054, 1.18796, 1.28538, 4.87099, 5.35147");
-            values ( \
-              "0.158696, 0.218498, 0.219692, 0.220686, 0.221023, 0.219989, 0.213307, 0.193081, 0.176967, 0.168274, 0.154961, 0.137636, 0.106601, 0.0816773, 0.070035, 0.0572132, 0.0499022, 0.0413671, 0.0311605, 0.0285396, 0.0237636, 0.0163862, 0.0128372, 0.00976668, 0.006772, 0.0030853, 0.000921152, 0.000154881, 3.07765e-05, 1e-22, 2.03712e-05, 1e-22, 2.02355e-05, 1e-22, 2.01463e-05, 1e-22, 2.0059e-05, 1e-22, 0.00584127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0326427");
-            index_3 ("0.0316741, 0.0316941, 0.0784384, 0.130459, 0.154882, 0.166665, 0.18929, 0.202554, 0.223926, 0.259852, 0.292197, 0.330829, 0.363137, 0.390097, 0.398481, 0.415249, 0.448785, 0.469937, 0.493672, 0.525319, 0.588612, 0.682736, 0.780157, 0.974998, 4.87103, 5.36047");
-            values ( \
-              "1e-22, 0.252212, 0.225201, 0.203685, 0.192685, 0.186859, 0.173708, 0.164229, 0.146781, 0.114865, 0.0886801, 0.0623041, 0.0452484, 0.0340086, 0.0311749, 0.0259047, 0.0178681, 0.0139892, 0.0106607, 0.00744738, 0.00338589, 0.00103671, 0.000220804, 1e-22, 2e-22, 0.0121415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0678163");
-            index_3 ("0.034485, 0.034505, 0.127207, 0.232456, 0.281863, 0.351403, 0.421222, 0.557704, 0.634625, 0.662075, 0.698674, 0.75241, 0.802503, 0.869293, 0.911199, 0.958231, 1.02094, 1.11836, 1.21578, 1.3132, 1.50804, 1.89772, 4.87101, 5.35335");
-            values ( \
-              "1e-22, 0.268793, 0.231307, 0.209191, 0.197962, 0.178716, 0.151729, 0.0924677, 0.0650406, 0.0568543, 0.0473454, 0.0355263, 0.0270435, 0.0186788, 0.0145955, 0.0111276, 0.00782031, 0.00425775, 0.00246088, 0.00126224, 0.000340905, 1e-22, 6.01763e-05, 0.0251789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.140891");
-            index_3 ("0.037472, 0.037492, 0.228019, 0.500158, 0.593986, 0.687683, 0.830577, 1.10846, 1.28245, 1.35964, 1.52177, 1.70958, 1.86565, 2.06049, 2.25533, 2.45018, 2.83986, 3.22954, 4.87071, 5.35063");
-            values ( \
-              "1e-22, 0.2714, 0.234142, 0.205773, 0.194464, 0.181077, 0.154095, 0.0946067, 0.0637425, 0.0527689, 0.0346952, 0.0208173, 0.013418, 0.00765591, 0.00432392, 0.00241789, 0.000720369, 0.000177417, 7.65141e-05, 0.0523943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0434059, 0.0496269, 0.0524583, 0.0551382, 0.0573972, 0.0582993, 0.059721, 0.0611317, 0.065394, 0.069857, 0.0736476, 0.0768262, 0.0860292, 0.0913549, 0.0996317, 0.106631, 0.114623, 0.118919, 0.127712, 0.131389, 0.136291, 0.146096, 0.16408, 0.185395, 0.212733, 0.249317, 4.871, 5.35012");
-            values ( \
-              "0.00451524, 0.0955149, 0.125086, 0.142438, 0.14789, 0.148678, 0.149036, 0.148366, 0.143172, 0.134663, 0.125075, 0.114163, 0.0746367, 0.0565487, 0.0364965, 0.0248552, 0.015783, 0.0123037, 0.00730633, 0.00586989, 0.00437636, 0.00237576, 0.00070971, 0.000143677, 1.75331e-05, 1e-22, 2e-22, 0.00135732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0075629");
-            index_3 ("0.0444086, 0.0516906, 0.0532293, 0.0548257, 0.0561211, 0.0585534, 0.0608543, 0.0630374, 0.0652389, 0.06757, 0.0699681, 0.0747643, 0.0838234, 0.0870231, 0.0913655, 0.0968052, 0.112624, 0.1208, 0.125204, 0.131076, 0.136103, 0.142746, 0.151257, 0.15655, 0.160221, 0.166315, 0.174764, 0.177997, 0.184464, 0.197396, 0.223243, 0.253138, 0.291032, 0.339511, 4.871, 5.36085");
-            values ( \
-              "0.0115982, 0.141674, 0.161381, 0.174107, 0.180431, 0.187148, 0.189149, 0.189093, 0.187803, 0.185704, 0.183171, 0.177264, 0.164515, 0.159374, 0.151375, 0.138816, 0.0929956, 0.0733474, 0.0640216, 0.0530175, 0.0449347, 0.0358205, 0.0264653, 0.0218654, 0.0191122, 0.0152287, 0.0110518, 0.00977213, 0.00762277, 0.00457736, 0.00151702, 0.000391773, 5.95604e-05, 1e-22, 4.35882e-06, 0.0028161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0157122");
-            index_3 ("0.0455941, 0.0530987, 0.0545807, 0.0572568, 0.0586843, 0.0615394, 0.0628226, 0.0653889, 0.0702609, 0.0752272, 0.0971374, 0.115969, 0.128158, 0.138298, 0.149228, 0.167611, 0.184642, 0.193654, 0.204853, 0.212175, 0.221937, 0.236009, 0.240394, 0.249163, 0.266703, 0.277905, 0.282093, 0.290469, 0.30722, 0.340723, 0.390152, 0.45025, 0.52652, 4.87098, 5.35734");
-            values ( \
-              "0.000654618, 0.178984, 0.193916, 0.208739, 0.213017, 0.217349, 0.218054, 0.218239, 0.216405, 0.213182, 0.19667, 0.180792, 0.168324, 0.155008, 0.137593, 0.106649, 0.0817124, 0.0700059, 0.0572479, 0.0499354, 0.0413411, 0.0311932, 0.0285143, 0.0237957, 0.0163614, 0.0128687, 0.0117391, 0.00979744, 0.00674867, 0.00311482, 0.000897021, 0.000181456, 3.96687e-06, 6.87175e-06, 0.0058542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0326427");
-            index_3 ("0.0459415, 0.0584749, 0.063011, 0.0673221, 0.0729208, 0.0787126, 0.107131, 0.151142, 0.179353, 0.203341, 0.223238, 0.244609, 0.280535, 0.31288, 0.330111, 0.35151, 0.365356, 0.383817, 0.410777, 0.41916, 0.435927, 0.46946, 0.490611, 0.498521, 0.514342, 0.545983, 0.609266, 0.703379, 0.8008, 0.898221, 0.995641, 1.19048, 4.87097, 5.36472");
-            values ( \
-              "0.0500253, 0.227418, 0.234872, 0.236133, 0.235171, 0.233154, 0.221943, 0.203646, 0.190787, 0.177864, 0.164268, 0.146744, 0.114904, 0.0887153, 0.0761944, 0.0623391, 0.0544637, 0.0452177, 0.0340426, 0.0311446, 0.0259384, 0.0178379, 0.0140225, 0.0128361, 0.0106936, 0.00741801, 0.00341823, 0.00100626, 0.000251947, 8.36767e-05, 5.66389e-06, 1e-22, 2e-22, 0.0121775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0678163");
-            index_3 ("0.0519335, 0.0519535, 0.28068, 0.326448, 0.35878, 0.398941, 0.441986, 0.559219, 0.612779, 0.664538, 0.719435, 0.789868, 0.823263, 0.890051, 0.947631, 0.978984, 1.04169, 1.13911, 1.23653, 1.33395, 1.43137, 1.62621, 2.01589, 4.8709, 5.35737");
-            values ( \
-              "1e-22, 0.251637, 0.203031, 0.191934, 0.182925, 0.169236, 0.151632, 0.100319, 0.0795138, 0.0622796, 0.0472657, 0.0325248, 0.0271239, 0.0186001, 0.0133919, 0.0112069, 0.00774267, 0.00433642, 0.00238291, 0.00134048, 0.000718156, 0.00020866, 5.99624e-06, 1e-22, 0.025331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.140891");
-            index_3 ("0.0588828, 0.0589028, 0.249115, 0.464956, 0.566266, 0.70879, 0.851684, 1.12957, 1.22699, 1.32928, 1.47816, 1.54287, 1.65062, 1.73069, 1.82811, 1.88675, 1.98417, 2.08159, 2.27643, 2.56869, 2.76354, 3.15322, 4.87086, 5.35007");
-            values ( \
-              "1e-22, 0.272094, 0.234255, 0.211883, 0.200544, 0.181181, 0.154198, 0.0945064, 0.0763474, 0.0599756, 0.041198, 0.0347924, 0.0260243, 0.0209123, 0.0159024, 0.0135126, 0.0102138, 0.00775014, 0.00441776, 0.00186246, 0.00104619, 0.000323016, 1e-22, 0.0527026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0741001, 0.0783125, 0.104188, 0.114858, 0.116918, 0.120856, 0.124053, 0.133445, 0.140299, 0.147492, 0.155846, 0.163516, 0.168966, 0.177706, 0.183587, 0.195348, 0.213297, 0.235005, 0.262572, 0.301001, 4.87101, 5.35152");
-            values ( \
-              "0.0160241, 0.0240499, 0.0979179, 0.124919, 0.126736, 0.122351, 0.113115, 0.0736215, 0.0519296, 0.0353924, 0.0222824, 0.0143886, 0.0104691, 0.00622107, 0.00438041, 0.0020741, 0.000631199, 0.00011269, 2.01688e-05, 1e-22, 2e-22, 0.001366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0075629");
-            index_3 ("0.0748034, 0.0886015, 0.104368, 0.112591, 0.113697, 0.115035, 0.116305, 0.116838, 0.117905, 0.120038, 0.124255, 0.127984, 0.130937, 0.134124, 0.138468, 0.140283, 0.143913, 0.149806, 0.159731, 0.167902, 0.172315, 0.178199, 0.181551, 0.185407, 0.189814, 0.198351, 0.201823, 0.207362, 0.213443, 0.221876, 0.225094, 0.231529, 0.2444, 0.270141, 0.300009, 0.337835, 0.386218, 4.55417, 4.65159, 4.74901, 4.80999, 4.87097, 5.36102");
-            values ( \
-              "0.00651805, 0.0660676, 0.12582, 0.154698, 0.16197, 0.168069, 0.171507, 0.172509, 0.17382, 0.174762, 0.17256, 0.168309, 0.164194, 0.159219, 0.151351, 0.147461, 0.138812, 0.122115, 0.0930151, 0.0733381, 0.0639951, 0.0530051, 0.0474807, 0.0417355, 0.0358561, 0.0264906, 0.0233648, 0.0190779, 0.015227, 0.0110403, 0.00978602, 0.00762576, 0.00460613, 0.00152235, 0.000405991, 5.10244e-05, 2.45648e-06, 1e-22, 4.71191e-06, 1e-22, 4.82557e-06, 1e-22, 0.00283835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0157122");
-            index_3 ("0.0747938, 0.116761, 0.119254, 0.121262, 0.122973, 0.126461, 0.130183, 0.143969, 0.162644, 0.174833, 0.184973, 0.219882, 0.240328, 0.258848, 0.282681, 0.295834, 0.31337, 0.324571, 0.33713, 0.353876, 0.387366, 0.436848, 0.496936, 0.573225, 4.87093, 5.34876");
-            values ( \
-              "0.00161924, 0.20365, 0.208117, 0.209489, 0.209716, 0.208743, 0.206686, 0.196522, 0.180776, 0.168312, 0.154997, 0.0981999, 0.0700164, 0.0499306, 0.0311884, 0.0237913, 0.0163718, 0.0128643, 0.00979355, 0.00675889, 0.00311023, 0.000903283, 0.000174289, 1.10111e-05, 1.14122e-07, 0.00589671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0326427");
-            index_3 ("0.0748368, 0.11962, 0.121477, 0.12519, 0.130578, 0.138099, 0.197843, 0.226054, 0.250041, 0.269939, 0.29131, 0.327235, 0.35958, 0.376811, 0.39821, 0.430515, 0.457474, 0.465857, 0.482623, 0.516154, 0.545212, 0.56103, 0.592666, 0.655938, 0.749954, 0.847375, 0.944795, 1.23706, 4.87095, 5.35938");
-            values ( \
-              "0.00217843, 0.228005, 0.23003, 0.231494, 0.230782, 0.228165, 0.203631, 0.190799, 0.177876, 0.16428, 0.146734, 0.114916, 0.0887246, 0.0761877, 0.0623483, 0.0452118, 0.0340517, 0.0311387, 0.0259474, 0.0178322, 0.0128305, 0.0107025, 0.00741252, 0.00342662, 0.00100112, 0.000259642, 7.66918e-05, 1e-22, 2e-22, 0.0122509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0678163");
-            index_3 ("0.0932992, 0.112356, 0.118131, 0.121751, 0.125476, 0.131068, 0.188982, 0.299917, 0.356978, 0.405476, 0.445639, 0.488682, 0.58963, 0.659475, 0.711233, 0.766129, 0.836561, 0.869955, 0.936741, 0.994319, 1.02567, 1.08837, 1.18579, 1.28321, 1.38063, 1.47805, 1.57547, 1.77031, 2.06257, 4.87074, 5.35441");
-            values ( \
-              "0.175956, 0.204806, 0.236588, 0.242001, 0.243704, 0.243787, 0.232397, 0.209111, 0.196033, 0.182902, 0.169214, 0.151655, 0.107147, 0.0795308, 0.0622962, 0.0472824, 0.0325411, 0.027109, 0.0186164, 0.0134081, 0.0111924, 0.00775879, 0.0043216, 0.00239844, 0.00132537, 0.000733475, 0.000403728, 0.000122512, 2.11405e-05, 4.83604e-07, 0.0255507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.140891");
-            index_3 ("0.0932539, 0.122655, 0.127859, 0.139925, 0.177281, 0.511706, 0.628705, 0.728124, 0.810375, 0.898435, 1.17632, 1.33744, 1.42749, 1.52491, 1.63579, 1.69736, 1.77743, 1.87485, 1.93349, 2.03091, 2.12833, 2.32317, 2.51802, 2.81028, 3.19996, 4.87069, 5.34383");
-            values ( \
-              "0.164604, 0.2488, 0.250455, 0.249942, 0.246281, 0.211862, 0.198651, 0.185424, 0.171706, 0.15418, 0.0945235, 0.0658193, 0.0528514, 0.0412139, 0.0307547, 0.0260399, 0.0208976, 0.0159178, 0.013498, 0.010229, 0.00773538, 0.00440297, 0.00249659, 0.00106099, 0.000337688, 9.36507e-07, 0.0530826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.131918, 0.142783, 0.152542, 0.201627, 0.214403, 0.220927, 0.225296, 0.230271, 0.248746, 0.249217, 0.273286, 0.281997, 0.297533, 0.314451, 0.334505, 0.359711, 0.393441, 4.87082");
-            values ( \
-              "0.00445051, 0.0084022, 0.0151938, 0.0662747, 0.0762386, 0.0793736, 0.0797517, 0.0762596, 0.037842, 0.0384162, 0.0121897, 0.00728626, 0.00280271, 0.000934246, 0.000202788, 4.49315e-05, 1e-22, 1.79702e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0075629");
-            index_3 ("0.13468, 0.156533, 0.162703, 0.201298, 0.218327, 0.225229, 0.235841, 0.238554, 0.24398, 0.245854, 0.24894, 0.251753, 0.256058, 0.269827, 0.280678, 0.291274, 0.296939, 0.307215, 0.312118, 0.321922, 0.328461, 0.335776, 0.345529, 0.365035, 0.393269, 0.427637, 0.471285, 4.87102, 5.3466");
-            values ( \
-              "0.00204524, 0.0238038, 0.0314794, 0.0862578, 0.108945, 0.116984, 0.126992, 0.128634, 0.130479, 0.130074, 0.128314, 0.127685, 0.119825, 0.0835801, 0.059932, 0.0423529, 0.0348312, 0.0241525, 0.0202187, 0.0139729, 0.0109323, 0.00827072, 0.00563399, 0.00255905, 0.000724478, 0.000152799, 1e-22, 2e-22, 0.00287421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0157122");
-            index_3 ("0.13979, 0.151761, 0.160732, 0.164824, 0.173007, 0.180579, 0.233582, 0.249182, 0.251447, 0.254056, 0.256654, 0.261849, 0.267039, 0.274819, 0.284046, 0.292086, 0.320248, 0.332278, 0.346157, 0.357359, 0.364682, 0.374446, 0.388514, 0.401661, 0.419192, 0.430396, 0.442957, 0.459705, 0.4932, 0.54269, 0.602776, 0.679066, 4.87126, 5.3366");
-            values ( \
-              "0.0132822, 0.0218528, 0.0327943, 0.0387986, 0.0512276, 0.0641398, 0.156752, 0.179976, 0.184754, 0.187802, 0.188201, 0.185964, 0.181888, 0.174587, 0.16417, 0.153101, 0.106447, 0.0885056, 0.070019, 0.0572496, 0.0499358, 0.0413455, 0.0311943, 0.0237993, 0.0163717, 0.0128716, 0.00979973, 0.00675515, 0.00311472, 0.000898571, 0.000178746, 6.52639e-06, 1e-22, 0.00597742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0326427");
-            index_3 ("0.139184, 0.176422, 0.257269, 0.292357, 0.362689, 0.465594, 0.518068, 0.563487, 0.643311, 0.667034, 0.761631, 0.952707, 1.05013, 1.14755, 4.87229");
-            values ( \
-              "0.015901, 0.0590892, 0.22036, 0.208905, 0.174032, 0.0884008, 0.0541551, 0.0337351, 0.0137186, 0.0103924, 0.00313065, 1e-22, 0.000387637, 1e-22, 0.00030857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0678163");
-            index_3 ("0.167262, 0.198005, 0.257184, 0.26083, 0.267435, 0.386294, 0.509825, 0.549986, 0.763706, 0.870591, 0.940908, 1.04091, 1.09865, 1.19293, 1.38777, 1.48519, 1.58261, 1.68003, 1.77745, 1.87487, 1.97229, 2.06971, 2.16713, 2.26455, 2.36197, 2.45939, 2.55682, 2.65424, 2.75166, 2.84908, 2.9465, 3.04392, 3.14134, 3.23876, 3.33618, 3.4336, 3.53102, 3.62844, 3.72586, 3.82328, 3.9207, 4.01812, 4.11555, 4.79749, 4.87046, 5.30346");
-            values ( \
-              "0.0861127, 0.108131, 0.238369, 0.237836, 0.237974, 0.213422, 0.183311, 0.169619, 0.0792081, 0.0468938, 0.0321823, 0.0182771, 0.0130532, 0.00739341, 0.00203998, 0.00167896, 0.000377471, 0.000758115, 1e-22, 0.000476893, 1e-22, 0.00039108, 1e-22, 0.00036479, 1e-22, 0.000356615, 1e-22, 0.00035395, 1e-22, 0.000352978, 1e-22, 0.000352502, 1e-22, 0.00035218, 1e-22, 0.000351903, 1e-22, 0.00035164, 1e-22, 0.000351379, 1e-22, 0.000351118, 1e-22, 0.000350061, 1e-22, 0.0259545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.140891");
-            index_3 ("0.169197, 0.252659, 0.265502, 0.674348, 0.834451, 0.916704, 1.22801, 1.38007, 1.53382, 1.69041, 1.74913, 1.92484, 2.01082, 2.03605, 2.08651, 2.18393, 2.28135, 2.37877, 2.47619, 2.57361, 2.67103, 2.76845, 2.86587, 2.9633, 3.06072, 3.15814, 3.25556, 3.35298, 3.4504, 3.54782, 3.64524, 3.74266, 4.8724, 5.34803");
-            values ( \
-              "0.0570714, 0.239404, 0.248083, 0.206095, 0.185828, 0.172108, 0.105261, 0.0759368, 0.052464, 0.0349099, 0.0298036, 0.0182529, 0.0150243, 0.0132595, 0.0122065, 0.00857393, 0.00714286, 0.00472484, 0.00422349, 0.00251816, 0.00255604, 0.00126215, 0.00160858, 0.000550238, 0.00107172, 0.000147758, 0.000767914, 1e-22, 0.000596038, 1e-22, 0.000498736, 1e-22, 2e-22, 0.0539215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.224071, 0.275367, 0.294752, 0.305779, 0.317693, 0.321707, 0.329733, 0.35813, 0.382792, 0.398207, 0.41574, 0.422811, 0.429985, 0.437786, 0.450333, 0.468554, 0.477548, 0.489929, 0.499257, 0.507815, 0.514624, 0.528659, 0.547374, 0.550328, 0.554419, 0.560633, 0.575573, 0.587229, 0.61054, 0.645265, 4.87116, 5.3057");
-            values ( \
-              "0.000562456, 0.0018099, 0.00462129, 0.00672432, 0.0100028, 0.0114414, 0.0148662, 0.0287101, 0.0378976, 0.0427381, 0.0472083, 0.0485699, 0.0495072, 0.0496678, 0.0442857, 0.0250859, 0.0181674, 0.0110396, 0.00729669, 0.00486905, 0.00344543, 0.00160509, 0.000470014, 0.00034937, 0.00165038, 0.00214632, 0.000993347, 0.000461444, 9.09873e-05, 1e-22, 4.90377e-06, 0.00140513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0075629");
-            index_3 ("0.275086, 0.311091, 0.320807, 0.332152, 0.367432, 0.40803, 0.427337, 0.444698, 0.457818, 0.460741, 0.466586, 0.476339, 0.491959, 0.50257, 0.522583, 0.538253, 0.550047, 0.551286, 0.553762, 0.571487, 0.577565, 0.58972, 0.61403, 0.644832, 0.683328, 0.733051, 4.87088, 5.3343");
-            values ( \
-              "0.00567788, 0.0115985, 0.0153054, 0.0208769, 0.0417293, 0.0624755, 0.0712761, 0.0780417, 0.0818754, 0.0824666, 0.0832745, 0.0822861, 0.0693901, 0.0557155, 0.0340942, 0.0213583, 0.0144486, 0.0149605, 0.0149823, 0.0087406, 0.00694251, 0.00430587, 0.00154531, 0.00037949, 5.35762e-05, 1e-22, 3.55965e-06, 0.00295854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0157122");
-            index_3 ("0.274718, 0.32226, 0.329565, 0.342719, 0.46029, 0.47136, 0.4935, 0.499177, 0.51053, 0.514254, 0.521702, 0.534922, 0.558009, 0.581068, 0.59475, 0.609404, 0.623466, 0.636608, 0.65413, 0.665342, 0.677908, 0.694664, 0.728174, 0.777682, 0.837759, 0.914062, 4.87051, 5.332");
-            values ( \
-              "0.00552643, 0.0200016, 0.0237887, 0.0317004, 0.109398, 0.115769, 0.126643, 0.128773, 0.131857, 0.132217, 0.131728, 0.124588, 0.100574, 0.0700565, 0.0547234, 0.0413509, 0.0311825, 0.0237901, 0.016389, 0.0128615, 0.00978776, 0.00676657, 0.00310077, 0.000909658, 0.000166622, 1.83863e-05, 1e-22, 0.00615388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0326427");
-            index_3 ("0.275198, 0.341765, 0.369135, 0.385995, 0.413256, 0.469179, 0.50311, 0.516303, 0.535659, 0.55016, 0.557207, 0.565122, 0.565516, 0.581087, 0.595799, 0.625224, 0.662743, 0.698741, 0.715999, 0.737373, 0.751201, 0.76964, 0.796621, 0.805011, 0.821791, 0.855351, 0.876466, 0.884362, 0.900156, 0.931743, 0.994918, 1.089, 1.18642, 1.28384, 1.38126, 1.5761, 4.87099, 5.33919");
-            values ( \
-              "0.00471065, 0.0339931, 0.0532613, 0.0661037, 0.0875945, 0.13337, 0.159853, 0.169415, 0.181943, 0.189886, 0.191826, 0.190732, 0.19055, 0.182552, 0.173683, 0.151354, 0.11819, 0.0887263, 0.0761656, 0.0623493, 0.0544829, 0.0452259, 0.034059, 0.0311381, 0.0259476, 0.017822, 0.0140336, 0.0128292, 0.0107093, 0.00741695, 0.00343722, 0.000999674, 0.000262717, 7.40466e-05, 1.55888e-05, 1e-22, 2e-22, 0.0127849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0678163");
-            index_3 ("0.275437, 0.37103, 0.434839, 0.552726, 0.556889, 0.56017, 0.566733, 0.601383, 0.69241, 0.732271, 0.756972, 0.806372, 0.903385, 0.963177, 1.01684, 1.04885, 1.08191, 1.11686, 1.1625, 1.19941, 1.24415, 1.30717, 1.32881, 1.37287, 1.42322, 1.52064, 1.61806, 1.71548, 1.8129, 1.91032, 2.10516, 2.3, 4.87123, 5.33344");
-            values ( \
-              "0.00106293, 0.0570492, 0.111819, 0.221829, 0.224539, 0.224782, 0.22408, 0.216959, 0.196696, 0.186239, 0.178661, 0.16034, 0.117656, 0.092591, 0.072705, 0.0624099, 0.0529694, 0.0442883, 0.0347444, 0.0284394, 0.0221966, 0.015494, 0.0137061, 0.0106312, 0.00793376, 0.00441643, 0.00245503, 0.00135329, 0.000752388, 0.000410728, 0.000123116, 3.56116e-05, 1.55532e-06, 0.0265596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.140891");
-            index_3 ("0.275032, 0.585841, 0.737738, 0.904658, 0.998486, 1.09218, 1.14702, 1.23508, 1.41434, 1.51296, 1.61038, 1.71267, 1.76413, 1.86155, 1.92626, 2.034, 2.11406, 2.21149, 2.27012, 2.36754, 2.46496, 2.56238, 2.65981, 2.85465, 3.14691, 3.43917, 3.92627, 4.87083, 5.33665");
-            values ( \
-              "1.00356e-05, 0.238823, 0.223476, 0.205687, 0.194549, 0.181162, 0.171707, 0.154179, 0.115016, 0.0945253, 0.0763297, 0.0599938, 0.0528503, 0.0412159, 0.0347759, 0.026042, 0.0208964, 0.0159198, 0.0134968, 0.010231, 0.00773398, 0.00584088, 0.00440146, 0.00249501, 0.00106269, 0.000449561, 0.000108519, 7.1406e-06, 0.0551745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.499091, 0.593085, 0.624881, 0.657913, 0.676951, 0.693681, 0.707026, 0.738754, 0.753807, 0.770284, 0.778484, 0.791582, 0.802563, 0.824626, 0.841649, 0.853198, 0.865659, 0.885809, 0.895725, 0.91151, 0.923806, 0.936674, 0.943294, 0.955105, 0.967644, 0.977552, 0.997368, 1.02884, 1.06609, 1.21604, 1.234, 1.26068, 1.28401, 1.33065, 1.38243, 1.47022, 1.56764, 1.66506, 1.76248, 1.8599, 1.95732, 2.05474, 2.15216, 2.24958, 2.347, 2.44442, 2.54184, 4.87112, 5.28594");
-            values ( \
-              "0.000196584, 0.001263, 0.00237513, 0.00414315, 0.00591366, 0.0082181, 0.0107553, 0.0177069, 0.0205217, 0.0231898, 0.0243338, 0.0260232, 0.0272145, 0.0292261, 0.0303088, 0.0307855, 0.0309699, 0.0296866, 0.026221, 0.0186093, 0.0135118, 0.00936193, 0.00763827, 0.00516667, 0.00333036, 0.00229365, 0.000998367, 0.0001749, 1e-22, 2e-22, 0.00051852, 0.000258251, 1e-22, 5.04021e-05, 1e-22, 3.16908e-05, 1e-22, 2.26127e-05, 1e-22, 1.60912e-05, 1e-22, 1.14802e-05, 1e-22, 8.24138e-06, 1e-22, 5.97146e-06, 1e-22, 2e-22, 0.00149875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0075629");
-            index_3 ("0.592496, 0.676196, 0.687856, 0.711177, 0.765723, 0.782355, 0.813823, 0.844516, 0.872434, 0.89376, 0.907909, 0.923573, 0.948564, 0.997653, 1.01492, 1.03867, 1.05479, 1.06753, 1.09299, 1.13336, 1.18136, 1.21578, 1.23299, 1.25767, 1.28025, 1.32541, 1.3744, 1.45796, 1.55538, 1.6528, 1.75022, 1.84764, 1.94507, 2.04249, 2.13991, 4.87086, 5.28559");
-            values ( \
-              "0.00384547, 0.00887085, 0.0106984, 0.0155365, 0.0299319, 0.033583, 0.0395652, 0.0444973, 0.0482495, 0.0504515, 0.0514872, 0.0519603, 0.0484937, 0.0220886, 0.0150458, 0.00819926, 0.00522352, 0.00356408, 0.0015013, 0.00020334, 1e-22, 2e-22, 0.000812065, 0.000642403, 7.58314e-05, 8.01424e-05, 1e-22, 4.48732e-05, 1e-22, 3.51446e-05, 1e-22, 2.79982e-05, 1e-22, 2.29439e-05, 1e-22, 7.57116e-06, 0.0031743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0157122");
-            index_3 ("0.607968, 0.678529, 0.707407, 0.718906, 0.806113, 0.857376, 0.893293, 0.947403, 0.97488, 0.99259, 1.01292, 1.04496, 1.11258, 1.13328, 1.16075, 1.18444, 1.19988, 1.21564, 1.22352, 1.23744, 1.25119, 1.27867, 1.30688, 1.3633, 1.44835, 4.87072, 5.29859");
-            values ( \
-              "0.0104388, 0.0127241, 0.0185841, 0.0215291, 0.0467319, 0.0592977, 0.0673964, 0.0779875, 0.0820439, 0.0837642, 0.0838226, 0.0739453, 0.0334691, 0.024003, 0.0146382, 0.00918115, 0.00664602, 0.0046914, 0.00524417, 0.00466034, 0.00328321, 0.00177918, 0.000908285, 0.00021707, 1e-22, 2e-22, 0.00663467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0326427");
-            index_3 ("0.608192, 0.694863, 0.721271, 0.749358, 0.812608, 0.918015, 0.9583, 1.01083, 1.05788, 1.06981, 1.09366, 1.10148, 1.11713, 1.14451, 1.19325, 1.27342, 1.28872, 1.32512, 1.34168, 1.3748, 1.40441, 1.42049, 1.45266, 1.51699, 1.61132, 1.70874, 1.80616, 2.09843, 4.87094, 5.30395");
-            values ( \
-              "0.0118784, 0.019358, 0.0257664, 0.0339885, 0.0542074, 0.0866025, 0.0986388, 0.113266, 0.124317, 0.126588, 0.130128, 0.130816, 0.131185, 0.12649, 0.102113, 0.0533585, 0.0457109, 0.0311377, 0.0260114, 0.0179557, 0.0128389, 0.0106827, 0.00734658, 0.00335204, 0.000969934, 0.000255131, 7.15037e-05, 1e-22, 1.25708e-06, 0.0137825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0678163");
-            index_3 ("0.639959, 0.759448, 0.805761, 0.86613, 0.888651, 0.933693, 1.02839, 1.0675, 1.08156, 1.10967, 1.15111, 1.18318, 1.19843, 1.20592, 1.21526, 1.21735, 1.22072, 1.22462, 1.22931, 1.23869, 1.25541, 1.27502, 1.30692, 1.34488, 1.41765, 1.44541, 1.48132, 1.51561, 1.55824, 1.56739, 1.58569, 1.6223, 1.67602, 1.69271, 1.7261, 1.79286, 1.83478, 1.85046, 1.88181, 1.94453, 2.04195, 2.13937, 2.23679, 2.33421, 2.43163, 2.52905, 2.62647, 2.82131, 3.21099, 4.87034, 5.31012");
-            values ( \
-              "0.019921, 0.0400837, 0.0554711, 0.0765374, 0.0846011, 0.101135, 0.136266, 0.150312, 0.155172, 0.164581, 0.177215, 0.185496, 0.188812, 0.190302, 0.191908, 0.192695, 0.193191, 0.192799, 0.191845, 0.189466, 0.184755, 0.178653, 0.167264, 0.151634, 0.119249, 0.107307, 0.0925372, 0.0795374, 0.0651101, 0.0622972, 0.0569205, 0.0472797, 0.0355929, 0.0325424, 0.0271117, 0.0186203, 0.0146628, 0.0134096, 0.0111933, 0.00775884, 0.00432162, 0.00239846, 0.00132537, 0.000733493, 0.000403713, 0.000223913, 0.000122488, 3.6918e-05, 3.1063e-06, 2.61797e-07, 0.0288551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.140891");
-            index_3 ("0.705569, 0.822197, 0.93558, 1.15734, 1.21591, 1.22633, 1.24686, 1.48403, 1.61064, 1.75353, 2.03141, 2.12883, 2.23112, 2.38, 2.44471, 2.55244, 2.63251, 2.72993, 2.88599, 3.08083, 3.27567, 3.47051, 3.76278, 4.87099, 5.28382");
-            values ( \
-              "0.0577354, 0.0630142, 0.106895, 0.200695, 0.223693, 0.225472, 0.22487, 0.198624, 0.181162, 0.154178, 0.0945252, 0.07633, 0.0599937, 0.0412158, 0.0347764, 0.026042, 0.0208968, 0.0159197, 0.010231, 0.00584072, 0.00331741, 0.00187886, 0.000797109, 3.05094e-05, 0.0608526" \
-            );
-          }
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0241916, 0.0244466, 0.0251186, 0.0258442, 0.0264499, 0.0273872, 0.0281226, 0.028858, 0.0296228, 0.0300052, 0.0303875, 0.030829, 0.0312705, 0.0317938, 0.0323171, 0.0328407, 0.0338879, 0.0354587, 0.0363656, 0.0371226, 0.0390508, 0.040213, 0.0413933, 0.0432588, 0.0445025, 0.0461013, 0.0479031, 0.0496765, 0.0522381, 0.053355, 0.0548648, 0.0570436, 0.0583771, 0.0600901, 0.0619149, 0.0636556, 0.0654089, 0.0672613, 0.0697337, 0.0719491, 0.0741644, 0.0763798, 0.0783269, 0.080274, 0.0822211, 0.0841682, 0.0887097, 0.0932512, 0.120705, 0.861293, 0.975643");
-            values ( \
-              "-0.177802, -0.183325, -0.192441, -0.199291, -0.202461, -0.206146, -0.2076, -0.208709, -0.209498, -0.209753, -0.209914, -0.209934, -0.209923, -0.209841, -0.209689, -0.209424, -0.208724, -0.207248, -0.206126, -0.204955, -0.201249, -0.198302, -0.194344, -0.186119, -0.179659, -0.169725, -0.154664, -0.137447, -0.109838, -0.0989595, -0.0853656, -0.0674282, -0.0582466, -0.0482336, -0.0390944, -0.0314648, -0.0255656, -0.020552, -0.0147788, -0.010696, -0.00797913, -0.00574616, -0.00450831, -0.00342751, -0.00250376, -0.00173705, -0.000897724, -0.000235496, -3.30193e-06, -4.72153e-10, -0.00556902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0075629");
-            index_3 ("0.0242208, 0.0272287, 0.029911, 0.0313355, 0.0331162, 0.0363712, 0.0399039, 0.0450128, 0.0502399, 0.0556841, 0.0599162, 0.0789507, 0.0868553, 0.0911309, 0.0993202, 0.1085, 0.116506, 0.131269, 0.148862, 0.171674, 3.55436");
-            values ( \
-              "-0.149732, -0.254471, -0.25977, -0.260588, -0.260614, -0.259454, -0.256979, -0.251914, -0.244408, -0.231484, -0.216647, -0.0893672, -0.0531689, -0.0395799, -0.0220091, -0.0111117, -0.00614816, -0.00169326, -0.000433762, -1e-22, -7.00155e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0157122");
-            index_3 ("0.0239675, 0.0277812, 0.0298394, 0.0335151, 0.0397422, 0.0495208, 0.0670513, 0.0781831, 0.0899623, 0.0986286, 0.125246, 0.139989, 0.147872, 0.163126, 0.180419, 0.19552, 0.223271, 0.256083, 0.298818, 3.55431");
-            values ( \
-              "-0.102635, -0.289525, -0.294054, -0.296063, -0.294677, -0.290075, -0.277908, -0.265458, -0.243236, -0.214414, -0.0999129, -0.0592192, -0.0441885, -0.0245443, -0.0123552, -0.00672459, -0.00187227, -0.000433282, -1e-22, -2e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0326427");
-            index_3 ("0.0253495, 0.0290278, 0.0311004, 0.0334674, 0.0361108, 0.0396353, 0.0502527, 0.0627619, 0.0920647, 0.116672, 0.136489, 0.157767, 0.168797, 0.209226, 0.225151, 0.250036, 0.276479, 0.29543, 0.314763, 0.329778, 0.359808, 0.414946, 0.480113, 0.5512, 3.55428");
-            values ( \
-              "-0.291335, -0.31317, -0.316087, -0.317248, -0.317448, -0.317198, -0.314975, -0.311547, -0.301275, -0.28933, -0.275224, -0.249638, -0.227564, -0.12904, -0.0983119, -0.0624397, -0.0377203, -0.0258752, -0.017611, -0.0130419, -0.00694455, -0.00198049, -0.000374392, -8.80786e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0678163");
-            index_3 ("0.0253988, 0.0292987, 0.0326492, 0.0394013, 0.0609792, 0.0968131, 0.17067, 0.209092, 0.24908, 0.291971, 0.314202, 0.395821, 0.428012, 0.478366, 0.531603, 0.570028, 0.60931, 0.639851, 0.700933, 0.772021, 0.843108, 0.914195, 1.05637, 3.5542");
-            values ( \
-              "-0.302694, -0.324745, -0.328338, -0.329418, -0.327424, -0.322035, -0.307712, -0.297842, -0.283467, -0.257261, -0.234505, -0.132648, -0.101109, -0.0641748, -0.0387733, -0.0266395, -0.0181071, -0.0133212, -0.00712331, -0.00335292, -0.00160664, -0.000734768, -0.000151174, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.140891");
-            index_3 ("0.0252416, 0.0311946, 0.0455734, 0.0976482, 0.219015, 0.360742, 0.420689, 0.482756, 0.546254, 0.616052, 0.783258, 0.883673, 0.952477, 1.01592, 1.13264, 1.1925, 1.3009, 1.37199, 1.51417, 1.65634, 2.08287, 3.55397");
-            values ( \
-              "-0.30843, -0.33325, -0.335845, -0.332916, -0.322624, -0.3075, -0.29908, -0.287689, -0.270425, -0.237919, -0.134509, -0.0883924, -0.0649938, -0.0486278, -0.0280007, -0.0210645, -0.0124405, -0.008737, -0.00431735, -0.00212186, -0.000235679, -2.14424e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0389516, 0.0416852, 0.042376, 0.0453414, 0.0509352, 0.0520832, 0.0526794, 0.0538719, 0.0552248, 0.05679, 0.0588309, 0.0596042, 0.0620307, 0.0645185, 0.0672975, 0.0738637, 0.0764336, 0.0804302, 0.0847831, 0.0878017, 0.0908711, 0.0932464, 0.097997, 0.106792, 0.117231, 0.130672, 0.148756, 3.55433, 3.88274");
-            values ( \
-              "-0.0199248, -0.105251, -0.113867, -0.140285, -0.183549, -0.198241, -0.201192, -0.204123, -0.204654, -0.203464, -0.200137, -0.198048, -0.189403, -0.176566, -0.155552, -0.0888674, -0.0679231, -0.0433123, -0.0258451, -0.0178346, -0.0122016, -0.00905139, -0.0049027, -0.00141135, -0.000297084, -3.01627e-05, -1e-22, -1.4614e-06, -0.00186251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0075629");
-            index_3 ("0.0397808, 0.0438892, 0.0526126, 0.054237, 0.0551859, 0.0567917, 0.0594697, 0.0625415, 0.0698007, 0.075244, 0.0794754, 0.0841667, 0.0952814, 0.0996352, 0.106409, 0.113753, 0.118869, 0.124041, 0.128039, 0.136037, 0.1509, 0.168518, 0.191181, 0.221582, 3.55439, 3.87551");
-            values ( \
-              "-0.0243984, -0.156704, -0.250241, -0.255732, -0.256837, -0.257401, -0.256478, -0.254025, -0.244373, -0.231607, -0.216596, -0.191014, -0.109048, -0.0832721, -0.0530986, -0.0318146, -0.0219334, -0.0150433, -0.0112097, -0.00607255, -0.00176226, -0.000342511, -4.09455e-05, -1e-22, -2e-22, -0.00387333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0157122");
-            index_3 ("0.0407566, 0.0462522, 0.0530248, 0.0561061, 0.0581013, 0.0632403, 0.0725198, 0.0819768, 0.0916878, 0.1018, 0.109652, 0.118318, 0.143881, 0.159677, 0.167559, 0.182812, 0.192552, 0.200101, 0.2152, 0.242909, 0.275766, 0.318047, 0.374879, 3.55433");
-            values ( \
-              "-0.0600234, -0.206351, -0.285883, -0.293522, -0.294182, -0.293032, -0.288248, -0.281843, -0.273092, -0.259363, -0.243208, -0.214382, -0.103533, -0.0591761, -0.0441427, -0.0244973, -0.0167335, -0.012411, -0.00667444, -0.00193025, -0.000380486, -3.98135e-05, -1e-22, -1.15381e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0326427");
-            index_3 ("0.0417425, 0.0528159, 0.0537327, 0.0555664, 0.0574652, 0.0600532, 0.0626231, 0.0670582, 0.0729757, 0.0804394, 0.0920907, 0.0985591, 0.111496, 0.126409, 0.136109, 0.148237, 0.155926, 0.171303, 0.177204, 0.188234, 0.214889, 0.228663, 0.238367, 0.252883, 0.269472, 0.276559, 0.284854, 0.295913, 0.314864, 0.326689, 0.334196, 0.34921, 0.379238, 0.433685, 0.498622, 0.569709, 0.640796, 3.5543, 3.8855");
-            values ( \
-              "-0.14713, -0.304734, -0.309595, -0.314325, -0.315938, -0.316542, -0.316402, -0.315607, -0.31414, -0.312069, -0.308391, -0.306182, -0.301234, -0.294556, -0.289384, -0.281434, -0.275175, -0.258447, -0.24959, -0.227614, -0.160532, -0.128994, -0.109556, -0.0848564, -0.0624843, -0.0546918, -0.0467042, -0.0376843, -0.0259148, -0.0204722, -0.0176467, -0.0130092, -0.00697958, -0.00199829, -0.000401783, -7.27515e-05, -1e-22, -4.61042e-06, -0.016723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0678163");
-            index_3 ("0.0421396, 0.0535634, 0.0573316, 0.0631773, 0.0808756, 0.116723, 0.19058, 0.229002, 0.268989, 0.311881, 0.334112, 0.41573, 0.447921, 0.498275, 0.551512, 0.589936, 0.629216, 0.659755, 0.720833, 0.79192, 0.863008, 0.934095, 1.07627, 3.55423");
-            values ( \
-              "-0.14773, -0.320509, -0.327989, -0.329203, -0.327459, -0.32204, -0.307706, -0.297836, -0.283474, -0.257268, -0.234499, -0.132654, -0.101104, -0.0641707, -0.0387773, -0.0266364, -0.0181053, -0.0133245, -0.0071216, -0.00335578, -0.00160433, -0.00073731, -0.000153596, -1.32765e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.140891");
-            index_3 ("0.0429018, 0.0551862, 0.0598904, 0.0669756, 0.081487, 0.117676, 0.238855, 0.319388, 0.380772, 0.440717, 0.471035, 0.502784, 0.566282, 0.59058, 0.63608, 0.746289, 0.803285, 0.852063, 0.903701, 0.971814, 1.03665, 1.08323, 1.15432, 1.21176, 1.24737, 1.31846, 1.38954, 1.46063, 1.53172, 1.67389, 1.81607, 2.10042, 3.55408, 3.88035");
-            values ( \
-              "-0.188635, -0.333513, -0.335461, -0.335927, -0.335375, -0.332933, -0.322637, -0.314625, -0.307493, -0.299072, -0.293934, -0.287681, -0.270433, -0.261152, -0.237925, -0.167445, -0.134503, -0.11018, -0.0883852, -0.0652084, -0.0484812, -0.0390237, -0.0277904, -0.0211332, -0.0177787, -0.0125825, -0.00885396, -0.0062542, -0.00438047, -0.00215802, -0.00105872, -0.00024861, -1.14092e-05, -0.0722162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0659008, 0.10338, 0.130052, 0.143606, 0.16418, 0.178817, 0.200057, 0.23785, 0.308938, 0.380025, 0.451112, 0.5222, 0.593287, 0.664374, 3.55288");
-            values ( \
-              "-0.0330086, -0.154769, -0.0181466, -0.0030206, -1e-22, -0.000216032, -1e-22, -0.000203443, -1e-22, -0.000202783, -1e-22, -0.000202462, -1e-22, -0.000202181, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0075629");
-            index_3 ("0.0655892, 0.0852618, 0.113394, 0.122408, 0.154552, 0.172558, 0.183339, 0.198405, 0.216567, 0.240098, 0.273459, 0.331557, 0.402644, 0.473732, 1.48995");
-            values ( \
-              "-0.00437006, -0.127596, -0.237701, -0.220009, -0.0386638, -0.0108036, -0.00419937, -0.00157072, -1e-22, -0.000315601, -1e-22, -0.000303902, -1e-22, -0.000302935, -0.00029931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0157122");
-            index_3 ("0.0732443, 0.081276, 0.0858987, 0.101563, 0.114264, 0.116326, 0.117305, 0.118424, 0.120662, 0.127842, 0.13482, 0.139665, 0.145771, 0.150922, 0.156636, 0.167776, 0.181093, 0.187592, 0.197684, 0.205092, 0.211974, 0.22115, 0.227935, 0.235478, 0.245534, 0.265647, 0.293652, 0.328018, 0.371767, 0.434821, 3.55431, 3.88113");
-            values ( \
-              "-0.0584679, -0.121874, -0.147344, -0.223241, -0.281084, -0.28601, -0.286025, -0.285898, -0.285069, -0.280271, -0.273931, -0.268336, -0.259326, -0.24951, -0.234877, -0.189886, -0.129453, -0.104689, -0.0737368, -0.0562747, -0.0435263, -0.0305838, -0.0234913, -0.0174827, -0.0116964, -0.00501636, -0.00141345, -0.000240609, -3.627e-05, -1e-22, -2e-22, -0.00812452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0326427");
-            index_3 ("0.0665509, 0.096786, 0.114513, 0.117589, 0.124958, 0.14309, 0.161606, 0.180641, 0.19277, 0.200458, 0.215836, 0.221736, 0.232767, 0.259421, 0.282899, 0.297415, 0.314004, 0.329385, 0.340444, 0.359395, 0.378725, 0.393738, 0.423763, 0.477988, 0.542508, 0.613595, 0.684683, 3.55431, 3.87811");
-            values ( \
-              "-0.0027806, -0.215918, -0.306409, -0.313229, -0.312008, -0.306152, -0.298874, -0.289375, -0.281424, -0.275184, -0.258437, -0.2496, -0.227604, -0.160522, -0.109546, -0.0848686, -0.0624744, -0.046695, -0.0376958, -0.0259056, -0.0176383, -0.0130202, -0.00697112, -0.00202114, -0.000401431, -8.34563e-05, -1e-22, -1.35043e-05, -0.0168331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0678163");
-            index_3 ("0.075312, 0.100267, 0.114466, 0.117599, 0.120477, 0.125087, 0.136612, 0.17158, 0.223522, 0.253618, 0.282882, 0.31319, 0.344204, 0.356081, 0.378312, 0.432113, 0.479533, 0.508906, 0.542475, 0.562306, 0.59571, 0.634134, 0.646693, 0.673414, 0.703953, 0.765031, 0.836118, 0.907205, 0.978293, 1.12047, 3.5543, 3.86815");
-            values ( \
-              "-0.0909938, -0.243411, -0.31979, -0.327462, -0.327277, -0.327377, -0.32583, -0.320207, -0.31018, -0.303171, -0.294841, -0.283483, -0.266428, -0.257276, -0.234492, -0.165152, -0.112643, -0.0872054, -0.0641617, -0.0533247, -0.038788, -0.0266268, -0.0235392, -0.0180956, -0.0133349, -0.00711173, -0.00336596, -0.00159429, -0.00074742, -0.000163684, -3.36984e-06, -0.0350842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.140891");
-            index_3 ("0.0760278, 0.112424, 0.118779, 0.122299, 0.125742, 0.138507, 0.18534, 0.30989, 0.386311, 0.465142, 0.51529, 0.54704, 0.610539, 0.634836, 0.680336, 0.790545, 0.847541, 0.896319, 0.947957, 1.01681, 1.08016, 1.12572, 1.1968, 1.25683, 1.29427, 1.36536, 1.43644, 1.50753, 1.57862, 1.72079, 1.86297, 2.14732, 3.55414, 3.87695");
-            values ( \
-              "-0.104054, -0.316707, -0.335691, -0.335003, -0.335257, -0.334549, -0.331061, -0.320084, -0.312104, -0.302063, -0.293954, -0.287662, -0.270451, -0.261135, -0.237943, -0.167461, -0.134488, -0.110167, -0.088372, -0.0650006, -0.0486596, -0.0393371, -0.028037, -0.0210393, -0.0175619, -0.0124102, -0.0087498, -0.00616273, -0.00433376, -0.00214007, -0.00105501, -0.000255343, -1e-22, -0.0729141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124548, 0.141234, 0.147113, 0.153014, 0.166228, 0.17656, 0.183409, 0.189761, 0.194363, 0.195883, 0.19892, 0.202225, 0.214623, 0.218208, 0.222453, 0.226329, 0.2315, 0.235706, 0.244116, 0.248964, 0.25253, 0.256956, 0.261001, 0.26909, 0.276717, 0.29197, 0.318057, 3.55438, 3.87611");
-            values ( \
-              "-0.00620085, -0.0312452, -0.0444568, -0.054194, -0.0734812, -0.0872187, -0.095321, -0.101108, -0.103022, -0.102388, -0.099343, -0.0913557, -0.0403587, -0.0291201, -0.0191653, -0.0127964, -0.00728169, -0.00442223, -0.00151985, -0.000738795, -0.00247403, -0.00241169, -0.00137262, -0.000530293, -0.000181972, -3.94886e-05, -1e-22, -2e-22, -0.00191047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0075629");
-            index_3 ("0.124523, 0.152462, 0.157936, 0.176024, 0.193684, 0.202604, 0.207883, 0.210893, 0.216914, 0.218947, 0.222981, 0.226735, 0.23888, 0.246818, 0.248938, 0.250252, 0.259741, 0.263196, 0.268043, 0.274506, 0.287431, 0.303643, 0.324096, 0.35051, 3.55435, 3.87508");
-            values ( \
-              "-0.000546883, -0.0651314, -0.0764871, -0.1098, -0.139245, -0.151966, -0.15801, -0.160538, -0.161826, -0.160055, -0.153633, -0.141229, -0.0828604, -0.0518245, -0.045279, -0.0437546, -0.0239784, -0.0186969, -0.0131366, -0.00810438, -0.00287946, -0.000716069, -0.000102973, -1e-22, -2e-22, -0.00396907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0157122");
-            index_3 ("0.124521, 0.181486, 0.201946, 0.214131, 0.220219, 0.232395, 0.239576, 0.243732, 0.248936, 0.251915, 0.254925, 0.258745, 0.265101, 0.276123, 0.279906, 0.287361, 0.294614, 0.303004, 0.308578, 0.312478, 0.320278, 0.326795, 0.333114, 0.341539, 0.358388, 0.385566, 0.418187, 0.459666, 0.516642, 3.55435, 3.87153");
-            values ( \
-              "-0.00114194, -0.137717, -0.179097, -0.202082, -0.212737, -0.231524, -0.239279, -0.241567, -0.241653, -0.240348, -0.23434, -0.221618, -0.194136, -0.143083, -0.127202, -0.0995183, -0.0773602, -0.057023, -0.0463602, -0.0400021, -0.0296479, -0.0229919, -0.0179543, -0.0128612, -0.00640336, -0.00191025, -0.000382307, -4.2355e-05, -1e-22, -1.02299e-05, -0.00823565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0326427");
-            index_3 ("0.124483, 0.208718, 0.233863, 0.248899, 0.251731, 0.255744, 0.267721, 0.278296, 0.295071, 0.305497, 0.319858, 0.341499, 0.367805, 0.380541, 0.400372, 0.419445, 0.428475, 0.446535, 0.464813, 0.474702, 0.49448, 0.534035, 0.588913, 0.656295, 0.727383, 3.55431, 3.8672");
-            values ( \
-              "-0.00237218, -0.210711, -0.264731, -0.294441, -0.298859, -0.300401, -0.295185, -0.289604, -0.278159, -0.268545, -0.249564, -0.201818, -0.136973, -0.110747, -0.0779445, -0.0546126, -0.0459699, -0.0322861, -0.0224853, -0.0184584, -0.0123538, -0.00530123, -0.0014932, -0.000282525, -4.16687e-05, -1e-22, -0.0171311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0678163");
-            index_3 ("0.124438, 0.244818, 0.251972, 0.257179, 0.328888, 0.35628, 0.390921, 0.41153, 0.452017, 0.459263, 0.485126, 0.550326, 0.582607, 0.616915, 0.655342, 0.673492, 0.709793, 0.747053, 0.767196, 0.807484, 0.878571, 0.949658, 1.02075, 1.16292, 1.51836, 3.55427, 3.87072");
-            values ( \
-              "-0.00514146, -0.305326, -0.319907, -0.322546, -0.308669, -0.302048, -0.291603, -0.283499, -0.259348, -0.253065, -0.224213, -0.141605, -0.108272, -0.0800101, -0.0561138, -0.0472788, -0.0332742, -0.0231052, -0.0189411, -0.0126382, -0.00601848, -0.0028464, -0.00134659, -0.000301029, -5.00822e-06, -1.46183e-06, -0.0355891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.140891");
-            index_3 ("0.156687, 0.201187, 0.248626, 0.258081, 0.260312, 0.355387, 0.461784, 0.563527, 0.645421, 0.708919, 0.778717, 0.945922, 1.04634, 1.11519, 1.17853, 1.2241, 1.29518, 1.35521, 1.46373, 1.53482, 1.67699, 1.81917, 2.03243, 2.24569, 3.55404, 3.86382");
-            values ( \
-              "-0.136597, -0.208042, -0.324242, -0.333537, -0.332717, -0.325003, -0.314628, -0.302063, -0.28766, -0.270454, -0.237947, -0.134483, -0.0883668, -0.0650065, -0.0486649, -0.0393322, -0.0280421, -0.0210349, -0.0124057, -0.0087548, -0.00433861, -0.00214483, -0.000737817, -0.000259982, -1e-22, -0.0746475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.254146, 0.271375, 0.275315, 0.281021, 0.28648, 0.295695, 0.308747, 0.321465, 0.333338, 0.345759, 0.356513, 0.360153, 0.362835, 0.36641, 0.368198, 0.368768, 0.369338, 0.369908, 0.370478, 0.371617, 0.372757, 0.375037, 0.376092, 0.379259, 0.380891, 0.383962, 0.386707, 0.39544, 0.401585, 0.403327, 0.406812, 0.412497, 0.414937, 0.418597, 0.419817, 0.424697, 0.429577, 0.432948, 0.436319, 0.43969, 0.443061, 0.459446, 0.484764, 0.510081, 0.535398, 0.560716, 0.569461, 0.578206, 0.620941, 3.35822, 3.64576");
-            values ( \
-              "-0.0103284, -0.0110358, -0.0128866, -0.0162168, -0.019885, -0.0271514, -0.0362942, -0.0444367, -0.0515627, -0.0582615, -0.063378, -0.0648496, -0.0657608, -0.0667268, -0.0671065, -0.0671138, -0.0671065, -0.0670846, -0.0670479, -0.0669304, -0.0667541, -0.0662253, -0.065824, -0.0641444, -0.0624071, -0.0575528, -0.052365, -0.0340118, -0.0226008, -0.0198862, -0.015432, -0.00973651, -0.00767764, -0.00535542, -0.00470612, -0.00303272, -0.00175745, -0.00127543, -0.000872458, -0.000548523, -0.000303631, -0.000239199, -0.000185752, -0.000188285, -0.000246798, -0.00036129, -0.000199466, -1.02374e-05, -1.87338e-06, -1.38484e-08, -0.00211095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0075629");
-            index_3 ("0.265202, 0.288765, 0.307696, 0.315972, 0.32607, 0.337426, 0.346952, 0.356155, 0.363528, 0.372232, 0.379191, 0.386154, 0.39087, 0.394346, 0.396663, 0.398981, 0.401298, 0.402053, 0.403563, 0.405829, 0.408849, 0.41036, 0.411727, 0.414461, 0.415829, 0.417115, 0.418402, 0.422123, 0.427705, 0.434984, 0.439869, 0.447313, 0.450417, 0.45352, 0.457589, 0.46195, 0.465639, 0.469329, 0.473018, 0.480396, 0.484085, 0.487774, 0.523897, 0.572751, 0.57864, 0.584529, 0.596307, 0.608086, 0.672027, 3.30226");
-            values ( \
-              "-0.026192, -0.0268925, -0.0445998, -0.0516158, -0.0598941, -0.0688469, -0.0760605, -0.0827839, -0.0878865, -0.0935222, -0.0977489, -0.101682, -0.104026, -0.105434, -0.106196, -0.106824, -0.107318, -0.107235, -0.106988, -0.106417, -0.105283, -0.104556, -0.103678, -0.10152, -0.100239, -0.0984872, -0.0962734, -0.0885009, -0.075324, -0.0572283, -0.0464646, -0.0320379, -0.0272879, -0.0232044, -0.0186453, -0.0143045, -0.0110837, -0.00864773, -0.00657951, -0.00409763, -0.00299491, -0.00198435, -0.00138032, -0.000548611, -0.000372264, -0.000148266, -7.06418e-05, -1.09618e-05, -1.05582e-07, -1.35545e-08" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0157122");
-            index_3 ("0.243366, 0.257563, 0.275162, 0.298262, 0.359357, 0.395246, 0.42771, 0.440638, 0.453146, 0.465533, 0.473104, 0.508546, 0.529611, 0.550123, 0.554447, 0.574043, 0.585134, 0.607317, 0.643999, 0.692319, 3.55429");
-            values ( \
-              "-0.00849996, -0.0108577, -0.0212483, -0.0401466, -0.099791, -0.130138, -0.153666, -0.160484, -0.163546, -0.158247, -0.14744, -0.0636735, -0.0315419, -0.0147155, -0.01411, -0.00688675, -0.00438999, -0.00171759, -0.000254594, -1.15535e-05, -1.14935e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0326427");
-            index_3 ("0.275126, 0.307755, 0.342264, 0.386511, 0.435317, 0.464077, 0.489994, 0.505228, 0.513961, 0.531428, 0.537396, 0.551896, 0.555513, 0.585359, 0.598401, 0.607351, 0.618366, 0.637369, 0.646336, 0.66427, 0.677732, 0.682716, 0.692685, 0.712622, 0.752495, 0.807299, 0.874726, 0.945813, 3.55426, 3.8563");
-            values ( \
-              "-0.0375019, -0.0539948, -0.0912994, -0.135845, -0.182136, -0.207066, -0.22653, -0.235358, -0.238758, -0.239407, -0.236493, -0.218745, -0.211438, -0.137741, -0.110852, -0.0948833, -0.0778314, -0.0546067, -0.0460296, -0.0324053, -0.0248369, -0.0224932, -0.0184414, -0.0122962, -0.00524031, -0.00147511, -0.000282349, -3.80412e-05, -2.48886e-06, -0.0179159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0678163");
-            index_3 ("0.274699, 0.340716, 0.401794, 0.46267, 0.509926, 0.550978, 0.552736, 0.5562, 0.568208, 0.59119, 0.605252, 0.628531, 0.648885, 0.671374, 0.715276, 0.7477, 0.76807, 0.793822, 0.811591, 0.833931, 0.863349, 0.890731, 0.92724, 0.954213, 0.964217, 0.984226, 1.02424, 1.09533, 1.16642, 1.2375, 1.30859, 1.45077, 1.59294, 3.55383, 3.86831");
-            values ( \
-              "-0.0248848, -0.0931956, -0.159681, -0.222811, -0.268847, -0.305626, -0.30626, -0.30602, -0.303287, -0.297006, -0.292508, -0.283487, -0.273189, -0.257281, -0.20738, -0.165142, -0.140905, -0.113889, -0.097727, -0.080091, -0.0611118, -0.0472393, -0.0331661, -0.0254858, -0.0230928, -0.0189659, -0.012682, -0.00604715, -0.00285213, -0.00135729, -0.000629076, -0.000134125, -2.42628e-05, -1e-22, -0.0372261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.140891");
-            index_3 ("0.312625, 0.385821, 0.439804, 0.513604, 0.549586, 0.550567, 0.55253, 0.556455, 0.566329, 0.60018, 0.625314, 0.675581, 0.7018, 0.740363, 0.780503, 0.800331, 0.830648, 0.862397, 0.925896, 0.950193, 0.995693, 1.04051, 1.1059, 1.1629, 1.20307, 1.21168, 1.22889, 1.26331, 1.33216, 1.36134, 1.39551, 1.44107, 1.51216, 1.55346, 1.57218, 1.60961, 1.6807, 1.75179, 1.82287, 1.89396, 1.96505, 2.03614, 2.10722, 2.17831, 2.32049, 2.46266, 2.74701, 3.55376, 3.86187");
-            values ( \
-              "-0.107398, -0.146222, -0.205979, -0.285566, -0.322662, -0.324284, -0.325873, -0.326121, -0.32544, -0.322453, -0.320073, -0.314981, -0.312084, -0.307485, -0.302052, -0.299061, -0.293945, -0.28767, -0.270444, -0.261142, -0.237937, -0.209621, -0.167457, -0.134493, -0.114181, -0.110171, -0.102461, -0.088376, -0.0649985, -0.0569335, -0.0486584, -0.0393388, -0.0280355, -0.0230141, -0.0210413, -0.0175623, -0.012412, -0.00874962, -0.006164, -0.00433332, -0.00304903, -0.00213953, -0.00150559, -0.00105446, -0.000518903, -0.000254852, -6.06286e-05, -1e-22, -0.0782454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.479367, 0.535417, 0.545399, 0.558978, 0.574322, 0.580862, 0.593941, 0.6413, 0.66448, 0.683904, 0.701174, 0.717009, 0.728404, 0.731999, 0.739188, 0.745835, 0.746745, 0.754062, 0.779188, 0.788255, 0.794898, 0.79921, 0.807835, 0.825084, 0.845137, 0.870699, 0.903951, 0.960764, 1.21623, 1.234, 1.26247, 1.28744, 1.33713, 1.40189, 1.47298, 1.54406, 1.61515, 1.68624, 1.75733, 1.82841, 1.8995, 1.97059, 2.04168, 2.11276, 2.18385, 2.25494, 2.32602, 3.55464");
-            values ( \
-              "-0.000852519, -0.00274016, -0.0035501, -0.00487834, -0.00679602, -0.00789352, -0.0105078, -0.0239088, -0.0298515, -0.0344131, -0.0380179, -0.0407799, -0.042189, -0.0424513, -0.0426053, -0.0418316, -0.0415883, -0.0383781, -0.0154743, -0.00946082, -0.00642875, -0.00495076, -0.002884, -0.000890782, -0.000246477, -0.000101514, -1.35898e-05, -1e-22, -2e-22, -0.000224576, -1e-22, -2e-22, -3.29192e-05, -1e-22, -3.01339e-05, -1e-22, -2.58378e-05, -1e-22, -2.16235e-05, -1e-22, -1.79645e-05, -1e-22, -1.4934e-05, -1e-22, -1.24701e-05, -1e-22, -1.0478e-05, -2.80147e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0075629");
-            index_3 ("0.557304, 0.60351, 0.614507, 0.620641, 0.627866, 0.642316, 0.667167, 0.689564, 0.702872, 0.712338, 0.725931, 0.736805, 0.746007, 0.754983, 0.762169, 0.769355, 0.772948, 0.776541, 0.778815, 0.781088, 0.783362, 0.785636, 0.78791, 0.790184, 0.792288, 0.794392, 0.7986, 0.799803, 0.802209, 0.807023, 0.810656, 0.814751, 0.831945, 0.842213, 0.846715, 0.85027, 0.856431, 0.861471, 0.86651, 0.873229, 0.876589, 0.879949, 0.891378, 0.902808, 0.98556, 1.06831, 1.15106, 1.26332, 1.35186, 3.0935");
-            values ( \
-              "-0.0164871, -0.0171835, -0.0209047, -0.0231525, -0.025954, -0.0312626, -0.0399872, -0.0474613, -0.0516931, -0.0545822, -0.0584529, -0.0613715, -0.0637088, -0.0658444, -0.0673172, -0.0685466, -0.06907, -0.0695326, -0.0696239, -0.069667, -0.0696617, -0.0696082, -0.0695064, -0.0693563, -0.069109, -0.0687957, -0.0679713, -0.0675661, -0.0663252, -0.0633008, -0.0600506, -0.0556762, -0.0351721, -0.0242943, -0.0204942, -0.0178669, -0.0137509, -0.0107117, -0.00819828, -0.00590808, -0.00492148, -0.00404054, -0.0023955, -0.000851962, -0.000662725, -0.00048806, -0.000327969, -0.000134078, -8.27534e-08, -6.8129e-09" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0157122");
-            index_3 ("0.533707, 0.598953, 0.618765, 0.692265, 0.712344, 0.763998, 0.778439, 0.807321, 0.824215, 0.833786, 0.852927, 0.859031, 0.871238, 0.882549, 0.887819, 0.895177, 0.926506, 0.938155, 0.947163, 0.959173, 0.968224, 0.977558, 0.990005, 1.0149, 1.05118, 1.09452, 1.14878, 1.21586, 1.23363, 1.29704, 1.34833, 1.41942, 1.4905, 1.56159, 1.63268, 1.70377, 1.77485, 3.55428, 3.83055");
-            values ( \
-              "-0.00774553, -0.0196384, -0.0263724, -0.0573679, -0.0652971, -0.0843386, -0.0891921, -0.0981667, -0.102669, -0.104841, -0.107978, -0.108319, -0.107585, -0.103465, -0.0999857, -0.093141, -0.0540715, -0.0412287, -0.0328593, -0.0237478, -0.0183763, -0.0139777, -0.00953622, -0.00412385, -0.000926243, -2.17834e-05, -1e-22, -2e-22, -0.000514178, -1e-22, -5.31607e-05, -1e-22, -4.24388e-05, -1e-22, -3.3964e-05, -1e-22, -2.73797e-05, -2.81786e-06, -0.00936031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0326427");
-            index_3 ("0.532756, 0.608298, 0.629793, 0.728349, 0.776602, 0.822797, 0.866887, 0.906377, 0.92965, 0.94281, 0.969129, 0.977766, 0.995004, 1.01071, 1.02947, 1.0816, 1.10147, 1.12394, 1.14372, 1.16923, 1.20324, 1.21597, 1.22862, 1.25964, 1.28885, 1.327, 1.39193, 1.46302, 3.55439, 3.83128");
-            values ( \
-              "-0.00589152, -0.0262018, -0.0342876, -0.0791262, -0.0998383, -0.118555, -0.135268, -0.148686, -0.155333, -0.158391, -0.162178, -0.161946, -0.158563, -0.148895, -0.12886, -0.0670232, -0.049157, -0.0337078, -0.0236638, -0.014694, -0.00736333, -0.0056584, -0.00534261, -0.00289709, -0.00163741, -0.000674259, -0.000170831, -1e-22, -2e-22, -0.0194454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0678163");
-            index_3 ("0.532182, 0.64723, 0.687545, 0.769653, 0.863231, 0.935289, 0.996736, 1.05199, 1.06474, 1.08442, 1.10294, 1.13999, 1.1525, 1.17728, 1.20052, 1.26602, 1.28113, 1.29921, 1.31988, 1.34838, 1.37327, 1.39855, 1.43472, 1.45035, 1.48162, 1.54417, 1.61525, 1.68634, 1.75743, 1.82851, 1.97069, 2.11286, 3.55419, 3.83637");
-            values ( \
-              "-0.00089857, -0.0446492, -0.0634303, -0.102938, -0.145652, -0.176886, -0.201571, -0.220976, -0.224813, -0.23006, -0.233858, -0.235961, -0.233743, -0.221736, -0.200391, -0.125904, -0.110852, -0.0948022, -0.0788269, -0.060628, -0.0479841, -0.0376513, -0.0264263, -0.0226633, -0.0166165, -0.00872945, -0.00414658, -0.00195519, -0.000926406, -0.000432879, -9.41702e-05, -1.89482e-05, -2.01685e-06, -0.0403915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.140891");
-            index_3 ("0.637834, 0.772007, 0.922243, 1.084, 1.18506, 1.21544, 1.22789, 1.28425, 1.3465, 1.41019, 1.4343, 1.4798, 1.647, 1.69578, 1.74742, 1.81626, 1.85683, 1.92519, 1.99627, 2.0937, 2.16479, 2.23587, 2.30696, 2.44914, 2.59131, 2.80457, 3.55386, 3.82239");
-            values ( \
-              "-0.0728159, -0.107382, -0.180065, -0.254379, -0.295694, -0.306682, -0.30676, -0.299145, -0.287704, -0.270353, -0.26116, -0.23792, -0.134493, -0.110169, -0.0883738, -0.0650027, -0.0540437, -0.0393344, -0.0280376, -0.0175666, -0.0124103, -0.00875314, -0.00616182, -0.00304662, -0.00150306, -0.000521562, -1.16473e-05, -0.0869574" \
-            );
-          }
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0134756, 0.0134579, 0.0133069, 0.0129087, 0.0120318, 0.0102088", \
-            "0.0132739, 0.0132617, 0.0131062, 0.0127125, 0.0118392, 0.0100106", \
-            "0.0129503, 0.0129332, 0.0127819, 0.0123826, 0.0115014, 0.00968897", \
-            "0.0127512, 0.0127408, 0.0125919, 0.0121965, 0.0113263, 0.00949401", \
-            "0.0132186, 0.0131988, 0.0130304, 0.0126117, 0.0117408, 0.00992631", \
-            "0.0156496, 0.0156608, 0.0154997, 0.0151569, 0.0142506, 0.0124395" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075629, 0.0157122, 0.0326427, 0.0678163, 0.140891");
-          values ( \
-            "0.0152258, 0.0152223, 0.0151255, 0.0148185, 0.014123, 0.0126402", \
-            "0.0151043, 0.0151006, 0.015002, 0.0146962, 0.014001, 0.0125229", \
-            "0.0148519, 0.0148485, 0.0147498, 0.0144439, 0.0137485, 0.012271", \
-            "0.0146912, 0.0146886, 0.0145915, 0.0142863, 0.0135886, 0.0121082", \
-            "0.0148786, 0.0148682, 0.0147635, 0.0144532, 0.0137503, 0.012265", \
-            "0.0160405, 0.0160553, 0.0159571, 0.0156793, 0.0149799, 0.0134867" \
-          );
-        }
-      }
-    }
-    pin (QN) {
-      direction : output;
-      function : "IQN";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.136713;
-      timing () {
-        related_pin : "CK";
-        timing_sense : non_unate;
-        timing_type : rising_edge;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0.212254, 0.227103, 0.255756, 0.312718, 0.428798, 0.66755", \
-            "0.216909, 0.231771, 0.260428, 0.317391, 0.43347, 0.67221", \
-            "0.226165, 0.241026, 0.269685, 0.32665, 0.442733, 0.681484", \
-            "0.240041, 0.254902, 0.283568, 0.340535, 0.456615, 0.695367", \
-            "0.253975, 0.268841, 0.297575, 0.354501, 0.470594, 0.709327", \
-            "0.258228, 0.273092, 0.301763, 0.358737, 0.474847, 0.713636" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0.0543017, 0.0721283, 0.110669, 0.192723, 0.36439, 0.719761", \
-            "0.054302, 0.0720998, 0.110675, 0.192725, 0.364391, 0.719758", \
-            "0.0543152, 0.0721086, 0.110677, 0.192727, 0.364391, 0.719762", \
-            "0.0543037, 0.0721278, 0.110678, 0.19273, 0.364393, 0.719762", \
-            "0.054348, 0.0721431, 0.110769, 0.192757, 0.364403, 0.719753", \
-            "0.0543371, 0.0721246, 0.110685, 0.192709, 0.364543, 0.719783" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0.13753, 0.14921, 0.170861, 0.21251, 0.296388, 0.468792", \
-            "0.141757, 0.153435, 0.175088, 0.216738, 0.300623, 0.473045", \
-            "0.151156, 0.162838, 0.184497, 0.226165, 0.310067, 0.482505", \
-            "0.165132, 0.176853, 0.198559, 0.240239, 0.32415, 0.496611", \
-            "0.1778, 0.189528, 0.211225, 0.252837, 0.336637, 0.50907", \
-            "0.182254, 0.194149, 0.216052, 0.257893, 0.341702, 0.513817" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0.0380784, 0.0500919, 0.075037, 0.127989, 0.240277, 0.474221", \
-            "0.0380831, 0.0500961, 0.07504, 0.127982, 0.240278, 0.474221", \
-            "0.0381093, 0.050115, 0.0749996, 0.127994, 0.240198, 0.474219", \
-            "0.0383391, 0.0502174, 0.0752041, 0.128046, 0.240214, 0.474224", \
-            "0.0385036, 0.0503418, 0.0751344, 0.127836, 0.240176, 0.474151", \
-            "0.039633, 0.0513452, 0.0759522, 0.128474, 0.240262, 0.473716" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.178129, 0.187787, 0.192488, 0.195435, 0.198192, 0.20456, 0.215117, 0.215931, 0.217557, 0.223822, 0.230259, 0.232453, 0.242163, 0.247194, 0.254714, 0.270562, 0.276037, 0.278864, 0.29041, 0.302002, 0.317864, 0.327856, 0.345163, 0.392153");
-            values ( \
-              "0.00383465, 0.064014, 0.0754718, 0.0795494, 0.0829208, 0.0860333, 0.085838, 0.0850248, 0.0849755, 0.0805109, 0.0735009, 0.0722535, 0.0629302, 0.0528043, 0.0408306, 0.0220051, 0.0195834, 0.0198581, 0.0164258, 0.0110646, 0.00595232, 0.00395561, 0.00186666, 0.000100359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0075175");
-            index_3 ("0.182645, 0.186712, 0.190972, 0.196787, 0.205854, 0.211162, 0.217795, 0.227936, 0.232171, 0.250062, 0.261751, 0.274392, 0.289436, 0.307268, 0.312314, 0.355323, 0.369571, 0.388391, 0.410699, 0.431319");
-            values ( \
-              "0.0470827, 0.0861592, 0.104467, 0.120313, 0.130814, 0.133316, 0.133687, 0.131558, 0.12889, 0.11229, 0.0990046, 0.0748343, 0.0517959, 0.030644, 0.0277956, 0.0118399, 0.00797731, 0.00456817, 0.0023465, 0.0013071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0155241");
-            index_3 ("0.18264, 0.189899, 0.195851, 0.199153, 0.206932, 0.21192, 0.220102, 0.227809, 0.235302, 0.252879, 0.267227, 0.284941, 0.294094, 0.328453, 0.345401, 0.373203, 0.385115, 0.39695, 0.416621, 0.441117, 0.4602, 0.4833, 0.508554, 0.543437, 0.588462, 0.650968");
-            values ( \
-              "0.0277791, 0.126473, 0.152528, 0.161486, 0.174292, 0.179222, 0.18348, 0.184336, 0.183026, 0.175161, 0.16496, 0.150684, 0.142071, 0.0928427, 0.072139, 0.0446515, 0.0361961, 0.0306076, 0.0235259, 0.0162666, 0.0118193, 0.00780659, 0.004808, 0.00240578, 0.000912262, 0.000229064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0320583");
-            index_3 ("0.190293, 0.215085, 0.217154, 0.229573, 0.242808, 0.25555, 0.290565, 0.359152, 0.377363, 0.432881, 0.468635, 0.505954, 0.52549, 0.566802, 0.601671, 0.658468, 0.666016, 0.681111, 0.761177, 0.895566, 0.977451");
-            values ( \
-              "0.211807, 0.21744, 0.220131, 0.226667, 0.226444, 0.224379, 0.210952, 0.17545, 0.160839, 0.109711, 0.0811974, 0.0568639, 0.0465071, 0.0314357, 0.022775, 0.012932, 0.0126833, 0.0101728, 0.00404019, 0.000536858, 0.000698453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0662026");
-            index_3 ("0.193182, 0.232614, 0.254483, 0.286573, 0.390007, 0.440418, 0.499113, 0.541293, 0.660557, 0.718094, 0.770071, 0.814848, 0.849708, 0.897121, 0.944429, 1.00751, 1.07335, 1.1776, 1.34137, 1.39826");
-            values ( \
-              "0.254713, 0.255385, 0.257625, 0.25198, 0.225937, 0.212114, 0.193046, 0.174402, 0.114191, 0.0884211, 0.0686167, 0.0542484, 0.0450315, 0.0352153, 0.0275489, 0.0196697, 0.0137055, 0.00756438, 0.00285573, 0.00234245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.136713");
-            index_3 ("0.19991, 0.19993, 0.342869, 0.610378, 0.761382, 0.855923, 1.12971, 1.27922, 1.42949, 1.57667, 1.65948, 1.80471, 2.06171, 2.38925, 2.71679, 2.96245");
-            values ( \
-              "1e-22, 0.299841, 0.268018, 0.23203, 0.208279, 0.187981, 0.115839, 0.0819477, 0.0554623, 0.0371499, 0.0295689, 0.0195983, 0.00914991, 0.00323195, 0.00098283, 0.000965205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.196091, 0.206329, 0.211035, 0.213852, 0.219173, 0.223104, 0.226843, 0.234472, 0.239268, 0.260705, 0.273522, 0.289354, 0.294748, 0.302798, 0.32807, 0.340658, 0.362915, 0.383584, 0.413261");
-            values ( \
-              "0.000368705, 0.0636615, 0.0751485, 0.079691, 0.084243, 0.0857147, 0.0862965, 0.0853331, 0.0827758, 0.0625954, 0.040691, 0.0215149, 0.0198655, 0.0184353, 0.00844027, 0.00509837, 0.00197546, 0.000925554, 0.000124193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0075175");
-            index_3 ("0.196133, 0.212234, 0.216046, 0.221011, 0.226284, 0.236464, 0.246647, 0.255979, 0.278041, 0.296768, 0.308629, 0.325394, 0.332774, 0.367037, 0.393574, 0.422387, 0.452749");
-            values ( \
-              "0.00662636, 0.112445, 0.121254, 0.127461, 0.131804, 0.134091, 0.131521, 0.124853, 0.102324, 0.0684093, 0.0509638, 0.0310951, 0.0268191, 0.0141367, 0.00677489, 0.00276847, 0.00162307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0155241");
-            index_3 ("0.203001, 0.208528, 0.214495, 0.218488, 0.228612, 0.238736, 0.246399, 0.253942, 0.270174, 0.285868, 0.303583, 0.312737, 0.347095, 0.364042, 0.391846, 0.403709, 0.415429, 0.43526, 0.460206, 0.479239, 0.501189, 0.526088, 0.560338, 0.604551, 0.66522");
-            values ( \
-              "0.0756886, 0.126532, 0.152856, 0.163213, 0.177807, 0.183686, 0.184041, 0.183264, 0.175941, 0.164962, 0.150692, 0.142062, 0.0928481, 0.0721339, 0.044654, 0.0362276, 0.0306709, 0.0235225, 0.0161469, 0.0117412, 0.0079205, 0.00491027, 0.00249791, 0.00096266, 0.000259262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0320583");
-            index_3 ("0.206501, 0.216008, 0.219151, 0.22744, 0.235818, 0.248859, 0.261472, 0.274211, 0.309404, 0.324018, 0.370812, 0.380306, 0.392964, 0.454226, 0.484379, 0.513363, 0.539309, 0.577178, 0.616573, 0.672996, 0.711854, 0.804165, 0.949248");
-            values ( \
-              "0.176484, 0.182772, 0.193185, 0.210125, 0.219991, 0.226673, 0.226549, 0.224237, 0.210739, 0.204028, 0.179366, 0.173614, 0.162989, 0.107501, 0.0839278, 0.0638736, 0.0490374, 0.0340183, 0.0237591, 0.0136563, 0.00906962, 0.00312709, 0.00036916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0662026");
-            index_3 ("0.21037, 0.258618, 0.273827, 0.289412, 0.328821, 0.402837, 0.459133, 0.514373, 0.560007, 0.669681, 0.736808, 0.788786, 0.833564, 0.868424, 0.915278, 0.964259, 1.02957, 1.09239, 1.19238, 1.27427, 1.35615, 1.43363");
-            values ( \
-              "0.236593, 0.257154, 0.256972, 0.255238, 0.246353, 0.227705, 0.212118, 0.194232, 0.174403, 0.11879, 0.0884214, 0.0686168, 0.0542481, 0.0450311, 0.0353188, 0.0273891, 0.0193123, 0.0136781, 0.0077401, 0.00477652, 0.00292384, 0.00220851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.136713");
-            index_3 ("0.216146, 0.216166, 0.361247, 0.590629, 0.697975, 0.814119, 0.946597, 1.11433, 1.20896, 1.35467, 1.41496, 1.57245, 1.66936, 1.79864, 1.94117, 2.10494, 2.26871, 2.43248, 2.92379");
-            values ( \
-              "1e-22, 0.291788, 0.267462, 0.237305, 0.221768, 0.201787, 0.169647, 0.124467, 0.101289, 0.0710996, 0.0607289, 0.0396374, 0.0303959, 0.0211452, 0.0140033, 0.00859209, 0.00519281, 0.00308456, 0.000513767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.235457, 0.249233, 0.256649, 0.259208, 0.266879, 0.274507, 0.280773, 0.298585, 0.315289, 0.327565, 0.333286, 0.337601, 0.343392, 0.367409, 0.386218, 0.408369, 0.424522");
-            values ( \
-              "0.00441305, 0.0712925, 0.0824422, 0.0842744, 0.0863333, 0.0853732, 0.0816767, 0.065148, 0.03841, 0.0235816, 0.0196972, 0.0197166, 0.0181391, 0.00875681, 0.00412276, 0.00162852, 0.00118548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0075175");
-            index_3 ("0.241599, 0.245435, 0.249702, 0.253912, 0.259248, 0.262977, 0.266359, 0.271548, 0.276532, 0.286723, 0.293002, 0.31447, 0.343824, 0.3635, 0.36963, 0.407122, 0.417245, 0.433637, 0.44381, 0.464156, 0.487041");
-            values ( \
-              "0.055443, 0.0856991, 0.104161, 0.116573, 0.12565, 0.129314, 0.131603, 0.133455, 0.133926, 0.131353, 0.12731, 0.107131, 0.0577065, 0.0334756, 0.0284762, 0.0141866, 0.010953, 0.00685247, 0.00512029, 0.00269399, 0.00144343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0155241");
-            index_3 ("0.241567, 0.248608, 0.253648, 0.260006, 0.268933, 0.274065, 0.278822, 0.286484, 0.294029, 0.311599, 0.325955, 0.343671, 0.352825, 0.387183, 0.40413, 0.43193, 0.443975, 0.455582, 0.475351, 0.5004, 0.519207, 0.541532, 0.566162, 0.599991, 0.643465, 0.703165");
-            values ( \
-              "0.0319006, 0.126868, 0.149524, 0.165781, 0.177743, 0.181446, 0.183428, 0.184271, 0.183018, 0.175153, 0.164954, 0.150687, 0.142066, 0.0928424, 0.0721384, 0.044656, 0.036123, 0.0306537, 0.0235271, 0.016124, 0.011763, 0.00788045, 0.00491657, 0.0025188, 0.000993868, 0.000270367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0320583");
-            index_3 ("0.247804, 0.267293, 0.27344, 0.280686, 0.290348, 0.301507, 0.314238, 0.349603, 0.382951, 0.414014, 0.433886, 0.494263, 0.524639, 0.55346, 0.579227, 0.596811, 0.619158, 0.653987, 0.676644, 0.709905, 0.757857, 0.797305, 0.85091, 0.995883");
-            values ( \
-              "0.194181, 0.209507, 0.217439, 0.223189, 0.22672, 0.226757, 0.223983, 0.210429, 0.194478, 0.177537, 0.162426, 0.107697, 0.0835717, 0.0640267, 0.049273, 0.0413938, 0.0336154, 0.0245117, 0.0199198, 0.0142652, 0.00865692, 0.00573799, 0.00304186, 0.000486626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0662026");
-            index_3 ("0.250552, 0.282687, 0.298469, 0.313676, 0.345139, 0.448574, 0.498986, 0.55768, 0.59986, 0.719124, 0.776662, 0.828639, 0.873416, 0.908275, 0.954475, 1.00542, 1.0712, 1.13244, 1.22965, 1.39342, 1.47131");
-            values ( \
-              "0.241935, 0.250409, 0.256883, 0.257226, 0.251618, 0.225936, 0.212114, 0.193046, 0.174402, 0.114191, 0.0884209, 0.0686166, 0.0542484, 0.0450316, 0.0354408, 0.0272024, 0.019126, 0.0136644, 0.00786041, 0.00297213, 0.00224039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.136713");
-            index_3 ("0.256255, 0.256275, 0.400807, 0.668697, 0.737816, 0.819701, 0.854094, 0.914241, 1.18803, 1.33754, 1.401, 1.4878, 1.5531, 1.63499, 1.7178, 1.86303, 1.97599, 2.12003, 2.2838, 2.52946, 2.641");
-            values ( \
-              "1e-22, 0.292471, 0.26772, 0.231681, 0.221599, 0.20793, 0.201506, 0.18763, 0.116193, 0.0823025, 0.0701426, 0.0558185, 0.046776, 0.0375062, 0.0299252, 0.0199546, 0.0144541, 0.00950625, 0.00585576, 0.00277587, 0.00228397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.316783, 0.332561, 0.336793, 0.34012, 0.343673, 0.350116, 0.356599, 0.363238, 0.378772, 0.396906, 0.40933, 0.415036, 0.419684, 0.425299, 0.451308, 0.462817, 0.482085, 0.51118");
-            values ( \
-              "0.0034699, 0.0739305, 0.0806858, 0.0834644, 0.0851736, 0.0863845, 0.0853736, 0.0812589, 0.0674344, 0.0389585, 0.0240561, 0.0196629, 0.0198107, 0.0181202, 0.00804793, 0.00506047, 0.00222707, 0.000974727" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0075175");
-            index_3 ("0.322239, 0.327424, 0.333443, 0.337673, 0.344071, 0.353538, 0.358507, 0.367261, 0.371554, 0.39077, 0.402453, 0.415138, 0.430154, 0.447971, 0.453048, 0.488426, 0.516425, 0.549195, 0.571612");
-            values ( \
-              "0.0190251, 0.0855363, 0.110259, 0.12043, 0.128754, 0.133601, 0.133815, 0.132042, 0.129852, 0.112362, 0.0989049, 0.0746757, 0.0516911, 0.0305574, 0.0276882, 0.0144114, 0.0066756, 0.00242509, 0.00171119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0155241");
-            index_3 ("0.322268, 0.330667, 0.336623, 0.342452, 0.350712, 0.360863, 0.368527, 0.37607, 0.392302, 0.407997, 0.425713, 0.434867, 0.469226, 0.486173, 0.513966, 0.526314, 0.537823, 0.5574, 0.582324, 0.600832, 0.624409, 0.648855, 0.682446, 0.725296, 0.784714");
-            values ( \
-              "0.00599949, 0.127247, 0.152889, 0.166729, 0.17762, 0.183518, 0.184199, 0.183087, 0.175936, 0.164953, 0.150684, 0.142063, 0.0928418, 0.0721374, 0.0446666, 0.0359565, 0.0305801, 0.0235275, 0.0161562, 0.0118503, 0.00776048, 0.0048561, 0.00250014, 0.00100146, 0.000274624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0320583");
-            index_3 ("0.330096, 0.34926, 0.357953, 0.366214, 0.375068, 0.383603, 0.396327, 0.409432, 0.436416, 0.457401, 0.496064, 0.524084, 0.572071, 0.585394, 0.603157, 0.630773, 0.648002, 0.666748, 0.686177, 0.70877, 0.740268, 0.772625, 0.79811, 0.823337, 0.856973, 0.905981, 0.964778, 1.03812, 1.12001");
-            values ( \
-              "0.198301, 0.209292, 0.219647, 0.224998, 0.226946, 0.226769, 0.223887, 0.219572, 0.208398, 0.198347, 0.177678, 0.155707, 0.111297, 0.100098, 0.086246, 0.0669932, 0.0565105, 0.0466085, 0.0386484, 0.0314333, 0.023583, 0.017398, 0.013416, 0.0103425, 0.00730984, 0.00417125, 0.00219037, 0.000844482, 0.000372811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0662026");
-            index_3 ("0.332943, 0.36455, 0.380523, 0.39542, 0.427193, 0.530629, 0.581041, 0.639736, 0.681916, 0.80118, 0.858717, 0.910694, 0.955471, 0.990331, 1.03592, 1.08871, 1.15374, 1.21459, 1.31046, 1.47423, 1.55118");
-            values ( \
-              "0.247349, 0.250207, 0.256813, 0.257316, 0.251689, 0.225935, 0.212114, 0.193046, 0.174402, 0.114191, 0.0884208, 0.0686166, 0.0542483, 0.0450315, 0.0355555, 0.0270284, 0.0190784, 0.013659, 0.00791911, 0.00299506, 0.00226659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.136713");
-            index_3 ("0.339469, 0.339489, 0.483479, 0.712739, 0.820017, 0.936296, 1.06852, 1.27023, 1.41974, 1.4832, 1.57001, 1.6353, 1.71719, 1.8, 1.94523, 2.02712, 2.20223, 2.44789, 2.61166, 2.6851");
-            values ( \
-              "1e-22, 0.296442, 0.267614, 0.237135, 0.221637, 0.201545, 0.169501, 0.116232, 0.0823417, 0.0701033, 0.0558575, 0.046737, 0.0375452, 0.029964, 0.0199934, 0.0157372, 0.00954477, 0.00451904, 0.00273758, 0.00241376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.482257, 0.495741, 0.500553, 0.503297, 0.505919, 0.509849, 0.513585, 0.519946, 0.521209, 0.523735, 0.529102, 0.535852, 0.537741, 0.541519, 0.547082, 0.547445, 0.553381, 0.561533, 0.574421, 0.580064, 0.584348, 0.590041, 0.614845, 0.623736, 0.63491, 0.649809, 0.673009");
-            values ( \
-              "0.003566, 0.0714904, 0.0802785, 0.082016, 0.0848148, 0.0852673, 0.0867903, 0.0851879, 0.0857886, 0.0837675, 0.0806819, 0.0730586, 0.0724223, 0.0679545, 0.063831, 0.0620859, 0.0514449, 0.0391075, 0.0233803, 0.019788, 0.0196959, 0.0182156, 0.00849667, 0.00601653, 0.00383784, 0.00203454, 0.00075838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0075175");
-            index_3 ("0.488398, 0.492119, 0.496005, 0.496378, 0.500503, 0.505879, 0.513011, 0.52318, 0.532022, 0.533367, 0.536057, 0.541436, 0.543975, 0.549054, 0.555487, 0.558599, 0.563169, 0.583479, 0.588708, 0.595681, 0.607352, 0.614404, 0.623252, 0.664753, 0.675329, 0.69021, 0.710051, 0.741915, 0.781496");
-            values ( \
-              "0.0605148, 0.0850634, 0.104049, 0.103866, 0.11707, 0.126219, 0.132088, 0.13434, 0.131464, 0.131759, 0.129438, 0.126221, 0.123089, 0.11905, 0.111678, 0.109337, 0.10447, 0.0684735, 0.0603381, 0.050714, 0.0366636, 0.0294821, 0.0254064, 0.0106219, 0.00789384, 0.00509368, 0.00284237, 0.000921153, 0.000289361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0155241");
-            index_3 ("0.488405, 0.495331, 0.500979, 0.50985, 0.514848, 0.520379, 0.533173, 0.545454, 0.560941, 0.590441, 0.598524, 0.633947, 0.65098, 0.678739, 0.689236, 0.701152, 0.722103, 0.754128, 0.798131, 0.82922, 0.864401");
-            values ( \
-              "0.0339048, 0.127688, 0.15248, 0.171558, 0.176601, 0.1817, 0.184703, 0.181891, 0.173125, 0.150649, 0.143249, 0.0928697, 0.0720408, 0.0445982, 0.0369927, 0.0311098, 0.0235192, 0.0143895, 0.00655041, 0.00357834, 0.00178315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0320583");
-            index_3 ("0.488353, 0.499559, 0.502517, 0.50881, 0.518354, 0.530725, 0.548132, 0.550147, 0.563585, 0.572547, 0.591559, 0.629621, 0.66064, 0.683284, 0.721011, 0.741076, 0.75908, 0.777633, 0.811623, 0.829188, 0.847925, 0.870142, 0.883778, 0.911048, 0.943209, 0.97166, 0.985115, 1.01202, 1.05977, 1.11506, 1.18528, 1.26717");
-            values ( \
-              "0.036042, 0.170137, 0.183278, 0.200757, 0.216051, 0.225414, 0.227208, 0.225847, 0.222912, 0.219937, 0.212434, 0.194538, 0.177805, 0.160263, 0.125338, 0.107567, 0.0928142, 0.0789611, 0.0570741, 0.0476796, 0.0396211, 0.0323907, 0.0286475, 0.0222853, 0.0163556, 0.0122911, 0.010685, 0.00805844, 0.00475239, 0.00254256, 0.00109267, 0.000412564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0662026");
-            index_3 ("0.493546, 0.509897, 0.521499, 0.5374, 0.545189, 0.550123, 0.555479, 0.567943, 0.579805, 0.640384, 0.695328, 0.745746, 0.800985, 0.825179, 0.846627, 0.956297, 1.02344, 1.07541, 1.12017, 1.15501, 1.20029, 1.25409, 1.31872, 1.37935, 1.41106, 1.47448, 1.55636, 1.63825, 1.72013, 1.8839");
-            values ( \
-              "0.176287, 0.22274, 0.24312, 0.255299, 0.256274, 0.257819, 0.256826, 0.256067, 0.254081, 0.239951, 0.225932, 0.212111, 0.19423, 0.184474, 0.174398, 0.118789, 0.0884145, 0.068615, 0.0542517, 0.0450376, 0.0356179, 0.0269329, 0.0190507, 0.0136558, 0.0114336, 0.00795186, 0.00490706, 0.00300792, 0.00183023, 0.000672465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.136713");
-            index_3 ("0.502996, 0.503016, 0.644128, 0.84148, 0.948994, 1.03955, 1.1008, 1.23326, 1.43254, 1.55945, 1.64133, 1.70164, 1.77724, 1.85912, 1.95602, 2.01524, 2.08919, 2.22798, 2.39175, 2.55552, 2.71929, 2.96495, 3.2106");
-            values ( \
-              "1e-22, 0.292385, 0.268198, 0.241971, 0.226882, 0.21266, 0.201565, 0.169422, 0.116742, 0.0874249, 0.071334, 0.0609603, 0.0497077, 0.0398693, 0.0306258, 0.0260072, 0.0211419, 0.0142264, 0.00881691, 0.00541856, 0.00331077, 0.00157784, 0.000740013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.819223, 0.832802, 0.83874, 0.841005, 0.843008, 0.846935, 0.850687, 0.856947, 0.858319, 0.866225, 0.87311, 0.874867, 0.87838, 0.884204, 0.88458, 0.891706, 0.902156, 0.911732, 0.917188, 0.92696, 0.938979, 0.941205, 0.945656, 0.951855, 0.960648, 0.964267, 0.985987, 1.03179");
-            values ( \
-              "0.00386773, 0.0708245, 0.0812366, 0.0824631, 0.0844923, 0.0852715, 0.0865293, 0.0852689, 0.0855703, 0.0804733, 0.0729826, 0.0722388, 0.0681996, 0.0637195, 0.0621324, 0.0495129, 0.0343235, 0.022961, 0.0200347, 0.0184926, 0.0137413, 0.0123756, 0.0109842, 0.00833422, 0.00626677, 0.00502505, 0.00192045, 6.80437e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0075175");
-            index_3 ("0.819268, 0.835838, 0.84221, 0.850134, 0.860317, 0.87051, 0.879747, 0.901705, 0.922328, 0.93248, 0.948722, 0.954664, 0.995387, 1.01243, 1.04233, 1.10646");
-            values ( \
-              "0.000777023, 0.112064, 0.12493, 0.131867, 0.134164, 0.131596, 0.125043, 0.102476, 0.0655879, 0.0508753, 0.0316429, 0.0275677, 0.0124022, 0.00766105, 0.00294004, 6.25712e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0155241");
-            index_3 ("0.825574, 0.832319, 0.837831, 0.84388, 0.852833, 0.86258, 0.87025, 0.877802, 0.894034, 0.909742, 0.92746, 0.936621, 0.970955, 0.987863, 1.01564, 1.02683, 1.03816, 1.05888, 1.09011, 1.10909, 1.135, 1.16472, 1.20253, 1.20286");
-            values ( \
-              "0.0395639, 0.126355, 0.151036, 0.166177, 0.17805, 0.183591, 0.183748, 0.18323, 0.175864, 0.164924, 0.150714, 0.142085, 0.0929264, 0.0722506, 0.0447442, 0.0366935, 0.0311695, 0.0236292, 0.0146518, 0.0105638, 0.0065678, 0.00370145, 0.0016948, 0.00168818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0320583");
-            index_3 ("0.825612, 0.836674, 0.839685, 0.845956, 0.855491, 0.867954, 0.885319, 0.911159, 0.938147, 0.976778, 0.997781, 1.02479, 1.03306, 1.08653, 1.12685, 1.14989, 1.177, 1.21596, 1.22882, 1.26124, 1.31876, 1.37499, 1.42686, 1.49061, 1.57096");
-            values ( \
-              "0.0394707, 0.169387, 0.182542, 0.200155, 0.215584, 0.225086, 0.226937, 0.21983, 0.208698, 0.189616, 0.177153, 0.157153, 0.148166, 0.100338, 0.0704003, 0.0561562, 0.0424994, 0.0296437, 0.026516, 0.0196332, 0.0110824, 0.00608499, 0.0034086, 0.0016144, 0.000613402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0662026");
-            index_3 ("0.830664, 0.846703, 0.858624, 0.87451, 0.89724, 0.929018, 1.03246, 1.08288, 1.14157, 1.18372, 1.26966, 1.34167, 1.40093, 1.45486, 1.49207, 1.53701, 1.59206, 1.65574, 1.71653, 1.81124, 1.89312, 1.97501, 2.22066");
-            values ( \
-              "0.1774, 0.221402, 0.242706, 0.254958, 0.257494, 0.251942, 0.225925, 0.212113, 0.193089, 0.174472, 0.130619, 0.0964123, 0.072785, 0.0549753, 0.0450422, 0.0357069, 0.0268227, 0.0190589, 0.0136494, 0.00796596, 0.00492332, 0.00301114, 0.000677903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.136713");
-            index_3 ("0.84018, 0.8402, 0.984333, 1.21456, 1.32188, 1.40182, 1.43811, 1.49821, 1.77205, 1.92158, 1.99743, 2.07181, 2.13711, 2.219, 2.30186, 2.44709, 2.56003, 2.70404, 2.86781, 3.03158, 3.27723, 3.60477");
-            values ( \
-              "1e-22, 0.292625, 0.267373, 0.237442, 0.221558, 0.208291, 0.201491, 0.187652, 0.116176, 0.0822829, 0.0679413, 0.0558049, 0.0467939, 0.0374904, 0.0299049, 0.0199356, 0.014436, 0.009489, 0.00583818, 0.00357028, 0.00170134, 0.000627911" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0.00977663, 0.00977787, 0.00977911, 0.00978004, 0.00978061, 0.00978092", \
-            "0.0117525, 0.0117528, 0.0117534, 0.0117539, 0.0117543, 0.0117545", \
-            "0.0130484, 0.0130484, 0.0130485, 0.0130488, 0.0130491, 0.0130492", \
-            "0.0139705, 0.0139704, 0.0139703, 0.0139703, 0.0139704, 0.0139706", \
-            "0.01455, 0.0145499, 0.0145498, 0.0145497, 0.0145496, 0.0145497", \
-            "0.014988, 0.014988, 0.0149879, 0.0149878, 0.0149877, 0.0149876" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0.0138613, 0.0138605, 0.0138603, 0.0138603, 0.0138605, 0.0138606", \
-            "0.0146615, 0.0146607, 0.0146599, 0.0146595, 0.0146594, 0.0146594", \
-            "0.0147581, 0.0147577, 0.014757, 0.0147565, 0.0147561, 0.014756", \
-            "0.0152608, 0.0152608, 0.0152606, 0.0152601, 0.0152596, 0.0152593", \
-            "0.0130381, 0.0130372, 0.0130362, 0.0130352, 0.0130344, 0.0130337", \
-            "0.0121542, 0.0121775, 0.0122305, 0.0122894, 0.0123094, 0.0123167" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.110655, 0.115208, 0.117264, 0.121393, 0.126955, 0.130642, 0.137085, 0.143122, 0.149049, 0.154971, 0.161336, 0.166293, 0.176474, 0.182188, 0.190861, 0.199634, 0.206394, 0.212902, 0.219766, 0.232453, 0.241761, 0.265171, 0.273453");
-            values ( \
-              "-0.0106357, -0.0469864, -0.0577878, -0.0740199, -0.0891887, -0.0969615, -0.106552, -0.110473, -0.110917, -0.108182, -0.0989916, -0.085494, -0.0491518, -0.0340268, -0.0189716, -0.0103811, -0.00560209, -0.00274393, -0.00242027, -0.00297958, -0.00276942, -0.00132234, -0.00105793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0075175");
-            index_3 ("0.114611, 0.120378, 0.127343, 0.136734, 0.145043, 0.152898, 0.160681, 0.168461, 0.172647, 0.176961, 0.185513, 0.197272, 0.204181, 0.208208, 0.217099, 0.225106, 0.233647, 0.242756, 0.250072, 0.263169, 0.294778, 0.336953");
-            values ( \
-              "-0.0896145, -0.100006, -0.130039, -0.156578, -0.169799, -0.174262, -0.173746, -0.168311, -0.162589, -0.154114, -0.124353, -0.077285, -0.056176, -0.0462875, -0.0297374, -0.0198242, -0.0125597, -0.00682025, -0.00378777, -0.00213893, -0.00259617, -0.00138043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0155241");
-            index_3 ("0.117213, 0.126125, 0.134023, 0.140484, 0.14724, 0.159199, 0.170776, 0.182351, 0.188094, 0.194338, 0.206417, 0.211409, 0.216261, 0.234356, 0.242705, 0.252385, 0.25853, 0.266626, 0.273588, 0.282191, 0.29474, 0.301616, 0.312746, 0.327586, 0.352111, 0.380121, 0.509322");
-            values ( \
-              "-0.15454, -0.159305, -0.192955, -0.212756, -0.226917, -0.240597, -0.242441, -0.2387, -0.2346, -0.228453, -0.208912, -0.196005, -0.180295, -0.11631, -0.0919244, -0.0690743, -0.0572266, -0.0443924, -0.035577, -0.0269206, -0.0177164, -0.0139299, -0.00905782, -0.004592, -0.00206054, -0.00224383, -0.000369656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0320583");
-            index_3 ("0.119549, 0.13292, 0.144876, 0.14936, 0.157945, 0.165474, 0.180035, 0.184883, 0.194509, 0.204242, 0.223668, 0.229436, 0.244671, 0.262835, 0.266925, 0.275106, 0.307448, 0.324239, 0.338599, 0.346334, 0.361804, 0.371903, 0.37963, 0.389934, 0.410541, 0.427961, 0.437469, 0.456485, 0.494516, 0.54008, 0.775981");
-            values ( \
-              "-0.212277, -0.21961, -0.261425, -0.271845, -0.286101, -0.293652, -0.299815, -0.299912, -0.298596, -0.295804, -0.287064, -0.28366, -0.272326, -0.251501, -0.245227, -0.229472, -0.152655, -0.117955, -0.093439, -0.0820513, -0.0628568, -0.0526008, -0.0458547, -0.0381238, -0.026068, -0.0188146, -0.0156954, -0.0106402, -0.00436667, -0.00210515, -0.00034909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0662026");
-            index_3 ("0.12229, 0.14406, 0.155093, 0.163584, 0.177592, 0.188745, 0.19932, 0.216938, 0.234666, 0.266291, 0.293523, 0.308007, 0.336975, 0.366828, 0.388954, 0.432109, 0.470749, 0.503791, 0.518168, 0.55333, 0.584143, 0.612032, 0.649218, 0.678836, 0.720869, 0.776913, 0.836349, 0.955222, 1.19297");
-            values ( \
-              "-0.264521, -0.283214, -0.309868, -0.322774, -0.334008, -0.337906, -0.338843, -0.337885, -0.334635, -0.326979, -0.318987, -0.314099, -0.302427, -0.285662, -0.267159, -0.212287, -0.160117, -0.122326, -0.108296, -0.079664, -0.0600307, -0.0463204, -0.0326112, -0.0243923, -0.0161144, -0.00901801, -0.0045546, -0.00167658, -0.000469242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.136713");
-            index_3 ("0.125347, 0.155998, 0.176734, 0.187668, 0.197902, 0.216676, 0.266088, 0.335492, 0.430404, 0.480299, 0.539735, 0.592329, 0.640254, 0.699691, 0.811968, 0.896096, 0.950995, 1.01043, 1.08194, 1.13222, 1.19166, 1.25792, 1.35681, 1.41625, 1.53512, 1.65399, 1.69083");
-            values ( \
-              "-0.323039, -0.327487, -0.352651, -0.358321, -0.360632, -0.362412, -0.358966, -0.350506, -0.336848, -0.328438, -0.315554, -0.300039, -0.279055, -0.240074, -0.160416, -0.113017, -0.0888759, -0.0677805, -0.0486159, -0.0383278, -0.0287563, -0.0208742, -0.0127625, -0.0093776, -0.00510195, -0.00289294, -0.00266934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.128693, 0.133367, 0.136881, 0.141413, 0.14881, 0.155254, 0.161292, 0.16722, 0.173143, 0.179508, 0.184475, 0.194648, 0.200361, 0.209034, 0.217806, 0.224566, 0.231074, 0.259937, 0.28657");
-            values ( \
-              "-0.00892387, -0.0472025, -0.0647818, -0.0793844, -0.0969914, -0.106476, -0.110511, -0.110855, -0.108223, -0.098993, -0.0854519, -0.0491433, -0.034029, -0.0189744, -0.0103793, -0.00560519, -0.00274166, -0.00276685, -0.00158436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0075175");
-            index_3 ("0.132523, 0.138457, 0.142649, 0.151005, 0.154959, 0.163268, 0.171125, 0.178909, 0.18669, 0.190868, 0.195191, 0.203742, 0.215503, 0.22241, 0.226435, 0.235329, 0.243339, 0.251883, 0.260986, 0.268298, 0.281396, 0.313003, 0.349449");
-            values ( \
-              "-0.0804144, -0.0992318, -0.118944, -0.147133, -0.156477, -0.169835, -0.174185, -0.173792, -0.168246, -0.162597, -0.154108, -0.124353, -0.0772787, -0.0561779, -0.0462904, -0.0297367, -0.0198206, -0.0125562, -0.00682071, -0.00378828, -0.00213959, -0.00259704, -0.00154637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0155241");
-            index_3 ("0.135148, 0.144298, 0.1522, 0.15869, 0.165419, 0.177374, 0.188958, 0.200533, 0.206241, 0.212519, 0.224598, 0.229599, 0.234448, 0.252531, 0.260885, 0.270576, 0.276718, 0.284811, 0.291766, 0.300371, 0.312921, 0.319798, 0.330931, 0.345776, 0.370304, 0.398315, 0.527518");
-            values ( \
-              "-0.14644, -0.159243, -0.192961, -0.212717, -0.226958, -0.2405, -0.242507, -0.238615, -0.234628, -0.228446, -0.208917, -0.195983, -0.18028, -0.116335, -0.0919294, -0.0690577, -0.0572175, -0.0443895, -0.0355818, -0.026923, -0.0177175, -0.0139301, -0.00905668, -0.00459037, -0.00206041, -0.00224383, -0.000369565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0320583");
-            index_3 ("0.137529, 0.151126, 0.158062, 0.163055, 0.173042, 0.183684, 0.197787, 0.212719, 0.222451, 0.241876, 0.253803, 0.26288, 0.281033, 0.293337, 0.325642, 0.342432, 0.356814, 0.364563, 0.380059, 0.390123, 0.408091, 0.428625, 0.446158, 0.474856, 0.513119, 0.558783, 0.655485");
-            values ( \
-              "-0.205336, -0.21936, -0.246596, -0.261082, -0.281867, -0.293682, -0.299879, -0.298711, -0.295698, -0.287173, -0.279524, -0.272329, -0.251514, -0.229422, -0.152685, -0.117988, -0.0934263, -0.0820274, -0.0628025, -0.0525934, -0.0381565, -0.026132, -0.0188223, -0.0106065, -0.00432069, -0.00210083, -0.0013837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0662026");
-            index_3 ("0.140189, 0.16224, 0.173336, 0.18177, 0.195643, 0.206867, 0.217507, 0.235125, 0.252852, 0.284467, 0.31172, 0.326194, 0.355141, 0.385014, 0.407141, 0.450296, 0.488932, 0.521971, 0.54138, 0.571536, 0.602335, 0.630209, 0.667376, 0.711034, 0.739076, 0.795158, 0.854595, 0.914031, 0.973468, 1.21121");
-            values ( \
-              "-0.257724, -0.282987, -0.310083, -0.322658, -0.333997, -0.337829, -0.338871, -0.337859, -0.334647, -0.326973, -0.318942, -0.314146, -0.302386, -0.285601, -0.267223, -0.212221, -0.160009, -0.122447, -0.103731, -0.0795335, -0.0601447, -0.0464419, -0.0325033, -0.0212921, -0.0162288, -0.00889448, -0.00466942, -0.00268184, -0.00179375, -0.000587226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.136713");
-            index_3 ("0.143112, 0.174255, 0.194672, 0.205699, 0.216021, 0.234963, 0.258268, 0.318395, 0.448524, 0.498419, 0.557855, 0.610449, 0.658374, 0.71781, 0.830088, 0.910294, 0.969115, 1.02855, 1.07492, 1.15034, 1.20978, 1.27604, 1.37493, 1.4938, 1.61268, 1.73155, 2.02873");
-            values ( \
-              "-0.315292, -0.327822, -0.35258, -0.35823, -0.360692, -0.36235, -0.36127, -0.354847, -0.336911, -0.328375, -0.315617, -0.300102, -0.278991, -0.240137, -0.160355, -0.114936, -0.0888176, -0.0678385, -0.0546753, -0.0382727, -0.0288118, -0.0208205, -0.0127091, -0.00687234, -0.00379081, -0.00219845, -0.00078017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.16913, 0.173557, 0.176804, 0.182866, 0.189043, 0.195496, 0.201539, 0.207471, 0.213397, 0.219764, 0.224805, 0.234911, 0.240626, 0.2493, 0.258047, 0.264815, 0.271319, 0.30022, 0.326139");
-            values ( \
-              "-0.0117516, -0.047542, -0.0633571, -0.0826762, -0.0968792, -0.106327, -0.110448, -0.11078, -0.108183, -0.0989736, -0.0851658, -0.049117, -0.0340227, -0.0189743, -0.0103883, -0.00561977, -0.00273906, -0.00275989, -0.00160969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0075175");
-            index_3 ("0.17308, 0.178374, 0.185715, 0.195122, 0.203427, 0.211299, 0.219086, 0.22687, 0.231021, 0.235371, 0.243923, 0.255687, 0.262591, 0.266614, 0.275511, 0.283527, 0.292078, 0.30117, 0.308473, 0.321566, 0.353171, 0.397317");
-            values ( \
-              "-0.095998, -0.0974803, -0.12967, -0.156347, -0.169682, -0.174136, -0.173711, -0.168238, -0.162608, -0.154088, -0.124346, -0.0772665, -0.0561782, -0.0462984, -0.0297373, -0.0198149, -0.0125467, -0.00681956, -0.00379145, -0.00213946, -0.0025971, -0.0013241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0155241");
-            index_3 ("0.173393, 0.18454, 0.192455, 0.199054, 0.205685, 0.217638, 0.22923, 0.240853, 0.246374, 0.252791, 0.257644, 0.264116, 0.273896, 0.290351, 0.305427, 0.312481, 0.321886, 0.329423, 0.34204, 0.353984, 0.369569, 0.382158, 0.40548, 0.560312");
-            values ( \
-              "-0.0929575, -0.158978, -0.192798, -0.212773, -0.226885, -0.240371, -0.242515, -0.23859, -0.234739, -0.228447, -0.222209, -0.210549, -0.182966, -0.124211, -0.0811194, -0.0656548, -0.0490286, -0.0386286, -0.0256951, -0.0172466, -0.00969138, -0.00552266, -0.00219586, -0.00042627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0320583");
-            index_3 ("0.176208, 0.185844, 0.191367, 0.201186, 0.207647, 0.216263, 0.223945, 0.238692, 0.243358, 0.252689, 0.262718, 0.282143, 0.287936, 0.303148, 0.321213, 0.333779, 0.365791, 0.382575, 0.39713, 0.420695, 0.430478, 0.447942, 0.467901, 0.486331, 0.516403, 0.5565, 0.60297, 0.686822");
-            values ( \
-              "-0.173233, -0.190796, -0.219295, -0.255418, -0.271371, -0.285788, -0.293614, -0.299784, -0.299916, -0.298619, -0.295814, -0.287037, -0.283643, -0.272325, -0.251645, -0.229048, -0.152952, -0.118218, -0.0933523, -0.0623976, -0.0525076, -0.038445, -0.0266204, -0.018858, -0.0103177, -0.00399795, -0.00204584, -0.00144046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0662026");
-            index_3 ("0.181264, 0.202494, 0.213476, 0.222024, 0.236263, 0.2473, 0.257765, 0.275383, 0.293111, 0.329148, 0.366455, 0.384414, 0.405383, 0.444819, 0.453131, 0.464214, 0.525432, 0.560667, 0.576418, 0.593942, 0.615448, 0.643577, 0.668201, 0.694919, 0.733311, 0.775753, 0.806523, 0.86596, 0.925396, 0.984833, 1.22258");
-            values ( \
-              "-0.278679, -0.282967, -0.309802, -0.322628, -0.334172, -0.337852, -0.338871, -0.337841, -0.334659, -0.325743, -0.314103, -0.307226, -0.297497, -0.26975, -0.261308, -0.248049, -0.164673, -0.123812, -0.108366, -0.0930875, -0.0769475, -0.0594478, -0.0472976, -0.0368121, -0.0253542, -0.0167244, -0.0122926, -0.00634247, -0.00350562, -0.00212494, -0.000586001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.136713");
-            index_3 ("0.184574, 0.221632, 0.235063, 0.250132, 0.269279, 0.291187, 0.324687, 0.394101, 0.489013, 0.538908, 0.598345, 0.650939, 0.698863, 0.7583, 0.866705, 0.949977, 1.0165, 1.07594, 1.11267, 1.16706, 1.21189, 1.2978, 1.35075, 1.46962, 1.58849, 1.70737, 1.73081");
-            values ( \
-              "-0.325013, -0.339313, -0.352678, -0.359513, -0.362109, -0.361817, -0.358911, -0.350415, -0.336929, -0.328359, -0.315632, -0.30011, -0.278985, -0.240143, -0.162857, -0.115361, -0.086156, -0.0656866, -0.0554252, -0.0429235, -0.0346448, -0.0228505, -0.0176325, -0.00965519, -0.00525846, -0.00298467, -0.00285083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.250172, 0.255182, 0.257358, 0.261599, 0.267258, 0.271017, 0.277538, 0.283628, 0.289595, 0.295556, 0.301935, 0.307722, 0.319711, 0.32428, 0.332092, 0.342025, 0.348866, 0.355683, 0.379312, 0.410547");
-            values ( \
-              "-0.00710895, -0.0452546, -0.055684, -0.0721056, -0.0875582, -0.0955973, -0.105458, -0.109656, -0.110274, -0.107747, -0.0986817, -0.0824323, -0.0415903, -0.030935, -0.0182912, -0.00904733, -0.00453525, -0.00241435, -0.00286662, -0.00115319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0075175");
-            index_3 ("0.254172, 0.258324, 0.261898, 0.265318, 0.272527, 0.277236, 0.285602, 0.293513, 0.301328, 0.309139, 0.313046, 0.317078, 0.32096, 0.338811, 0.344342, 0.354143, 0.360005, 0.369187, 0.383117, 0.386622, 0.393634, 0.407541, 0.423344, 0.474363");
-            values ( \
-              "-0.0816966, -0.082935, -0.10379, -0.118846, -0.143384, -0.154936, -0.168726, -0.17337, -0.173256, -0.167851, -0.162724, -0.155204, -0.144179, -0.0740925, -0.0573649, -0.0355578, -0.0265253, -0.0165709, -0.0069869, -0.00527526, -0.00314233, -0.00221626, -0.00282287, -0.00110027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0155241");
-            index_3 ("0.257106, 0.266443, 0.274455, 0.280967, 0.287779, 0.299783, 0.311402, 0.323009, 0.327193, 0.334999, 0.341068, 0.347771, 0.36086, 0.380381, 0.393134, 0.401984, 0.40949, 0.418913, 0.431477, 0.441952, 0.460373, 0.48173, 0.572013");
-            values ( \
-              "-0.143017, -0.156515, -0.190875, -0.21098, -0.225656, -0.239714, -0.242004, -0.238337, -0.235586, -0.228264, -0.220233, -0.207151, -0.166368, -0.100395, -0.0690667, -0.0525703, -0.0415098, -0.0306958, -0.0202674, -0.0141351, -0.00667888, -0.00255814, -0.00134703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0320583");
-            index_3 ("0.258393, 0.269051, 0.280326, 0.285359, 0.295426, 0.306073, 0.320401, 0.325516, 0.335154, 0.344889, 0.364326, 0.370029, 0.385328, 0.403759, 0.415246, 0.454234, 0.47553, 0.497047, 0.511372, 0.523582, 0.538099, 0.55898, 0.584405, 0.604617, 0.64504, 0.695759, 0.873145");
-            values ( \
-              "-0.18069, -0.195549, -0.244544, -0.259538, -0.280709, -0.293039, -0.299425, -0.299583, -0.298407, -0.295648, -0.287015, -0.283652, -0.2723, -0.251092, -0.230571, -0.139282, -0.0993177, -0.0690739, -0.0537477, -0.0432511, -0.0332287, -0.0225697, -0.0138589, -0.00904568, -0.00349146, -0.00190618, -0.000563919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0662026");
-            index_3 ("0.263659, 0.304285, 0.318467, 0.329568, 0.340071, 0.357701, 0.375427, 0.411465, 0.448775, 0.465032, 0.487703, 0.527095, 0.535545, 0.546812, 0.606276, 0.642, 0.65871, 0.677676, 0.698681, 0.726279, 0.74981, 0.776781, 0.815363, 0.858582, 0.890153, 0.94959, 1.00903, 1.06846, 1.30621");
-            values ( \
-              "-0.266275, -0.321813, -0.333712, -0.337544, -0.338706, -0.337738, -0.334629, -0.325739, -0.314099, -0.307922, -0.297499, -0.269791, -0.261195, -0.247708, -0.16655, -0.124853, -0.10841, -0.0919555, -0.0763072, -0.0592612, -0.0476359, -0.0369604, -0.0254376, -0.0166572, -0.0121098, -0.00626714, -0.0034509, -0.00212033, -0.000598519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.136713");
-            index_3 ("0.267172, 0.303835, 0.317079, 0.328274, 0.33874, 0.357764, 0.406938, 0.476344, 0.571256, 0.621151, 0.680588, 0.733182, 0.781106, 0.840543, 0.949515, 1.03234, 1.09782, 1.15726, 1.19527, 1.25243, 1.31187, 1.40812, 1.46755, 1.58643, 1.7053, 1.81568");
-            values ( \
-              "-0.332719, -0.33886, -0.352063, -0.35797, -0.360533, -0.36229, -0.358908, -0.350463, -0.336885, -0.3284, -0.31559, -0.300074, -0.279014, -0.240114, -0.162504, -0.115311, -0.0865146, -0.0659687, -0.055324, -0.0422803, -0.0317989, -0.019925, -0.0148341, -0.00806749, -0.00442705, -0.00268442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.413521, 0.421608, 0.424364, 0.430502, 0.434099, 0.440699, 0.446836, 0.452836, 0.458951, 0.461395, 0.465208, 0.467623, 0.483191, 0.48959, 0.497863, 0.503273, 0.510023, 0.516473, 0.523496, 0.536877, 0.579421, 0.581657");
-            values ( \
-              "-0.0191053, -0.059917, -0.0696659, -0.0865834, -0.0940923, -0.104582, -0.10883, -0.109939, -0.107239, -0.104606, -0.0986403, -0.0930433, -0.0409967, -0.0269587, -0.0154005, -0.0105597, -0.00579457, -0.00280175, -0.00245548, -0.0030232, -0.000840627, -0.000799103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0075175");
-            index_3 ("0.417361, 0.423535, 0.430757, 0.436462, 0.440404, 0.448832, 0.456778, 0.464611, 0.47244, 0.476044, 0.480938, 0.483286, 0.487982, 0.502955, 0.509592, 0.513895, 0.519662, 0.525436, 0.531224, 0.540148, 0.55016, 0.560232, 0.56596, 0.589067, 0.639356");
-            values ( \
-              "-0.0857984, -0.094048, -0.125426, -0.143775, -0.153481, -0.167745, -0.172887, -0.172957, -0.16781, -0.163144, -0.154, -0.147558, -0.130028, -0.0711816, -0.0522486, -0.0424422, -0.0318138, -0.0237918, -0.0176921, -0.0107913, -0.00515633, -0.00287903, -0.00237261, -0.00268097, -0.00105971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0155241");
-            index_3 ("0.420434, 0.434062, 0.444603, 0.451003, 0.46304, 0.474671, 0.486288, 0.497935, 0.508323, 0.516434, 0.550152, 0.57129, 0.588373, 0.599953, 0.613974, 0.625144, 0.647485, 0.682826");
-            values ( \
-              "-0.141806, -0.174806, -0.210526, -0.22471, -0.239245, -0.242062, -0.23843, -0.229019, -0.21347, -0.192631, -0.082937, -0.0432674, -0.0249361, -0.0169171, -0.010087, -0.00619684, -0.00237691, -0.00193891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0320583");
-            index_3 ("0.421633, 0.432058, 0.443563, 0.448603, 0.458683, 0.46936, 0.483583, 0.488799, 0.49843, 0.508145, 0.527552, 0.539058, 0.548494, 0.558208, 0.57066, 0.58153, 0.609367, 0.625445, 0.64411, 0.655017, 0.672625, 0.693695, 0.711111, 0.73143, 0.763228, 0.803534, 0.851246, 1.02848");
-            values ( \
-              "-0.191205, -0.191709, -0.242695, -0.258106, -0.279985, -0.292845, -0.299606, -0.299863, -0.298836, -0.29617, -0.287674, -0.280445, -0.272986, -0.263224, -0.245228, -0.223571, -0.156724, -0.122814, -0.0907129, -0.0754589, -0.0554563, -0.0380916, -0.0276757, -0.0189331, -0.00998304, -0.00383546, -0.00200749, -0.000600056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0662026");
-            index_3 ("0.424014, 0.439492, 0.447812, 0.459132, 0.467481, 0.481483, 0.492681, 0.503245, 0.520851, 0.550191, 0.597857, 0.611823, 0.639755, 0.650772, 0.670633, 0.692777, 0.735932, 0.774568, 0.807607, 0.827017, 0.857173, 0.887972, 0.915846, 0.953011, 0.982649, 1.02471, 1.08079, 1.14023, 1.19967, 1.2591, 1.49685");
-            values ( \
-              "-0.240378, -0.247046, -0.279464, -0.308428, -0.321509, -0.333773, -0.337949, -0.339245, -0.338509, -0.332935, -0.318674, -0.314048, -0.302832, -0.297482, -0.285613, -0.267198, -0.212241, -0.16005, -0.122406, -0.103774, -0.0795767, -0.0601011, -0.0463982, -0.032548, -0.0244682, -0.0161848, -0.00893974, -0.00462458, -0.00272626, -0.00174864, -0.000542152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.136713");
-            index_3 ("0.430343, 0.467122, 0.480801, 0.491776, 0.50201, 0.520626, 0.556123, 0.710728, 0.784315, 0.861211, 0.920648, 0.944327, 0.987575, 1.08923, 1.18962, 1.26358, 1.32301, 1.41025, 1.45556, 1.54297, 1.59892, 1.71779, 1.83667, 1.95554, 1.9618");
-            values ( \
-              "-0.33112, -0.33833, -0.352697, -0.358331, -0.36125, -0.362964, -0.361344, -0.340566, -0.32837, -0.311056, -0.29049, -0.278978, -0.251617, -0.177935, -0.118174, -0.0854514, -0.0651246, -0.0433332, -0.0349068, -0.0228608, -0.0173684, -0.00950198, -0.0051744, -0.00293759, -0.00289915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.747776, 0.759568, 0.770675, 0.77763, 0.784004, 0.790187, 0.79636, 0.803418, 0.806947, 0.823162, 0.82808, 0.833075, 0.837035, 0.844816, 0.850967, 0.857881, 0.875104, 0.902377");
-            values ( \
-              "-0.0201722, -0.0606281, -0.0885903, -0.0999349, -0.105399, -0.107, -0.10533, -0.0960982, -0.0874466, -0.0353041, -0.0255618, -0.0183658, -0.0140293, -0.0078033, -0.00399189, -0.00245418, -0.00308214, -0.00188565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0075175");
-            index_3 ("0.752027, 0.760413, 0.766972, 0.7772, 0.785947, 0.7941, 0.802091, 0.810076, 0.815251, 0.818632, 0.825396, 0.837318, 0.846704, 0.857333, 0.865245, 0.870838, 0.884538, 0.894784, 0.907908, 0.93886, 0.970816");
-            values ( \
-              "-0.0716565, -0.0897858, -0.116297, -0.146902, -0.162651, -0.169157, -0.169948, -0.165689, -0.15892, -0.152484, -0.130772, -0.0830304, -0.0540545, -0.0321264, -0.0215996, -0.0161852, -0.00687346, -0.00318391, -0.00227597, -0.00252978, -0.00161678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0155241");
-            index_3 ("0.752014, 0.758624, 0.764768, 0.770236, 0.774235, 0.782233, 0.788173, 0.800055, 0.806798, 0.812261, 0.823987, 0.829744, 0.836074, 0.848243, 0.853105, 0.858029, 0.876362, 0.884619, 0.894119, 0.900321, 0.908481, 0.915563, 0.924146, 0.936683, 0.943533, 0.954603, 0.969362, 0.99306, 1.01999, 1.14404");
-            values ( \
-              "-0.0696195, -0.0996559, -0.136875, -0.163178, -0.179334, -0.205075, -0.218608, -0.234822, -0.238013, -0.238818, -0.236177, -0.232683, -0.226923, -0.208008, -0.195741, -0.18008, -0.115722, -0.0917311, -0.0693346, -0.0573601, -0.0444197, -0.0354696, -0.0268627, -0.0176875, -0.0139196, -0.00907165, -0.00462047, -0.00211493, -0.00224212, -0.000427721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0320583");
-            index_3 ("0.756979, 0.773007, 0.785649, 0.795648, 0.806831, 0.820839, 0.82649, 0.836212, 0.845976, 0.865509, 0.876226, 0.886501, 0.907416, 0.911373, 0.92495, 0.956159, 0.971145, 0.988202, 0.998833, 1.01275, 1.02418, 1.03887, 1.05987, 1.07032, 1.08587, 1.1066, 1.14578, 1.19541, 1.28617");
-            values ( \
-              "-0.157142, -0.203156, -0.25076, -0.27387, -0.288771, -0.296555, -0.297303, -0.296692, -0.29446, -0.286415, -0.279992, -0.272132, -0.247277, -0.240723, -0.211227, -0.137691, -0.108687, -0.081976, -0.0683265, -0.0535135, -0.0436657, -0.0334575, -0.022658, -0.0186075, -0.013753, -0.00887288, -0.00353149, -0.00194297, -0.00131329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0662026");
-            index_3 ("0.759636, 0.77729, 0.784763, 0.7964, 0.804864, 0.818876, 0.830305, 0.840986, 0.858718, 0.876439, 0.906083, 0.925485, 0.949767, 0.987224, 1.00891, 1.03065, 1.07378, 1.11234, 1.14531, 1.16482, 1.19512, 1.21595, 1.24457, 1.26142, 1.28612, 1.32129, 1.36441, 1.4219, 1.48134, 1.54078, 1.59715");
-            values ( \
-              "-0.21738, -0.241263, -0.270955, -0.302468, -0.316674, -0.330264, -0.335261, -0.337091, -0.336908, -0.334247, -0.327567, -0.322259, -0.314379, -0.298647, -0.285723, -0.267443, -0.212392, -0.160204, -0.122556, -0.103814, -0.0794846, -0.0658244, -0.0510354, -0.0435786, -0.0344783, -0.0245558, -0.0160649, -0.00872955, -0.00452176, -0.00269527, -0.00177374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.136713");
-            index_3 ("0.765661, 0.800682, 0.823946, 0.839345, 0.858026, 0.879484, 0.941845, 1.07164, 1.12161, 1.18105, 1.23033, 1.28152, 1.31697, 1.43187, 1.49131, 1.5365, 1.58596, 1.64539, 1.70035, 1.7808, 1.84024, 1.92763, 1.98706, 2.10594, 2.22481, 2.34368, 2.7003");
-            values ( \
-              "-0.311815, -0.327146, -0.352777, -0.358841, -0.36134, -0.361105, -0.355202, -0.337384, -0.328818, -0.315999, -0.301553, -0.278946, -0.257001, -0.174225, -0.13725, -0.113374, -0.0913009, -0.0697186, -0.0540776, -0.0369586, -0.0277426, -0.01812, -0.0134663, -0.0073068, -0.00403456, -0.00234575, -0.000633248" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00903667", \
-            "0.0103335", \
-            "0.0111061", \
-            "0.0115959", \
-            "0.0118684", \
-            "0.0120169" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.012212", \
-            "0.0135667", \
-            "0.0148358", \
-            "0.0161799", \
-            "0.0165637", \
-            "0.0143778" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "CK";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0.0152205, 0.0152186, 0.0151232, 0.0148262, 0.014157, 0.0127243", \
-            "0.0150977, 0.0150952, 0.0149999, 0.0147035, 0.0140338, 0.0125995", \
-            "0.0148448, 0.0148419, 0.0147481, 0.0144506, 0.0137816, 0.0123496", \
-            "0.0146859, 0.0146824, 0.0145897, 0.0142967, 0.0136217, 0.0121894", \
-            "0.0148669, 0.0148648, 0.0147611, 0.0144682, 0.0137894, 0.0123513", \
-            "0.0160422, 0.0160783, 0.0159677, 0.0156922, 0.0150129, 0.0135846" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0075175, 0.0155241, 0.0320583, 0.0662026, 0.136713");
-          values ( \
-            "0.0134091, 0.0133883, 0.0132448, 0.0128587, 0.0120083, 0.0102349", \
-            "0.0132124, 0.0131911, 0.0130472, 0.0126618, 0.0118129, 0.0100408", \
-            "0.0128845, 0.0128626, 0.012721, 0.0123353, 0.0114906, 0.00971847", \
-            "0.0126871, 0.0126675, 0.0125286, 0.0121438, 0.0112991, 0.00952656", \
-            "0.0132198, 0.0132108, 0.0130701, 0.0126728, 0.0118004, 0.01004", \
-            "0.0154327, 0.0154544, 0.0152883, 0.0149566, 0.0140579, 0.012217" \
-          );
-        }
-      }
-    }
-    pin (CK) {
-      clock : true;
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.01532;
-      rise_capacitance : 0.01532;
-      rise_capacitance_range (0.0119527, 0.01532);
-      fall_capacitance : 0.0151224;
-      fall_capacitance_range (0.0116805, 0.0151224);
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "D";
-        timing_type : min_pulse_width;
-        when : "D";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.0697327, 0.134277, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.162114, 0.176864, 0.9729" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        sdf_cond : "~D";
-        timing_type : min_pulse_width;
-        when : "!D";
-        rise_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.116348, 0.134277, 0.9729" \
-          );
-        }
-        fall_constraint (mpw_constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.0876617, 0.134277, 0.9729" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00903667, 0.0103335, 0.0111061, 0.0115959, 0.0118684, 0.0120169" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.012212, 0.0135667, 0.0148358, 0.0161799, 0.0165637, 0.0143778" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00903667, 0.0103335, 0.0111061, 0.0115959, 0.0118684, 0.0120169" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.012212, 0.0135667, 0.0148358, 0.0161799, 0.0165637, 0.0143778" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00929115, 0.0107927, 0.0117015, 0.0123064, 0.0127026, 0.0130375" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0129917, 0.0136778, 0.0139445, 0.0149533, 0.0153055, 0.0148703" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0100017, 0.0112719, 0.0119676, 0.0123783, 0.0125915, 0.0127095" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0121103, 0.0124844, 0.0128698, 0.0135683, 0.0145571, 0.0156698" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0101734, 0.0116273, 0.0124825, 0.0129974, 0.0132693, 0.0134234" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0130362, 0.0137726, 0.0142859, 0.0151802, 0.0162876, 0.0175009" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0101734, 0.0116273, 0.0124825, 0.0129974, 0.0132693, 0.0134234" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0130362, 0.0137726, 0.0142859, 0.0151802, 0.0162876, 0.0175009" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!D";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0103216, 0.0127769, 0.0144619, 0.0156424, 0.0163887, 0.016929" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0146983, 0.0155378, 0.015386, 0.015974, 0.0158331, 0.0149956" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00900461, 0.0103011, 0.0111091, 0.0116375, 0.0119397, 0.0121064" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0123505, 0.0139297, 0.0152633, 0.016455, 0.0176085, 0.0188669" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00144077, -0.00162778, -0.00182479, -0.00192587, -0.00151345, 0.000939368" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0203265, 0.0201541, 0.0201356, 0.0204507, 0.0216527, 0.0249931" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(D * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0434503, 0.0432406, 0.0429275, 0.0427905, 0.0434962, 0.0462893" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * Q * !QN)";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * Q * !QN)";
-        related_pg_pin : VDD;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0439585, 0.0435807, 0.0433247, 0.0436181, 0.0456967, 0.05193" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!D * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00177282, -0.00197147, -0.00218722, -0.00226375, -0.00177984, 0.000703919" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0232982, 0.0231564, 0.0230551, 0.0233599, 0.0244392, 0.0275418" \
-          );
-        }
-      }
-    }
-    pin (D) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00556728;
-      rise_capacitance : 0.00556728;
-      rise_capacitance_range (0.00446644, 0.00556728);
-      fall_capacitance : 0.00553305;
-      fall_capacitance_range (0.00393907, 0.00553305);
-      timing () {
-        related_pin : "CK";
-        timing_type : hold_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0371345, -0.0209275, -0.0414655", \
-            "-0.0581814, -0.0383602, -0.054507", \
-            "-0.0931318, -0.0681263, -0.0534903" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "-0.0915841, -0.125179, -0.296385", \
-            "-0.123625, -0.1547, -0.324263", \
-            "-0.27143, -0.300762, -0.464239" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "CK";
-        timing_type : setup_rising;
-        rise_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.105041, 0.0879867, 0.158236", \
-            "0.124533, 0.106478, 0.17373", \
-            "0.165234, 0.147179, 0.206436" \
-          );
-        }
-        fall_constraint (constraint_template) {
-          index_1 ("0.0138382, 0.100479, 0.72957");
-          index_2 ("0.0138382, 0.100479, 0.72957");
-          values ( \
-            "0.113515, 0.1361, 0.311922", \
-            "0.14149, 0.165199, 0.339156", \
-            "0.300564, 0.3143, 0.478984" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "CK";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00331773, 0.0043174, 0.00497808, 0.00532604, 0.00549204, 0.00556972" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516589, 0.0053868, 0.00508071, 0.00484485, 0.00472392, 0.004678" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00319078, 0.0037563, 0.0040645, 0.00422892, 0.00431303, 0.00435759" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00431066, 0.00474368, 0.00513563, 0.00547831, 0.00565176, 0.00571986" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!CK";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00336256, 0.00440834, 0.00510428, 0.00549263, 0.00575428, 0.00593437" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00550162, 0.00581837, 0.00559552, 0.00558189, 0.00595513, 0.00573886" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00320288, 0.00380638, 0.00416709, 0.00437386, 0.00447867, 0.00453662" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00452758, 0.00497765, 0.00513787, 0.00541593, 0.00591661, 0.00655652" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "CK";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00459152, -0.00482784, -0.00498734, -0.00507432, -0.00510212, -0.00511434" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00511963, 0.00511775, 0.00512874, 0.00515516, 0.00513496, 0.00512424" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * Q * !QN) + (!CK * !Q * QN)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!CK * Q * !QN) + (!CK * !Q * QN)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0156083, 0.0154371, 0.0152162, 0.0150803, 0.0152455, 0.0162281" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0300841, 0.0299613, 0.0298034, 0.0296796, 0.0298028, 0.0308373" \
-          );
-        }
-      }
-    }
-    ff (IQ,IQN) {
-      clocked_on : "CK";
-      next_state : "D";
-      power_down_function : "(!VDD) + (GND)";
-    }
-  }
-  cell (INVX1) {
-    area : 6.5934;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.138069;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.268901;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00723733;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.138069;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.209443;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.0290239, 0.0397142, 0.062829, 0.11402, 0.228596, 0.485983", \
-            "0.03512, 0.0457649, 0.0689295, 0.119908, 0.234861, 0.49231", \
-            "0.0482184, 0.0606925, 0.0834841, 0.134651, 0.24909, 0.506577", \
-            "0.0678051, 0.0868269, 0.117284, 0.168644, 0.282329, 0.539733", \
-            "0.098944, 0.126396, 0.172158, 0.24324, 0.357782, 0.615369", \
-            "0.15432, 0.191131, 0.255982, 0.362264, 0.524037, 0.784752" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.0205747, 0.0352132, 0.0685459, 0.14373, 0.312739, 0.692724", \
-            "0.0215582, 0.0355259, 0.0685916, 0.14374, 0.312747, 0.692713", \
-            "0.0301917, 0.0407202, 0.0697807, 0.143748, 0.312763, 0.692724", \
-            "0.0474008, 0.0609091, 0.084275, 0.147447, 0.312752, 0.692703", \
-            "0.0736091, 0.0944111, 0.127625, 0.181232, 0.320315, 0.692705", \
-            "0.117863, 0.147341, 0.197846, 0.275469, 0.397282, 0.712412" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.0221569, 0.0306212, 0.048928, 0.0894515, 0.180153, 0.383892", \
-            "0.0268821, 0.0354045, 0.0537821, 0.0944109, 0.185053, 0.388961", \
-            "0.0331752, 0.0451391, 0.0650883, 0.105787, 0.196646, 0.400474", \
-            "0.0375541, 0.0553552, 0.0847026, 0.1315, 0.22228, 0.426086", \
-            "0.0345968, 0.0602046, 0.103766, 0.173366, 0.280338, 0.483773", \
-            "0.0128048, 0.0488383, 0.110714, 0.212332, 0.371288, 0.613019" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.0144744, 0.024911, 0.0485967, 0.101947, 0.221932, 0.491867", \
-            "0.0160985, 0.0254087, 0.0486147, 0.10194, 0.222087, 0.491856", \
-            "0.0243668, 0.0327831, 0.0516361, 0.10198, 0.221972, 0.491928", \
-            "0.0379883, 0.0503792, 0.0710567, 0.111407, 0.222185, 0.491929", \
-            "0.0607165, 0.0784534, 0.1083, 0.155871, 0.245498, 0.492801", \
-            "0.101695, 0.125588, 0.167482, 0.23685, 0.344138, 0.545466" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.024954, 0.0275778, 0.0291102, 0.0313296, 0.0332607, 0.0375438, 0.0405495, 0.0435503, 0.052138, 0.0551682, 0.0586312, 0.0626419, 0.0689768, 0.0774881, 0.0885009, 0.09729, 0.102128");
-            values ( \
-              "0.0433068, 0.206769, 0.221038, 0.233884, 0.236201, 0.228166, 0.214909, 0.196063, 0.107266, 0.0837465, 0.0633485, 0.0463291, 0.0281129, 0.014411, 0.00604393, 0.00295259, 0.00221576" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.008187");
-            index_3 ("0.025965, 0.0278323, 0.0294148, 0.0318707, 0.0342975, 0.0365502, 0.0406798, 0.0441562, 0.0537873, 0.0567857, 0.0624996, 0.0712246, 0.0792268, 0.0886464, 0.0981513, 0.105476, 0.113213, 0.122192, 0.129406, 0.143834, 0.147685");
-            values ( \
-              "0.0488196, 0.267542, 0.289629, 0.308865, 0.3169, 0.318174, 0.312464, 0.302822, 0.266004, 0.250282, 0.211303, 0.145871, 0.101301, 0.0647908, 0.0404312, 0.0278456, 0.0185165, 0.0115398, 0.00784392, 0.00346593, 0.00300784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0184124");
-            index_3 ("0.0269581, 0.0295248, 0.0321727, 0.0336781, 0.0352978, 0.0368547, 0.0399686, 0.044829, 0.0472726, 0.0547157, 0.0642496, 0.0703519, 0.074383, 0.082445, 0.0853864, 0.0910976, 0.111143, 0.120953, 0.12826, 0.137548, 0.144638, 0.151765, 0.161262, 0.169679, 0.180902, 0.190696, 0.203837, 0.221359, 0.25353, 0.291664");
-            values ( \
-              "0.184511, 0.332724, 0.360003, 0.368589, 0.374255, 0.377384, 0.378892, 0.374752, 0.370966, 0.357417, 0.338197, 0.325055, 0.315766, 0.294917, 0.285792, 0.266045, 0.18271, 0.147339, 0.124022, 0.0984691, 0.0820211, 0.0678488, 0.052169, 0.0411417, 0.0297275, 0.0222335, 0.0150115, 0.00877822, 0.00298352, 0.000809525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.041409");
-            index_3 ("0.0288242, 0.0288442, 0.0616437, 0.0840205, 0.103324, 0.125606, 0.144992, 0.156505, 0.171856, 0.206979, 0.21849, 0.231645, 0.254373, 0.274314, 0.287463, 0.304464, 0.315874, 0.331087, 0.358135, 0.370481, 0.388897, 0.413451, 0.46256, 0.535918, 0.618868");
-            values ( \
-              "1e-22, 0.428128, 0.402237, 0.380055, 0.36058, 0.336235, 0.311162, 0.292741, 0.263973, 0.19243, 0.170659, 0.147425, 0.112253, 0.0870274, 0.0730184, 0.0577694, 0.0492177, 0.0395779, 0.0265419, 0.0221062, 0.0167823, 0.0115459, 0.00526886, 0.00147174, 0.00032829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.093128");
-            index_3 ("0.0313964, 0.0314164, 0.0937909, 0.178254, 0.22372, 0.273201, 0.29086, 0.326178, 0.427598, 0.471462, 0.518545, 0.542688, 0.587063, 0.624117, 0.653856, 0.690669, 0.742419, 0.796558, 0.838074, 0.921024, 1.00397, 1.16987");
-            values ( \
-              "1e-22, 0.477112, 0.416762, 0.377367, 0.354956, 0.326898, 0.3149, 0.286969, 0.191851, 0.153683, 0.118095, 0.1024, 0.0778117, 0.0612664, 0.0503411, 0.0392242, 0.0274076, 0.018771, 0.0139483, 0.00760442, 0.00409357, 0.0011795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.209443");
-            index_3 ("0.0340585, 0.0340785, 0.157466, 0.353847, 0.473711, 0.545702, 0.609994, 0.692944, 0.737314, 0.920967, 1.03564, 1.11859, 1.18049, 1.24841, 1.33136, 1.36493, 1.43208, 1.5045, 1.58745, 1.67928, 1.73121, 1.81416, 1.89711, 1.98006, 2.14596, 2.31186, 2.56071");
-            values ( \
-              "1e-22, 0.486836, 0.425296, 0.383653, 0.356696, 0.338482, 0.319441, 0.289711, 0.271569, 0.192419, 0.147203, 0.118927, 0.100548, 0.0829729, 0.0651096, 0.0588715, 0.048036, 0.0383614, 0.029569, 0.022059, 0.0186933, 0.0142673, 0.0109041, 0.00829085, 0.00479733, 0.00276809, 0.00122525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0389974, 0.0420266, 0.0429781, 0.0464645, 0.0509595, 0.0511462, 0.0515196, 0.0522664, 0.0531297, 0.0544613, 0.0560468, 0.0575204, 0.0605615, 0.0606015, 0.0611622, 0.0638555, 0.0655342, 0.0715366, 0.075818, 0.0793606, 0.0811104, 0.0840807, 0.0874754, 0.0903911, 0.0939718, 0.0987461, 0.101267, 0.107472, 0.114563, 0.127578, 0.142985");
-            values ( \
-              "0.00592573, 0.0807326, 0.092128, 0.128965, 0.172082, 0.181524, 0.181523, 0.19782, 0.199499, 0.213855, 0.212127, 0.219027, 0.206521, 0.209326, 0.207239, 0.191682, 0.177705, 0.112862, 0.0803932, 0.0605822, 0.0528774, 0.0419908, 0.0320383, 0.0255134, 0.0192547, 0.0132657, 0.0107955, 0.0067087, 0.00370482, 0.00127102, 0.000251575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.008187");
-            index_3 ("0.0403394, 0.0443456, 0.0509053, 0.0522689, 0.0546585, 0.0562258, 0.0587545, 0.0611148, 0.0653238, 0.0674745, 0.0729112, 0.0763368, 0.0793525, 0.0914946, 0.0995303, 0.104504, 0.108408, 0.112383, 0.117709, 0.122548, 0.128999, 0.1364, 0.148343, 0.164267, 0.184788, 0.210215");
-            values ( \
-              "0.0108172, 0.136575, 0.227842, 0.259426, 0.286825, 0.296318, 0.303402, 0.303626, 0.29635, 0.290003, 0.269453, 0.252668, 0.233772, 0.144099, 0.0998495, 0.0789555, 0.0655191, 0.0539708, 0.0413107, 0.0323303, 0.0231538, 0.0157156, 0.00830142, 0.00332459, 0.000972774, 0.000169981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0184124");
-            index_3 ("0.0419609, 0.0474121, 0.0509702, 0.0527259, 0.0553156, 0.0566171, 0.05922, 0.062528, 0.0656621, 0.0701361, 0.0747656, 0.0839526, 0.0842958, 0.0944381, 0.104742, 0.115473, 0.132677, 0.137601, 0.144166, 0.155851, 0.169982, 0.184084, 0.190534, 0.203434, 0.211509, 0.220586, 0.232687, 0.256891, 0.280277");
-            values ( \
-              "0.0376342, 0.20593, 0.264889, 0.313343, 0.34699, 0.350336, 0.36908, 0.367582, 0.373562, 0.361568, 0.360334, 0.335605, 0.338193, 0.315786, 0.288295, 0.248908, 0.177195, 0.159168, 0.136939, 0.102767, 0.0712913, 0.0483011, 0.0401707, 0.027597, 0.0217018, 0.0165593, 0.011517, 0.0053057, 0.00286504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.041409");
-            index_3 ("0.0437417, 0.0549591, 0.060151, 0.0633274, 0.0663033, 0.0715599, 0.0779997, 0.113508, 0.144371, 0.158871, 0.168567, 0.187958, 0.233964, 0.266666, 0.294685, 0.314168, 0.333869, 0.366945, 0.389525, 0.423709, 0.469287, 0.50941");
-            values ( \
-              "0.151862, 0.368874, 0.403734, 0.410247, 0.411985, 0.41039, 0.405296, 0.370352, 0.337451, 0.319337, 0.305309, 0.271333, 0.178595, 0.123021, 0.0863369, 0.0663979, 0.0504559, 0.0312558, 0.0223779, 0.0133595, 0.00650762, 0.00389867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.093128");
-            index_3 ("0.0509122, 0.0509322, 0.121756, 0.206625, 0.255613, 0.311051, 0.356092, 0.478784, 0.529517, 0.574187, 0.604258, 0.643161, 0.676219, 0.712252, 0.7634, 0.830115, 0.913064, 0.996014, 1.07896, 1.24486");
-            values ( \
-              "1e-22, 0.464928, 0.413167, 0.373451, 0.348822, 0.314935, 0.278439, 0.164485, 0.124499, 0.0956151, 0.0793203, 0.0616967, 0.0495875, 0.0388672, 0.027239, 0.0170255, 0.00929051, 0.00502278, 0.00272055, 0.000793172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.209443");
-            index_3 ("0.0563269, 0.0563469, 0.192699, 0.379573, 0.483166, 0.571119, 0.630297, 0.713247, 0.757603, 0.941255, 1.05592, 1.13887, 1.20078, 1.2687, 1.35165, 1.38522, 1.45236, 1.52478, 1.60773, 1.69957, 1.75149, 1.83444, 1.91739, 2.00034, 2.16624, 2.33214, 2.58099");
-            values ( \
-              "1e-22, 0.496036, 0.422175, 0.382479, 0.359257, 0.337083, 0.319434, 0.289709, 0.271567, 0.192417, 0.147202, 0.11893, 0.10055, 0.0829711, 0.065112, 0.0588697, 0.048039, 0.0383596, 0.0295719, 0.0220565, 0.0186968, 0.0142645, 0.0109076, 0.00828785, 0.00479413, 0.00276473, 0.00122887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0641126, 0.0672129, 0.0701087, 0.0729485, 0.0813801, 0.0948876, 0.0999993, 0.104648, 0.109084, 0.112742, 0.113656, 0.118931, 0.122019, 0.124389, 0.127468, 0.128259, 0.129841, 0.132497, 0.136066, 0.137206, 0.139486, 0.144046, 0.147466, 0.14876, 0.151346, 0.15652, 0.166101, 0.174516");
-            values ( \
-              "0.0151839, 0.0201064, 0.0269096, 0.036695, 0.0725311, 0.120964, 0.135702, 0.146234, 0.149442, 0.139739, 0.138677, 0.0989577, 0.0788571, 0.0687332, 0.05207, 0.0517857, 0.0433378, 0.0378474, 0.0262904, 0.0267064, 0.019865, 0.0161745, 0.00997694, 0.0115938, 0.00701288, 0.00688691, 0.0011727, 0.0017453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.008187");
-            index_3 ("0.0670221, 0.0687668, 0.0728125, 0.0783425, 0.0971557, 0.104694, 0.111186, 0.112634, 0.113842, 0.115459, 0.117017, 0.11986, 0.122807, 0.128115, 0.136652, 0.142609, 0.147634, 0.153384, 0.156456, 0.162602, 0.168715, 0.173132, 0.180011, 0.188783, 0.200481, 0.219256, 0.241516");
-            values ( \
-              "0.0291874, 0.0333524, 0.0482437, 0.076046, 0.177819, 0.213693, 0.240047, 0.244381, 0.252816, 0.258685, 0.259996, 0.256387, 0.245804, 0.213713, 0.149736, 0.114854, 0.0909001, 0.0692662, 0.0596877, 0.0439135, 0.0322639, 0.0257065, 0.0178951, 0.011244, 0.00591758, 0.00194313, 0.000498172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0184124");
-            index_3 ("0.0811956, 0.0979506, 0.103569, 0.109922, 0.111311, 0.1127, 0.113598, 0.114496, 0.11576, 0.117024, 0.117414, 0.118584, 0.119697, 0.12081, 0.123036, 0.12431, 0.125584, 0.126858, 0.128132, 0.129713, 0.134457, 0.139871, 0.143889, 0.149246, 0.150887, 0.153349, 0.155812, 0.159094, 0.160736, 0.169019, 0.17359, 0.182944, 0.184539, 0.189323, 0.192264, 0.195205, 0.198147, 0.201088, 0.209925, 0.212974, 0.216023, 0.22124, 0.226458, 0.229527, 0.23413, 0.235664, 0.238733, 0.244871, 0.250118, 0.259883");
-            values ( \
-              "0.207858, 0.217752, 0.254664, 0.294461, 0.308542, 0.324119, 0.326652, 0.329651, 0.334664, 0.340602, 0.341733, 0.34423, 0.344717, 0.34486, 0.344323, 0.343458, 0.342319, 0.340908, 0.339224, 0.336755, 0.327369, 0.315694, 0.305592, 0.290741, 0.285698, 0.277199, 0.26829, 0.255772, 0.249239, 0.214143, 0.195328, 0.158562, 0.153017, 0.137177, 0.128032, 0.119338, 0.111095, 0.103305, 0.0817972, 0.0756201, 0.0697877, 0.0608471, 0.0524368, 0.0477377, 0.0417391, 0.0399052, 0.0366852, 0.0308403, 0.0265597, 0.0196522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.041409");
-            index_3 ("0.0854356, 0.104041, 0.111033, 0.112658, 0.114031, 0.115331, 0.116547, 0.11733, 0.118113, 0.119678, 0.120461, 0.123768, 0.126461, 0.129153, 0.130005, 0.132559, 0.13483, 0.147, 0.16279, 0.174686, 0.189946, 0.191003, 0.193117, 0.201391, 0.207638, 0.214236, 0.218635, 0.223034, 0.231831, 0.240669, 0.258888, 0.279649, 0.285125, 0.2906, 0.301551, 0.312502, 0.329343, 0.335352, 0.34016, 0.344967, 0.349775, 0.35939, 0.371868, 0.379674, 0.382513, 0.391029, 0.396706, 0.408061, 0.417762, 0.435281");
-            values ( \
-              "0.281882, 0.285328, 0.338472, 0.363033, 0.369223, 0.378556, 0.385654, 0.389388, 0.392164, 0.396326, 0.397711, 0.398442, 0.398572, 0.398282, 0.397868, 0.395923, 0.393942, 0.382489, 0.36651, 0.353886, 0.336707, 0.335872, 0.333763, 0.323274, 0.314584, 0.304483, 0.297285, 0.289799, 0.27396, 0.256886, 0.21949, 0.177888, 0.167626, 0.157791, 0.139541, 0.122712, 0.0991756, 0.091561, 0.0859186, 0.0807786, 0.0758632, 0.0667061, 0.0561397, 0.0498702, 0.0478469, 0.0422344, 0.0390566, 0.0332503, 0.0289492, 0.0221222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.093128");
-            index_3 ("0.0792675, 0.0964185, 0.116389, 0.118699, 0.122303, 0.127226, 0.13255, 0.140356, 0.214356, 0.268438, 0.305229, 0.352834, 0.373615, 0.392118, 0.508424, 0.539258, 0.584249, 0.620898, 0.649294, 0.688302, 0.699049, 0.720542, 0.756766, 0.808061, 0.830485, 0.860517, 0.900558, 0.980642, 1.06359, 1.14654, 1.31244");
-            values ( \
-              "0.152748, 0.238463, 0.408963, 0.418577, 0.42606, 0.428972, 0.42822, 0.425256, 0.391007, 0.365175, 0.346226, 0.317181, 0.301562, 0.286105, 0.177624, 0.151441, 0.11765, 0.094554, 0.079224, 0.061599, 0.057409, 0.0497785, 0.0389522, 0.0272855, 0.0233395, 0.0189044, 0.0142201, 0.00791027, 0.00427893, 0.00230969, 0.000670285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.209443");
-            index_3 ("0.0798498, 0.116417, 0.12192, 0.131321, 0.146823, 0.45953, 0.563436, 0.611439, 0.675723, 0.758673, 0.986695, 1.10136, 1.18431, 1.24621, 1.31414, 1.43066, 1.4978, 1.57022, 1.65317, 1.745, 1.87987, 2.04577, 2.21167, 2.37757, 2.62642");
-            values ( \
-              "0.130381, 0.415362, 0.436896, 0.443714, 0.441534, 0.37492, 0.350864, 0.33846, 0.319461, 0.289692, 0.192434, 0.147218, 0.118914, 0.100537, 0.082986, 0.0588838, 0.0480259, 0.0383732, 0.0295591, 0.0220686, 0.0142762, 0.00829915, 0.00480511, 0.00277545, 0.00121858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.109833, 0.124771, 0.129466, 0.133195, 0.140653, 0.144502, 0.152199, 0.154554, 0.167036, 0.176653, 0.184962, 0.192533, 0.199665, 0.206685, 0.213692, 0.219064, 0.226344, 0.233028, 0.238016, 0.241934, 0.244732, 0.249186, 0.252461, 0.256117, 0.266522, 0.273409");
-            values ( \
-              "0.00319153, 0.00690731, 0.00940217, 0.0121189, 0.0186687, 0.0238544, 0.0370801, 0.0422762, 0.0624149, 0.0741455, 0.0834904, 0.0896246, 0.0940396, 0.0929957, 0.0774683, 0.0565666, 0.037107, 0.024888, 0.0183028, 0.0142349, 0.0118005, 0.0087794, 0.00998527, 0.0094354, 0.0050939, 0.00316091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.008187");
-            index_3 ("0.118625, 0.137418, 0.140062, 0.150416, 0.168957, 0.191075, 0.201681, 0.211239, 0.220215, 0.229201, 0.248864, 0.266234, 0.277726, 0.286985, 0.303359, 0.322021, 0.343981");
-            values ( \
-              "0.0077386, 0.0236507, 0.0273558, 0.0461085, 0.0883963, 0.130335, 0.147887, 0.160503, 0.167753, 0.1612, 0.085415, 0.0443309, 0.0249163, 0.0153476, 0.00631979, 0.00210389, 0.000543039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0184124");
-            index_3 ("0.126878, 0.144908, 0.15224, 0.185586, 0.21496, 0.229032, 0.241738, 0.248899, 0.251359, 0.253755, 0.25688, 0.263131, 0.285616, 0.291299, 0.302663, 0.31043, 0.32136, 0.330178, 0.337381, 0.345991, 0.358135, 0.370778, 0.380463, 0.399833, 0.435121, 0.476829");
-            values ( \
-              "0.0293907, 0.0441752, 0.0602711, 0.147852, 0.220918, 0.250715, 0.270946, 0.276271, 0.278863, 0.277582, 0.271507, 0.25119, 0.160808, 0.141227, 0.107141, 0.0880701, 0.0658649, 0.0516095, 0.0421292, 0.0328722, 0.0229641, 0.0157725, 0.0117742, 0.00643798, 0.00193238, 0.000425138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.041409");
-            index_3 ("0.124433, 0.156827, 0.248848, 0.251265, 0.256098, 0.263285, 0.271644, 0.29306, 0.316308, 0.342728, 0.376209, 0.395478, 0.430889, 0.448939, 0.476253, 0.513373, 0.530525, 0.536882, 0.549597, 0.575026, 0.625884, 0.696799, 0.779749");
-            values ( \
-              "0.0125429, 0.0795517, 0.354112, 0.365111, 0.369396, 0.368158, 0.360159, 0.336891, 0.305924, 0.25768, 0.188412, 0.152997, 0.0995272, 0.0784575, 0.0536682, 0.031113, 0.0253536, 0.0217755, 0.0192487, 0.0119319, 0.00626767, 0.000965137, 0.00105018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.093128");
-            index_3 ("0.124672, 0.174208, 0.249087, 0.255384, 0.263652, 0.291809, 0.375105, 0.407005, 0.45434, 0.505915, 0.61964, 0.671909, 0.726467, 0.765129, 0.799987, 0.840633, 0.894827, 0.931285, 0.987058, 1.06142, 1.14437, 1.19883");
-            values ( \
-              "0.0109322, 0.134453, 0.391715, 0.406746, 0.414505, 0.402338, 0.362779, 0.346246, 0.317403, 0.275483, 0.169296, 0.127631, 0.0923782, 0.072367, 0.0576968, 0.0439859, 0.0302908, 0.0235061, 0.0158616, 0.00920939, 0.00501041, 0.00348217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.209443");
-            index_3 ("0.169514, 0.226372, 0.252779, 0.259199, 0.265345, 0.276756, 0.447485, 0.602707, 0.664218, 0.747168, 0.834916, 0.903757, 1.08788, 1.20282, 1.28577, 1.34591, 1.41428, 1.53151, 1.60007, 1.67199, 1.75494, 1.84546, 1.97946, 2.14536, 2.31126, 2.47716, 2.72601");
-            values ( \
-              "0.221044, 0.325629, 0.424268, 0.436011, 0.437001, 0.43547, 0.39926, 0.365439, 0.350883, 0.328599, 0.299139, 0.271657, 0.192296, 0.146997, 0.118732, 0.10087, 0.0831531, 0.0588813, 0.0478241, 0.0382596, 0.0294796, 0.0220918, 0.0143305, 0.00832903, 0.00482052, 0.0027824, 0.00122843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.211399, 0.25536, 0.265339, 0.278644, 0.285296, 0.293397, 0.309601, 0.334318, 0.349376, 0.362312, 0.374125, 0.38541, 0.396455, 0.407491, 0.420706, 0.43141, 0.442933, 0.450419, 0.457483, 0.468175, 0.476703, 0.493759, 0.518226, 0.550279, 0.552683, 0.55749, 0.563833");
-            values ( \
-              "0.00062178, 0.00330551, 0.00466727, 0.00705368, 0.00867278, 0.011216, 0.0197305, 0.0392312, 0.0477559, 0.0536681, 0.0570038, 0.059396, 0.0594079, 0.0549686, 0.0333073, 0.0207342, 0.0120288, 0.00822774, 0.00567295, 0.00317718, 0.00197108, 0.000711975, 0.000195227, 9.64207e-05, 0.0012623, 0.00195397, 0.00151556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.008187");
-            index_3 ("0.211783, 0.267425, 0.287722, 0.297994, 0.308586, 0.350366, 0.369751, 0.386479, 0.401709, 0.416042, 0.430026, 0.44399, 0.469455, 0.483077, 0.494247, 0.511577, 0.522625, 0.537356, 0.550372, 0.558968, 0.559725");
-            values ( \
-              "0.00123294, 0.00820449, 0.0153931, 0.0205623, 0.0279208, 0.0689069, 0.0832087, 0.0931773, 0.10037, 0.105136, 0.106008, 0.0940625, 0.0455705, 0.0283735, 0.0185304, 0.00888338, 0.00543878, 0.00277307, 0.00146957, 0.00333232, 0.00332712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0184124");
-            index_3 ("0.213587, 0.27552, 0.290684, 0.2998, 0.315972, 0.3242, 0.356474, 0.378283, 0.404698, 0.427286, 0.447595, 0.466566, 0.485259, 0.503909, 0.518328, 0.5319, 0.544454, 0.550481, 0.55551, 0.573441, 0.58599, 0.593452, 0.604364, 0.618913, 0.644113");
-            values ( \
-              "0.00244657, 0.0158718, 0.0240824, 0.0301495, 0.0441155, 0.0530427, 0.0913282, 0.113417, 0.137632, 0.156199, 0.170199, 0.178951, 0.176421, 0.14697, 0.115684, 0.0889478, 0.0674907, 0.0584701, 0.0547205, 0.0353392, 0.0245174, 0.019661, 0.0141851, 0.00908762, 0.00426645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.041409");
-            index_3 ("0.218813, 0.274994, 0.302637, 0.325774, 0.45972, 0.4905, 0.518169, 0.544403, 0.555412, 0.56303, 0.604765, 0.645955, 0.681729, 0.715021, 0.756126, 0.799553, 0.837149, 0.875968");
-            values ( \
-              "0.00499762, 0.0217573, 0.0411086, 0.0640272, 0.226639, 0.258336, 0.280414, 0.288288, 0.283191, 0.272746, 0.189121, 0.118633, 0.0747793, 0.0470811, 0.0258101, 0.0134619, 0.00749217, 0.00459388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.093128");
-            index_3 ("0.311867, 0.371573, 0.406688, 0.452803, 0.463178, 0.473553, 0.483928, 0.494304, 0.501489, 0.508674, 0.515859, 0.523044, 0.53023, 0.533822, 0.537415, 0.540244, 0.545251, 0.551785, 0.558811, 0.566447, 0.574312, 0.598897, 0.618568, 0.646366, 0.670033, 0.685307, 0.692188, 0.70595, 0.719712, 0.735796, 0.779911, 0.814829, 0.831172, 0.847516, 0.873591, 0.899667, 0.934561, 0.948891, 0.953667, 0.972773, 0.991879, 1.01339, 1.04132, 1.05516, 1.069, 1.08284, 1.09668, 1.11052, 1.12436, 1.15672");
-            values ( \
-              "0.119212, 0.127518, 0.175814, 0.241422, 0.260479, 0.282045, 0.306119, 0.332701, 0.334201, 0.336719, 0.340254, 0.344807, 0.350377, 0.353544, 0.356966, 0.3602, 0.366924, 0.378616, 0.379049, 0.378701, 0.376326, 0.364536, 0.354191, 0.338621, 0.324529, 0.314882, 0.309756, 0.298766, 0.287253, 0.273131, 0.231364, 0.197419, 0.182507, 0.16827, 0.146954, 0.127358, 0.103431, 0.0946022, 0.0918266, 0.0818233, 0.0726328, 0.0633469, 0.0520255, 0.0474475, 0.0432177, 0.0394789, 0.0359933, 0.032761, 0.0297819, 0.0237171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.209443");
-            index_3 ("0.275814, 0.369257, 0.550775, 0.563669, 0.58014, 0.596572, 0.837309, 0.974227, 1.0619, 1.13074, 1.31486, 1.42981, 1.51276, 1.57289, 1.64126, 1.75849, 1.82705, 1.89897, 1.98192, 2.07245, 2.20645, 2.37235, 2.53825, 2.62641");
-            values ( \
-              "0.032309, 0.129134, 0.411585, 0.419094, 0.420116, 0.415449, 0.363657, 0.328545, 0.29911, 0.271684, 0.192317, 0.147016, 0.118713, 0.100853, 0.0831699, 0.0588969, 0.0478087, 0.0382746, 0.0294649, 0.0221038, 0.014342, 0.00834024, 0.00483143, 0.00406344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.416177, 0.445819, 0.5271, 0.564678, 0.586529, 0.608381, 0.620084, 0.643491, 0.658725, 0.697843, 0.722684, 0.74354, 0.762445, 0.780346, 0.797878, 0.815396, 0.820274, 0.842652, 0.862132, 0.879752, 0.903284, 0.924229, 0.9546, 0.979721");
-            values ( \
-              "0.00017423, 0.000264853, 0.00124031, 0.00227647, 0.00311465, 0.00433519, 0.00529673, 0.00813646, 0.0114766, 0.0232015, 0.0295303, 0.0333931, 0.03593, 0.0372572, 0.0375885, 0.0358901, 0.0340998, 0.0189196, 0.00974919, 0.00505054, 0.00194759, 0.000766105, 0.000180351, 0.000164723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.008187");
-            index_3 ("0.52702, 0.59698, 0.608316, 0.630079, 0.668311, 0.707476, 0.736995, 0.749391, 0.77547, 0.799204, 0.821654, 0.843594, 0.865515, 0.87293, 0.899003, 0.916298, 0.924597, 0.933086, 0.945257, 0.958999, 0.96979, 0.991372, 1.02655, 1.0686, 1.21599, 1.22993, 1.25091");
-            values ( \
-              "0.00573707, 0.00691629, 0.00812523, 0.0111473, 0.020922, 0.0379927, 0.049249, 0.0530178, 0.0600301, 0.064239, 0.0670008, 0.0675123, 0.064029, 0.0590462, 0.0352159, 0.0227523, 0.0181285, 0.0141901, 0.00969206, 0.00620805, 0.00430459, 0.00193307, 0.000452182, 0.000106384, 4.32931e-05, 0.00100902, 0.000765882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0184124");
-            index_3 ("0.526687, 0.605134, 0.625832, 0.649065, 0.690737, 0.751324, 0.764641, 0.796639, 0.831832, 0.863835, 0.893991, 0.923279, 0.952534, 0.999623, 1.02362, 1.03657, 1.05384, 1.07045, 1.07969, 1.09818, 1.13516, 1.18386, 1.21576, 1.23576, 1.26056, 1.28323");
-            values ( \
-              "0.00947164, 0.0131102, 0.017008, 0.0227708, 0.0394302, 0.0708637, 0.0766766, 0.0888667, 0.0996591, 0.107382, 0.112448, 0.114214, 0.104206, 0.0574185, 0.0375614, 0.0291203, 0.0201585, 0.0138677, 0.0111637, 0.00711076, 0.00260175, 0.000594383, 0.000286762, 0.00162385, 0.0013262, 0.000421414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041409");
-            index_3 ("0.527081, 0.611277, 0.649203, 0.682985, 0.773163, 0.820128, 0.8775, 0.926555, 0.970646, 1.01176, 1.05204, 1.09222, 1.15937, 1.20267, 1.21624, 1.26686, 1.29943, 1.33666, 1.37556");
-            values ( \
-              "0.0148603, 0.0205699, 0.0311024, 0.0443024, 0.0942863, 0.117423, 0.142536, 0.161751, 0.176367, 0.185916, 0.185058, 0.158527, 0.0899702, 0.0544231, 0.0457376, 0.0239435, 0.0146837, 0.00823569, 0.00501059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.093128");
-            index_3 ("0.52633, 0.653432, 0.671017, 0.706188, 0.922852, 1.00297, 1.07082, 1.13175, 1.18935, 1.21553, 1.23098, 1.34882, 1.42492, 1.50689, 1.56405, 1.59837, 1.66544, 1.70381, 1.78055, 1.8635, 1.928");
-            values ( \
-              "0.0119518, 0.0408331, 0.0477542, 0.0642483, 0.187926, 0.230979, 0.263537, 0.286629, 0.29581, 0.290519, 0.281691, 0.172584, 0.113609, 0.0683631, 0.0469174, 0.0371873, 0.0233285, 0.0177722, 0.0101905, 0.00550592, 0.0035506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.209443");
-            index_3 ("0.608246, 0.715345, 0.851591, 1.12485, 1.21776, 1.22519, 1.23267, 1.28906, 1.39293, 1.47588, 1.50533, 1.56355, 1.63239, 1.81651, 1.93146, 2.01441, 2.07454, 2.14291, 2.22586, 2.26014, 2.3287, 2.40062, 2.48357, 2.57409, 2.70809, 2.79104, 2.87399, 3.03989, 3.20579, 3.45464");
-            values ( \
-              "0.0659833, 0.0748809, 0.156662, 0.332933, 0.386216, 0.387961, 0.387084, 0.374909, 0.350866, 0.328576, 0.319406, 0.299137, 0.271658, 0.192299, 0.146999, 0.118731, 0.100868, 0.0831554, 0.0652421, 0.0588836, 0.0478225, 0.038262, 0.0294778, 0.0220941, 0.0143328, 0.0109452, 0.00833122, 0.00482264, 0.00278447, 0.00122644" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.0031685, 0.00322564, 0.00327523, 0.00330723, 0.00332435, 0.00333265", \
-            "0.0041266, 0.0041775, 0.0042373, 0.00428398, 0.00431156, 0.00432555", \
-            "0.00481683, 0.00481071, 0.00482559, 0.00485205, 0.00487389, 0.00488665", \
-            "0.00527649, 0.00522316, 0.00518019, 0.00515039, 0.00514229, 0.00514237", \
-            "0.00555621, 0.00548932, 0.00542063, 0.00534848, 0.00529707, 0.00527084", \
-            "0.00579239, 0.00567095, 0.00557002, 0.00549369, 0.00542195, 0.0053621" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.00501026, 0.00502997, 0.0050703, 0.00510121, 0.00511759, 0.00512503", \
-            "0.00557174, 0.00539482, 0.00523384, 0.00514623, 0.00511306, 0.0050993", \
-            "0.00566462, 0.00529046, 0.00500217, 0.00481521, 0.00471123, 0.00465388", \
-            "0.00625181, 0.00568134, 0.00509058, 0.00474192, 0.00455093, 0.00445475", \
-            "0.0059621, 0.00606986, 0.00577887, 0.00500327, 0.00462141, 0.00443276", \
-            "0.00561883, 0.00582113, 0.00598854, 0.0058343, 0.00496713, 0.00456797" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0214014, 0.0224417, 0.0241781, 0.025582, 0.0269478, 0.0291736, 0.0313915, 0.0345601, 0.0368539, 0.0384897, 0.0410479, 0.0461702, 0.0493592, 0.0520208, 0.0549195, 0.0602383, 0.0642209");
-            values ( \
-              "-0.0678156, -0.184618, -0.264794, -0.285143, -0.292439, -0.295599, -0.293863, -0.283239, -0.26551, -0.247329, -0.205373, -0.10307, -0.0615067, -0.0389519, -0.0232516, -0.00865581, -0.00448428" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.008187");
-            index_3 ("0.0217687, 0.0235963, 0.0239918, 0.0248832, 0.0261033, 0.0283321, 0.0302758, 0.0344111, 0.038234, 0.0421464, 0.0460717, 0.0501357, 0.0529528, 0.0595315, 0.0646788, 0.0694195, 0.0741141, 0.0785637, 0.0811028, 0.0861812, 0.0956108, 0.106596");
-            values ( \
-              "-0.00536245, -0.31776, -0.336976, -0.363019, -0.379002, -0.388977, -0.391248, -0.388779, -0.382311, -0.372011, -0.355039, -0.326555, -0.297525, -0.193697, -0.123964, -0.0786335, -0.0489603, -0.0307494, -0.0234932, -0.013488, -0.0044007, -0.00111414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0184124");
-            index_3 ("0.0235298, 0.0333882, 0.0380232, 0.0453534, 0.0528191, 0.0597945, 0.0684279, 0.0764764, 0.079478, 0.0827451, 0.0944396, 0.104311, 0.113534, 0.11841, 0.122786, 0.131338, 0.136176, 0.145852, 0.164056, 0.185262");
-            values ( \
-              "-0.455431, -0.459189, -0.456418, -0.44895, -0.439211, -0.427617, -0.406693, -0.375704, -0.359234, -0.335602, -0.223626, -0.143805, -0.0911526, -0.0709376, -0.0563654, -0.0355851, -0.0273281, -0.0158846, -0.00523049, -0.00134801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.041409");
-            index_3 ("0.0234705, 0.0244742, 0.0265989, 0.0290879, 0.0312915, 0.0338734, 0.0435039, 0.0691838, 0.0848631, 0.100635, 0.117684, 0.13105, 0.135455, 0.144267, 0.155765, 0.178538, 0.193074, 0.200875, 0.211054, 0.219317, 0.229261, 0.243274, 0.257875, 0.269063, 0.291439, 0.33243, 0.380936");
-            values ( \
-              "-0.390276, -0.443269, -0.483846, -0.495325, -0.498649, -0.499517, -0.496302, -0.481119, -0.469903, -0.456163, -0.435596, -0.412008, -0.401741, -0.374327, -0.322837, -0.210802, -0.15349, -0.128211, -0.100568, -0.0822063, -0.0641314, -0.044805, -0.0307492, -0.0229366, -0.0125294, -0.00371345, -0.000803214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.093128");
-            index_3 ("0.0235644, 0.0263809, 0.0296196, 0.0318209, 0.037293, 0.0635457, 0.122373, 0.15653, 0.190879, 0.228059, 0.255487, 0.26671, 0.286598, 0.31087, 0.345912, 0.368606, 0.391826, 0.422787, 0.43382, 0.454763, 0.475106, 0.514912, 0.537765, 0.583469, 0.650111, 0.716753, 0.783395");
-            values ( \
-              "-0.415934, -0.500752, -0.516434, -0.519286, -0.520695, -0.51442, -0.496895, -0.484947, -0.470577, -0.449879, -0.42781, -0.415632, -0.386037, -0.333789, -0.249488, -0.201066, -0.158858, -0.113725, -0.10071, -0.0795271, -0.0629546, -0.0394085, -0.029974, -0.0171094, -0.00725984, -0.00307149, -0.00129127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.209443");
-            index_3 ("0.0235172, 0.0276231, 0.0315056, 0.0371792, 0.131092, 0.234427, 0.31752, 0.381481, 0.476093, 0.542735, 0.561762, 0.599814, 0.65949, 0.722298, 0.785601, 0.848095, 0.897787, 0.938696, 0.984596, 1.0458, 1.09325, 1.14134, 1.20545, 1.2721, 1.40538, 1.53866, 1.67195");
-            values ( \
-              "-0.428389, -0.519516, -0.528933, -0.531259, -0.519915, -0.505356, -0.49194, -0.47981, -0.456606, -0.431732, -0.422178, -0.396847, -0.339043, -0.269176, -0.20575, -0.154403, -0.121347, -0.0989628, -0.078326, -0.0568476, -0.0441962, -0.0341826, -0.0241243, -0.0167417, -0.00801799, -0.0038246, -0.0018209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0345809, 0.0364194, 0.0395771, 0.0423635, 0.0472125, 0.0510017, 0.0516902, 0.0524114, 0.053238, 0.0547925, 0.0573248, 0.0586338, 0.0645822, 0.0676678, 0.0697618, 0.0737576, 0.0783286, 0.0806375");
-            values ( \
-              "-0.0736208, -0.0914114, -0.147589, -0.180842, -0.229233, -0.260349, -0.270714, -0.275766, -0.276873, -0.270309, -0.246321, -0.227865, -0.109827, -0.067012, -0.0470569, -0.0232446, -0.0100207, -0.00720061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.008187");
-            index_3 ("0.0355028, 0.0383786, 0.0405455, 0.0457045, 0.0509186, 0.0518151, 0.0530549, 0.0542173, 0.0569283, 0.060848, 0.0640552, 0.069253, 0.0736817, 0.0779, 0.083385, 0.0878521, 0.0923567, 0.0972957, 0.100317, 0.106361, 0.115888, 0.127199");
-            values ( \
-              "-0.041284, -0.163829, -0.209462, -0.284459, -0.348894, -0.365639, -0.378257, -0.381306, -0.380251, -0.371246, -0.358614, -0.322798, -0.268934, -0.199683, -0.124379, -0.0811672, -0.0515797, -0.0307856, -0.0223299, -0.0114344, -0.00367064, -0.000877181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0184124");
-            index_3 ("0.0322977, 0.0491413, 0.0530567, 0.0541651, 0.0567826, 0.0616404, 0.0690451, 0.0792465, 0.0831142, 0.0871966, 0.095674, 0.0982756, 0.10153, 0.113178, 0.11809, 0.123093, 0.129764, 0.132311, 0.137129, 0.14152, 0.150104, 0.154974, 0.164713, 0.182874, 0.204042");
-            values ( \
-              "-0.0095426, -0.384253, -0.44573, -0.450932, -0.453881, -0.451128, -0.442711, -0.426222, -0.41789, -0.406635, -0.373755, -0.359059, -0.335472, -0.223914, -0.181066, -0.143715, -0.103572, -0.0911107, -0.0711199, -0.0564665, -0.0355895, -0.0272831, -0.0157992, -0.00521835, -0.00134952" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.041409");
-            index_3 ("0.0330325, 0.0499248, 0.0516812, 0.0527117, 0.0535608, 0.055259, 0.0570722, 0.0589219, 0.0658881, 0.0881221, 0.103545, 0.119908, 0.136132, 0.143519, 0.151398, 0.15439, 0.160372, 0.172337, 0.189278, 0.201443, 0.211863, 0.219778, 0.229952, 0.238273, 0.248203, 0.262206, 0.268403, 0.276768, 0.287921, 0.310228, 0.351112, 0.399471");
-            values ( \
-              "-0.0121074, -0.429526, -0.465293, -0.48231, -0.488829, -0.494471, -0.496211, -0.496532, -0.494325, -0.481106, -0.470113, -0.455785, -0.436514, -0.424563, -0.408905, -0.401716, -0.38428, -0.334526, -0.248892, -0.193737, -0.153944, -0.128259, -0.100617, -0.08213, -0.0640911, -0.0447907, -0.038202, -0.0307709, -0.0229726, -0.0125756, -0.00374113, -0.000815651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.093128");
-            index_3 ("0.0332773, 0.0487979, 0.0525178, 0.0550431, 0.0568296, 0.0622185, 0.101545, 0.141296, 0.179193, 0.210563, 0.243393, 0.253983, 0.268103, 0.28562, 0.320654, 0.380124, 0.395225, 0.412377, 0.435246, 0.455754, 0.479715, 0.511663, 0.533391, 0.566666, 0.611032, 0.677674, 0.744316, 0.810957");
-            values ( \
-              "-0.00444926, -0.427871, -0.500025, -0.515362, -0.517912, -0.519228, -0.509022, -0.496869, -0.483485, -0.470218, -0.452332, -0.445043, -0.433644, -0.415656, -0.355542, -0.216351, -0.18646, -0.156394, -0.122487, -0.0976853, -0.0744903, -0.051257, -0.0396853, -0.0266748, -0.0154688, -0.00655904, -0.00278078, -0.00115747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.209443");
-            index_3 ("0.0377539, 0.0524937, 0.0548784, 0.0633704, 0.252882, 0.396903, 0.450658, 0.495548, 0.56219, 0.619253, 0.678939, 0.741767, 0.80509, 0.867451, 0.917035, 0.958137, 1.00426, 1.06576, 1.11286, 1.16061, 1.22428, 1.29092, 1.42421, 1.55749, 1.69077");
-            values ( \
-              "-0.206848, -0.500124, -0.525981, -0.530531, -0.505481, -0.480659, -0.468726, -0.456567, -0.431767, -0.396892, -0.339007, -0.269155, -0.205712, -0.154472, -0.121467, -0.0989666, -0.0782385, -0.0566925, -0.0441575, -0.0342142, -0.0242078, -0.0167993, -0.00804555, -0.00383757, -0.00182688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0562877, 0.0620701, 0.0645341, 0.0685553, 0.0730695, 0.0855092, 0.0894458, 0.0931234, 0.096723, 0.100574, 0.108065, 0.112584, 0.114521, 0.119148, 0.122836, 0.126256");
-            values ( \
-              "-0.00340328, -0.0420101, -0.0538191, -0.0829828, -0.107039, -0.159578, -0.17339, -0.182627, -0.182282, -0.158714, -0.067605, -0.0337645, -0.030386, -0.0147358, -0.00752463, -0.0043034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.008187");
-            index_3 ("0.0563398, 0.0798148, 0.0903955, 0.0961952, 0.101465, 0.106414, 0.111315, 0.113893, 0.115645, 0.123245, 0.1281, 0.131809, 0.133994, 0.137791, 0.142083, 0.145497, 0.152327, 0.156107");
-            values ( \
-              "-0.00571776, -0.181258, -0.239654, -0.268498, -0.290863, -0.304445, -0.297886, -0.287883, -0.269736, -0.153814, -0.0985982, -0.068512, -0.0549403, -0.0369659, -0.0235355, -0.0163049, -0.00755756, -0.00557762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0184124");
-            index_3 ("0.0563285, 0.0899052, 0.10544, 0.112624, 0.113692, 0.115828, 0.118612, 0.121408, 0.125282, 0.137408, 0.14369, 0.155353, 0.165254, 0.174473, 0.183695, 0.192267, 0.197125, 0.206841, 0.224922, 0.246002");
-            values ( \
-              "-0.00574388, -0.281367, -0.377948, -0.417477, -0.429897, -0.431623, -0.431579, -0.424405, -0.41911, -0.377162, -0.335527, -0.223834, -0.143751, -0.0911327, -0.0564475, -0.0356022, -0.0273006, -0.0158406, -0.00525562, -0.00137687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.041409");
-            index_3 ("0.0660818, 0.0827437, 0.0972518, 0.113775, 0.114898, 0.116506, 0.119721, 0.130256, 0.145952, 0.166784, 0.178773, 0.191949, 0.196543, 0.205704, 0.216857, 0.232975, 0.243399, 0.254043, 0.268235, 0.273332, 0.283, 0.292326, 0.31057, 0.321028, 0.341946, 0.379983, 0.424368");
-            values ( \
-              "-0.102678, -0.25342, -0.360791, -0.477532, -0.483692, -0.486561, -0.486868, -0.481088, -0.469885, -0.450801, -0.435596, -0.412417, -0.401739, -0.373025, -0.322814, -0.241342, -0.194607, -0.15386, -0.110223, -0.0975615, -0.0769376, -0.0609322, -0.0381729, -0.0290578, -0.016615, -0.00548736, -0.00143513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.093128");
-            index_3 ("0.0663902, 0.0843195, 0.0934291, 0.114102, 0.116505, 0.119696, 0.134177, 0.18374, 0.221418, 0.253007, 0.288384, 0.304104, 0.320872, 0.342487, 0.37219, 0.405749, 0.431452, 0.450448, 0.475776, 0.493283, 0.525836, 0.5603, 0.572069, 0.599752, 0.63139, 0.694667, 0.761309, 0.82795");
-            values ( \
-              "-0.0983106, -0.275899, -0.347852, -0.504214, -0.514038, -0.514733, -0.511818, -0.496867, -0.48357, -0.470219, -0.450689, -0.439125, -0.423735, -0.395392, -0.333996, -0.2532, -0.198238, -0.163542, -0.124873, -0.103012, -0.0712823, -0.0475508, -0.0413925, -0.0297952, -0.0203058, -0.00908445, -0.00382996, -0.00162837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.209443");
-            index_3 ("0.0664635, 0.0969746, 0.113574, 0.115618, 0.117648, 0.122491, 0.187643, 0.303358, 0.39692, 0.456911, 0.517801, 0.537662, 0.577384, 0.623222, 0.689864, 0.774643, 0.839729, 0.893753, 0.93067, 0.985206, 1.03252, 1.0956, 1.1495, 1.17358, 1.22173, 1.28837, 1.35501, 1.42166, 1.4883, 1.62158, 1.75487");
-            values ( \
-              "-0.11103, -0.38327, -0.514234, -0.52481, -0.527461, -0.528456, -0.520538, -0.504194, -0.488728, -0.476933, -0.462277, -0.456532, -0.443126, -0.42212, -0.371906, -0.27928, -0.212602, -0.166393, -0.139683, -0.106661, -0.0839422, -0.060467, -0.0453785, -0.0399843, -0.0307983, -0.0214688, -0.0148312, -0.0103211, -0.00707991, -0.00335906, -0.00158252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.105496, 0.112835, 0.115045, 0.119466, 0.122061, 0.122952, 0.124655, 0.128063, 0.130816, 0.136323, 0.14106, 0.149064, 0.155953, 0.162165, 0.167917, 0.17344, 0.178952, 0.17926, 0.179671, 0.180494, 0.18214, 0.187391, 0.190073, 0.191639, 0.192876, 0.194526, 0.197825, 0.199465, 0.200128, 0.201453, 0.204103, 0.209402, 0.217923, 0.228216, 0.24151, 0.242835");
-            values ( \
-              "-0.011308, -0.0170231, -0.0192703, -0.0250858, -0.0297334, -0.0315853, -0.035523, -0.0448397, -0.0524899, -0.0652974, -0.0745975, -0.0893841, -0.10078, -0.110232, -0.117189, -0.120699, -0.112842, -0.111307, -0.109733, -0.106131, -0.0973516, -0.0635175, -0.0480736, -0.040266, -0.0348345, -0.0284896, -0.0185765, -0.0149528, -0.01368, -0.0114409, -0.00793129, -0.00368991, -0.00100845, -0.000313212, -0.000146089, -0.000141293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.008187");
-            index_3 ("0.108451, 0.116929, 0.12471, 0.144356, 0.162708, 0.17182, 0.180026, 0.187628, 0.194953, 0.202959, 0.217825, 0.223703, 0.230576, 0.23765, 0.245735, 0.249126, 0.252099, 0.253035");
-            values ( \
-              "-0.021446, -0.0328713, -0.0481528, -0.107675, -0.151861, -0.171456, -0.187745, -0.199344, -0.204036, -0.183785, -0.0769168, -0.0473978, -0.0254616, -0.0129568, -0.00561699, -0.00399479, -0.00609131, -0.00598939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0184124");
-            index_3 ("0.128979, 0.154349, 0.163424, 0.170184, 0.176944, 0.183704, 0.188978, 0.192934, 0.197766, 0.209242, 0.211056, 0.21287, 0.214629, 0.216388, 0.218147, 0.219905, 0.222497, 0.225088, 0.22768, 0.230271, 0.232861, 0.23545, 0.23804, 0.240629, 0.241225, 0.24182, 0.244796, 0.245845, 0.246893, 0.248989, 0.24972, 0.251181, 0.252774, 0.253636, 0.25536, 0.257764, 0.259367, 0.260969, 0.264175, 0.265778, 0.26738, 0.269726, 0.27199, 0.274461, 0.275284, 0.276931, 0.278578, 0.280225, 0.281872, 0.286176");
-            values ( \
-              "-0.140502, -0.157425, -0.184023, -0.203066, -0.221798, -0.24022, -0.254241, -0.264498, -0.276265, -0.302113, -0.306572, -0.311395, -0.313282, -0.314743, -0.31578, -0.31639, -0.316515, -0.315716, -0.313993, -0.311346, -0.306514, -0.300253, -0.292564, -0.283447, -0.280268, -0.276006, -0.253207, -0.243216, -0.23208, -0.206371, -0.203831, -0.197871, -0.19004, -0.18522, -0.174355, -0.155042, -0.144101, -0.133721, -0.114656, -0.105959, -0.0978182, -0.0867949, -0.076534, -0.067269, -0.0644132, -0.0592652, -0.0544392, -0.0499352, -0.0457531, -0.0362147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.041409");
-            index_3 ("0.13188, 0.158205, 0.172238, 0.186275, 0.199515, 0.212355, 0.220945, 0.229565, 0.239384, 0.244211, 0.246624, 0.249037, 0.250651, 0.253223, 0.255142, 0.256101, 0.258036, 0.25997, 0.263113, 0.267896, 0.271175, 0.275969, 0.28228, 0.285435, 0.288008, 0.290581, 0.292868, 0.297442, 0.299729, 0.302521, 0.310895, 0.314924, 0.322983, 0.327012, 0.337437, 0.340985, 0.342759, 0.348081, 0.351629, 0.355178, 0.358726, 0.362274, 0.370822, 0.374274, 0.377036, 0.381699, 0.386362, 0.389615, 0.396122, 0.404606");
-            values ( \
-              "-0.161414, -0.184551, -0.230663, -0.275366, -0.316285, -0.354473, -0.37955, -0.403189, -0.428537, -0.439876, -0.447233, -0.455715, -0.455803, -0.455532, -0.455, -0.454629, -0.453166, -0.451448, -0.447975, -0.44191, -0.437237, -0.429732, -0.418638, -0.412572, -0.406702, -0.400376, -0.393461, -0.378093, -0.369638, -0.358006, -0.320991, -0.300028, -0.259937, -0.240811, -0.194169, -0.178656, -0.171893, -0.152753, -0.141092, -0.130105, -0.119793, -0.110155, -0.089242, -0.0815616, -0.0758919, -0.0678091, -0.0604053, -0.0558918, -0.047356, -0.0372118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.093128");
-            index_3 ("0.124362, 0.151322, 0.192971, 0.223674, 0.248777, 0.252376, 0.257677, 0.311552, 0.357258, 0.383081, 0.410498, 0.421732, 0.441619, 0.465892, 0.500933, 0.523628, 0.546847, 0.577807, 0.58884, 0.609783, 0.630126, 0.658505, 0.66993, 0.69278, 0.738482, 0.805123, 0.871765, 0.938407");
-            values ( \
-              "-0.0829155, -0.165969, -0.313532, -0.415086, -0.493954, -0.500998, -0.503565, -0.484874, -0.464997, -0.449879, -0.42782, -0.415633, -0.386038, -0.333791, -0.249489, -0.201068, -0.15886, -0.113727, -0.100712, -0.0795289, -0.0629568, -0.0450664, -0.0394109, -0.0299762, -0.0171117, -0.00726065, -0.00307203, -0.00129131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.209443");
-            index_3 ("0.124549, 0.171957, 0.250612, 0.253906, 0.259322, 0.263556, 0.270495, 0.281004, 0.30196, 0.323201, 0.359725, 0.397213, 0.463855, 0.472829, 0.490777, 0.520323, 0.550767, 0.631518, 0.671241, 0.717078, 0.78372, 0.815326, 0.868499, 0.933585, 0.96915, 1.02453, 1.09483, 1.12637, 1.18945, 1.26743, 1.38223, 1.51551, 1.58215, 1.6488, 1.71544, 1.78208, 1.84872");
-            values ( \
-              "-0.0723311, -0.245896, -0.521425, -0.522971, -0.523819, -0.522319, -0.522551, -0.520022, -0.518389, -0.514318, -0.510339, -0.503601, -0.494064, -0.491309, -0.48933, -0.482552, -0.477539, -0.457141, -0.442516, -0.42273, -0.371298, -0.339107, -0.278672, -0.213211, -0.180686, -0.139056, -0.0979895, -0.0845723, -0.0598385, -0.0393528, -0.020836, -0.00968733, -0.00771419, -0.00431005, -0.00399424, -0.00173599, -0.00221858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.229202, 0.252306, 0.253935, 0.255563, 0.258879, 0.262196, 0.265475, 0.268755, 0.270395, 0.273675, 0.277957, 0.283241, 0.287653, 0.293051, 0.297369, 0.299862, 0.309794, 0.312086, 0.314377, 0.316669, 0.318961, 0.321141, 0.323322, 0.325503, 0.327684, 0.32985, 0.332016, 0.334182, 0.336348, 0.337971, 0.339594, 0.341217, 0.34284, 0.344373, 0.345905, 0.347541, 0.349177, 0.35167, 0.352644, 0.354552, 0.355981, 0.356798, 0.357614, 0.358269, 0.358924, 0.360234, 0.362087, 0.363013, 0.364344, 0.365557");
-            values ( \
-              "-0.0219544, -0.0228556, -0.0243489, -0.02591, -0.0294041, -0.032836, -0.0358963, -0.0388556, -0.0402975, -0.0431053, -0.046641, -0.0508547, -0.0542089, -0.058128, -0.0611645, -0.0628807, -0.0694846, -0.0709367, -0.0723406, -0.0736964, -0.0750042, -0.0755336, -0.0757901, -0.0757736, -0.0754842, -0.0749266, -0.0740996, -0.0730033, -0.0716377, -0.069693, -0.0673621, -0.0646449, -0.0615414, -0.0579025, -0.0537841, -0.0485303, -0.0435006, -0.0363958, -0.033766, -0.0288329, -0.0254209, -0.0235734, -0.0218311, -0.0206029, -0.0194239, -0.0172136, -0.0145235, -0.0132518, -0.0115104, -0.0100108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.008187");
-            index_3 ("0.23282, 0.260267, 0.262468, 0.264669, 0.266863, 0.269057, 0.272244, 0.275431, 0.280384, 0.285336, 0.291459, 0.298808, 0.303027, 0.308335, 0.311927, 0.315519, 0.319111, 0.322703, 0.329119, 0.335534, 0.341448, 0.347362, 0.350186, 0.353009, 0.355833, 0.358656, 0.361475, 0.364293, 0.367112, 0.36993, 0.372045, 0.37416, 0.376275, 0.378389, 0.379423, 0.380456, 0.38149, 0.382523, 0.385087, 0.386796, 0.388505, 0.394346, 0.395625, 0.396905, 0.398185, 0.399464, 0.400744, 0.402024, 0.40514, 0.40812");
-            values ( \
-              "-0.03694, -0.040836, -0.0436021, -0.0464644, -0.0495093, -0.0524595, -0.0565398, -0.0604921, -0.0663201, -0.0719583, -0.0786665, -0.0864619, -0.0908557, -0.0962989, -0.0998082, -0.103243, -0.106603, -0.109888, -0.115515, -0.121015, -0.125972, -0.130822, -0.131542, -0.131776, -0.131523, -0.130784, -0.12956, -0.127852, -0.125658, -0.122979, -0.11941, -0.115176, -0.110277, -0.104713, -0.101563, -0.0982127, -0.0946622, -0.0909117, -0.0804875, -0.0739523, -0.0676918, -0.0480827, -0.0442573, -0.040699, -0.037549, -0.0345719, -0.0317678, -0.0291368, -0.0235623, -0.0185768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0184124");
-            index_3 ("0.237994, 0.268378, 0.278747, 0.28851, 0.293649, 0.298789, 0.303928, 0.309068, 0.318608, 0.328149, 0.341732, 0.347796, 0.352725, 0.36145, 0.37031, 0.379169, 0.387325, 0.395481, 0.399387, 0.403294, 0.4072, 0.411107, 0.415005, 0.418903, 0.422801, 0.4267, 0.426934, 0.427404, 0.428812, 0.430221, 0.432099, 0.433977, 0.437733, 0.441489, 0.45071, 0.453102, 0.455494, 0.457022, 0.460078, 0.463165, 0.464725, 0.466285, 0.46921, 0.470672, 0.472135, 0.474181, 0.476227, 0.478274, 0.48032, 0.483588");
-            values ( \
-              "-0.0573501, -0.0610494, -0.0764038, -0.0906554, -0.0976341, -0.104477, -0.111185, -0.117756, -0.129597, -0.141046, -0.157129, -0.16413, -0.169736, -0.179469, -0.188654, -0.197745, -0.20603, -0.214236, -0.213946, -0.213325, -0.212373, -0.211089, -0.209479, -0.207538, -0.205268, -0.202668, -0.202384, -0.20147, -0.197896, -0.193832, -0.18753, -0.180919, -0.166396, -0.151381, -0.113197, -0.1043, -0.0958005, -0.0906844, -0.0807296, -0.0710456, -0.0664153, -0.0619999, -0.0550401, -0.0517822, -0.0486724, -0.0446165, -0.0408193, -0.0372806, -0.0340004, -0.0292706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.041409");
-            index_3 ("0.224607, 0.26085, 0.275187, 0.311936, 0.33479, 0.395075, 0.423261, 0.448485, 0.47183, 0.494401, 0.517955, 0.550148, 0.574965, 0.590606, 0.606073, 0.623589, 0.637526, 0.6654, 0.707656, 0.758989");
-            values ( \
-              "-0.0226935, -0.0596132, -0.078095, -0.134891, -0.167278, -0.247802, -0.281812, -0.309197, -0.328385, -0.333857, -0.296267, -0.17397, -0.100171, -0.0681371, -0.0458661, -0.029187, -0.0202151, -0.00937213, -0.00263417, -0.000501928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.093128");
-            index_3 ("0.224364, 0.284401, 0.367213, 0.429173, 0.477211, 0.518396, 0.555395, 0.566067, 0.578656, 0.604865, 0.630414, 0.650257, 0.674573, 0.70961, 0.740049, 0.786495, 0.818455, 0.838801, 0.867181, 0.901464, 0.947174, 1.01382, 1.1471");
-            values ( \
-              "-0.0138148, -0.0979299, -0.225425, -0.315912, -0.381744, -0.433347, -0.47154, -0.463912, -0.458901, -0.440652, -0.415884, -0.385861, -0.334057, -0.249235, -0.185839, -0.113447, -0.0792515, -0.0632492, -0.0447815, -0.0296858, -0.0173995, -0.00696956, -0.001004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.209443");
-            index_3 ("0.274964, 0.353103, 0.438921, 0.530466, 0.549925, 0.56044, 0.574915, 0.663976, 0.758789, 0.825431, 0.86781, 0.925127, 0.991769, 1.07657, 1.14166, 1.19569, 1.23261, 1.28714, 1.33445, 1.39752, 1.45142, 1.52367, 1.59031, 1.65695, 1.72359, 1.79023, 1.92352, 2.0568");
-            values ( \
-              "-0.146651, -0.210054, -0.34361, -0.479344, -0.506922, -0.510016, -0.509118, -0.494908, -0.476875, -0.460749, -0.447382, -0.422098, -0.371965, -0.279306, -0.212584, -0.166387, -0.139681, -0.106657, -0.0839426, -0.0604744, -0.0453783, -0.030796, -0.02147, -0.0148294, -0.0103223, -0.00707846, -0.00335785, -0.00158149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.389094, 0.4356, 0.454471, 0.466199, 0.489656, 0.501913, 0.526142, 0.563624, 0.604451, 0.608448, 0.615022, 0.632915, 0.643094, 0.650882, 0.66534, 0.676203, 0.692824, 0.703901, 0.711997, 0.715806, 0.72146, 0.728998, 0.744074, 0.76686, 0.796894, 0.809485");
-            values ( \
-              "-0.000705781, -0.00181012, -0.00290041, -0.00379408, -0.00589958, -0.00763314, -0.0127236, -0.0263699, -0.0378772, -0.038532, -0.0402604, -0.0436924, -0.0450959, -0.0455973, -0.0449972, -0.0387024, -0.0189013, -0.0096784, -0.00577218, -0.00456425, -0.00330843, -0.00227681, -0.00121974, -0.000734904, -0.000388014, -0.00032642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.008187");
-            index_3 ("0.434032, 0.475132, 0.494881, 0.513572, 0.523055, 0.539823, 0.562754, 0.585485, 0.612577, 0.633037, 0.656834, 0.675685, 0.693646, 0.711372, 0.724649, 0.744136, 0.75545, 0.763741, 0.767659, 0.773735, 0.781837, 0.798042, 0.821146, 0.849813, 0.88754, 1.07915");
-            values ( \
-              "-0.00629835, -0.00805061, -0.0112924, -0.0156883, -0.0185843, -0.0252223, -0.0367669, -0.0475744, -0.0591752, -0.0671307, -0.075291, -0.0805664, -0.0838409, -0.0826864, -0.0713758, -0.0383265, -0.0231019, -0.015263, -0.0125074, -0.00914131, -0.00599051, -0.00252536, -0.000850505, -0.000371411, -0.000169642, -2.79775e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0184124");
-            index_3 ("0.432515, 0.490954, 0.503161, 0.525077, 0.534561, 0.553527, 0.608174, 0.624115, 0.655997, 0.687752, 0.718646, 0.737987, 0.755743, 0.767838, 0.791546, 0.80944, 0.83836, 0.847089, 0.858727, 0.865184, 0.87236, 0.881928, 0.901063, 0.930824, 0.966184, 1.01062, 1.07265");
-            values ( \
-              "-0.00661281, -0.0160912, -0.0194055, -0.0267715, -0.0306547, -0.0398957, -0.0725704, -0.081386, -0.098248, -0.113552, -0.126741, -0.133764, -0.138805, -0.140927, -0.137746, -0.116377, -0.0600011, -0.0461513, -0.0313922, -0.0251916, -0.019522, -0.0136622, -0.00643893, -0.00166585, -0.000389923, -6.99339e-05, -9.76101e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.041409");
-            index_3 ("0.431758, 0.517396, 0.545783, 0.569407, 0.63606, 0.687429, 0.738366, 0.781707, 0.820382, 0.856011, 0.89007, 0.924062, 0.928018, 0.940679, 0.972893, 0.997099, 1.02468, 1.04405, 1.05575, 1.07916, 1.12463, 1.17667, 1.21592");
-            values ( \
-              "-0.00389113, -0.0309417, -0.0436515, -0.0564486, -0.100211, -0.131795, -0.160836, -0.183606, -0.201849, -0.21567, -0.223163, -0.212343, -0.208075, -0.189328, -0.125188, -0.0827732, -0.0482244, -0.0320137, -0.0247611, -0.0144375, -0.0043873, -0.000993851, -0.000358288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.093128");
-            index_3 ("0.497315, 0.564727, 0.607773, 0.692034, 0.787493, 0.860877, 0.917863, 0.979202, 1.02503, 1.04274, 1.06181, 1.08051, 1.1179, 1.13172, 1.20382, 1.23617, 1.26204, 1.28578, 1.32087, 1.33936, 1.36843, 1.4072, 1.47384, 1.54048, 1.60712");
-            values ( \
-              "-0.0528689, -0.0604532, -0.087101, -0.144903, -0.206125, -0.250675, -0.282971, -0.313904, -0.332345, -0.337445, -0.340797, -0.340923, -0.322273, -0.304612, -0.176869, -0.129395, -0.0973295, -0.0742742, -0.0492649, -0.0396088, -0.0280117, -0.0174354, -0.00742883, -0.00311711, -0.00133625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.209443");
-            index_3 ("0.551381, 0.661691, 0.866072, 0.953394, 1.03611, 1.1384, 1.20371, 1.21552, 1.22078, 1.22723, 1.24842, 1.30148, 1.36812, 1.38714, 1.42516, 1.48487, 1.54773, 1.61109, 1.63616, 1.67319, 1.72257, 1.76404, 1.81061, 1.87269, 1.93479, 1.96618, 2.02896, 2.09561, 2.16225, 2.22889, 2.36217, 2.49546");
-            values ( \
-              "-0.109556, -0.128419, -0.269043, -0.326535, -0.378599, -0.437651, -0.470369, -0.475581, -0.476328, -0.475641, -0.47074, -0.456591, -0.431741, -0.422157, -0.396894, -0.339015, -0.269114, -0.205643, -0.183695, -0.154607, -0.121707, -0.0989777, -0.0780704, -0.0563888, -0.0405518, -0.03428, -0.0243734, -0.0169162, -0.0117144, -0.00810233, -0.0038651, -0.00184036" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.00299484, 0.00301638, 0.00303717, 0.0030516, 0.00305963, 0.0030636", \
-            "0.00361112, 0.00362177, 0.0036361, 0.00364909, 0.00365756, 0.0036621", \
-            "0.00399132, 0.00399177, 0.00399473, 0.00400034, 0.00400586, 0.00400951", \
-            "0.00421525, 0.00421124, 0.00420557, 0.0042002, 0.00419874, 0.00419899", \
-            "0.00433417, 0.00432711, 0.00431773, 0.00430709, 0.00429966, 0.00429605", \
-            "0.00440033, 0.00439216, 0.00438041, 0.00436722, 0.00435431, 0.00434549" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.00433509, 0.00435697, 0.00437691, 0.00438949, 0.00439546, 0.00439808", \
-            "0.00485576, 0.00481811, 0.00479057, 0.00477517, 0.00476816, 0.00476554", \
-            "0.00520801, 0.0050807, 0.00499387, 0.00493349, 0.00490123, 0.00488808", \
-            "0.00568563, 0.00538449, 0.00515756, 0.00502302, 0.0049519, 0.00491101", \
-            "0.00661151, 0.00592949, 0.00548518, 0.0051912, 0.00502684, 0.00494254", \
-            "0.00695972, 0.00691734, 0.00614539, 0.00553475, 0.00520602, 0.00502787" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "0.00754716, 0.0077438, 0.00791719, 0.00802892, 0.00808732, 0.00811552", \
-            "0.00733164, 0.00751053, 0.00773597, 0.00779121, 0.0080268, 0.00808634", \
-            "0.00734918, 0.00742367, 0.00754866, 0.0077555, 0.00782449, 0.00795883", \
-            "0.00778869, 0.00783753, 0.00781308, 0.00782777, 0.00788822, 0.00799865", \
-            "0.00921417, 0.00898828, 0.00865288, 0.00829748, 0.00758087, 0.00802503", \
-            "0.0129313, 0.0122158, 0.011337, 0.0103774, 0.00946887, 0.00868028" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.008187, 0.0184124, 0.041409, 0.093128, 0.209443");
-          values ( \
-            "-0.00172204, -0.00152589, -0.00135598, -0.00124834, -0.00119237, -0.0011658", \
-            "-0.00193775, -0.00172584, -0.00149808, -0.00132742, -0.00123716, -0.00119037", \
-            "-0.00209757, -0.00194007, -0.00169422, -0.00146349, -0.00130712, -0.00121863", \
-            "-0.0020459, -0.00201287, -0.00186769, -0.00163801, -0.00143036, -0.00128167", \
-            "-0.00106036, -0.00141812, -0.00163678, -0.00162823, -0.00150101, -0.00136879", \
-            "0.00208388, 0.00101238, -0.000117128, -0.000860062, -0.00117704, -0.00124335" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00541327;
-      rise_capacitance : 0.00541327;
-      rise_capacitance_range (0.00415753, 0.00541327);
-      fall_capacitance : 0.00538115;
-      fall_capacitance_range (0.003747, 0.00538115);
-    }
-  }
-  cell (INVX10) {
-    area : 32.967;
-    cell_footprint : "INV";
-    cell_leakage_power : 1.38067;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 2.68898;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0723627;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.38067;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 1.6147;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.0437188, 0.0490646, 0.0631702, 0.0982542, 0.197101, 0.52093", \
-            "0.0469868, 0.0522504, 0.0661711, 0.101152, 0.200135, 0.524071", \
-            "0.0571789, 0.0625123, 0.0764376, 0.111386, 0.210352, 0.534452", \
-            "0.0730516, 0.0801271, 0.0989697, 0.139899, 0.239707, 0.563509", \
-            "0.100041, 0.108826, 0.133494, 0.192836, 0.311765, 0.634472", \
-            "0.151337, 0.162473, 0.194146, 0.273999, 0.448559, 0.797973" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.0188976, 0.0231353, 0.0359407, 0.0761891, 0.21452, 0.693626", \
-            "0.0187299, 0.0230482, 0.0359844, 0.076253, 0.214504, 0.693626", \
-            "0.0217003, 0.0256139, 0.037623, 0.0770424, 0.214673, 0.693626", \
-            "0.0330139, 0.0384467, 0.0527343, 0.0867485, 0.216146, 0.693642", \
-            "0.0533774, 0.0599835, 0.0798182, 0.126113, 0.236604, 0.693896", \
-            "0.0936166, 0.101336, 0.12571, 0.191379, 0.327183, 0.715575" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.0350061, 0.038451, 0.0481027, 0.072665, 0.139297, 0.353743", \
-            "0.0378855, 0.0413284, 0.0509142, 0.0754444, 0.142158, 0.356666", \
-            "0.0445674, 0.0485384, 0.0589298, 0.0837901, 0.150747, 0.365366", \
-            "0.0472288, 0.0527423, 0.067538, 0.101569, 0.173703, 0.388551", \
-            "0.0389691, 0.0466782, 0.0676291, 0.117082, 0.220617, 0.443823", \
-            "0.00710323, 0.0178756, 0.0476625, 0.118778, 0.271475, 0.568589" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.0134534, 0.0161758, 0.0245046, 0.0490989, 0.131293, 0.420307", \
-            "0.0131323, 0.015905, 0.0243096, 0.0490017, 0.131246, 0.420272", \
-            "0.016558, 0.0192427, 0.0266887, 0.0497537, 0.131391, 0.420166", \
-            "0.0255785, 0.0293738, 0.0397363, 0.0638867, 0.135553, 0.420373", \
-            "0.0428882, 0.0481092, 0.0625933, 0.0979713, 0.172814, 0.424212", \
-            "0.0778919, 0.0847304, 0.104609, 0.153332, 0.259972, 0.48859" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0354289, 0.037469, 0.0385038, 0.0421375, 0.0441203, 0.0492756, 0.0523005, 0.0552277, 0.0581504, 0.0610657, 0.0630182, 0.0683038, 0.0710087, 0.0738398, 0.0759642, 0.0800924, 0.0852025, 0.0893419, 0.0968364, 0.103123, 0.116308, 0.133888, 0.158599, 0.165721");
-            values ( \
-              "0.0484272, 0.0802945, 0.0885183, 0.150006, 0.172446, 0.211005, 0.221648, 0.226981, 0.222404, 0.207422, 0.179837, 0.0864031, 0.0608542, 0.0446559, 0.035639, 0.0233809, 0.0146586, 0.0102752, 0.00585645, 0.00416683, 0.0024094, 0.00136213, 0.000716405, 0.000662825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0123177");
-            index_3 ("0.0354207, 0.0391787, 0.043398, 0.046939, 0.0533151, 0.0570138, 0.0605871, 0.0641548, 0.0677054, 0.070163, 0.0743542, 0.0765864, 0.0797188, 0.0840644, 0.0876685, 0.0909895, 0.0958621, 0.102359, 0.10726, 0.117983, 0.132281, 0.175676");
-            values ( \
-              "0.00509027, 0.231414, 0.385104, 0.475526, 0.58325, 0.61386, 0.629778, 0.617797, 0.572895, 0.489874, 0.320345, 0.252497, 0.185257, 0.124579, 0.0897873, 0.0672731, 0.0445584, 0.0265099, 0.0189863, 0.0105127, 0.00586169, 0.00193131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0416793");
-            index_3 ("0.0399497, 0.043148, 0.0489412, 0.0541664, 0.05763, 0.0636445, 0.0692348, 0.0746857, 0.08013, 0.0834967, 0.0860741, 0.0958434, 0.0988673, 0.105931, 0.111145, 0.116706, 0.123219, 0.128102, 0.135763, 0.140167, 0.147491, 0.157257, 0.176789, 0.201849, 0.205818");
-            values ( \
-              "0.328328, 0.611433, 0.893921, 1.08588, 1.18635, 1.30977, 1.3723, 1.38497, 1.34538, 1.27129, 1.1789, 0.726332, 0.613851, 0.411487, 0.304832, 0.219469, 0.150004, 0.11286, 0.07284, 0.0575825, 0.0397795, 0.0252334, 0.0115047, 0.00546127, 0.0052031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.14103");
-            index_3 ("0.039997, 0.0553266, 0.0630694, 0.0674992, 0.0758768, 0.087413, 0.092255, 0.0985523, 0.110562, 0.121553, 0.12972, 0.152062, 0.166407, 0.186174, 0.193344, 0.206922, 0.2227, 0.236597, 0.263786, 0.287554, 0.309129");
-            values ( \
-              "0.171132, 1.48571, 1.80399, 1.94278, 2.13228, 2.26489, 2.28251, 2.28752, 2.22354, 2.07012, 1.87636, 1.23024, 0.892134, 0.553032, 0.46142, 0.324859, 0.214613, 0.148232, 0.0727214, 0.0388168, 0.0251046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.477201");
-            index_3 ("0.0454317, 0.0587914, 0.0711958, 0.0802277, 0.0880783, 0.102229, 0.11624, 0.12184, 0.129306, 0.144239, 0.153215, 0.16497, 0.177174, 0.201582, 0.222809, 0.242714, 0.27756, 0.329847, 0.370895, 0.40351, 0.431852, 0.453027, 0.487865, 0.502867, 0.529825, 0.567905, 0.60788, 0.638559, 0.699916, 0.783076, 0.790689");
-            values ( \
-              "0.765071, 1.8082, 2.32679, 2.57317, 2.72566, 2.8826, 2.94948, 2.95678, 2.95547, 2.9333, 2.90683, 2.86273, 2.81147, 2.68547, 2.54863, 2.3864, 2.02441, 1.45763, 1.07901, 0.831898, 0.65579, 0.546009, 0.399953, 0.348667, 0.271938, 0.189526, 0.129682, 0.0967043, 0.052462, 0.0225008, 0.0212996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.6147");
-            index_3 ("0.0616491, 0.122335, 0.137326, 0.151525, 0.175109, 0.20403, 0.332911, 0.457344, 0.572547, 0.643618, 0.726779, 0.93479, 1.08269, 1.16585, 1.23617, 1.30697, 1.39013, 1.50895, 1.58407, 1.73994, 1.90682, 2.07314, 2.23946, 2.37963");
-            values ( \
-              "3.191, 3.24059, 3.284, 3.29903, 3.29399, 3.26503, 3.07686, 2.87763, 2.65463, 2.4743, 2.21501, 1.509, 1.07389, 0.870684, 0.723993, 0.597786, 0.473996, 0.337629, 0.271535, 0.171234, 0.104062, 0.0629626, 0.038009, 0.0296759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0524313, 0.0549991, 0.059594, 0.0613954, 0.0633731, 0.0666093, 0.0695987, 0.0724979, 0.0753931, 0.0782898, 0.0803408, 0.0854535, 0.0881745, 0.091097, 0.0933016, 0.0973312, 0.102228, 0.106161, 0.11378, 0.124816, 0.134027, 0.152449, 0.169124");
-            values ( \
-              "0.0243724, 0.0777484, 0.152383, 0.174083, 0.191562, 0.213626, 0.224244, 0.22865, 0.224075, 0.208331, 0.178821, 0.0872601, 0.0612697, 0.0444632, 0.0351703, 0.0233296, 0.0148864, 0.0105954, 0.00593167, 0.00336498, 0.00236011, 0.00130485, 0.000883905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0123177");
-            index_3 ("0.0523609, 0.0581768, 0.0587851, 0.0600017, 0.064598, 0.0704447, 0.0741259, 0.0776846, 0.0812379, 0.0847791, 0.0872931, 0.0913747, 0.0936196, 0.0967645, 0.101188, 0.104863, 0.108051, 0.112728, 0.118964, 0.124088, 0.127846, 0.135361, 0.149844, 0.196285, 0.256337");
-            values ( \
-              "0.00308017, 0.304172, 0.313755, 0.367694, 0.490276, 0.586904, 0.616478, 0.632168, 0.619312, 0.574286, 0.488322, 0.322367, 0.253585, 0.185748, 0.123977, 0.0887528, 0.0672806, 0.0452825, 0.0274532, 0.0192725, 0.0153585, 0.0103361, 0.00575923, 0.001643, 0.000356986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0416793");
-            index_3 ("0.0555035, 0.0598573, 0.0657871, 0.0711112, 0.0745509, 0.0805828, 0.0861821, 0.0916393, 0.0970898, 0.100326, 0.103035, 0.112592, 0.120779, 0.129846, 0.134837, 0.140033, 0.146961, 0.153289, 0.162718, 0.170094, 0.184846, 0.208078, 0.211487");
-            values ( \
-              "0.11123, 0.579111, 0.8842, 1.08254, 1.18057, 1.30835, 1.3693, 1.38487, 1.34347, 1.27447, 1.17865, 0.734953, 0.46329, 0.275383, 0.205161, 0.151338, 0.100853, 0.0706788, 0.043356, 0.0304681, 0.016184, 0.00734954, 0.00703968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.14103");
-            index_3 ("0.0619214, 0.0798563, 0.0867443, 0.0927479, 0.102743, 0.107414, 0.115451, 0.126677, 0.13796, 0.179498, 0.198699, 0.210312, 0.223831, 0.23969, 0.253554, 0.280487, 0.304014, 0.323031");
-            values ( \
-              "1.04839, 1.79089, 1.99908, 2.12168, 2.25298, 2.27798, 2.28578, 2.22977, 2.08069, 0.97466, 0.616591, 0.46066, 0.324839, 0.214144, 0.148084, 0.0731162, 0.0393062, 0.027041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.477201");
-            index_3 ("0.0674697, 0.0809407, 0.0881933, 0.0980432, 0.105103, 0.118219, 0.132081, 0.135116, 0.141185, 0.1527, 0.164283, 0.187448, 0.207779, 0.225689, 0.257589, 0.264378, 0.291535, 0.344685, 0.38062, 0.416836, 0.442616, 0.460321, 0.494486, 0.504713, 0.530689, 0.555138, 0.589085, 0.602086, 0.628088, 0.680094, 0.763254, 0.846414, 0.929574");
-            values ( \
-              "1.98982, 2.04307, 2.31866, 2.59065, 2.72121, 2.87515, 2.94341, 2.95089, 2.95576, 2.94967, 2.92342, 2.84228, 2.74551, 2.64338, 2.40767, 2.34354, 2.05858, 1.4789, 1.14143, 0.856346, 0.691357, 0.594279, 0.438334, 0.399822, 0.315709, 0.251298, 0.182541, 0.161153, 0.125943, 0.0755077, 0.0324525, 0.0135717, 0.00594769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.6147");
-            index_3 ("0.0736202, 0.104994, 0.11859, 0.134223, 0.150388, 0.16859, 0.192495, 0.220781, 0.349974, 0.474406, 0.589609, 0.660681, 0.743841, 0.951852, 1.09975, 1.18291, 1.25323, 1.32403, 1.40719, 1.52601, 1.60113, 1.74304, 1.92388, 2.0902, 2.25652, 2.40006");
-            values ( \
-              "2.76195, 2.88394, 3.0885, 3.21366, 3.27581, 3.29908, 3.29424, 3.26514, 3.07713, 2.87738, 2.65486, 2.47408, 2.21523, 1.5092, 1.07371, 0.870872, 0.724173, 0.597609, 0.474173, 0.337804, 0.271365, 0.178494, 0.103907, 0.0628088, 0.0378569, 0.029325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0885768, 0.0952241, 0.0968, 0.0991639, 0.101092, 0.102232, 0.103288, 0.104344, 0.1054, 0.106456, 0.109242, 0.112738, 0.113602, 0.114019, 0.114435, 0.114852, 0.115269, 0.11605, 0.116831, 0.117445, 0.118671, 0.119284, 0.12009, 0.121299, 0.12266, 0.123618, 0.12492, 0.125898, 0.12724, 0.128354, 0.129021, 0.129687, 0.130354, 0.132152, 0.132831, 0.133737, 0.134643, 0.135549, 0.136455, 0.138267, 0.140613, 0.142263, 0.143088, 0.144739, 0.146389, 0.14804, 0.14969, 0.152991, 0.157267, 0.16257");
-            values ( \
-              "0.0749689, 0.0805026, 0.0981584, 0.119745, 0.135436, 0.143904, 0.150934, 0.157442, 0.16343, 0.168897, 0.180134, 0.194924, 0.199826, 0.201703, 0.203261, 0.204501, 0.205423, 0.204789, 0.203796, 0.202764, 0.200034, 0.198337, 0.193271, 0.179947, 0.161063, 0.145303, 0.119937, 0.102991, 0.0857617, 0.0742193, 0.0683311, 0.0630863, 0.0584848, 0.0480251, 0.0442835, 0.0402026, 0.0365187, 0.0334799, 0.0306725, 0.0257522, 0.0207574, 0.0175187, 0.0160749, 0.0138108, 0.011861, 0.010472, 0.00923298, 0.00720451, 0.00555205, 0.00418036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0123177");
-            index_3 ("0.0896983, 0.0972047, 0.0992456, 0.101466, 0.102576, 0.104184, 0.106673, 0.108665, 0.110687, 0.111707, 0.112727, 0.11334, 0.113953, 0.11656, 0.117742, 0.118924, 0.119874, 0.120823, 0.121772, 0.122721, 0.12367, 0.12462, 0.125569, 0.126518, 0.126673, 0.127239, 0.127858, 0.129114, 0.130672, 0.132567, 0.132993, 0.134272, 0.135124, 0.135977, 0.136829, 0.137682, 0.140888, 0.141896, 0.142904, 0.144919, 0.145927, 0.147534, 0.149949, 0.151793, 0.154252, 0.155071, 0.15835, 0.161628, 0.165368, 0.170232");
-            values ( \
-              "0.21467, 0.236496, 0.284612, 0.329533, 0.350569, 0.379241, 0.420461, 0.451388, 0.480134, 0.499797, 0.525223, 0.528597, 0.533779, 0.567389, 0.572984, 0.576761, 0.578478, 0.579022, 0.578393, 0.576592, 0.572184, 0.566029, 0.558128, 0.54848, 0.54598, 0.531684, 0.513422, 0.470537, 0.411381, 0.334023, 0.319055, 0.27827, 0.255772, 0.23518, 0.216494, 0.199714, 0.14804, 0.134979, 0.123065, 0.103527, 0.0948469, 0.082769, 0.066981, 0.056105, 0.0454041, 0.0422983, 0.0330493, 0.0257529, 0.0200387, 0.0143831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0416793");
-            index_3 ("0.084271, 0.0871255, 0.0909426, 0.0977411, 0.117983, 0.121104, 0.126467, 0.130568, 0.132755, 0.137128, 0.140935, 0.144418, 0.155823, 0.163594, 0.171083, 0.176318, 0.183195, 0.195202, 0.204732, 0.212412, 0.227772, 0.243991");
-            values ( \
-              "0.125653, 0.1502, 0.218768, 0.413256, 1.12865, 1.20574, 1.29576, 1.32987, 1.33672, 1.32403, 1.26923, 1.16324, 0.662823, 0.429525, 0.279728, 0.205802, 0.138064, 0.0697756, 0.0427392, 0.0296961, 0.0155041, 0.00970347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.14103");
-            index_3 ("0.0872246, 0.118746, 0.126697, 0.133134, 0.14212, 0.150847, 0.156408, 0.167694, 0.17784, 0.19019, 0.213819, 0.233507, 0.249433, 0.269099, 0.283506, 0.296106, 0.311428, 0.331856, 0.362066");
-            values ( \
-              "0.00693056, 1.56276, 1.86428, 2.03339, 2.181, 2.2454, 2.25526, 2.21377, 2.09301, 1.80317, 1.13537, 0.719549, 0.485553, 0.292068, 0.199953, 0.143088, 0.0956631, 0.055673, 0.0269081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.477201");
-            index_3 ("0.0871716, 0.136044, 0.145652, 0.156515, 0.166785, 0.176015, 0.190764, 0.20527, 0.228571, 0.248683, 0.266748, 0.298651, 0.305442, 0.386516, 0.417142, 0.446054, 0.470132, 0.495807, 0.541607, 0.553566, 0.594396, 0.629363, 0.643223, 0.670942, 0.72638, 0.80954, 0.8927, 0.97586");
-            values ( \
-              "0.0761742, 2.43838, 2.66036, 2.81321, 2.89629, 2.93071, 2.94452, 2.91808, 2.84059, 2.74605, 2.64238, 2.40821, 2.34432, 1.47069, 1.18233, 0.942158, 0.774043, 0.62246, 0.414517, 0.371834, 0.254612, 0.185374, 0.159916, 0.125202, 0.0703937, 0.0321043, 0.0115722, 0.00694742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.6147");
-            index_3 ("0.114629, 0.155381, 0.181092, 0.195876, 0.209761, 0.232519, 0.260507, 0.391188, 0.51562, 0.57065, 0.630823, 0.701895, 0.785055, 0.993066, 1.14096, 1.22412, 1.29444, 1.36524, 1.48801, 1.56722, 1.64234, 1.7255, 1.82611, 1.96508, 2.1314, 2.29772, 2.46405, 2.79669");
-            values ( \
-              "2.73468, 2.99408, 3.22745, 3.27653, 3.29362, 3.29289, 3.26614, 3.07723, 2.87729, 2.77903, 2.65494, 2.47399, 2.21532, 1.50928, 1.07363, 0.870949, 0.724249, 0.597539, 0.423797, 0.337878, 0.271297, 0.212629, 0.157573, 0.103844, 0.0627451, 0.0377932, 0.0227086, 0.00813653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.131026, 0.148466, 0.158096, 0.161515, 0.165015, 0.16956, 0.180195, 0.186696, 0.19237, 0.197634, 0.202721, 0.207783, 0.212843, 0.218585, 0.221141, 0.225221, 0.228421, 0.233205, 0.24046, 0.249055, 0.251637, 0.254898, 0.275714, 0.289332, 0.313453");
-            values ( \
-              "0.00368318, 0.00913592, 0.0186483, 0.0239434, 0.0313202, 0.0457775, 0.0921417, 0.109574, 0.121577, 0.127079, 0.130993, 0.128121, 0.114092, 0.0628396, 0.0473498, 0.0318841, 0.0235747, 0.0154656, 0.00841879, 0.00435265, 0.00559219, 0.00581021, 0.00296655, 0.00182572, 0.0011095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0123177");
-            index_3 ("0.131399, 0.150643, 0.156989, 0.161135, 0.16474, 0.171951, 0.184288, 0.191922, 0.198561, 0.204696, 0.21059, 0.216418, 0.222242, 0.229931, 0.235158, 0.238807, 0.244223, 0.249043, 0.251082, 0.26024, 0.272028, 0.282803, 0.295117, 0.317894");
-            values ( \
-              "0.0118495, 0.0312448, 0.049661, 0.065171, 0.0830725, 0.138271, 0.266012, 0.316055, 0.351461, 0.370187, 0.383696, 0.377981, 0.327261, 0.170433, 0.111556, 0.0832869, 0.0534554, 0.0368147, 0.0361417, 0.024947, 0.0145619, 0.00945106, 0.00618704, 0.00317899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0416793");
-            index_3 ("0.132365, 0.156935, 0.161917, 0.167231, 0.170528, 0.177122, 0.191077, 0.195602, 0.204654, 0.215338, 0.223691, 0.231557, 0.23937, 0.247172, 0.249177, 0.255288, 0.259771, 0.265377, 0.268997, 0.275072, 0.279707, 0.283056, 0.288129, 0.295694, 0.299505, 0.305155, 0.31269, 0.327759, 0.342892");
-            values ( \
-              "0.0343468, 0.107609, 0.14763, 0.20064, 0.242149, 0.345957, 0.582972, 0.645147, 0.755289, 0.864971, 0.933274, 0.973686, 0.949826, 0.750472, 0.683199, 0.52293, 0.422091, 0.319711, 0.265012, 0.191591, 0.149635, 0.125075, 0.0954777, 0.0644262, 0.0534933, 0.0410937, 0.0295016, 0.0162386, 0.0107607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.14103");
-            index_3 ("0.137055, 0.1611, 0.16675, 0.177351, 0.237833, 0.251775, 0.257349, 0.262809, 0.267746, 0.276993, 0.28232, 0.311406, 0.333604, 0.352427, 0.367709, 0.376987, 0.397633, 0.4255, 0.455224, 0.464471");
-            values ( \
-              "0.0913107, 0.237296, 0.310929, 0.49012, 1.70767, 1.94333, 2.00734, 2.04195, 2.04425, 1.97883, 1.89008, 1.13656, 0.685891, 0.428532, 0.290341, 0.226385, 0.130745, 0.0627832, 0.029504, 0.0249531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.477201");
-            index_3 ("0.162721, 0.188951, 0.212219, 0.253708, 0.260839, 0.266748, 0.272119, 0.282862, 0.298376, 0.310607, 0.326914, 0.344285, 0.364283, 0.396213, 0.403026, 0.482924, 0.521677, 0.557193, 0.579099, 0.593877, 0.623435, 0.639462, 0.665366, 0.690781, 0.726277, 0.769523, 0.827184, 0.910345, 0.993505, 1.07667");
-            values ( \
-              "0.483088, 0.828018, 1.39752, 2.47494, 2.61866, 2.70436, 2.76208, 2.83474, 2.86996, 2.85919, 2.81588, 2.74468, 2.63929, 2.40619, 2.34226, 1.48333, 1.12036, 0.84478, 0.704349, 0.620853, 0.478636, 0.414612, 0.327526, 0.258721, 0.184969, 0.122479, 0.069435, 0.0296536, 0.0125607, 0.00533453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.6147");
-            index_3 ("0.198944, 0.24485, 0.259573, 0.276444, 0.289881, 0.306596, 0.326853, 0.34988, 0.372729, 0.488388, 0.61282, 0.667849, 0.728025, 0.799095, 0.882255, 1.09027, 1.17168, 1.23816, 1.32132, 1.39164, 1.46244, 1.5456, 1.66442, 1.73954, 1.8227, 1.8954, 2.06228, 2.2286, 2.39492, 2.6444, 2.89388");
-            values ( \
-              "2.25155, 2.40128, 2.80711, 3.0691, 3.17904, 3.24663, 3.27273, 3.26635, 3.24357, 3.07699, 2.87751, 2.77881, 2.65473, 2.4742, 2.21512, 1.50909, 1.25845, 1.07382, 0.870769, 0.724075, 0.597716, 0.474075, 0.337708, 0.271469, 0.212462, 0.171307, 0.104002, 0.0629016, 0.0379477, 0.0176862, 0.00828588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.247382, 0.287238, 0.298762, 0.312107, 0.318962, 0.329343, 0.346335, 0.35716, 0.366486, 0.375063, 0.383292, 0.391385, 0.399472, 0.410169, 0.416934, 0.428875, 0.433867, 0.443852, 0.461463, 0.484816, 0.550117, 0.563545, 0.652743");
-            values ( \
-              "0.00144532, 0.00513222, 0.00731703, 0.0116359, 0.0153775, 0.0252538, 0.0540829, 0.0666854, 0.0738978, 0.0786605, 0.0809285, 0.0811876, 0.0760645, 0.0400278, 0.0241085, 0.0110342, 0.00804099, 0.00421562, 0.00167495, 0.000692355, 0.000178972, 0.00115401, 0.000121436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0123177");
-            index_3 ("0.247663, 0.289211, 0.305247, 0.319405, 0.328951, 0.351621, 0.363902, 0.374409, 0.38403, 0.393245, 0.402288, 0.411326, 0.430135, 0.442899, 0.458487, 0.470718, 0.482677, 0.504865, 0.550301, 0.559827, 0.564531");
-            values ( \
-              "0.00414647, 0.0172125, 0.0277145, 0.0456877, 0.0673974, 0.161744, 0.199527, 0.222984, 0.236893, 0.245455, 0.24544, 0.229985, 0.0803501, 0.0369175, 0.0140098, 0.00721338, 0.00410616, 0.00187546, 0.000742809, 0.00370642, 0.003912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0416793");
-            index_3 ("0.24705, 0.294469, 0.306256, 0.319471, 0.325165, 0.332758, 0.34038, 0.358576, 0.379284, 0.386488, 0.395661, 0.408519, 0.420762, 0.432742, 0.44471, 0.460921, 0.468937, 0.475475, 0.481539, 0.489622, 0.500399, 0.508675, 0.515502, 0.526724, 0.541687, 0.550115, 0.557024, 0.565525, 0.607276, 0.66865");
-            values ( \
-              "0.0074609, 0.05589, 0.0770295, 0.111727, 0.133641, 0.17192, 0.22347, 0.369655, 0.496346, 0.529159, 0.563764, 0.602699, 0.624902, 0.62933, 0.567217, 0.318518, 0.230152, 0.173166, 0.132255, 0.0910441, 0.0541425, 0.036665, 0.0269281, 0.0166481, 0.00922806, 0.00703102, 0.0125835, 0.0155048, 0.00688507, 0.00140854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.14103");
-            index_3 ("0.275288, 0.308802, 0.326087, 0.346757, 0.379831, 0.400297, 0.425946, 0.448054, 0.46804, 0.486772, 0.505353, 0.5239, 0.545964, 0.558185, 0.583918, 0.59461, 0.608866, 0.620096, 0.632898, 0.649967, 0.684105, 0.69231");
-            values ( \
-              "0.134885, 0.168137, 0.250062, 0.402179, 0.731258, 0.899557, 1.08086, 1.2183, 1.32225, 1.38677, 1.35069, 1.08962, 0.736676, 0.585762, 0.333709, 0.258586, 0.181797, 0.137321, 0.0997639, 0.0648789, 0.0266355, 0.0232369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.477201");
-            index_3 ("0.275269, 0.33724, 0.345514, 0.443832, 0.517433, 0.553571, 0.560252, 0.572945, 0.586967, 0.593131, 0.605459, 0.621584, 0.653834, 0.704927, 0.725619, 0.750296, 0.782305, 0.799903, 0.832247, 0.866894, 0.908756, 0.94687, 0.971583, 1.01778, 1.07936, 1.16252, 1.16822");
-            values ( \
-              "0.12101, 0.433786, 0.502453, 1.5127, 2.22962, 2.52808, 2.56434, 2.59221, 2.57052, 2.54719, 2.48619, 2.36805, 2.05195, 1.49621, 1.29379, 1.07668, 0.832479, 0.719483, 0.544477, 0.399362, 0.271601, 0.190251, 0.149758, 0.0959938, 0.0527791, 0.0218673, 0.0212413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.6147");
-            index_3 ("0.333082, 0.390341, 0.558819, 0.573245, 0.587079, 0.612048, 0.649333, 0.746128, 0.890045, 0.948527, 1.02073, 1.10389, 1.3119, 1.4598, 1.54296, 1.61327, 1.68408, 1.76724, 1.88605, 1.96117, 2.10308, 2.2839, 2.45023, 2.61655, 2.7532");
-            values ( \
-              "1.00874, 1.02283, 3.00854, 3.11352, 3.16168, 3.18799, 3.16014, 3.02101, 2.77793, 2.65738, 2.47414, 2.21522, 1.50918, 1.07374, 0.870851, 0.724157, 0.597642, 0.474155, 0.337788, 0.271397, 0.178525, 0.103936, 0.062834, 0.03788, 0.0297562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.531365, 0.608399, 0.634205, 0.650135, 0.671374, 0.692401, 0.708621, 0.727929, 0.744367, 0.773848, 0.787945, 0.802032, 0.809503, 0.830191, 0.846943, 0.865922, 0.87872, 0.901878, 0.93058");
-            values ( \
-              "0.00205787, 0.00312789, 0.00473939, 0.00647028, 0.0105531, 0.0207163, 0.0304574, 0.0374535, 0.042364, 0.0467014, 0.0463759, 0.0451336, 0.0393476, 0.0149932, 0.00779031, 0.00411459, 0.00276781, 0.00130966, 0.000539043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0123177");
-            index_3 ("0.531268, 0.608265, 0.63714, 0.651346, 0.670288, 0.679144, 0.688141, 0.715016, 0.736227, 0.754139, 0.770476, 0.785954, 0.801076, 0.816184, 0.819322, 0.827803, 0.838679, 0.844429, 0.852638, 0.86656, 0.878437, 0.886448, 0.897209, 0.911558, 0.940256, 0.977388, 1.08861, 1.21594, 1.23899, 1.2967");
-            values ( \
-              "0.0067694, 0.0102177, 0.0158575, 0.020769, 0.0310015, 0.039664, 0.0512403, 0.092468, 0.116721, 0.131161, 0.140305, 0.146074, 0.147567, 0.14206, 0.136723, 0.11214, 0.0704149, 0.0545591, 0.0387358, 0.0229302, 0.0148043, 0.0112588, 0.00778436, 0.00473005, 0.00175663, 0.000668536, 0.000129522, 6.46891e-05, 0.00134282, 0.000283934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0416793");
-            index_3 ("0.531128, 0.593272, 0.608331, 0.627139, 0.64413, 0.661604, 0.684903, 0.693559, 0.733192, 0.759665, 0.781979, 0.802225, 0.821348, 0.839999, 0.858632, 0.860851, 0.868458, 0.88701, 0.892661, 0.906719, 0.921771, 0.933217, 0.948294, 0.960492, 0.984888, 1.02137, 1.06728, 1.216, 1.22311, 1.23194, 1.23539");
-            values ( \
-              "0.0250541, 0.0255289, 0.0310848, 0.0399809, 0.0512461, 0.0681061, 0.105205, 0.128009, 0.251347, 0.31573, 0.357634, 0.383505, 0.400767, 0.404879, 0.387274, 0.377617, 0.336701, 0.202483, 0.169466, 0.107401, 0.0643907, 0.0429375, 0.0252762, 0.0164078, 0.00693039, 0.00229959, 0.00101111, 0.00024716, 0.00328489, 0.005148, 0.00493788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.14103");
-            index_3 ("0.53054, 0.607425, 0.631139, 0.672234, 0.705465, 0.777892, 0.817209, 0.851059, 0.881965, 0.911127, 0.939545, 0.967926, 1.01087, 1.0425, 1.06678, 1.08581, 1.1114, 1.13222, 1.17387, 1.21586, 1.26448, 1.27273");
-            values ( \
-              "0.0545993, 0.0775107, 0.102659, 0.16979, 0.265978, 0.581272, 0.70956, 0.792248, 0.8508, 0.88917, 0.900429, 0.810015, 0.456815, 0.26039, 0.160085, 0.106332, 0.0605345, 0.0376346, 0.0136637, 0.00524656, 0.0131013, 0.0120666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.477201");
-            index_3 ("0.53026, 0.64906, 0.696701, 0.726091, 0.82555, 0.881381, 0.948084, 1.0052, 1.05652, 1.10461, 1.15275, 1.1826, 1.26662, 1.30923, 1.35861, 1.40865, 1.44662, 1.48607, 1.51628, 1.5767, 1.6517");
-            values ( \
-              "0.0642456, 0.225134, 0.355219, 0.46685, 0.93895, 1.16397, 1.40974, 1.60201, 1.74571, 1.82593, 1.72912, 1.53704, 0.926482, 0.658461, 0.428081, 0.270919, 0.189329, 0.130241, 0.0973759, 0.0535351, 0.0258167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.6147");
-            index_3 ("0.607522, 0.730381, 0.781297, 1.14126, 1.21519, 1.24033, 1.25394, 1.27826, 1.30262, 1.39427, 1.4698, 1.51667, 1.59983, 1.83489, 1.95565, 2.03881, 2.10914, 2.17994, 2.2631, 2.3027, 2.3819, 2.45702, 2.54018, 2.64079, 2.77976, 2.94608, 3.1124, 3.36188, 3.61136");
-            values ( \
-              "0.364382, 0.582323, 0.812138, 2.56825, 2.89169, 2.9529, 2.95984, 2.94737, 2.91772, 2.76177, 2.59773, 2.47364, 2.21501, 1.42285, 1.07375, 0.870859, 0.724144, 0.597644, 0.474145, 0.423907, 0.337788, 0.271411, 0.212534, 0.15768, 0.103948, 0.0628468, 0.0378929, 0.0177418, 0.00823232" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.00858974, 0.00865059, 0.00875749, 0.00885035, 0.00889463, 0.0089102", \
-            "0.0152968, 0.0153662, 0.0155333, 0.0157495, 0.0158832, 0.0159359", \
-            "0.0251643, 0.0252062, 0.0253422, 0.0256409, 0.0259283, 0.0260671", \
-            "0.036581, 0.0365597, 0.0365332, 0.0366108, 0.0368753, 0.0370858", \
-            "0.0459984, 0.0458707, 0.045575, 0.0451912, 0.0448501, 0.0448098", \
-            "0.052537, 0.052145, 0.0514359, 0.0505574, 0.0495438, 0.0488177" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.0184016, 0.0184814, 0.0186929, 0.0189591, 0.0191128, 0.019171", \
-            "0.030732, 0.0307118, 0.0307809, 0.0311016, 0.0314195, 0.0315642", \
-            "0.0464249, 0.0460714, 0.0453679, 0.0446376, 0.0443651, 0.0443386", \
-            "0.0610515, 0.0596521, 0.056148, 0.0527665, 0.0505857, 0.0495197", \
-            "0.0608299, 0.0609603, 0.0609588, 0.0558151, 0.0493889, 0.0466367", \
-            "0.054177, 0.0548228, 0.0560024, 0.0574152, 0.0516231, 0.0452321" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0291454, 0.0312294, 0.0357339, 0.0416534, 0.0465591, 0.0486041, 0.0506478, 0.0528955, 0.0561945, 0.0592422, 0.0624136, 0.0647473, 0.0678227, 0.0747996, 0.0825888");
-            values ( \
-              "-0.0324509, -0.0936415, -0.140586, -0.264426, -0.316657, -0.324998, -0.318504, -0.262343, -0.137382, -0.0650578, -0.0297296, -0.0175617, -0.00979277, -0.00406638, -0.00269283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0123177");
-            index_3 ("0.0292333, 0.0332693, 0.0374065, 0.0415473, 0.0444129, 0.0474564, 0.0496762, 0.0525158, 0.0544122, 0.0561216, 0.0620883, 0.0651292, 0.0681275, 0.0716005, 0.0751236, 0.0791501, 0.0855116, 0.095673");
-            values ( \
-              "-0.0213034, -0.315881, -0.439225, -0.643968, -0.756691, -0.835462, -0.877772, -0.904181, -0.890287, -0.832194, -0.371097, -0.203355, -0.109293, -0.054201, -0.0291863, -0.0162525, -0.00821395, -0.00662018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0416793");
-            index_3 ("0.0320781, 0.0354121, 0.0416492, 0.0452554, 0.0505088, 0.0537951, 0.0596559, 0.0634501, 0.0672498, 0.0693314, 0.0771717, 0.0822599, 0.0858397, 0.0909071, 0.0971472, 0.104279, 0.113557, 0.115009");
-            values ( \
-              "-0.113923, -0.787248, -1.1578, -1.45129, -1.71803, -1.83135, -1.96466, -1.98599, -1.89589, -1.76424, -0.936133, -0.526016, -0.340094, -0.179466, -0.0823353, -0.0341583, -0.0127239, -0.0121715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.14103");
-            index_3 ("0.0349976, 0.0400129, 0.0505038, 0.0550232, 0.0623257, 0.0686263, 0.076523, 0.0841695, 0.0917856, 0.0953821, 0.0998641, 0.104835, 0.116852, 0.124968, 0.135573, 0.144422, 0.151203, 0.158428, 0.175124, 0.188552");
-            values ( \
-              "-0.5171, -1.51043, -2.3626, -2.62478, -2.93903, -3.13502, -3.28894, -3.34185, -3.27813, -3.18399, -3.00738, -2.6972, -1.73249, -1.20076, -0.711828, -0.449698, -0.312856, -0.212359, -0.0844367, -0.0447573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.477201");
-            index_3 ("0.0388966, 0.0468791, 0.0563596, 0.0633534, 0.0690249, 0.0764672, 0.0840413, 0.0906135, 0.100772, 0.110728, 0.125357, 0.130617, 0.140595, 0.150848, 0.171199, 0.181346, 0.192169, 0.199466, 0.240821, 0.263521, 0.276145, 0.286924, 0.304097, 0.32303, 0.345818, 0.353686, 0.372162, 0.393276, 0.435506, 0.49726, 0.559014");
-            values ( \
-              "-1.96671, -2.34265, -3.06653, -3.44689, -3.68225, -3.92387, -4.09358, -4.1952, -4.28679, -4.32445, -4.31736, -4.30173, -4.25621, -4.18975, -3.98474, -3.83228, -3.61458, -3.42308, -2.073, -1.47073, -1.20286, -1.00806, -0.75516, -0.545193, -0.364131, -0.316786, -0.22799, -0.15551, -0.0698256, -0.0196508, -0.00559039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.6147");
-            index_3 ("0.0489781, 0.0826968, 0.0906766, 0.101212, 0.118107, 0.125208, 0.139409, 0.157501, 0.206013, 0.298945, 0.340591, 0.365332, 0.414814, 0.433283, 0.46795, 0.506757, 0.56851, 0.64653, 0.704265, 0.745234, 0.804165, 0.853012, 0.894386, 0.941293, 1.00305, 1.05255, 1.14347, 1.20523, 1.32874, 1.45224, 1.57575");
-            values ( \
-              "-4.29429, -4.32723, -4.48604, -4.6247, -4.73458, -4.7572, -4.77816, -4.77809, -4.71997, -4.54989, -4.4552, -4.3905, -4.23156, -4.15708, -3.9851, -3.71197, -3.08619, -2.25229, -1.73231, -1.42397, -1.06288, -0.827895, -0.667664, -0.520613, -0.37383, -0.28602, -0.174016, -0.124079, -0.0626992, -0.0316401, -0.0159789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0459921, 0.0480038, 0.0508993, 0.0589146, 0.0623062, 0.0653099, 0.0673149, 0.0693179, 0.0729883, 0.0756511, 0.0783219, 0.0806645, 0.0845137, 0.0936093, 0.108928");
-            values ( \
-              "-0.0612228, -0.0692341, -0.107693, -0.277929, -0.31476, -0.331599, -0.322663, -0.27305, -0.133217, -0.0686552, -0.0351138, -0.0198794, -0.00959011, -0.00338883, -0.00252015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0123177");
-            index_3 ("0.0467255, 0.0545387, 0.0585091, 0.0613901, 0.0650888, 0.0668133, 0.0692583, 0.0717049, 0.0729119, 0.080824, 0.0839979, 0.0878116, 0.0929128, 0.0987348, 0.100657");
-            values ( \
-              "-0.113133, -0.453497, -0.669455, -0.776083, -0.867377, -0.896208, -0.917203, -0.88928, -0.83555, -0.248077, -0.12858, -0.0585792, -0.0240222, -0.0110425, -0.0101781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0416793");
-            index_3 ("0.0468779, 0.0545947, 0.0639522, 0.0684082, 0.0724962, 0.076354, 0.0801296, 0.0839017, 0.0861214, 0.0937912, 0.0988562, 0.102444, 0.107494, 0.113672, 0.120732, 0.129942, 0.156776");
-            values ( \
-              "-0.00186041, -0.881406, -1.58101, -1.77671, -1.90301, -1.9795, -2.00008, -1.90518, -1.76086, -0.938863, -0.527895, -0.340649, -0.179876, -0.0830564, -0.0346288, -0.0129373, -0.00247838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.14103");
-            index_3 ("0.0540187, 0.059545, 0.067453, 0.0717752, 0.0770225, 0.085413, 0.0914376, 0.0969372, 0.100892, 0.108487, 0.112381, 0.116579, 0.124792, 0.133004, 0.141717, 0.152319, 0.161172, 0.167949, 0.175166, 0.180724, 0.191841, 0.206509");
-            values ( \
-              "-1.55206, -1.72058, -2.39266, -2.64498, -2.88157, -3.15359, -3.277, -3.33799, -3.35057, -3.28399, -3.17881, -3.00859, -2.44446, -1.77933, -1.20147, -0.712206, -0.449711, -0.312951, -0.212505, -0.157099, -0.0845978, -0.0410986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.477201");
-            index_3 ("0.0559932, 0.0734909, 0.0798925, 0.0873317, 0.0945348, 0.101327, 0.107489, 0.117821, 0.127574, 0.142289, 0.147451, 0.157426, 0.167679, 0.188026, 0.198197, 0.209046, 0.216197, 0.258076, 0.280384, 0.303484, 0.321439, 0.343547, 0.36403, 0.385962, 0.402858, 0.436652, 0.476646");
-            values ( \
-              "-1.79555, -3.11751, -3.45702, -3.75954, -3.97217, -4.1144, -4.20431, -4.29407, -4.3277, -4.31923, -4.3029, -4.25734, -4.19009, -3.98516, -3.83199, -3.61351, -3.42593, -2.06026, -1.47, -1.01258, -0.748606, -0.511111, -0.355664, -0.240937, -0.177722, -0.0949006, -0.0503324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.6147");
-            index_3 ("0.0671801, 0.107955, 0.118468, 0.130125, 0.138854, 0.14883, 0.168783, 0.222577, 0.333906, 0.382129, 0.410899, 0.450054, 0.511807, 0.523761, 0.547669, 0.647744, 0.709498, 0.74246, 0.805953, 0.864537, 0.930671, 0.973078, 1.0322, 1.09935, 1.1611, 1.22286, 1.34636, 1.46987, 1.59338");
-            values ( \
-              "-4.43944, -4.50637, -4.63668, -4.71791, -4.7516, -4.7723, -4.78161, -4.72042, -4.51031, -4.39051, -4.3037, -4.15728, -3.80687, -3.71137, -3.48933, -2.40855, -1.82722, -1.56426, -1.14579, -0.849395, -0.60178, -0.480527, -0.349387, -0.242856, -0.17314, -0.123145, -0.0621411, -0.0312755, -0.015714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0735899, 0.0777392, 0.0782252, 0.0791973, 0.0811145, 0.0824, 0.084971, 0.0868222, 0.0905247, 0.0918601, 0.0939567, 0.0953788, 0.0983566, 0.101026, 0.103494, 0.105885, 0.10827, 0.108423, 0.108729, 0.109342, 0.110368, 0.111673, 0.112158, 0.112775, 0.113543, 0.114309, 0.115162, 0.115785, 0.116133, 0.116597, 0.117526, 0.118996, 0.121367, 0.122547, 0.123106, 0.124225, 0.126463, 0.130938, 0.136714");
-            values ( \
-              "-0.0300757, -0.0395088, -0.039731, -0.0421402, -0.0499344, -0.0570496, -0.0753486, -0.0947523, -0.146794, -0.165802, -0.190672, -0.205545, -0.234665, -0.256788, -0.273206, -0.276427, -0.242394, -0.236893, -0.228872, -0.209814, -0.176196, -0.135552, -0.122253, -0.10649, -0.0932464, -0.0785033, -0.064935, -0.0559598, -0.0517221, -0.0464292, -0.0374099, -0.0267548, -0.0160878, -0.0129766, -0.0118085, -0.00987817, -0.00719938, -0.00439185, -0.0028975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0123177");
-            index_3 ("0.0749444, 0.0785667, 0.079701, 0.0819696, 0.084031, 0.0873256, 0.0887401, 0.0943783, 0.0984545, 0.101911, 0.105008, 0.10788, 0.110671, 0.112785, 0.113629, 0.118064, 0.11983, 0.121303, 0.121795, 0.12433, 0.127903, 0.128425, 0.12947, 0.13574, 0.14124");
-            values ( \
-              "-0.0983906, -0.124684, -0.128982, -0.152629, -0.183795, -0.253532, -0.296001, -0.489971, -0.599668, -0.683708, -0.747906, -0.793986, -0.799372, -0.730644, -0.6903, -0.365302, -0.262804, -0.198866, -0.177931, -0.105951, -0.0517454, -0.0494456, -0.0389363, -0.0145339, -0.0104037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0416793");
-            index_3 ("0.0827907, 0.0908048, 0.0920674, 0.09333, 0.0937529, 0.0945985, 0.095867, 0.0969199, 0.0981799, 0.0988099, 0.100406, 0.103067, 0.105501, 0.107635, 0.109419, 0.111112, 0.111909, 0.112705, 0.113365, 0.114025, 0.115322, 0.116831, 0.117445, 0.118673, 0.120272, 0.121257, 0.122241, 0.123226, 0.12411, 0.125026, 0.125812, 0.126335, 0.127383, 0.128106, 0.129543, 0.130965, 0.133946, 0.135163, 0.135771, 0.136988, 0.138034, 0.139835, 0.140816, 0.141797, 0.142779, 0.143644, 0.14451, 0.145375, 0.146241, 0.147945");
-            values ( \
-              "-0.64843, -0.679595, -0.741468, -0.807415, -0.832469, -0.88829, -0.967291, -1.02925, -1.09968, -1.13352, -1.21455, -1.34359, -1.45695, -1.55211, -1.62713, -1.69426, -1.74199, -1.79798, -1.80695, -1.82029, -1.85922, -1.91163, -1.90966, -1.90365, -1.89165, -1.88192, -1.87041, -1.85711, -1.81114, -1.75071, -1.6921, -1.64991, -1.55805, -1.48372, -1.31914, -1.16209, -0.856467, -0.747471, -0.699821, -0.610963, -0.542378, -0.434976, -0.381154, -0.338905, -0.300522, -0.270777, -0.243437, -0.218502, -0.195972, -0.157969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.14103");
-            index_3 ("0.0904491, 0.102541, 0.106188, 0.110565, 0.111652, 0.112738, 0.113466, 0.114193, 0.115664, 0.11739, 0.119115, 0.120841, 0.121747, 0.124464, 0.126101, 0.129376, 0.131014, 0.133251, 0.135488, 0.13904, 0.142146, 0.14599, 0.147942, 0.149762, 0.151641, 0.15352, 0.155399, 0.156596, 0.157794, 0.159589, 0.161984, 0.164646, 0.167593, 0.169736, 0.171879, 0.174916, 0.17868, 0.181355, 0.183581, 0.186288, 0.188361, 0.191875, 0.195041, 0.197153, 0.199264, 0.20116, 0.203057, 0.204953, 0.206849, 0.210158");
-            values ( \
-              "-1.73658, -1.79041, -2.04974, -2.34109, -2.44633, -2.5616, -2.58135, -2.60808, -2.68353, -2.78066, -2.8667, -2.94193, -2.97488, -3.06475, -3.10992, -3.19063, -3.22618, -3.26973, -3.30841, -3.30214, -3.29222, -3.27421, -3.26255, -3.21927, -3.16235, -3.09748, -3.02466, -2.96682, -2.89603, -2.7781, -2.60705, -2.39887, -2.14567, -1.9751, -1.81204, -1.59373, -1.34549, -1.18356, -1.05997, -0.932687, -0.844215, -0.706034, -0.591723, -0.532046, -0.477274, -0.433281, -0.392563, -0.355119, -0.32095, -0.268505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.477201");
-            index_3 ("0.0744181, 0.139431, 0.146769, 0.157219, 0.166945, 0.181249, 0.186859, 0.207097, 0.227455, 0.237555, 0.248329, 0.255907, 0.296631, 0.319738, 0.343461, 0.360373, 0.378801, 0.40985, 0.428803, 0.450465, 0.493788, 0.516064");
-            values ( \
-              "-0.307864, -4.05084, -4.17486, -4.27705, -4.31677, -4.31469, -4.2985, -4.18838, -3.98452, -3.83277, -3.61667, -3.41761, -2.08629, -1.47151, -1.00332, -0.754874, -0.549803, -0.317243, -0.226371, -0.152833, -0.0670449, -0.0496614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.6147");
-            index_3 ("0.0922851, 0.121892, 0.138754, 0.149578, 0.159799, 0.170736, 0.184621, 0.201619, 0.231764, 0.288143, 0.356525, 0.418279, 0.464701, 0.489504, 0.53911, 0.563054, 0.601313, 0.692725, 0.746634, 0.781903, 0.845238, 0.903921, 0.970253, 1.01261, 1.07167, 1.13867, 1.20042, 1.26217, 1.38568, 1.6327");
-            values ( \
-              "-1.61786, -3.6326, -4.28621, -4.50814, -4.63317, -4.71138, -4.76084, -4.77946, -4.76143, -4.67708, -4.54668, -4.40015, -4.25455, -4.15704, -3.89243, -3.71164, -3.34102, -2.35123, -1.84673, -1.56411, -1.14658, -0.84955, -0.601296, -0.480218, -0.349313, -0.243026, -0.173211, -0.123247, -0.0622081, -0.0157549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.128578, 0.137588, 0.141689, 0.149125, 0.15565, 0.162872, 0.167517, 0.171665, 0.175512, 0.179208, 0.182898, 0.189099, 0.192768, 0.19593, 0.199341, 0.201358, 0.20508, 0.210043, 0.219342, 0.248902, 0.251346, 0.255222, 0.271904, 0.301447");
-            values ( \
-              "-0.0230079, -0.0244371, -0.031182, -0.0536208, -0.0966894, -0.131699, -0.151045, -0.164585, -0.175508, -0.179892, -0.170039, -0.0792167, -0.0412547, -0.0230664, -0.0126909, -0.00944872, -0.00593044, -0.00351021, -0.00160861, -0.000357954, -0.00147663, -0.00162954, -0.000523845, -0.00011246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0123177");
-            index_3 ("0.129124, 0.138781, 0.143652, 0.151365, 0.160744, 0.167067, 0.172399, 0.177168, 0.181581, 0.185817, 0.190046, 0.197699, 0.201189, 0.20328, 0.206068, 0.209811, 0.212884, 0.21903, 0.227351, 0.24889, 0.253938, 0.260293, 0.266271, 0.306005");
-            values ( \
-              "-0.0620659, -0.0776218, -0.100772, -0.168867, -0.312656, -0.388697, -0.443734, -0.486081, -0.51711, -0.532148, -0.499148, -0.225624, -0.132456, -0.0944304, -0.0592429, -0.0326851, -0.0207184, -0.00926763, -0.00475637, -0.00181843, -0.00453217, -0.00451365, -0.00246376, -0.000659298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0416793");
-            index_3 ("0.128597, 0.149246, 0.155052, 0.169912, 0.178331, 0.185508, 0.191947, 0.197919, 0.20368, 0.209646, 0.218728, 0.224422, 0.229696, 0.234974, 0.241255, 0.248869, 0.251487, 0.279743, 0.311192");
-            values ( \
-              "-0.054234, -0.308802, -0.418165, -0.800261, -0.981174, -1.11291, -1.21758, -1.2913, -1.31958, -1.18755, -0.641278, -0.367912, -0.207756, -0.114056, -0.0562406, -0.0243102, -0.0270212, -0.00648723, -0.00159251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.14103");
-            index_3 ("0.131646, 0.158437, 0.184512, 0.204516, 0.215832, 0.226034, 0.235569, 0.244979, 0.248915, 0.251793, 0.263709, 0.272382, 0.28201, 0.286999, 0.29365, 0.298917, 0.304794, 0.312631, 0.328303, 0.337751");
-            values ( \
-              "-0.0218646, -0.717074, -1.55299, -2.10708, -2.38291, -2.59421, -2.72681, -2.67917, -2.55925, -2.43404, -1.63913, -1.12624, -0.709564, -0.552282, -0.391133, -0.296518, -0.21729, -0.142431, -0.0586121, -0.0396591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.477201");
-            index_3 ("0.14593, 0.16729, 0.19789, 0.219235, 0.241443, 0.248907, 0.256839, 0.261541, 0.269762, 0.277622, 0.292123, 0.298153, 0.308489, 0.31933, 0.340725, 0.350439, 0.390831, 0.411106, 0.423467, 0.434334, 0.451654, 0.470871, 0.49348, 0.501304, 0.519508, 0.540313, 0.581923, 0.643676, 0.70543");
-            values ( \
-              "-0.454162, -1.15552, -2.24578, -2.96743, -3.64748, -3.85715, -4.03245, -4.09704, -4.16678, -4.19375, -4.17819, -4.14914, -4.07523, -3.95928, -3.58654, -3.32017, -1.99971, -1.47027, -1.20765, -1.01078, -0.755372, -0.542651, -0.36354, -0.316583, -0.228904, -0.157086, -0.0714264, -0.0201428, -0.00569199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.6147");
-            index_3 ("0.170003, 0.213134, 0.248747, 0.260992, 0.269184, 0.278657, 0.293038, 0.30825, 0.323174, 0.346432, 0.384797, 0.440157, 0.487742, 0.512984, 0.563468, 0.580938, 0.61562, 0.654411, 0.716165, 0.794184, 0.85192, 0.892888, 0.951819, 1.00067, 1.04204, 1.08895, 1.1507, 1.2002, 1.29113, 1.35288, 1.41464, 1.47639, 1.5999, 1.72341");
-            values ( \
-              "-2.20517, -2.93243, -4.16627, -4.4616, -4.57442, -4.65575, -4.72106, -4.74533, -4.74588, -4.72524, -4.66692, -4.56283, -4.45639, -4.39053, -4.22778, -4.15712, -3.98498, -3.712, -3.08616, -2.25231, -1.7323, -1.42399, -1.06289, -0.827881, -0.667652, -0.520625, -0.373818, -0.28603, -0.174025, -0.124069, -0.0879499, -0.0626896, -0.0316308, -0.0159698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.222479, 0.227222, 0.23855, 0.245414, 0.257619, 0.26848, 0.274153, 0.276497, 0.278896, 0.290337, 0.29951, 0.307212, 0.314147, 0.32064, 0.326918, 0.333187, 0.334888, 0.336645, 0.341998, 0.345264, 0.34936, 0.353086, 0.356788, 0.363025, 0.366315, 0.37077, 0.37671, 0.38859, 0.410338, 0.437718, 0.450211");
-            values ( \
-              "-0.00405139, -0.0046532, -0.00664302, -0.00947732, -0.0131559, -0.0201962, -0.0275353, -0.0316732, -0.0365413, -0.063313, -0.0796308, -0.0907725, -0.0981874, -0.103431, -0.105719, -0.101627, -0.0960205, -0.0883515, -0.0553512, -0.037825, -0.0230569, -0.0151377, -0.0104136, -0.00631477, -0.00521079, -0.00415849, -0.00317272, -0.0017814, -0.000638498, -0.000244528, -0.00020547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0123177");
-            index_3 ("0.224604, 0.240254, 0.259994, 0.272397, 0.279646, 0.294942, 0.305322, 0.314021, 0.321823, 0.329085, 0.336071, 0.343048, 0.348304, 0.355163, 0.361057, 0.367151, 0.371278, 0.375829, 0.385303, 0.397936, 0.416076, 0.438725");
-            values ( \
-              "-0.0133546, -0.0222227, -0.0438724, -0.0692676, -0.0992207, -0.188557, -0.23878, -0.271753, -0.2966, -0.313187, -0.322823, -0.311409, -0.253497, -0.141335, -0.0751415, -0.0386887, -0.0259944, -0.0179048, -0.0096263, -0.00494975, -0.00197425, -0.00133907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0416793");
-            index_3 ("0.230543, 0.253344, 0.263644, 0.271356, 0.275188, 0.282851, 0.288682, 0.300342, 0.32116, 0.332518, 0.342707, 0.352148, 0.361198, 0.370233, 0.377051, 0.389512, 0.392964, 0.397566, 0.403565, 0.407847, 0.416411, 0.429107, 0.444667, 0.493632, 0.550149, 0.557686, 0.577886");
-            values ( \
-              "-0.0361913, -0.0955169, -0.127135, -0.159724, -0.179814, -0.232298, -0.290382, -0.422108, -0.61812, -0.703183, -0.769452, -0.817125, -0.84461, -0.810937, -0.658724, -0.305975, -0.233862, -0.15939, -0.0954555, -0.0659693, -0.0308715, -0.0108298, -0.00448543, -0.00112196, -0.000107548, -0.00365031, -0.00228523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.14103");
-            index_3 ("0.260511, 0.291262, 0.293724, 0.296186, 0.298647, 0.307738, 0.315701, 0.320633, 0.325566, 0.330498, 0.334374, 0.33825, 0.344248, 0.350245, 0.354587, 0.358928, 0.363361, 0.367793, 0.372225, 0.376658, 0.407346, 0.410903, 0.41446, 0.418018, 0.421575, 0.425124, 0.428674, 0.432224, 0.435773, 0.436231, 0.437147, 0.438979, 0.442643, 0.456667, 0.459041, 0.461416, 0.462998, 0.464581, 0.466164, 0.467267, 0.470574, 0.473673, 0.475739, 0.477806, 0.479872, 0.481938, 0.484004, 0.48607, 0.489749, 0.492739");
-            values ( \
-              "-0.429074, -0.469895, -0.499497, -0.530322, -0.562372, -0.694669, -0.807861, -0.876717, -0.944613, -1.01155, -1.06096, -1.1093, -1.1817, -1.25199, -1.30155, -1.35, -1.39672, -1.44241, -1.48707, -1.5307, -1.81669, -1.80788, -1.79559, -1.77983, -1.76059, -1.73793, -1.71181, -1.68223, -1.64918, -1.64276, -1.62303, -1.57067, -1.45237, -0.962761, -0.884248, -0.812101, -0.766555, -0.722607, -0.680258, -0.652265, -0.570711, -0.497146, -0.454825, -0.415653, -0.381153, -0.348785, -0.318548, -0.290441, -0.246344, -0.212504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.477201");
-            index_3 ("0.246727, 0.307593, 0.373127, 0.437762, 0.468239, 0.4957, 0.521403, 0.546874, 0.554405, 0.566578, 0.608868, 0.621013, 0.638999, 0.653903, 0.666458, 0.680741, 0.701009, 0.722085, 0.738229, 0.770516, 0.812252");
-            values ( \
-              "-0.0942005, -0.816783, -1.80403, -2.64936, -2.99428, -3.26221, -3.41869, -3.33728, -3.22002, -2.90839, -1.66177, -1.37754, -1.03065, -0.803203, -0.648646, -0.506297, -0.353727, -0.243314, -0.182018, -0.100129, -0.050899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.6147");
-            index_3 ("0.274985, 0.33567, 0.386532, 0.443397, 0.483372, 0.549946, 0.561302, 0.576068, 0.590448, 0.615909, 0.634706, 0.685662, 0.71883, 0.780584, 0.798824, 0.823145, 0.860287, 0.922041, 1.00001, 1.0577, 1.09878, 1.12042, 1.15773, 1.20655, 1.24786, 1.29478, 1.35653, 1.39091, 1.43641, 1.49708, 1.55884, 1.62059, 1.68234, 1.80585, 1.92936");
-            values ( \
-              "-0.603584, -1.31293, -2.1216, -2.98261, -3.5501, -4.43405, -4.52247, -4.59617, -4.61629, -4.60686, -4.5789, -4.47494, -4.3906, -4.18377, -4.10341, -3.97529, -3.71191, -3.08583, -2.25278, -1.73284, -1.42389, -1.28093, -1.06269, -0.827715, -0.66773, -0.520774, -0.373805, -0.310662, -0.242852, -0.173993, -0.123948, -0.0879605, -0.062602, -0.0315604, -0.0159088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.415281, 0.462864, 0.490358, 0.50699, 0.517959, 0.539897, 0.563536, 0.573012, 0.602788, 0.608466, 0.615294, 0.638981, 0.650702, 0.654131, 0.669962, 0.680802, 0.687203, 0.701047, 0.717697, 0.727955, 0.740663, 0.791495, 0.909328, 1.15634");
-            values ( \
-              "-0.000934378, -0.0024827, -0.00449431, -0.00604693, -0.00747572, -0.0120748, -0.0279156, -0.0363392, -0.051125, -0.0520005, -0.0541603, -0.0564285, -0.0532936, -0.0506855, -0.0232257, -0.0107974, -0.00761851, -0.00440867, -0.00288402, -0.0022773, -0.00176745, -0.000658545, -1.16047e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0123177");
-            index_3 ("0.416553, 0.461981, 0.478592, 0.496534, 0.514829, 0.521059, 0.533517, 0.549729, 0.556145, 0.579472, 0.593957, 0.602875, 0.613751, 0.626046, 0.639, 0.645378, 0.651554, 0.664052, 0.66975, 0.675631, 0.687055, 0.695147, 0.700424, 0.706455, 0.718516, 0.729632, 0.751605, 0.780902, 0.827746, 0.83672");
-            values ( \
-              "-0.00314507, -0.00782171, -0.0114322, -0.0159433, -0.0219774, -0.0248302, -0.0318063, -0.0480724, -0.0597251, -0.109713, -0.133616, -0.145532, -0.157254, -0.167335, -0.174785, -0.177104, -0.177871, -0.17196, -0.157908, -0.13089, -0.0668698, -0.0387389, -0.0280743, -0.0202802, -0.0122025, -0.00905462, -0.00565119, -0.00321762, -0.00124229, -0.00111436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0416793");
-            index_3 ("0.421556, 0.46043, 0.483363, 0.51047, 0.525494, 0.540851, 0.557291, 0.564216, 0.593285, 0.605716, 0.630316, 0.655897, 0.671755, 0.686966, 0.702093, 0.713431, 0.726113, 0.738013, 0.749661, 0.756367, 0.760633, 0.769165, 0.786229, 0.810787, 0.84094");
-            values ( \
-              "-0.0104954, -0.0217424, -0.0352471, -0.0565814, -0.0726486, -0.0948776, -0.131969, -0.156662, -0.278907, -0.324805, -0.399904, -0.45913, -0.486248, -0.502116, -0.490779, -0.414378, -0.255607, -0.138301, -0.0726705, -0.0513255, -0.0417903, -0.0287667, -0.0153622, -0.00811158, -0.00430655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.14103");
-            index_3 ("0.457757, 0.511971, 0.539619, 0.555222, 0.581772, 0.618348, 0.638399, 0.655161, 0.673778, 0.701805, 0.726866, 0.750007, 0.772117, 0.79419, 0.810724, 0.830749, 0.847021, 0.856921, 0.868962, 0.876498, 0.89157, 0.919391, 0.95161, 0.991974, 1.04484, 1.16835");
-            values ( \
-              "-0.0608369, -0.126598, -0.188344, -0.233231, -0.340134, -0.561588, -0.674793, -0.760382, -0.848102, -0.966853, -1.05945, -1.13016, -1.17155, -1.13186, -0.932525, -0.590407, -0.364264, -0.260226, -0.169958, -0.129763, -0.0720467, -0.0226315, -0.00560843, -0.00213706, -0.000534342, -3.07697e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.477201");
-            index_3 ("0.456712, 0.553841, 0.586959, 0.616901, 0.700822, 0.735234, 0.790304, 0.837319, 0.879489, 0.918494, 0.956105, 0.993623, 1.04874, 1.07258, 1.09881, 1.1125, 1.13795, 1.16692, 1.19018, 1.21587, 1.27451, 1.35246");
-            values ( \
-              "-0.00854249, -0.345429, -0.491038, -0.657154, -1.21153, -1.41199, -1.71062, -1.94354, -2.1305, -2.26646, -2.31395, -2.0847, -1.22114, -0.893858, -0.612863, -0.497752, -0.331844, -0.206342, -0.138782, -0.0886758, -0.0385043, -0.0092153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.6147");
-            index_3 ("0.517691, 0.624253, 0.656507, 0.768556, 0.850499, 0.921188, 1.01824, 1.08, 1.14138, 1.19618, 1.2158, 1.23043, 1.24714, 1.26436, 1.29129, 1.32083, 1.35893, 1.4506, 1.50463, 1.53974, 1.60274, 1.66163, 1.70099, 1.72837, 1.77062, 1.82957, 1.89624, 1.95799, 2.01974, 2.0815, 2.14325, 2.26676, 2.39027");
-            values ( \
-              "-0.301313, -0.790503, -0.991007, -1.75149, -2.2736, -2.70745, -3.27055, -3.60183, -3.89419, -4.10101, -4.15288, -4.15324, -4.12464, -4.06411, -3.92464, -3.71105, -3.34246, -2.35008, -1.84518, -1.56351, -1.14843, -0.849659, -0.692867, -0.600388, -0.479496, -0.349249, -0.243454, -0.17329, -0.123528, -0.0875787, -0.0624122, -0.0314883, -0.0158962" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.00861371, 0.00862573, 0.00865396, 0.00868781, 0.0087073, 0.00871466", \
-            "0.0149243, 0.0149324, 0.0149563, 0.0150016, 0.01504, 0.0150574", \
-            "0.0232355, 0.0232396, 0.0232524, 0.0232874, 0.0233383, 0.0233705", \
-            "0.031082, 0.0310813, 0.0310811, 0.0310879, 0.0311155, 0.0311496", \
-            "0.0363059, 0.0362992, 0.0362816, 0.0362495, 0.0362191, 0.0362147", \
-            "0.0391785, 0.0391684, 0.0391384, 0.0390708, 0.0389701, 0.0388904" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.0179816, 0.0179931, 0.018027, 0.0180896, 0.0181381, 0.0181588", \
-            "0.0285605, 0.028568, 0.0285898, 0.0286452, 0.028716, 0.0287555", \
-            "0.038954, 0.0388815, 0.0387498, 0.0386341, 0.038605, 0.03862", \
-            "0.0476857, 0.0468523, 0.0456293, 0.0445849, 0.0439193, 0.0435675", \
-            "0.0581879, 0.0565034, 0.051945, 0.0477929, 0.0454748, 0.0444699", \
-            "0.0642595, 0.0641381, 0.0630179, 0.0533427, 0.0472445, 0.0446412" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "0.0663929, 0.0667759, 0.0682508, 0.0710942, 0.0733169, 0.0742584", \
-            "0.0663524, 0.0665985, 0.0676562, 0.0701688, 0.0727429, 0.074028", \
-            "0.0680233, 0.0678092, 0.0678146, 0.0690147, 0.0716536, 0.0734566", \
-            "0.0740397, 0.0730094, 0.0715607, 0.0703576, 0.0710573, 0.0729049", \
-            "0.0918333, 0.0893374, 0.0847193, 0.0791672, 0.0745774, 0.0732295", \
-            "0.132256, 0.128731, 0.119836, 0.106827, 0.0924134, 0.0807625" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0123177, 0.0416793, 0.14103, 0.477201, 1.6147");
-          values ( \
-            "-0.0221525, -0.0223921, -0.0217993, -0.0196984, -0.0179776, -0.0172384", \
-            "-0.0242274, -0.0244266, -0.0237545, -0.021136, -0.0186317, -0.0174789", \
-            "-0.0247291, -0.0252288, -0.0252163, -0.0228071, -0.0196617, -0.0178986", \
-            "-0.0210025, -0.0224317, -0.0242713, -0.0241117, -0.0211406, -0.0185931", \
-            "-0.00811325, -0.0107611, -0.0162847, -0.0206405, -0.0210804, -0.0191388", \
-            "0.0280677, 0.0240446, 0.0134594, -0.00408603, -0.0153645, -0.0177526" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0506904;
-      rise_capacitance : 0.0506904;
-      rise_capacitance_range (0.0145126, 0.0506904);
-      fall_capacitance : 0.0496472;
-      fall_capacitance_range (0.014229, 0.0496472);
-    }
-  }
-  cell (INVX2) {
-    area : 9.5238;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.276135;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.537798;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0144725;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.276135;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.406235;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.0236871, 0.031086, 0.0483448, 0.0911463, 0.200205, 0.47973", \
-            "0.0294983, 0.0369833, 0.0542553, 0.0971254, 0.206295, 0.4859", \
-            "0.0395752, 0.0502733, 0.0691316, 0.111837, 0.220975, 0.499375", \
-            "0.0546582, 0.0704286, 0.098615, 0.145847, 0.254384, 0.533591", \
-            "0.0807595, 0.102276, 0.143262, 0.213475, 0.330295, 0.608197", \
-            "0.130092, 0.158477, 0.214403, 0.316687, 0.487432, 0.77741" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.0130656, 0.0223566, 0.0467968, 0.110311, 0.273538, 0.692464", \
-            "0.0151368, 0.0232608, 0.0470037, 0.110327, 0.273535, 0.692464", \
-            "0.0239235, 0.0313054, 0.050337, 0.110486, 0.273551, 0.692464", \
-            "0.0370819, 0.0487297, 0.0693347, 0.118024, 0.273615, 0.69244", \
-            "0.0584442, 0.0754214, 0.106765, 0.158689, 0.287211, 0.692401", \
-            "0.0983984, 0.12035, 0.165134, 0.243439, 0.370785, 0.713448" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.0183843, 0.0240868, 0.0376338, 0.0712788, 0.15693, 0.376508", \
-            "0.0222291, 0.0285938, 0.0422953, 0.0760587, 0.16161, 0.38141", \
-            "0.0255893, 0.0352975, 0.0530399, 0.0873145, 0.173191, 0.392854", \
-            "0.0258263, 0.0401328, 0.0666633, 0.111924, 0.198579, 0.41776", \
-            "0.0175456, 0.0376939, 0.0761335, 0.143746, 0.255711, 0.475779", \
-            "-0.0118267, 0.0166186, 0.0708199, 0.168169, 0.334012, 0.604611" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.0091445, 0.0157677, 0.0330798, 0.0780417, 0.193549, 0.489689", \
-            "0.0117252, 0.0171597, 0.0332757, 0.078038, 0.193392, 0.489689", \
-            "0.0183632, 0.0253152, 0.038901, 0.0787464, 0.193349, 0.489893", \
-            "0.0290739, 0.0392573, 0.057937, 0.092351, 0.194828, 0.489602", \
-            "0.0483646, 0.0625207, 0.0892702, 0.13611, 0.223479, 0.490868", \
-            "0.0855814, 0.104186, 0.14036, 0.207221, 0.321723, 0.54563" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0248177, 0.0264759, 0.0288012, 0.0315252, 0.0333353, 0.0351454, 0.0364462, 0.0436164, 0.0482214, 0.0517441, 0.0570597, 0.0605816, 0.0661593, 0.0749194");
-            values ( \
-              "0.0172837, 0.290819, 0.342966, 0.363696, 0.360633, 0.346996, 0.328964, 0.13912, 0.0776377, 0.0512788, 0.0280439, 0.0189971, 0.0105716, 0.00509908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00934689");
-            index_3 ("0.0254966, 0.0284977, 0.0318712, 0.0333097, 0.0363423, 0.0403592, 0.0425992, 0.0450485, 0.0478542, 0.0532194, 0.0578018, 0.0605208, 0.0641016, 0.0702063, 0.0746683, 0.0801029, 0.0849998, 0.0902831, 0.102515, 0.110789");
-            values ( \
-              "0.0810149, 0.480322, 0.543364, 0.552614, 0.557373, 0.533862, 0.511285, 0.477491, 0.421268, 0.293287, 0.210046, 0.172173, 0.132583, 0.0850419, 0.0612694, 0.0410302, 0.0284917, 0.0193065, 0.00767521, 0.00461843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0239991");
-            index_3 ("0.0262756, 0.0291228, 0.0312259, 0.034445, 0.0370364, 0.0405578, 0.0454304, 0.0530876, 0.0595417, 0.0644017, 0.0730499, 0.086314, 0.0939337, 0.10246, 0.107106, 0.114695, 0.121547, 0.133722, 0.142538, 0.154009, 0.169303, 0.191422");
-            values ( \
-              "0.0210722, 0.58683, 0.646744, 0.693861, 0.709004, 0.71225, 0.698861, 0.658885, 0.616716, 0.577206, 0.482877, 0.324249, 0.252353, 0.187381, 0.158867, 0.120062, 0.0924768, 0.0574625, 0.0402971, 0.0252844, 0.0132466, 0.00520949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0616201");
-            index_3 ("0.0283322, 0.0315396, 0.0359896, 0.039607, 0.0429541, 0.0483583, 0.0545305, 0.0614183, 0.0858008, 0.102677, 0.116791, 0.120371, 0.12753, 0.161971, 0.178389, 0.190104, 0.204994, 0.216246, 0.227841, 0.243286, 0.257113, 0.275548, 0.291255, 0.312382, 0.340551, 0.393621, 0.455441");
-            values ( \
-              "0.675633, 0.709129, 0.779256, 0.800766, 0.807599, 0.804227, 0.792757, 0.775871, 0.710735, 0.659939, 0.608143, 0.592393, 0.558845, 0.37841, 0.303462, 0.255862, 0.203502, 0.169966, 0.140289, 0.107746, 0.0846541, 0.0608182, 0.0457064, 0.0310042, 0.0181916, 0.00613546, 0.00162885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.158216");
-            index_3 ("0.0298782, 0.0298982, 0.0949812, 0.151505, 0.209829, 0.223102, 0.25581, 0.290888, 0.333573, 0.381879, 0.4033, 0.446143, 0.471368, 0.499198, 0.536306, 0.560081, 0.600617, 0.646943, 0.686876, 0.707189, 0.747815, 0.829067, 0.911922, 0.994776, 1.07763");
-            values ( \
-              "1e-22, 0.878503, 0.813134, 0.751633, 0.682237, 0.663997, 0.611774, 0.543333, 0.451601, 0.352105, 0.31181, 0.239982, 0.204004, 0.169385, 0.130927, 0.110565, 0.082426, 0.0583237, 0.04318, 0.0370267, 0.0271047, 0.0142294, 0.00731986, 0.00376531, 0.00192285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.406235");
-            index_3 ("0.0354244, 0.0354444, 0.156049, 0.388737, 0.471591, 0.530942, 0.60297, 0.685825, 0.894034, 1.04032, 1.12317, 1.19332, 1.26321, 1.34607, 1.46011, 1.53488, 1.61774, 1.68951, 1.85548, 2.02119, 2.18689, 2.43546, 2.68402");
-            values ( \
-              "1e-22, 0.970441, 0.838932, 0.737509, 0.697814, 0.665752, 0.619906, 0.556755, 0.381569, 0.272839, 0.221373, 0.184025, 0.152126, 0.120518, 0.08674, 0.0696339, 0.0543515, 0.0438057, 0.0264796, 0.0159141, 0.00953872, 0.00437676, 0.00204851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0365872, 0.0461771, 0.0527812, 0.0534945, 0.0550162, 0.0565132, 0.0582613, 0.0619648, 0.0658262, 0.069152, 0.0737694, 0.0810031, 0.0902253, 0.0936614");
-            values ( \
-              "0.00343588, 0.197384, 0.298966, 0.305612, 0.310494, 0.303563, 0.275643, 0.172715, 0.106058, 0.0712423, 0.0418976, 0.0189538, 0.00725961, 0.00545183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00934689");
-            index_3 ("0.0376796, 0.0509037, 0.0526071, 0.0542395, 0.0558229, 0.0583914, 0.06055, 0.0624097, 0.0657408, 0.0751889, 0.0782016, 0.0816446, 0.0866867, 0.0895353, 0.0933514, 0.0970983, 0.102094, 0.10691, 0.1149, 0.125554, 0.140104, 0.158025");
-            values ( \
-              "0.0155642, 0.397622, 0.455464, 0.488451, 0.506896, 0.517253, 0.511668, 0.499058, 0.458169, 0.252253, 0.202648, 0.15759, 0.109482, 0.0889105, 0.0671332, 0.051002, 0.0351615, 0.0246473, 0.0136047, 0.00593629, 0.00180122, 0.000378769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0239991");
-            index_3 ("0.0391239, 0.0535993, 0.0552272, 0.0570668, 0.0599446, 0.0620654, 0.0642921, 0.0665121, 0.0709521, 0.0762211, 0.0797523, 0.0866645, 0.104167, 0.11266, 0.117683, 0.125782, 0.134303, 0.141315, 0.147711, 0.156238, 0.165722, 0.181033, 0.201448, 0.214299");
-            values ( \
-              "0.00511894, 0.592034, 0.631326, 0.660442, 0.684262, 0.689982, 0.689674, 0.684802, 0.667152, 0.637326, 0.613428, 0.55494, 0.346033, 0.262544, 0.221164, 0.166112, 0.121402, 0.0929612, 0.0725758, 0.0516911, 0.0353031, 0.0188245, 0.00772433, 0.00506452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0616201");
-            index_3 ("0.0396288, 0.0575466, 0.0603308, 0.0627832, 0.0673468, 0.0720562, 0.0767695, 0.0837908, 0.105819, 0.122628, 0.138549, 0.143905, 0.151048, 0.180188, 0.19338, 0.201674, 0.218261, 0.232209, 0.239518, 0.254137, 0.264922, 0.272817, 0.283345, 0.304399, 0.317855, 0.338533, 0.366103, 0.421111, 0.485152");
-            values ( \
-              "0.044609, 0.743976, 0.775052, 0.789193, 0.798161, 0.794666, 0.785852, 0.769277, 0.710418, 0.659942, 0.600623, 0.576143, 0.540764, 0.387116, 0.325296, 0.289391, 0.225777, 0.181335, 0.161001, 0.125998, 0.104705, 0.091264, 0.0757821, 0.0517332, 0.0404911, 0.0276589, 0.0164071, 0.00526131, 0.00131114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.158216");
-            index_3 ("0.0394777, 0.0581199, 0.061377, 0.0654495, 0.0679679, 0.0713259, 0.0771749, 0.0830348, 0.13159, 0.191346, 0.231808, 0.26905, 0.289575, 0.316941, 0.384351, 0.432249, 0.477456, 0.506231, 0.525677, 0.564568, 0.582169, 0.610346, 0.642549, 0.688825, 0.708302, 0.747257, 0.825167, 0.908021, 0.990876, 1.15658");
-            values ( \
-              "0.0180427, 0.788021, 0.824041, 0.845, 0.850241, 0.852945, 0.851689, 0.846884, 0.79529, 0.729227, 0.679583, 0.623815, 0.586362, 0.530503, 0.386962, 0.295841, 0.223246, 0.184873, 0.162067, 0.123469, 0.108918, 0.088854, 0.070074, 0.0494719, 0.0427046, 0.0317233, 0.0171485, 0.00884652, 0.00453861, 0.00119032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.406235");
-            index_3 ("0.0528697, 0.0528897, 0.176135, 0.408846, 0.491701, 0.551052, 0.62308, 0.705934, 0.940739, 1.06043, 1.14328, 1.21343, 1.28332, 1.36618, 1.48022, 1.55499, 1.69576, 1.87558, 2.04129, 2.20699, 2.45556, 2.70412");
-            values ( \
-              "1e-22, 0.931376, 0.838899, 0.737467, 0.697856, 0.665792, 0.619866, 0.556795, 0.360285, 0.272805, 0.221409, 0.18406, 0.152093, 0.120552, 0.0867738, 0.0696023, 0.0456749, 0.0264497, 0.0158841, 0.00950878, 0.00440682, 0.00201899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0629602, 0.0683924, 0.071316, 0.0800213, 0.0835506, 0.0882312, 0.0922927, 0.0960037, 0.0995289, 0.103056, 0.108115, 0.109375, 0.112743, 0.113898, 0.120868, 0.123948, 0.129029, 0.132502, 0.13786, 0.145003, 0.146171");
-            values ( \
-              "0.0180335, 0.0316071, 0.0455153, 0.107476, 0.128054, 0.152206, 0.17033, 0.182876, 0.188951, 0.178995, 0.109701, 0.0951, 0.066378, 0.0647595, 0.0384491, 0.0290626, 0.0177857, 0.0126553, 0.00744869, 0.00360268, 0.00333451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00934689");
-            index_3 ("0.0655169, 0.0685681, 0.0732309, 0.0864617, 0.0966779, 0.101916, 0.106692, 0.111271, 0.114031, 0.124517, 0.130676, 0.137343, 0.14267, 0.151215, 0.162361, 0.169055");
-            values ( \
-              "0.0400307, 0.0528286, 0.0845644, 0.214633, 0.302424, 0.339593, 0.365081, 0.370543, 0.359086, 0.198139, 0.129533, 0.0805368, 0.0547563, 0.0291037, 0.012774, 0.00815806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0239991");
-            index_3 ("0.0690682, 0.0819026, 0.0978866, 0.113881, 0.115909, 0.11718, 0.118394, 0.120821, 0.125409, 0.131262, 0.136153, 0.150227, 0.158596, 0.167108, 0.173123, 0.183269, 0.187904, 0.195874, 0.204982, 0.21177, 0.222182, 0.236064, 0.26128, 0.290987");
-            values ( \
-              "0.0526554, 0.205518, 0.40662, 0.588975, 0.609739, 0.616519, 0.619934, 0.620241, 0.604578, 0.563086, 0.512174, 0.34265, 0.260471, 0.194035, 0.156626, 0.107342, 0.0899382, 0.0659576, 0.0458021, 0.0348639, 0.0228124, 0.0127201, 0.00401675, 0.000958443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0616201");
-            index_3 ("0.0700177, 0.085336, 0.115166, 0.118357, 0.121488, 0.123253, 0.125607, 0.130315, 0.136663, 0.14401, 0.167309, 0.172641, 0.185771, 0.199842, 0.230376, 0.24638, 0.26467, 0.277464, 0.295223, 0.30945, 0.321051, 0.335056, 0.354814, 0.375523, 0.391409, 0.423182, 0.480603, 0.548559");
-            values ( \
-              "0.0308409, 0.272083, 0.712563, 0.747297, 0.761703, 0.764977, 0.766104, 0.761688, 0.748769, 0.730133, 0.662582, 0.644524, 0.592515, 0.523693, 0.364456, 0.292524, 0.222379, 0.181875, 0.135707, 0.106367, 0.0868943, 0.0677277, 0.0472697, 0.0323639, 0.0240965, 0.0131132, 0.00394218, 0.000868828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.158216");
-            index_3 ("0.0704846, 0.115947, 0.12107, 0.124614, 0.128451, 0.134915, 0.143133, 0.180326, 0.235331, 0.277268, 0.316775, 0.330552, 0.452338, 0.515466, 0.559752, 0.586842, 0.622115, 0.651841, 0.685327, 0.732822, 0.781804, 0.81926, 0.894172, 0.977026, 1.14274");
-            values ( \
-              "0.017298, 0.781808, 0.82508, 0.836174, 0.840049, 0.838722, 0.831513, 0.791786, 0.730953, 0.67959, 0.619975, 0.594923, 0.342561, 0.234267, 0.175194, 0.145493, 0.113362, 0.0914943, 0.0715176, 0.0500182, 0.0345351, 0.0259136, 0.0143361, 0.00738676, 0.00194618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.406235");
-            index_3 ("0.0883426, 0.111212, 0.120944, 0.125937, 0.130799, 0.135545, 0.156766, 0.452849, 0.535703, 0.595054, 0.667082, 0.749937, 0.984741, 1.10443, 1.18729, 1.25743, 1.32732, 1.41018, 1.52422, 1.59899, 1.73976, 1.83672, 1.91957, 2.08528, 2.25099, 2.49955, 2.74812");
-            values ( \
-              "0.689444, 0.7199, 0.852086, 0.86831, 0.873069, 0.873586, 0.86617, 0.737483, 0.697841, 0.665779, 0.619879, 0.556783, 0.360291, 0.272811, 0.221404, 0.184058, 0.152097, 0.12055, 0.0867722, 0.069605, 0.0456773, 0.0340939, 0.0264508, 0.0158848, 0.00950916, 0.00440699, 0.00201879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.11534, 0.129922, 0.139106, 0.147572, 0.159313, 0.166739, 0.173189, 0.184802, 0.19039, 0.195972, 0.206097, 0.211043, 0.219838, 0.229699, 0.239233, 0.248942, 0.256134, 0.261148");
-            values ( \
-              "0.00509567, 0.0128827, 0.0235119, 0.0437413, 0.0806356, 0.0962244, 0.1068, 0.117767, 0.117083, 0.101962, 0.0437746, 0.0295037, 0.0148714, 0.00678534, 0.00325744, 0.00165351, 0.00554342, 0.0044307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00934689");
-            index_3 ("0.124453, 0.140152, 0.148105, 0.160874, 0.178553, 0.187088, 0.19484, 0.202135, 0.209338, 0.216528, 0.22294, 0.228477, 0.235168, 0.240674, 0.247917, 0.248869, 0.252899, 0.26953, 0.280638, 0.294825, 0.314393");
-            values ( \
-              "0.0293065, 0.0435966, 0.0690031, 0.126515, 0.18596, 0.208702, 0.225407, 0.235636, 0.232389, 0.188605, 0.134175, 0.0991214, 0.0681467, 0.0489933, 0.0313167, 0.0294852, 0.0303513, 0.0126605, 0.00558438, 0.0017574, 0.00029691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0239991");
-            index_3 ("0.124532, 0.141248, 0.147524, 0.17563, 0.200558, 0.21242, 0.223113, 0.233232, 0.243349, 0.248947, 0.253392, 0.266357, 0.274749, 0.283319, 0.290316, 0.296651, 0.305099, 0.312109, 0.321553, 0.334144, 0.358132, 0.386063");
-            values ( \
-              "0.0366564, 0.0667703, 0.0892098, 0.229923, 0.341605, 0.387651, 0.420414, 0.43387, 0.399329, 0.351907, 0.323689, 0.221668, 0.165795, 0.121179, 0.0928693, 0.072687, 0.0519623, 0.0392072, 0.0267338, 0.0158094, 0.00535624, 0.00143569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0616201");
-            index_3 ("0.124529, 0.155278, 0.166837, 0.21683, 0.234361, 0.251539, 0.253976, 0.257141, 0.263471, 0.270353, 0.278655, 0.28803, 0.333389, 0.354968, 0.371834, 0.386293, 0.39738, 0.415606, 0.423481, 0.437436, 0.457154, 0.477714, 0.49347, 0.524982, 0.582243, 0.650066");
-            values ( \
-              "0.0200211, 0.143575, 0.207035, 0.499966, 0.595334, 0.675967, 0.683899, 0.686232, 0.677805, 0.659099, 0.630824, 0.592605, 0.361152, 0.266869, 0.206192, 0.163562, 0.13601, 0.0994872, 0.0866897, 0.0676167, 0.0472358, 0.0324289, 0.0241983, 0.0132452, 0.00399433, 0.000888475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.158216");
-            index_3 ("0.145647, 0.171702, 0.252395, 0.257563, 0.261119, 0.264574, 0.271484, 0.322037, 0.371629, 0.393757, 0.423001, 0.467866, 0.508424, 0.538176, 0.558308, 0.58515, 0.627496, 0.649864, 0.664392, 0.690681, 0.724649, 0.752086, 0.786108, 0.834012, 0.855429, 0.884532, 0.923337, 1.00095, 1.0838, 1.16665, 1.24951");
-            values ( \
-              "0.190325, 0.247145, 0.78417, 0.80405, 0.807019, 0.806816, 0.802289, 0.747923, 0.689246, 0.659054, 0.611925, 0.522813, 0.435375, 0.37382, 0.334562, 0.286011, 0.219327, 0.189418, 0.171813, 0.143382, 0.112754, 0.0925258, 0.0720274, 0.0502408, 0.0427533, 0.034286, 0.0254434, 0.0137686, 0.00708159, 0.00364263, 0.00186009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.406235");
-            index_3 ("0.145408, 0.19742, 0.252639, 0.259723, 0.265898, 0.278014, 0.541899, 0.636765, 0.700629, 0.769652, 0.852506, 1.0607, 1.20699, 1.28984, 1.35999, 1.42988, 1.51274, 1.62677, 1.70154, 1.84231, 2.02212, 2.18783, 2.35354, 2.6021, 2.85067");
-            values ( \
-              "0.134957, 0.427623, 0.830791, 0.857826, 0.860928, 0.858289, 0.743655, 0.698585, 0.66402, 0.619879, 0.556767, 0.381593, 0.272819, 0.221398, 0.184052, 0.152105, 0.120544, 0.0867674, 0.0696123, 0.0456842, 0.0264569, 0.0158904, 0.00951449, 0.00440225, 0.00202357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.205038, 0.250008, 0.269023, 0.275311, 0.287913, 0.304993, 0.324218, 0.336329, 0.346595, 0.355957, 0.364862, 0.373624, 0.382381, 0.396554, 0.405672, 0.415399, 0.423582, 0.431995, 0.438557, 0.451681, 0.472496, 0.550272, 0.560188, 0.565875");
-            values ( \
-              "0.000604772, 0.0031717, 0.00627372, 0.00768713, 0.0115005, 0.0230184, 0.047956, 0.0602933, 0.0675272, 0.0724636, 0.0746999, 0.0750282, 0.0698413, 0.0329497, 0.0187489, 0.0106052, 0.00638565, 0.00381718, 0.0025294, 0.00108533, 0.000338996, 6.2571e-05, 0.00153722, 0.0013761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00934689");
-            index_3 ("0.206151, 0.252877, 0.264105, 0.275333, 0.289289, 0.294146, 0.303858, 0.313908, 0.336613, 0.352094, 0.365369, 0.377503, 0.389009, 0.400283, 0.411547, 0.426124, 0.43414, 0.440701, 0.448599, 0.454315, 0.462729, 0.467456, 0.475273, 0.485697, 0.506543, 0.53274, 0.550294, 0.560273, 0.572782, 0.584124");
-            values ( \
-              "0.00148162, 0.00753817, 0.0113569, 0.0158417, 0.0238301, 0.0277018, 0.038123, 0.0544543, 0.0974833, 0.119837, 0.133948, 0.143416, 0.148942, 0.149921, 0.136663, 0.0797314, 0.0570579, 0.0425158, 0.0295506, 0.0223573, 0.0145384, 0.0113887, 0.00752719, 0.00424376, 0.00124777, 0.000362271, 0.000292365, 0.00317331, 0.00312043, 0.00118004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0239991");
-            index_3 ("0.20485, 0.27293, 0.293276, 0.313077, 0.36065, 0.382614, 0.401515, 0.41863, 0.434669, 0.450377, 0.46605, 0.496896, 0.512119, 0.527772, 0.539548, 0.550422, 0.556086, 0.559299, 0.576253");
-            values ( \
-              "0.00289877, 0.0234046, 0.0432801, 0.0729836, 0.178319, 0.215242, 0.242384, 0.262498, 0.275771, 0.275443, 0.234062, 0.11302, 0.0720699, 0.0430812, 0.0284019, 0.0191247, 0.0211161, 0.0203753, 0.0127217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0616201");
-            index_3 ("0.209571, 0.275656, 0.28788, 0.294225, 0.306915, 0.324046, 0.329926, 0.362354, 0.404833, 0.423466, 0.437046, 0.464443, 0.489059, 0.512275, 0.535491, 0.550617, 0.554418, 0.558806, 0.573153, 0.584813, 0.600889, 0.604501, 0.613828, 0.623777, 0.639752, 0.644282, 0.653342, 0.671462, 0.687481, 0.694666, 0.709035, 0.737774, 0.776114");
-            values ( \
-              "0.00668978, 0.0386629, 0.0535042, 0.0620833, 0.081874, 0.11587, 0.129721, 0.212422, 0.309641, 0.350173, 0.37883, 0.43164, 0.469634, 0.486315, 0.452334, 0.397495, 0.38701, 0.371049, 0.310059, 0.262409, 0.205033, 0.193759, 0.166714, 0.141397, 0.107616, 0.0995093, 0.0849053, 0.061359, 0.0458508, 0.0402183, 0.0308564, 0.0179003, 0.00933288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.158216");
-            index_3 ("0.275221, 0.323771, 0.346549, 0.369156, 0.477403, 0.504696, 0.524573, 0.550182, 0.557468, 0.565047, 0.574071, 0.60547, 0.636028, 0.649521, 0.672385, 0.753002, 0.78905, 0.81676, 0.855437, 0.88541, 0.925375, 0.959207, 1.00214, 1.0565, 1.08139, 1.1193, 1.16985, 1.25271, 1.33556, 1.41842, 1.50127");
-            values ( \
-              "0.102501, 0.13223, 0.187019, 0.247147, 0.543401, 0.614255, 0.66298, 0.718379, 0.72613, 0.726978, 0.718428, 0.679538, 0.634883, 0.611674, 0.568358, 0.396994, 0.326418, 0.277152, 0.217221, 0.178182, 0.135207, 0.106319, 0.0777662, 0.0516499, 0.0428174, 0.0320997, 0.0217191, 0.0112109, 0.00577196, 0.00295512, 0.00152097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.406235");
-            index_3 ("0.275696, 0.367401, 0.550657, 0.57388, 0.811802, 0.949136, 1.07851, 1.31322, 1.4329, 1.58591, 1.73866, 1.92746, 2.06823, 2.24804, 2.56476");
-            values ( \
-              "0.0696692, 0.249424, 0.808398, 0.829038, 0.7234, 0.650477, 0.556732, 0.360287, 0.272808, 0.18406, 0.120554, 0.0696037, 0.0456753, 0.026449, 0.010259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.412115, 0.438255, 0.52111, 0.557323, 0.581865, 0.605129, 0.620675, 0.637151, 0.650192, 0.660174, 0.684212, 0.705017, 0.72239, 0.738147, 0.753085, 0.767733, 0.782369, 0.786475, 0.790855, 0.806064, 0.817609, 0.83108, 0.841546, 0.850397, 0.856583, 0.868954, 0.893696, 0.925564, 0.989816");
-            values ( \
-              "0.000176233, 0.000252521, 0.00126708, 0.00228853, 0.00324634, 0.00466905, 0.00625509, 0.0087937, 0.0123707, 0.0164375, 0.0275922, 0.0354234, 0.0401139, 0.0430593, 0.0446508, 0.0449269, 0.0430025, 0.0409014, 0.0375243, 0.0205378, 0.012643, 0.00742674, 0.0048637, 0.00342395, 0.0026663, 0.00159883, 0.000557778, 0.000174346, 8.30162e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00934689");
-            index_3 ("0.417299, 0.43796, 0.520815, 0.562481, 0.585312, 0.608143, 0.619448, 0.642057, 0.659267, 0.715493, 0.725679, 0.74703, 0.766367, 0.784619, 0.802456, 0.820276, 0.825152, 0.848702, 0.867876, 0.886012, 0.899492, 0.910311, 0.93195, 0.962975, 1.00111, 1.21581, 1.22677, 1.23842, 1.24947");
-            values ( \
-              "0.000452473, 0.000594972, 0.00300314, 0.00576149, 0.00784729, 0.0109229, 0.0130998, 0.0193879, 0.0278626, 0.0679765, 0.0737615, 0.0838792, 0.0902615, 0.0940189, 0.0948918, 0.0906275, 0.0857832, 0.0466336, 0.024779, 0.0128068, 0.00761917, 0.00490188, 0.00189404, 0.000448916, 0.000171207, 3.98586e-05, 0.00135976, 0.00136743, 0.000543964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239991");
-            index_3 ("0.520774, 0.59163, 0.635628, 0.649533, 0.674078, 0.732376, 0.766773, 0.796009, 0.822611, 0.847753, 0.872288, 0.896797, 0.939571, 0.961479, 0.989666, 1.00748, 1.03122, 1.06894, 1.09218");
-            values ( \
-              "0.0145293, 0.017313, 0.0309403, 0.0376659, 0.0548502, 0.112159, 0.139185, 0.156691, 0.168126, 0.175354, 0.177306, 0.164769, 0.0827683, 0.0508358, 0.0245416, 0.0148412, 0.00713979, 0.00190403, 0.00113236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0616201");
-            index_3 ("0.520261, 0.599147, 0.626152, 0.654876, 0.69206, 0.773101, 0.821072, 0.84222, 0.885548, 0.924636, 0.961213, 0.996961, 1.03262, 1.08559, 1.12311, 1.14767, 1.16319, 1.18483, 1.21582, 1.22202, 1.23114, 1.25495, 1.27478, 1.30567");
-            values ( \
-              "0.0237176, 0.0325282, 0.0439832, 0.0603535, 0.0913215, 0.181161, 0.224277, 0.240937, 0.271957, 0.295177, 0.310771, 0.311218, 0.269085, 0.162698, 0.100562, 0.0703409, 0.0553248, 0.0388461, 0.0229771, 0.0232732, 0.0219868, 0.014572, 0.0100061, 0.00577876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.158216");
-            index_3 ("0.519963, 0.642886, 0.668458, 0.702553, 0.79975, 0.97407, 1.03791, 1.09521, 1.14937, 1.20352, 1.22357, 1.29237, 1.37046, 1.41372, 1.48958, 1.57206, 1.65948, 1.74233, 1.79678");
-            values ( \
-              "0.0214525, 0.0712561, 0.0900166, 0.120864, 0.233356, 0.417419, 0.476194, 0.517774, 0.534553, 0.495589, 0.466476, 0.329567, 0.203517, 0.151965, 0.0884458, 0.0477434, 0.0244621, 0.0126421, 0.00861272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.406235");
-            index_3 ("0.638701, 0.78059, 1.14096, 1.21551, 1.23522, 1.25866, 1.38507, 1.42805, 1.49689, 1.56614, 1.81453, 1.93439, 2.01725, 2.08632, 2.15649, 2.27778, 2.35466, 2.42913, 2.51199, 2.61067, 2.74839, 2.9141, 3.07981, 3.32838, 3.57694");
-            values ( \
-              "0.158091, 0.223044, 0.67454, 0.754625, 0.756055, 0.749303, 0.687653, 0.663875, 0.619754, 0.567581, 0.360145, 0.272575, 0.221198, 0.184408, 0.152284, 0.108146, 0.0865556, 0.0694939, 0.054293, 0.0403348, 0.0265147, 0.0159237, 0.00953288, 0.00441689, 0.00202436" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.00546603, 0.00557019, 0.0056725, 0.00573778, 0.00576954, 0.00578313", \
-            "0.00753808, 0.0076209, 0.00774699, 0.00785548, 0.00791671, 0.00794453", \
-            "0.00915437, 0.00912972, 0.00914728, 0.00920959, 0.0092633, 0.00929368", \
-            "0.0102293, 0.0101196, 0.0100042, 0.00994383, 0.00991881, 0.00991928", \
-            "0.0108727, 0.0107224, 0.0105745, 0.0104129, 0.0102856, 0.0102238", \
-            "0.011415, 0.0111468, 0.0108945, 0.0107168, 0.0105612, 0.0104246" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.00927344, 0.00930263, 0.00941801, 0.00953222, 0.00959462, 0.00962176", \
-            "0.0110887, 0.0107181, 0.0103754, 0.010159, 0.0100597, 0.0100391", \
-            "0.0117771, 0.0108246, 0.0100752, 0.00959474, 0.00933943, 0.00923342", \
-            "0.0119001, 0.0118247, 0.0103532, 0.00939703, 0.00892844, 0.00871905", \
-            "0.0110981, 0.0113466, 0.0114921, 0.00997397, 0.00902805, 0.00860706", \
-            "0.0103139, 0.0107606, 0.0111801, 0.0113615, 0.00970741, 0.00881823" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0210684, 0.0231244, 0.0240697, 0.0261124, 0.0271135, 0.0282304, 0.0285229, 0.029108, 0.0313203, 0.0335559, 0.0376661, 0.0412089, 0.0440326, 0.0468797");
-            values ( \
-              "-0.00782608, -0.309141, -0.386952, -0.451733, -0.455928, -0.473654, -0.464514, -0.474381, -0.448265, -0.366682, -0.163175, -0.0681983, -0.0327443, -0.0163456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00934689");
-            index_3 ("0.0226497, 0.0253255, 0.0271847, 0.0304761, 0.0308753, 0.0316737, 0.0356525, 0.039085, 0.0409478, 0.0490198, 0.0517403, 0.0549114, 0.060033, 0.066074");
-            values ( \
-              "-0.358575, -0.622986, -0.677433, -0.708795, -0.699661, -0.710141, -0.691423, -0.626913, -0.577345, -0.24883, -0.171571, -0.108844, -0.0502944, -0.0200617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0239991");
-            index_3 ("0.02305, 0.0249494, 0.0252172, 0.0260744, 0.0277203, 0.0292026, 0.0313388, 0.0341473, 0.0367201, 0.0390581, 0.044941, 0.0491659, 0.0517563, 0.0545202, 0.0599528, 0.0610498, 0.0654377, 0.0708589, 0.0756536, 0.0785841, 0.0830254, 0.0867571, 0.0899324, 0.0934638, 0.0984828, 0.100693, 0.105114, 0.113956, 0.127991, 0.144706");
-            values ( \
-              "-0.182825, -0.728958, -0.746845, -0.789939, -0.837065, -0.85954, -0.875936, -0.882291, -0.880408, -0.875271, -0.85326, -0.829071, -0.809152, -0.782186, -0.708994, -0.689632, -0.586542, -0.444414, -0.335216, -0.279382, -0.209443, -0.162931, -0.13108, -0.102426, -0.0716236, -0.0611608, -0.044431, -0.0228959, -0.00738462, -0.00177719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0616201");
-            index_3 ("0.0240826, 0.0250989, 0.0282322, 0.0312738, 0.0341709, 0.0360437, 0.0435079, 0.0530456, 0.0768509, 0.0827675, 0.0949993, 0.10148, 0.109071, 0.123061, 0.134783, 0.144725, 0.15241, 0.163051, 0.171777, 0.179075, 0.187516, 0.19948, 0.211974, 0.221553, 0.240711, 0.275955, 0.317728");
-            values ( \
-              "-0.707569, -0.817882, -0.93369, -0.968846, -0.9806, -0.983239, -0.979317, -0.964755, -0.915531, -0.899008, -0.853678, -0.820385, -0.767594, -0.615214, -0.473558, -0.369492, -0.301809, -0.225334, -0.175836, -0.142402, -0.111065, -0.0775547, -0.0532291, -0.0397289, -0.0217655, -0.00647408, -0.00140747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.158216");
-            index_3 ("0.0243022, 0.0262676, 0.0297229, 0.0342509, 0.0375606, 0.0411264, 0.0525554, 0.0978678, 0.151972, 0.168399, 0.198749, 0.214809, 0.233589, 0.268217, 0.297715, 0.336263, 0.3672, 0.389186, 0.407915, 0.4288, 0.458493, 0.489248, 0.512787, 0.559865, 0.626552, 0.638009");
-            values ( \
-              "-0.787526, -0.919345, -0.998249, -1.0269, -1.03276, -1.03365, -1.02826, -0.996153, -0.948165, -0.929534, -0.88427, -0.850949, -0.7963, -0.636774, -0.487715, -0.32842, -0.233683, -0.181735, -0.146199, -0.114202, -0.0798204, -0.0550295, -0.041243, -0.0227907, -0.00952791, -0.00885114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.406235");
-            index_3 ("0.0242527, 0.0290069, 0.0334038, 0.0431481, 0.126331, 0.237521, 0.311622, 0.37831, 0.436366, 0.467479, 0.529703, 0.553067, 0.597526, 0.699171, 0.757554, 0.801684, 0.881412, 0.943052, 1.00154, 1.05196, 1.11865, 1.20331, 1.26648, 1.33317, 1.46655, 1.59992, 1.79998");
-            values ( \
-              "-0.811022, -1.00741, -1.04368, -1.05599, -1.03447, -1.00092, -0.974688, -0.946631, -0.915522, -0.894999, -0.838023, -0.807959, -0.732334, -0.524178, -0.417658, -0.348181, -0.24693, -0.187037, -0.143025, -0.113101, -0.0823541, -0.0549956, -0.0405905, -0.0292824, -0.0152416, -0.00790437, -0.00300824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0338158, 0.0359911, 0.0383339, 0.043875, 0.0477301, 0.0495083, 0.0509858, 0.0513263, 0.0528481, 0.0562998, 0.0597601, 0.0621524, 0.0640247, 0.0682865");
-            values ( \
-              "-0.087833, -0.110902, -0.196013, -0.310321, -0.36587, -0.373333, -0.351531, -0.354531, -0.313269, -0.156448, -0.067165, -0.0356026, -0.0212114, -0.00829228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00934689");
-            index_3 ("0.0354507, 0.0375534, 0.040731, 0.0441294, 0.0488078, 0.0510689, 0.0516133, 0.0538257, 0.0541854, 0.0549047, 0.0563434, 0.0567578, 0.0592442, 0.0628129, 0.0654666, 0.0677319, 0.0720492, 0.073525, 0.0775733, 0.0786246, 0.0807271, 0.0863375");
-            values ( \
-              "-0.176099, -0.219509, -0.355893, -0.45771, -0.5703, -0.616552, -0.638919, -0.6655, -0.659627, -0.662069, -0.640635, -0.639284, -0.584206, -0.445711, -0.32411, -0.242002, -0.132003, -0.10615, -0.0568878, -0.0525663, -0.0343374, -0.0182753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0239991");
-            index_3 ("0.0374236, 0.038791, 0.0403256, 0.0431351, 0.0510676, 0.0526757, 0.0536647, 0.0556428, 0.0577394, 0.0602446, 0.0627601, 0.0670978, 0.0694408, 0.0725649, 0.076699, 0.0790444, 0.0837354, 0.0897347, 0.0940441, 0.0974004, 0.101928, 0.10556, 0.108537, 0.112115, 0.117168, 0.122486, 0.126569, 0.134736, 0.140136");
-            values ( \
-              "-0.29135, -0.332091, -0.393495, -0.52956, -0.771467, -0.826188, -0.844082, -0.860663, -0.864987, -0.862211, -0.854785, -0.833937, -0.817401, -0.789263, -0.739903, -0.702777, -0.597669, -0.440775, -0.342365, -0.277951, -0.207142, -0.162206, -0.132283, -0.103043, -0.0718785, -0.0491278, -0.0365272, -0.0198386, -0.0147628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0616201");
-            index_3 ("0.0319974, 0.0546942, 0.0568505, 0.0627813, 0.0776206, 0.0966814, 0.111186, 0.120173, 0.127826, 0.171169, 0.190532, 0.206241, 0.230731, 0.257717");
-            values ( \
-              "-0.0222234, -0.955714, -0.96964, -0.975918, -0.954362, -0.912682, -0.864632, -0.820685, -0.767475, -0.301666, -0.175786, -0.11111, -0.0532172, -0.0237078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.158216");
-            index_3 ("0.0323662, 0.0536291, 0.0573823, 0.0637371, 0.0785159, 0.127749, 0.156974, 0.18639, 0.218506, 0.240613, 0.252311, 0.275706, 0.321168, 0.354896, 0.387488, 0.408376, 0.44647, 0.476515, 0.508621, 0.533346, 0.582796, 0.645085");
-            values ( \
-              "-0.000926365, -0.984396, -1.0196, -1.03015, -1.02338, -0.987385, -0.961887, -0.930504, -0.882182, -0.832723, -0.796199, -0.693702, -0.46476, -0.327979, -0.22904, -0.180341, -0.115355, -0.080291, -0.0544535, -0.0402077, -0.0215191, -0.0098169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.406235");
-            index_3 ("0.0379262, 0.0549734, 0.0606941, 0.0689033, 0.25689, 0.330992, 0.397679, 0.486848, 0.549073, 0.572437, 0.616895, 0.71854, 0.776924, 0.821054, 0.90078, 0.962421, 1.02091, 1.07133, 1.13802, 1.22268, 1.28585, 1.35254, 1.48591, 1.61929, 1.81935");
-            values ( \
-              "-0.373848, -1.02052, -1.04964, -1.05462, -1.00091, -0.974707, -0.946612, -0.894978, -0.838046, -0.807935, -0.73236, -0.524206, -0.417628, -0.348209, -0.246904, -0.187066, -0.143053, -0.113072, -0.0823828, -0.055025, -0.0405623, -0.0293117, -0.0152707, -0.00793339, -0.00297937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0562918, 0.0625891, 0.0696261, 0.0756316, 0.0818613, 0.0846404, 0.0873551, 0.0902706, 0.0970225, 0.101407, 0.104207, 0.108012, 0.112588, 0.114634, 0.116266");
-            values ( \
-              "-0.0186828, -0.0597995, -0.142424, -0.190076, -0.229614, -0.241524, -0.242322, -0.207509, -0.0710911, -0.0284941, -0.0155607, -0.00686736, -0.00254438, -0.00808348, -0.00709439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00934689");
-            index_3 ("0.0563453, 0.0657314, 0.0703359, 0.0744719, 0.0831113, 0.0875676, 0.091626, 0.0954366, 0.0992076, 0.103336, 0.108889, 0.112108, 0.11741, 0.121025, 0.123175, 0.127475, 0.132326");
-            values ( \
-              "-0.000220433, -0.129129, -0.209619, -0.264137, -0.356824, -0.39818, -0.431129, -0.451447, -0.442417, -0.372224, -0.216185, -0.145532, -0.0812447, -0.0490204, -0.0358128, -0.0184673, -0.0094756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0239991");
-            index_3 ("0.0623829, 0.0683424, 0.0698792, 0.073557, 0.0745284, 0.0764714, 0.0780182, 0.0808953, 0.0843477, 0.08914, 0.0929528, 0.0967657, 0.100095, 0.103424, 0.106463, 0.107983, 0.109503, 0.110319, 0.111136, 0.111953, 0.11277, 0.113085, 0.114028, 0.115249, 0.115995, 0.11674, 0.117485, 0.11823, 0.118976, 0.120072, 0.121126, 0.123235, 0.125751, 0.127565, 0.131029, 0.133997, 0.136443, 0.139013, 0.140127, 0.142354, 0.143096, 0.145197, 0.14796, 0.148622, 0.149285, 0.151271, 0.15392, 0.15657, 0.159135, 0.161276");
-            values ( \
-              "-0.0858895, -0.204379, -0.228708, -0.300061, -0.316897, -0.348885, -0.372568, -0.413902, -0.461214, -0.523598, -0.570954, -0.616292, -0.652651, -0.686514, -0.713613, -0.725998, -0.737606, -0.741844, -0.74546, -0.752176, -0.76075, -0.76083, -0.760456, -0.758601, -0.754836, -0.749911, -0.743828, -0.736586, -0.728185, -0.713665, -0.697174, -0.654278, -0.596611, -0.550687, -0.4577, -0.387952, -0.336086, -0.285051, -0.264341, -0.229774, -0.219022, -0.191449, -0.157548, -0.149822, -0.143044, -0.124351, -0.104076, -0.0865207, -0.072362, -0.0613555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0616201");
-            index_3 ("0.0563083, 0.099858, 0.107525, 0.114844, 0.117306, 0.119705, 0.124502, 0.12797, 0.137443, 0.143625, 0.155852, 0.162402, 0.169934, 0.183925, 0.195615, 0.205528, 0.213285, 0.223944, 0.232648, 0.239903, 0.248354, 0.260324, 0.272845, 0.282446, 0.30165, 0.336669, 0.378179");
-            values ( \
-              "-0.00516746, -0.736776, -0.83974, -0.929932, -0.945692, -0.947759, -0.943706, -0.937572, -0.91625, -0.898968, -0.853738, -0.820013, -0.767557, -0.615133, -0.473863, -0.37002, -0.301674, -0.225116, -0.175772, -0.142528, -0.111133, -0.077587, -0.0532098, -0.0396866, -0.0217093, -0.00652013, -0.00143714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.158216");
-            index_3 ("0.0709335, 0.0832667, 0.113558, 0.117891, 0.124283, 0.153993, 0.198879, 0.229548, 0.25662, 0.269703, 0.287148, 0.294771, 0.310016, 0.353965, 0.379022, 0.40374, 0.417159, 0.443998, 0.470482, 0.489575, 0.519695, 0.549862, 0.57301, 0.619305, 0.685992, 0.704835");
-            values ( \
-              "-0.410895, -0.513426, -0.985745, -1.01639, -1.0207, -1.00131, -0.963765, -0.929475, -0.890082, -0.865003, -0.820987, -0.796192, -0.733096, -0.510072, -0.397648, -0.306059, -0.264231, -0.194829, -0.143342, -0.114404, -0.0795149, -0.0552075, -0.0416033, -0.0232118, -0.00972387, -0.00859113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.406235");
-            index_3 ("0.072242, 0.11426, 0.124674, 0.255072, 0.387482, 0.449324, 0.514816, 0.557116, 0.614402, 0.681089, 0.778473, 0.884484, 0.945428, 1.00623, 1.11158, 1.17827, 1.23422, 1.33447, 1.40116, 1.57182");
-            values ( \
-              "-0.41112, -1.01406, -1.05002, -1.0148, -0.969105, -0.941959, -0.904766, -0.872424, -0.808005, -0.68849, -0.490195, -0.318267, -0.244185, -0.185824, -0.114049, -0.0832461, -0.063683, -0.0392499, -0.0284746, -0.0132455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.103156, 0.112137, 0.115818, 0.119442, 0.12464, 0.129645, 0.13431, 0.140408, 0.145664, 0.150417, 0.154834, 0.15908, 0.163319, 0.170689, 0.1747, 0.178758, 0.181844, 0.184179, 0.188847, 0.196034, 0.216569");
-            values ( \
-              "-0.0124765, -0.0208025, -0.0264579, -0.0343166, -0.0539608, -0.079807, -0.0980226, -0.11719, -0.132221, -0.14354, -0.15267, -0.156679, -0.147423, -0.0719953, -0.0396688, -0.0201554, -0.0119258, -0.0079916, -0.00358997, -0.00121673, -0.000785613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00934689");
-            index_3 ("0.103164, 0.124301, 0.136061, 0.142926, 0.151129, 0.164612, 0.170557, 0.176287, 0.182006, 0.191768, 0.197213, 0.201842, 0.207294, 0.211208, 0.219036, 0.229009, 0.248939, 0.253338, 0.258931, 0.263933");
-            values ( \
-              "-0.00301655, -0.0774099, -0.15291, -0.18737, -0.223488, -0.274209, -0.290933, -0.297731, -0.273016, -0.140726, -0.0812971, -0.0482975, -0.0252997, -0.0156132, -0.00556928, -0.00152061, -0.000323777, -0.00395678, -0.00396949, -0.00169487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0239991");
-            index_3 ("0.107285, 0.123388, 0.130791, 0.144144, 0.159345, 0.173833, 0.189132, 0.195021, 0.199801, 0.208272, 0.217305, 0.228603, 0.234952, 0.240783, 0.248887, 0.260472, 0.266522, 0.275705, 0.288726, 0.307719");
-            values ( \
-              "-0.00540562, -0.101976, -0.142746, -0.23942, -0.327614, -0.403461, -0.473976, -0.495896, -0.509346, -0.51156, -0.444131, -0.273815, -0.192529, -0.135078, -0.0796, -0.0417104, -0.0270039, -0.0135157, -0.00478324, -0.000862257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0616201");
-            index_3 ("0.132039, 0.15581, 0.164159, 0.167925, 0.185626, 0.192402, 0.197043, 0.206325, 0.210329, 0.214334, 0.218338, 0.222343, 0.225956, 0.22957, 0.233183, 0.236797, 0.250145, 0.250978, 0.251813, 0.252647, 0.254315, 0.256578, 0.258008, 0.259437, 0.260866, 0.26386, 0.266854, 0.269848, 0.27437, 0.278892, 0.282695, 0.286499, 0.290303, 0.292838, 0.297909, 0.304723, 0.30771, 0.310365, 0.31302, 0.318331, 0.320986, 0.323641, 0.330829, 0.33488, 0.338931, 0.342983, 0.345883, 0.351683, 0.35581, 0.359486");
-            values ( \
-              "-0.333961, -0.341392, -0.396412, -0.420401, -0.532188, -0.573817, -0.601446, -0.654815, -0.6758, -0.695869, -0.715021, -0.733256, -0.755112, -0.778499, -0.803419, -0.82987, -0.832763, -0.832328, -0.831322, -0.829066, -0.823514, -0.813725, -0.806241, -0.797765, -0.788298, -0.765253, -0.736128, -0.705177, -0.654897, -0.600595, -0.551709, -0.505208, -0.462153, -0.434965, -0.383687, -0.321076, -0.294632, -0.274365, -0.255251, -0.220891, -0.205137, -0.190332, -0.154112, -0.135706, -0.120935, -0.107446, -0.0990745, -0.0833103, -0.0728929, -0.0641676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.158216");
-            index_3 ("0.124404, 0.148372, 0.170671, 0.196422, 0.214603, 0.248819, 0.253969, 0.261784, 0.292832, 0.322994, 0.353319, 0.36975, 0.388213, 0.422845, 0.452167, 0.477085, 0.490849, 0.505248, 0.521989, 0.543859, 0.562357, 0.583296, 0.613029, 0.626182, 0.643936, 0.667609, 0.714955, 0.781642, 0.848329, 0.915016");
-            values ( \
-              "-0.17537, -0.30024, -0.465071, -0.64011, -0.759069, -0.969776, -0.984907, -0.990034, -0.961956, -0.929499, -0.884355, -0.850126, -0.796199, -0.636589, -0.488384, -0.379829, -0.328454, -0.280955, -0.233176, -0.181576, -0.146467, -0.11434, -0.079879, -0.0681655, -0.0549681, -0.0411273, -0.0226457, -0.00946628, -0.00393194, -0.00163848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.406235");
-            index_3 ("0.149477, 0.19133, 0.208066, 0.213207, 0.218347, 0.228627, 0.236407, 0.240296, 0.244186, 0.246779, 0.249372, 0.253027, 0.257236, 0.267507, 0.277291, 0.320192, 0.363778, 0.406856, 0.449933, 0.491465, 0.521306, 0.54284, 0.559512, 0.592856, 0.609527, 0.622441, 0.648268, 0.674094, 0.699921, 0.707685, 0.723212, 0.746503, 0.766674, 0.803896, 0.848175, 0.882494, 0.908561, 0.956294, 0.975553, 0.998663, 1.02948, 1.06029, 1.10401, 1.12174, 1.13946, 1.16309, 1.18672, 1.22006, 1.25341, 1.30953");
-            values ( \
-              "-0.587831, -0.619233, -0.734777, -0.77579, -0.820641, -0.921857, -0.96023, -0.97532, -0.987678, -1.00474, -1.02748, -1.03187, -1.03917, -1.03796, -1.03564, -1.02329, -1.00998, -0.9958, -0.980772, -0.964498, -0.95101, -0.940411, -0.931737, -0.913163, -0.903262, -0.894535, -0.872058, -0.84654, -0.817982, -0.80792, -0.783176, -0.74085, -0.702082, -0.626339, -0.532494, -0.468667, -0.424063, -0.347644, -0.318968, -0.288238, -0.253046, -0.22119, -0.181715, -0.166709, -0.152756, -0.137009, -0.122736, -0.105575, -0.090403, -0.0689855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.223642, 0.24544, 0.247666, 0.248779, 0.250269, 0.251401, 0.253664, 0.255927, 0.258945, 0.260453, 0.264452, 0.268186, 0.270587, 0.272987, 0.275388, 0.280545, 0.284902, 0.28886, 0.294649, 0.300143, 0.301897, 0.303651, 0.305405, 0.30716, 0.308911, 0.310663, 0.312414, 0.314166, 0.315477, 0.316788, 0.318099, 0.31941, 0.320048, 0.320686, 0.321961, 0.323677, 0.324797, 0.326655, 0.327392, 0.328865, 0.329692, 0.331369, 0.332486, 0.333794, 0.335101, 0.336083, 0.337064, 0.338046, 0.339028, 0.341246");
-            values ( \
-              "-0.0201029, -0.0245563, -0.0274716, -0.0290484, -0.0313257, -0.0332776, -0.0377162, -0.0418816, -0.0470129, -0.0493979, -0.0550433, -0.0600523, -0.0631394, -0.0661217, -0.0689993, -0.0748993, -0.0795793, -0.0834282, -0.088773, -0.093532, -0.0940834, -0.0942851, -0.0941371, -0.0936393, -0.0927934, -0.0915987, -0.0900554, -0.0881634, -0.0855254, -0.0823787, -0.0787234, -0.0745595, -0.0721461, -0.0695681, -0.063918, -0.055103, -0.0498751, -0.041902, -0.0389739, -0.0334986, -0.0306492, -0.0252465, -0.0220486, -0.0190992, -0.016484, -0.0148033, -0.013269, -0.0118812, -0.0106398, -0.00831715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00934689");
-            index_3 ("0.22776, 0.253696, 0.257059, 0.260979, 0.2649, 0.266985, 0.26907, 0.271155, 0.27324, 0.275465, 0.279179, 0.282893, 0.287146, 0.291399, 0.294256, 0.297113, 0.29997, 0.302827, 0.305383, 0.310494, 0.31305, 0.317765, 0.32248, 0.324733, 0.326985, 0.329238, 0.331491, 0.333739, 0.335988, 0.338237, 0.340486, 0.342171, 0.343857, 0.345543, 0.347228, 0.348884, 0.350541, 0.352665, 0.354081, 0.355497, 0.360189, 0.361254, 0.362319, 0.363384, 0.364449, 0.365514, 0.366579, 0.369232, 0.370568, 0.371923");
-            values ( \
-              "-0.0446905, -0.0568431, -0.0636769, -0.0739414, -0.083689, -0.0886594, -0.0934825, -0.0981581, -0.102686, -0.107206, -0.114937, -0.122327, -0.130436, -0.13823, -0.143249, -0.148152, -0.152939, -0.157611, -0.161635, -0.169519, -0.173378, -0.180355, -0.187146, -0.188227, -0.188597, -0.188254, -0.187199, -0.185436, -0.182963, -0.17978, -0.175888, -0.170679, -0.164496, -0.157337, -0.149204, -0.139702, -0.129038, -0.11332, -0.103581, -0.0942933, -0.066318, -0.0607134, -0.0555319, -0.0510115, -0.0467556, -0.0427643, -0.0390374, -0.0310621, -0.0273067, -0.0236756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0239991");
-            index_3 ("0.233165, 0.260617, 0.264336, 0.268055, 0.271774, 0.278843, 0.282149, 0.285455, 0.292067, 0.296881, 0.301695, 0.306454, 0.311214, 0.315974, 0.320734, 0.328874, 0.332944, 0.337015, 0.344294, 0.354935, 0.36502, 0.368243, 0.371466, 0.374689, 0.377911, 0.381128, 0.384344, 0.38756, 0.390776, 0.390984, 0.391191, 0.392226, 0.393883, 0.397197, 0.400511, 0.403824, 0.410069, 0.41296, 0.416738, 0.419552, 0.421081, 0.422609, 0.424138, 0.426504, 0.42887, 0.430557, 0.432244, 0.433931, 0.435618, 0.438284");
-            values ( \
-              "-0.0883876, -0.0930249, -0.10265, -0.112873, -0.123695, -0.14507, -0.154604, -0.163709, -0.181254, -0.193591, -0.205584, -0.216959, -0.228095, -0.238993, -0.249651, -0.267326, -0.275901, -0.284302, -0.29864, -0.319323, -0.338622, -0.338115, -0.33706, -0.335458, -0.333309, -0.330619, -0.327384, -0.323604, -0.319279, -0.318753, -0.317946, -0.312991, -0.303777, -0.281696, -0.257079, -0.231722, -0.182198, -0.161631, -0.136958, -0.119745, -0.110774, -0.10234, -0.0944451, -0.0840096, -0.0744533, -0.068249, -0.0624434, -0.0570368, -0.0520289, -0.0448853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0616201");
-            index_3 ("0.221807, 0.25381, 0.272464, 0.306585, 0.331698, 0.37231, 0.396793, 0.418829, 0.4392, 0.458945, 0.478637, 0.513368, 0.53133, 0.550123, 0.57152, 0.581986, 0.602919, 0.623861");
-            values ( \
-              "-0.0424521, -0.0962262, -0.141632, -0.248432, -0.317878, -0.423452, -0.481461, -0.52743, -0.560028, -0.566505, -0.501401, -0.257417, -0.163444, -0.0974842, -0.0555315, -0.0403413, -0.0208168, -0.0120476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.158216");
-            index_3 ("0.221468, 0.279074, 0.342555, 0.38423, 0.458325, 0.49649, 0.530843, 0.550139, 0.556659, 0.563109, 0.570971, 0.583346, 0.596911, 0.624041, 0.6603, 0.697148, 0.730117, 0.752242, 0.771254, 0.792072, 0.821711, 0.852269, 0.875635, 0.922367, 0.989054, 0.991911");
-            values ( \
-              "-0.0227487, -0.175141, -0.372179, -0.495012, -0.70039, -0.793836, -0.864295, -0.89128, -0.889874, -0.882111, -0.866827, -0.837779, -0.796203, -0.674836, -0.490454, -0.336498, -0.234309, -0.181947, -0.145885, -0.114043, -0.0797624, -0.0551177, -0.0414034, -0.022982, -0.00961109, -0.00937029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.406235");
-            index_3 ("0.274962, 0.353273, 0.436595, 0.527074, 0.549923, 0.561319, 0.576553, 0.674491, 0.729075, 0.789422, 0.830338, 0.897025, 0.915981, 0.953893, 1.06656, 1.13094, 1.1669, 1.22457, 1.29048, 1.32499, 1.36568, 1.41732, 1.48401, 1.51895, 1.58564, 1.65233, 1.71901, 1.85239, 1.98576, 2.18582");
-            values ( \
-              "-0.289651, -0.416516, -0.674532, -0.940042, -1.00354, -1.01062, -1.00963, -0.974644, -0.952212, -0.921488, -0.894876, -0.832903, -0.807845, -0.744831, -0.515487, -0.400148, -0.344819, -0.269286, -0.200621, -0.171535, -0.14227, -0.11177, -0.0814468, -0.0689708, -0.0500249, -0.0362052, -0.0261691, -0.0136303, -0.00708363, -0.00264672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.383912, 0.431717, 0.448183, 0.459765, 0.482929, 0.494133, 0.516542, 0.548809, 0.582507, 0.595494, 0.601704, 0.609368, 0.614693, 0.621843, 0.634272, 0.638859, 0.643289, 0.655179, 0.661231, 0.665886, 0.669098, 0.675521, 0.687888, 0.697132, 0.714942, 0.738689, 0.786184, 0.817807");
-            values ( \
-              "-0.000704117, -0.00190083, -0.00297048, -0.00393879, -0.00617481, -0.00801618, -0.0136198, -0.0322626, -0.0455507, -0.0486417, -0.0504179, -0.0518279, -0.0525418, -0.0529565, -0.0513002, -0.0482224, -0.0432825, -0.0235719, -0.0154618, -0.0112288, -0.00898824, -0.00595281, -0.0031476, -0.00239391, -0.00170427, -0.00118302, -0.000459761, -0.000298275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00934689");
-            index_3 ("0.428556, 0.466387, 0.483983, 0.501152, 0.511881, 0.524144, 0.56592, 0.587069, 0.597789, 0.611985, 0.62457, 0.640311, 0.655261, 0.670143, 0.681296, 0.699548, 0.709121, 0.717313, 0.722346, 0.729861, 0.73988, 0.759919, 0.785161, 0.81982, 0.869788, 1.06985");
-            values ( \
-              "-0.00810511, -0.00969236, -0.0133173, -0.0185579, -0.0230268, -0.030052, -0.0657401, -0.0814986, -0.0884622, -0.0970333, -0.103679, -0.110486, -0.114369, -0.111992, -0.0951736, -0.044236, -0.0258767, -0.0159279, -0.0119838, -0.00806732, -0.00504662, -0.00248883, -0.0014514, -0.00077137, -0.00021518, -5.02081e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0239991");
-            index_3 ("0.428845, 0.480458, 0.499507, 0.52096, 0.531868, 0.549173, 0.573838, 0.599531, 0.639175, 0.655864, 0.678802, 0.699892, 0.72, 0.739951, 0.754901, 0.779444, 0.788254, 0.794137, 0.805549, 0.812043, 0.825032, 0.849192, 0.877148, 0.912301, 1.09182");
-            values ( \
-              "-0.0109986, -0.0224966, -0.0306871, -0.0433262, -0.0516208, -0.0685598, -0.0977003, -0.125904, -0.164745, -0.179358, -0.197529, -0.211244, -0.219477, -0.21411, -0.179752, -0.0911136, -0.0659932, -0.0524862, -0.0328511, -0.0249297, -0.0140269, -0.00437007, -0.00128431, -0.000439094, -8.10336e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0616201");
-            index_3 ("0.428055, 0.505686, 0.527572, 0.547595, 0.567985, 0.620528, 0.660038, 0.704613, 0.742432, 0.776211, 0.807363, 0.837186, 0.866948, 0.86945, 0.87803, 0.9093, 0.932204, 0.958263, 0.974033, 0.999105, 1.03254, 1.0774, 1.132");
-            values ( \
-              "-0.00845918, -0.0487194, -0.0651686, -0.0837048, -0.1064, -0.175515, -0.223852, -0.274121, -0.312933, -0.343783, -0.366907, -0.378872, -0.358113, -0.352444, -0.329199, -0.212316, -0.137013, -0.0773893, -0.0534195, -0.0287261, -0.0115635, -0.00294611, -0.000536386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.158216");
-            index_3 ("0.494232, 0.575784, 0.608, 0.675361, 0.763939, 0.851269, 0.917684, 0.941814, 0.990073, 1.03645, 1.08287, 1.14731, 1.18336, 1.21418, 1.25293, 1.29073, 1.3117, 1.35363, 1.42031, 1.487, 1.55369");
-            values ( \
-              "-0.0875011, -0.130661, -0.17183, -0.264749, -0.37769, -0.481154, -0.551455, -0.573912, -0.609744, -0.617198, -0.542587, -0.330191, -0.23116, -0.165936, -0.107417, -0.068072, -0.0527425, -0.0312608, -0.0131025, -0.00543227, -0.00228583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.406235");
-            index_3 ("0.550268, 0.660434, 0.861423, 1.00745, 1.0896, 1.1305, 1.19718, 1.21544, 1.22178, 1.23059, 1.25456, 1.29185, 1.3298, 1.37733, 1.44401, 1.54128, 1.60797, 1.63212, 1.66777, 1.70852, 1.76917, 1.82285, 1.8744, 1.94109, 1.99713, 2.03076, 2.09745, 2.16413, 2.23082, 2.29751, 2.43088, 2.63094");
-            values ( \
-              "-0.214331, -0.253068, -0.528593, -0.716063, -0.812611, -0.856815, -0.920021, -0.934442, -0.935403, -0.932904, -0.919331, -0.894953, -0.863499, -0.807974, -0.688385, -0.490331, -0.375649, -0.339475, -0.291761, -0.243958, -0.185785, -0.145271, -0.114084, -0.0832781, -0.0636736, -0.0543203, -0.0392308, -0.0284653, -0.0204542, -0.0148588, -0.00774977, -0.00282147" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.00524365, 0.00527668, 0.00531443, 0.00534117, 0.0053549, 0.0053609", \
-            "0.00665487, 0.00667001, 0.00669576, 0.00672227, 0.00673917, 0.00674733", \
-            "0.00755424, 0.0075544, 0.00755866, 0.00756969, 0.00758152, 0.00758882", \
-            "0.00807492, 0.00806614, 0.00805582, 0.00804912, 0.00804794, 0.00804978", \
-            "0.00834939, 0.00833603, 0.00832024, 0.00829673, 0.00827899, 0.00827063", \
-            "0.00849543, 0.00848344, 0.00846226, 0.00843378, 0.00840643, 0.00838718" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.00811185, 0.0081563, 0.00820995, 0.00824769, 0.00826701, 0.00827521", \
-            "0.00936883, 0.00928915, 0.00923584, 0.00921176, 0.00920428, 0.00920177", \
-            "0.0102804, 0.00995944, 0.0097261, 0.00958499, 0.00950444, 0.0094673", \
-            "0.0114617, 0.0107329, 0.0101338, 0.00977788, 0.00960271, 0.00951732", \
-            "0.0130637, 0.0123727, 0.0108853, 0.0101487, 0.00975406, 0.00957925", \
-            "0.013214, 0.0132304, 0.0128108, 0.010867, 0.010099, 0.00971758" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "0.0135812, 0.0140069, 0.0144398, 0.0147223, 0.0148636, 0.0149211", \
-            "0.0133218, 0.0136062, 0.0140568, 0.0144578, 0.0147496, 0.0148617", \
-            "0.013572, 0.0136303, 0.0138386, 0.0142216, 0.014586, 0.0137752", \
-            "0.0149534, 0.0146306, 0.0143893, 0.0143642, 0.0145296, 0.0147332", \
-            "0.0180222, 0.0173753, 0.0167012, 0.0158143, 0.015152, 0.0148713", \
-            "0.0260278, 0.0246047, 0.0226899, 0.0202493, 0.0179918, 0.0162994" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00934689, 0.0239991, 0.0616201, 0.158216, 0.406235");
-          values ( \
-            "-0.005345, -0.00494252, -0.00450378, -0.00421465, -0.00406212, -0.0040035", \
-            "-0.0057278, -0.00536087, -0.00483451, -0.0043914, -0.00416702, -0.00406085", \
-            "-0.00588282, -0.00568429, -0.00525172, -0.004703, -0.00430241, -0.0041182", \
-            "-0.00546049, -0.00560704, -0.00544331, -0.00497229, -0.00453977, -0.00423122", \
-            "-0.00282792, -0.003718, -0.00454254, -0.00479711, -0.00462972, -0.00435247", \
-            "0.00460492, 0.00248892, -0.00046236, -0.0027181, -0.00376769, -0.00404394" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0104098;
-      rise_capacitance : 0.0104098;
-      rise_capacitance_range (0.00761674, 0.0104098);
-      fall_capacitance : 0.0103415;
-      fall_capacitance_range (0.00687253, 0.0103415);
-    }
-  }
-  cell (INVX3) {
-    area : 12.4542;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.414204;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.806699;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0217099;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.414204;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.579556;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.0261083, 0.0325111, 0.0478969, 0.0875832, 0.195438, 0.492044", \
-            "0.0313934, 0.0378293, 0.053251, 0.0930412, 0.201063, 0.497736", \
-            "0.0412595, 0.0502554, 0.0674848, 0.107243, 0.2151, 0.5119", \
-            "0.0559183, 0.0690421, 0.0949658, 0.140712, 0.247931, 0.544481", \
-            "0.0817077, 0.0992158, 0.1363, 0.204932, 0.323772, 0.618914", \
-            "0.130664, 0.1537, 0.203483, 0.301885, 0.476772, 0.786446" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.0134733, 0.0208124, 0.0413042, 0.0989836, 0.258863, 0.699416", \
-            "0.0150802, 0.0217463, 0.0416402, 0.0990024, 0.258859, 0.699416", \
-            "0.0236365, 0.0297076, 0.0455958, 0.0994156, 0.25886, 0.699381", \
-            "0.0363978, 0.0461254, 0.0650525, 0.108227, 0.258971, 0.699301", \
-            "0.0572641, 0.0711643, 0.0998666, 0.150691, 0.274312, 0.699382", \
-            "0.097011, 0.114517, 0.154348, 0.231078, 0.360625, 0.719646" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.019929, 0.0247029, 0.036463, 0.0670182, 0.150049, 0.378348", \
-            "0.0237909, 0.0289724, 0.0408911, 0.0715865, 0.154429, 0.383092", \
-            "0.0272173, 0.0350734, 0.0509581, 0.0826506, 0.165904, 0.394349", \
-            "0.0273243, 0.0388591, 0.0624933, 0.106297, 0.191135, 0.41961", \
-            "0.0187987, 0.0351447, 0.069239, 0.134041, 0.24714, 0.476877", \
-            "-0.0109345, 0.0120708, 0.0601977, 0.153022, 0.32039, 0.605566" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.00930661, 0.0144305, 0.0287679, 0.0689982, 0.180538, 0.4881", \
-            "0.0113881, 0.015702, 0.0290344, 0.0690922, 0.180517, 0.488103", \
-            "0.0177515, 0.0233998, 0.0350689, 0.0702031, 0.180713, 0.488099", \
-            "0.0281213, 0.036423, 0.0533301, 0.0853304, 0.182333, 0.488096", \
-            "0.0470785, 0.0585001, 0.0824802, 0.127665, 0.213265, 0.489148", \
-            "0.0837717, 0.0990376, 0.131288, 0.194982, 0.310612, 0.543985" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.027347, 0.0279698, 0.0286996, 0.0314242, 0.0327727, 0.033807, 0.0357107, 0.0380115, 0.0396701, 0.0404443, 0.0450767, 0.0470784, 0.0493659, 0.0517583, 0.0549754, 0.0577752, 0.0615869, 0.0640645, 0.0676576, 0.0723801, 0.0786768, 0.089427, 0.0903232");
-            values ( \
-              "0.165791, 0.256412, 0.282543, 0.329118, 0.340029, 0.343766, 0.345043, 0.331049, 0.3088, 0.292737, 0.156788, 0.119441, 0.088958, 0.0671476, 0.0464595, 0.0340998, 0.0228832, 0.0178034, 0.0125122, 0.00809451, 0.00460747, 0.00179323, 0.00174324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0100353");
-            index_3 ("0.0270149, 0.0304259, 0.0337809, 0.03812, 0.0410371, 0.0439544, 0.0461097, 0.0494266, 0.0556026, 0.061832, 0.0664226, 0.0729031, 0.0820048, 0.0947945, 0.101742");
-            values ( \
-              "0.0116223, 0.518685, 0.593214, 0.624106, 0.614831, 0.592876, 0.560926, 0.481058, 0.293989, 0.176084, 0.122366, 0.0732667, 0.0362272, 0.0140638, 0.00905353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276644");
-            index_3 ("0.0283457, 0.0333442, 0.0364255, 0.0395041, 0.0421358, 0.0470791, 0.0534289, 0.0624183, 0.0658889, 0.0828192, 0.092153, 0.103327, 0.114537, 0.123111, 0.132372, 0.152102, 0.169728, 0.170445");
-            values ( \
-              "0.221009, 0.776347, 0.841424, 0.880075, 0.890833, 0.891514, 0.857208, 0.773451, 0.726844, 0.419746, 0.291942, 0.185369, 0.115235, 0.0793825, 0.0526632, 0.0217379, 0.00952252, 0.00940703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0762628");
-            index_3 ("0.0293111, 0.0340441, 0.0377061, 0.0397038, 0.0423674, 0.0449765, 0.0501948, 0.0577578, 0.0708355, 0.0881839, 0.106362, 0.114918, 0.131145, 0.155431, 0.174978, 0.189952, 0.197755, 0.212683, 0.225593, 0.236322, 0.248802, 0.266457, 0.284798, 0.298842, 0.326931, 0.37822, 0.438873");
-            values ( \
-              "0.264236, 0.897126, 0.990863, 1.02174, 1.04976, 1.06642, 1.07889, 1.07013, 1.02856, 0.959845, 0.872952, 0.82063, 0.69639, 0.499326, 0.36711, 0.284318, 0.247679, 0.188237, 0.147091, 0.119406, 0.0932684, 0.0651181, 0.0447763, 0.0335356, 0.0183551, 0.00558995, 0.00119962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.210234");
-            index_3 ("0.0323253, 0.0434387, 0.0507223, 0.0539069, 0.0595974, 0.0765535, 0.132466, 0.177106, 0.207005, 0.244075, 0.275704, 0.337881, 0.394727, 0.437746, 0.465439, 0.484252, 0.521878, 0.536439, 0.559582, 0.58603, 0.633312, 0.681933, 0.719907, 0.795855, 0.879361, 1.04637");
-            values ( \
-              "1.10173, 1.12949, 1.16953, 1.17469, 1.17746, 1.16038, 1.07607, 1.00487, 0.952315, 0.872882, 0.785022, 0.587455, 0.422215, 0.317665, 0.261838, 0.228575, 0.172454, 0.15437, 0.129091, 0.104867, 0.0716279, 0.0483004, 0.0353306, 0.0184923, 0.00898418, 0.00210295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.579556");
-            index_3 ("0.0389705, 0.0389905, 0.172735, 0.399545, 0.503675, 0.544924, 0.616468, 0.699973, 0.908403, 1.05376, 1.13726, 1.20572, 1.27557, 1.35908, 1.47036, 1.54508, 1.62858, 1.78185, 1.86535, 2.03236, 2.19937, 2.44989, 2.70041");
-            values ( \
-              "1e-22, 1.33946, 1.16641, 1.03583, 0.968677, 0.938064, 0.877347, 0.790238, 0.544856, 0.391407, 0.316851, 0.26449, 0.218801, 0.172606, 0.124978, 0.100454, 0.0778892, 0.0488485, 0.0380447, 0.0227286, 0.0135597, 0.00596837, 0.00293317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0399999, 0.0438651, 0.0457045, 0.0533156, 0.0547458, 0.0566482, 0.0590844, 0.0607819, 0.0645131, 0.0669241, 0.0714882, 0.0776504, 0.0812655, 0.087478, 0.098935, 0.0996245");
-            values ( \
-              "0.00465198, 0.124085, 0.158874, 0.287362, 0.301025, 0.306354, 0.291799, 0.260906, 0.156345, 0.114068, 0.0661143, 0.0335119, 0.0231058, 0.0125371, 0.0044631, 0.00439016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0100353");
-            index_3 ("0.0405819, 0.0485518, 0.0527419, 0.0555401, 0.0570525, 0.0601981, 0.0621278, 0.0633154, 0.0665885, 0.0682725, 0.0742665, 0.0786903, 0.082369, 0.0852625, 0.0893948, 0.0927388, 0.0991588, 0.10411, 0.114335, 0.123317, 0.133239");
-            values ( \
-              "0.0129551, 0.342227, 0.48102, 0.547137, 0.566793, 0.582764, 0.578443, 0.571129, 0.528684, 0.490247, 0.309236, 0.21735, 0.161262, 0.12864, 0.092902, 0.0714511, 0.0436168, 0.0298873, 0.0141351, 0.00727779, 0.00398193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276644");
-            index_3 ("0.0413668, 0.0549371, 0.0568967, 0.0611583, 0.0669333, 0.07284, 0.0761764, 0.0838849, 0.0879753, 0.100856, 0.106789, 0.114633, 0.125002, 0.132694, 0.142243, 0.150259, 0.164404, 0.175663, 0.193964");
-            values ( \
-              "0.00602442, 0.728207, 0.787678, 0.852257, 0.872296, 0.848957, 0.825646, 0.745435, 0.681014, 0.441039, 0.351529, 0.256902, 0.168114, 0.121029, 0.0801664, 0.0562177, 0.0300022, 0.0179566, 0.00817029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0762628");
-            index_3 ("0.0420296, 0.0578521, 0.0598303, 0.0642004, 0.0683608, 0.072836, 0.0771718, 0.0836073, 0.0902696, 0.102557, 0.118537, 0.13062, 0.134376, 0.14189, 0.171638, 0.186126, 0.196667, 0.210068, 0.220259, 0.230632, 0.24446, 0.256797, 0.273247, 0.293744, 0.30643, 0.331802, 0.379345, 0.434794");
-            values ( \
-              "0.00816023, 0.932313, 0.976075, 1.03499, 1.06018, 1.06816, 1.06451, 1.04977, 1.02767, 0.981049, 0.910691, 0.84488, 0.820437, 0.766017, 0.522303, 0.419938, 0.353484, 0.281076, 0.234384, 0.19336, 0.148792, 0.117083, 0.0840659, 0.0554095, 0.0428825, 0.0249116, 0.00855173, 0.00212556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.210234");
-            index_3 ("0.0507881, 0.0606249, 0.0684457, 0.0743261, 0.0795658, 0.0943842, 0.169371, 0.224675, 0.250589, 0.268115, 0.303166, 0.386632, 0.446482, 0.496938, 0.532163, 0.553662, 0.590215, 0.631991, 0.671567, 0.731765, 0.812029, 0.895534, 0.907485");
-            values ( \
-              "1.03533, 1.05388, 1.14603, 1.16847, 1.17324, 1.16176, 1.0487, 0.955773, 0.902933, 0.86091, 0.760758, 0.498995, 0.341691, 0.240111, 0.184924, 0.157046, 0.118324, 0.0847726, 0.0616137, 0.0376166, 0.0189536, 0.00918938, 0.00868498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.579556");
-            index_3 ("0.0572943, 0.0573143, 0.192561, 0.365768, 0.502744, 0.564616, 0.636161, 0.719666, 0.954305, 1.07345, 1.15695, 1.22541, 1.29526, 1.37877, 1.49005, 1.56476, 1.70533, 1.88503, 2.05204, 2.21905, 2.3519");
-            values ( \
-              "1e-22, 1.31375, 1.16656, 1.06748, 0.982691, 0.938275, 0.877139, 0.790447, 0.515277, 0.391209, 0.31705, 0.264687, 0.218609, 0.1728, 0.125171, 0.100265, 0.0656466, 0.037865, 0.0225494, 0.0133812, 0.0105644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0636341, 0.0697084, 0.0709395, 0.0753482, 0.0819403, 0.0900851, 0.0940881, 0.0977537, 0.101241, 0.104729, 0.109821, 0.112808, 0.114177, 0.119209, 0.124644, 0.12755, 0.131424, 0.134971, 0.140198, 0.147167, 0.148377");
-            values ( \
-              "0.0165375, 0.0278059, 0.0316437, 0.0579584, 0.10945, 0.154959, 0.172296, 0.185292, 0.190618, 0.181491, 0.107237, 0.0755905, 0.0717552, 0.0482021, 0.0300739, 0.0232854, 0.0164741, 0.0120838, 0.00772817, 0.0042666, 0.0039855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0100353");
-            index_3 ("0.0659053, 0.070886, 0.0731794, 0.0769905, 0.0876198, 0.0972183, 0.102212, 0.106764, 0.111112, 0.114118, 0.122928, 0.129058, 0.134331, 0.140526, 0.143775, 0.148107, 0.152694, 0.159705, 0.166268");
-            values ( \
-              "0.0409775, 0.0599899, 0.0759324, 0.116491, 0.243878, 0.340871, 0.382209, 0.411563, 0.420045, 0.406117, 0.233313, 0.147852, 0.099978, 0.0626085, 0.0490946, 0.0354582, 0.025301, 0.0151898, 0.00988766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276644");
-            index_3 ("0.0761086, 0.0844211, 0.0960199, 0.0999415, 0.104657, 0.106657, 0.110658, 0.112724, 0.113498, 0.114272, 0.115958, 0.117472, 0.118423, 0.119374, 0.120166, 0.120958, 0.122542, 0.123897, 0.124575, 0.12593, 0.126607, 0.128589, 0.13057, 0.132266, 0.133961, 0.135656, 0.137783, 0.139942, 0.141669, 0.144447, 0.148114, 0.149652, 0.151703, 0.153549, 0.157037, 0.161583, 0.16476, 0.166422, 0.168085, 0.169747, 0.173071, 0.174733, 0.178166, 0.180569, 0.183087, 0.185605, 0.188122, 0.192687, 0.197251, 0.201227");
-            values ( \
-              "0.272201, 0.274425, 0.46445, 0.526417, 0.596734, 0.62498, 0.678804, 0.727444, 0.732705, 0.7389, 0.756689, 0.770967, 0.775554, 0.778359, 0.778338, 0.777747, 0.774858, 0.770578, 0.766828, 0.757497, 0.751916, 0.730969, 0.707044, 0.684212, 0.659201, 0.63201, 0.594697, 0.552334, 0.520353, 0.471338, 0.411004, 0.386713, 0.356198, 0.331695, 0.289997, 0.242455, 0.211512, 0.197199, 0.183842, 0.171991, 0.15006, 0.139981, 0.121264, 0.108837, 0.0965618, 0.0868496, 0.0779444, 0.0640944, 0.0524233, 0.043921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0762628");
-            index_3 ("0.0745255, 0.0866179, 0.117069, 0.119436, 0.121782, 0.1258, 0.130545, 0.135209, 0.14193, 0.148987, 0.162909, 0.172409, 0.179387, 0.193343, 0.206342, 0.219074, 0.234593, 0.251821, 0.260805, 0.275214, 0.289425, 0.301865, 0.318451, 0.332464, 0.351295, 0.376403, 0.423924, 0.479273");
-            values ( \
-              "0.101509, 0.352991, 0.962355, 0.990821, 1.00902, 1.02443, 1.02654, 1.01882, 0.999535, 0.973616, 0.913833, 0.863964, 0.820499, 0.714991, 0.606034, 0.50495, 0.397355, 0.297108, 0.25386, 0.195028, 0.148781, 0.116817, 0.0838408, 0.0630893, 0.0428882, 0.0252495, 0.0085148, 0.0022714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.210234");
-            index_3 ("0.074415, 0.0973934, 0.117433, 0.120296, 0.124239, 0.129486, 0.136543, 0.144859, 0.153568, 0.179183, 0.231606, 0.25197, 0.271384, 0.310431, 0.318548, 0.351013, 0.41587, 0.433049, 0.455955, 0.499552, 0.515639, 0.532959, 0.556051, 0.593327, 0.604254, 0.62611, 0.669821, 0.703152, 0.740042, 0.789228, 0.872734, 0.956239, 1.03974, 1.12325");
-            values ( \
-              "0.0539086, 0.605171, 1.06155, 1.09987, 1.13163, 1.15144, 1.15754, 1.15191, 1.14086, 1.10286, 1.02112, 0.987153, 0.952322, 0.868023, 0.846695, 0.751537, 0.545574, 0.494661, 0.430629, 0.323242, 0.289376, 0.255992, 0.216426, 0.163393, 0.150329, 0.126876, 0.0896501, 0.0685224, 0.0507945, 0.0338177, 0.0164863, 0.00801224, 0.00386235, 0.00187903" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.579556");
-            index_3 ("0.0959711, 0.130356, 0.141331, 0.164597, 0.464824, 0.568917, 0.622186, 0.681737, 0.765242, 0.973634, 1.11898, 1.27095, 1.42431, 1.53558, 1.6938, 1.84705, 2.01406, 2.18107, 2.5151, 2.76561");
-            values ( \
-              "1.07822, 1.20272, 1.21661, 1.20913, 1.03675, 0.96963, 0.92771, 0.878228, 0.78927, 0.543886, 0.392397, 0.263489, 0.1716, 0.123969, 0.0768742, 0.0478119, 0.028071, 0.0162079, 0.00491411, 0.00399331" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.106408, 0.124795, 0.128162, 0.131394, 0.132478, 0.138983, 0.142943, 0.15006, 0.151606, 0.161276, 0.16852, 0.17483, 0.180654, 0.186242, 0.191754, 0.197262, 0.197696, 0.200304, 0.203781, 0.206449, 0.209501, 0.212703, 0.217398, 0.219594, 0.22266, 0.226748, 0.234923, 0.241884, 0.247222, 0.249211, 0.250046, 0.251716, 0.253684, 0.256838, 0.261268, 0.268581");
-            values ( \
-              "0.00286905, 0.00656741, 0.00912672, 0.0120885, 0.0126775, 0.0197297, 0.0263472, 0.044798, 0.052047, 0.0833327, 0.0980297, 0.109543, 0.115068, 0.119948, 0.118091, 0.103913, 0.0986355, 0.0796122, 0.0577165, 0.0458026, 0.03542, 0.0276335, 0.0187654, 0.0159383, 0.0126251, 0.0094007, 0.00492687, 0.00318155, 0.00211843, 0.00196067, 0.00450974, 0.00468076, 0.00618416, 0.00508976, 0.00526805, 0.00257991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0100353");
-            index_3 ("0.109546, 0.124782, 0.13281, 0.141289, 0.146984, 0.149745, 0.164086, 0.169065, 0.178351, 0.186417, 0.193781, 0.200729, 0.207577, 0.214413, 0.219979, 0.225406, 0.230366, 0.234022, 0.239342, 0.244184, 0.249198, 0.251104, 0.253501, 0.266412, 0.276042, 0.279889");
-            values ( \
-              "0.00788182, 0.0148889, 0.0274474, 0.0460227, 0.0653901, 0.0777354, 0.156159, 0.177302, 0.211998, 0.236068, 0.254605, 0.265151, 0.26338, 0.215973, 0.154323, 0.110374, 0.0820723, 0.065329, 0.0465214, 0.034161, 0.0246628, 0.0266871, 0.0269833, 0.0159032, 0.00878072, 0.00716588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276644");
-            index_3 ("0.12453, 0.142213, 0.148726, 0.174834, 0.198164, 0.209363, 0.21946, 0.228977, 0.238498, 0.248945, 0.267111, 0.273102, 0.28518, 0.293777, 0.304599, 0.323533, 0.345623, 0.371642");
-            values ( \
-              "0.0325378, 0.0801212, 0.110381, 0.28376, 0.41743, 0.473007, 0.513344, 0.5333, 0.499775, 0.376877, 0.211638, 0.167054, 0.100775, 0.0693091, 0.0427476, 0.0177432, 0.00685191, 0.0010067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0762628");
-            index_3 ("0.124329, 0.155605, 0.165116, 0.21455, 0.233693, 0.252128, 0.255965, 0.259821, 0.265017, 0.272595, 0.280921, 0.294957, 0.32284, 0.336246, 0.353443, 0.362322, 0.376529, 0.395116, 0.403526, 0.420346, 0.43917, 0.46947, 0.509871, 0.56254, 0.627431");
-            values ( \
-              "0.0102933, 0.185578, 0.255906, 0.646388, 0.787013, 0.903261, 0.914276, 0.91193, 0.900401, 0.867544, 0.820104, 0.713832, 0.488419, 0.39611, 0.29732, 0.253391, 0.195263, 0.136752, 0.117184, 0.082618, 0.0561839, 0.0296603, 0.013016, 0.00292609, 0.00132441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.210234");
-            index_3 ("0.177007, 0.225536, 0.237435, 0.249333, 0.253764, 0.257397, 0.263862, 0.27046, 0.275822, 0.278984, 0.285309, 0.29696, 0.317448, 0.342992, 0.368536, 0.372885, 0.381584, 0.389438, 0.397292, 0.405914, 0.414536, 0.431781, 0.440403, 0.449025, 0.458998, 0.495429, 0.533033, 0.54299, 0.552947, 0.562904, 0.572861, 0.582818, 0.592775, 0.615826, 0.625784, 0.630209, 0.643486, 0.652337, 0.67004, 0.678891, 0.694834, 0.715636, 0.720799, 0.736287, 0.756937, 0.767262, 0.777587, 0.785113, 0.800165, 0.817902");
-            values ( \
-              "0.745134, 0.815764, 0.939495, 1.07115, 1.08227, 1.09258, 1.1136, 1.10906, 1.10446, 1.10136, 1.09432, 1.0778, 1.04593, 1.00398, 0.960132, 0.952199, 0.934963, 0.918478, 0.901116, 0.880202, 0.858308, 0.811331, 0.78656, 0.760934, 0.730129, 0.614041, 0.497094, 0.468164, 0.440453, 0.414279, 0.389111, 0.364947, 0.341788, 0.291799, 0.270794, 0.262259, 0.238097, 0.223667, 0.19674, 0.184245, 0.163538, 0.137995, 0.132412, 0.116937, 0.0999669, 0.0922336, 0.0850015, 0.0802725, 0.0711599, 0.061013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.579556");
-            index_3 ("0.16801, 0.206796, 0.253408, 0.25999, 0.2656, 0.275059, 0.298393, 0.550152, 0.65199, 0.702391, 0.769593, 0.805865, 0.854093, 1.09977, 1.15249, 1.21904, 1.30255, 1.37018, 1.44024, 1.52375, 1.56117, 1.63602, 1.7105, 1.79401, 1.89168, 1.94624, 2.02974, 2.11325, 2.19675, 2.36377, 2.61428, 2.8648");
-            values ( \
-              "0.61988, 0.67167, 1.14334, 1.18264, 1.19437, 1.1991, 1.18896, 1.04441, 0.980061, 0.944072, 0.888284, 0.853447, 0.802471, 0.515165, 0.457863, 0.390995, 0.316776, 0.265036, 0.21886, 0.172925, 0.155357, 0.124888, 0.100181, 0.0779403, 0.0579985, 0.0490867, 0.0379724, 0.0293134, 0.0226281, 0.0134424, 0.00612233, 0.0027977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.195089, 0.260345, 0.265703, 0.267606, 0.275456, 0.283543, 0.29043, 0.304203, 0.30876, 0.326025, 0.337834, 0.347873, 0.357026, 0.36579, 0.374402, 0.383009, 0.385064, 0.387412, 0.392108, 0.394716, 0.397066, 0.400198, 0.406339, 0.410173, 0.412611, 0.417487, 0.42597, 0.431786, 0.435584, 0.44318, 0.458371, 0.481486, 0.510734, 0.550417, 0.564473, 0.570712");
-            values ( \
-              "0.00049096, 0.00335918, 0.00498411, 0.00533009, 0.00705053, 0.00924421, 0.0117335, 0.0204184, 0.0262447, 0.0496168, 0.0614261, 0.0692662, 0.0735612, 0.0763551, 0.0759773, 0.0713215, 0.0667926, 0.0605161, 0.0452578, 0.0381411, 0.032567, 0.0265365, 0.0180159, 0.0144727, 0.0125223, 0.0093703, 0.00560556, 0.00400684, 0.00321698, 0.0020624, 0.000858141, 0.000295522, 0.000149306, 9.64896e-05, 0.0013969, 0.00121601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0100353");
-            index_3 ("0.194081, 0.266333, 0.280651, 0.290819, 0.295134, 0.303763, 0.314072, 0.335952, 0.350516, 0.363044, 0.374476, 0.385354, 0.396031, 0.406698, 0.419803, 0.430083, 0.436241, 0.442528, 0.45091, 0.458018, 0.462756, 0.469886, 0.479393, 0.498407, 0.525045, 0.550329, 0.561944, 0.576533, 0.590135");
-            values ( \
-              "0.00122959, 0.00971076, 0.0188128, 0.0261207, 0.0301852, 0.0405982, 0.0599963, 0.110881, 0.136422, 0.152589, 0.163054, 0.168981, 0.169836, 0.15604, 0.0919189, 0.0569631, 0.0422816, 0.0310956, 0.0202364, 0.0139995, 0.0109395, 0.00752489, 0.00453776, 0.00159329, 0.000502207, 0.000268099, 0.00345918, 0.00325957, 0.00109889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276644");
-            index_3 ("0.196682, 0.265756, 0.294294, 0.303288, 0.312509, 0.357585, 0.378059, 0.395731, 0.411797, 0.426891, 0.441638, 0.456357, 0.473491, 0.487568, 0.501839, 0.514628, 0.530877, 0.543531, 0.550554, 0.556865, 0.563998, 0.574923");
-            values ( \
-              "0.00331876, 0.0206795, 0.0529513, 0.0677017, 0.0872359, 0.220777, 0.26593, 0.298229, 0.321746, 0.337631, 0.339059, 0.292787, 0.190791, 0.127024, 0.0796326, 0.0506727, 0.0273341, 0.0165944, 0.012583, 0.0155139, 0.0159176, 0.0118856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0762628");
-            index_3 ("0.203855, 0.26518, 0.275724, 0.289772, 0.294585, 0.304213, 0.322142, 0.328786, 0.342076, 0.359732, 0.387725, 0.400103, 0.424859, 0.430841, 0.442805, 0.456993, 0.48058, 0.502688, 0.524808, 0.525335, 0.526037, 0.530254, 0.535875, 0.550685, 0.550867, 0.551961, 0.553419, 0.556335, 0.561291, 0.568577, 0.580472, 0.587389, 0.590962, 0.595725, 0.605253, 0.619584, 0.62377, 0.632141, 0.648883, 0.662734, 0.668935, 0.681337, 0.70614, 0.73914");
-            values ( \
-              "0.00879836, 0.0356309, 0.0503465, 0.0722376, 0.0808088, 0.100345, 0.14603, 0.167228, 0.213702, 0.277014, 0.365135, 0.4025, 0.473528, 0.490483, 0.522224, 0.558183, 0.607905, 0.634082, 0.598302, 0.59514, 0.591659, 0.572063, 0.543375, 0.460987, 0.460608, 0.456532, 0.450441, 0.436677, 0.410401, 0.3694, 0.304637, 0.270396, 0.253854, 0.233057, 0.195583, 0.148912, 0.137369, 0.116658, 0.0834392, 0.0630114, 0.0555327, 0.0430411, 0.0254974, 0.0137669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.210234");
-            index_3 ("0.275237, 0.324549, 0.349788, 0.474619, 0.520958, 0.550198, 0.560489, 0.567127, 0.580403, 0.594031, 0.640622, 0.674143, 0.759128, 0.818958, 0.869459, 0.904717, 0.931381, 0.962644, 1.00433, 1.04404, 1.10443, 1.18494, 1.26845, 1.27257");
-            values ( \
-              "0.125286, 0.181859, 0.267154, 0.735199, 0.898778, 0.986317, 1.00457, 1.0023, 0.985231, 0.961442, 0.860895, 0.765471, 0.499003, 0.341744, 0.240069, 0.184858, 0.150928, 0.118398, 0.0848787, 0.0616231, 0.0375607, 0.0188901, 0.00914922, 0.008976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.579556");
-            index_3 ("0.29747, 0.371662, 0.550644, 0.564544, 0.582038, 0.840587, 0.978196, 1.06626, 1.32599, 1.44544, 1.59543, 1.66579, 1.78717, 1.86294, 2.02061, 2.25493, 2.58895, 2.60202");
-            values ( \
-              "0.201727, 0.360889, 1.12163, 1.1478, 1.15746, 1.00457, 0.903764, 0.817644, 0.514906, 0.390603, 0.2656, 0.219127, 0.155346, 0.124567, 0.077815, 0.0380598, 0.0134688, 0.0131905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.501078, 0.559757, 0.58294, 0.607422, 0.622933, 0.633553, 0.648583, 0.653821, 0.664298, 0.680252, 0.696529, 0.706041, 0.723154, 0.738711, 0.753473, 0.767958, 0.782432, 0.791192, 0.806099, 0.817928, 0.824936, 0.832262, 0.843329, 0.852945, 0.859726, 0.873288, 0.900413, 0.934594, 1.03735, 1.20436, 1.21598, 1.21624");
-            values ( \
-              "0.00218604, 0.00228279, 0.00316957, 0.00464705, 0.00625407, 0.007793, 0.011249, 0.0132032, 0.0177248, 0.0258283, 0.0327261, 0.0358257, 0.0408033, 0.0434606, 0.045295, 0.0452886, 0.0435565, 0.0374957, 0.0201583, 0.0121607, 0.0092543, 0.00697784, 0.00454003, 0.00316308, 0.00244116, 0.00143314, 0.000479887, 0.00014874, 3.23189e-05, 7.31555e-06, 2.64663e-05, 3.95712e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0100353");
-            index_3 ("0.500979, 0.563845, 0.585155, 0.608282, 0.620559, 0.645114, 0.661879, 0.698819, 0.72073, 0.727725, 0.743325, 0.761722, 0.769439, 0.779101, 0.796084, 0.813065, 0.817389, 0.843045, 0.852082, 0.858422, 0.87197, 0.879778, 0.888558, 0.900263, 0.923675, 0.954405, 1.04208, 1.21595, 1.22808, 1.24764");
-            values ( \
-              "0.00532851, 0.00617054, 0.00827254, 0.0116004, 0.0142083, 0.0221417, 0.0326285, 0.0653464, 0.081928, 0.0862562, 0.0945594, 0.101771, 0.103906, 0.105895, 0.106961, 0.102338, 0.0975183, 0.0464817, 0.0336964, 0.0270795, 0.016456, 0.0122633, 0.00872876, 0.00543796, 0.00196643, 0.000512606, 0.000110133, 5.06677e-05, 0.00149325, 0.00124083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276644");
-            index_3 ("0.500735, 0.574238, 0.608384, 0.639512, 0.650102, 0.671283, 0.727202, 0.75945, 0.786779, 0.811628, 0.835127, 0.858073, 0.880997, 0.916511, 0.938806, 0.957865, 0.968576, 0.984428, 1.00556, 1.04106, 1.08271, 1.13498, 1.21606, 1.22716, 1.24497, 1.2583");
-            values ( \
-              "0.0108406, 0.0162637, 0.0251492, 0.0390404, 0.0459042, 0.0648438, 0.137571, 0.171477, 0.193392, 0.207449, 0.216175, 0.218469, 0.205003, 0.111273, 0.0656043, 0.0392618, 0.0288261, 0.0178667, 0.00898342, 0.00240703, 0.000582293, 0.000201704, 0.000116516, 0.00290553, 0.00348257, 0.00183677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0762628");
-            index_3 ("0.500073, 0.596413, 0.642136, 0.664043, 0.707858, 0.742708, 0.783834, 0.831663, 0.872783, 0.910027, 0.94489, 0.978855, 1.01274, 1.06065, 1.08539, 1.10788, 1.13807, 1.15539, 1.18029, 1.21602, 1.23075, 1.27195");
-            values ( \
-              "0.0169821, 0.0400424, 0.0677474, 0.0871254, 0.144613, 0.201248, 0.259932, 0.314357, 0.354098, 0.383157, 0.403648, 0.406478, 0.35641, 0.218382, 0.15794, 0.112802, 0.0684879, 0.0501739, 0.0317341, 0.0158372, 0.0179791, 0.00860009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.210234");
-            index_3 ("0.583033, 0.692267, 0.833912, 0.966121, 1.02861, 1.08475, 1.13758, 1.19043, 1.22909, 1.31812, 1.35713, 1.41841, 1.48277, 1.52954, 1.57725, 1.68859, 1.73591");
-            values ( \
-              "0.100897, 0.152162, 0.376396, 0.565748, 0.645203, 0.702937, 0.730025, 0.683392, 0.598893, 0.353235, 0.270061, 0.171724, 0.104217, 0.0714929, 0.0485666, 0.0190318, 0.0134842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.579556");
-            index_3 ("0.607871, 0.71549, 0.82199, 1.14559, 1.21554, 1.2317, 1.25344, 1.38511, 1.50709, 1.59059, 1.87759, 2.02783, 2.16614, 2.28673, 2.36091, 2.43563, 2.51913, 2.61741, 2.75588, 2.92289, 3.0899, 3.20055");
-            values ( \
-              "0.17938, 0.20845, 0.383915, 0.950193, 1.057, 1.06316, 1.05879, 0.974882, 0.877108, 0.790372, 0.458353, 0.317023, 0.218641, 0.155343, 0.125146, 0.100299, 0.0780539, 0.0579596, 0.0378966, 0.0225798, 0.0134108, 0.0101897" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.00664841, 0.00675397, 0.00687801, 0.0069651, 0.00700775, 0.00702526", \
-            "0.0098695, 0.00996532, 0.0101325, 0.0102947, 0.0103894, 0.0104312", \
-            "0.0127774, 0.012768, 0.012807, 0.0129272, 0.0130356, 0.0130926", \
-            "0.0147909, 0.0146764, 0.0145383, 0.014438, 0.0144436, 0.0144568", \
-            "0.0160339, 0.0158485, 0.0156316, 0.0153805, 0.0151848, 0.0150898", \
-            "0.0169508, 0.0166086, 0.0162312, 0.0159422, 0.0156874, 0.0154648" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.0122186, 0.0122755, 0.0124411, 0.0126076, 0.0127024, 0.0127446", \
-            "0.0160394, 0.0156817, 0.0153156, 0.0151217, 0.0150272, 0.0150095", \
-            "0.0177573, 0.0166666, 0.0156219, 0.0148707, 0.0144372, 0.0142393", \
-            "0.0181816, 0.0180457, 0.015925, 0.0143532, 0.0135253, 0.0131737", \
-            "0.0167308, 0.0170374, 0.0173272, 0.0151664, 0.0135304, 0.0128364", \
-            "0.0154584, 0.0160283, 0.0166571, 0.0170552, 0.0145544, 0.0130843" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0228051, 0.0254381, 0.0266221, 0.0279402, 0.0286628, 0.0301215, 0.0329814, 0.034981, 0.0393565, 0.0419378, 0.0438602, 0.0459416, 0.0481022");
-            values ( \
-              "-0.159616, -0.360204, -0.404419, -0.435421, -0.43698, -0.462263, -0.448188, -0.375796, -0.15819, -0.0842929, -0.0519849, -0.0308751, -0.0189105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0100353");
-            index_3 ("0.0237751, 0.0256529, 0.027032, 0.0283848, 0.0307668, 0.0323487, 0.0347484, 0.0362053, 0.0381047, 0.0392621, 0.0409559, 0.0430156, 0.0484323, 0.0510217, 0.0548186, 0.058014, 0.0622627, 0.0661511, 0.0668484");
-            values ( \
-              "-0.330729, -0.610385, -0.698259, -0.747804, -0.795461, -0.810672, -0.816295, -0.808243, -0.780125, -0.748662, -0.687799, -0.584659, -0.285926, -0.192206, -0.104383, -0.0614464, -0.0301903, -0.0156823, -0.0145069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276644");
-            index_3 ("0.0249803, 0.0263142, 0.0279152, 0.0292048, 0.0323339, 0.0348189, 0.0391777, 0.0435345, 0.0479784, 0.0515638, 0.0546831, 0.0576079, 0.0722126, 0.0777253, 0.0812633, 0.0850137, 0.0884917, 0.0941314, 0.102858, 0.11235, 0.112538");
-            values ( \
-              "-0.623212, -0.870745, -0.987497, -1.04074, -1.10957, -1.13555, -1.14769, -1.13636, -1.10606, -1.06203, -1.00662, -0.937154, -0.407204, -0.2696, -0.204286, -0.151162, -0.11398, -0.0711084, -0.0338048, -0.0146796, -0.0145265" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0762628");
-            index_3 ("0.0267464, 0.0438052, 0.0573788, 0.0713756, 0.0785274, 0.0881923, 0.0927979, 0.1018, 0.107523, 0.128527, 0.142047, 0.156526, 0.164039, 0.174056, 0.191213, 0.203218, 0.220573, 0.237641");
-            values ( \
-              "-1.33076, -1.35548, -1.33259, -1.28855, -1.25872, -1.20324, -1.16792, -1.07325, -0.984796, -0.59451, -0.398589, -0.251296, -0.196218, -0.13983, -0.0775929, -0.0508784, -0.0272432, -0.0165504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.210234");
-            index_3 ("0.0261334, 0.0291525, 0.0321878, 0.039068, 0.0424756, 0.047014, 0.0612951, 0.0976387, 0.133399, 0.160887, 0.190849, 0.211688, 0.222401, 0.238741, 0.292009, 0.317793, 0.34428, 0.365857, 0.385365, 0.411376, 0.44287, 0.462413, 0.501499, 0.568079, 0.63466, 0.701241");
-            values ( \
-              "-1.05866, -1.27515, -1.36765, -1.44216, -1.45307, -1.45837, -1.45102, -1.41321, -1.36796, -1.32434, -1.2561, -1.18466, -1.13341, -1.02936, -0.627435, -0.470392, -0.343819, -0.263555, -0.206307, -0.147601, -0.0979003, -0.0756589, -0.044641, -0.0172116, -0.00662144, -0.00251884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.579556");
-            index_3 ("0.0262232, 0.0290364, 0.0338498, 0.0412618, 0.0456717, 0.0507372, 0.0564364, 0.139733, 0.223712, 0.313788, 0.380369, 0.438113, 0.469169, 0.531282, 0.554433, 0.598724, 0.699232, 0.742634, 0.812394, 0.840281, 0.884112, 0.942537, 0.9954, 1.04631, 1.11289, 1.16718, 1.19982, 1.26139, 1.32797, 1.39455, 1.52772, 1.66088, 1.79404");
-            values ( \
-              "-1.09713, -1.30085, -1.431, -1.4895, -1.49968, -1.50333, -1.50363, -1.47305, -1.43776, -1.39379, -1.35448, -1.31076, -1.28162, -1.2, -1.15712, -1.0497, -0.755582, -0.639146, -0.47904, -0.424711, -0.35005, -0.268213, -0.2099, -0.165136, -0.119835, -0.0923391, -0.0787255, -0.0583104, -0.0419048, -0.0302249, -0.0156204, -0.00806871, -0.0041751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0352877, 0.0369818, 0.0379886, 0.0405539, 0.0455166, 0.0492748, 0.0509695, 0.0517654, 0.0535721, 0.058344, 0.0602753, 0.0623349, 0.0656245, 0.0686319");
-            values ( \
-              "-0.0833024, -0.0974147, -0.115778, -0.206927, -0.31619, -0.377364, -0.387504, -0.386415, -0.341994, -0.132279, -0.0831561, -0.0503039, -0.0226798, -0.0123402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0100353");
-            index_3 ("0.037162, 0.0388536, 0.0417495, 0.0451226, 0.0520879, 0.0529688, 0.0544573, 0.0565208, 0.0593279, 0.0668314, 0.0698134, 0.0727238, 0.0762417, 0.0796606, 0.0835679, 0.0874514");
-            values ( \
-              "-0.196123, -0.235946, -0.389452, -0.523564, -0.743575, -0.762325, -0.774788, -0.759858, -0.679834, -0.285529, -0.180994, -0.113703, -0.0637081, -0.0361077, -0.0184826, -0.0107254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276644");
-            index_3 ("0.0385277, 0.04173, 0.0443168, 0.0476625, 0.0522439, 0.0535473, 0.0559148, 0.0574932, 0.0606501, 0.0641264, 0.0663819, 0.0708725, 0.0730695, 0.0772741, 0.0868437, 0.0914387, 0.0957471, 0.098879, 0.104543, 0.10889, 0.113452, 0.116954, 0.123957, 0.136731, 0.151872");
-            values ( \
-              "-0.129678, -0.493344, -0.660332, -0.824931, -1.03088, -1.07184, -1.11085, -1.12272, -1.12902, -1.11743, -1.10152, -1.04739, -1.00661, -0.899218, -0.529405, -0.383278, -0.27745, -0.217247, -0.137833, -0.0961682, -0.0658162, -0.0490007, -0.0266745, -0.00792669, -0.00171546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0762628");
-            index_3 ("0.0403704, 0.0451371, 0.0528407, 0.0553096, 0.057674, 0.0605733, 0.0656246, 0.0758604, 0.0914716, 0.097012, 0.107823, 0.113578, 0.120273, 0.132653, 0.142872, 0.151521, 0.15854, 0.167945, 0.175526, 0.189199, 0.199708, 0.210741, 0.219208, 0.236141, 0.266955, 0.303495");
-            values ( \
-              "-0.348524, -0.804659, -1.2247, -1.29067, -1.32182, -1.33987, -1.34766, -1.33166, -1.28242, -1.25852, -1.19542, -1.14766, -1.07324, -0.861854, -0.667511, -0.523384, -0.424597, -0.316658, -0.247808, -0.15726, -0.109741, -0.0750998, -0.0558929, -0.0304368, -0.00906226, -0.00197597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.210234");
-            index_3 ("0.0329275, 0.0585308, 0.0616024, 0.0654654, 0.0700721, 0.080941, 0.110984, 0.151338, 0.166377, 0.17995, 0.208321, 0.222682, 0.238001, 0.246237, 0.257219, 0.309359, 0.336185, 0.347238, 0.369343, 0.390141, 0.416591, 0.446984, 0.457506, 0.481697, 0.509344, 0.564637, 0.631218, 0.697799");
-            values ( \
-              "-0.0587045, -1.42015, -1.44044, -1.45156, -1.4552, -1.44963, -1.41888, -1.36879, -1.34618, -1.32287, -1.25913, -1.21368, -1.14816, -1.10228, -1.02887, -0.634525, -0.470392, -0.413541, -0.316801, -0.244895, -0.175147, -0.117829, -0.102709, -0.074788, -0.0517083, -0.0239482, -0.0091762, -0.00354156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.579556");
-            index_3 ("0.0425515, 0.0597896, 0.06716, 0.0786363, 0.252904, 0.332389, 0.39897, 0.487769, 0.549884, 0.573033, 0.617324, 0.761234, 0.830994, 0.902712, 0.961137, 1.014, 1.06491, 1.13149, 1.18578, 1.28369, 1.35027, 1.55001, 1.56714");
-            values ( \
-              "-0.951908, -1.47017, -1.49765, -1.5023, -1.43297, -1.39388, -1.35439, -1.28154, -1.20008, -1.15704, -1.04977, -0.639083, -0.478981, -0.349992, -0.268271, -0.209956, -0.165082, -0.11989, -0.0922909, -0.0571965, -0.0411817, -0.0152854, -0.0145631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0563295, 0.0658447, 0.0712026, 0.0775056, 0.0835268, 0.0862063, 0.0888223, 0.0916451, 0.0982479, 0.101336, 0.103714, 0.106438, 0.112625, 0.117053, 0.117267");
-            values ( \
-              "-0.000936913, -0.0701622, -0.140986, -0.196091, -0.237912, -0.250702, -0.251421, -0.213074, -0.070624, -0.0372682, -0.0223797, -0.0127533, -0.00370882, -0.00765291, -0.00753305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0100353");
-            index_3 ("0.0610076, 0.0650583, 0.0658557, 0.0674505, 0.0688894, 0.0717323, 0.0756398, 0.0789133, 0.0836437, 0.0877686, 0.091521, 0.0950398, 0.0985134, 0.102321, 0.106342, 0.107708, 0.110514, 0.112761, 0.11397, 0.117226, 0.118869, 0.120311, 0.122233, 0.126078, 0.127345");
-            values ( \
-              "-0.0894063, -0.118702, -0.125781, -0.145937, -0.171808, -0.236203, -0.304266, -0.35087, -0.413663, -0.462045, -0.500936, -0.525126, -0.516932, -0.433412, -0.284916, -0.240564, -0.164797, -0.118882, -0.10801, -0.0724905, -0.0575482, -0.046713, -0.0350647, -0.0192919, -0.0167604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276644");
-            index_3 ("0.0690755, 0.0774008, 0.0802525, 0.0888102, 0.0916633, 0.0957463, 0.0975911, 0.098206, 0.099108, 0.100912, 0.103199, 0.104585, 0.10597, 0.107356, 0.108696, 0.110037, 0.111316, 0.111954, 0.112588, 0.112719, 0.113076, 0.113359, 0.114018, 0.114678, 0.11545, 0.116221, 0.117028, 0.117834, 0.119173, 0.120513, 0.122011, 0.123703, 0.126226, 0.128198, 0.129694, 0.132208, 0.133483, 0.134758, 0.136458, 0.138158, 0.139504, 0.141568, 0.143006, 0.145162, 0.145881, 0.147056, 0.148231, 0.149406, 0.15058, 0.152608");
-            values ( \
-              "-0.435084, -0.441935, -0.504664, -0.661457, -0.707471, -0.775836, -0.805571, -0.81505, -0.825894, -0.849323, -0.882372, -0.904205, -0.927408, -0.951981, -0.952341, -0.953811, -0.956247, -0.958066, -0.957538, -0.960577, -0.961792, -0.963678, -0.962395, -0.958975, -0.951917, -0.941759, -0.927547, -0.910531, -0.874312, -0.833876, -0.783635, -0.720539, -0.618401, -0.546493, -0.495847, -0.41544, -0.376963, -0.342371, -0.302654, -0.266415, -0.240741, -0.203481, -0.179578, -0.151724, -0.143254, -0.130554, -0.118766, -0.107891, -0.0979279, -0.0827002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0762628");
-            index_3 ("0.068624, 0.0834564, 0.0991534, 0.11471, 0.117642, 0.120594, 0.126329, 0.128173, 0.131861, 0.138917, 0.149713, 0.155716, 0.162192, 0.174578, 0.184705, 0.193275, 0.200496, 0.209952, 0.217473, 0.223596, 0.231057, 0.241586, 0.252696, 0.261231, 0.278302, 0.309077, 0.345601");
-            values ( \
-              "-0.277752, -0.655181, -0.984928, -1.26993, -1.30002, -1.30677, -1.30207, -1.29739, -1.28619, -1.25828, -1.19539, -1.14552, -1.0731, -0.861475, -0.668835, -0.52572, -0.423969, -0.315658, -0.247498, -0.202343, -0.157528, -0.109852, -0.0749751, -0.0556644, -0.0301537, -0.00899379, -0.00196338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.210234");
-            index_3 ("0.0703294, 0.0911509, 0.113645, 0.118086, 0.125054, 0.137446, 0.166805, 0.194123, 0.222245, 0.251574, 0.265701, 0.283102, 0.315424, 0.342385, 0.376213, 0.407693, 0.427737, 0.444425, 0.463881, 0.491434, 0.520237, 0.542323, 0.586494, 0.653075, 0.719656");
-            values ( \
-              "-0.316705, -0.877426, -1.37136, -1.42514, -1.44182, -1.43662, -1.4047, -1.3694, -1.32432, -1.25638, -1.21105, -1.13351, -0.908464, -0.701064, -0.48395, -0.333528, -0.260459, -0.211269, -0.164755, -0.115026, -0.0789086, -0.0588643, -0.0322113, -0.012409, -0.00472756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.579556");
-            index_3 ("0.0715005, 0.0995623, 0.114734, 0.118677, 0.122305, 0.127183, 0.132758, 0.149522, 0.201411, 0.300817, 0.374707, 0.441288, 0.469421, 0.499031, 0.530088, 0.592202, 0.615352, 0.659643, 0.760151, 0.803553, 0.85937, 0.873313, 0.9012, 0.94503, 1.00346, 1.05632, 1.10723, 1.17381, 1.2281, 1.26074, 1.32601, 1.39259, 1.45917, 1.52575, 1.59233, 1.7255, 1.85866");
-            values ( \
-              "-0.391709, -1.09555, -1.43522, -1.47525, -1.48886, -1.49535, -1.4971, -1.49274, -1.4727, -1.43036, -1.39383, -1.35443, -1.33463, -1.3108, -1.28157, -1.20005, -1.15707, -1.04975, -0.755628, -0.6391, -0.508083, -0.478996, -0.424756, -0.350006, -0.268257, -0.209944, -0.165093, -0.119879, -0.0922984, -0.0787675, -0.0572029, -0.0411745, -0.0296275, -0.0212733, -0.0152914, -0.00787939, -0.00405808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.114367, 0.124634, 0.125354, 0.126074, 0.126795, 0.127515, 0.128494, 0.129472, 0.130451, 0.131378, 0.132306, 0.133234, 0.134161, 0.136323, 0.138024, 0.140348, 0.142217, 0.143492, 0.146043, 0.147318, 0.149613, 0.156178, 0.157204, 0.15823, 0.159256, 0.160282, 0.161306, 0.16233, 0.163354, 0.164379, 0.164451, 0.164596, 0.16503, 0.165464, 0.166621, 0.169642, 0.170551, 0.17107, 0.172108, 0.172628, 0.173666, 0.174949, 0.175843, 0.176638, 0.177433, 0.178148, 0.178864, 0.17958, 0.180295, 0.181941");
-            values ( \
-              "-0.0411586, -0.0457343, -0.0488155, -0.0521013, -0.0555918, -0.0592869, -0.0651349, -0.0705917, -0.0757288, -0.0801234, -0.0843504, -0.0884098, -0.0923017, -0.100721, -0.10703, -0.115293, -0.121636, -0.125656, -0.133412, -0.137148, -0.143501, -0.161409, -0.161156, -0.16061, -0.159772, -0.15864, -0.157218, -0.155505, -0.1535, -0.151202, -0.150779, -0.149283, -0.145609, -0.141629, -0.129327, -0.0939057, -0.0828616, -0.077186, -0.0666984, -0.0618663, -0.0529754, -0.043193, -0.0367942, -0.0323547, -0.0283526, -0.0252426, -0.0224078, -0.0198482, -0.0175638, -0.0132295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0100353");
-            index_3 ("0.10868, 0.11704, 0.124171, 0.126293, 0.128864, 0.136915, 0.143316, 0.150933, 0.1575, 0.163441, 0.168962, 0.174279, 0.179586, 0.181886, 0.189718, 0.192271, 0.194716, 0.197976, 0.200494, 0.20479, 0.210517, 0.219224, 0.229793, 0.249044, 0.249542");
-            values ( \
-              "-0.0343789, -0.0504821, -0.0786439, -0.0904223, -0.10845, -0.175023, -0.216343, -0.258722, -0.291079, -0.31704, -0.336372, -0.344701, -0.317222, -0.283101, -0.144368, -0.109009, -0.0819796, -0.0547638, -0.0397704, -0.0228526, -0.0106117, -0.0032763, -0.00110408, -0.000387632, -0.000923752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276644");
-            index_3 ("0.111243, 0.123758, 0.12434, 0.124972, 0.126238, 0.128769, 0.133953, 0.144105, 0.15424, 0.158146, 0.164449, 0.169201, 0.178794, 0.187427, 0.195451, 0.203246, 0.211021, 0.211466, 0.21414, 0.217033, 0.221563, 0.222603, 0.224683, 0.228843, 0.231793, 0.232586, 0.234173, 0.237347, 0.243166, 0.245355, 0.247897, 0.249388, 0.251362, 0.253579, 0.255869, 0.260207");
-            values ( \
-              "-0.0687589, -0.120743, -0.123542, -0.126815, -0.134109, -0.150642, -0.192749, -0.298599, -0.379424, -0.411053, -0.455249, -0.489446, -0.551272, -0.602482, -0.637209, -0.643745, -0.56776, -0.563624, -0.511345, -0.448558, -0.35331, -0.332335, -0.293637, -0.224421, -0.183823, -0.173726, -0.155478, -0.123298, -0.0792715, -0.0667804, -0.0547818, -0.0488658, -0.0477778, -0.044444, -0.0390512, -0.0290845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0762628");
-            index_3 ("0.119397, 0.124778, 0.133278, 0.13971, 0.163523, 0.178497, 0.185364, 0.202638, 0.217541, 0.231048, 0.24372, 0.249193, 0.252477, 0.256279, 0.260336, 0.268449, 0.275297, 0.284427, 0.290931, 0.296422, 0.302693, 0.311003, 0.318625, 0.328788, 0.336789, 0.34565, 0.357465, 0.381094, 0.383896");
-            values ( \
-              "-0.13616, -0.168285, -0.229032, -0.28869, -0.534809, -0.663217, -0.725231, -0.865555, -0.976103, -1.05972, -1.10662, -1.10192, -1.09431, -1.06354, -1.00982, -0.864257, -0.732388, -0.571766, -0.47256, -0.400195, -0.329201, -0.251491, -0.195655, -0.138896, -0.105452, -0.0777199, -0.0514525, -0.0213111, -0.0199634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.210234");
-            index_3 ("0.124541, 0.185997, 0.215362, 0.248957, 0.253152, 0.258638, 0.269778, 0.278581, 0.301338, 0.315684, 0.344042, 0.358602, 0.374132, 0.390679, 0.441685, 0.470827, 0.486623, 0.501712, 0.521246, 0.537086, 0.55674, 0.584444, 0.613821, 0.636411, 0.68159, 0.748171, 0.814752");
-            values ( \
-              "-0.175938, -0.793642, -1.06898, -1.36211, -1.38529, -1.39909, -1.39083, -1.3802, -1.34744, -1.32287, -1.25917, -1.21301, -1.14614, -1.04509, -0.657924, -0.476219, -0.396013, -0.330297, -0.259542, -0.212785, -0.165523, -0.115343, -0.0785309, -0.0581821, -0.0313728, -0.0120619, -0.00463596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.579556");
-            index_3 ("0.143096, 0.173749, 0.25096, 0.255593, 0.263443, 0.393961, 0.467851, 0.54381, 0.592176, 0.623232, 0.685345, 0.708496, 0.752787, 0.896697, 0.966457, 1.03817, 1.0966, 1.14946, 1.20037, 1.26695, 1.32124, 1.41889, 1.48548, 1.55206, 1.68522, 1.95154");
-            values ( \
-              "-0.669263, -0.693305, -1.461, -1.47747, -1.48357, -1.43089, -1.39437, -1.34848, -1.31134, -1.28103, -1.2006, -1.15652, -1.05031, -0.638521, -0.478411, -0.349419, -0.26885, -0.210541, -0.164498, -0.120478, -0.0916896, -0.0566648, -0.0418411, -0.0290524, -0.0146962, -0.00344533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.181252, 0.209601, 0.227137, 0.234644, 0.239794, 0.250095, 0.267833, 0.282532, 0.294107, 0.301248, 0.308092, 0.314925, 0.320046, 0.329516, 0.333417, 0.340224, 0.344431, 0.34757, 0.353848, 0.365965, 0.370628");
-            values ( \
-              "-0.00199357, -0.00365449, -0.00979664, -0.0132153, -0.0162846, -0.0262867, -0.0586239, -0.077387, -0.0889408, -0.0943499, -0.0975493, -0.0944528, -0.077159, -0.0328083, -0.0213117, -0.00985117, -0.00636303, -0.0047785, -0.00278347, -0.00130954, -0.00109908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0100353");
-            index_3 ("0.22289, 0.244443, 0.244977, 0.247111, 0.249245, 0.251206, 0.253166, 0.255127, 0.257087, 0.262213, 0.267339, 0.270638, 0.272287, 0.277656, 0.280986, 0.284126, 0.288186, 0.290216, 0.295557, 0.300897, 0.308076, 0.312679, 0.317099, 0.319309, 0.32142, 0.323532, 0.325644, 0.327755, 0.329864, 0.331972, 0.33408, 0.336188, 0.337765, 0.339342, 0.340919, 0.342496, 0.343269, 0.344042, 0.345589, 0.34761, 0.350278, 0.354756, 0.355798, 0.356841, 0.357884, 0.359969, 0.361011, 0.363575, 0.364897, 0.366299");
-            values ( \
-              "-0.0408691, -0.0409582, -0.0417771, -0.0456621, -0.0499456, -0.0542311, -0.0588529, -0.0638109, -0.0691052, -0.0853585, -0.101154, -0.110617, -0.115165, -0.129326, -0.137693, -0.145193, -0.154471, -0.158956, -0.170188, -0.180867, -0.194272, -0.202586, -0.210364, -0.214177, -0.215501, -0.216001, -0.215675, -0.214524, -0.212552, -0.209757, -0.20614, -0.201701, -0.195689, -0.18854, -0.180252, -0.170826, -0.165464, -0.159758, -0.147312, -0.128703, -0.106603, -0.0740358, -0.0674242, -0.0613386, -0.0560703, -0.0465292, -0.0422564, -0.0333507, -0.0290985, -0.0248416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276644");
-            index_3 ("0.201259, 0.210327, 0.232409, 0.243935, 0.253092, 0.262446, 0.291501, 0.315892, 0.330943, 0.344409, 0.35685, 0.368761, 0.380648, 0.38273, 0.406622, 0.417169, 0.421909, 0.428655, 0.437649, 0.454058, 0.473127, 0.477891");
-            values ( \
-              "-0.0154562, -0.0181872, -0.0474521, -0.0687911, -0.0898246, -0.11863, -0.232274, -0.310093, -0.352663, -0.386591, -0.412369, -0.425897, -0.402778, -0.388597, -0.15373, -0.0844613, -0.0632793, -0.041405, -0.0227959, -0.00682038, -0.00175658, -0.00165764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0762628");
-            index_3 ("0.209264, 0.253291, 0.265707, 0.318448, 0.365407, 0.388485, 0.409152, 0.428278, 0.446768, 0.466096, 0.491985, 0.50721, 0.524404, 0.537093, 0.550153, 0.580141, 0.595466, 0.617956, 0.661491");
-            values ( \
-              "-0.000979653, -0.123772, -0.163512, -0.385889, -0.557645, -0.633609, -0.694867, -0.73834, -0.750655, -0.663668, -0.396738, -0.264576, -0.159083, -0.106193, -0.0693466, -0.0284906, -0.016441, -0.00711648, -0.000936059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.210234");
-            index_3 ("0.222057, 0.266962, 0.275714, 0.380641, 0.452773, 0.48965, 0.522805, 0.55393, 0.560439, 0.572029, 0.585431, 0.599757, 0.640357, 0.680497, 0.699566, 0.72499, 0.747283, 0.763333, 0.792967, 0.823879, 0.848157, 0.896713, 0.919521");
-            values ( \
-              "-0.0648275, -0.191665, -0.22473, -0.678401, -0.962723, -1.09221, -1.19066, -1.24343, -1.23442, -1.19565, -1.13337, -1.04377, -0.731583, -0.472063, -0.377371, -0.276508, -0.209203, -0.17042, -0.115819, -0.07728, -0.0559209, -0.0287144, -0.0226469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.579556");
-            index_3 ("0.274769, 0.359474, 0.496491, 0.549731, 0.560735, 0.57475, 0.710892, 0.751694, 0.818275, 0.856521, 0.907515, 0.933725, 0.968672, 1.08797, 1.17078, 1.21826, 1.25758, 1.32015, 1.3886, 1.45518, 1.5114, 1.5912, 1.65778, 1.72436, 1.85752, 2.12384");
-            values ( \
-              "-0.377784, -0.617178, -1.21337, -1.42837, -1.44163, -1.44379, -1.37402, -1.34787, -1.29435, -1.25276, -1.1742, -1.1183, -1.02692, -0.681638, -0.485597, -0.395474, -0.33201, -0.249435, -0.181111, -0.131673, -0.100448, -0.068136, -0.0491329, -0.0353289, -0.0182352, -0.00482375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.417257, 0.462011, 0.468171, 0.489846, 0.509797, 0.52267, 0.550633, 0.56843, 0.583496, 0.597093, 0.609849, 0.622131, 0.628098, 0.634446, 0.643787, 0.655618, 0.664619, 0.6761, 0.688404, 0.706674, 0.722291, 0.753523, 0.806906, 0.815964");
-            values ( \
-              "-0.0019204, -0.00393958, -0.00445573, -0.00685852, -0.0108177, -0.0159697, -0.0328974, -0.0408089, -0.0462983, -0.0500878, -0.0526942, -0.0538199, -0.0535115, -0.0517795, -0.0433997, -0.0226567, -0.0118512, -0.00577164, -0.00309106, -0.00200252, -0.00160286, -0.000890497, -0.000364272, -0.000321083" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0100353");
-            index_3 ("0.418012, 0.46727, 0.489117, 0.503292, 0.528222, 0.533621, 0.56449, 0.603994, 0.6083, 0.616911, 0.620105, 0.626493, 0.635059, 0.649343, 0.663551, 0.674201, 0.692196, 0.701361, 0.709189, 0.715633, 0.720327, 0.729715, 0.747901, 0.772518, 0.805898, 0.833158");
-            values ( \
-              "-0.00413582, -0.0105363, -0.0155435, -0.0207193, -0.0351396, -0.0420113, -0.0754758, -0.107377, -0.109425, -0.115454, -0.11674, -0.12064, -0.124214, -0.128831, -0.125685, -0.10685, -0.0467294, -0.0271406, -0.0166985, -0.0116429, -0.00908077, -0.00601307, -0.00325615, -0.00207753, -0.00104033, -0.00068869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276644");
-            index_3 ("0.417565, 0.478893, 0.488652, 0.508171, 0.518946, 0.540496, 0.581254, 0.594006, 0.622655, 0.646757, 0.668207, 0.687933, 0.70673, 0.725337, 0.739277, 0.761904, 0.770493, 0.775927, 0.786722, 0.793024, 0.805627, 0.828083, 0.854485, 0.887902, 0.932844, 1.13259");
-            values ( \
-              "-0.00653865, -0.0259659, -0.0307194, -0.042522, -0.0508285, -0.0731954, -0.136909, -0.154698, -0.192487, -0.221093, -0.243299, -0.260431, -0.27071, -0.265264, -0.223541, -0.112997, -0.079903, -0.0632833, -0.0388883, -0.0290344, -0.0159114, -0.00520871, -0.00180635, -0.0007313, -0.000376523, -3.47366e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0762628");
-            index_3 ("0.42305, 0.481531, 0.50372, 0.52588, 0.550868, 0.579563, 0.651541, 0.693589, 0.729249, 0.761064, 0.790384, 0.818411, 0.846385, 0.849572, 0.859771, 0.895183, 0.909668, 0.925486, 0.939261, 0.956955, 0.980548, 1.01843, 1.06284, 1.18457");
-            values ( \
-              "-0.014225, -0.0436647, -0.0622333, -0.0844269, -0.115573, -0.163829, -0.293447, -0.359896, -0.411101, -0.45182, -0.482631, -0.49945, -0.475354, -0.465371, -0.424976, -0.236593, -0.172277, -0.117905, -0.0827889, -0.0515488, -0.0261603, -0.00771302, -0.00171206, -0.00013625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.210234");
-            index_3 ("0.480088, 0.546265, 0.558939, 0.756263, 0.822128, 0.89372, 0.936209, 0.974871, 1.01968, 1.06446, 1.14279, 1.18338, 1.21589, 1.26004, 1.28943, 1.34822, 1.39666");
-            values ( \
-              "-0.0936263, -0.135244, -0.154188, -0.518596, -0.630317, -0.741366, -0.798306, -0.838668, -0.851841, -0.754202, -0.389915, -0.248651, -0.168511, -0.0980313, -0.0663481, -0.0291738, -0.0160871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.579556");
-            index_3 ("0.543709, 0.632903, 0.76621, 0.862737, 1.00995, 1.09099, 1.13186, 1.19844, 1.22011, 1.2276, 1.24712, 1.29278, 1.35007, 1.37801, 1.42215, 1.52292, 1.56628, 1.62206, 1.66381, 1.70766, 1.7661, 1.819, 1.8699, 1.93648, 1.97444, 2.02337, 2.0886, 2.15518, 2.22176, 2.28834, 2.4215, 2.62125");
-            values ( \
-              "-0.300012, -0.303461, -0.568545, -0.754159, -1.02426, -1.16085, -1.22437, -1.31562, -1.33806, -1.33737, -1.32304, -1.28156, -1.20793, -1.15707, -1.05019, -0.75535, -0.638908, -0.508056, -0.42487, -0.350035, -0.268297, -0.209943, -0.165065, -0.119891, -0.0998656, -0.0787973, -0.0572086, -0.0412054, -0.0296233, -0.0212965, -0.0109796, -0.00404539" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.00655278, 0.00659002, 0.00664179, 0.00668307, 0.00670472, 0.00671386", \
-            "0.00898605, 0.00900424, 0.00904076, 0.00908476, 0.00911449, 0.00912853", \
-            "0.0107122, 0.010714, 0.0107221, 0.0107424, 0.0107656, 0.01078", \
-            "0.0117383, 0.0117281, 0.0117132, 0.011702, 0.0117021, 0.0117076", \
-            "0.012289, 0.0122765, 0.0122528, 0.0122208, 0.0121944, 0.0121822", \
-            "0.0125756, 0.0125616, 0.012534, 0.0124922, 0.0124468, 0.0124155" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.0109885, 0.0110382, 0.0111133, 0.0111808, 0.0112179, 0.0112338", \
-            "0.0132835, 0.0132232, 0.0131722, 0.0131528, 0.0131495, 0.0131505", \
-            "0.0149324, 0.0145689, 0.0142541, 0.014038, 0.0139076, 0.0138565", \
-            "0.0168295, 0.0158989, 0.0149878, 0.0144101, 0.0141275, 0.0139851", \
-            "0.019381, 0.0185386, 0.0162606, 0.0149937, 0.0143216, 0.014027", \
-            "0.0197313, 0.0197504, 0.0193702, 0.0162078, 0.0148656, 0.0142236" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "0.0206947, 0.0212508, 0.0219912, 0.0225561, 0.0228428, 0.0229448", \
-            "0.0203695, 0.0207224, 0.0214024, 0.0221649, 0.0226408, 0.0228633", \
-            "0.0206553, 0.0207212, 0.0210154, 0.0216696, 0.022241, 0.0227449", \
-            "0.0224846, 0.0221938, 0.0218301, 0.021594, 0.0220369, 0.0225337", \
-            "0.0277479, 0.0270157, 0.0256928, 0.0241934, 0.0231588, 0.022822", \
-            "0.0391107, 0.0374139, 0.0346413, 0.0311443, 0.0274723, 0.0247977" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0100353, 0.0276644, 0.0762628, 0.210234, 0.579556");
-          values ( \
-            "-0.0071213, -0.00659638, -0.00584286, -0.00526429, -0.00497767, -0.00486032", \
-            "-0.00762569, -0.00717645, -0.00637658, -0.00558503, -0.00512569, -0.00495248", \
-            "-0.00785968, -0.00764032, -0.00704951, -0.00611954, -0.0053781, -0.0050192", \
-            "-0.00722286, -0.00743166, -0.00731182, -0.00664253, -0.005728, -0.00517546", \
-            "-0.00291784, -0.00425283, -0.00558724, -0.00612544, -0.0058514, -0.00538343", \
-            "0.00781053, 0.00523131, 0.00110735, -0.0028175, -0.00460912, -0.00502439" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.015524;
-      rise_capacitance : 0.015524;
-      rise_capacitance_range (0.00991283, 0.015524);
-      fall_capacitance : 0.0153959;
-      fall_capacitance_range (0.00907758, 0.0153959);
-    }
-  }
-  cell (INVX4) {
-    area : 15.3846;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.55227;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.07559;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0289451;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.55227;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.758272;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.0273149, 0.0332531, 0.0474651, 0.0841609, 0.188159, 0.489717", \
-            "0.0320811, 0.0380457, 0.0523026, 0.0891504, 0.193297, 0.494938", \
-            "0.0412049, 0.049469, 0.0658383, 0.102909, 0.206989, 0.508689", \
-            "0.054769, 0.0665259, 0.0911825, 0.135888, 0.239909, 0.541416", \
-            "0.0795575, 0.094925, 0.129367, 0.196598, 0.315388, 0.615073", \
-            "0.127353, 0.147406, 0.193105, 0.288104, 0.464, 0.78252" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.012517, 0.0186979, 0.0363481, 0.0886933, 0.243295, 0.693454", \
-            "0.0138652, 0.0196114, 0.0367997, 0.0887834, 0.24329, 0.693454", \
-            "0.0218344, 0.0273586, 0.0412208, 0.0895431, 0.243318, 0.693433", \
-            "0.033582, 0.0424656, 0.0603838, 0.099768, 0.243682, 0.693432", \
-            "0.0534367, 0.0655883, 0.092743, 0.142853, 0.261252, 0.693422", \
-            "0.0924689, 0.107387, 0.143787, 0.218796, 0.350231, 0.714724" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.0201463, 0.0244207, 0.035008, 0.0628066, 0.141717, 0.370474", \
-            "0.0238846, 0.0285168, 0.0392655, 0.0672092, 0.146225, 0.375036", \
-            "0.0269102, 0.0338138, 0.0484908, 0.0780588, 0.157009, 0.386093", \
-            "0.0262318, 0.0362296, 0.0580038, 0.100193, 0.182571, 0.41144", \
-            "0.0165192, 0.0307389, 0.0620104, 0.124255, 0.236705, 0.468327", \
-            "-0.0152346, 0.0048156, 0.049071, 0.138025, 0.30437, 0.596844" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.00867307, 0.0128856, 0.0250165, 0.0610915, 0.167356, 0.477157", \
-            "0.0105623, 0.0141494, 0.0253759, 0.0611384, 0.16752, 0.477157", \
-            "0.0162325, 0.0212291, 0.031865, 0.0627559, 0.167516, 0.477157", \
-            "0.025867, 0.0331115, 0.048814, 0.0793617, 0.170135, 0.477156", \
-            "0.0438874, 0.0538032, 0.0758473, 0.119571, 0.203396, 0.478779", \
-            "0.0797609, 0.0929258, 0.122501, 0.183317, 0.298851, 0.535409" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0284221, 0.0302157, 0.0313225, 0.0333373, 0.0352084, 0.0370295, 0.0388484, 0.0406733, 0.0411583, 0.0428574, 0.0458684, 0.0473069, 0.0488453, 0.0510109, 0.0526164, 0.0554414, 0.0578048, 0.0601813, 0.0628646, 0.0669569, 0.0732085, 0.0886183");
-            values ( \
-              "0.0826401, 0.283333, 0.308961, 0.341721, 0.358239, 0.362618, 0.356655, 0.337071, 0.327698, 0.277132, 0.166763, 0.132502, 0.10572, 0.0785739, 0.0640963, 0.0450216, 0.0338581, 0.0257654, 0.0191011, 0.0124355, 0.00701322, 0.00207599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0105895");
-            index_3 ("0.0284171, 0.0336754, 0.0353028, 0.0366212, 0.0393822, 0.0420739, 0.0447668, 0.0476353, 0.0500887, 0.0547905, 0.0596495, 0.0650993, 0.0705482, 0.0739176, 0.0784102, 0.0819757, 0.0876243, 0.0951558, 0.102822");
-            values ( \
-              "0.116365, 0.609373, 0.655361, 0.675168, 0.707605, 0.708701, 0.693961, 0.64341, 0.560754, 0.358483, 0.226313, 0.137052, 0.0834697, 0.0619886, 0.0418535, 0.0310645, 0.0197739, 0.011059, 0.0069599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0308045");
-            index_3 ("0.0301747, 0.0351283, 0.0410593, 0.0434624, 0.0485802, 0.0536957, 0.0610849, 0.0666955, 0.0790048, 0.084908, 0.0953159, 0.106891, 0.116799, 0.124093, 0.137907, 0.156338");
-            values ( \
-              "0.230791, 0.889841, 1.04513, 1.07156, 1.09714, 1.07645, 0.99835, 0.882708, 0.542134, 0.416122, 0.255635, 0.145812, 0.08945, 0.0621451, 0.0314096, 0.0171069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0896088");
-            index_3 ("0.0323488, 0.0362319, 0.0404972, 0.0439301, 0.0468499, 0.0526895, 0.0587077, 0.0667441, 0.0705128, 0.0764619, 0.0827482, 0.0951295, 0.09909, 0.109809, 0.122196, 0.134172, 0.145472, 0.159581, 0.175193, 0.183404, 0.196639, 0.209525, 0.22084, 0.235926, 0.248818, 0.266179, 0.289327, 0.332749, 0.383454");
-            values ( \
-              "0.607653, 1.05597, 1.20502, 1.28065, 1.32438, 1.36946, 1.38044, 1.3635, 1.34918, 1.32053, 1.28648, 1.2068, 1.17699, 1.08008, 0.937431, 0.787796, 0.65617, 0.513958, 0.383534, 0.327127, 0.250505, 0.19129, 0.150277, 0.107978, 0.0810944, 0.0549474, 0.0321991, 0.0108823, 0.00289561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.260668");
-            index_3 ("0.0348492, 0.0468676, 0.0515643, 0.0581666, 0.0670264, 0.076767, 0.0871737, 0.119224, 0.163754, 0.209475, 0.238755, 0.271085, 0.307917, 0.351008, 0.370252, 0.40874, 0.430835, 0.455234, 0.487767, 0.509806, 0.54742, 0.590406, 0.623532, 0.674238, 0.741846, 0.824885, 0.907924, 0.990962");
-            values ( \
-              "1.34599, 1.42394, 1.48136, 1.52308, 1.53886, 1.533, 1.51674, 1.45062, 1.351, 1.23346, 1.13593, 1.00225, 0.835168, 0.649966, 0.57486, 0.441421, 0.376347, 0.313539, 0.243521, 0.204346, 0.15058, 0.105061, 0.0794663, 0.051526, 0.0283645, 0.0133715, 0.00628981, 0.00294076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.758272");
-            index_3 ("0.0422751, 0.0422951, 0.162588, 0.355194, 0.481587, 0.612953, 0.695992, 0.930712, 1.05057, 1.20344, 1.27358, 1.35662, 1.47161, 1.54644, 1.70132, 1.86748, 2.03356, 2.19964, 2.31905");
-            values ( \
-              "1e-22, 1.77238, 1.55716, 1.40819, 1.30118, 1.15762, 1.03924, 0.671878, 0.508428, 0.343098, 0.283437, 0.224538, 0.161266, 0.12946, 0.0815175, 0.049284, 0.0296269, 0.0177604, 0.0144154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0428281, 0.0445659, 0.0472756, 0.0534711, 0.0555876, 0.0576118, 0.0596355, 0.0610605, 0.0646204, 0.0669261, 0.0709722, 0.072933, 0.0773121, 0.0811082, 0.0854466, 0.0945663, 0.102038");
-            values ( \
-              "0.0789413, 0.102316, 0.172103, 0.296833, 0.320562, 0.327323, 0.316911, 0.291065, 0.171568, 0.120111, 0.0700532, 0.0549383, 0.0326261, 0.0213714, 0.0134965, 0.00594491, 0.00420732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0105895");
-            index_3 ("0.0441955, 0.0464594, 0.0547943, 0.0579022, 0.060809, 0.0636686, 0.0667825, 0.0738528, 0.0788728, 0.0840249, 0.0899043, 0.0959764, 0.100662, 0.111507, 0.116995");
-            values ( \
-              "0.177468, 0.245668, 0.577537, 0.643104, 0.669118, 0.66547, 0.624018, 0.355275, 0.222455, 0.139099, 0.0819194, 0.048231, 0.0324124, 0.0138984, 0.00985005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0308045");
-            index_3 ("0.0410872, 0.0613176, 0.0652631, 0.0672473, 0.0712158, 0.0749475, 0.077788, 0.0831652, 0.0981607, 0.106472, 0.116271, 0.125668, 0.138114, 0.145874, 0.158715, 0.175837, 0.177665");
-            values ( \
-              "0.0299113, 1.00524, 1.0534, 1.06387, 1.0648, 1.04504, 1.01849, 0.936761, 0.536802, 0.36838, 0.232271, 0.146976, 0.0793552, 0.0540289, 0.0285236, 0.0117313, 0.0113273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0896088");
-            index_3 ("0.0416236, 0.0654839, 0.0714692, 0.0775659, 0.0835079, 0.0894285, 0.101689, 0.114644, 0.127756, 0.134941, 0.156494, 0.165166, 0.182746, 0.196438, 0.203714, 0.217419, 0.229073, 0.238697, 0.250142, 0.266312, 0.283231, 0.296206, 0.322156, 0.369182, 0.424819");
-            values ( \
-              "0.0722009, 1.28013, 1.34741, 1.36856, 1.3638, 1.3454, 1.28552, 1.20237, 1.09116, 1.01189, 0.747121, 0.648453, 0.475992, 0.367244, 0.318568, 0.241332, 0.189026, 0.153973, 0.120048, 0.0838032, 0.0574401, 0.0428155, 0.0233525, 0.0070354, 0.00155521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.260668");
-            index_3 ("0.0508071, 0.0600022, 0.0671422, 0.076891, 0.0839105, 0.0901554, 0.098482, 0.112707, 0.141848, 0.182765, 0.22882, 0.257768, 0.288542, 0.370038, 0.427916, 0.474057, 0.528708, 0.566889, 0.610524, 0.642605, 0.691764, 0.75731, 0.840349, 0.853649");
-            values ( \
-              "0.820119, 1.22126, 1.40621, 1.50798, 1.53066, 1.53415, 1.52795, 1.50415, 1.44278, 1.35092, 1.23249, 1.13592, 1.0091, 0.649874, 0.440889, 0.313982, 0.204523, 0.150005, 0.104073, 0.0794159, 0.0521893, 0.0292886, 0.0138155, 0.0129527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.758272");
-            index_3 ("0.0625618, 0.0625818, 0.171492, 0.417682, 0.500721, 0.560014, 0.632087, 0.715126, 0.923166, 1.0697, 1.15274, 1.22257, 1.29271, 1.37575, 1.49074, 1.56557, 1.70659, 1.8866, 2.05268, 2.21876, 2.35057");
-            values ( \
-              "1e-22, 1.8193, 1.56495, 1.37308, 1.30122, 1.24265, 1.15759, 1.03928, 0.711745, 0.508388, 0.412389, 0.343143, 0.283397, 0.224583, 0.161312, 0.129418, 0.0849556, 0.0492416, 0.0295837, 0.0177166, 0.014024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0653748, 0.0704594, 0.0722332, 0.0769126, 0.0809438, 0.0863277, 0.0905866, 0.0943058, 0.097712, 0.100972, 0.104225, 0.109395, 0.112831, 0.113919, 0.118446, 0.123697, 0.12766, 0.132189, 0.13613, 0.142883, 0.149383");
-            values ( \
-              "0.0163193, 0.0247495, 0.0304562, 0.0613952, 0.101078, 0.141187, 0.166793, 0.18546, 0.198379, 0.204721, 0.196846, 0.10971, 0.0700465, 0.0669144, 0.0454821, 0.0282218, 0.0196649, 0.0130701, 0.00940423, 0.00550681, 0.00354534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0105895");
-            index_3 ("0.0675663, 0.0716212, 0.0740911, 0.0773405, 0.0848803, 0.0917592, 0.0971287, 0.101768, 0.105987, 0.11, 0.11283, 0.114104, 0.119131, 0.125176, 0.127729, 0.131439, 0.137103, 0.138163, 0.140193, 0.147714, 0.148961, 0.151455, 0.165862");
-            values ( \
-              "0.0434283, 0.0586786, 0.0770763, 0.114612, 0.231809, 0.32273, 0.387621, 0.435056, 0.468695, 0.481599, 0.461869, 0.445252, 0.308229, 0.185302, 0.150447, 0.109921, 0.0678866, 0.064794, 0.0523256, 0.0279119, 0.0278923, 0.0206236, 0.00646664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0308045");
-            index_3 ("0.0720228, 0.0736383, 0.0782074, 0.0834626, 0.102437, 0.114238, 0.116269, 0.118222, 0.122165, 0.126179, 0.128133, 0.131176, 0.141844, 0.147984, 0.15186, 0.156904, 0.16084, 0.165034, 0.172464, 0.176035, 0.183175, 0.188778, 0.195175, 0.203706, 0.220766, 0.242818, 0.270278");
-            values ( \
-              "0.104456, 0.115515, 0.179751, 0.279591, 0.674455, 0.889546, 0.921976, 0.940704, 0.950845, 0.925121, 0.898371, 0.838716, 0.558181, 0.428792, 0.359621, 0.284525, 0.236412, 0.19329, 0.134566, 0.112964, 0.0791635, 0.0599949, 0.0437578, 0.0285866, 0.0118151, 0.00359392, 0.000804047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0896088");
-            index_3 ("0.0757053, 0.0879484, 0.115129, 0.118032, 0.12093, 0.124442, 0.128455, 0.133825, 0.142599, 0.146244, 0.15252, 0.159249, 0.172457, 0.175282, 0.20881, 0.215524, 0.224475, 0.239706, 0.246565, 0.257258, 0.271515, 0.282675, 0.290495, 0.306136, 0.317823, 0.334999, 0.357899, 0.403022, 0.455652");
-            values ( \
-              "0.0971718, 0.430305, 1.14515, 1.21265, 1.25771, 1.2916, 1.31121, 1.31615, 1.29398, 1.2775, 1.24365, 1.20022, 1.08995, 1.05985, 0.658396, 0.587963, 0.501703, 0.376662, 0.329746, 0.266108, 0.197687, 0.155976, 0.131714, 0.0932188, 0.0718934, 0.048855, 0.0287612, 0.00921964, 0.00228535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.260668");
-            index_3 ("0.0762695, 0.117251, 0.123451, 0.128439, 0.130345, 0.134157, 0.141781, 0.151625, 0.161504, 0.193627, 0.235043, 0.263334, 0.29988, 0.307716, 0.33906, 0.398025, 0.447144, 0.484118, 0.504783, 0.541381, 0.57146, 0.595494, 0.625996, 0.668876, 0.714357, 0.749329, 0.819274, 0.902313, 0.985352, 1.06839");
-            values ( \
-              "0.0556526, 1.32173, 1.43244, 1.47675, 1.4904, 1.50291, 1.5169, 1.50838, 1.49525, 1.42844, 1.333, 1.26122, 1.146, 1.11592, 0.982805, 0.718712, 0.526396, 0.405809, 0.348723, 0.263615, 0.207701, 0.171085, 0.133057, 0.0927348, 0.0630968, 0.0467009, 0.0251239, 0.0118335, 0.00556547, 0.00260066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.758272");
-            index_3 ("0.0947074, 0.116024, 0.122105, 0.132377, 0.142979, 0.148147, 0.158484, 0.212987, 0.448455, 0.544393, 0.605997, 0.67653, 0.759569, 0.967604, 1.11414, 1.19718, 1.26701, 1.33715, 1.42019, 1.53517, 1.61, 1.75102, 1.848, 1.93104, 2.09711, 2.26319, 2.51231, 2.76142");
-            values ( \
-              "1.23348, 1.32917, 1.46497, 1.56649, 1.59922, 1.60386, 1.60452, 1.56726, 1.38432, 1.30189, 1.24098, 1.15759, 1.03925, 0.711722, 0.508413, 0.412366, 0.343119, 0.283422, 0.224559, 0.161288, 0.129445, 0.0849817, 0.0634582, 0.0492675, 0.0296092, 0.0177419, 0.00821354, 0.0037842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.105946, 0.122925, 0.128299, 0.132602, 0.137008, 0.142187, 0.144239, 0.148345, 0.152274, 0.157422, 0.161597, 0.168251, 0.174054, 0.179427, 0.184598, 0.189713, 0.194825, 0.199643, 0.202822, 0.205651, 0.20771, 0.211828, 0.215617, 0.217631, 0.22166, 0.229716, 0.237618, 0.244232, 0.249132, 0.250638, 0.252693, 0.254494, 0.258097, 0.270967, 0.272593");
-            values ( \
-              "0.00232841, 0.00427828, 0.00897527, 0.0118931, 0.0160994, 0.0232335, 0.0269111, 0.0374323, 0.0527417, 0.0750505, 0.0897089, 0.107447, 0.118287, 0.125249, 0.128705, 0.127746, 0.11289, 0.0708397, 0.0506564, 0.0391553, 0.0325069, 0.0224515, 0.0163707, 0.013906, 0.00993816, 0.00525363, 0.00301629, 0.00206691, 0.00159554, 0.00363263, 0.00487306, 0.0050825, 0.00491615, 0.00254781, 0.00235411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0105895");
-            index_3 ("0.121621, 0.13824, 0.147618, 0.168254, 0.17678, 0.184203, 0.191005, 0.197442, 0.203778, 0.210105, 0.214527, 0.221998, 0.229715, 0.238194, 0.248938, 0.254317, 0.280746");
-            values ( \
-              "0.0140904, 0.0398728, 0.0712304, 0.20408, 0.243318, 0.270539, 0.290053, 0.30196, 0.300673, 0.252551, 0.18666, 0.111266, 0.0647759, 0.0356486, 0.0167318, 0.0205745, 0.00641233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0308045");
-            index_3 ("0.130718, 0.14298, 0.148222, 0.155782, 0.16779, 0.183653, 0.195817, 0.206275, 0.215711, 0.224589, 0.233474, 0.248981, 0.265576, 0.27275, 0.282607, 0.293687, 0.307601, 0.322443, 0.327495");
-            values ( \
-              "0.0891082, 0.0917902, 0.120353, 0.175425, 0.287345, 0.413081, 0.498038, 0.563733, 0.611483, 0.637578, 0.605943, 0.369506, 0.202751, 0.14743, 0.0933075, 0.0546866, 0.0278201, 0.0131484, 0.0110795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0896088");
-            index_3 ("0.14056, 0.159901, 0.170195, 0.212388, 0.23058, 0.239575, 0.251219, 0.254063, 0.257045, 0.26002, 0.26597, 0.274439, 0.286581, 0.299539, 0.31222, 0.323734, 0.339087, 0.345914, 0.353524, 0.362704, 0.374663, 0.378045, 0.384809, 0.39613, 0.412204, 0.419268, 0.428761, 0.441419, 0.466735, 0.51349, 0.568778");
-            values ( \
-              "0.19951, 0.260701, 0.36378, 0.799526, 0.973434, 1.04847, 1.13417, 1.14926, 1.15503, 1.15299, 1.13164, 1.07038, 0.938903, 0.779251, 0.634645, 0.519294, 0.38987, 0.341811, 0.293917, 0.24376, 0.189762, 0.176638, 0.152858, 0.119472, 0.0835994, 0.0714435, 0.0577683, 0.04336, 0.0240423, 0.00729664, 0.0016463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.260668");
-            index_3 ("0.14151, 0.17443, 0.253048, 0.257789, 0.262294, 0.271305, 0.279807, 0.29264, 0.324163, 0.354943, 0.3829, 0.403526, 0.44361, 0.502849, 0.54941, 0.586055, 0.605097, 0.641246, 0.672116, 0.697641, 0.727793, 0.770405, 0.814868, 0.848947, 0.917106, 1.00014, 1.01777");
-            values ( \
-              "0.136881, 0.433536, 1.38335, 1.42397, 1.44413, 1.45718, 1.45096, 1.42976, 1.36113, 1.2865, 1.20718, 1.13607, 0.967784, 0.703579, 0.522452, 0.403652, 0.350979, 0.26632, 0.208534, 0.169707, 0.132371, 0.0924481, 0.0634467, 0.047342, 0.0258946, 0.0122098, 0.0111994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.758272");
-            index_3 ("0.164823, 0.203383, 0.248673, 0.258666, 0.263489, 0.273134, 0.279921, 0.286595, 0.299943, 0.320718, 0.549405, 0.6453, 0.707015, 0.777474, 0.860513, 1.06855, 1.14858, 1.21508, 1.29812, 1.36795, 1.43809, 1.52113, 1.55946, 1.63611, 1.71094, 1.79398, 1.85196, 1.94893, 2.03197, 2.11501, 2.19805, 2.36412, 2.61324, 2.86236");
-            values ( \
-              "0.608574, 0.80792, 1.42139, 1.53244, 1.55773, 1.58079, 1.58424, 1.58351, 1.57688, 1.56204, 1.38431, 1.30192, 1.2409, 1.15759, 1.03925, 0.711726, 0.595767, 0.508412, 0.41237, 0.343124, 0.283421, 0.224564, 0.201328, 0.161293, 0.129443, 0.101121, 0.0849802, 0.0634637, 0.0492658, 0.0382291, 0.0296069, 0.0177391, 0.00821721, 0.00378095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.239595, 0.267291, 0.273333, 0.29142, 0.300472, 0.308217, 0.32576, 0.336774, 0.346144, 0.354691, 0.362874, 0.370915, 0.37895, 0.395554, 0.406595, 0.419595, 0.431195, 0.440788, 0.459973, 0.510064");
-            values ( \
-              "0.00415461, 0.0053137, 0.0064638, 0.0118915, 0.0171652, 0.0251953, 0.0529598, 0.0660737, 0.0739626, 0.0789969, 0.0815536, 0.0816077, 0.0764673, 0.0267013, 0.0129457, 0.00564927, 0.0028768, 0.00166087, 0.000607033, 0.000321984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0105895");
-            index_3 ("0.238631, 0.270571, 0.284633, 0.295628, 0.301259, 0.311552, 0.334527, 0.348016, 0.359537, 0.370046, 0.380091, 0.389935, 0.39977, 0.414143, 0.423421, 0.435928, 0.445535, 0.457399, 0.467109, 0.486528, 0.55022, 0.55577, 0.564381, 0.566226");
-            values ( \
-              "0.0084012, 0.0154853, 0.0231322, 0.0328647, 0.0398723, 0.0595829, 0.126736, 0.156033, 0.175232, 0.186649, 0.193768, 0.193995, 0.180184, 0.0938839, 0.0567813, 0.0289072, 0.0167738, 0.00880402, 0.00518677, 0.00181547, 0.000216476, 0.00337092, 0.00464918, 0.00443655" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0308045");
-            index_3 ("0.23895, 0.278132, 0.295459, 0.305797, 0.324429, 0.336678, 0.354192, 0.373187, 0.389573, 0.404512, 0.418585, 0.432332, 0.446058, 0.466084, 0.477691, 0.488122, 0.503344, 0.512146, 0.525404, 0.535856, 0.550206, 0.559221, 0.569367, 0.58093");
-            values ( \
-              "0.0163249, 0.0403193, 0.0640675, 0.0848733, 0.145264, 0.198476, 0.265215, 0.319356, 0.358121, 0.384333, 0.403209, 0.404978, 0.355176, 0.200822, 0.137155, 0.0942705, 0.0522012, 0.0362849, 0.020973, 0.0135434, 0.00719714, 0.0116628, 0.0124494, 0.00927795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0896088");
-            index_3 ("0.239163, 0.29494, 0.305255, 0.321259, 0.340139, 0.357082, 0.383752, 0.424429, 0.449282, 0.471705, 0.492699, 0.51371, 0.537786, 0.550147, 0.585325, 0.600649, 0.612719, 0.621363, 0.638653, 0.645278, 0.660311, 0.677493, 0.711855, 0.730764");
-            values ( \
-              "0.0144588, 0.101297, 0.12746, 0.179254, 0.261956, 0.343257, 0.454555, 0.606982, 0.68978, 0.751269, 0.785209, 0.746696, 0.579376, 0.485764, 0.271565, 0.198515, 0.15384, 0.127632, 0.0870016, 0.0750873, 0.0536298, 0.0362182, 0.0158898, 0.0114864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.260668");
-            index_3 ("0.275275, 0.325197, 0.349984, 0.470897, 0.515901, 0.554293, 0.562408, 0.574967, 0.590617, 0.606557, 0.629674, 0.66963, 0.729074, 0.774736, 0.825256, 0.844203, 0.86789, 0.898462, 0.923419, 0.953704, 0.996417, 1.04126, 1.07568, 1.14451, 1.22755, 1.31058, 1.39362");
-            values ( \
-              "0.143838, 0.232221, 0.341623, 0.938472, 1.14754, 1.29962, 1.30927, 1.29683, 1.26046, 1.21433, 1.13661, 0.967903, 0.70288, 0.525022, 0.366581, 0.31805, 0.265649, 0.207873, 0.169889, 0.13298, 0.0922166, 0.062981, 0.04744, 0.025267, 0.0124048, 0.00533255, 0.00299264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.758272");
-            index_3 ("0.275637, 0.372654, 0.550598, 0.564472, 0.582091, 0.691334, 0.837326, 0.973838, 1.06002, 1.32055, 1.44075, 1.52378, 1.59148, 1.66216, 1.7452, 1.86275, 1.93698, 2.07722, 2.25538, 2.42146, 2.58754, 2.6982");
-            values ( \
-              "0.0923549, 0.470612, 1.47154, 1.51105, 1.53124, 1.45024, 1.33139, 1.19354, 1.07742, 0.671283, 0.507508, 0.411618, 0.344439, 0.284102, 0.225125, 0.160492, 0.129021, 0.0849008, 0.0495016, 0.0297526, 0.0178299, 0.0147154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.485054, 0.560569, 0.58438, 0.608192, 0.630929, 0.65105, 0.660382, 0.684816, 0.704194, 0.720458, 0.735278, 0.749367, 0.763207, 0.777037, 0.781235, 0.800044, 0.811865, 0.819459, 0.829585, 0.839558, 0.854163, 0.865659, 0.888651, 0.923307, 1.02287, 1.21586, 1.23422, 1.25993");
-            values ( \
-              "0.00117479, 0.00229729, 0.003184, 0.00466349, 0.00723933, 0.0119066, 0.0161355, 0.029579, 0.0380826, 0.0426, 0.0458303, 0.0471742, 0.0476287, 0.0452897, 0.0429318, 0.0201283, 0.0118057, 0.00874724, 0.00594861, 0.00414556, 0.00246501, 0.00162323, 0.000690274, 0.000206543, 4.51743e-05, 1.37016e-05, 0.000529403, 0.000236895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0105895");
-            index_3 ("0.484823, 0.564136, 0.586189, 0.608281, 0.620574, 0.645161, 0.661254, 0.672629, 0.687795, 0.71023, 0.719116, 0.738165, 0.755428, 0.771723, 0.787644, 0.803551, 0.807365, 0.811433, 0.828076, 0.833531, 0.8433, 0.84817, 0.857912, 0.866733, 0.871698, 0.881627, 0.901485, 0.929934, 0.964526, 1.00862, 1.21595, 1.24034, 1.27478");
-            values ( \
-              "0.00307135, 0.00654658, 0.00891258, 0.0122865, 0.0151197, 0.0238142, 0.0354606, 0.0471979, 0.0644692, 0.0865583, 0.0936261, 0.106544, 0.114473, 0.119379, 0.120394, 0.115599, 0.110597, 0.103264, 0.0588866, 0.0475553, 0.0328179, 0.0272513, 0.0186964, 0.0133095, 0.01097, 0.00739634, 0.00321956, 0.000974195, 0.000341746, 0.00015758, 6.62679e-05, 0.00124643, 0.000491319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0308045");
-            index_3 ("0.484497, 0.572888, 0.605003, 0.615287, 0.633019, 0.647313, 0.670314, 0.722516, 0.752688, 0.778168, 0.801292, 0.823154, 0.844505, 0.865836, 0.898871, 0.91935, 0.937079, 0.947366, 0.962913, 0.983642, 1.01717, 1.05682, 1.10666, 1.2161, 1.23862, 1.26758");
-            values ( \
-              "0.00745872, 0.0176493, 0.0275162, 0.0317382, 0.0409742, 0.0510288, 0.0755725, 0.163275, 0.204433, 0.231376, 0.248228, 0.25883, 0.261288, 0.246695, 0.132423, 0.0777666, 0.0464703, 0.0338177, 0.0204968, 0.00998548, 0.0027031, 0.000700949, 0.000262464, 0.000127057, 0.00297632, 0.00236398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0896088");
-            index_3 ("0.483828, 0.594952, 0.634746, 0.654495, 0.701691, 0.776737, 0.821942, 0.860818, 0.896109, 0.929209, 0.96145, 0.993633, 1.03826, 1.07877, 1.10657, 1.12677, 1.16704, 1.20241, 1.21589, 1.24573, 1.25073");
-            values ( \
-              "0.00983544, 0.0482579, 0.0764884, 0.0963781, 0.170944, 0.322611, 0.391371, 0.439364, 0.474856, 0.498966, 0.503701, 0.444114, 0.273172, 0.150606, 0.0936061, 0.0642034, 0.0291725, 0.0136005, 0.010297, 0.0126597, 0.011977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.260668");
-            index_3 ("0.545664, 0.639765, 0.65918, 0.718731, 0.825544, 0.956369, 1.01721, 1.07179, 1.12312, 1.17446, 1.22779, 1.32517, 1.3979, 1.43887, 1.46624, 1.51873, 1.60575, 1.68743");
-            values ( \
-              "0.07849, 0.121043, 0.145265, 0.246122, 0.476008, 0.721595, 0.82284, 0.896518, 0.932129, 0.873591, 0.712067, 0.375448, 0.214098, 0.15369, 0.122529, 0.0786994, 0.0370298, 0.0178287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.758272");
-            index_3 ("0.608331, 0.716548, 0.766905, 0.822598, 1.07813, 1.12988, 1.216, 1.23417, 1.25802, 1.34324, 1.39079, 1.47383, 1.50254, 1.55997, 1.77994, 1.87465, 1.94072, 2.02376, 2.09145, 2.16214, 2.24517, 2.28436, 2.36273, 2.43696, 2.52, 2.57719, 2.61796, 2.67231, 2.75535, 2.83839, 2.92143, 3.08751, 3.17055, 3.33662, 3.58574");
-            values ( \
-              "0.231864, 0.272849, 0.377806, 0.50413, 1.10172, 1.21789, 1.3935, 1.40316, 1.39835, 1.3262, 1.28257, 1.19354, 1.15729, 1.07748, 0.732124, 0.594227, 0.507486, 0.411639, 0.344463, 0.284081, 0.225148, 0.201316, 0.160513, 0.128999, 0.100809, 0.0848793, 0.0750998, 0.0637742, 0.0494811, 0.0384234, 0.0297319, 0.0178091, 0.0138173, 0.00826933, 0.0037865" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.00737255, 0.00747133, 0.00759634, 0.00768562, 0.00772798, 0.00774444", \
-            "0.0115433, 0.0116356, 0.0118118, 0.0119922, 0.0120967, 0.0121409", \
-            "0.015836, 0.0158333, 0.0158901, 0.0160443, 0.0161862, 0.0162586", \
-            "0.0190309, 0.0189147, 0.018759, 0.0186416, 0.0186468, 0.0186823", \
-            "0.0210579, 0.0208326, 0.0205435, 0.0202001, 0.0199458, 0.0198214", \
-            "0.0224598, 0.0220366, 0.0215209, 0.021113, 0.0207525, 0.0204424" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.0142811, 0.0143415, 0.0145285, 0.0147315, 0.0148455, 0.0148924", \
-            "0.0201978, 0.0198562, 0.0194996, 0.0193781, 0.019367, 0.0193696", \
-            "0.0239452, 0.0226973, 0.021405, 0.0204471, 0.0198682, 0.0196274", \
-            "0.0244665, 0.024374, 0.0219175, 0.0196595, 0.0185065, 0.0180084", \
-            "0.0222408, 0.0226137, 0.0230602, 0.0206912, 0.018258, 0.0172722", \
-            "0.0203578, 0.021064, 0.0219218, 0.0225587, 0.0195814, 0.0174837" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0228406, 0.0245734, 0.026724, 0.0286206, 0.0303167, 0.0316584, 0.0329997, 0.0344229, 0.0388632, 0.0405026, 0.0425095, 0.0440018, 0.046007, 0.0504378, 0.054685");
-            values ( \
-              "-0.151863, -0.231327, -0.397891, -0.453741, -0.483189, -0.494269, -0.484489, -0.432641, -0.168346, -0.106366, -0.0602686, -0.0396176, -0.0234012, -0.0080271, -0.00606867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0105895");
-            index_3 ("0.0236407, 0.0248942, 0.0274528, 0.028421, 0.0298173, 0.0319488, 0.033979, 0.035972, 0.0379638, 0.0401514, 0.0466318, 0.0498549, 0.0518436, 0.0549089, 0.0583135, 0.0622045, 0.0638427");
-            values ( \
-              "-0.145488, -0.464285, -0.737405, -0.801617, -0.863554, -0.924965, -0.954571, -0.960285, -0.928557, -0.832907, -0.346086, -0.193015, -0.13309, -0.0743769, -0.0392075, -0.0186634, -0.0165102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0308045");
-            index_3 ("0.0247683, 0.0267968, 0.0284573, 0.0298409, 0.0324616, 0.0349425, 0.0388489, 0.0426858, 0.0460227, 0.0475379, 0.0495581, 0.0524141, 0.0548103, 0.0585032, 0.0634269, 0.0678493, 0.0713446, 0.0742835, 0.0774663, 0.08171, 0.0839932, 0.0901204, 0.0949028, 0.103675, 0.115454");
-            values ( \
-              "-0.194378, -0.901216, -1.10335, -1.21327, -1.32949, -1.39296, -1.44193, -1.45105, -1.4323, -1.41329, -1.37736, -1.30169, -1.21329, -1.01828, -0.722227, -0.504635, -0.373586, -0.286205, -0.213471, -0.143149, -0.114642, -0.0639395, -0.0394967, -0.0163483, -0.00407052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0896088");
-            index_3 ("0.0261584, 0.0292357, 0.0319204, 0.0356818, 0.0374452, 0.0406275, 0.0437194, 0.0466644, 0.0512135, 0.0557287, 0.0628771, 0.0690112, 0.074352, 0.0838523, 0.0892453, 0.0948951, 0.105871, 0.115448, 0.126868, 0.131654, 0.137124, 0.14426, 0.150494, 0.15706, 0.166437, 0.170529, 0.178715, 0.195086, 0.221305, 0.252497");
-            values ( \
-              "-0.749405, -1.35008, -1.54568, -1.66523, -1.70096, -1.74518, -1.76819, -1.77922, -1.78149, -1.77362, -1.74779, -1.71618, -1.6813, -1.59246, -1.52106, -1.42076, -1.13283, -0.863584, -0.598475, -0.508772, -0.42026, -0.325216, -0.258934, -0.202749, -0.142016, -0.121536, -0.088712, -0.0462005, -0.0150447, -0.00367232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.260668");
-            index_3 ("0.0286325, 0.0490546, 0.0596941, 0.102066, 0.140954, 0.153225, 0.179428, 0.193255, 0.208004, 0.219257, 0.273352, 0.29248, 0.327676, 0.361465, 0.380132, 0.406479, 0.434432, 0.455928, 0.498921, 0.564787, 0.630653");
-            values ( \
-              "-1.86461, -1.93767, -1.94753, -1.88714, -1.80758, -1.77568, -1.68591, -1.61883, -1.52074, -1.41999, -0.83775, -0.669038, -0.431446, -0.27701, -0.215477, -0.150131, -0.102217, -0.075751, -0.0408675, -0.0150637, -0.00554353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.758272");
-            index_3 ("0.0269245, 0.0322004, 0.038135, 0.0466228, 0.0513665, 0.0631138, 0.120147, 0.231612, 0.331807, 0.381855, 0.447721, 0.482246, 0.52828, 0.571368, 0.719703, 0.781598, 0.83681, 0.878571, 0.932557, 0.977567, 1.03061, 1.09648, 1.15765, 1.2607, 1.32657, 1.4583, 1.59003, 1.78763");
-            values ( \
-              "-1.23462, -1.70563, -1.8919, -1.98674, -2.00613, -2.01895, -1.99316, -1.92741, -1.85545, -1.81067, -1.73408, -1.67997, -1.58026, -1.44462, -0.869889, -0.674304, -0.531435, -0.441463, -0.345075, -0.280226, -0.218425, -0.159346, -0.11892, -0.0720777, -0.0521072, -0.027217, -0.0141735, -0.00539094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0354979, 0.0372244, 0.0383228, 0.0437321, 0.049344, 0.0511881, 0.0524931, 0.053755, 0.0576294, 0.0594254, 0.0617998, 0.0637866, 0.0680466, 0.0721634, 0.0723359");
-            values ( \
-              "-0.0802919, -0.0894803, -0.104639, -0.288383, -0.406363, -0.422241, -0.400805, -0.349263, -0.143455, -0.0876971, -0.0455926, -0.0270623, -0.0096373, -0.00408025, -0.00402832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0105895");
-            index_3 ("0.0373213, 0.0390452, 0.0405709, 0.0434184, 0.0467544, 0.0524752, 0.0537429, 0.0545024, 0.0552969, 0.0560896, 0.0583127, 0.0594595, 0.0648623, 0.0671518, 0.0686205, 0.0705461, 0.0734796, 0.0775303, 0.0818511, 0.082848");
-            values ( \
-              "-0.204523, -0.239317, -0.295165, -0.4915, -0.646626, -0.876962, -0.903902, -0.910146, -0.908646, -0.896832, -0.817465, -0.749918, -0.343707, -0.228684, -0.174555, -0.121804, -0.0698784, -0.0328529, -0.0144999, -0.0128803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0308045");
-            index_3 ("0.038493, 0.0420855, 0.0467227, 0.0521979, 0.0555414, 0.0569227, 0.0608372, 0.0647165, 0.0686197, 0.072041, 0.0750477, 0.0860488, 0.0923866, 0.0967348, 0.103155, 0.107262, 0.112738, 0.1164");
-            values ( \
-              "-0.0815417, -0.53684, -0.903023, -1.24502, -1.37101, -1.39784, -1.43076, -1.41674, -1.35446, -1.25108, -1.11423, -0.505644, -0.289247, -0.19375, -0.105233, -0.070877, -0.0412567, -0.0316058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0896088");
-            index_3 ("0.0401251, 0.0458705, 0.0531124, 0.0555172, 0.0577893, 0.0610807, 0.0649128, 0.0695141, 0.0740228, 0.0803761, 0.0878405, 0.0926461, 0.102157, 0.107404, 0.11319, 0.124159, 0.133772, 0.145175, 0.155273, 0.162516, 0.16886, 0.1754, 0.184756, 0.188824, 0.196958, 0.213226, 0.239344, 0.270387");
-            values ( \
-              "-0.167703, -0.956564, -1.52749, -1.63019, -1.69008, -1.74023, -1.76716, -1.77583, -1.7704, -1.75012, -1.71276, -1.68081, -1.59253, -1.52308, -1.42075, -1.13307, -0.862838, -0.598242, -0.42244, -0.325667, -0.258249, -0.2024, -0.141884, -0.121539, -0.0888959, -0.0464987, -0.0152259, -0.00374859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.260668");
-            index_3 ("0.0411894, 0.0512432, 0.0530812, 0.0566391, 0.0630536, 0.071767, 0.0775143, 0.084371, 0.0932581, 0.0959646, 0.101378, 0.110882, 0.120584, 0.139988, 0.14578, 0.157365, 0.197905, 0.21228, 0.228165, 0.258238, 0.285228, 0.30828, 0.316416, 0.328354, 0.341997, 0.363618, 0.38287, 0.408541, 0.428279, 0.450238, 0.479517, 0.538076, 0.603942, 0.669808");
-            values ( \
-              "-0.385137, -1.48301, -1.62805, -1.78456, -1.90098, -1.94414, -1.94215, -1.94495, -1.92782, -1.93037, -1.91572, -1.90684, -1.88373, -1.8537, -1.83519, -1.8159, -1.6893, -1.61597, -1.50751, -1.19836, -0.905472, -0.693242, -0.628456, -0.542545, -0.456651, -0.34479, -0.267242, -0.188584, -0.143929, -0.106375, -0.0705159, -0.0296781, -0.0108662, -0.00405008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.758272");
-            index_3 ("0.0428721, 0.0526134, 0.0582143, 0.0613367, 0.069497, 0.0747041, 0.083638, 0.104932, 0.184513, 0.28035, 0.350217, 0.40062, 0.466486, 0.501057, 0.547151, 0.58997, 0.692011, 0.739129, 0.800641, 0.854797, 0.896837, 0.951131, 0.996746, 1.04965, 1.11551, 1.17631, 1.21323, 1.2791, 1.34497, 1.4767, 1.60843, 1.80603");
-            values ( \
-              "-0.794721, -1.61412, -1.86825, -1.92886, -1.99676, -2.0112, -2.0179, -2.01123, -1.96747, -1.90753, -1.85573, -1.8107, -1.73408, -1.6799, -1.58004, -1.44528, -1.04058, -0.867681, -0.673548, -0.533315, -0.442471, -0.345416, -0.27972, -0.218143, -0.159166, -0.118973, -0.0994862, -0.0721965, -0.0522209, -0.0272841, -0.014216, -0.00538557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0596864, 0.0630291, 0.0666001, 0.0730979, 0.0772143, 0.0833167, 0.0857674, 0.0881525, 0.0907091, 0.0973472, 0.102531, 0.106538, 0.106935");
-            values ( \
-              "-0.0375082, -0.0442777, -0.0673456, -0.166416, -0.208729, -0.259971, -0.274207, -0.276568, -0.235021, -0.0652988, -0.0195162, -0.00867249, -0.00831009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0105895");
-            index_3 ("0.0611067, 0.0671527, 0.0690677, 0.0786194, 0.0867024, 0.0901135, 0.0933043, 0.0964391, 0.0998548, 0.106029, 0.10903, 0.112614, 0.119126, 0.122895, 0.126748");
-            values ( \
-              "-0.0947152, -0.145489, -0.177031, -0.404168, -0.535883, -0.581929, -0.611872, -0.606933, -0.511159, -0.231338, -0.142243, -0.0770043, -0.0359116, -0.0204821, -0.012032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0308045");
-            index_3 ("0.0646901, 0.0699636, 0.0723314, 0.0746992, 0.0774093, 0.0801194, 0.0819324, 0.0837453, 0.0855583, 0.0873712, 0.0880393, 0.090712, 0.0920483, 0.0943912, 0.0999398, 0.101666, 0.102496, 0.103327, 0.104157, 0.104987, 0.106184, 0.107381, 0.108578, 0.109775, 0.110534, 0.111293, 0.11367, 0.11411, 0.114549, 0.115196, 0.115843, 0.116489, 0.117136, 0.117783, 0.118429, 0.122853, 0.124647, 0.12644, 0.128441, 0.129489, 0.130537, 0.131584, 0.133083, 0.135019, 0.135893, 0.137205, 0.138955, 0.140704, 0.143011, 0.145168");
-            values ( \
-              "-0.123184, -0.297812, -0.364321, -0.433934, -0.517422, -0.604976, -0.654558, -0.700317, -0.742252, -0.780364, -0.79982, -0.863213, -0.893748, -0.944572, -1.05702, -1.09378, -1.10931, -1.12345, -1.13618, -1.14752, -1.15749, -1.16468, -1.1691, -1.17074, -1.17035, -1.16883, -1.14687, -1.14053, -1.13263, -1.11402, -1.09274, -1.0682, -1.0414, -1.01232, -0.980986, -0.73915, -0.648338, -0.563327, -0.476941, -0.43433, -0.398132, -0.364146, -0.320474, -0.267524, -0.245627, -0.216682, -0.185362, -0.157688, -0.127361, -0.101546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0896088");
-            index_3 ("0.0681082, 0.0765179, 0.0835916, 0.0921306, 0.0980892, 0.105027, 0.115432, 0.117055, 0.120301, 0.124327, 0.128201, 0.132627, 0.137828, 0.144252, 0.154228, 0.159514, 0.172342, 0.181391, 0.18624, 0.195773, 0.203784, 0.211392, 0.221537, 0.227763, 0.23482, 0.244229, 0.263046, 0.290884, 0.32465");
-            values ( \
-              "-0.20939, -0.558626, -0.812961, -1.07487, -1.24126, -1.42328, -1.67203, -1.69298, -1.71385, -1.71726, -1.70723, -1.68641, -1.64973, -1.58627, -1.43516, -1.31312, -0.947675, -0.716728, -0.611235, -0.440838, -0.330744, -0.250349, -0.170819, -0.134858, -0.103045, -0.0715882, -0.0334269, -0.00994802, -0.00205495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.260668");
-            index_3 ("0.0677228, 0.0853607, 0.0865842, 0.0890312, 0.0930603, 0.0977965, 0.10711, 0.112426, 0.113142, 0.114097, 0.116006, 0.118569, 0.122504, 0.127208, 0.132314, 0.137383, 0.14645, 0.157923, 0.161982, 0.170099, 0.187196, 0.212502, 0.217155, 0.222473, 0.231178, 0.240287, 0.254098, 0.269568, 0.299665, 0.304304, 0.315072, 0.326558, 0.34953, 0.357792, 0.36299, 0.369922, 0.383784, 0.405127, 0.411447, 0.424087, 0.449368, 0.469502, 0.476946, 0.491832, 0.521606, 0.581152, 0.647018, 0.712884");
-            values ( \
-              "-0.148754, -0.91569, -0.963673, -1.05146, -1.18558, -1.33438, -1.60934, -1.75715, -1.78473, -1.81327, -1.85315, -1.88601, -1.91213, -1.92412, -1.92626, -1.92321, -1.91221, -1.89398, -1.8869, -1.87228, -1.83852, -1.77789, -1.76413, -1.74758, -1.7177, -1.68151, -1.61365, -1.50755, -1.19822, -1.14496, -1.02618, -0.906241, -0.694519, -0.628707, -0.589974, -0.541447, -0.454441, -0.344332, -0.316872, -0.267926, -0.19012, -0.144299, -0.130283, -0.106102, -0.0698438, -0.0289001, -0.0105851, -0.00394009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.758272");
-            index_3 ("0.0859858, 0.112332, 0.117561, 0.123409, 0.128392, 0.134651, 0.142768, 0.292021, 0.392215, 0.442264, 0.50813, 0.542656, 0.58869, 0.631777, 0.780115, 0.842008, 0.897216, 0.938978, 0.992965, 1.03798, 1.09102, 1.15689, 1.21806, 1.32111, 1.38698, 1.51871, 1.65044, 1.84804");
-            values ( \
-              "-1.74142, -1.81644, -1.93805, -1.98723, -2.00336, -2.01043, -2.01126, -1.9274, -1.85544, -1.81068, -1.73407, -1.67995, -1.58027, -1.44464, -0.869901, -0.674282, -0.531465, -0.441443, -0.345099, -0.280248, -0.218401, -0.159369, -0.118897, -0.0720542, -0.0521317, -0.0272413, -0.0141977, -0.00536676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.102941, 0.119597, 0.124476, 0.12777, 0.135652, 0.141758, 0.150654, 0.154583, 0.158356, 0.162124, 0.169457, 0.172805, 0.177281, 0.180485, 0.183009, 0.188057, 0.195747, 0.248891, 0.253395, 0.264922");
-            values ( \
-              "-0.00171493, -0.0288346, -0.0418749, -0.0579264, -0.10582, -0.131692, -0.161826, -0.171593, -0.176387, -0.166161, -0.0688831, -0.0386533, -0.0169859, -0.00976689, -0.00644513, -0.00301624, -0.00134267, -8.67026e-05, -0.00185941, -0.000437595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0105895");
-            index_3 ("0.108546, 0.117714, 0.123262, 0.125582, 0.127512, 0.131372, 0.137182, 0.142472, 0.149434, 0.155403, 0.1608, 0.165817, 0.170647, 0.175468, 0.184643, 0.189158, 0.192121, 0.194531, 0.198922, 0.204777, 0.212901, 0.223004, 0.249033, 0.253071, 0.254205");
-            values ( \
-              "-0.034605, -0.0560918, -0.0789464, -0.0914717, -0.104468, -0.139949, -0.203519, -0.248787, -0.300006, -0.338274, -0.368179, -0.390619, -0.400458, -0.371084, -0.16681, -0.0937793, -0.0621183, -0.0441234, -0.0236211, -0.0101774, -0.00357594, -0.001551, -0.000421008, -0.0043706, -0.00441352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0308045");
-            index_3 ("0.11137, 0.123943, 0.130075, 0.133311, 0.149429, 0.166122, 0.174874, 0.182782, 0.190136, 0.197271, 0.20477, 0.216653, 0.2231, 0.228442, 0.233539, 0.240586, 0.249234, 0.254542");
-            values ( \
-              "-0.0783902, -0.141811, -0.188125, -0.221273, -0.42929, -0.595592, -0.671027, -0.731465, -0.774509, -0.783769, -0.689057, -0.363611, -0.227392, -0.148702, -0.0966366, -0.052502, -0.0241033, -0.0243482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0896088");
-            index_3 ("0.119639, 0.124799, 0.132889, 0.135144, 0.139653, 0.147749, 0.161892, 0.176026, 0.182297, 0.194839, 0.198421, 0.212345, 0.224963, 0.236798, 0.248584, 0.250476, 0.252173, 0.253776, 0.256982, 0.268798, 0.277681, 0.28284, 0.28558, 0.29106, 0.298184, 0.304402, 0.310971, 0.320349, 0.324444, 0.332634, 0.349013, 0.362096");
-            values ( \
-              "-0.167164, -0.205569, -0.277846, -0.304103, -0.357354, -0.469771, -0.671851, -0.83533, -0.914115, -1.04767, -1.08953, -1.22784, -1.33222, -1.39318, -1.34742, -1.32965, -1.30513, -1.27405, -1.19786, -0.876403, -0.662906, -0.558294, -0.508518, -0.419955, -0.325167, -0.259063, -0.202825, -0.142068, -0.121569, -0.0887234, -0.0461889, -0.0306051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.260668");
-            index_3 ("0.124377, 0.17085, 0.194293, 0.228585, 0.248792, 0.252034, 0.255706, 0.259618, 0.267444, 0.274171, 0.293693, 0.306949, 0.333135, 0.339707, 0.347217, 0.363357, 0.393423, 0.408962, 0.420545, 0.44371, 0.451669, 0.463334, 0.476666, 0.49868, 0.505236, 0.518348, 0.544573, 0.563744, 0.58517, 0.613737, 0.670871, 0.736737, 0.802602");
-            values ( \
-              "-0.163101, -0.843693, -1.15554, -1.57786, -1.80811, -1.83652, -1.85731, -1.86362, -1.86077, -1.85056, -1.80994, -1.77567, -1.68599, -1.65631, -1.61751, -1.50765, -1.19867, -1.02527, -0.904415, -0.691404, -0.628133, -0.544092, -0.459829, -0.345428, -0.316896, -0.266257, -0.18644, -0.14339, -0.106761, -0.0715183, -0.0308147, -0.0113011, -0.0041957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.758272");
-            index_3 ("0.124142, 0.1879, 0.250635, 0.254789, 0.261649, 0.267497, 0.302001, 0.354203, 0.473442, 0.535702, 0.601567, 0.636037, 0.681997, 0.725417, 0.872732, 0.952953, 1.03304, 1.10139, 1.18412, 1.24998, 1.31163, 1.41501, 1.48087, 1.54674, 1.67847, 1.94193");
-            values ( \
-              "-0.149105, -1.11095, -1.94309, -1.97201, -1.98975, -1.99153, -1.97797, -1.94734, -1.86568, -1.81115, -1.73354, -1.67949, -1.58111, -1.44439, -0.873246, -0.625254, -0.439546, -0.321534, -0.218116, -0.160235, -0.118187, -0.0712594, -0.0526382, -0.0370296, -0.0190407, -0.00472286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.212923, 0.229629, 0.237496, 0.245517, 0.253142, 0.263647, 0.272732, 0.27786, 0.28443, 0.291593, 0.298263, 0.304683, 0.311094, 0.315929, 0.322326, 0.327934, 0.333893, 0.33781, 0.342341, 0.345515, 0.351862, 0.364558, 0.382532, 0.407266, 0.48974, 0.550154, 0.56662");
-            values ( \
-              "-0.0108333, -0.0111137, -0.0148819, -0.0210777, -0.0322164, -0.0561489, -0.0720549, -0.0794978, -0.0878398, -0.0953612, -0.100853, -0.103694, -0.100159, -0.0812072, -0.0444568, -0.0232043, -0.0115879, -0.00773775, -0.00525438, -0.00415012, -0.00277005, -0.00143562, -0.000662688, -0.000244936, -3.52572e-05, -3.59071e-05, -0.000475805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0105895");
-            index_3 ("0.213066, 0.228096, 0.236929, 0.244672, 0.256673, 0.259668, 0.27519, 0.287226, 0.295312, 0.305807, 0.313967, 0.321764, 0.329552, 0.335385, 0.345906, 0.353209, 0.358591, 0.36266, 0.370798, 0.382215, 0.396347, 0.442809, 0.550151, 0.566617");
-            values ( \
-              "-0.0252634, -0.0254984, -0.0342672, -0.0452224, -0.0735991, -0.0876805, -0.147136, -0.182262, -0.203172, -0.22616, -0.240843, -0.248966, -0.241233, -0.196926, -0.0900175, -0.0440478, -0.0256168, -0.0172131, -0.0076915, -0.00309451, -0.00137689, -0.000321864, -1e-22, -0.00126584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0308045");
-            index_3 ("0.237806, 0.265532, 0.268941, 0.273299, 0.276493, 0.279688, 0.283947, 0.286077, 0.288207, 0.293256, 0.298306, 0.301672, 0.308405, 0.312487, 0.318655, 0.322828, 0.324914, 0.33423, 0.340198, 0.348789, 0.351531, 0.354272, 0.357014, 0.359755, 0.362492, 0.365228, 0.367965, 0.370701, 0.370807, 0.372292, 0.373565, 0.374838, 0.376535, 0.378842, 0.380301, 0.383219, 0.386552, 0.388293, 0.390034, 0.392294, 0.395815, 0.397076, 0.398336, 0.400303, 0.40227, 0.403681, 0.405091, 0.406501, 0.407911, 0.410221");
-            values ( \
-              "-0.141378, -0.159778, -0.176127, -0.19996, -0.218462, -0.235946, -0.257865, -0.268371, -0.278575, -0.301403, -0.323371, -0.33764, -0.36541, -0.381741, -0.405626, -0.421169, -0.428757, -0.460601, -0.480716, -0.50927, -0.509193, -0.508366, -0.506788, -0.504461, -0.501389, -0.49757, -0.493003, -0.487689, -0.487155, -0.474391, -0.461636, -0.446967, -0.426006, -0.395733, -0.375716, -0.333906, -0.284722, -0.261265, -0.238843, -0.211522, -0.171457, -0.158271, -0.145892, -0.129429, -0.114379, -0.104571, -0.095416, -0.0869131, -0.0790625, -0.067534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0896088");
-            index_3 ("0.215008, 0.235583, 0.248047, 0.262286, 0.275519, 0.318319, 0.358703, 0.380316, 0.39969, 0.417625, 0.434957, 0.453042, 0.47928, 0.496291, 0.510589, 0.52119, 0.532574, 0.55048, 0.572395");
-            values ( \
-              "-0.0528102, -0.0959784, -0.134362, -0.18972, -0.252791, -0.502881, -0.6999, -0.794588, -0.870628, -0.925154, -0.941301, -0.835362, -0.473704, -0.287112, -0.180179, -0.124925, -0.0835328, -0.043297, -0.0264708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.260668");
-            index_3 ("0.277609, 0.334299, 0.359042, 0.376521, 0.398987, 0.421865, 0.444894, 0.451984, 0.494287, 0.498738, 0.50764, 0.512091, 0.519564, 0.527037, 0.53451, 0.541983, 0.546202, 0.550421, 0.552155, 0.555622, 0.559792, 0.562227, 0.567099, 0.57177, 0.578776, 0.586792, 0.592473, 0.603339, 0.614187, 0.621413, 0.628639, 0.64057, 0.64998, 0.654685, 0.663469, 0.669259, 0.67505, 0.682294, 0.689539, 0.698912, 0.713442, 0.723755, 0.728406, 0.737706, 0.742356, 0.748929, 0.755503, 0.762077, 0.768651, 0.779081");
-            values ( \
-              "-0.626375, -0.642118, -0.784045, -0.88179, -1.0038, -1.12425, -1.24297, -1.27794, -1.47666, -1.49502, -1.52827, -1.54316, -1.56355, -1.57949, -1.59098, -1.59802, -1.59874, -1.59771, -1.59454, -1.58605, -1.57204, -1.56193, -1.53746, -1.50384, -1.4406, -1.35964, -1.29827, -1.16957, -1.04904, -0.973117, -0.900653, -0.788582, -0.706846, -0.66818, -0.600175, -0.55674, -0.51609, -0.472358, -0.431411, -0.383532, -0.314708, -0.27191, -0.256194, -0.226892, -0.213307, -0.195655, -0.179194, -0.163924, -0.149845, -0.129833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.758272");
-            index_3 ("0.274945, 0.346468, 0.43662, 0.478436, 0.549906, 0.56164, 0.577471, 0.669225, 0.735091, 0.759362, 0.789224, 0.820607, 0.883373, 0.904118, 0.945606, 1.05077, 1.11209, 1.15086, 1.18056, 1.22017, 1.28603, 1.33377, 1.3671, 1.43296, 1.49857, 1.53067, 1.59486, 1.66072, 1.72659, 1.79246, 1.92419, 2.12178");
-            values ( \
-              "-0.529357, -0.738481, -1.28041, -1.52095, -1.91151, -1.93286, -1.93862, -1.87431, -1.81874, -1.79426, -1.75996, -1.71744, -1.59661, -1.54013, -1.39717, -0.979515, -0.76755, -0.652483, -0.574344, -0.482588, -0.357798, -0.287112, -0.245749, -0.179617, -0.131168, -0.112435, -0.0822285, -0.0596118, -0.0430943, -0.031194, -0.0162858, -0.00609358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.405665, 0.461588, 0.477777, 0.496091, 0.506029, 0.518887, 0.534396, 0.549007, 0.565695, 0.579889, 0.604997, 0.608311, 0.614939, 0.616837, 0.620632, 0.628779, 0.637829, 0.650163, 0.656451, 0.660355, 0.667248, 0.676439, 0.690572, 0.702774, 0.707892, 0.718127, 0.738599, 0.813631");
-            values ( \
-              "-0.000665174, -0.00402382, -0.00531682, -0.00793346, -0.0100287, -0.0143509, -0.0245812, -0.0352856, -0.0432771, -0.0491615, -0.0551281, -0.0551502, -0.0559334, -0.0556134, -0.0556109, -0.0531594, -0.0440592, -0.0209237, -0.0127484, -0.00983246, -0.00644883, -0.00436247, -0.00264575, -0.00229157, -0.00194492, -0.00180264, -0.00116418, -0.00038379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0105895");
-            index_3 ("0.404936, 0.465744, 0.475873, 0.494454, 0.501822, 0.516556, 0.530196, 0.554, 0.57276, 0.580854, 0.594547, 0.612787, 0.626874, 0.640354, 0.65388, 0.663997, 0.675902, 0.681923, 0.687961, 0.692608, 0.701903, 0.709477, 0.714232, 0.725415, 0.738195, 0.763756, 0.805464, 0.86464, 1.1281");
-            values ( \
-              "-0.00156675, -0.0109843, -0.0132052, -0.0189679, -0.0219787, -0.0300646, -0.0431406, -0.0763687, -0.0980284, -0.105914, -0.118164, -0.131379, -0.13911, -0.143207, -0.139499, -0.117281, -0.0677936, -0.0470394, -0.0321911, -0.024, -0.0136803, -0.00946961, -0.00785761, -0.00555024, -0.00411535, -0.00254951, -0.00116797, -0.000287809, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0308045");
-            index_3 ("0.403406, 0.476355, 0.490522, 0.509493, 0.515977, 0.528944, 0.544948, 0.578879, 0.603636, 0.626917, 0.637003, 0.657036, 0.675475, 0.693052, 0.710461, 0.723505, 0.744841, 0.753481, 0.760628, 0.766669, 0.773435, 0.782456, 0.8005, 0.824606, 0.854867, 0.894565, 1.08833");
-            values ( \
-              "-0.00151711, -0.0289031, -0.0372909, -0.0514784, -0.0576368, -0.0722098, -0.0980071, -0.16824, -0.212453, -0.249233, -0.264017, -0.290166, -0.310268, -0.322179, -0.315659, -0.265898, -0.13143, -0.089975, -0.0642919, -0.0480368, -0.0346201, -0.0223144, -0.00934356, -0.00350777, -0.00164237, -0.000690332, -0.00010337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0896088");
-            index_3 ("0.41806, 0.467712, 0.50141, 0.5191, 0.540073, 0.56153, 0.643364, 0.682877, 0.716403, 0.746312, 0.77388, 0.800233, 0.826536, 0.829168, 0.837593, 0.86833, 0.887248, 0.909485, 0.932121, 0.950045, 0.981346");
-            values ( \
-              "-0.0167381, -0.0425156, -0.0754541, -0.0968922, -0.12787, -0.168644, -0.366432, -0.449789, -0.513877, -0.56469, -0.60308, -0.624154, -0.595111, -0.584183, -0.541976, -0.323358, -0.208456, -0.115841, -0.060682, -0.0351537, -0.0133658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.260668");
-            index_3 ("0.466499, 0.543545, 0.565907, 0.746646, 0.825011, 0.881425, 0.912134, 0.956871, 1.00006, 1.04315, 1.11855, 1.15775, 1.18754, 1.21566, 1.26243, 1.2854, 1.32043, 1.3863, 1.45216");
-            values ( \
-              "-0.0717458, -0.172108, -0.216193, -0.668916, -0.84573, -0.960782, -1.01652, -1.08088, -1.09717, -0.970748, -0.501917, -0.319954, -0.220805, -0.153437, -0.0849518, -0.061573, -0.0374101, -0.0137844, -0.00506891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.758272");
-            index_3 ("0.532075, 0.610868, 0.860193, 0.990544, 1.08423, 1.12402, 1.18989, 1.2155, 1.22722, 1.24075, 1.28455, 1.31845, 1.35718, 1.38131, 1.41349, 1.50258, 1.54818, 1.60647, 1.65313, 1.71534, 1.75737, 1.79157, 1.83717, 1.90303, 1.94708, 1.98789, 2.0423, 2.10817, 2.17403, 2.30576, 2.43749, 2.56923");
-            values ( \
-              "-0.345802, -0.347566, -1.00512, -1.32774, -1.54096, -1.62378, -1.74386, -1.78148, -1.78134, -1.77204, -1.71377, -1.65507, -1.56399, -1.48983, -1.37189, -1.01548, -0.850592, -0.668526, -0.546881, -0.414107, -0.341835, -0.291904, -0.235745, -0.172265, -0.139481, -0.114647, -0.0880646, -0.0638056, -0.0461931, -0.024138, -0.0125896, -0.0065612" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.0074076, 0.00743992, 0.00748967, 0.00753116, 0.00755249, 0.00756106", \
-            "0.0108037, 0.0108212, 0.0108595, 0.0109094, 0.0109435, 0.0109591", \
-            "0.0134984, 0.0135017, 0.0135126, 0.0135384, 0.0135688, 0.013587", \
-            "0.015176, 0.015168, 0.0151553, 0.015144, 0.0151454, 0.0151534", \
-            "0.0160965, 0.0160817, 0.0160556, 0.0160182, 0.0159878, 0.015975", \
-            "0.016573, 0.0165584, 0.0165269, 0.0164744, 0.016415, 0.0163739" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.0131936, 0.0132423, 0.0133236, 0.0134047, 0.0134511, 0.0134705", \
-            "0.0167118, 0.0166795, 0.0166571, 0.0166648, 0.0166797, 0.0166865", \
-            "0.0194061, 0.0190089, 0.0186389, 0.0183725, 0.0182146, 0.0181624", \
-            "0.022291, 0.02111, 0.0198706, 0.0190775, 0.018693, 0.0185074", \
-            "0.0255318, 0.0247484, 0.0217741, 0.0199314, 0.0189734, 0.0185689", \
-            "0.0260522, 0.0260723, 0.0258042, 0.0216679, 0.0197132, 0.0188161" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "0.0267608, 0.0274251, 0.0284002, 0.0292113, 0.0296287, 0.0297911", \
-            "0.02637, 0.0267931, 0.0276493, 0.028698, 0.0293503, 0.0296569", \
-            "0.0268637, 0.0269033, 0.0272369, 0.0280553, 0.0290086, 0.0294936", \
-            "0.029569, 0.0290902, 0.028621, 0.0280436, 0.0287443, 0.0293229", \
-            "0.0364159, 0.0352068, 0.0335322, 0.0315979, 0.0300129, 0.0294582", \
-            "0.0522958, 0.0502109, 0.0465273, 0.0414961, 0.0360981, 0.0322819" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105895, 0.0308045, 0.0896088, 0.260668, 0.758272");
-          values ( \
-            "-0.0106287, -0.0100651, -0.00908985, -0.00823451, -0.00779371, -0.00761965", \
-            "-0.0111472, -0.0107247, -0.00977283, -0.00866275, -0.00798261, -0.00772858", \
-            "-0.0113082, -0.0111877, -0.0105506, -0.00934258, -0.0083438, -0.00785647", \
-            "-0.0100763, -0.0105586, -0.0106774, -0.00995129, -0.00884718, -0.00807589", \
-            "-0.00437403, -0.00606766, -0.00813609, -0.00919211, -0.00885733, -0.00825929", \
-            "0.0107153, 0.00768334, 0.0020252, -0.00402581, -0.00702121, -0.00773997" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.020549;
-      rise_capacitance : 0.020549;
-      rise_capacitance_range (0.0115012, 0.020549);
-      fall_capacitance : 0.0203456;
-      fall_capacitance_range (0.0107174, 0.0203456);
-    }
-  }
-  cell (INVX6) {
-    area : 21.2454;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.828405;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.61339;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0434176;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.828405;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 1.07089;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.0313761, 0.0368074, 0.0502091, 0.0845549, 0.185105, 0.496472", \
-            "0.0356964, 0.0410074, 0.0542879, 0.0887671, 0.189495, 0.50098", \
-            "0.0451523, 0.0519752, 0.0666565, 0.101597, 0.202293, 0.513856", \
-            "0.0590609, 0.068489, 0.0904303, 0.133506, 0.23428, 0.545228", \
-            "0.0843126, 0.0964172, 0.126378, 0.190208, 0.308504, 0.618618", \
-            "0.132794, 0.148462, 0.187865, 0.276527, 0.452525, 0.784824" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.0137071, 0.018711, 0.033551, 0.0798761, 0.227806, 0.691296", \
-            "0.0143943, 0.0193237, 0.0339889, 0.0800032, 0.227812, 0.691321", \
-            "0.02131, 0.0257734, 0.0378651, 0.0809897, 0.227866, 0.691321", \
-            "0.0326071, 0.0398184, 0.0561338, 0.0924298, 0.228583, 0.691295", \
-            "0.0524718, 0.0619014, 0.0858659, 0.134591, 0.24751, 0.691302", \
-            "0.0916406, 0.103133, 0.134041, 0.205383, 0.338935, 0.712874" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.0254331, 0.0293737, 0.0391855, 0.0643041, 0.137412, 0.363534", \
-            "0.0288034, 0.0327674, 0.0426319, 0.0679282, 0.141195, 0.367408", \
-            "0.0326141, 0.0381244, 0.0508225, 0.0777217, 0.151225, 0.377503", \
-            "0.0320834, 0.0398755, 0.0586773, 0.0976502, 0.175931, 0.402251", \
-            "0.0220471, 0.0332149, 0.0600989, 0.117644, 0.227601, 0.458785", \
-            "-0.0105075, 0.00517328, 0.0433275, 0.125534, 0.288077, 0.58645" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.00973339, 0.013087, 0.0228132, 0.0532148, 0.150758, 0.457217", \
-            "0.0103238, 0.0135055, 0.0230841, 0.053245, 0.150813, 0.457347", \
-            "0.0155116, 0.0194046, 0.0283714, 0.0550491, 0.150806, 0.457224", \
-            "0.0246896, 0.0303714, 0.0438361, 0.0719532, 0.154356, 0.457218", \
-            "0.0422217, 0.0499883, 0.0688869, 0.109519, 0.190112, 0.459617", \
-            "0.0776903, 0.0879675, 0.113416, 0.169474, 0.282504, 0.518972" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0301678, 0.0328178, 0.0341978, 0.0387752, 0.0400642, 0.0423891, 0.0449689, 0.047076, 0.0505777, 0.0536486, 0.0575748, 0.0607088, 0.0645013, 0.0697382, 0.0798543, 0.0910257, 0.104357");
-            values ( \
-              "0.0305475, 0.217305, 0.257598, 0.312003, 0.318813, 0.321266, 0.306623, 0.272132, 0.154482, 0.0955924, 0.0573736, 0.0394814, 0.025808, 0.0150503, 0.00634917, 0.0029795, 0.00232276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0113465");
-            index_3 ("0.0302674, 0.0366345, 0.0393065, 0.0409349, 0.0427681, 0.0455678, 0.0483443, 0.0511199, 0.0522446, 0.0543312, 0.0565569, 0.0593843, 0.0609961, 0.0642198, 0.0663532, 0.0692166, 0.0730346, 0.0745817, 0.0778758, 0.0811802, 0.0861187, 0.0929481, 0.0984818, 0.109549, 0.125101");
-            values ( \
-              "0.0559093, 0.587696, 0.664306, 0.696205, 0.720499, 0.73943, 0.732871, 0.70064, 0.672317, 0.590355, 0.473672, 0.348452, 0.293846, 0.211332, 0.172712, 0.131355, 0.0913601, 0.0794092, 0.0591247, 0.0443412, 0.029436, 0.0178278, 0.0122904, 0.00621994, 0.00400349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0353657");
-            index_3 ("0.033454, 0.0363478, 0.0384557, 0.0458037, 0.0492121, 0.0519167, 0.0567828, 0.0624102, 0.0668513, 0.0708555, 0.0805231, 0.0852824, 0.0911823, 0.100116, 0.106778, 0.116462, 0.122162, 0.130664, 0.142001, 0.160877, 0.164299");
-            values ( \
-              "0.0379947, 0.842982, 0.972226, 1.22722, 1.28259, 1.30405, 1.31404, 1.26839, 1.18397, 1.05307, 0.668372, 0.523442, 0.382566, 0.236768, 0.16437, 0.0966665, 0.0710775, 0.0454239, 0.0251238, 0.00940228, 0.00882741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.110231");
-            index_3 ("0.0337298, 0.0446181, 0.050953, 0.0582181, 0.0623278, 0.070547, 0.0787819, 0.0844351, 0.0956585, 0.10198, 0.108665, 0.141106, 0.1582, 0.17885, 0.201425, 0.214535, 0.231367, 0.251082, 0.267005, 0.29885, 0.305206");
-            values ( \
-              "0.358435, 1.46615, 1.66137, 1.77417, 1.8006, 1.81618, 1.78698, 1.75068, 1.65909, 1.58675, 1.49298, 0.891571, 0.63376, 0.405252, 0.24063, 0.176075, 0.116513, 0.0717968, 0.0481794, 0.0208598, 0.0196109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.343578");
-            index_3 ("0.0368508, 0.0464273, 0.0523338, 0.0566628, 0.0644081, 0.0718784, 0.0820126, 0.0916312, 0.115974, 0.161922, 0.196681, 0.230827, 0.238159, 0.267487, 0.32241, 0.342956, 0.369491, 0.403691, 0.434793, 0.456873, 0.493111, 0.508514, 0.537072, 0.577303, 0.61976, 0.652375, 0.717605, 0.800577, 0.88355, 0.966522");
-            values ( \
-              "0.934174, 1.65232, 1.84672, 1.94536, 2.04759, 2.09795, 2.11565, 2.11117, 2.0535, 1.90737, 1.77853, 1.61694, 1.5742, 1.38458, 1.01192, 0.884904, 0.735065, 0.568574, 0.444728, 0.371041, 0.273081, 0.23912, 0.18617, 0.129806, 0.0885605, 0.0657418, 0.0355407, 0.0159183, 0.00707971, 0.00316224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.07089");
-            index_3 ("0.0474381, 0.0474581, 0.198116, 0.432759, 0.508013, 0.549811, 0.619135, 0.702107, 0.909115, 0.989181, 1.05543, 1.1384, 1.20756, 1.27777, 1.36075, 1.47652, 1.55116, 1.63413, 1.73338, 1.87157, 2.03751, 2.20346, 2.45237, 2.70129");
-            values ( \
-              "1e-22, 2.40654, 2.16811, 1.91288, 1.81865, 1.75866, 1.64255, 1.47303, 1.00775, 0.842664, 0.719341, 0.58271, 0.485411, 0.401017, 0.317333, 0.227253, 0.182766, 0.142478, 0.106067, 0.0697818, 0.0420274, 0.0252629, 0.0114891, 0.00551784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0455169, 0.0477105, 0.0544427, 0.0568671, 0.0612438, 0.063393, 0.0634394, 0.0653595, 0.0686854, 0.0710012, 0.0752931, 0.0780923, 0.0815524, 0.0854326, 0.0885034, 0.0935857, 0.102155, 0.11588");
-            values ( \
-              "0.0657819, 0.0872771, 0.255932, 0.289143, 0.31017, 0.295511, 0.298136, 0.269618, 0.164534, 0.112354, 0.0635526, 0.045485, 0.0304145, 0.0202892, 0.0151625, 0.00943298, 0.00503153, 0.00270528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0113465");
-            index_3 ("0.047202, 0.0493756, 0.0532378, 0.0576041, 0.0607585, 0.0636904, 0.0665603, 0.0694269, 0.070964, 0.0769264, 0.0804715, 0.086129, 0.0930498, 0.0996417, 0.111485, 0.122414");
-            values ( \
-              "0.181119, 0.239717, 0.454186, 0.61424, 0.681118, 0.711334, 0.714197, 0.686993, 0.650057, 0.378244, 0.261094, 0.150892, 0.0790544, 0.0442914, 0.0177897, 0.0102095" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0353657");
-            index_3 ("0.0500756, 0.0553932, 0.0603593, 0.0646902, 0.068592, 0.0723695, 0.0748105, 0.0797494, 0.0849877, 0.0898954, 0.0968619, 0.105726, 0.11466, 0.125, 0.135151, 0.140549, 0.14858, 0.159287, 0.171032");
-            values ( \
-              "0.41823, 0.807298, 1.05678, 1.18983, 1.25858, 1.28792, 1.29118, 1.26505, 1.17703, 1.01544, 0.730719, 0.464868, 0.289037, 0.164313, 0.0942077, 0.0704748, 0.046195, 0.0264215, 0.016097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.110231");
-            index_3 ("0.0525672, 0.0572996, 0.0621319, 0.0658795, 0.0687644, 0.0745344, 0.0803734, 0.0888043, 0.0966995, 0.102588, 0.113832, 0.12005, 0.126837, 0.159282, 0.176371, 0.187756, 0.197026, 0.209387, 0.219598, 0.232696, 0.249533, 0.269251, 0.285178, 0.317032, 0.337183");
-            values ( \
-              "0.591726, 1.08741, 1.36668, 1.51861, 1.6076, 1.72395, 1.78465, 1.80539, 1.782, 1.74907, 1.65661, 1.58744, 1.49255, 0.891604, 0.633857, 0.497088, 0.405223, 0.30542, 0.24067, 0.17616, 0.116527, 0.0717978, 0.0481945, 0.0208443, 0.014135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.343578");
-            index_3 ("0.0573883, 0.0697085, 0.0748345, 0.0825008, 0.0901753, 0.0997886, 0.109715, 0.119596, 0.149781, 0.183694, 0.215035, 0.249181, 0.256517, 0.285862, 0.340643, 0.361553, 0.387992, 0.422105, 0.452963, 0.475107, 0.511462, 0.526955, 0.555481, 0.595686, 0.638044, 0.670572, 0.735629, 0.818602, 0.901574, 0.984546");
-            values ( \
-              "1.69992, 1.78459, 1.91263, 2.03268, 2.08673, 2.11347, 2.10735, 2.09147, 2.00666, 1.8947, 1.77841, 1.61707, 1.57428, 1.38454, 1.01264, 0.883387, 0.734369, 0.568246, 0.445442, 0.371374, 0.273052, 0.238978, 0.186045, 0.129812, 0.0886484, 0.0658081, 0.035685, 0.0159405, 0.00713219, 0.00314329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.07089");
-            index_3 ("0.0641029, 0.0641229, 0.204738, 0.424078, 0.507051, 0.565563, 0.637674, 0.720646, 0.954352, 1.07398, 1.15695, 1.22611, 1.29632, 1.37929, 1.49507, 1.5697, 1.71051, 1.8901, 2.05604, 2.22199, 2.35696");
-            values ( \
-              "1e-22, 2.35267, 2.18067, 1.94384, 1.84458, 1.7634, 1.64205, 1.47358, 0.950874, 0.718855, 0.583197, 0.485889, 0.400549, 0.317804, 0.227718, 0.182309, 0.119649, 0.0693557, 0.0416038, 0.0248424, 0.0194919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0702475, 0.0767429, 0.0812096, 0.0904402, 0.0981653, 0.101494, 0.104693, 0.107886, 0.108423, 0.112665, 0.116729, 0.122691, 0.129007, 0.133612, 0.139357, 0.148617, 0.165899");
-            values ( \
-              "0.0171892, 0.0311888, 0.0605512, 0.147157, 0.190777, 0.202117, 0.208606, 0.199945, 0.1941, 0.115014, 0.0722962, 0.0397321, 0.0218868, 0.0146056, 0.00916053, 0.00494072, 0.00226744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0113465");
-            index_3 ("0.0719585, 0.076539, 0.0786479, 0.0810768, 0.083725, 0.0908345, 0.0999983, 0.104388, 0.108397, 0.11221, 0.112735, 0.113784, 0.114871, 0.116008, 0.121664, 0.12357, 0.127311, 0.129879, 0.133861, 0.137195, 0.140605, 0.144124, 0.149512, 0.157478, 0.164035, 0.177148, 0.180315");
-            values ( \
-              "0.0500201, 0.0733714, 0.0904419, 0.123906, 0.166868, 0.304009, 0.439088, 0.491003, 0.528026, 0.543465, 0.542478, 0.545551, 0.535858, 0.514729, 0.319088, 0.26607, 0.188098, 0.150951, 0.106344, 0.0794876, 0.0595994, 0.0445729, 0.0291106, 0.0167068, 0.010999, 0.00508587, 0.00473214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0353657");
-            index_3 ("0.08168, 0.0895243, 0.0959022, 0.0991094, 0.100557, 0.102005, 0.103453, 0.104901, 0.105894, 0.106888, 0.107881, 0.109372, 0.110365, 0.110862, 0.111689, 0.112269, 0.112849, 0.113429, 0.114009, 0.117666, 0.118822, 0.119978, 0.121587, 0.123196, 0.124568, 0.127312, 0.128743, 0.129574, 0.130999, 0.132424, 0.13601, 0.139596, 0.143876, 0.14605, 0.14883, 0.150974, 0.152192, 0.154924, 0.157307, 0.159014, 0.160721, 0.162428, 0.165054, 0.169307, 0.171748, 0.172562, 0.175816, 0.179071, 0.18335, 0.187603");
-            values ( \
-              "0.401376, 0.410434, 0.588746, 0.67396, 0.722768, 0.778008, 0.839679, 0.907781, 0.911842, 0.918482, 0.927702, 0.946368, 0.962036, 0.970838, 0.98937, 1.01161, 1.04146, 1.04812, 1.0567, 1.13084, 1.14978, 1.16514, 1.16126, 1.15597, 1.15033, 1.13598, 1.12673, 1.10998, 1.0738, 1.03091, 0.902523, 0.765807, 0.621347, 0.554612, 0.483673, 0.433964, 0.40767, 0.353791, 0.311128, 0.282271, 0.258383, 0.236122, 0.205941, 0.161445, 0.140533, 0.134206, 0.1133, 0.0951796, 0.0760322, 0.0591846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.110231");
-            index_3 ("0.0817643, 0.0942966, 0.116761, 0.118902, 0.12314, 0.128101, 0.134778, 0.143836, 0.146176, 0.150855, 0.157942, 0.169818, 0.172181, 0.202374, 0.215197, 0.229172, 0.236514, 0.248397, 0.260141, 0.270564, 0.28446, 0.296026, 0.311689, 0.332572, 0.372157, 0.41826");
-            values ( \
-              "0.244951, 0.654071, 1.48632, 1.5499, 1.64368, 1.70891, 1.74634, 1.73749, 1.72735, 1.70003, 1.64372, 1.50267, 1.46462, 0.902037, 0.701425, 0.522302, 0.445343, 0.340885, 0.259427, 0.202807, 0.144822, 0.109155, 0.074218, 0.0437417, 0.0148636, 0.00400743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.343578");
-            index_3 ("0.083528, 0.116807, 0.123542, 0.133339, 0.142381, 0.15219, 0.1632, 0.177304, 0.19341, 0.225076, 0.258662, 0.292732, 0.300309, 0.391202, 0.436978, 0.467703, 0.491299, 0.52276, 0.547503, 0.567318, 0.593739, 0.637161, 0.686121, 0.724856, 0.802327, 0.8853, 0.968272");
-            values ( \
-              "0.215418, 1.65197, 1.85974, 2.01537, 2.07533, 2.09254, 2.08307, 2.0516, 2.00502, 1.90221, 1.77849, 1.61746, 1.57328, 0.969356, 0.706328, 0.560068, 0.464956, 0.359009, 0.291342, 0.245795, 0.195096, 0.132221, 0.0850548, 0.0595861, 0.028405, 0.012679, 0.00566037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.07089");
-            index_3 ("0.105256, 0.152355, 0.160164, 0.175781, 0.216347, 0.417916, 0.550718, 0.60923, 0.681341, 0.764314, 0.971331, 1.11764, 1.20062, 1.26978, 1.33999, 1.42296, 1.53873, 1.61337, 1.75418, 1.93376, 2.09971, 2.26565, 2.39819");
-            values ( \
-              "2.23008, 2.23432, 2.2464, 2.2487, 2.2137, 1.99995, 1.8443, 1.76314, 1.64232, 1.47331, 1.008, 0.719109, 0.582946, 0.485643, 0.400795, 0.31756, 0.227478, 0.18255, 0.119888, 0.0695813, 0.0418272, 0.0250637, 0.0198081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124459, 0.143986, 0.148962, 0.155111, 0.166198, 0.172644, 0.183462, 0.188489, 0.193478, 0.198465, 0.203165, 0.209396, 0.216345, 0.221952, 0.234124, 0.244947, 0.248875, 0.255268, 0.287505, 0.288117");
-            values ( \
-              "0.00473442, 0.0180265, 0.0262752, 0.0444696, 0.0922741, 0.111421, 0.129272, 0.131954, 0.130945, 0.115703, 0.0716908, 0.0374442, 0.0197214, 0.0123866, 0.00474068, 0.00237759, 0.00197029, 0.00503163, 0.00130569, 0.00128698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0113465");
-            index_3 ("0.124446, 0.140896, 0.147629, 0.15181, 0.159304, 0.171653, 0.179594, 0.186508, 0.192874, 0.198963, 0.204954, 0.210939, 0.219161, 0.223874, 0.232652, 0.240074, 0.248861, 0.251492, 0.254807, 0.277341, 0.291505, 0.294646");
-            values ( \
-              "0.0106618, 0.0390291, 0.0592271, 0.077154, 0.129601, 0.235931, 0.279365, 0.310979, 0.329373, 0.343001, 0.339658, 0.290871, 0.151897, 0.106986, 0.0546633, 0.031581, 0.0167642, 0.0196823, 0.020585, 0.00877176, 0.0042445, 0.00393249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0353657");
-            index_3 ("0.124538, 0.150655, 0.159012, 0.180504, 0.194218, 0.206084, 0.214929, 0.223237, 0.231553, 0.248953, 0.258803, 0.269272, 0.281274, 0.290642, 0.306785, 0.322115");
-            values ( \
-              "0.00630445, 0.134937, 0.20802, 0.466907, 0.59653, 0.692251, 0.749567, 0.782581, 0.754413, 0.398714, 0.273024, 0.168469, 0.0935949, 0.0587096, 0.0264192, 0.0134834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.110231");
-            index_3 ("0.159617, 0.186192, 0.19453, 0.212836, 0.222762, 0.230058, 0.233746, 0.237434, 0.241122, 0.244811, 0.24907, 0.251549, 0.253539, 0.257031, 0.257863, 0.259806, 0.261471, 0.262581, 0.263691, 0.264801, 0.26644, 0.269719, 0.271359, 0.274637, 0.277916, 0.281194, 0.284473, 0.286219, 0.293038, 0.298317, 0.307646, 0.31227, 0.318961, 0.324054, 0.326917, 0.332643, 0.340309, 0.342128, 0.345766, 0.349405, 0.352394, 0.358373, 0.363083, 0.366524, 0.369965, 0.371686, 0.378568, 0.38545, 0.394225, 0.402433");
-            values ( \
-              "0.616326, 0.663224, 0.783553, 1.04032, 1.17473, 1.26704, 1.31021, 1.35104, 1.38953, 1.42566, 1.49397, 1.50136, 1.50866, 1.52443, 1.52486, 1.52353, 1.5193, 1.51484, 1.50918, 1.50231, 1.48791, 1.45528, 1.43706, 1.39565, 1.34989, 1.29979, 1.24533, 1.21455, 1.0851, 0.989936, 0.829884, 0.754783, 0.661785, 0.596468, 0.56183, 0.497044, 0.418087, 0.400194, 0.369613, 0.340794, 0.319263, 0.278115, 0.247498, 0.226863, 0.20835, 0.199682, 0.170332, 0.144486, 0.117152, 0.0938197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.343578");
-            index_3 ("0.175738, 0.213021, 0.227139, 0.232681, 0.238223, 0.249306, 0.253596, 0.257001, 0.262928, 0.267138, 0.269243, 0.271348, 0.27986, 0.285476, 0.291034, 0.293813, 0.297679, 0.309276, 0.321502, 0.33268, 0.353989, 0.359195, 0.364401, 0.38002, 0.390433, 0.39571, 0.411541, 0.427372, 0.458595, 0.472774, 0.501276, 0.510826, 0.529925, 0.549024, 0.558574, 0.569112, 0.582119, 0.591614, 0.594779, 0.606159, 0.617539, 0.624948, 0.639766, 0.651349, 0.659697, 0.672219, 0.693295, 0.710291, 0.73023, 0.755776");
-            values ( \
-              "1.14983, 1.18198, 1.42518, 1.52934, 1.63841, 1.87125, 1.89565, 1.9214, 1.9797, 1.99999, 2.00716, 2.01234, 2.00854, 2.00309, 1.99539, 1.99069, 1.98163, 1.951, 1.91255, 1.87524, 1.79837, 1.778, 1.75534, 1.68277, 1.63055, 1.60186, 1.5046, 1.40092, 1.18627, 1.0916, 0.905405, 0.848766, 0.74309, 0.646936, 0.602265, 0.555874, 0.500207, 0.462063, 0.449924, 0.411363, 0.375246, 0.353636, 0.312342, 0.281854, 0.261096, 0.232815, 0.1948, 0.168255, 0.141181, 0.112282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.07089");
-            index_3 ("0.163027, 0.207428, 0.254894, 0.259472, 0.266173, 0.2763, 0.290595, 0.300217, 0.313047, 0.338706, 0.470449, 0.553421, 0.648518, 0.711281, 0.780561, 0.863533, 1.07054, 1.1506, 1.21685, 1.29983, 1.36898, 1.4392, 1.52217, 1.56076, 1.63794, 1.71257, 1.79555, 1.85338, 1.94999, 2.03297, 2.11594, 2.19891, 2.28188, 2.36485, 2.44783, 2.61377, 2.86269");
-            values ( \
-              "0.595023, 1.14211, 2.05226, 2.11055, 2.16574, 2.20784, 2.22402, 2.22193, 2.21352, 2.18954, 2.05055, 1.95959, 1.84602, 1.7588, 1.64235, 1.47323, 1.00794, 0.842845, 0.719173, 0.582887, 0.485584, 0.400857, 0.317502, 0.284419, 0.227422, 0.182611, 0.142642, 0.119948, 0.0896537, 0.0696389, 0.0540335, 0.0418838, 0.0324465, 0.0251194, 0.0194411, 0.0116333, 0.0053773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.213367, 0.266637, 0.272418, 0.275274, 0.280985, 0.292407, 0.296998, 0.30618, 0.313823, 0.317652, 0.331156, 0.341933, 0.351119, 0.359521, 0.367573, 0.375487, 0.383395, 0.387097, 0.393872, 0.396688, 0.400336, 0.40563, 0.408101, 0.411422, 0.41585, 0.424707, 0.430252, 0.434461, 0.44288, 0.459717, 0.483829, 0.515309, 0.550306, 0.563921, 0.581188, 0.584701");
-            values ( \
-              "0.000627467, 0.00379153, 0.00520291, 0.00568296, 0.00688665, 0.0098626, 0.0118138, 0.0170012, 0.0252568, 0.0312838, 0.0541833, 0.0674874, 0.0753492, 0.0802706, 0.0828752, 0.0829054, 0.0778488, 0.0677655, 0.0411913, 0.033088, 0.025252, 0.0175819, 0.0150707, 0.0122084, 0.00924974, 0.00528233, 0.00387391, 0.00304063, 0.00195041, 0.000838926, 0.000385098, 0.000165904, 0.000119232, 0.0012799, 0.00101117, 0.000857781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0113465");
-            index_3 ("0.213225, 0.271504, 0.275426, 0.277467, 0.281548, 0.289711, 0.29761, 0.300323, 0.305748, 0.316172, 0.319701, 0.338187, 0.350924, 0.361789, 0.371706, 0.381192, 0.390492, 0.399785, 0.40073, 0.401991, 0.404513, 0.409555, 0.412491, 0.415069, 0.418508, 0.424999, 0.429026, 0.431588, 0.436712, 0.446193, 0.450865, 0.453426, 0.458549, 0.468793, 0.489283, 0.516572, 0.550387, 0.56307, 0.579622, 0.581685");
-            values ( \
-              "0.00184122, 0.012266, 0.0151751, 0.0164063, 0.0190719, 0.0247021, 0.0319814, 0.035093, 0.0426796, 0.0649116, 0.0770853, 0.143752, 0.177091, 0.19927, 0.211658, 0.22001, 0.219719, 0.205558, 0.199071, 0.19178, 0.173323, 0.13074, 0.110236, 0.0944143, 0.0769604, 0.0524399, 0.0418786, 0.0361289, 0.0268307, 0.0153473, 0.0118957, 0.0103588, 0.0078995, 0.00467294, 0.00179609, 0.000761275, 0.000363944, 0.00362224, 0.00351065, 0.00322716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0353657");
-            index_3 ("0.214314, 0.275419, 0.296353, 0.305668, 0.31529, 0.326683, 0.355213, 0.372733, 0.387856, 0.401695, 0.414785, 0.427585, 0.44037, 0.465156, 0.477416, 0.49122, 0.50939, 0.522763, 0.540595, 0.55038, 0.561751");
-            values ( \
-              "0.00536293, 0.034371, 0.0686549, 0.0896199, 0.119522, 0.171172, 0.328795, 0.398328, 0.444426, 0.47572, 0.496506, 0.499723, 0.445131, 0.196029, 0.121546, 0.0684891, 0.0310735, 0.0174303, 0.0079701, 0.00543644, 0.0110981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.110231");
-            index_3 ("0.219907, 0.27558, 0.296624, 0.315022, 0.325487, 0.336186, 0.371739, 0.393462, 0.420943, 0.444499, 0.465707, 0.48557, 0.505383, 0.550541, 0.577265, 0.592037, 0.608801, 0.623517, 0.638423, 0.649783, 0.672504, 0.692086");
-            values ( \
-              "0.015684, 0.0691329, 0.123018, 0.187453, 0.237008, 0.299285, 0.533679, 0.654131, 0.790555, 0.896201, 0.975059, 1.022, 0.983802, 0.526388, 0.325622, 0.235588, 0.159638, 0.111935, 0.0778794, 0.0588239, 0.0330126, 0.0225039" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.343578");
-            index_3 ("0.274564, 0.325822, 0.344328, 0.467933, 0.511684, 0.530605, 0.552375, 0.554346, 0.558288, 0.565677, 0.57755, 0.582966, 0.593798, 0.614087, 0.630689, 0.710464, 0.725989, 0.746689, 0.785523, 0.799765, 0.815132, 0.835621, 0.869393, 0.87935, 0.899264, 0.939092, 0.968573, 0.979496, 1.00134, 1.04503, 1.128, 1.21098, 1.29395");
-            values ( \
-              "0.175075, 0.307771, 0.417931, 1.27276, 1.55557, 1.66347, 1.77635, 1.78499, 1.79623, 1.80139, 1.78279, 1.76697, 1.72772, 1.63258, 1.53645, 1.00128, 0.905073, 0.784976, 0.588615, 0.527263, 0.466788, 0.395108, 0.297389, 0.273175, 0.23001, 0.161632, 0.124133, 0.112534, 0.0923778, 0.0618072, 0.027804, 0.0124047, 0.0055277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.07089");
-            index_3 ("0.27536, 0.376763, 0.550321, 0.583284, 0.633087, 0.791651, 0.894088, 0.965741, 1.08442, 1.32193, 1.4416, 1.59342, 1.66371, 1.7854, 1.86283, 2.02035, 2.11936, 2.25738, 2.58927, 2.63429");
-            values ( \
-              "0.0909581, 0.669885, 2.04666, 2.15353, 2.11614, 1.94413, 1.81843, 1.71183, 1.48158, 0.950998, 0.718915, 0.48592, 0.400944, 0.284368, 0.227309, 0.142624, 0.105903, 0.0696467, 0.0250976, 0.0233111" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.473621, 0.566243, 0.590707, 0.608336, 0.622107, 0.64965, 0.660719, 0.690953, 0.710045, 0.726144, 0.740864, 0.754869, 0.76862, 0.782361, 0.786405, 0.802992, 0.813281, 0.831665, 0.845875, 0.859873, 0.870497, 0.891745, 0.927066, 1.03715");
-            values ( \
-              "0.000570268, 0.00225996, 0.00318164, 0.00419087, 0.00531333, 0.00931615, 0.0133587, 0.0302008, 0.0384881, 0.0430183, 0.0460236, 0.0475322, 0.0478832, 0.0456926, 0.0432298, 0.0219184, 0.0133154, 0.00671569, 0.00413054, 0.00261343, 0.00183901, 0.000855362, 0.000258544, 0.000160038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0113465");
-            index_3 ("0.473542, 0.56889, 0.599708, 0.62571, 0.660488, 0.676257, 0.694024, 0.711558, 0.739936, 0.756522, 0.772197, 0.787506, 0.802801, 0.806246, 0.827653, 0.83485, 0.841961, 0.851772, 0.864682, 0.877269, 0.88687, 0.906073, 0.937892, 0.976365, 1.02649, 1.18241, 1.21599, 1.2365, 1.26437");
-            values ( \
-              "0.00160163, 0.00697119, 0.010651, 0.0160886, 0.0320508, 0.0504507, 0.075393, 0.0955879, 0.119182, 0.127154, 0.133326, 0.13386, 0.129368, 0.123797, 0.0602014, 0.044493, 0.0338568, 0.0233111, 0.014233, 0.00900564, 0.00629635, 0.0030076, 0.000886931, 0.000339722, 0.000151644, 5.36001e-05, 7.19586e-05, 0.0014205, 0.000921974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0353657");
-            index_3 ("0.473458, 0.576533, 0.592425, 0.608317, 0.628847, 0.635908, 0.65003, 0.672857, 0.680476, 0.722392, 0.750591, 0.774375, 0.795934, 0.816298, 0.836175, 0.856033, 0.862119, 0.86861, 0.887661, 0.893999, 0.905807, 0.919539, 0.931845, 0.93818, 0.947977, 0.961041, 0.987168, 1.02374, 1.06931, 1.21599, 1.22361, 1.22927");
-            values ( \
-              "0.0036932, 0.0210261, 0.0258312, 0.0318769, 0.0424557, 0.0473056, 0.0592354, 0.0892774, 0.104578, 0.200043, 0.25118, 0.28488, 0.30571, 0.319204, 0.322223, 0.306341, 0.284871, 0.254479, 0.157699, 0.131873, 0.0933205, 0.0608934, 0.0405957, 0.032895, 0.0235857, 0.0149082, 0.0056152, 0.00141757, 0.000513737, 0.000191184, 0.00327508, 0.00434538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.110231");
-            index_3 ("0.54052, 0.617003, 0.654803, 0.67524, 0.70249, 0.772432, 0.814786, 0.851214, 0.884377, 0.915562, 0.945927, 0.976245, 1.04606, 1.07622, 1.0984, 1.12896, 1.15441, 1.19693");
-            values ( \
-              "0.0639432, 0.0770901, 0.120168, 0.155028, 0.220684, 0.42315, 0.514377, 0.576167, 0.620642, 0.650959, 0.65841, 0.587122, 0.231452, 0.135027, 0.0870215, 0.0461671, 0.026285, 0.0104288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.343578");
-            index_3 ("0.540342, 0.639858, 0.66102, 0.689236, 0.717988, 0.822609, 0.87899, 0.948308, 1.00737, 1.06037, 1.11011, 1.15988, 1.18578, 1.22696, 1.27266, 1.3133, 1.33374, 1.37072, 1.41306, 1.44808, 1.47489, 1.50091, 1.5356, 1.60499, 1.68796, 1.77093, 1.85391");
-            values ( \
-              "0.0878454, 0.160835, 0.196698, 0.255035, 0.329734, 0.652679, 0.804924, 0.980289, 1.11667, 1.21715, 1.26978, 1.19707, 1.09001, 0.897667, 0.663849, 0.485797, 0.411815, 0.301951, 0.209606, 0.153623, 0.120812, 0.095566, 0.0696107, 0.0361108, 0.0161671, 0.00719737, 0.00320668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.07089");
-            index_3 ("0.608379, 0.719533, 0.775895, 1.08066, 1.14867, 1.21605, 1.23214, 1.25349, 1.32252, 1.39316, 1.47794, 1.50435, 1.55717, 1.82132, 1.94135, 2.02432, 2.09094, 2.16179, 2.24477, 2.28438, 2.36359, 2.43751, 2.52048, 2.61785, 2.67181, 2.75478, 2.83775, 2.92072, 3.08667, 3.33559, 3.5845");
-            values ( \
-              "0.308305, 0.38561, 0.553852, 1.55387, 1.7673, 1.95947, 1.97809, 1.98142, 1.90721, 1.81843, 1.68943, 1.64195, 1.5374, 0.950219, 0.717647, 0.581621, 0.487808, 0.402002, 0.318453, 0.28443, 0.226085, 0.181898, 0.14209, 0.106102, 0.0901492, 0.0700258, 0.0543344, 0.0421188, 0.0252617, 0.0116972, 0.00540991" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.00821678, 0.00830407, 0.00843213, 0.00853136, 0.00857824, 0.00859562", \
-            "0.0138951, 0.0139918, 0.0141923, 0.0144169, 0.0145495, 0.0146036", \
-            "0.0207394, 0.0207734, 0.0208921, 0.0211434, 0.0213735, 0.0214869", \
-            "0.0265444, 0.0265123, 0.026387, 0.0263218, 0.0264126, 0.0265105", \
-            "0.0305112, 0.0302982, 0.0299516, 0.029486, 0.029163, 0.0290257", \
-            "0.0331565, 0.0326786, 0.0319993, 0.0313862, 0.0308037, 0.0303236" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.0168952, 0.0170024, 0.0172534, 0.0175258, 0.0176764, 0.0177358", \
-            "0.0258518, 0.0257068, 0.0256284, 0.0258078, 0.02601, 0.0261046", \
-            "0.0338944, 0.0329452, 0.0317423, 0.03068, 0.0300519, 0.0298022", \
-            "0.0376429, 0.0370411, 0.033746, 0.0305334, 0.0287929, 0.0280311", \
-            "0.0343784, 0.0347304, 0.0352391, 0.0321018, 0.028019, 0.0263917", \
-            "0.0310797, 0.0318741, 0.0330053, 0.0340024, 0.0299584, 0.0264421" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0261674, 0.027381, 0.0285072, 0.0313194, 0.0333269, 0.0353775, 0.0369132, 0.038409, 0.0399044, 0.0453753, 0.0472701, 0.049868, 0.0519002, 0.0564447, 0.0644865");
-            values ( \
-              "-0.0560481, -0.160559, -0.188575, -0.332898, -0.381633, -0.417662, -0.436263, -0.440509, -0.412391, -0.129219, -0.075385, -0.0364765, -0.0216904, -0.00797072, -0.0032539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0113465");
-            index_3 ("0.0261737, 0.0300214, 0.031699, 0.032996, 0.0366929, 0.0388363, 0.0408927, 0.0429159, 0.0449376, 0.046156, 0.0520799, 0.055489, 0.0587913, 0.061467, 0.06364, 0.0667615");
-            values ( \
-              "-0.00981146, -0.521757, -0.68907, -0.772125, -0.921354, -0.978397, -1.01209, -1.00919, -0.933834, -0.852534, -0.32818, -0.164309, -0.0826816, -0.0484779, -0.0318562, -0.0191876" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0353657");
-            index_3 ("0.02806, 0.0329619, 0.0350886, 0.0377612, 0.0420369, 0.0435503, 0.0464854, 0.0485376, 0.050716, 0.0542443, 0.0580813, 0.0606971, 0.0693479, 0.0736231, 0.0782171, 0.0814955, 0.0855752, 0.0883744, 0.0939729, 0.0980605");
-            values ( \
-              "-0.144939, -1.15501, -1.35043, -1.50885, -1.68312, -1.726, -1.78179, -1.80129, -1.80456, -1.75399, -1.59011, -1.40181, -0.67215, -0.43416, -0.264944, -0.184067, -0.116967, -0.085485, -0.0450896, -0.0318756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.110231");
-            index_3 ("0.028916, 0.0377075, 0.0426291, 0.046887, 0.0513383, 0.0595242, 0.0666129, 0.0758043, 0.0820593, 0.0886459, 0.0934938, 0.120985, 0.129838, 0.14129, 0.146943, 0.158542, 0.179717, 0.186725");
-            values ( \
-              "-0.37343, -1.88104, -2.14458, -2.29149, -2.38437, -2.45433, -2.45331, -2.39163, -2.30923, -2.17478, -2.03216, -0.856303, -0.600469, -0.370621, -0.29009, -0.173743, -0.065872, -0.0520633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.343578");
-            index_3 ("0.0343855, 0.0463399, 0.0508864, 0.054838, 0.0586501, 0.0656144, 0.0733088, 0.0808251, 0.091828, 0.102987, 0.122445, 0.148915, 0.157649, 0.173348, 0.197978, 0.20354, 0.210956, 0.249176, 0.271245, 0.279122, 0.291449, 0.303003, 0.322342, 0.338902, 0.360983, 0.379987, 0.405703, 0.43999, 0.497064, 0.561469, 0.625875");
-            values ( \
-              "-2.4039, -2.49977, -2.62654, -2.69852, -2.74462, -2.79168, -2.80944, -2.80836, -2.79158, -2.76482, -2.70717, -2.60435, -2.56105, -2.46433, -2.22824, -2.15105, -2.03301, -1.35266, -1.02092, -0.918945, -0.77694, -0.660839, -0.500504, -0.392804, -0.282017, -0.211579, -0.142902, -0.0833195, -0.0324226, -0.0107378, -0.0036491" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.07089");
-            index_3 ("0.0322482, 0.0412806, 0.0494395, 0.0599524, 0.0697556, 0.0758537, 0.0858383, 0.099749, 0.118148, 0.226286, 0.288715, 0.334782, 0.374942, 0.439348, 0.468294, 0.506888, 0.528915, 0.570624, 0.669235, 0.727209, 0.766132, 0.796152, 0.836179, 0.898976, 0.94054, 0.969238, 1.0156, 1.08001, 1.15435, 1.21876, 1.28316, 1.41197, 1.54078, 1.734");
-            values ( \
-              "-1.5253, -2.35639, -2.69086, -2.87247, -2.93527, -2.95167, -2.96143, -2.95901, -2.94621, -2.84962, -2.78426, -2.72875, -2.67227, -2.55492, -2.48416, -2.35934, -2.26575, -2.04055, -1.43987, -1.13355, -0.957236, -0.837335, -0.697437, -0.51872, -0.425268, -0.370213, -0.295, -0.214278, -0.147826, -0.106701, -0.0769978, -0.0399351, -0.0206723, -0.00763211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0409658, 0.0432921, 0.0443772, 0.0473879, 0.0518002, 0.0542829, 0.0558295, 0.057377, 0.0582274, 0.0626565, 0.0644809, 0.066678, 0.0694866, 0.0739473, 0.0769128");
-            values ( \
-              "-0.0809045, -0.102518, -0.129027, -0.25176, -0.373101, -0.418763, -0.42852, -0.404008, -0.36788, -0.13682, -0.0819167, -0.0444396, -0.021497, -0.00826311, -0.00738877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0113465");
-            index_3 ("0.0423667, 0.0452791, 0.0482642, 0.0539143, 0.0561557, 0.0582684, 0.0603309, 0.0634513, 0.0681864, 0.0705324, 0.0730197, 0.0763323, 0.0811805, 0.0855245, 0.0897195");
-            values ( \
-              "-0.230102, -0.318837, -0.552815, -0.875979, -0.94952, -0.985678, -0.996075, -0.85625, -0.424742, -0.269951, -0.162756, -0.0820377, -0.0317451, -0.0143227, -0.0119486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0353657");
-            index_3 ("0.044667, 0.0471746, 0.0534011, 0.0569934, 0.0619693, 0.0646164, 0.0681837, 0.071752, 0.0755981, 0.0880099, 0.0925461, 0.0982097, 0.103515, 0.110864");
-            values ( \
-              "-0.534596, -0.679573, -1.31931, -1.54052, -1.71817, -1.76747, -1.78961, -1.7449, -1.58468, -0.601385, -0.374953, -0.201866, -0.111915, -0.0589279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.110231");
-            index_3 ("0.0410135, 0.0635485, 0.0722355, 0.0770852, 0.0836682, 0.0933861, 0.101623, 0.105756, 0.112858, 0.137267, 0.147767, 0.15854, 0.172445, 0.187566, 0.200697, 0.206044");
-            values ( \
-              "-0.202178, -2.23834, -2.41444, -2.44841, -2.45208, -2.38685, -2.27743, -2.18609, -1.96693, -0.899021, -0.59091, -0.375312, -0.204086, -0.104017, -0.0567194, -0.0478229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.343578");
-            index_3 ("0.0410598, 0.0646204, 0.0696891, 0.0763688, 0.0794234, 0.0855326, 0.0946117, 0.106446, 0.120732, 0.140532, 0.157719, 0.166722, 0.18473, 0.191107, 0.203554, 0.217468, 0.24458, 0.269305, 0.290462, 0.301627, 0.318837, 0.339476, 0.358047, 0.382808, 0.399078, 0.417436, 0.441913, 0.490866, 0.555272, 0.619678");
-            values ( \
-              "-0.134086, -2.4916, -2.63383, -2.73651, -2.76351, -2.79527, -2.80914, -2.79684, -2.76486, -2.70607, -2.643, -2.60422, -2.50724, -2.46418, -2.36257, -2.20432, -1.74845, -1.31521, -1.00237, -0.862877, -0.679835, -0.505441, -0.385112, -0.265243, -0.20737, -0.156824, -0.107319, -0.0486235, -0.0161625, -0.00546723" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.07089");
-            index_3 ("0.0490227, 0.0558506, 0.0672055, 0.0778275, 0.0875922, 0.0937261, 0.103927, 0.126042, 0.18601, 0.253148, 0.321914, 0.405478, 0.466795, 0.531201, 0.546906, 0.578318, 0.694536, 0.758942, 0.787183, 0.83182, 0.871223, 0.923028, 0.965579, 1.01699, 1.0814, 1.13996, 1.2401, 1.30451, 1.43332, 1.56213, 1.75535");
-            values ( \
-              "-1.25774, -2.07505, -2.67078, -2.86601, -2.93242, -2.95004, -2.96092, -2.9535, -2.90374, -2.84066, -2.7666, -2.65226, -2.53294, -2.33423, -2.26573, -2.10067, -1.39843, -1.06827, -0.944062, -0.772733, -0.644093, -0.504342, -0.411301, -0.319975, -0.232702, -0.173852, -0.104972, -0.0757889, -0.0393161, -0.0203616, -0.00748741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0653127, 0.0681118, 0.0693515, 0.0715505, 0.072908, 0.0755229, 0.0782161, 0.0805861, 0.0837879, 0.0865599, 0.0890665, 0.0914021, 0.0936719, 0.0959365, 0.0992985, 0.101094, 0.102565, 0.10411, 0.105301, 0.107682, 0.109537, 0.112769, 0.113971, 0.115088, 0.121955");
-            values ( \
-              "-0.0362841, -0.0447863, -0.0446244, -0.0570676, -0.0682047, -0.104056, -0.155068, -0.18681, -0.222416, -0.250629, -0.272292, -0.288153, -0.290606, -0.252159, -0.140582, -0.0928574, -0.0644153, -0.043877, -0.0326526, -0.018271, -0.012378, -0.00699772, -0.00890786, -0.00932568, -0.00454914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0113465");
-            index_3 ("0.0672001, 0.0688316, 0.0711808, 0.0739988, 0.0769317, 0.0823738, 0.0871655, 0.0913933, 0.0945201, 0.097435, 0.100288, 0.103384, 0.107517, 0.11086, 0.112711, 0.115971, 0.119684, 0.121937, 0.126442, 0.128264");
-            values ( \
-              "-0.106807, -0.11988, -0.130821, -0.175029, -0.256722, -0.431297, -0.541225, -0.626909, -0.681547, -0.718471, -0.716677, -0.604454, -0.345395, -0.191626, -0.13543, -0.0847974, -0.0461036, -0.0322095, -0.0161774, -0.0136096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0353657");
-            index_3 ("0.0698975, 0.0753533, 0.0797943, 0.0831475, 0.091936, 0.102606, 0.107112, 0.111363, 0.11382, 0.115788, 0.116997, 0.128064, 0.133257, 0.137582, 0.140954, 0.144686, 0.147586, 0.153385, 0.163279, 0.175173");
-            values ( \
-              "-0.110828, -0.35659, -0.518512, -0.68583, -1.01931, -1.35759, -1.4685, -1.5261, -1.51581, -1.46517, -1.41297, -0.611223, -0.361464, -0.228039, -0.157584, -0.104827, -0.0762277, -0.0397397, -0.0124363, -0.00323614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.110231");
-            index_3 ("0.0712249, 0.0877936, 0.0962503, 0.102932, 0.112582, 0.117123, 0.119714, 0.124896, 0.12712, 0.130084, 0.133986, 0.142383, 0.148592, 0.151823, 0.158284, 0.170655, 0.179911, 0.188823, 0.194528, 0.199093, 0.204927, 0.213134, 0.221913, 0.228675, 0.242198, 0.266191, 0.294736");
-            values ( \
-              "-0.0453893, -1.05775, -1.46805, -1.75927, -2.1341, -2.27664, -2.3218, -2.36988, -2.37614, -2.37509, -2.35784, -2.26023, -2.12496, -2.02738, -1.76058, -1.18425, -0.8357, -0.583935, -0.459935, -0.378842, -0.294293, -0.204817, -0.138824, -0.102449, -0.0547716, -0.0162786, -0.00351568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.343578");
-            index_3 ("0.0719137, 0.0988627, 0.116328, 0.122257, 0.126986, 0.133236, 0.139194, 0.148583, 0.159484, 0.173323, 0.184132, 0.206941, 0.223154, 0.231848, 0.249238, 0.258232, 0.271936, 0.304313, 0.323234, 0.336171, 0.359395, 0.37981, 0.402448, 0.417721, 0.447112, 0.463448, 0.496122, 0.560139, 0.624544, 0.68895");
-            values ( \
-              "-0.0606053, -1.73996, -2.53244, -2.6659, -2.72477, -2.76657, -2.78285, -2.78416, -2.76623, -2.72982, -2.69575, -2.60723, -2.52127, -2.46416, -2.31295, -2.20433, -1.98896, -1.4082, -1.1115, -0.937017, -0.680725, -0.50765, -0.364405, -0.290049, -0.185698, -0.144646, -0.0867036, -0.0293465, -0.0097765, -0.00326136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.07089");
-            index_3 ("0.0881914, 0.106022, 0.1188, 0.128187, 0.136491, 0.144828, 0.15592, 0.190376, 0.265602, 0.386638, 0.433684, 0.49809, 0.527044, 0.565649, 0.587659, 0.629369, 0.727979, 0.785952, 0.824876, 0.894925, 0.957721, 1.02798, 1.07434, 1.13875, 1.2131, 1.2775, 1.34191, 1.47072, 1.59953, 1.79275");
-            values ( \
-              "-2.14102, -2.18323, -2.71027, -2.86397, -2.92042, -2.94517, -2.95422, -2.93519, -2.86826, -2.73758, -2.67231, -2.5549, -2.48414, -2.35928, -2.26574, -2.04055, -1.43988, -1.13355, -0.957247, -0.697421, -0.51873, -0.370205, -0.295016, -0.214267, -0.147812, -0.106713, -0.0769841, -0.0399216, -0.020659, -0.00764531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.112995, 0.121828, 0.127965, 0.131337, 0.146027, 0.152669, 0.160428, 0.164031, 0.167628, 0.177232, 0.180488, 0.185164, 0.190768");
-            values ( \
-              "-0.0133325, -0.0208511, -0.031594, -0.0417241, -0.129272, -0.15699, -0.180605, -0.183918, -0.174557, -0.0442174, -0.0238997, -0.0102975, -0.00567749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0113465");
-            index_3 ("0.114773, 0.126148, 0.131479, 0.135626, 0.145193, 0.153913, 0.159402, 0.164347, 0.168948, 0.173378, 0.177801, 0.184719, 0.189023, 0.19311, 0.195562, 0.198118, 0.203385, 0.210408, 0.232004, 0.248935, 0.255188, 0.27076");
-            values ( \
-              "-0.0298882, -0.0712635, -0.0999111, -0.136424, -0.265108, -0.349534, -0.395746, -0.429947, -0.456516, -0.467582, -0.436334, -0.233166, -0.129669, -0.0693138, -0.0470515, -0.0317769, -0.0146207, -0.00589744, -0.00153121, -0.000624248, -0.00409553, -0.00138653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0353657");
-            index_3 ("0.119215, 0.129377, 0.135981, 0.142802, 0.155402, 0.166511, 0.176071, 0.183196, 0.189817, 0.196232, 0.202937, 0.213679, 0.219024, 0.224737, 0.228957, 0.234564, 0.239116, 0.248222, 0.252992, 0.264111");
-            values ( \
-              "-0.100933, -0.169155, -0.236212, -0.347264, -0.579265, -0.739098, -0.857087, -0.932956, -0.987377, -1.00249, -0.889407, -0.463129, -0.296497, -0.175876, -0.116926, -0.0676047, -0.0429047, -0.0165711, -0.0202665, -0.0142501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.110231");
-            index_3 ("0.124527, 0.145602, 0.164897, 0.18341, 0.198001, 0.210689, 0.222146, 0.232904, 0.243587, 0.246265, 0.251585, 0.266777, 0.27507, 0.286712, 0.293227, 0.301913, 0.309381, 0.321643, 0.337993, 0.348877");
-            values ( \
-              "-0.0987832, -0.494709, -0.907436, -1.24153, -1.47715, -1.65925, -1.80348, -1.88406, -1.83629, -1.78762, -1.63817, -1.03322, -0.75665, -0.471298, -0.357774, -0.244834, -0.176236, -0.101775, -0.0472085, -0.0321276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.343578");
-            index_3 ("0.12451, 0.156191, 0.174984, 0.196729, 0.216823, 0.228611, 0.248926, 0.253956, 0.26224, 0.269709, 0.277046, 0.28841, 0.300437, 0.321001, 0.332512, 0.347859, 0.357951, 0.403376, 0.425706, 0.43467, 0.44852, 0.466986, 0.48368, 0.492819, 0.508717, 0.530927, 0.540008, 0.558169, 0.594491, 0.658896, 0.723302");
-            values ( \
-              "-0.00212138, -0.779026, -1.19657, -1.64303, -2.02146, -2.22964, -2.56988, -2.64449, -2.68445, -2.69213, -2.68083, -2.65067, -2.60219, -2.4914, -2.4055, -2.24716, -2.10427, -1.30679, -0.981118, -0.868457, -0.717381, -0.552702, -0.432487, -0.379343, -0.298015, -0.213952, -0.185118, -0.141361, -0.0786918, -0.027304, -0.0081912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.07089");
-            index_3 ("0.148691, 0.180828, 0.214316, 0.253539, 0.263767, 0.271714, 0.282697, 0.31229, 0.360643, 0.439638, 0.487971, 0.526621, 0.591027, 0.619971, 0.658563, 0.680593, 0.722302, 0.820913, 0.878887, 0.91781, 0.94783, 0.987856, 1.05065, 1.09222, 1.12092, 1.16728, 1.23168, 1.30602, 1.37043, 1.43483, 1.56364, 1.69246, 1.88567");
-            values ( \
-              "-1.0336, -1.3685, -2.07755, -2.81929, -2.89591, -2.91787, -2.92623, -2.90997, -2.86629, -2.78516, -2.72682, -2.67222, -2.55496, -2.48419, -2.35932, -2.26577, -2.04052, -1.43986, -1.13357, -0.957225, -0.837329, -0.69745, -0.518715, -0.425272, -0.370216, -0.295004, -0.21428, -0.14783, -0.106706, -0.0769989, -0.0399347, -0.020671, -0.00763486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.207813, 0.2283, 0.238543, 0.247355, 0.251823, 0.258647, 0.273935, 0.282824, 0.290401, 0.297265, 0.303699, 0.309916, 0.316125, 0.317572, 0.332056, 0.33823, 0.346266, 0.355281, 0.365584, 0.367612");
-            values ( \
-              "-0.00370405, -0.00763751, -0.0116569, -0.0165939, -0.0203342, -0.0293305, -0.0662724, -0.081045, -0.0920408, -0.098917, -0.104576, -0.106604, -0.103013, -0.0981503, -0.0243085, -0.0119889, -0.00585183, -0.00340533, -0.00198835, -0.00186069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0113465");
-            index_3 ("0.209282, 0.234395, 0.242589, 0.250706, 0.262632, 0.275168, 0.29109, 0.300118, 0.308267, 0.315851, 0.323136, 0.330411, 0.335877, 0.345933, 0.349872, 0.352675, 0.356902, 0.360899, 0.363798, 0.369595, 0.38119, 0.395925, 0.443653, 0.550129, 0.56623");
-            values ( \
-              "-0.00457115, -0.0279816, -0.0365944, -0.0491239, -0.0816082, -0.148374, -0.212538, -0.239775, -0.261477, -0.276336, -0.28551, -0.275713, -0.225358, -0.0976058, -0.0650627, -0.0482345, -0.0309301, -0.0208912, -0.016118, -0.00979201, -0.00431379, -0.0018955, -0.000364958, -1e-22, -0.001263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0353657");
-            index_3 ("0.209479, 0.241426, 0.254684, 0.259818, 0.267551, 0.28721, 0.296837, 0.311496, 0.32402, 0.335276, 0.345704, 0.355693, 0.365665, 0.367678, 0.386844, 0.395101, 0.401859, 0.405951, 0.414136, 0.427823, 0.444016, 0.471901");
-            values ( \
-              "-0.00310196, -0.0766541, -0.115795, -0.135862, -0.175325, -0.325699, -0.390829, -0.477228, -0.540656, -0.59052, -0.628322, -0.649163, -0.620231, -0.595555, -0.239633, -0.134532, -0.0805487, -0.0585208, -0.0300855, -0.00938345, -0.00304045, -0.00229313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.110231");
-            index_3 ("0.224446, 0.234236, 0.254344, 0.274012, 0.283887, 0.322488, 0.351889, 0.375086, 0.392922, 0.409407, 0.42531, 0.441808, 0.474499, 0.485253, 0.490835, 0.501856, 0.515362, 0.526419, 0.548533, 0.555098");
-            values ( \
-              "-0.0802398, -0.102928, -0.18334, -0.295574, -0.371693, -0.708505, -0.917739, -1.06486, -1.16524, -1.23826, -1.26386, -1.13405, -0.487566, -0.335375, -0.273166, -0.178404, -0.104145, -0.0657194, -0.0241344, -0.0236531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.343578");
-            index_3 ("0.225077, 0.255099, 0.27464, 0.438783, 0.502184, 0.530308, 0.549601, 0.55811, 0.637141, 0.669308, 0.705641, 0.746612, 0.79959, 0.858356");
-            values ( \
-              "-0.128792, -0.242005, -0.370638, -1.74046, -2.14902, -2.24753, -2.22885, -2.17559, -0.924566, -0.591037, -0.34777, -0.186482, -0.0825491, -0.0311294" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.07089");
-            index_3 ("0.274997, 0.324355, 0.378008, 0.438292, 0.524875, 0.549958, 0.561623, 0.577711, 0.610538, 0.662928, 0.733655, 0.791516, 0.8404, 0.887714, 0.95212, 1.04333, 1.10774, 1.14436, 1.20143, 1.26057, 1.31326, 1.3623, 1.42671, 1.47897, 1.51032, 1.57303, 1.63744, 1.70184, 1.76625, 1.89506, 2.08828");
-            values ( \
-              "-0.73656, -0.826802, -1.33176, -1.86522, -2.58739, -2.78224, -2.82488, -2.84918, -2.82373, -2.76663, -2.67235, -2.56898, -2.44585, -2.26614, -1.90315, -1.35552, -1.03311, -0.878808, -0.67742, -0.512679, -0.398178, -0.313236, -0.227793, -0.175555, -0.150245, -0.109335, -0.0789789, -0.0567658, -0.0409859, -0.0212352, -0.00779032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.404968, 0.467775, 0.492246, 0.50297, 0.524417, 0.544214, 0.55524, 0.571266, 0.584961, 0.596632, 0.599388, 0.608303, 0.616505, 0.621132, 0.630386, 0.638122, 0.654302, 0.661143, 0.665601, 0.673986, 0.683971, 0.699945, 0.721895, 0.769096, 0.828578, 0.892984, 1.15061");
-            values ( \
-              "-0.000364162, -0.00381172, -0.00620809, -0.00784866, -0.0131499, -0.0275412, -0.0369343, -0.0448962, -0.0508982, -0.0532102, -0.0542058, -0.0557064, -0.0564278, -0.0564322, -0.0548894, -0.0492727, -0.0199813, -0.0119701, -0.00908014, -0.00586384, -0.00405568, -0.00262413, -0.00177807, -0.000798574, -0.000242629, -7.71076e-05, -6.36445e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0113465");
-            index_3 ("0.403995, 0.471001, 0.4866, 0.507302, 0.532079, 0.546546, 0.559292, 0.574943, 0.59569, 0.613184, 0.626607, 0.633196, 0.639525, 0.652366, 0.656613, 0.660997, 0.674354, 0.680292, 0.687407, 0.693058, 0.70436, 0.713028, 0.719719, 0.7331, 0.759863, 0.800362, 0.855739, 0.920145, 1.11336");
-            values ( \
-              "-0.000824604, -0.0113759, -0.0156889, -0.0232181, -0.0414345, -0.0659245, -0.0900953, -0.112325, -0.134689, -0.148411, -0.156133, -0.158391, -0.159883, -0.155032, -0.147137, -0.133544, -0.0694976, -0.0468468, -0.0296714, -0.0211071, -0.0116876, -0.00865053, -0.00722004, -0.00525734, -0.00315767, -0.00148253, -0.00047811, -0.000145743, -6.24606e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0353657");
-            index_3 ("0.411124, 0.466758, 0.492508, 0.507928, 0.519581, 0.542887, 0.587709, 0.619907, 0.632852, 0.651427, 0.668555, 0.684919, 0.701139, 0.713293, 0.733293, 0.742459, 0.750167, 0.755677, 0.762589, 0.771805, 0.790237, 0.814712, 0.846257, 0.851767");
-            values ( \
-              "-0.00783776, -0.0254955, -0.0423976, -0.0551729, -0.067596, -0.104398, -0.231511, -0.303098, -0.328005, -0.359059, -0.382877, -0.396854, -0.389081, -0.32837, -0.156724, -0.100401, -0.0674845, -0.0508971, -0.0360575, -0.0231206, -0.0102777, -0.00465141, -0.00237231, -0.00218035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.110231");
-            index_3 ("0.46503, 0.521349, 0.541126, 0.561416, 0.638258, 0.674801, 0.705804, 0.733487, 0.759008, 0.783387, 0.807722, 0.826057, 0.849032, 0.867054, 0.877963, 0.891717, 0.900197, 0.917156, 0.948614, 0.984957, 1.15377");
-            values ( \
-              "-0.0862559, -0.124274, -0.163103, -0.215344, -0.487869, -0.598627, -0.68319, -0.750321, -0.801399, -0.830393, -0.796855, -0.651013, -0.406916, -0.253841, -0.18345, -0.119789, -0.0918416, -0.0512644, -0.0159739, -0.00352394, -0.000476287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.343578");
-            index_3 ("0.464647, 0.545935, 0.577018, 0.603519, 0.661462, 0.713059, 0.79198, 0.865447, 0.895991, 0.938298, 0.979123, 1.02183, 1.07936, 1.11339, 1.15089, 1.17845, 1.19481, 1.21577, 1.25606, 1.28443, 1.3129, 1.36153, 1.42594");
-            values ( \
-              "-0.0685361, -0.235898, -0.325024, -0.417832, -0.651258, -0.841802, -1.10941, -1.33351, -1.41504, -1.50529, -1.53179, -1.35039, -0.803319, -0.535935, -0.327499, -0.222184, -0.175743, -0.129374, -0.0763225, -0.0492252, -0.0313738, -0.0142329, -0.00469238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.07089");
-            index_3 ("0.528923, 0.615143, 0.764891, 0.971196, 1.02833, 1.11558, 1.17999, 1.21557, 1.23193, 1.24983, 1.2691, 1.30688, 1.34074, 1.36485, 1.397, 1.47991, 1.52424, 1.58085, 1.62723, 1.66278, 1.72211, 1.77342, 1.82279, 1.88719, 1.94031, 1.97225, 2.03613, 2.10054, 2.16494, 2.22935, 2.35816, 2.55138");
-            values ( \
-              "-0.391703, -0.50287, -1.09931, -1.87164, -2.06983, -2.34815, -2.5224, -2.59702, -2.59405, -2.57295, -2.53274, -2.42973, -2.30335, -2.18697, -2.00248, -1.4939, -1.24946, -0.979871, -0.796723, -0.676641, -0.511507, -0.399902, -0.314183, -0.228422, -0.175354, -0.14958, -0.108237, -0.0781395, -0.0562025, -0.0405375, -0.0209921, -0.00773005" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.0082332, 0.00825625, 0.00829915, 0.00834036, 0.00836226, 0.00837075", \
-            "0.0132, 0.0132145, 0.0132505, 0.0133052, 0.0133454, 0.0133635", \
-            "0.0181087, 0.0181134, 0.0181276, 0.0181623, 0.0182061, 0.0182325", \
-            "0.0215352, 0.0215298, 0.021521, 0.0215165, 0.0215248, 0.0215435", \
-            "0.0234721, 0.0234605, 0.0234322, 0.0233852, 0.0233426, 0.0233257", \
-            "0.024482, 0.0244682, 0.0244338, 0.024368, 0.0242861, 0.024226" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.016094, 0.0161222, 0.0161845, 0.0162656, 0.016318, 0.01634", \
-            "0.0225313, 0.0225251, 0.0225322, 0.0225738, 0.0226216, 0.0226462", \
-            "0.0274757, 0.0271926, 0.0268538, 0.0265686, 0.0264249, 0.0263877", \
-            "0.0321422, 0.0308791, 0.0293256, 0.0282388, 0.0276756, 0.027392", \
-            "0.0377751, 0.0366776, 0.0326013, 0.0296682, 0.0281313, 0.0274915", \
-            "0.0391457, 0.0391573, 0.0388281, 0.0326583, 0.0292314, 0.0277403" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "0.0396022, 0.0403819, 0.0419003, 0.0434856, 0.044371, 0.0447132", \
-            "0.0394314, 0.0398491, 0.0409438, 0.0426209, 0.0439197, 0.0445052", \
-            "0.0403872, 0.0403494, 0.0404359, 0.0417391, 0.0433128, 0.0442051", \
-            "0.0441961, 0.0435234, 0.0426872, 0.0422149, 0.04275, 0.0432724", \
-            "0.0551361, 0.0536559, 0.0510449, 0.0478402, 0.0442029, 0.0442113", \
-            "0.079138, 0.0764289, 0.0708093, 0.0632238, 0.0547979, 0.0484945" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0113465, 0.0353657, 0.110231, 0.343578, 1.07089");
-          values ( \
-            "-0.0161473, -0.0154372, -0.0139084, -0.0122719, -0.0113844, -0.0110434", \
-            "-0.017081, -0.0165384, -0.0151401, -0.0131059, -0.011742, -0.0111678", \
-            "-0.0171198, -0.0169547, -0.0160798, -0.0141359, -0.0123119, -0.0114018", \
-            "-0.0151548, -0.0155826, -0.0159441, -0.015037, -0.0131361, -0.0117701", \
-            "-0.00656054, -0.00882167, -0.0119785, -0.0138366, -0.0131732, -0.0121155", \
-            "0.016247, 0.0125885, 0.0048823, -0.00513764, -0.010266, -0.011394" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0307535;
-      rise_capacitance : 0.0307535;
-      rise_capacitance_range (0.0134135, 0.0307535);
-      fall_capacitance : 0.0303814;
-      fall_capacitance_range (0.0128428, 0.0303814);
-    }
-  }
-  cell (INVX8) {
-    area : 27.1062;
-    cell_footprint : "INV";
-    cell_leakage_power : 1.10454;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 2.15119;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0578901;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.10454;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 1.35745;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.0372151, 0.0425612, 0.0562074, 0.0904897, 0.189954, 0.510149", \
-            "0.0409843, 0.0462227, 0.0596837, 0.0939689, 0.19357, 0.513865", \
-            "0.0508992, 0.0568981, 0.0709728, 0.105482, 0.205194, 0.525612", \
-            "0.0655124, 0.0735494, 0.0937986, 0.13544, 0.235891, 0.555859", \
-            "0.0915148, 0.101641, 0.128601, 0.190156, 0.308976, 0.628162", \
-            "0.141271, 0.154303, 0.189391, 0.273505, 0.449462, 0.792896" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.016024, 0.0205094, 0.0340291, 0.0767998, 0.22024, 0.695537", \
-            "0.0162076, 0.0207599, 0.0343219, 0.0769215, 0.220226, 0.695537", \
-            "0.0213438, 0.0253131, 0.0371641, 0.0779149, 0.220396, 0.695505", \
-            "0.0326361, 0.0388412, 0.0540352, 0.0887397, 0.221597, 0.695529", \
-            "0.0526931, 0.0604634, 0.0822389, 0.129868, 0.241164, 0.69562", \
-            "0.0922933, 0.101565, 0.128934, 0.197397, 0.332624, 0.717077" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.0300481, 0.0337756, 0.043574, 0.0679352, 0.137108, 0.358465", \
-            "0.0332211, 0.0368984, 0.0466343, 0.0710809, 0.140355, 0.361782", \
-            "0.038514, 0.0431256, 0.0545347, 0.0800013, 0.14964, 0.371157", \
-            "0.0391385, 0.0456219, 0.0621973, 0.0984996, 0.173553, 0.395165", \
-            "0.0296841, 0.0388469, 0.0624168, 0.115491, 0.222729, 0.451144", \
-            "-0.00283905, 0.010043, 0.0435722, 0.120044, 0.278114, 0.577608" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.011337, 0.0144017, 0.0231668, 0.0498376, 0.139621, 0.439451", \
-            "0.0113415, 0.014398, 0.0231786, 0.0498502, 0.139614, 0.439452", \
-            "0.0157604, 0.018999, 0.0270165, 0.0512414, 0.139799, 0.439453", \
-            "0.0248059, 0.0293728, 0.0411268, 0.0671517, 0.143846, 0.439402", \
-            "0.0421436, 0.0484167, 0.0649053, 0.10317, 0.18087, 0.442334", \
-            "0.0773323, 0.0855727, 0.108031, 0.160277, 0.270453, 0.504193" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0321915, 0.0381032, 0.0391015, 0.0411559, 0.0438375, 0.0463452, 0.0487911, 0.0512369, 0.0535209, 0.0587573, 0.0604796, 0.0630169, 0.0671718, 0.0706858, 0.0741396, 0.0801954, 0.0862428, 0.0977199, 0.126388, 0.129834");
-            values ( \
-              "0.0302485, 0.194744, 0.209179, 0.23348, 0.255641, 0.267052, 0.269042, 0.263142, 0.24135, 0.118038, 0.0908132, 0.0651501, 0.0406604, 0.0277476, 0.0197227, 0.0113395, 0.00704918, 0.00366801, 0.00112855, 0.00107631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0118975");
-            index_3 ("0.0321723, 0.0405539, 0.0428687, 0.0475062, 0.0510023, 0.0541124, 0.0572453, 0.0603973, 0.0665621, 0.0718254, 0.0764557, 0.080191, 0.0856817, 0.0952959, 0.113889, 0.122565");
-            values ( \
-              "0.014511, 0.497006, 0.571465, 0.657179, 0.686404, 0.691835, 0.672176, 0.604608, 0.327551, 0.190389, 0.123919, 0.0871557, 0.0537304, 0.0246648, 0.00862389, 0.00783628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0388842");
-            index_3 ("0.0356933, 0.0424973, 0.0516753, 0.057462, 0.062583, 0.067655, 0.0727254, 0.0766036, 0.0876817, 0.0964632, 0.105434, 0.115291, 0.121857, 0.13117, 0.151001, 0.170573, 0.172628");
-            values ( \
-              "0.125603, 0.903975, 1.24539, 1.34893, 1.38609, 1.37594, 1.31107, 1.1932, 0.700445, 0.431285, 0.260216, 0.14783, 0.101566, 0.0610502, 0.0223669, 0.00938348, 0.0090832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.127084");
-            index_3 ("0.0404751, 0.0450814, 0.0500313, 0.0569513, 0.0638576, 0.0688283, 0.0777626, 0.079884, 0.0841269, 0.0908177, 0.0997009, 0.102052, 0.106753, 0.114015, 0.124735, 0.127779, 0.143882, 0.149346, 0.15663, 0.168126, 0.174428, 0.181146, 0.189007, 0.199322, 0.208251, 0.218157, 0.232325, 0.247466, 0.259138, 0.282481, 0.324007, 0.372783");
-            values ( \
-              "0.742846, 1.24482, 1.52991, 1.78187, 1.96594, 2.02583, 2.10275, 2.0953, 2.10445, 2.07334, 2.01907, 1.98791, 1.939, 1.81646, 1.58114, 1.49696, 1.10183, 0.985635, 0.846126, 0.650519, 0.562777, 0.480233, 0.398632, 0.307669, 0.246217, 0.19271, 0.132942, 0.090392, 0.0676005, 0.0360797, 0.0116966, 0.00245637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.415343");
-            index_3 ("0.0460587, 0.0637473, 0.0720799, 0.0796173, 0.0912935, 0.103508, 0.120035, 0.138444, 0.178566, 0.209419, 0.236658, 0.2652, 0.325004, 0.356111, 0.391661, 0.410907, 0.432234, 0.46067, 0.482423, 0.519642, 0.562178, 0.587136, 0.625591, 0.676864, 0.759994, 0.926255");
-            values ( \
-              "2.02784, 2.20138, 2.37826, 2.47779, 2.55298, 2.57547, 2.55385, 2.49906, 2.34088, 2.18706, 2.00997, 1.7754, 1.24516, 1.00386, 0.765791, 0.657131, 0.55146, 0.433441, 0.358008, 0.257456, 0.173582, 0.137823, 0.0962014, 0.0592963, 0.0255246, 0.00460187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.35745");
-            index_3 ("0.0534238, 0.0534438, 0.196131, 0.418695, 0.501825, 0.561545, 0.633376, 0.716507, 0.925128, 1.07279, 1.15592, 1.22676, 1.29733, 1.41965, 1.49803, 1.57325, 1.65638, 1.75719, 1.89631, 2.06257, 2.22883, 2.37721");
-            values ( \
-              "1e-22, 2.86108, 2.74119, 2.44947, 2.32643, 2.22337, 2.07201, 1.85807, 1.26828, 0.903612, 0.733154, 0.608865, 0.502764, 0.356967, 0.285095, 0.228905, 0.179229, 0.132783, 0.0874099, 0.0527855, 0.031783, 0.0243699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0491941, 0.0515287, 0.0574559, 0.061466, 0.0664844, 0.0696604, 0.0715839, 0.0759598, 0.0800903, 0.0843348, 0.0876995, 0.0922396, 0.101636, 0.120553, 0.135328");
-            values ( \
-              "0.0570242, 0.0832731, 0.21193, 0.252696, 0.269357, 0.25685, 0.235251, 0.128783, 0.070082, 0.0432015, 0.0300706, 0.0189458, 0.00819723, 0.00275475, 0.00227407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0118975");
-            index_3 ("0.0509316, 0.0566065, 0.0599334, 0.0617859, 0.065286, 0.068526, 0.0716771, 0.074883, 0.0770861, 0.0782119, 0.0835123, 0.0854134, 0.0889494, 0.0914713, 0.0954817, 0.0989033, 0.103644, 0.107409, 0.113158, 0.122755, 0.1308, 0.146888, 0.167959, 0.219493");
-            values ( \
-              "0.143381, 0.428342, 0.535646, 0.57929, 0.645059, 0.675736, 0.685962, 0.666842, 0.626771, 0.591393, 0.351693, 0.28616, 0.19919, 0.157849, 0.108557, 0.0793241, 0.052491, 0.0383204, 0.0245244, 0.0133843, 0.00876612, 0.00433247, 0.00200761, 0.000300955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0388842");
-            index_3 ("0.0523085, 0.0563507, 0.0630633, 0.0693112, 0.0748014, 0.0799817, 0.0850951, 0.0902052, 0.0939954, 0.104819, 0.111758, 0.1224, 0.131626, 0.145645, 0.16729, 0.180566");
-            values ( \
-              "0.0682312, 0.625053, 1.01233, 1.22503, 1.32591, 1.37625, 1.36427, 1.30817, 1.1934, 0.713501, 0.488086, 0.268534, 0.15824, 0.0720037, 0.0238501, 0.0143409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.127084");
-            index_3 ("0.0554193, 0.0617756, 0.0670029, 0.0740776, 0.0795136, 0.086119, 0.0972699, 0.10231, 0.10821, 0.119195, 0.127202, 0.139917, 0.16304, 0.178043, 0.198191, 0.219707, 0.235904, 0.249931, 0.275712, 0.298086, 0.31479");
-            values ( \
-              "0.282107, 1.15841, 1.46853, 1.75662, 1.89915, 2.01912, 2.09508, 2.09413, 2.07307, 1.99419, 1.89118, 1.63283, 1.06686, 0.773181, 0.485406, 0.286517, 0.1905, 0.132905, 0.0687346, 0.0382511, 0.0276298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.415343");
-            index_3 ("0.0614173, 0.0812628, 0.0901314, 0.0972221, 0.108879, 0.117957, 0.126643, 0.141195, 0.1561, 0.181741, 0.216742, 0.233231, 0.267373, 0.342417, 0.375037, 0.412317, 0.4346, 0.468846, 0.506004, 0.549661, 0.588337, 0.62809, 0.658469, 0.719227, 0.802358, 0.82224");
-            values ( \
-              "1.59962, 2.17998, 2.37625, 2.46895, 2.55022, 2.56985, 2.57015, 2.54373, 2.49957, 2.40207, 2.24326, 2.15166, 1.90722, 1.24721, 0.994198, 0.747847, 0.62589, 0.47, 0.340058, 0.229822, 0.160653, 0.111121, 0.0836579, 0.0463539, 0.0203003, 0.0183357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.35745");
-            index_3 ("0.0721035, 0.0721235, 0.213468, 0.386325, 0.519565, 0.579284, 0.651115, 0.734246, 0.929362, 1.09053, 1.2445, 1.3982, 1.51576, 1.59099, 1.73293, 1.91404, 2.24656, 2.27476");
-            values ( \
-              "1e-22, 2.89802, 2.74187, 2.5183, 2.3266, 2.22353, 2.07186, 1.85823, 1.30456, 0.903482, 0.608992, 0.399009, 0.285219, 0.228787, 0.15033, 0.0873019, 0.0316764, 0.0302678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0836037, 0.0898632, 0.0909382, 0.0918536, 0.0936846, 0.0945999, 0.0957948, 0.0969895, 0.0985826, 0.0996139, 0.101726, 0.103954, 0.104796, 0.105638, 0.10648, 0.107322, 0.108131, 0.10894, 0.109748, 0.111103, 0.112196, 0.112742, 0.113268, 0.113793, 0.1142, 0.115015, 0.115828, 0.116233, 0.117043, 0.118557, 0.119556, 0.120556, 0.121296, 0.122036, 0.122776, 0.123515, 0.12563, 0.126946, 0.128158, 0.129371, 0.131156, 0.132048, 0.133546, 0.135832, 0.137176, 0.139192, 0.14188, 0.144568, 0.148283, 0.152587");
-            values ( \
-              "0.0862693, 0.0905973, 0.102992, 0.111586, 0.12742, 0.13466, 0.143397, 0.151495, 0.161517, 0.167573, 0.178984, 0.190288, 0.193922, 0.197159, 0.199999, 0.202442, 0.202971, 0.203285, 0.203385, 0.203071, 0.202379, 0.201887, 0.199823, 0.197087, 0.193426, 0.18427, 0.172702, 0.166023, 0.150851, 0.117384, 0.102094, 0.0889493, 0.0812405, 0.074283, 0.0680768, 0.0626219, 0.0497877, 0.0429057, 0.0380826, 0.0337653, 0.0284504, 0.0261153, 0.022702, 0.0181729, 0.0159407, 0.0132406, 0.0107629, 0.00875189, 0.00681988, 0.00510077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0118975");
-            index_3 ("0.0851538, 0.092571, 0.0936085, 0.0946461, 0.0977586, 0.101082, 0.104964, 0.107784, 0.110055, 0.112327, 0.113256, 0.114005, 0.114647, 0.115433, 0.115826, 0.116611, 0.117135, 0.117659, 0.118008, 0.118706, 0.119055, 0.119464, 0.120281, 0.120689, 0.121507, 0.122324, 0.123958, 0.126622, 0.127511, 0.128401, 0.130181, 0.131071, 0.131961, 0.134348, 0.135729, 0.136761, 0.138037, 0.139566, 0.140456, 0.141347, 0.143052, 0.14514, 0.146698, 0.147217, 0.14915, 0.151084, 0.152559, 0.15551, 0.156985, 0.160329");
-            values ( \
-              "0.2466, 0.257267, 0.279989, 0.300038, 0.355996, 0.409198, 0.466519, 0.503894, 0.529125, 0.550944, 0.564486, 0.573533, 0.579103, 0.583921, 0.585669, 0.587842, 0.587023, 0.585136, 0.582994, 0.576702, 0.572553, 0.565206, 0.54798, 0.538101, 0.51405, 0.487795, 0.428674, 0.321465, 0.293569, 0.268173, 0.226131, 0.20792, 0.191583, 0.154458, 0.135383, 0.123551, 0.110644, 0.0969441, 0.08975, 0.0830738, 0.0717683, 0.0594534, 0.0515313, 0.0491703, 0.0423266, 0.0363804, 0.032686, 0.0263853, 0.023779, 0.0190708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0388842");
-            index_3 ("0.0808566, 0.087039, 0.0909935, 0.115945, 0.121287, 0.124703, 0.127267, 0.13272, 0.135956, 0.148546, 0.157221, 0.16476, 0.170515, 0.178, 0.184293, 0.195376, 0.21902, 0.231393");
-            values ( \
-              "0.143496, 0.246358, 0.351866, 1.13845, 1.24628, 1.27979, 1.28847, 1.25583, 1.18192, 0.669169, 0.417472, 0.274884, 0.197839, 0.129721, 0.0911172, 0.0505431, 0.0160268, 0.0137574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.127084");
-            index_3 ("0.098286, 0.11423, 0.117483, 0.119443, 0.122182, 0.123226, 0.125128, 0.127704, 0.12925, 0.130795, 0.132341, 0.133886, 0.135244, 0.136602, 0.137961, 0.139319, 0.142127, 0.144936, 0.150552, 0.15617, 0.161787, 0.163435, 0.165831, 0.168249, 0.170068, 0.172019, 0.173971, 0.177073, 0.180176, 0.202127, 0.20907, 0.213595, 0.219589, 0.221587, 0.226718, 0.23185, 0.234516, 0.237181, 0.239847, 0.243921, 0.247995, 0.253464, 0.258934, 0.262293, 0.267332, 0.269011, 0.27573, 0.282448, 0.290831, 0.298772");
-            values ( \
-              "1.4292, 1.44215, 1.59485, 1.67088, 1.76152, 1.79216, 1.84262, 1.90107, 1.92925, 1.95489, 1.97798, 1.99852, 2.01447, 2.02846, 2.04048, 2.05053, 2.04586, 2.03987, 2.02393, 2.00271, 1.97623, 1.96048, 1.93283, 1.90088, 1.87418, 1.84195, 1.80686, 1.74384, 1.67628, 1.14097, 0.989512, 0.895362, 0.788234, 0.754566, 0.673761, 0.597707, 0.560072, 0.524647, 0.491432, 0.447187, 0.405993, 0.356811, 0.310474, 0.283427, 0.248532, 0.237894, 0.202053, 0.170662, 0.138385, 0.110595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.415343");
-            index_3 ("0.0803705, 0.133794, 0.139073, 0.14963, 0.159634, 0.168938, 0.183603, 0.198485, 0.229143, 0.261548, 0.294447, 0.301549, 0.329957, 0.381931, 0.404715, 0.429669, 0.462148, 0.490556, 0.51246, 0.548506, 0.564139, 0.591637, 0.63053, 0.647778, 0.671096, 0.702186, 0.764366, 0.847496, 0.930627, 1.01376");
-            values ( \
-              "0.0314214, 2.30435, 2.39305, 2.50191, 2.54518, 2.55516, 2.53725, 2.49637, 2.38084, 2.2305, 2.02877, 1.97441, 1.73287, 1.2717, 1.08921, 0.908461, 0.705789, 0.560005, 0.465419, 0.339958, 0.295859, 0.230824, 0.161183, 0.13744, 0.110657, 0.0826237, 0.0452134, 0.0197032, 0.00850743, 0.00370468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.35745");
-            index_3 ("0.0978867, 0.127589, 0.133542, 0.142006, 0.153752, 0.162043, 0.173097, 0.186942, 0.200533, 0.224476, 0.254241, 0.446162, 0.528522, 0.582054, 0.665185, 0.751222, 0.971764, 1.01286, 1.06766, 1.13386, 1.21699, 1.28564, 1.35677, 1.4399, 1.47997, 1.5601, 1.63471, 1.71784, 1.81702, 1.95506, 2.12132, 2.28758, 2.45384, 2.78637");
-            values ( \
-              "1.04449, 2.24727, 2.40877, 2.5695, 2.69821, 2.74697, 2.78307, 2.79817, 2.7974, 2.77801, 2.74406, 2.49513, 2.37842, 2.29358, 2.1368, 1.9278, 1.30489, 1.19497, 1.05657, 0.90173, 0.732024, 0.611476, 0.503776, 0.400124, 0.356767, 0.283874, 0.227931, 0.178843, 0.132785, 0.0876378, 0.0528533, 0.0317536, 0.0190113, 0.00672203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.127709, 0.139457, 0.144159, 0.150566, 0.154271, 0.162393, 0.172808, 0.179234, 0.184848, 0.195089, 0.200093, 0.205095, 0.209858, 0.216258, 0.223642, 0.229633, 0.234103, 0.241121, 0.249016, 0.252197, 0.255811, 0.289213");
-            values ( \
-              "0.00428659, 0.0088443, 0.0122158, 0.0185159, 0.0240213, 0.0468602, 0.0932029, 0.110865, 0.122778, 0.132324, 0.129782, 0.115756, 0.0709695, 0.0359027, 0.0180874, 0.0110635, 0.00755709, 0.0045304, 0.00283577, 0.00458702, 0.00513981, 0.00173868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0118975");
-            index_3 ("0.12853, 0.141526, 0.146102, 0.151824, 0.157888, 0.16581, 0.174667, 0.181859, 0.191873, 0.204027, 0.2099, 0.215767, 0.22355, 0.228726, 0.237686, 0.245444, 0.248998, 0.255389, 0.284356, 0.294942");
-            values ( \
-              "0.0134269, 0.0285831, 0.0383529, 0.054757, 0.0805469, 0.141337, 0.232256, 0.284454, 0.335016, 0.367218, 0.36297, 0.313002, 0.163672, 0.109148, 0.0536686, 0.029606, 0.0228045, 0.0234677, 0.00782937, 0.00622113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0388842");
-            index_3 ("0.130501, 0.147824, 0.152769, 0.157916, 0.164397, 0.181281, 0.189703, 0.200577, 0.209953, 0.21848, 0.226509, 0.234497, 0.249136, 0.261061, 0.27132, 0.278472, 0.283514, 0.294107, 0.300789, 0.314153, 0.326618");
-            values ( \
-              "0.0381667, 0.0924425, 0.122422, 0.16205, 0.229295, 0.481072, 0.587607, 0.702391, 0.789784, 0.852124, 0.890778, 0.86423, 0.499617, 0.300086, 0.180498, 0.12551, 0.0969907, 0.0571234, 0.0415407, 0.0224439, 0.0146259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.127084");
-            index_3 ("0.166821, 0.192718, 0.206291, 0.216632, 0.220815, 0.224999, 0.229182, 0.233366, 0.235363, 0.23736, 0.239357, 0.242127, 0.244444, 0.248391, 0.249342, 0.250294, 0.251246, 0.253621, 0.256129, 0.257132, 0.259139, 0.260949, 0.262758, 0.263965, 0.265172, 0.267585, 0.268969, 0.271738, 0.273123, 0.275891, 0.27866, 0.281429, 0.285744, 0.296018, 0.303645, 0.309018, 0.312599, 0.319484, 0.32609, 0.331403, 0.339757, 0.347007, 0.352835, 0.362189, 0.367477, 0.36924, 0.376291, 0.383342, 0.39124, 0.397859");
-            values ( \
-              "0.816446, 0.820271, 1.06053, 1.22928, 1.3124, 1.40202, 1.49814, 1.60077, 1.60307, 1.60878, 1.61789, 1.63614, 1.65645, 1.70579, 1.73866, 1.74335, 1.75012, 1.77427, 1.79413, 1.80006, 1.8085, 1.80986, 1.80832, 1.80532, 1.80079, 1.78713, 1.77435, 1.74449, 1.7274, 1.68792, 1.64335, 1.59367, 1.50581, 1.26627, 1.09362, 0.975661, 0.90638, 0.783103, 0.677049, 0.600889, 0.490056, 0.413715, 0.360814, 0.282813, 0.246753, 0.235771, 0.198784, 0.166604, 0.136832, 0.113919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.415343");
-            index_3 ("0.178516, 0.215624, 0.244195, 0.249025, 0.252544, 0.261292, 0.264012, 0.266733, 0.269052, 0.271371, 0.273689, 0.276008, 0.28139, 0.286771, 0.291998, 0.297225, 0.304792, 0.312359, 0.324128, 0.334769, 0.341487, 0.354923, 0.360522, 0.371719, 0.377705, 0.389678, 0.40462, 0.413576, 0.431488, 0.450712, 0.469646, 0.485563, 0.504834, 0.515846, 0.526857, 0.543898, 0.560938, 0.581965, 0.590765, 0.601504, 0.612243, 0.62612, 0.639998, 0.651269, 0.662541, 0.683323, 0.700013, 0.71954, 0.729304, 0.744784");
-            values ( \
-              "1.27405, 1.40856, 2.02843, 2.18884, 2.22593, 2.35629, 2.38677, 2.41222, 2.42829, 2.4418, 2.45275, 2.46115, 2.46541, 2.46665, 2.46494, 2.46038, 2.44494, 2.42518, 2.38499, 2.34435, 2.3166, 2.25622, 2.22881, 2.16425, 2.12475, 2.04169, 1.93041, 1.85966, 1.70905, 1.5345, 1.36844, 1.23373, 1.07431, 0.994475, 0.918409, 0.808126, 0.706865, 0.593684, 0.550057, 0.50404, 0.460871, 0.410302, 0.36249, 0.325942, 0.292765, 0.244293, 0.210683, 0.176545, 0.161379, 0.13982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.35745");
-            index_3 ("0.16596, 0.213628, 0.259972, 0.267002, 0.277313, 0.288103, 0.298272, 0.316309, 0.337783, 0.362222, 0.563012, 0.661524, 0.717194, 0.791721, 0.874852, 1.08348, 1.16451, 1.23114, 1.31427, 1.38511, 1.45568, 1.53881, 1.578, 1.65638, 1.7316, 1.81473, 1.87354, 1.97151, 2.05464, 2.2209, 2.38716, 2.63656, 2.88595");
-            values ( \
-              "0.615341, 1.43689, 2.52992, 2.62048, 2.70275, 2.74719, 2.76623, 2.7723, 2.75743, 2.73035, 2.46907, 2.32416, 2.22836, 2.07212, 1.85803, 1.26824, 1.05913, 0.903657, 0.733117, 0.608835, 0.502808, 0.398852, 0.357009, 0.285065, 0.228946, 0.179198, 0.150487, 0.112372, 0.0874485, 0.0528219, 0.0318179, 0.0148565, 0.00689606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.202038, 0.269444, 0.275397, 0.287931, 0.300046, 0.304237, 0.312618, 0.320799, 0.338352, 0.34912, 0.358329, 0.366777, 0.37488, 0.382848, 0.390811, 0.392536, 0.40135, 0.408003, 0.413424, 0.419605, 0.424381, 0.433933, 0.445602, 0.456514, 0.477575, 0.550358, 0.556945, 0.566871, 0.577588");
-            values ( \
-              "0.000476598, 0.00267713, 0.00459129, 0.00672808, 0.00999543, 0.011692, 0.0164436, 0.0247626, 0.054069, 0.0676914, 0.0747755, 0.0800257, 0.0820747, 0.0825686, 0.0771239, 0.0731996, 0.0406394, 0.0245403, 0.0169455, 0.0114751, 0.00849675, 0.00463223, 0.00244053, 0.00144394, 0.000614013, 0.000135076, 0.00122925, 0.00145421, 0.00107306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0118975");
-            index_3 ("0.202661, 0.269072, 0.275411, 0.293805, 0.306908, 0.310279, 0.317019, 0.325274, 0.344233, 0.355366, 0.359169, 0.367216, 0.376938, 0.381242, 0.386194, 0.395299, 0.404402, 0.408888, 0.417656, 0.423236, 0.429407, 0.435928, 0.440982, 0.451089, 0.460187, 0.467282, 0.481473, 0.506527, 0.550372, 0.558535, 0.569641, 0.578991, 0.580144");
-            values ( \
-              "0.00154969, 0.00822626, 0.0132656, 0.0238707, 0.0366864, 0.0416378, 0.0540354, 0.0781276, 0.153861, 0.187764, 0.196835, 0.213084, 0.227235, 0.231576, 0.234935, 0.235778, 0.219952, 0.187686, 0.111071, 0.0783904, 0.0538555, 0.036749, 0.0271383, 0.0146797, 0.00897774, 0.00627002, 0.00328645, 0.001389, 0.000523, 0.00363327, 0.00445729, 0.00321128, 0.00311905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0388842");
-            index_3 ("0.204885, 0.268155, 0.287325, 0.293307, 0.30527, 0.314671, 0.332765, 0.357797, 0.363069, 0.376254, 0.390721, 0.403985, 0.416558, 0.428868, 0.441167, 0.458078, 0.465696, 0.470563, 0.477089, 0.483262, 0.490584, 0.50196, 0.507647, 0.516408, 0.528089, 0.550443, 0.56063, 0.57302, 0.590258");
-            values ( \
-              "0.00499055, 0.0225299, 0.0502756, 0.0601744, 0.0834386, 0.110147, 0.194632, 0.36829, 0.396933, 0.458864, 0.510748, 0.545996, 0.5667, 0.57191, 0.511992, 0.287713, 0.214481, 0.175765, 0.133346, 0.102057, 0.0735121, 0.0435403, 0.0337984, 0.0230928, 0.0140602, 0.00571286, 0.0119542, 0.0139799, 0.00986014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.127084");
-            index_3 ("0.267505, 0.301514, 0.323332, 0.333213, 0.376846, 0.395547, 0.421991, 0.444749, 0.465278, 0.484506, 0.503621, 0.522705, 0.550166, 0.579447, 0.59747, 0.610259, 0.621109, 0.632115, 0.64679, 0.67614, 0.6875");
-            values ( \
-              "0.124258, 0.144812, 0.239839, 0.300556, 0.655356, 0.78467, 0.944414, 1.06831, 1.16036, 1.21787, 1.17971, 0.949663, 0.590245, 0.334844, 0.221082, 0.162254, 0.12422, 0.0945673, 0.065341, 0.0302251, 0.0246212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.415343");
-            index_3 ("0.290141, 0.330747, 0.348724, 0.470222, 0.513257, 0.531275, 0.549294, 0.556379, 0.564492, 0.572507, 0.583205, 0.600213, 0.618724, 0.64738, 0.681153, 0.720458, 0.738216, 0.773732, 0.793034, 0.814423, 0.842941, 0.869872, 0.901609, 0.943925, 0.969229, 1.0082, 1.06015, 1.14328, 1.22641, 1.30954");
-            values ( \
-              "0.357756, 0.379081, 0.512485, 1.56499, 1.91107, 2.03977, 2.15524, 2.18951, 2.2125, 2.21306, 2.19137, 2.12084, 2.00555, 1.77346, 1.46953, 1.13833, 1.00363, 0.766598, 0.657544, 0.551557, 0.432581, 0.34192, 0.257542, 0.174516, 0.138163, 0.0960478, 0.0583694, 0.0254999, 0.0110071, 0.00481045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.35745");
-            index_3 ("0.323495, 0.383818, 0.558862, 0.571813, 0.584688, 0.604264, 0.650466, 0.800695, 0.883825, 0.943572, 1.01539, 1.09852, 1.30714, 1.45479, 1.53792, 1.60876, 1.67934, 1.76247, 1.88003, 1.95525, 2.09719, 2.27829, 2.44455, 2.61081, 2.70821");
-            values ( \
-              "0.764936, 0.856018, 2.59477, 2.66092, 2.68765, 2.6926, 2.64793, 2.44955, 2.32637, 2.22328, 2.07208, 1.85801, 1.26823, 0.903672, 0.733107, 0.608823, 0.502823, 0.39884, 0.285055, 0.228963, 0.150504, 0.0874647, 0.0528372, 0.0318326, 0.0251972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.457705, 0.573786, 0.591005, 0.608225, 0.628384, 0.640498, 0.654342, 0.667272, 0.672227, 0.699056, 0.710611, 0.718135, 0.734357, 0.749192, 0.763374, 0.777249, 0.791113, 0.79496, 0.799064, 0.812291, 0.816185, 0.823973, 0.830498, 0.84005, 0.852786, 0.86175, 0.873552, 0.889287, 0.920757, 0.959667, 1.00868, 1.2159, 1.24019, 1.2744");
-            values ( \
-              "2.29242e-05, 0.00223683, 0.00283596, 0.00365708, 0.00507954, 0.00649205, 0.00880418, 0.0127267, 0.014995, 0.0302011, 0.0353966, 0.038081, 0.0427251, 0.0454567, 0.0471647, 0.0474034, 0.0454442, 0.0430365, 0.0392627, 0.0210853, 0.0173179, 0.0121048, 0.00947382, 0.00676483, 0.00444462, 0.00334613, 0.00229556, 0.00137533, 0.00044646, 0.000174275, 6.54558e-05, 2.35784e-05, 0.000440978, 0.000223112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0118975");
-            index_3 ("0.429613, 0.457714, 0.533057, 0.566088, 0.576129, 0.592265, 0.6084, 0.626916, 0.633299, 0.646063, 0.667619, 0.685432, 0.701286, 0.717732, 0.728091, 0.746083, 0.762442, 0.777921, 0.793039, 0.808144, 0.811421, 0.814915, 0.829787, 0.83435, 0.843474, 0.853754, 0.861734, 0.873569, 0.884295, 0.891922, 0.907176, 0.937684, 0.975868, 1.0929, 1.21607, 1.23846, 1.26829, 1.29392");
-            values ( \
-              "0.000620918, 0.000909037, 0.00382823, 0.00635841, 0.00726405, 0.00900604, 0.0113592, 0.0150963, 0.0169482, 0.0213951, 0.0344574, 0.0568782, 0.0813056, 0.101788, 0.111416, 0.126805, 0.135078, 0.141515, 0.142174, 0.137467, 0.131746, 0.124262, 0.0713393, 0.0582549, 0.0394635, 0.0268449, 0.0199495, 0.0129371, 0.00890695, 0.00681331, 0.00395913, 0.00131987, 0.000470133, 9.90634e-05, 5.07207e-05, 0.00138508, 0.000961892, 0.000136631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0388842");
-            index_3 ("0.532595, 0.608234, 0.636608, 0.652548, 0.673802, 0.686056, 0.726556, 0.753747, 0.776628, 0.797376, 0.816968, 0.836083, 0.855179, 0.857639, 0.886667, 0.903213, 0.928932, 0.94773, 0.963338, 0.994554, 1.03296, 1.21591, 1.23715");
-            values ( \
-              "0.0237123, 0.0319933, 0.0476673, 0.0617982, 0.090682, 0.117397, 0.227524, 0.28753, 0.325017, 0.349702, 0.364454, 0.368918, 0.351183, 0.341851, 0.172719, 0.103706, 0.0438996, 0.0229617, 0.0131975, 0.00419917, 0.00130085, 0.000246981, 0.00319453" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.127084");
-            index_3 ("0.532572, 0.601288, 0.640803, 0.659783, 0.705141, 0.774183, 0.81482, 0.849777, 0.881661, 0.911699, 0.940957, 0.970172, 1.01453, 1.04677, 1.07199, 1.09102, 1.13369, 1.17165, 1.21605, 1.23523");
-            values ( \
-              "0.063026, 0.0701975, 0.11137, 0.140154, 0.254928, 0.507862, 0.618577, 0.691568, 0.743587, 0.778488, 0.788039, 0.706881, 0.400381, 0.231437, 0.142383, 0.0959227, 0.0378841, 0.0153028, 0.00521556, 0.00785015" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.415343");
-            index_3 ("0.532232, 0.64361, 0.674575, 0.706859, 0.721378, 0.790465, 0.852841, 0.947369, 1.00529, 1.0575, 1.10637, 1.15528, 1.19174, 1.2955, 1.31477, 1.35333, 1.39676, 1.42223, 1.47216, 1.50049, 1.55713, 1.64026, 1.66901");
-            values ( \
-              "0.0736903, 0.194864, 0.261927, 0.352843, 0.402903, 0.682431, 0.90589, 1.20694, 1.37226, 1.49622, 1.56322, 1.4774, 1.27834, 0.654778, 0.559601, 0.402489, 0.274288, 0.217739, 0.137285, 0.105384, 0.0611836, 0.0267138, 0.0214716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.35745");
-            index_3 ("0.608207, 0.724779, 0.791661, 1.08681, 1.15476, 1.21588, 1.23049, 1.24963, 1.26638, 1.29806, 1.38161, 1.43997, 1.5127, 1.59583, 1.79095, 1.83146, 1.88548, 1.95211, 2.03525, 2.10608, 2.17666, 2.25979, 2.29897, 2.37735, 2.45257, 2.5357, 2.63649, 2.69247, 2.7756, 2.85873, 2.94187, 3.10813, 3.35752, 3.60691");
-            values ( \
-              "0.350568, 0.487954, 0.743959, 1.96078, 2.22792, 2.44861, 2.4772, 2.49307, 2.48367, 2.44832, 2.32558, 2.22501, 2.07209, 1.85803, 1.30476, 1.19632, 1.05914, 0.903652, 0.733129, 0.608848, 0.502803, 0.398865, 0.357004, 0.285079, 0.228942, 0.17921, 0.132819, 0.112385, 0.087444, 0.0680338, 0.0528159, 0.0318111, 0.0148653, 0.00688838" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.00847901, 0.00855084, 0.00866763, 0.00876409, 0.0088098, 0.00882627", \
-            "0.0148446, 0.0149268, 0.0151123, 0.0153373, 0.0154734, 0.0155277", \
-            "0.0235607, 0.0236029, 0.0237406, 0.0240337, 0.0243071, 0.0244398", \
-            "0.0323653, 0.0323211, 0.0322499, 0.0322651, 0.0324558, 0.0326191", \
-            "0.0388292, 0.0386564, 0.038306, 0.037888, 0.0374898, 0.0373844", \
-            "0.0431757, 0.0427226, 0.0419866, 0.0412169, 0.0404062, 0.0397812" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.0179242, 0.0180186, 0.0182527, 0.018529, 0.0186853, 0.0187455", \
-            "0.0290357, 0.0289809, 0.0290129, 0.029304, 0.0295901, 0.0297237", \
-            "0.0414193, 0.0408298, 0.0399127, 0.0389584, 0.0384922, 0.038358", \
-            "0.0501223, 0.0491363, 0.0454404, 0.0419155, 0.0398352, 0.038871", \
-            "0.0472603, 0.0475236, 0.0478994, 0.0437626, 0.0383549, 0.0361295", \
-            "0.0422772, 0.043031, 0.0442591, 0.0455136, 0.0405701, 0.0356267" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.028139, 0.0328886, 0.0348327, 0.036892, 0.0397542, 0.0415554, 0.0433, 0.0450426, 0.0459703, 0.051573, 0.0543321, 0.0575188, 0.0613188, 0.0659724");
-            values ( \
-              "-0.0803512, -0.187379, -0.261129, -0.31114, -0.354251, -0.371827, -0.380665, -0.365557, -0.336991, -0.101004, -0.0477826, -0.021217, -0.0100084, -0.00647007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0118975");
-            index_3 ("0.028112, 0.0324097, 0.0378642, 0.0429603, 0.0452487, 0.0474754, 0.0496997, 0.0507559, 0.0576044, 0.0604068, 0.0646514, 0.0692134, 0.074427, 0.0801197");
-            values ( \
-              "-0.0841628, -0.415124, -0.754291, -0.913954, -0.955213, -0.971304, -0.926123, -0.865924, -0.296959, -0.166093, -0.0685147, -0.029047, -0.0123499, -0.00885826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0388842");
-            index_3 ("0.0298358, 0.034621, 0.0387671, 0.0411596, 0.0470356, 0.0514565, 0.0550996, 0.0586932, 0.062686, 0.0642804, 0.0741842, 0.0783548, 0.0835626, 0.0872096, 0.0943941, 0.0996049");
-            values ( \
-              "-0.054691, -0.931798, -1.31762, -1.48704, -1.7585, -1.89187, -1.95136, -1.94495, -1.79037, -1.67626, -0.701379, -0.437857, -0.235583, -0.151677, -0.0634096, -0.0381264" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.127084");
-            index_3 ("0.0318857, 0.0375123, 0.0447736, 0.0503375, 0.0546766, 0.058236, 0.062982, 0.0659475, 0.0699016, 0.0754306, 0.0794149, 0.0871232, 0.0925178, 0.0957005, 0.102066, 0.111859, 0.121816, 0.132513, 0.136661, 0.143427, 0.15104, 0.158854, 0.16492, 0.177054, 0.198761, 0.224605");
-            values ( \
-              "-0.0946814, -1.53542, -2.18982, -2.50238, -2.68006, -2.78959, -2.89239, -2.93443, -2.96902, -2.98013, -2.96193, -2.8528, -2.69905, -2.57228, -2.21124, -1.56816, -1.03611, -0.637766, -0.524861, -0.3794, -0.26082, -0.177428, -0.131055, -0.0702224, -0.0208598, -0.00456562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.415343");
-            index_3 ("0.0389879, 0.0520186, 0.0561746, 0.0624419, 0.0669849, 0.0730423, 0.0793898, 0.0853752, 0.0958498, 0.106174, 0.121744, 0.13772, 0.148687, 0.170401, 0.182122, 0.19552, 0.220527, 0.243654, 0.263449, 0.269394, 0.278058, 0.287959, 0.307762, 0.316172, 0.329788, 0.343576, 0.362824, 0.380238, 0.403457, 0.449894, 0.513044, 0.576195");
-            values ( \
-              "-2.85373, -2.88735, -3.08231, -3.29263, -3.39751, -3.49334, -3.55448, -3.58659, -3.60609, -3.59538, -3.54968, -3.4769, -3.41261, -3.23789, -3.10151, -2.88542, -2.28856, -1.71579, -1.30299, -1.19566, -1.05253, -0.906735, -0.666557, -0.583823, -0.469842, -0.375869, -0.273892, -0.205507, -0.139207, -0.0614319, -0.0187994, -0.00578601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.35745");
-            index_3 ("0.0434278, 0.0764339, 0.0867896, 0.0977666, 0.108374, 0.124822, 0.171636, 0.236077, 0.299228, 0.341655, 0.370113, 0.427031, 0.469506, 0.517979, 0.581129, 0.666313, 0.729253, 0.765541, 0.822707, 0.877876, 0.926753, 0.974476, 1.03763, 1.08778, 1.17863, 1.24178, 1.36808, 1.49438, 1.68383");
-            values ( \
-              "-3.68281, -3.72406, -3.81465, -3.86037, -3.87721, -3.87915, -3.83458, -3.75181, -3.65877, -3.58548, -3.52893, -3.38716, -3.23924, -2.98669, -2.49531, -1.79479, -1.36303, -1.15399, -0.880178, -0.672103, -0.526985, -0.413549, -0.299151, -0.230743, -0.143555, -0.103176, -0.052952, -0.027141, -0.00973355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0437016, 0.0456959, 0.0477548, 0.0538848, 0.0569527, 0.0587526, 0.0604911, 0.0622272, 0.0630789, 0.0688258, 0.0710767, 0.0743736, 0.0769354, 0.0796568");
-            values ( \
-              "-0.0708317, -0.0824345, -0.112519, -0.303798, -0.354185, -0.373071, -0.382211, -0.367278, -0.340909, -0.0988797, -0.0534554, -0.0226499, -0.0131841, -0.00954003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0118975");
-            index_3 ("0.0450456, 0.0470486, 0.0492127, 0.0539332, 0.05717, 0.0624162, 0.0646361, 0.0668542, 0.0679055, 0.0762817, 0.0784829, 0.0818074, 0.0847189");
-            values ( \
-              "-0.21834, -0.252266, -0.341065, -0.694932, -0.833192, -0.961227, -0.9707, -0.932106, -0.868369, -0.216316, -0.136478, -0.0683152, -0.0426629" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0388842");
-            index_3 ("0.0456246, 0.051601, 0.0567432, 0.0604702, 0.0646149, 0.0684201, 0.0720684, 0.0756626, 0.0796539, 0.0812518, 0.0911746, 0.0953247, 0.100481, 0.104169, 0.111434, 0.119052");
-            values ( \
-              "-0.0290145, -0.848406, -1.3766, -1.60034, -1.77933, -1.89254, -1.95298, -1.94592, -1.79112, -1.6765, -0.699493, -0.437594, -0.236929, -0.151776, -0.0628083, -0.0261268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.127084");
-            index_3 ("0.0523197, 0.0585581, 0.0639433, 0.0676418, 0.0729885, 0.0811149, 0.0848298, 0.0888668, 0.0965775, 0.10427, 0.109267, 0.112831, 0.119961, 0.127858, 0.137319, 0.143032, 0.149562, 0.156328, 0.161206, 0.171463, 0.177582, 0.18982, 0.21, 0.233932");
-            values ( \
-              "-1.75901, -1.91131, -2.31012, -2.50959, -2.72129, -2.91273, -2.95587, -2.97989, -2.9655, -2.85316, -2.71311, -2.57289, -2.16167, -1.63893, -1.11287, -0.865377, -0.640596, -0.465458, -0.367794, -0.222021, -0.163767, -0.0873573, -0.0288287, -0.00732707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.415343");
-            index_3 ("0.0556643, 0.0687026, 0.0726388, 0.076927, 0.081167, 0.0884837, 0.0958142, 0.102582, 0.11306, 0.123374, 0.139128, 0.154795, 0.165887, 0.187599, 0.199352, 0.212723, 0.23773, 0.260839, 0.280619, 0.290317, 0.305232, 0.325101, 0.337752, 0.346617, 0.360521, 0.379895, 0.401895, 0.431227, 0.489893, 0.553043");
-            values ( \
-              "-2.62113, -2.86775, -3.05688, -3.21633, -3.33478, -3.47292, -3.55089, -3.58827, -3.60688, -3.59587, -3.54911, -3.47757, -3.4127, -3.23786, -3.10111, -2.88537, -2.28848, -1.71612, -1.30355, -1.13229, -0.905731, -0.665087, -0.544652, -0.472638, -0.377417, -0.274451, -0.19077, -0.116066, -0.0396019, -0.0121451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.35745");
-            index_3 ("0.0617798, 0.105201, 0.115592, 0.125654, 0.141731, 0.188915, 0.253357, 0.316507, 0.358934, 0.387393, 0.44431, 0.486786, 0.535258, 0.598408, 0.683593, 0.746533, 0.782821, 0.839987, 0.895156, 0.944033, 0.991755, 1.05491, 1.10506, 1.19591, 1.25906, 1.38536, 1.51166, 1.70111");
-            values ( \
-              "-3.80473, -3.82284, -3.86238, -3.8775, -3.87936, -3.83457, -3.75181, -3.65877, -3.58548, -3.52893, -3.38716, -3.23924, -2.98669, -2.49531, -1.79479, -1.36303, -1.15399, -0.880177, -0.672103, -0.526984, -0.413548, -0.299151, -0.230742, -0.143554, -0.103175, -0.052952, -0.0271413, -0.00973305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0703226, 0.0741222, 0.0778882, 0.0808541, 0.086206, 0.0894947, 0.0923217, 0.0972329, 0.0995263, 0.101814, 0.107023, 0.109533, 0.11267, 0.119421, 0.126234");
-            values ( \
-              "-0.034456, -0.0434383, -0.0625769, -0.0949434, -0.181259, -0.217232, -0.246548, -0.284968, -0.287944, -0.251479, -0.0905219, -0.0481625, -0.0220863, -0.00925033, -0.00495407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0118975");
-            index_3 ("0.0716532, 0.0765863, 0.0781254, 0.0797782, 0.0827601, 0.086442, 0.0891659, 0.0931054, 0.0965019, 0.0995608, 0.102407, 0.105181, 0.108168, 0.112386, 0.115071, 0.116538, 0.118022, 0.120001, 0.121865, 0.122945, 0.125105, 0.129424, 0.133723");
-            values ( \
-              "-0.105446, -0.144656, -0.164464, -0.19465, -0.27649, -0.414618, -0.493131, -0.593231, -0.669542, -0.730865, -0.772364, -0.775604, -0.657925, -0.359304, -0.229312, -0.174216, -0.131168, -0.0894569, -0.0631106, -0.0520285, -0.0359292, -0.0182082, -0.0114607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0388842");
-            index_3 ("0.0719407, 0.0841195, 0.0892086, 0.0993635, 0.106496, 0.110791, 0.114797, 0.116284, 0.118773, 0.122433, 0.131711, 0.135582, 0.139872, 0.144182, 0.152093, 0.159826");
-            values ( \
-              "-0.0221745, -0.546502, -0.830161, -1.28785, -1.56116, -1.69857, -1.78191, -1.77999, -1.71169, -1.47171, -0.632039, -0.410024, -0.248667, -0.148795, -0.0577517, -0.0243497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.127084");
-            index_3 ("0.0790323, 0.0865398, 0.115477, 0.120389, 0.125412, 0.128468, 0.13458, 0.13987, 0.144294, 0.152311, 0.178712, 0.19126, 0.200773, 0.215939, 0.233958, 0.237196");
-            values ( \
-              "-0.572806, -0.878892, -2.58381, -2.75286, -2.85567, -2.89254, -2.91965, -2.88947, -2.82028, -2.57992, -1.04497, -0.59094, -0.375149, -0.177819, -0.0710901, -0.063619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.415343");
-            index_3 ("0.0723756, 0.125781, 0.13141, 0.140059, 0.147452, 0.155495, 0.163449, 0.178842, 0.19504, 0.206008, 0.22773, 0.239391, 0.25284, 0.277846, 0.301004, 0.320824, 0.326729, 0.335331, 0.345161, 0.364822, 0.373594, 0.387807, 0.406757, 0.421669, 0.436193, 0.455559, 0.494291, 0.557441, 0.620592");
-            values ( \
-              "-0.126692, -3.31225, -3.42687, -3.5301, -3.5711, -3.58751, -3.58417, -3.54635, -3.47537, -3.4119, -3.23767, -3.10218, -2.88549, -2.28872, -1.71524, -1.30205, -1.1955, -1.05336, -0.908439, -0.669364, -0.582959, -0.464647, -0.341284, -0.267121, -0.210243, -0.15217, -0.077873, -0.023922, -0.00731382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.35745");
-            index_3 ("0.089474, 0.117274, 0.125704, 0.136228, 0.151296, 0.163053, 0.171741, 0.183325, 0.205284, 0.229243, 0.293679, 0.35683, 0.399256, 0.427715, 0.484633, 0.527107, 0.57558, 0.638731, 0.723915, 0.786855, 0.823143, 0.880309, 0.935478, 0.984354, 1.03208, 1.09523, 1.14538, 1.23623, 1.29938, 1.42568, 1.50422");
-            values ( \
-              "-1.62351, -3.1464, -3.47102, -3.68752, -3.82356, -3.86281, -3.87288, -3.87464, -3.86048, -3.83432, -3.7518, -3.65878, -3.58548, -3.52893, -3.38716, -3.23924, -2.98669, -2.49531, -1.79479, -1.36303, -1.15399, -0.880178, -0.672104, -0.526987, -0.41355, -0.299152, -0.230744, -0.143557, -0.103177, -0.0529527, -0.0369014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.119306, 0.124581, 0.133227, 0.139529, 0.148052, 0.152948, 0.159637, 0.163668, 0.167415, 0.171018, 0.174616, 0.180547, 0.184103, 0.187626, 0.192195, 0.198146, 0.204947, 0.233102");
-            values ( \
-              "-0.01213, -0.0155129, -0.0280701, -0.0458911, -0.101926, -0.126979, -0.155749, -0.169154, -0.180116, -0.184344, -0.174397, -0.0838666, -0.0442397, -0.0227964, -0.0102509, -0.00454167, -0.00220057, -0.000919658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0118975");
-            index_3 ("0.118814, 0.137478, 0.144559, 0.151662, 0.15993, 0.170027, 0.174461, 0.178725, 0.182981, 0.195093, 0.200054, 0.203566, 0.211855, 0.217693");
-            values ( \
-              "-0.0145924, -0.101748, -0.173191, -0.28262, -0.377459, -0.467778, -0.49671, -0.510107, -0.477274, -0.112723, -0.0498232, -0.0286659, -0.00897611, -0.00569572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0388842");
-            index_3 ("0.12449, 0.137064, 0.143636, 0.148558, 0.16056, 0.170779, 0.179961, 0.186643, 0.192847, 0.198847, 0.205607, 0.214184, 0.220107, 0.225181, 0.230862, 0.235202, 0.240162, 0.248905, 0.258437, 0.274399");
-            values ( \
-              "-0.0800827, -0.212947, -0.296407, -0.390705, -0.67088, -0.85942, -1.00382, -1.09432, -1.15888, -1.18007, -1.03394, -0.590223, -0.345939, -0.207953, -0.113871, -0.0718078, -0.0421588, -0.0164948, -0.0180757, -0.0116505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.127084");
-            index_3 ("0.132357, 0.145617, 0.153239, 0.179412, 0.200566, 0.212402, 0.223112, 0.233153, 0.243099, 0.24608, 0.251329, 0.273293, 0.282961, 0.29291, 0.300253, 0.307782, 0.313534, 0.325038, 0.325744");
-            values ( \
-              "-0.286914, -0.485227, -0.644048, -1.34511, -1.81837, -2.04815, -2.22425, -2.33, -2.27748, -2.20759, -2.00699, -0.907284, -0.588447, -0.368527, -0.257923, -0.178588, -0.134361, -0.0748793, -0.0731677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.415343");
-            index_3 ("0.141585, 0.161742, 0.179726, 0.200401, 0.215047, 0.239735, 0.248898, 0.254541, 0.258133, 0.265317, 0.273685, 0.281509, 0.289693, 0.298029, 0.3147, 0.320581, 0.332079, 0.344929, 0.369972, 0.392867, 0.412461, 0.42264, 0.438313, 0.457548, 0.474871, 0.497969, 0.512727, 0.529411, 0.551658, 0.59615, 0.659301, 0.722451");
-            values ( \
-              "-0.498621, -0.987037, -1.51633, -2.09584, -2.47565, -3.06018, -3.25998, -3.3618, -3.40261, -3.45063, -3.46831, -3.46078, -3.43636, -3.39736, -3.28077, -3.22515, -3.09237, -2.88454, -2.28751, -1.7201, -1.31032, -1.13032, -0.893702, -0.66267, -0.503484, -0.345392, -0.271139, -0.205914, -0.14178, -0.0650488, -0.0198834, -0.00615029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.35745");
-            index_3 ("0.141088, 0.186517, 0.209551, 0.248775, 0.256947, 0.262495, 0.272132, 0.282558, 0.296573, 0.308858, 0.321107, 0.345607, 0.395178, 0.45158, 0.514731, 0.52919, 0.548469, 0.590612, 0.653762, 0.667679, 0.695512, 0.780273, 0.819064, 0.882214, 0.901444, 0.929818, 0.962245, 1.02459, 1.05029, 1.09151, 1.1363, 1.1986, 1.22249, 1.27026, 1.33341, 1.39656, 1.45971, 1.52286, 1.58601, 1.64916, 1.71231, 1.83861");
-            values ( \
-              "-0.371722, -1.78009, -2.46048, -3.50085, -3.66029, -3.72595, -3.79449, -3.82861, -3.84274, -3.83957, -3.83014, -3.80366, -3.73853, -3.65407, -3.53955, -3.50821, -3.46261, -3.34325, -3.0708, -2.98615, -2.78535, -2.07441, -1.76989, -1.34116, -1.22829, -1.07689, -0.923091, -0.680694, -0.59921, -0.487483, -0.388457, -0.28163, -0.24915, -0.194266, -0.139619, -0.0999874, -0.0717269, -0.0512154, -0.036745, -0.0261583, -0.0188081, -0.00963347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.216446, 0.231115, 0.238346, 0.250502, 0.261564, 0.267603, 0.280847, 0.285655, 0.290456, 0.298013, 0.304848, 0.311253, 0.31745, 0.323638, 0.325316, 0.327049, 0.335446, 0.338232, 0.339873, 0.342804, 0.34629, 0.352099, 0.355197, 0.359571, 0.365402, 0.377065, 0.397663, 0.42378, 0.42799");
-            values ( \
-              "-0.00417968, -0.00651161, -0.00967548, -0.0135959, -0.0219405, -0.0319821, -0.06377, -0.0730752, -0.0814024, -0.0921318, -0.0996651, -0.104729, -0.107139, -0.102902, -0.0973548, -0.0896777, -0.0393605, -0.0279597, -0.0229812, -0.0163528, -0.0112644, -0.00674741, -0.00550091, -0.00430421, -0.00322095, -0.00179389, -0.000674322, -0.000259265, -0.000244332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0118975");
-            index_3 ("0.21896, 0.233064, 0.251984, 0.257069, 0.26614, 0.271999, 0.286972, 0.297331, 0.306071, 0.313942, 0.321276, 0.328332, 0.335377, 0.340685, 0.350633, 0.354975, 0.357851, 0.362341, 0.36526, 0.370483, 0.377447, 0.390869, 0.408139, 0.431142");
-            values ( \
-              "-0.0132263, -0.0210884, -0.0425605, -0.0510601, -0.0729604, -0.100367, -0.183803, -0.229762, -0.260687, -0.283498, -0.299703, -0.308558, -0.298124, -0.242761, -0.100837, -0.0632188, -0.0462573, -0.0289205, -0.0220974, -0.0143741, -0.00874284, -0.00398684, -0.00170316, -0.00114054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0388842");
-            index_3 ("0.22348, 0.247254, 0.259044, 0.265162, 0.27525, 0.296052, 0.309459, 0.315173, 0.326979, 0.337558, 0.34738, 0.356794, 0.366193, 0.3677, 0.372368, 0.386252, 0.394406, 0.398387, 0.404706, 0.413131, 0.426209, 0.441915, 0.444806");
-            values ( \
-              "-0.0286818, -0.0891486, -0.124373, -0.150909, -0.209778, -0.40877, -0.516282, -0.556058, -0.63233, -0.689339, -0.733476, -0.756805, -0.726013, -0.703065, -0.612107, -0.275192, -0.147957, -0.10699, -0.0632926, -0.0306061, -0.00992948, -0.00380478, -0.00351875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.127084");
-            index_3 ("0.259578, 0.29322, 0.300176, 0.308325, 0.309882, 0.314552, 0.317409, 0.323123, 0.32598, 0.329858, 0.333735, 0.343137, 0.348573, 0.35548, 0.360147, 0.364814, 0.369482, 0.374149, 0.406461, 0.410206, 0.41395, 0.417695, 0.421439, 0.425176, 0.428912, 0.432648, 0.436384, 0.436913, 0.437972, 0.439559, 0.443263, 0.444321, 0.452788, 0.458319, 0.460941, 0.463563, 0.466185, 0.467864, 0.471224, 0.474757, 0.477536, 0.478462, 0.480004, 0.483087, 0.484629, 0.486823, 0.489016, 0.49121, 0.493403, 0.496947");
-            values ( \
-              "-0.452356, -0.501578, -0.583351, -0.68197, -0.700232, -0.753633, -0.784989, -0.845949, -0.875552, -0.914663, -0.952956, -1.04212, -1.09231, -1.15461, -1.19441, -1.23346, -1.27174, -1.30927, -1.55753, -1.54903, -1.53743, -1.52274, -1.50495, -1.48411, -1.46018, -1.43318, -1.40309, -1.39684, -1.37786, -1.34034, -1.24345, -1.2149, -0.975908, -0.822797, -0.752004, -0.689266, -0.629755, -0.594037, -0.525153, -0.456395, -0.406925, -0.39148, -0.368942, -0.326756, -0.307109, -0.281178, -0.256958, -0.234448, -0.213649, -0.183478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.415343");
-            index_3 ("0.268256, 0.305078, 0.353002, 0.400495, 0.436625, 0.457828, 0.509415, 0.550271, 0.553462, 0.559205, 0.569155, 0.592355, 0.610894, 0.626054, 0.641137, 0.659845, 0.675045, 0.682387, 0.704411, 0.725442");
-            values ( \
-              "-0.688077, -0.727723, -1.31388, -1.83288, -2.19721, -2.39847, -2.82628, -2.78095, -2.75479, -2.66072, -2.45132, -1.88273, -1.47737, -1.19079, -0.945089, -0.713888, -0.556736, -0.493046, -0.347922, -0.244501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.35745");
-            index_3 ("0.274971, 0.329724, 0.381887, 0.440491, 0.480455, 0.549932, 0.561449, 0.576903, 0.590544, 0.613242, 0.65812, 0.703444, 0.760563, 0.797181, 0.860331, 0.874193, 0.901916, 0.987443, 1.02755, 1.0907, 1.11937, 1.16333, 1.22193, 1.24979, 1.28106, 1.32275, 1.3859, 1.44732, 1.48554, 1.54869, 1.61184, 1.67499, 1.73814, 1.86444, 1.99074");
-            values ( \
-              "-0.709566, -1.07636, -1.73516, -2.43195, -2.88281, -3.62277, -3.68736, -3.73494, -3.73721, -3.7181, -3.65387, -3.57477, -3.44881, -3.34283, -3.07069, -2.98598, -2.78636, -2.06891, -1.75532, -1.32907, -1.16536, -0.947484, -0.71227, -0.620779, -0.531175, -0.430246, -0.311113, -0.226661, -0.1859, -0.133418, -0.0956856, -0.0684787, -0.0490441, -0.0250882, -0.0128248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.410151, 0.465803, 0.496629, 0.510003, 0.534296, 0.57122, 0.59275, 0.605205, 0.608345, 0.614623, 0.617158, 0.622226, 0.628796, 0.640404, 0.644116, 0.662973, 0.669701, 0.676616, 0.692151, 0.718323, 0.774426, 0.843336");
-            values ( \
-              "-0.000893076, -0.0030326, -0.00586279, -0.00763418, -0.0136679, -0.0419628, -0.0512649, -0.0537562, -0.054973, -0.0554065, -0.0561929, -0.0561971, -0.0566349, -0.0537191, -0.0507534, -0.0182237, -0.0112864, -0.00763608, -0.00409898, -0.00219393, -0.000781187, -0.000349924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0118975");
-            index_3 ("0.411082, 0.464787, 0.486997, 0.499806, 0.51362, 0.538514, 0.553805, 0.570907, 0.604209, 0.608296, 0.61647, 0.618069, 0.621267, 0.631152, 0.643841, 0.649927, 0.656522, 0.666145, 0.678342, 0.687672, 0.692757, 0.699537, 0.712499, 0.721352, 0.735898, 0.755293, 0.794083, 0.843185");
-            values ( \
-              "-0.00288761, -0.00912719, -0.0148309, -0.0187327, -0.0243132, -0.042423, -0.0704688, -0.106523, -0.149685, -0.151669, -0.159295, -0.159465, -0.162191, -0.167519, -0.17078, -0.16955, -0.164477, -0.137571, -0.0713104, -0.0377822, -0.0275295, -0.0189422, -0.0107081, -0.00845003, -0.00609284, -0.00420968, -0.00196036, -0.000787214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0388842");
-            index_3 ("0.415135, 0.463202, 0.480232, 0.49791, 0.516369, 0.52437, 0.540372, 0.555984, 0.59123, 0.614425, 0.634041, 0.651696, 0.66806, 0.683728, 0.699283, 0.71094, 0.730538, 0.739141, 0.746347, 0.756832, 0.764567, 0.780035, 0.802943, 0.8322, 0.843894");
-            values ( \
-              "-0.00918874, -0.024065, -0.0344505, -0.0475257, -0.0647303, -0.0745048, -0.0998432, -0.140957, -0.267334, -0.333613, -0.379625, -0.414194, -0.44006, -0.455225, -0.44575, -0.376315, -0.17207, -0.1103, -0.0747864, -0.0435359, -0.0301401, -0.0156381, -0.00761336, -0.00396264, -0.00326108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.127084");
-            index_3 ("0.46097, 0.507318, 0.522768, 0.550835, 0.56509, 0.582563, 0.608232, 0.638119, 0.672639, 0.701937, 0.728132, 0.752302, 0.775388, 0.798434, 0.815736, 0.837045, 0.853987, 0.86427, 0.877061, 0.885001, 0.90088, 0.930223, 0.964164, 1.06199, 1.18829");
-            values ( \
-              "-0.0842694, -0.11334, -0.141909, -0.209464, -0.25567, -0.330374, -0.459349, -0.595089, -0.730816, -0.832984, -0.913571, -0.975461, -1.01109, -0.974183, -0.799442, -0.503071, -0.312659, -0.224883, -0.146753, -0.112255, -0.0624121, -0.0194963, -0.00451221, -0.000298551, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.415343");
-            index_3 ("0.459615, 0.549366, 0.586706, 0.6135, 0.693598, 0.736028, 0.793389, 0.842292, 0.886109, 0.926629, 0.96573, 1.00473, 1.06087, 1.08627, 1.10912, 1.13557, 1.15931, 1.17445, 1.20266, 1.21585, 1.25847, 1.28778, 1.31842, 1.36324");
-            values ( \
-              "-0.039866, -0.29349, -0.433443, -0.55953, -0.981824, -1.17986, -1.43082, -1.62747, -1.78512, -1.89888, -1.9359, -1.73442, -1.0302, -0.752542, -0.552751, -0.378245, -0.264366, -0.209512, -0.134284, -0.108726, -0.0610199, -0.0377289, -0.0222813, -0.0101335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.35745");
-            index_3 ("0.522164, 0.619767, 0.746332, 0.858591, 0.937529, 1.02358, 1.08673, 1.14968, 1.18574, 1.21595, 1.23343, 1.25613, 1.26319, 1.29143, 1.32908, 1.37136, 1.48143, 1.54459, 1.58326, 1.64387, 1.69606, 1.74163, 1.79012, 1.85328, 1.90548, 1.93718, 2.00033, 2.06348, 2.12663, 2.18978, 2.31608, 2.50554");
-            values ( \
-              "-0.350938, -0.651043, -1.32379, -1.89713, -2.28371, -2.68323, -2.95442, -3.19475, -3.31044, -3.38671, -3.38288, -3.34334, -3.32247, -3.21888, -3.02577, -2.71986, -1.80938, -1.37343, -1.15042, -0.862851, -0.668334, -0.532755, -0.4166, -0.301274, -0.230034, -0.195338, -0.140113, -0.100619, -0.0719082, -0.0516149, -0.0264357, -0.00953145" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.00851355, 0.00853007, 0.00856513, 0.00860296, 0.00862382, 0.00863175", \
-            "0.0143666, 0.0143775, 0.0144076, 0.014459, 0.0144996, 0.0145178", \
-            "0.0212199, 0.0212248, 0.0212397, 0.0212769, 0.0213271, 0.0213579", \
-            "0.0268049, 0.0268023, 0.0267981, 0.0267994, 0.0268202, 0.0268476", \
-            "0.0301879, 0.0301798, 0.0301587, 0.0301187, 0.0300769, 0.0300614", \
-            "0.0319971, 0.0319851, 0.0319525, 0.0318819, 0.0317873, 0.031714" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.0174005, 0.0174182, 0.0174647, 0.0175381, 0.01759, 0.0176119", \
-            "0.02624, 0.0262468, 0.0262707, 0.0263282, 0.0263939, 0.0264289", \
-            "0.0338808, 0.033734, 0.0335036, 0.0332923, 0.0332073, 0.0331971", \
-            "0.0405043, 0.0393997, 0.0378944, 0.0367511, 0.0360915, 0.0357291", \
-            "0.048633, 0.0471735, 0.0426203, 0.0389044, 0.0369031, 0.0360695", \
-            "0.051884, 0.051858, 0.0512243, 0.0431575, 0.0383328, 0.0362774" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "0.052679, 0.0533412, 0.0550667, 0.0574199, 0.0589233, 0.0595231", \
-            "0.0526921, 0.0530825, 0.054267, 0.0564996, 0.0584223, 0.0593242", \
-            "0.0539189, 0.0538038, 0.054029, 0.0553213, 0.0574384, 0.0588238", \
-            "0.05896, 0.058102, 0.0570072, 0.0557663, 0.0569384, 0.0585016", \
-            "0.0741962, 0.0722021, 0.0685702, 0.0642837, 0.0589736, 0.0591673", \
-            "0.105708, 0.102515, 0.0954426, 0.0850164, 0.0737361, 0.0645448" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118975, 0.0388842, 0.127084, 0.415343, 1.35745");
-          values ( \
-            "-0.0203584, -0.0199127, -0.0184096, -0.0162039, -0.0147959, -0.0142377", \
-            "-0.0217031, -0.0213579, -0.0199547, -0.017329, -0.0152876, -0.0144199", \
-            "-0.0217995, -0.0218725, -0.0210301, -0.018734, -0.016125, -0.0147071", \
-            "-0.0186627, -0.0196973, -0.0207056, -0.0198628, -0.0172364, -0.0152897", \
-            "-0.00804537, -0.010421, -0.0147682, -0.0173511, -0.0172358, -0.015693", \
-            "0.0219417, 0.0179371, 0.00863957, -0.00513627, -0.0130373, -0.0147347" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0407671;
-      rise_capacitance : 0.0407671;
-      rise_capacitance_range (0.0141666, 0.0407671);
-      fall_capacitance : 0.0401206;
-      fall_capacitance_range (0.0137927, 0.0401206);
-    }
-  }
-  cell (INVXL) {
-    area : 6.5934;
-    cell_footprint : "INV";
-    cell_leakage_power : 0.0890832;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.170735;
-      when : "(A * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00743106;
-      when : "(!A * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0890832;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.142081;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.0337428, 0.0471087, 0.0741735, 0.129832, 0.245189, 0.485053", \
-            "0.0399264, 0.0532665, 0.0804196, 0.136185, 0.251659, 0.491591", \
-            "0.0546285, 0.0681773, 0.0951279, 0.150886, 0.266421, 0.506094", \
-            "0.0778168, 0.0985444, 0.129793, 0.185011, 0.299653, 0.539678", \
-            "0.113615, 0.144447, 0.192017, 0.262218, 0.37618, 0.616283", \
-            "0.174337, 0.217082, 0.286531, 0.392307, 0.546007, 0.787278" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.0273373, 0.0463034, 0.0858986, 0.168268, 0.339602, 0.69612", \
-            "0.0277902, 0.046404, 0.0859076, 0.168282, 0.339602, 0.69612", \
-            "0.0344003, 0.0492506, 0.0862041, 0.168274, 0.339614, 0.69612", \
-            "0.0532707, 0.0680681, 0.0969435, 0.170002, 0.339607, 0.696037", \
-            "0.0820463, 0.104812, 0.139201, 0.198008, 0.344775, 0.696137", \
-            "0.12701, 0.161236, 0.214196, 0.290912, 0.413038, 0.714345" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.0251191, 0.0351235, 0.0553975, 0.0971423, 0.183729, 0.363776", \
-            "0.0299702, 0.0399341, 0.0603877, 0.102229, 0.188643, 0.368986", \
-            "0.0380637, 0.0508281, 0.071807, 0.113733, 0.200468, 0.380591", \
-            "0.045126, 0.0642806, 0.0938484, 0.139555, 0.226249, 0.40613", \
-            "0.0465249, 0.0743659, 0.118572, 0.185719, 0.285161, 0.465016", \
-            "0.0318177, 0.0710219, 0.134232, 0.232634, 0.379778, 0.595326" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.0182744, 0.0309893, 0.05755, 0.112856, 0.227904, 0.467427", \
-            "0.0193581, 0.0311414, 0.0575479, 0.112822, 0.227911, 0.467427", \
-            "0.0275751, 0.037105, 0.0595404, 0.112848, 0.227909, 0.467427", \
-            "0.0422565, 0.0557428, 0.0774575, 0.120598, 0.228063, 0.467394", \
-            "0.0657602, 0.0850796, 0.115849, 0.162763, 0.249885, 0.46876", \
-            "0.106106, 0.132709, 0.17635, 0.244232, 0.34621, 0.524467" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0259927, 0.0274253, 0.0286006, 0.030457, 0.0322394, 0.0340323, 0.0375873, 0.0396157, 0.0462629, 0.0495351, 0.0595112, 0.0644485, 0.0716524, 0.0793473, 0.0836667, 0.089234, 0.0953239, 0.102287, 0.11885, 0.123333");
-            values ( \
-              "0.021585, 0.161895, 0.171834, 0.18052, 0.184134, 0.184688, 0.180823, 0.176598, 0.157721, 0.144129, 0.0875032, 0.065983, 0.043245, 0.0271902, 0.0208439, 0.0146989, 0.0099411, 0.00636, 0.00209492, 0.00185905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00757563");
-            index_3 ("0.0260162, 0.0305592, 0.0335701, 0.0363681, 0.0394742, 0.0446962, 0.0586112, 0.0654516, 0.0851555, 0.0944219, 0.105904, 0.119523, 0.130774, 0.139517, 0.155841, 0.170026, 0.181712");
-            values ( \
-              "0.0777113, 0.222518, 0.230804, 0.231854, 0.229555, 0.222309, 0.195118, 0.176907, 0.102801, 0.0753661, 0.0498721, 0.02963, 0.0188403, 0.013182, 0.00663118, 0.00362766, 0.0024423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0157651");
-            index_3 ("0.0274963, 0.0302945, 0.0336413, 0.0352686, 0.0385231, 0.0420189, 0.0458892, 0.0578314, 0.073055, 0.0891441, 0.0994434, 0.110805, 0.134076, 0.146519, 0.160738, 0.170637, 0.184409, 0.19546, 0.204476, 0.215335, 0.230655, 0.246697, 0.259002, 0.283612, 0.328132, 0.380832");
-            values ( \
-              "0.158904, 0.246949, 0.261001, 0.263633, 0.265272, 0.263785, 0.260835, 0.248926, 0.232212, 0.212019, 0.195208, 0.171701, 0.120025, 0.0963347, 0.0732294, 0.0599609, 0.0447646, 0.0350868, 0.0286578, 0.0223376, 0.0155946, 0.0106811, 0.00795147, 0.00433096, 0.00129858, 0.000287563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0328078");
-            index_3 ("0.0287424, 0.0287624, 0.0694631, 0.116487, 0.140164, 0.1486, 0.168456, 0.189185, 0.216093, 0.245786, 0.258957, 0.2853, 0.300587, 0.317392, 0.339799, 0.354701, 0.38005, 0.409021, 0.43228, 0.46781, 0.515184, 0.5979, 0.68102");
-            values ( \
-              "1e-22, 0.291035, 0.272189, 0.245128, 0.229923, 0.223765, 0.206755, 0.184679, 0.152731, 0.119071, 0.105445, 0.0811449, 0.0691431, 0.0575832, 0.0446816, 0.0375902, 0.0278334, 0.0195312, 0.0146618, 0.00939948, 0.00508445, 0.00158368, 0.000487333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0682741");
-            index_3 ("0.031133, 0.031153, 0.0915448, 0.179713, 0.239081, 0.292107, 0.311497, 0.350277, 0.478855, 0.512875, 0.563025, 0.605088, 0.637854, 0.680127, 0.715117, 0.756088, 0.813994, 0.874136, 0.920189, 1.00331, 1.08643, 1.15767");
-            values ( \
-              "1e-22, 0.32264, 0.284924, 0.259845, 0.241455, 0.221695, 0.21285, 0.192468, 0.117542, 0.100014, 0.0774018, 0.0616683, 0.0512933, 0.0401446, 0.0326373, 0.0254751, 0.017805, 0.0122452, 0.00915204, 0.00534414, 0.00310745, 0.00239285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.142081");
-            index_3 ("0.0335334, 0.0335534, 0.157302, 0.393022, 0.473362, 0.543091, 0.608879, 0.691999, 0.92561, 1.04415, 1.12727, 1.19535, 1.26481, 1.34793, 1.45806, 1.53238, 1.67213, 1.8508, 2.01704, 2.18328, 2.33164");
-            values ( \
-              "1e-22, 0.328367, 0.288723, 0.255188, 0.242606, 0.230102, 0.215934, 0.194595, 0.126902, 0.0963916, 0.0781787, 0.0652857, 0.0538927, 0.0426357, 0.0309387, 0.0247407, 0.0161878, 0.00932508, 0.00553872, 0.00327499, 0.00249669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0388584, 0.0491579, 0.0509301, 0.0518815, 0.05286, 0.053733, 0.055479, 0.0572305, 0.0576024, 0.0583461, 0.0598337, 0.0614125, 0.0645703, 0.0670041, 0.0696751, 0.074065, 0.0783382, 0.0810924, 0.0843167, 0.0875256, 0.0917253, 0.095338, 0.0981234, 0.102635, 0.106579, 0.111837, 0.116315, 0.122397, 0.130506, 0.145636, 0.163333");
-            values ( \
-              "0.00808056, 0.119228, 0.134371, 0.148184, 0.157755, 0.163478, 0.170256, 0.172918, 0.173045, 0.173034, 0.171989, 0.169685, 0.162604, 0.154933, 0.143932, 0.119526, 0.0943819, 0.0806798, 0.0670637, 0.0556493, 0.0434373, 0.0350696, 0.0296188, 0.0224276, 0.0175553, 0.0125595, 0.00943983, 0.00638114, 0.00372435, 0.00127168, 0.000336477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00757563");
-            index_3 ("0.0403084, 0.0492758, 0.0530201, 0.0553904, 0.0575342, 0.0594989, 0.0625645, 0.0656354, 0.0739184, 0.0807102, 0.0889987, 0.0993281, 0.109698, 0.117204, 0.124062, 0.132326, 0.139206, 0.145506, 0.153906, 0.163036, 0.177788, 0.197458, 0.223397, 0.255379");
-            values ( \
-              "0.00263899, 0.14951, 0.198283, 0.214566, 0.221393, 0.223641, 0.223013, 0.219612, 0.205332, 0.190385, 0.164901, 0.124134, 0.0888655, 0.068561, 0.053645, 0.0393456, 0.030103, 0.0234523, 0.0166519, 0.0114299, 0.00614071, 0.00254498, 0.00073231, 0.000143987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0157651");
-            index_3 ("0.0417847, 0.0518758, 0.0530521, 0.0552775, 0.0573078, 0.0591294, 0.0627727, 0.0664296, 0.0700881, 0.075589, 0.0928251, 0.105917, 0.118775, 0.121534, 0.13257, 0.153567, 0.161093, 0.171127, 0.184422, 0.191909, 0.196756, 0.205074, 0.218289, 0.223186, 0.232979, 0.249856, 0.257595, 0.269058, 0.284342, 0.31491, 0.361088, 0.417229");
-            values ( \
-              "0.0108181, 0.20649, 0.224139, 0.24348, 0.253073, 0.257585, 0.260661, 0.259462, 0.256664, 0.251385, 0.232775, 0.21679, 0.197016, 0.191703, 0.168137, 0.121462, 0.106705, 0.0887428, 0.0683518, 0.0586748, 0.0530032, 0.0443443, 0.0331158, 0.0296604, 0.0237174, 0.0159592, 0.0133067, 0.010141, 0.00701847, 0.00324685, 0.000917642, 0.000174171" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0328078");
-            index_3 ("0.0434379, 0.0524737, 0.0532568, 0.0548228, 0.0564719, 0.059001, 0.061016, 0.0633189, 0.0679247, 0.0734325, 0.0910776, 0.117681, 0.140279, 0.1617, 0.186973, 0.199853, 0.214573, 0.251712, 0.259495, 0.27506, 0.304854, 0.320819, 0.327173, 0.339883, 0.365301, 0.376152, 0.384021, 0.394514, 0.414378, 0.441933, 0.453345, 0.476169, 0.521816, 0.600731, 0.683851");
-            values ( \
-              "0.0321924, 0.229444, 0.240685, 0.256653, 0.267466, 0.277012, 0.280631, 0.282611, 0.283027, 0.280864, 0.271398, 0.256402, 0.243012, 0.229027, 0.208584, 0.195397, 0.178735, 0.134884, 0.126297, 0.109652, 0.0817797, 0.0691901, 0.0646026, 0.0561927, 0.0420418, 0.0370693, 0.033792, 0.0298304, 0.0234738, 0.0167131, 0.0145177, 0.0109106, 0.00605652, 0.00201661, 0.000622747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0682741");
-            index_3 ("0.0509143, 0.0509343, 0.112041, 0.188244, 0.242943, 0.277233, 0.312529, 0.331974, 0.370863, 0.473812, 0.533702, 0.583586, 0.609049, 0.657657, 0.70043, 0.73592, 0.776773, 0.834587, 0.894387, 0.940141, 1.02326, 1.10638, 1.1895, 1.35574");
-            values ( \
-              "1e-22, 0.315643, 0.284988, 0.263336, 0.246836, 0.235407, 0.221722, 0.212847, 0.192411, 0.131691, 0.0998396, 0.0773715, 0.0675153, 0.0514935, 0.0401832, 0.0325715, 0.0254447, 0.0177906, 0.0122611, 0.00918447, 0.00536071, 0.00311965, 0.001809, 0.000606748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.142081");
-            index_3 ("0.0547306, 0.0547506, 0.16536, 0.413537, 0.493863, 0.563633, 0.629393, 0.712513, 0.946124, 1.06467, 1.14779, 1.21587, 1.28532, 1.36844, 1.47857, 1.55289, 1.63601, 1.73359, 1.87131, 2.03755, 2.20379, 2.45315, 2.7025");
-            values ( \
-              "1e-22, 0.334656, 0.290501, 0.255234, 0.242562, 0.230053, 0.215978, 0.194551, 0.126941, 0.0964298, 0.0781415, 0.0652502, 0.0539287, 0.0426007, 0.0309046, 0.0247751, 0.0192623, 0.0143151, 0.00935567, 0.00556874, 0.00330449, 0.00149719, 0.000686861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0678732, 0.0936303, 0.100182, 0.105849, 0.111022, 0.112714, 0.114108, 0.115923, 0.117525, 0.127275, 0.132715, 0.14, 0.143568, 0.150046, 0.156028, 0.162159, 0.173824, 0.184126, 0.18867");
-            values ( \
-              "0.01155, 0.0909406, 0.109078, 0.122472, 0.130411, 0.131616, 0.135574, 0.134808, 0.130365, 0.0815999, 0.0599757, 0.0391383, 0.0316021, 0.0212171, 0.014589, 0.00984784, 0.00462853, 0.00231669, 0.00187066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00757563");
-            index_3 ("0.0791101, 0.0921987, 0.0948494, 0.0975, 0.100151, 0.102801, 0.104076, 0.105351, 0.106626, 0.107901, 0.109375, 0.110849, 0.111925, 0.113, 0.11379, 0.11458, 0.115449, 0.116318, 0.117148, 0.117978, 0.119638, 0.121298, 0.123084, 0.12487, 0.12621, 0.12755, 0.12889, 0.130229, 0.132251, 0.135126, 0.143042, 0.149783, 0.154341, 0.15734, 0.161049, 0.162285, 0.165461, 0.170224, 0.1734, 0.175973, 0.178545, 0.183681, 0.186949, 0.188506, 0.191619, 0.193694, 0.197845, 0.19992, 0.203304, 0.209177");
-            values ( \
-              "0.101074, 0.107327, 0.120028, 0.133816, 0.14869, 0.164652, 0.165625, 0.166996, 0.168763, 0.170928, 0.173926, 0.177456, 0.183576, 0.191694, 0.192758, 0.194259, 0.196413, 0.199097, 0.199289, 0.199334, 0.19898, 0.198035, 0.195706, 0.192794, 0.190228, 0.187334, 0.184113, 0.180565, 0.174581, 0.164462, 0.133301, 0.108017, 0.0932011, 0.0840004, 0.0742143, 0.0711352, 0.0637379, 0.0534249, 0.0472689, 0.0430423, 0.0391005, 0.0321762, 0.0280714, 0.0262894, 0.0231105, 0.0213442, 0.0181205, 0.0166631, 0.0145834, 0.0112633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0157651");
-            index_3 ("0.0816643, 0.0961265, 0.103634, 0.110487, 0.112704, 0.113409, 0.114113, 0.115406, 0.116251, 0.117097, 0.117942, 0.118787, 0.119632, 0.120478, 0.122115, 0.123751, 0.126978, 0.131321, 0.135831, 0.141908, 0.144921, 0.150947, 0.152666, 0.156103, 0.15954, 0.165283, 0.168154, 0.173897, 0.192264, 0.199916, 0.205245, 0.207022, 0.21235, 0.219455, 0.226561, 0.236478, 0.241818, 0.246036, 0.250255, 0.255776, 0.261298, 0.264298, 0.268799, 0.270299, 0.275728, 0.281158, 0.284988, 0.292646, 0.296476, 0.302519");
-            values ( \
-              "0.137661, 0.142928, 0.179004, 0.210585, 0.229564, 0.230922, 0.233058, 0.239006, 0.241838, 0.244102, 0.245391, 0.246381, 0.247072, 0.247464, 0.246903, 0.246156, 0.244143, 0.240161, 0.235424, 0.22847, 0.224816, 0.217103, 0.215254, 0.210264, 0.204855, 0.194553, 0.18904, 0.17729, 0.136215, 0.120025, 0.108982, 0.10558, 0.0958354, 0.0839943, 0.073228, 0.0597402, 0.0532085, 0.0487788, 0.044621, 0.039684, 0.0350245, 0.0326091, 0.0292615, 0.0282191, 0.0250444, 0.0221599, 0.0203328, 0.0170458, 0.015586, 0.0135181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0328078");
-            index_3 ("0.0563045, 0.133738, 0.182303, 0.206041, 0.223206, 0.256396, 0.311583, 0.350966, 0.383368, 0.420603, 0.445447, 0.47384, 0.498008, 0.534866, 0.584011, 0.640543");
-            values ( \
-              "0.0123333, 0.272871, 0.245144, 0.229863, 0.216747, 0.183082, 0.119095, 0.081274, 0.057483, 0.0375526, 0.0279769, 0.0197749, 0.0146793, 0.00925265, 0.00488448, 0.00258685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0682741");
-            index_3 ("0.0799332, 0.0987804, 0.115301, 0.121092, 0.124699, 0.129935, 0.136524, 0.272308, 0.320316, 0.356032, 0.377497, 0.420429, 0.532492, 0.609695, 0.641959, 0.677368, 0.72458, 0.750793, 0.795276, 0.846114, 0.903135, 0.989401, 1.07252, 1.15564, 1.23876, 1.405");
-            values ( \
-              "0.0864353, 0.174522, 0.275016, 0.290321, 0.292319, 0.292291, 0.290839, 0.251852, 0.236299, 0.222564, 0.212862, 0.190109, 0.124291, 0.0856009, 0.072266, 0.059551, 0.0455088, 0.0390511, 0.0299801, 0.0219728, 0.0154357, 0.00895621, 0.00523084, 0.0030392, 0.00176649, 0.000594164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.142081");
-            index_3 ("0.0811697, 0.116081, 0.120833, 0.125294, 0.130432, 0.269133, 0.459375, 0.539706, 0.609462, 0.675232, 0.758352, 0.991962, 1.1105, 1.19362, 1.2617, 1.33116, 1.45099, 1.5244, 1.59873, 1.68185, 1.77942, 1.91713, 2.08337, 2.24961, 2.36596");
-            values ( \
-              "0.0896488, 0.284264, 0.297153, 0.30064, 0.301267, 0.282465, 0.255219, 0.242577, 0.230069, 0.215963, 0.194566, 0.126928, 0.0964176, 0.0781541, 0.0652624, 0.0539172, 0.0383236, 0.0309165, 0.0247642, 0.0192738, 0.0143051, 0.00934582, 0.00555889, 0.00329469, 0.00246053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.103245, 0.12334, 0.132997, 0.137118, 0.145359, 0.148989, 0.167221, 0.184835, 0.194122, 0.202496, 0.210359, 0.218194, 0.232654, 0.238366, 0.242957, 0.249135, 0.251894, 0.255154, 0.265313, 0.270127, 0.276977, 0.286109, 0.292741");
-            values ( \
-              "0.00190382, 0.00349593, 0.00871118, 0.0113635, 0.0183554, 0.0225395, 0.0469567, 0.0662007, 0.0750862, 0.0814147, 0.0851963, 0.0823091, 0.0485502, 0.037218, 0.0298846, 0.0217186, 0.0214933, 0.0194309, 0.0112528, 0.00834703, 0.00538466, 0.00293254, 0.00210136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00757563");
-            index_3 ("0.132882, 0.146805, 0.153257, 0.169419, 0.181494, 0.198879, 0.205031, 0.21253, 0.223039, 0.232971, 0.2429, 0.248945, 0.250994, 0.253088, 0.265101, 0.271873, 0.277117, 0.284108, 0.289285, 0.293458, 0.299021, 0.308621, 0.311938, 0.319553, 0.328255, 0.34566, 0.371967, 0.403941");
-            values ( \
-              "0.0264333, 0.0265833, 0.0357671, 0.0626192, 0.0812282, 0.106937, 0.115365, 0.124803, 0.135291, 0.139478, 0.127856, 0.111209, 0.108877, 0.104098, 0.0725642, 0.0571174, 0.0471403, 0.0360955, 0.0295137, 0.0250251, 0.0199938, 0.0134632, 0.0117321, 0.00855959, 0.00591417, 0.00274942, 0.000770188, 0.000155161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0157651");
-            index_3 ("0.124493, 0.150156, 0.155467, 0.166866, 0.20745, 0.225267, 0.24049, 0.248909, 0.251668, 0.254264, 0.257682, 0.264516, 0.268089, 0.275236, 0.30055, 0.312835, 0.322045, 0.333731, 0.342663, 0.35161, 0.363546, 0.374152, 0.388293, 0.40062, 0.417188, 0.439279, 0.480406, 0.528456");
-            values ( \
-              "0.00740837, 0.036868, 0.0452464, 0.0660218, 0.143562, 0.175351, 0.197929, 0.2071, 0.211222, 0.212314, 0.210275, 0.201415, 0.195101, 0.180892, 0.124239, 0.100514, 0.0846174, 0.0671579, 0.0558781, 0.0461909, 0.035511, 0.0279805, 0.0201889, 0.0151128, 0.0102037, 0.00595476, 0.00200124, 0.000534499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0328078");
-            index_3 ("0.124461, 0.16228, 0.165692, 0.172517, 0.183495, 0.200393, 0.206064, 0.217407, 0.237113, 0.248876, 0.253506, 0.26058, 0.261123, 0.262209, 0.264381, 0.268725, 0.276467, 0.284566, 0.300763, 0.30947, 0.326883, 0.336526, 0.355812, 0.367592, 0.391151, 0.404924, 0.40712, 0.411513, 0.420298, 0.437868, 0.462334, 0.467891, 0.470819, 0.474723, 0.482531, 0.498148, 0.520536, 0.526788, 0.539291, 0.559593, 0.588465, 0.598249, 0.601054, 0.606665, 0.617886, 0.640328, 0.685213, 0.768333, 0.851452");
-            values ( \
-              "0.000442208, 0.0620284, 0.0687286, 0.0823922, 0.105926, 0.143366, 0.155965, 0.181441, 0.223894, 0.247237, 0.255127, 0.258673, 0.258386, 0.257875, 0.256787, 0.254445, 0.249978, 0.24514, 0.234943, 0.229014, 0.215572, 0.206737, 0.186341, 0.172439, 0.144466, 0.128853, 0.12641, 0.121611, 0.112302, 0.0949478, 0.0739383, 0.0697178, 0.0675664, 0.0647843, 0.0594869, 0.0499603, 0.0385839, 0.0358674, 0.0309329, 0.0242251, 0.0169728, 0.0150446, 0.0145305, 0.0135558, 0.0117884, 0.008891, 0.00497398, 0.00153855, 0.000472831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0682741");
-            index_3 ("0.124394, 0.266871, 0.373672, 0.424106, 0.459594, 0.47885, 0.51736, 0.6207, 0.679355, 0.730161, 0.756382, 0.805758, 0.847679, 0.882052, 0.923164, 0.981175, 1.04171, 1.08812, 1.17124, 1.25436, 1.33748, 1.50371");
-            values ( \
-              "0.0066456, 0.28267, 0.25185, 0.235398, 0.221651, 0.212838, 0.192633, 0.13169, 0.100436, 0.0774954, 0.0673487, 0.0511369, 0.0400933, 0.0327149, 0.0255228, 0.0178192, 0.0122236, 0.00912249, 0.00532033, 0.00309989, 0.00179367, 0.000599998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.142081");
-            index_3 ("0.172521, 0.227268, 0.248754, 0.253816, 0.260237, 0.266365, 0.278621, 0.46312, 0.54624, 0.647171, 0.698314, 0.766505, 0.837104, 0.904742, 1.09345, 1.14617, 1.21227, 1.29539, 1.36173, 1.43163, 1.51475, 1.55215, 1.62696, 1.7008, 1.78392, 1.8802, 1.93394, 2.01706, 2.10018, 2.1833, 2.34954, 2.5989, 2.84826");
-            values ( \
-              "0.15833, 0.223698, 0.279245, 0.290253, 0.296781, 0.296674, 0.295231, 0.269442, 0.257386, 0.241528, 0.232438, 0.218286, 0.20066, 0.181692, 0.126853, 0.112702, 0.0962903, 0.0780268, 0.065458, 0.0540325, 0.0426918, 0.0383332, 0.0307827, 0.0247055, 0.0192144, 0.0143285, 0.012146, 0.00938812, 0.00724479, 0.00558651, 0.00331337, 0.0015074, 0.000685378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.215489, 0.270314, 0.282242, 0.291402, 0.300663, 0.317849, 0.342121, 0.361136, 0.375657, 0.388878, 0.401355, 0.413518, 0.42567, 0.442934, 0.45541, 0.470346, 0.480595, 0.490454, 0.497957, 0.512963, 0.53782, 0.550333, 0.55876, 0.562254");
-            values ( \
-              "0.000653587, 0.0039737, 0.00610617, 0.00815032, 0.010933, 0.0190496, 0.0340887, 0.04265, 0.0477586, 0.0514144, 0.0536544, 0.0542287, 0.0479767, 0.0273912, 0.017086, 0.0090568, 0.00560287, 0.00345808, 0.00238794, 0.0010653, 0.000283283, 0.000154761, 0.00144673, 0.00142939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00757563");
-            index_3 ("0.2163, 0.275554, 0.282158, 0.288813, 0.297687, 0.306991, 0.316538, 0.328628, 0.345155, 0.36286, 0.384546, 0.40314, 0.419908, 0.435583, 0.450936, 0.466253, 0.476539, 0.48787, 0.495987, 0.501465, 0.511207, 0.515212, 0.521596, 0.529106, 0.539881, 0.545248, 0.550516, 0.555678, 0.560424, 0.575544, 0.587174");
-            values ( \
-              "0.00123752, 0.00741153, 0.00922686, 0.0112735, 0.0144972, 0.0187133, 0.0242385, 0.0330219, 0.0455233, 0.0569298, 0.0688962, 0.0779533, 0.0847034, 0.0891446, 0.0888922, 0.0749621, 0.0603189, 0.0458746, 0.0370386, 0.0316339, 0.0234212, 0.0205839, 0.0166283, 0.0127961, 0.0086287, 0.00706987, 0.00579687, 0.00668016, 0.00651687, 0.00380579, 0.00229085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0157651");
-            index_3 ("0.220987, 0.275588, 0.287368, 0.29399, 0.307234, 0.324258, 0.369008, 0.392811, 0.42143, 0.445738, 0.467526, 0.487954, 0.508398, 0.53208, 0.55055, 0.553017, 0.557169, 0.575444, 0.589876, 0.595114, 0.604405, 0.614926, 0.629484, 0.635577, 0.647762, 0.672133, 0.697982");
-            values ( \
-              "0.00245368, 0.0108445, 0.0150242, 0.0177964, 0.0243396, 0.0354884, 0.071713, 0.0891908, 0.109205, 0.124605, 0.135873, 0.141931, 0.135143, 0.105364, 0.0799692, 0.0785053, 0.0743552, 0.0521004, 0.0380605, 0.0338725, 0.0274832, 0.0215755, 0.0153333, 0.0132838, 0.0099392, 0.00545687, 0.00319037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0328078");
-            index_3 ("0.275311, 0.312563, 0.332651, 0.438067, 0.476029, 0.508292, 0.537467, 0.550273, 0.557541, 0.565495, 0.574557, 0.618087, 0.648072, 0.664088, 0.690606, 0.713958, 0.729815, 0.750327, 0.766592, 0.787477, 0.816796, 0.847889, 0.871797, 0.919612, 1.00273, 1.08585");
-            values ( \
-              "0.0307946, 0.0326414, 0.0471881, 0.139669, 0.171588, 0.194959, 0.209869, 0.212589, 0.211721, 0.206627, 0.19758, 0.146855, 0.113511, 0.0975332, 0.0744347, 0.0578764, 0.0484282, 0.0382139, 0.0315534, 0.0245337, 0.0171051, 0.0116364, 0.0086053, 0.00462817, 0.0014249, 0.000443374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0682741");
-            index_3 ("0.31046, 0.365404, 0.405067, 0.467308, 0.476622, 0.485936, 0.49525, 0.504563, 0.510659, 0.516755, 0.52285, 0.528946, 0.534599, 0.540252, 0.545905, 0.551557, 0.554787, 0.55963, 0.563828, 0.571578, 0.599518, 0.624823, 0.645767, 0.665553, 0.691161, 0.707605, 0.729442, 0.748334, 0.770206, 0.813406, 0.862537, 0.888324, 0.914111, 0.939897, 0.969048, 0.983718, 0.989586, 1.00719, 1.01893, 1.0424, 1.05413, 1.07406, 1.09681, 1.10647, 1.1258, 1.15157, 1.16445, 1.18779, 1.2087, 1.23327");
-            values ( \
-              "0.0730486, 0.0784294, 0.116569, 0.179224, 0.191132, 0.204236, 0.218539, 0.234039, 0.235862, 0.23814, 0.240872, 0.244057, 0.247418, 0.251168, 0.25531, 0.259842, 0.263406, 0.263017, 0.262476, 0.260981, 0.252686, 0.244666, 0.237338, 0.229979, 0.219827, 0.212841, 0.201585, 0.191129, 0.178423, 0.152656, 0.123811, 0.109852, 0.097183, 0.0854716, 0.0733537, 0.0674319, 0.0652795, 0.0591831, 0.0555297, 0.0487248, 0.0455733, 0.0406484, 0.035292, 0.0332006, 0.0294512, 0.025352, 0.0234746, 0.0204279, 0.0179635, 0.0152445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.142081");
-            index_3 ("0.275623, 0.373812, 0.550584, 0.566069, 0.788423, 0.933007, 1.0043, 1.08742, 1.32104, 1.43959, 1.59078, 1.66024, 1.78007, 1.85348, 2.01092, 2.10849, 2.2462, 2.41244, 2.57868, 2.63478");
-            values ( \
-              "0.0148875, 0.0893548, 0.28017, 0.287193, 0.25524, 0.231122, 0.215986, 0.194553, 0.126941, 0.09643, 0.0652525, 0.0539283, 0.0383339, 0.0309072, 0.0192649, 0.0143133, 0.00935361, 0.00556628, 0.00330175, 0.00300724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.530148, 0.592737, 0.608329, 0.626164, 0.644658, 0.6661, 0.673381, 0.712777, 0.7395, 0.762009, 0.782415, 0.801707, 0.820553, 0.839383, 0.846023, 0.868698, 0.875341, 0.886666, 0.89177, 0.90198, 0.911197, 0.925096, 0.943629, 0.973107, 1.00795, 1.05173, 1.216, 1.23189, 1.25513");
-            values ( \
-              "0.00261222, 0.00286336, 0.00362042, 0.00477228, 0.00656402, 0.00990427, 0.0115719, 0.0217522, 0.0273095, 0.0309934, 0.0332305, 0.0346747, 0.0349576, 0.0330723, 0.0302032, 0.0176102, 0.0146367, 0.0104437, 0.00887348, 0.00631572, 0.00456422, 0.0027317, 0.00130183, 0.000343442, 9.38253e-05, 4.17048e-05, 2.25936e-05, 0.000535359, 0.000274497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00757563");
-            index_3 ("0.530005, 0.604033, 0.644393, 0.665824, 0.687472, 0.736916, 0.77049, 0.799093, 0.825114, 0.849637, 0.873512, 0.897359, 0.932999, 0.958563, 0.984035, 1.00035, 1.01178, 1.03466, 1.07329, 1.11894, 1.17577");
-            values ( \
-              "0.00408589, 0.0058012, 0.0102898, 0.0143068, 0.0202805, 0.0363575, 0.0449085, 0.0504885, 0.0543342, 0.0568089, 0.0576067, 0.0531169, 0.0302966, 0.0173219, 0.00895529, 0.00566054, 0.00403737, 0.0019574, 0.00048535, 0.000110183, 7.01009e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0157651");
-            index_3 ("0.529934, 0.602275, 0.644677, 0.665455, 0.706938, 0.737582, 0.77733, 0.821914, 0.860136, 0.894651, 0.926901, 0.958282, 0.989598, 1.03328, 1.05587, 1.08517, 1.10317, 1.11897, 1.14146, 1.17145, 1.216, 1.23691, 1.28924");
-            values ( \
-              "0.00682488, 0.00887025, 0.0149048, 0.0193255, 0.0322521, 0.0440148, 0.0575438, 0.0697516, 0.0789186, 0.0855296, 0.0902798, 0.0909995, 0.0801353, 0.0496133, 0.0359582, 0.0219382, 0.0158021, 0.0115521, 0.00731435, 0.00386806, 0.00129079, 0.00204533, 0.000575457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0328078");
-            index_3 ("0.52955, 0.627774, 0.667237, 0.699299, 0.793819, 0.902785, 0.954165, 1.00017, 1.04312, 1.08574, 1.12829, 1.21571, 1.26009, 1.28289, 1.31628, 1.36166, 1.39862, 1.47255, 1.55567");
-            values ( \
-              "0.00760675, 0.0164475, 0.0250483, 0.0347439, 0.0711099, 0.107506, 0.122689, 0.134041, 0.140804, 0.136807, 0.11398, 0.0564655, 0.035905, 0.0273518, 0.0181431, 0.0103282, 0.00643605, 0.00234059, 0.000707977" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0682741");
-            index_3 ("0.608374, 0.716065, 0.941839, 1.02322, 1.09214, 1.15424, 1.18514, 1.19903, 1.20597, 1.22225, 1.22899, 1.25175, 1.37885, 1.4162, 1.4647, 1.50554, 1.53708, 1.58105, 1.61774, 1.65831, 1.7159, 1.7411, 1.77488, 1.81991, 1.90303, 1.98615, 2.06927, 2.23551");
-            values ( \
-              "0.0334366, 0.0450983, 0.135446, 0.166841, 0.190112, 0.205673, 0.209506, 0.209533, 0.209237, 0.207136, 0.204708, 0.192468, 0.11835, 0.0991362, 0.0773326, 0.0620362, 0.05197, 0.040284, 0.0324203, 0.0253652, 0.017763, 0.0151932, 0.0123055, 0.00925746, 0.00540666, 0.00314359, 0.00182585, 0.000613622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.142081");
-            index_3 ("0.607697, 0.724436, 0.829777, 1.14957, 1.21537, 1.2313, 1.25225, 1.3878, 1.4367, 1.50728, 1.58222, 1.87622, 1.94256, 2.02568, 2.09316, 2.16277, 2.28283, 2.35672, 2.43088, 2.514, 2.61113, 2.74855, 2.91479, 3.08103, 3.33039, 3.57975");
-            values ( \
-              "0.0359341, 0.0517036, 0.0947547, 0.237651, 0.262498, 0.263343, 0.261345, 0.239874, 0.230974, 0.215924, 0.196746, 0.11286, 0.0963831, 0.0781034, 0.0653218, 0.0539641, 0.0383343, 0.0308649, 0.0247516, 0.0192475, 0.0143195, 0.00936635, 0.00557416, 0.00330671, 0.00150182, 0.000685343" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.00256044, 0.00260288, 0.00263663, 0.00265822, 0.00267026, 0.0026765", \
-            "0.00318797, 0.00322835, 0.00326894, 0.00329886, 0.00331685, 0.00332651", \
-            "0.00359965, 0.00361269, 0.00362585, 0.00364346, 0.00365702, 0.00366524", \
-            "0.00389779, 0.00386296, 0.00384769, 0.00382991, 0.003825, 0.00382485", \
-            "0.00411402, 0.00405867, 0.00400246, 0.00395274, 0.0039208, 0.00390461", \
-            "0.0043001, 0.00420425, 0.00412804, 0.00406566, 0.00400852, 0.0039671" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.00378894, 0.00380744, 0.00383118, 0.00384816, 0.00385695, 0.00386103", \
-            "0.00409249, 0.00396464, 0.00386939, 0.00381448, 0.00378514, 0.00376985", \
-            "0.00425672, 0.00396781, 0.00376572, 0.0036364, 0.00356121, 0.00353816", \
-            "0.00492228, 0.00433619, 0.00390182, 0.0036457, 0.00350093, 0.00342384", \
-            "0.00486261, 0.00492624, 0.00445969, 0.00390923, 0.00360843, 0.00344915", \
-            "0.00458739, 0.00474662, 0.00486943, 0.0046047, 0.00393626, 0.00360147" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0214725, 0.0229718, 0.0237339, 0.0244603, 0.0254508, 0.0266495, 0.0301329, 0.0337932, 0.0365842, 0.039582, 0.0425741, 0.0439536, 0.05256, 0.0568939, 0.0604762, 0.0642553, 0.0711329, 0.074113");
-            values ( \
-              "-0.0063067, -0.169055, -0.205971, -0.220667, -0.229907, -0.234728, -0.237524, -0.233888, -0.228194, -0.215481, -0.195801, -0.183509, -0.0801441, -0.0469341, -0.0293984, -0.0176391, -0.0067008, -0.00486626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00757563");
-            index_3 ("0.021898, 0.0239429, 0.0254419, 0.02652, 0.0277984, 0.029642, 0.0317895, 0.0387049, 0.0418051, 0.0465458, 0.051681, 0.0557785, 0.0597318, 0.0686623, 0.0746775, 0.0808326, 0.0839606, 0.0881311, 0.0917141, 0.0956379, 0.10087, 0.111333, 0.124942, 0.141786");
-            values ( \
-              "-0.00927773, -0.25781, -0.283973, -0.290042, -0.293297, -0.294961, -0.295087, -0.289657, -0.285925, -0.27783, -0.263412, -0.246281, -0.221013, -0.137793, -0.0917506, -0.0582737, -0.0458527, -0.0330021, -0.0247652, -0.0180403, -0.0117145, -0.00467527, -0.00129717, -0.000237193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0157651");
-            index_3 ("0.0231672, 0.0250916, 0.0261097, 0.0274829, 0.0290226, 0.031949, 0.0376433, 0.0490684, 0.0577268, 0.0660905, 0.0715656, 0.0762534, 0.0857463, 0.0895414, 0.0933576, 0.107327, 0.117449, 0.124515, 0.130535, 0.135489, 0.141373, 0.149681, 0.158349, 0.164993, 0.178282, 0.202701, 0.231612");
-            values ( \
-              "-0.254777, -0.317231, -0.326411, -0.331724, -0.33411, -0.335304, -0.33353, -0.326421, -0.319498, -0.310799, -0.30321, -0.295, -0.270934, -0.256421, -0.237461, -0.156739, -0.10862, -0.0825073, -0.0646553, -0.0526858, -0.0410963, -0.0287028, -0.0196929, -0.014689, -0.00802686, -0.00237287, -0.000510967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0328078");
-            index_3 ("0.023442, 0.024311, 0.0261333, 0.0286152, 0.0319471, 0.0346972, 0.0456415, 0.0717384, 0.0909514, 0.10449, 0.117044, 0.127079, 0.145686, 0.153018, 0.160518, 0.187995, 0.20774, 0.221583, 0.233406, 0.243162, 0.254694, 0.270985, 0.287967, 0.300981, 0.327008, 0.374785, 0.431335");
-            values ( \
-              "-0.289863, -0.323186, -0.348509, -0.357013, -0.35946, -0.359524, -0.356603, -0.347002, -0.338313, -0.330821, -0.32206, -0.313057, -0.288272, -0.272962, -0.252619, -0.166244, -0.115335, -0.0876065, -0.0686174, -0.0558637, -0.0435818, -0.0304421, -0.0208983, -0.0155966, -0.00853355, -0.002535, -0.00055011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0682741");
-            index_3 ("0.0234872, 0.0259616, 0.0288638, 0.0327673, 0.0356259, 0.0903547, 0.131729, 0.165085, 0.19522, 0.231318, 0.247986, 0.265765, 0.284701, 0.309949, 0.369177, 0.395297, 0.410193, 0.439983, 0.469088, 0.489987, 0.523427, 0.556823, 0.582475, 0.633779, 0.698787, 0.763795, 0.828803");
-            values ( \
-              "-0.306044, -0.359652, -0.369983, -0.372756, -0.373026, -0.363594, -0.354902, -0.346708, -0.337721, -0.3232, -0.313972, -0.301093, -0.281506, -0.244468, -0.151455, -0.118702, -0.102682, -0.0759615, -0.0561157, -0.0449104, -0.031193, -0.0216331, -0.0162627, -0.00904449, -0.00421417, -0.00195796, -0.000905713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.142081");
-            index_3 ("0.0234782, 0.0271039, 0.0307492, 0.0361278, 0.125404, 0.225154, 0.301733, 0.366741, 0.421149, 0.451579, 0.512439, 0.532897, 0.573813, 0.705894, 0.758018, 0.797189, 0.836818, 0.889615, 0.936575, 0.999188, 1.05351, 1.12656, 1.19157, 1.25658, 1.32159, 1.4516, 1.64663");
-            values ( \
-              "-0.314499, -0.372198, -0.378587, -0.380031, -0.372358, -0.362281, -0.353209, -0.34389, -0.334159, -0.327365, -0.308797, -0.299924, -0.276062, -0.17221, -0.13684, -0.114095, -0.0942494, -0.0724994, -0.0570906, -0.0411058, -0.0308405, -0.0208488, -0.0145963, -0.0102552, -0.00713435, -0.00346582, -0.00120234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0319079, 0.0439278, 0.0509305, 0.0517003, 0.0525379, 0.0534973, 0.0554161, 0.0568623, 0.058388, 0.0615828, 0.0639071, 0.0711988, 0.0757828, 0.078804, 0.0827217, 0.0876321, 0.0928698, 0.0938499");
-            values ( \
-              "-0.00332739, -0.158137, -0.210202, -0.220789, -0.226416, -0.228225, -0.226172, -0.22177, -0.214889, -0.194283, -0.170462, -0.0817931, -0.0464923, -0.0313632, -0.0184753, -0.00940178, -0.00440347, -0.00401812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00757563");
-            index_3 ("0.0338607, 0.0438699, 0.0453384, 0.0482754, 0.0508619, 0.0509612, 0.0511599, 0.0515572, 0.0523478, 0.0534504, 0.0546066, 0.0557432, 0.0580165, 0.0604806, 0.0644483, 0.0670365, 0.0703588, 0.0754412, 0.0757693, 0.0764257, 0.0777384, 0.0797082, 0.0869173, 0.0904279, 0.0919877, 0.0926879, 0.0940884, 0.0965433, 0.099715, 0.100438, 0.101884, 0.104775, 0.109696, 0.111396, 0.11306, 0.115279, 0.119716, 0.12859, 0.142225, 0.158778");
-            values ( \
-              "-0.0171008, -0.1959, -0.210834, -0.238798, -0.262108, -0.267602, -0.271446, -0.277696, -0.284774, -0.288465, -0.289644, -0.289527, -0.28828, -0.285481, -0.2794, -0.273566, -0.263405, -0.241083, -0.239347, -0.235416, -0.226365, -0.210087, -0.141457, -0.112394, -0.100985, -0.0961635, -0.0870666, -0.0728182, -0.0573918, -0.0543239, -0.0486254, -0.0388147, -0.0261703, -0.0228315, -0.0199684, -0.01668, -0.0115763, -0.00539564, -0.00150025, -0.000281619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0157651");
-            index_3 ("0.0347073, 0.045035, 0.05213, 0.0542113, 0.0577064, 0.072049, 0.0857021, 0.0952641, 0.102854, 0.110201, 0.137859, 0.149259, 0.162785, 0.17241, 0.190281, 0.196651");
-            values ( \
-              "-0.0181844, -0.234519, -0.320954, -0.330489, -0.331946, -0.32339, -0.310145, -0.294977, -0.276914, -0.248589, -0.102999, -0.0654098, -0.0370489, -0.024436, -0.0110163, -0.0089395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0328078");
-            index_3 ("0.0348258, 0.0484202, 0.0517212, 0.0534147, 0.0548948, 0.0592267, 0.0666939, 0.0927464, 0.110007, 0.127726, 0.145577, 0.154025, 0.163037, 0.17129, 0.182295, 0.201364, 0.216838, 0.230013, 0.239063, 0.251932, 0.263133, 0.278067, 0.297289, 0.309191, 0.332997, 0.377216, 0.428823");
-            values ( \
-              "-0.0344624, -0.290809, -0.339414, -0.352973, -0.356215, -0.357702, -0.355912, -0.346197, -0.338314, -0.328143, -0.313735, -0.30428, -0.291238, -0.274694, -0.244219, -0.183267, -0.139221, -0.108268, -0.0903945, -0.0693223, -0.0547361, -0.0395942, -0.0259197, -0.0198722, -0.0115073, -0.00383425, -0.000997627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0682741");
-            index_3 ("0.0347722, 0.0488307, 0.0519718, 0.0544327, 0.0568289, 0.0607886, 0.0671926, 0.0952865, 0.143433, 0.178318, 0.213351, 0.238131, 0.251357, 0.277809, 0.291004, 0.311436, 0.375193, 0.400736, 0.420035, 0.445768, 0.466325, 0.482723, 0.504588, 0.540132, 0.552464, 0.580057, 0.611591, 0.67466, 0.739668, 0.804676, 0.869684");
-            values ( \
-              "-0.0434843, -0.306245, -0.351421, -0.368576, -0.371254, -0.371896, -0.371204, -0.366215, -0.356512, -0.348216, -0.338019, -0.328679, -0.322582, -0.306572, -0.295392, -0.271247, -0.170026, -0.134935, -0.112292, -0.0869568, -0.0704399, -0.0593796, -0.0470815, -0.0319567, -0.0279374, -0.0206256, -0.0145028, -0.00695647, -0.00323845, -0.00150091, -0.000696339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.142081");
-            index_3 ("0.042682, 0.0563713, 0.0628271, 0.2443, 0.320879, 0.385887, 0.440295, 0.470725, 0.531586, 0.552044, 0.59296, 0.725041, 0.777164, 0.816336, 0.855965, 0.908762, 0.955722, 1.01833, 1.07265, 1.14571, 1.21072, 1.27572, 1.34073, 1.47075, 1.66577");
-            values ( \
-              "-0.369528, -0.378204, -0.379537, -0.362275, -0.353201, -0.343899, -0.334149, -0.327375, -0.308786, -0.299935, -0.27605, -0.172224, -0.136826, -0.11408, -0.094265, -0.0724837, -0.0570742, -0.0411225, -0.0308239, -0.0208318, -0.0146136, -0.0102379, -0.00715179, -0.00348345, -0.00118444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0563053, 0.076851, 0.0899359, 0.0943532, 0.0984988, 0.102607, 0.106709, 0.112601, 0.11959, 0.124243, 0.127725, 0.130524, 0.13612, 0.144247, 0.154196");
-            values ( \
-              "-0.00146011, -0.0992279, -0.142456, -0.154283, -0.161687, -0.157866, -0.135822, -0.0815491, -0.0393983, -0.0212929, -0.0132848, -0.00899993, -0.00398746, -0.00109062, -0.000206849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00757563");
-            index_3 ("0.0628007, 0.0673765, 0.0681349, 0.0688934, 0.0704104, 0.0718511, 0.0732629, 0.0751261, 0.0771884, 0.0805807, 0.0851587, 0.0893323, 0.0930466, 0.0949037, 0.0981383, 0.101373, 0.104316, 0.107259, 0.112739, 0.112759, 0.112874, 0.113338, 0.113956, 0.114265, 0.114883, 0.115563, 0.116305, 0.117068, 0.118244, 0.119651, 0.121058, 0.121844, 0.123416, 0.124598, 0.126973, 0.129044, 0.13061, 0.133239, 0.134565, 0.135891, 0.137659, 0.139427, 0.140808, 0.142924, 0.144391, 0.146592, 0.148544, 0.150979, 0.152197, 0.154289");
-            values ( \
-              "-0.0499676, -0.0694201, -0.0731542, -0.0771422, -0.0858798, -0.0954557, -0.103721, -0.113694, -0.123756, -0.139305, -0.159471, -0.177167, -0.19238, -0.199797, -0.210264, -0.221729, -0.233028, -0.245153, -0.250983, -0.251141, -0.25121, -0.25344, -0.253028, -0.252665, -0.251624, -0.249882, -0.247293, -0.243925, -0.237617, -0.228193, -0.217758, -0.211489, -0.198003, -0.187006, -0.163136, -0.144246, -0.130936, -0.109765, -0.0996531, -0.0905487, -0.080057, -0.0704741, -0.0637575, -0.0540076, -0.0477509, -0.0404353, -0.034813, -0.0287519, -0.026085, -0.0220236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0157651");
-            index_3 ("0.0611033, 0.0716459, 0.0784199, 0.0868369, 0.0996764, 0.114341, 0.116728, 0.121157, 0.128101, 0.136112, 0.140219, 0.145695, 0.147511, 0.151142, 0.158353, 0.1719, 0.176811, 0.18218, 0.189339, 0.193342, 0.198021, 0.203627, 0.211427, 0.21462, 0.221006, 0.233778, 0.256387, 0.282886");
-            values ( \
-              "-0.00334244, -0.104439, -0.146393, -0.190182, -0.251417, -0.316253, -0.320311, -0.317088, -0.309802, -0.297724, -0.289327, -0.275005, -0.269215, -0.254562, -0.21578, -0.139445, -0.116538, -0.0949454, -0.0713385, -0.0605787, -0.0498788, -0.0393359, -0.0280806, -0.024448, -0.018482, -0.0103761, -0.00344102, -0.000880944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0328078");
-            index_3 ("0.0618944, 0.0771537, 0.0837724, 0.113971, 0.116091, 0.117774, 0.123492, 0.143572, 0.152326, 0.169684, 0.181022, 0.188457, 0.203327, 0.208114, 0.217689, 0.250023, 0.262541, 0.271872, 0.281465, 0.294231, 0.305612, 0.320788, 0.339648, 0.351321, 0.374665, 0.418743, 0.470027");
-            values ( \
-              "-0.00816028, -0.146732, -0.187578, -0.345642, -0.351795, -0.351847, -0.350638, -0.342477, -0.338301, -0.32844, -0.319911, -0.313058, -0.294531, -0.28636, -0.26445, -0.164443, -0.130794, -0.109332, -0.0903278, -0.0694033, -0.0545895, -0.0392876, -0.0259268, -0.0199698, -0.0117042, -0.00390899, -0.00103644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0682741");
-            index_3 ("0.0740883, 0.0919961, 0.114772, 0.118031, 0.161298, 0.197776, 0.220935, 0.255961, 0.280795, 0.293974, 0.320332, 0.333621, 0.35405, 0.417811, 0.443352, 0.462651, 0.488381, 0.50894, 0.525339, 0.547205, 0.582747, 0.595078, 0.622667, 0.654196, 0.717256, 0.782264, 0.91228");
-            values ( \
-              "-0.213301, -0.240912, -0.363399, -0.369706, -0.361706, -0.353876, -0.348216, -0.338021, -0.328659, -0.322583, -0.306641, -0.295393, -0.271251, -0.170026, -0.134935, -0.112294, -0.0869596, -0.0704412, -0.0593802, -0.0470815, -0.0319574, -0.0279383, -0.0206272, -0.0145047, -0.00695822, -0.00323926, -0.000696509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.142081");
-            index_3 ("0.0745162, 0.0961197, 0.113813, 0.115789, 0.122168, 0.291802, 0.363408, 0.428416, 0.482819, 0.513251, 0.574116, 0.59457, 0.635478, 0.76757, 0.819691, 0.858859, 0.898489, 0.951287, 0.998247, 1.06086, 1.11518, 1.18823, 1.25324, 1.31824, 1.44826, 1.57828, 1.70829");
-            values ( \
-              "-0.205989, -0.270836, -0.369601, -0.376656, -0.37802, -0.361711, -0.353177, -0.343922, -0.334128, -0.327396, -0.308764, -0.299955, -0.276037, -0.172238, -0.13681, -0.114067, -0.0942802, -0.0724704, -0.0570615, -0.041135, -0.0308115, -0.0208205, -0.0146259, -0.0102266, -0.00498841, -0.00242356, -0.00117363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.109921, 0.113564, 0.118404, 0.122038, 0.124644, 0.126845, 0.131245, 0.135154, 0.142579, 0.146382, 0.153989, 0.157651, 0.162852, 0.169727, 0.176113, 0.182272, 0.188418, 0.189088, 0.189982, 0.191769, 0.195343, 0.198299, 0.200191, 0.203278, 0.204177, 0.205975, 0.209571, 0.212482, 0.214036, 0.217145, 0.223361, 0.233185, 0.244929, 0.24906, 0.252345, 0.253792");
-            values ( \
-              "-0.0121728, -0.0150094, -0.0189507, -0.0234785, -0.0271058, -0.03074, -0.0397484, -0.0485609, -0.0617635, -0.0676815, -0.0790021, -0.0841335, -0.0910362, -0.0994093, -0.105514, -0.107805, -0.0980091, -0.0958604, -0.0925273, -0.0847882, -0.0674649, -0.0537615, -0.0457547, -0.0344115, -0.0315572, -0.0263959, -0.0181107, -0.0131947, -0.0111147, -0.00781803, -0.00372002, -0.0010372, -0.000263541, -0.000191218, -0.00218083, -0.00215844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00757563");
-            index_3 ("0.112785, 0.115417, 0.121703, 0.126353, 0.129697, 0.133278, 0.140197, 0.150359, 0.158259, 0.169885, 0.179898, 0.188924, 0.197304, 0.205439, 0.213986, 0.216574, 0.22443, 0.227491, 0.231574, 0.23479, 0.237355, 0.240776, 0.246549, 0.249096, 0.249652, 0.250765, 0.251803, 0.257013, 0.262199, 0.26886, 0.270309");
-            values ( \
-              "-0.0205033, -0.0231389, -0.0308024, -0.038885, -0.0452983, -0.0532663, -0.0708698, -0.092278, -0.106992, -0.127903, -0.144372, -0.157837, -0.167168, -0.168955, -0.148868, -0.136515, -0.0939843, -0.0788891, -0.0612195, -0.0494578, -0.0414764, -0.0325159, -0.0211055, -0.0173938, -0.0184237, -0.0185129, -0.017717, -0.0121606, -0.00794577, -0.00454873, -0.0041619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0157651");
-            index_3 ("0.108113, 0.137712, 0.149161, 0.175997, 0.205788, 0.218177, 0.229729, 0.241081, 0.248772, 0.267302, 0.274709, 0.285836, 0.297058, 0.308839, 0.315973, 0.33024, 0.352993, 0.379998");
-            values ( \
-              "-0.00258785, -0.0725794, -0.102542, -0.16107, -0.219105, -0.239047, -0.25188, -0.24914, -0.229255, -0.133777, -0.101481, -0.0651181, -0.0407328, -0.0244708, -0.017888, -0.00934026, -0.00306331, -0.000760388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0328078");
-            index_3 ("0.124506, 0.139265, 0.156246, 0.175884, 0.204429, 0.226864, 0.246172, 0.251589, 0.2553, 0.270465, 0.282449, 0.302102, 0.308639, 0.316027, 0.343093, 0.363213, 0.377109, 0.388837, 0.398412, 0.409985, 0.426306, 0.443403, 0.456518, 0.482748, 0.530228, 0.586476");
-            values ( \
-              "-0.0778939, -0.0822976, -0.126869, -0.174382, -0.23928, -0.287044, -0.324052, -0.33283, -0.333569, -0.323539, -0.3131, -0.286405, -0.272356, -0.252211, -0.167046, -0.11511, -0.0873342, -0.0685354, -0.0560115, -0.0436598, -0.0304764, -0.0208683, -0.0155383, -0.00845878, -0.00253672, -0.000556846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0682741");
-            index_3 ("0.124514, 0.230831, 0.24893, 0.256012, 0.314944, 0.355455, 0.387982, 0.415639, 0.427637, 0.448098, 0.511811, 0.543799, 0.582423, 0.608421, 0.641186, 0.689083, 0.716699, 0.74826, 0.811381, 0.869812");
-            values ( \
-              "-0.056406, -0.313688, -0.354435, -0.36181, -0.348223, -0.336113, -0.322583, -0.305666, -0.295394, -0.271201, -0.170048, -0.127039, -0.0869359, -0.066572, -0.0470991, -0.0279417, -0.0206226, -0.0144971, -0.00694832, -0.00361097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.142081");
-            index_3 ("0.124242, 0.170379, 0.205779, 0.248658, 0.250993, 0.253699, 0.261727, 0.315371, 0.385395, 0.450403, 0.469885, 0.49586, 0.530493, 0.595501, 0.606837, 0.62951, 0.674857, 0.688089, 0.714555, 0.767485, 0.814277, 0.876667, 0.915522, 0.947657, 0.989076, 1.04348, 1.06035, 1.0941, 1.15911, 1.21029, 1.229, 1.26642, 1.33142, 1.39643, 1.46144, 1.52645, 1.59146, 1.65646, 1.78648");
-            values ( \
-              "-0.0501563, -0.171677, -0.261964, -0.366559, -0.371942, -0.374495, -0.374099, -0.36899, -0.361699, -0.354029, -0.351487, -0.347856, -0.34253, -0.330055, -0.32737, -0.321448, -0.305845, -0.299943, -0.285458, -0.246728, -0.20839, -0.160971, -0.135363, -0.116628, -0.0955893, -0.072943, -0.0669719, -0.0563705, -0.0400769, -0.0305518, -0.0276415, -0.0226295, -0.0158791, -0.0111356, -0.00777544, -0.00544273, -0.00378688, -0.00265283, -0.00129253" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.231879, 0.255267, 0.257784, 0.2603, 0.262817, 0.265333, 0.268059, 0.271174, 0.274342, 0.27751, 0.281734, 0.288939, 0.292993, 0.297026, 0.302051, 0.305059, 0.308068, 0.311076, 0.316446, 0.324289, 0.331711, 0.334076, 0.33644, 0.338804, 0.341169, 0.343528, 0.345888, 0.348248, 0.350608, 0.350647, 0.350881, 0.352129, 0.353065, 0.354313, 0.35556, 0.358056, 0.360551, 0.361856, 0.364817, 0.366787, 0.368275, 0.369939, 0.372882, 0.37429, 0.375698, 0.377042, 0.378385, 0.379729, 0.381072, 0.383554");
-            values ( \
-              "-0.0174948, -0.0185964, -0.0203459, -0.0221897, -0.0241279, -0.0261605, -0.0285767, -0.0312322, -0.033719, -0.036125, -0.0392257, -0.0443259, -0.0471174, -0.0497885, -0.0529809, -0.0548289, -0.0566345, -0.0583978, -0.0614048, -0.0656803, -0.0695978, -0.069669, -0.069647, -0.0695319, -0.0693238, -0.0690231, -0.0686298, -0.0681437, -0.0675648, -0.0675333, -0.0671261, -0.0654947, -0.0640754, -0.0618284, -0.0594486, -0.0541606, -0.048603, -0.0455907, -0.038442, -0.0341114, -0.0310324, -0.0277311, -0.0221339, -0.0199463, -0.017921, -0.0161665, -0.0145418, -0.0130469, -0.0116817, -0.00946604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00757563");
-            index_3 ("0.233934, 0.258571, 0.25968, 0.263624, 0.267568, 0.274494, 0.281056, 0.285157, 0.288848, 0.295819, 0.30059, 0.307422, 0.312665, 0.320331, 0.324208, 0.328084, 0.331961, 0.335837, 0.349722, 0.362548, 0.36562, 0.368693, 0.371765, 0.374838, 0.377905, 0.380971, 0.384038, 0.387105, 0.38746, 0.388702, 0.389768, 0.392608, 0.395449, 0.398289, 0.401044, 0.404991, 0.406203, 0.407415, 0.408626, 0.411049, 0.413778, 0.417172, 0.418828, 0.420484, 0.422141, 0.423797, 0.425454, 0.42711, 0.429743, 0.431881");
-            values ( \
-              "-0.0256954, -0.0272306, -0.0280963, -0.0315035, -0.0351308, -0.0420343, -0.0488054, -0.0527651, -0.0561838, -0.0624049, -0.0665115, -0.0722218, -0.0765145, -0.0826695, -0.0856207, -0.0885105, -0.0913387, -0.0941053, -0.10351, -0.11202, -0.111889, -0.111581, -0.111098, -0.110439, -0.109605, -0.108596, -0.107411, -0.106051, -0.105649, -0.103584, -0.101582, -0.095272, -0.0881415, -0.0807291, -0.0732705, -0.0622401, -0.0589935, -0.0559458, -0.0529856, -0.0473281, -0.0414125, -0.0343446, -0.0313874, -0.0286417, -0.0261951, -0.0239016, -0.0217614, -0.0197744, -0.0169715, -0.0148031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0157651");
-            index_3 ("0.216897, 0.226401, 0.24566, 0.263591, 0.275347, 0.317012, 0.353954, 0.375001, 0.393834, 0.411247, 0.42801, 0.445288, 0.478249, 0.490779, 0.497695, 0.507404, 0.518153, 0.526526, 0.54327, 0.550309, 0.55665, 0.566192");
-            values ( \
-              "-0.0100477, -0.0124891, -0.0236772, -0.0376269, -0.0489934, -0.0931254, -0.126528, -0.143755, -0.157617, -0.167756, -0.171741, -0.156043, -0.0698827, -0.0456575, -0.0355007, -0.0245009, -0.0160561, -0.0114189, -0.00554356, -0.00410908, -0.00484116, -0.00345759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0328078");
-            index_3 ("0.225865, 0.275197, 0.327477, 0.371332, 0.405458, 0.434635, 0.460841, 0.471436, 0.485157, 0.508793, 0.532409, 0.568232, 0.586223, 0.601928, 0.620265, 0.636427, 0.65293, 0.665526, 0.690716, 0.721768");
-            values ( \
-              "-0.00901076, -0.0543695, -0.112313, -0.156736, -0.189342, -0.215183, -0.235547, -0.242494, -0.249472, -0.250731, -0.217547, -0.126361, -0.0887532, -0.0641141, -0.0433068, -0.0303339, -0.0210465, -0.0158599, -0.00885858, -0.00479402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0682741");
-            index_3 ("0.22587, 0.37161, 0.4337, 0.48188, 0.523253, 0.550338, 0.555471, 0.579819, 0.597622, 0.626815, 0.637306, 0.657805, 0.721459, 0.753464, 0.792126, 0.818088, 0.850811, 0.898742, 0.926396, 0.958001, 1.02121, 1.06769");
-            values ( \
-              "-0.00384814, -0.16568, -0.23086, -0.278908, -0.315955, -0.337181, -0.338532, -0.330654, -0.322628, -0.304506, -0.295389, -0.27114, -0.170081, -0.127045, -0.0869082, -0.0665753, -0.0471238, -0.0279479, -0.0206118, -0.014488, -0.00693111, -0.00428633" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.142081");
-            index_3 ("0.275026, 0.340728, 0.435039, 0.496945, 0.549987, 0.561768, 0.576626, 0.666463, 0.740082, 0.80509, 0.816431, 0.839113, 0.884478, 0.897683, 0.924094, 0.976914, 1.0242, 1.08724, 1.13582, 1.15681, 1.19839, 1.25295, 1.30392, 1.36892, 1.41997, 1.4759, 1.54091, 1.60591, 1.67092, 1.73593, 1.86595, 1.99596");
-            values ( \
-              "-0.103043, -0.134837, -0.241133, -0.30821, -0.362627, -0.364818, -0.36395, -0.353204, -0.342539, -0.330049, -0.327374, -0.321443, -0.305834, -0.299943, -0.28549, -0.246864, -0.20813, -0.160278, -0.128854, -0.116874, -0.0957116, -0.0729902, -0.0563064, -0.0400239, -0.0305392, -0.0226459, -0.0158845, -0.0111456, -0.00777632, -0.00544946, -0.00265792, -0.0012968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.4327, 0.480056, 0.502881, 0.515412, 0.539599, 0.546243, 0.579702, 0.603154, 0.60836, 0.622638, 0.639904, 0.655796, 0.670899, 0.685855, 0.697059, 0.71696, 0.722927, 0.729291, 0.734448, 0.740623, 0.748855, 0.765321, 0.786165, 0.813223, 0.825931");
-            values ( \
-              "-0.00167774, -0.00385768, -0.00593172, -0.0076241, -0.0121841, -0.0144624, -0.0248335, -0.0310809, -0.0326311, -0.036092, -0.0398275, -0.0425817, -0.0443463, -0.0434022, -0.0366909, -0.0166048, -0.0121073, -0.00843192, -0.00624804, -0.00436536, -0.00271351, -0.00110616, -0.000487135, -0.000276063, -0.000219767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00757563");
-            index_3 ("0.431146, 0.489988, 0.501781, 0.520869, 0.528758, 0.544535, 0.608334, 0.63308, 0.657463, 0.679112, 0.698999, 0.717949, 0.736754, 0.750845, 0.776353, 0.790435, 0.797918, 0.810485, 0.827242, 0.851487, 0.880812, 0.918025, 1.16722");
-            values ( \
-              "-0.00195429, -0.00732449, -0.00905272, -0.0125816, -0.0143603, -0.0186297, -0.0432782, -0.0520522, -0.0599118, -0.0660757, -0.070746, -0.0735304, -0.0716829, -0.0600126, -0.0280104, -0.0157042, -0.0112889, -0.00630134, -0.00276942, -0.000740093, -0.000249576, -6.97642e-05, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0157651");
-            index_3 ("0.429511, 0.506656, 0.537893, 0.550541, 0.575837, 0.642977, 0.681125, 0.713395, 0.742166, 0.768683, 0.794022, 0.819315, 0.838404, 0.875136, 0.889075, 0.905866, 0.915805, 0.935684, 0.968346, 0.973436");
-            values ( \
-              "-0.00104559, -0.0136766, -0.0218553, -0.0259943, -0.0360614, -0.0668231, -0.0820946, -0.094046, -0.103215, -0.110372, -0.114168, -0.109519, -0.0896088, -0.0409394, -0.0279081, -0.0168888, -0.0124724, -0.00632572, -0.00191272, -0.00170441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0328078");
-            index_3 ("0.493789, 0.553785, 0.591925, 0.650095, 0.704295, 0.756446, 0.800935, 0.84071, 0.877427, 0.912652, 0.947799, 0.959293, 1.00166, 1.0289, 1.05477, 1.0735, 1.09733, 1.12911, 1.18089, 1.21594, 1.23642, 1.26237");
-            values ( \
-              "-0.0267259, -0.0321604, -0.0483003, -0.077282, -0.102214, -0.124279, -0.141563, -0.155381, -0.165688, -0.170509, -0.158511, -0.146498, -0.0892552, -0.0583535, -0.03714, -0.0261369, -0.016422, -0.00845926, -0.00249317, -0.00113813, -0.00155252, -0.000959101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0682741");
-            index_3 ("0.493149, 0.568835, 0.608034, 0.701484, 0.796265, 0.861273, 0.931734, 0.987638, 1.0394, 1.08943, 1.13943, 1.20877, 1.23677, 1.27506, 1.30875, 1.34682, 1.35979, 1.39122, 1.42713, 1.49214, 1.55715, 1.62216");
-            values ( \
-              "-0.0226059, -0.0423704, -0.059978, -0.107364, -0.151849, -0.180683, -0.209709, -0.229966, -0.244274, -0.247453, -0.217373, -0.132747, -0.1031, -0.0699445, -0.0490805, -0.0324143, -0.0281301, -0.0199142, -0.0133036, -0.00622611, -0.00288948, -0.00134587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.142081");
-            index_3 ("0.550223, 0.66861, 0.861851, 0.992085, 1.08381, 1.12328, 1.18829, 1.21543, 1.22793, 1.24219, 1.27948, 1.31459, 1.3607, 1.42571, 1.5035, 1.558, 1.59135, 1.6413, 1.69838, 1.7328, 1.77312, 1.81801, 1.88077, 1.9565, 2.02151, 2.08652, 2.15153, 2.21654, 2.34655, 2.47657");
-            values ( \
-              "-0.0636504, -0.0935875, -0.190168, -0.251284, -0.291189, -0.307069, -0.330436, -0.338672, -0.338042, -0.335605, -0.327418, -0.317712, -0.299976, -0.258463, -0.195312, -0.155212, -0.133536, -0.105732, -0.0798051, -0.0671222, -0.0546122, -0.0432748, -0.030949, -0.0206052, -0.0145074, -0.0101097, -0.00711476, -0.00492138, -0.00238132, -0.0011437" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.00241425, 0.00243369, 0.00245067, 0.00246221, 0.00246888, 0.0024724", \
-            "0.00282524, 0.00283605, 0.00284871, 0.00285939, 0.0028664, 0.00287036", \
-            "0.00307011, 0.00307175, 0.00307571, 0.00308128, 0.00308626, 0.00308958", \
-            "0.00321751, 0.00321308, 0.00320936, 0.00320725, 0.0032072, 0.00320802", \
-            "0.00329587, 0.00329021, 0.00328219, 0.00327513, 0.00327048, 0.00326835", \
-            "0.00334074, 0.00333383, 0.0033247, 0.00331519, 0.00330633, 0.00330027" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.00333605, 0.00335639, 0.0033733, 0.00338361, 0.00338881, 0.00339139", \
-            "0.00365051, 0.00362686, 0.00361029, 0.00360099, 0.00359707, 0.0035954", \
-            "0.00390209, 0.00381013, 0.00374528, 0.00369965, 0.00367428, 0.00366342", \
-            "0.00429173, 0.00405433, 0.00388531, 0.00378121, 0.00372352, 0.00368696", \
-            "0.00500817, 0.00451829, 0.00416734, 0.00393368, 0.00379892, 0.00372604", \
-            "0.00555912, 0.00540972, 0.00469311, 0.00424803, 0.0039709, 0.00381491" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "0.00585197, 0.00598764, 0.00609482, 0.00616248, 0.00619911, 0.00621765", \
-            "0.00566619, 0.00581137, 0.00596443, 0.00608223, 0.0061555, 0.00619499", \
-            "0.00561182, 0.00564532, 0.00580829, 0.00595793, 0.00607683, 0.00610202", \
-            "0.00593539, 0.00586767, 0.00589041, 0.0059444, 0.00601439, 0.00610879", \
-            "0.00668571, 0.0065217, 0.00630593, 0.00616435, 0.00582545, 0.00609036", \
-            "0.00882281, 0.00841368, 0.00788506, 0.00734032, 0.00675381, 0.00646926" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00757563, 0.0157651, 0.0328078, 0.0682741, 0.142081");
-          values ( \
-            "-0.00122183, -0.00110425, -0.0010117, -0.000955236, -0.000924666, -0.000909088", \
-            "-0.00138114, -0.0012331, -0.00109708, -0.00100262, -0.000958784, -0.000931257", \
-            "-0.00154679, -0.00138034, -0.00122997, -0.00109047, -0.00100447, -0.00094781", \
-            "-0.0015526, -0.00148333, -0.00130223, -0.00117374, -0.00106373, -0.000986926", \
-            "-0.00105827, -0.00121647, -0.00127836, -0.00124222, -0.00114157, -0.00105701", \
-            "0.000684948, 9.9911e-05, -0.000438913, -0.000798018, -0.000942942, -0.000977274" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0041666;
-      rise_capacitance : 0.0041666;
-      rise_capacitance_range (0.00320375, 0.0041666);
-      fall_capacitance : 0.00414695;
-      fall_capacitance_range (0.00292802, 0.00414695);
-    }
-  }
-  cell (NAND2X1) {
-    area : 9.5238;
-    cell_footprint : "NAND2";
-    cell_leakage_power : 0.137829;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.537797;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00563716;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00723733;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.000644551;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.137829;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A) + (!B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.19418;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0293129, 0.0397201, 0.0619328, 0.110382, 0.217225, 0.453577", \
-            "0.035455, 0.0458165, 0.0679599, 0.116559, 0.223519, 0.459923", \
-            "0.0488445, 0.0606898, 0.0828338, 0.131318, 0.238121, 0.47461", \
-            "0.0690328, 0.0871421, 0.116349, 0.165155, 0.271307, 0.50774", \
-            "0.100241, 0.126637, 0.170637, 0.238913, 0.347888, 0.583182", \
-            "0.153616, 0.18997, 0.252944, 0.354928, 0.509914, 0.75259" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0219051, 0.0363267, 0.0685092, 0.139854, 0.297802, 0.647605", \
-            "0.0227696, 0.0365642, 0.0685304, 0.139857, 0.297808, 0.647605", \
-            "0.0311826, 0.0415883, 0.0696431, 0.139864, 0.297803, 0.647605", \
-            "0.0490808, 0.0618495, 0.0841596, 0.143775, 0.297796, 0.647558", \
-            "0.0772406, 0.0966042, 0.127965, 0.178588, 0.308118, 0.647568", \
-            "0.125526, 0.152863, 0.200075, 0.273395, 0.386976, 0.671977" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0304111, 0.0423767, 0.0680598, 0.124146, 0.247833, 0.521531", \
-            "0.034445, 0.0465016, 0.0723672, 0.128646, 0.252467, 0.526205", \
-            "0.0427485, 0.0563415, 0.0824167, 0.138838, 0.262821, 0.536707", \
-            "0.0504431, 0.0704004, 0.10411, 0.161984, 0.285909, 0.559812", \
-            "0.0525104, 0.081093, 0.130349, 0.209999, 0.339739, 0.612589", \
-            "0.0387209, 0.0781793, 0.147168, 0.261726, 0.443217, 0.732345" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0238105, 0.0391734, 0.0731902, 0.148597, 0.31539, 0.685161", \
-            "0.0242031, 0.0391855, 0.0732065, 0.148553, 0.315473, 0.685161", \
-            "0.0315374, 0.0433405, 0.0740168, 0.148498, 0.315522, 0.685161", \
-            "0.0463901, 0.0617105, 0.0887888, 0.152689, 0.315427, 0.685085", \
-            "0.0707086, 0.0919104, 0.128024, 0.189277, 0.327417, 0.685085", \
-            "0.114227, 0.141925, 0.191691, 0.27509, 0.412994, 0.714235" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0250486, 0.0265949, 0.0274514, 0.028972, 0.0304246, 0.0318679, 0.0347381, 0.0358715, 0.0377093, 0.0408438, 0.0447495, 0.0522674, 0.0550762, 0.060235, 0.0632863, 0.0671895, 0.0713379, 0.076266, 0.0803237, 0.0872545, 0.0918376, 0.101004, 0.115119, 0.132162");
-            values ( \
-              "0.0015127, 0.197487, 0.208747, 0.221356, 0.227445, 0.229382, 0.226031, 0.222672, 0.216023, 0.201688, 0.176963, 0.107278, 0.0871747, 0.059501, 0.0478091, 0.0360124, 0.0265684, 0.0185313, 0.013709, 0.00818104, 0.00579549, 0.00282838, 0.000875511, 0.000189728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00806404");
-            index_3 ("0.0262548, 0.0276637, 0.0291911, 0.0312464, 0.0336998, 0.0362637, 0.0404582, 0.0459677, 0.051253, 0.0570149, 0.0720332, 0.0803304, 0.0857165, 0.0901286, 0.0960113, 0.0999798, 0.107529, 0.115558, 0.121132, 0.132372, 0.14736, 0.158695");
-            values ( \
-              "0.1373, 0.275641, 0.294106, 0.307317, 0.312456, 0.311112, 0.302194, 0.284529, 0.264789, 0.237931, 0.137984, 0.0960863, 0.0753926, 0.061573, 0.0465138, 0.038478, 0.0264971, 0.0176621, 0.0132769, 0.00741392, 0.00330396, 0.00203808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0178635");
-            index_3 ("0.026973, 0.0291329, 0.0318574, 0.0340897, 0.0361942, 0.0403674, 0.0448546, 0.063342, 0.0762725, 0.0844388, 0.0932073, 0.111987, 0.122102, 0.141204, 0.152148, 0.161125, 0.177222, 0.189456, 0.21186, 0.231289, 0.250802");
-            values ( \
-              "0.254079, 0.341937, 0.364647, 0.372262, 0.374839, 0.372661, 0.36594, 0.329361, 0.300143, 0.277204, 0.245948, 0.170274, 0.135851, 0.0849386, 0.0636024, 0.0497012, 0.0315098, 0.0220204, 0.0113354, 0.00622523, 0.00384099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0395712");
-            index_3 ("0.02856, 0.02858, 0.0608424, 0.111112, 0.121918, 0.143062, 0.164894, 0.209174, 0.221498, 0.24501, 0.275337, 0.301245, 0.312951, 0.336363, 0.360795, 0.400237, 0.452828, 0.484283");
-            values ( \
-              "1e-22, 0.434341, 0.395788, 0.343332, 0.330829, 0.30215, 0.262582, 0.172723, 0.15045, 0.113069, 0.0758939, 0.0527539, 0.0446047, 0.0314926, 0.0218041, 0.0118738, 0.00505654, 0.0034462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.087658");
-            index_3 ("0.0306737, 0.0306937, 0.0832911, 0.184331, 0.226716, 0.257432, 0.276995, 0.316121, 0.411788, 0.477363, 0.538124, 0.60064, 0.634832, 0.667962, 0.714183, 0.769973, 0.84436, 0.923629, 0.984157");
-            values ( \
-              "1e-22, 0.478784, 0.416577, 0.366778, 0.344065, 0.325196, 0.311284, 0.278117, 0.18453, 0.128508, 0.0882815, 0.0581882, 0.0459628, 0.036363, 0.0260584, 0.0173417, 0.00988112, 0.005392, 0.00350687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.19418");
-            index_3 ("0.0334082, 0.0334282, 0.148035, 0.321329, 0.447615, 0.500644, 0.570143, 0.649413, 0.862015, 0.970066, 1.04905, 1.10411, 1.16904, 1.24831, 1.34472, 1.41286, 1.54107, 1.70453, 1.86307, 2.0216, 2.41795");
-            values ( \
-              "1e-22, 0.487328, 0.42309, 0.383767, 0.353249, 0.33885, 0.316811, 0.28615, 0.189544, 0.144713, 0.116591, 0.0994793, 0.0817984, 0.0640313, 0.0470352, 0.0375266, 0.0244236, 0.0139763, 0.00805878, 0.00462018, 0.00121195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0387133, 0.0432291, 0.0509253, 0.0523046, 0.0545105, 0.0561338, 0.057674, 0.0608283, 0.0609018, 0.0610489, 0.0643602, 0.0668902, 0.0713816, 0.0767559, 0.0805411, 0.0844759, 0.0867416, 0.091273, 0.0946847, 0.102139, 0.105194, 0.10973, 0.115779, 0.127876, 0.143296");
-            values ( \
-              "0.00588389, 0.0979608, 0.170411, 0.192467, 0.206688, 0.206787, 0.208883, 0.197314, 0.198352, 0.197842, 0.179441, 0.158804, 0.115575, 0.0775458, 0.0586903, 0.0442033, 0.0375873, 0.0268541, 0.0209565, 0.0120176, 0.0095783, 0.0068147, 0.00436194, 0.00158691, 0.000474369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00806404");
-            index_3 ("0.0405489, 0.0444759, 0.0532898, 0.0561501, 0.0585868, 0.0610678, 0.0660816, 0.0713771, 0.0767469, 0.0922011, 0.100294, 0.108673, 0.119722, 0.129414, 0.136411, 0.145512, 0.157647, 0.166398");
-            values ( \
-              "0.00475225, 0.143327, 0.271361, 0.292142, 0.296928, 0.295371, 0.283252, 0.264498, 0.240194, 0.137747, 0.0967855, 0.0662651, 0.0391621, 0.024303, 0.0170236, 0.0106816, 0.00561709, 0.00391529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0178635");
-            index_3 ("0.0362133, 0.0579371, 0.061217, 0.0648529, 0.0692644, 0.0738754, 0.0822739, 0.0893784, 0.09347, 0.101653, 0.110087, 0.130278, 0.140288, 0.147602, 0.156907, 0.16399, 0.171185, 0.180774, 0.189312, 0.200695, 0.210522, 0.223721, 0.241321, 0.274325, 0.312792");
-            values ( \
-              "0.000530779, 0.360226, 0.365583, 0.363645, 0.357093, 0.348525, 0.33165, 0.316373, 0.307032, 0.285798, 0.257871, 0.176318, 0.141745, 0.119316, 0.0948282, 0.0790773, 0.0653148, 0.0502254, 0.0395479, 0.0284704, 0.0213723, 0.0144657, 0.00844257, 0.00284813, 0.000744034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0395712");
-            index_3 ("0.0362513, 0.0624028, 0.0654357, 0.0704943, 0.0767144, 0.121264, 0.141084, 0.155366, 0.16462, 0.183127, 0.220463, 0.22854, 0.23931, 0.260849, 0.273718, 0.287793, 0.306558, 0.321143, 0.338186, 0.36091, 0.38154, 0.391996, 0.412908, 0.454732, 0.523088, 0.602357");
-            values ( \
-              "0.0420737, 0.408229, 0.408685, 0.405811, 0.400034, 0.354254, 0.332019, 0.313611, 0.299763, 0.266523, 0.189632, 0.174178, 0.154548, 0.119358, 0.101456, 0.0842746, 0.0651183, 0.0529992, 0.0414298, 0.029542, 0.0216607, 0.0184896, 0.0134017, 0.00688212, 0.00210356, 0.000502578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.087658");
-            index_3 ("0.0456947, 0.0554797, 0.0592938, 0.0629783, 0.066672, 0.0785237, 0.20452, 0.246948, 0.2769, 0.297173, 0.337721, 0.432117, 0.498557, 0.557258, 0.598046, 0.620266, 0.657774, 0.690018, 0.735348, 0.78839, 0.859111, 0.93838, 1.01765, 1.17619");
-            values ( \
-              "0.247499, 0.397897, 0.422437, 0.430663, 0.432493, 0.428736, 0.366772, 0.344037, 0.325669, 0.311287, 0.276802, 0.184394, 0.127739, 0.0888805, 0.067919, 0.0584159, 0.0450894, 0.0358875, 0.0258823, 0.0175773, 0.0103131, 0.00563017, 0.00305232, 0.000892962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.19418");
-            index_3 ("0.0519575, 0.0519775, 0.166809, 0.388627, 0.467897, 0.520926, 0.590424, 0.669694, 0.882296, 0.990346, 1.06933, 1.12439, 1.18932, 1.26859, 1.365, 1.43313, 1.5124, 1.59742, 1.7248, 1.88334, 2.04187, 2.43822");
-            values ( \
-              "1e-22, 0.474024, 0.423495, 0.372745, 0.353186, 0.338787, 0.316874, 0.286087, 0.189608, 0.144778, 0.116527, 0.0994156, 0.0818633, 0.0639676, 0.0469718, 0.0375917, 0.0288325, 0.0216858, 0.0140409, 0.00812303, 0.00468422, 0.00114832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0649122, 0.0701618, 0.071642, 0.0853755, 0.0954101, 0.100635, 0.105407, 0.110009, 0.112885, 0.113786, 0.114728, 0.12265, 0.129093, 0.135206, 0.141632, 0.149877, 0.158979, 0.161423");
-            values ( \
-              "0.0191141, 0.0281485, 0.0322762, 0.0855107, 0.118509, 0.132207, 0.141825, 0.143869, 0.136435, 0.135838, 0.131298, 0.0808481, 0.0513694, 0.0332005, 0.0207881, 0.0112595, 0.00570795, 0.00490088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00806404");
-            index_3 ("0.0760817, 0.0889204, 0.0935915, 0.096166, 0.100457, 0.10389, 0.106005, 0.107367, 0.109332, 0.111145, 0.111919, 0.112692, 0.113363, 0.114035, 0.114806, 0.115578, 0.116699, 0.117796, 0.118519, 0.119243, 0.119966, 0.120723, 0.122239, 0.122996, 0.124273, 0.12555, 0.126827, 0.128104, 0.133005, 0.134184, 0.137718, 0.140492, 0.143265, 0.145835, 0.14748, 0.149125, 0.15077, 0.154057, 0.155818, 0.157578, 0.159339, 0.162362, 0.165385, 0.167198, 0.16901, 0.170823, 0.174449, 0.178075, 0.181838, 0.184967");
-            values ( \
-              "0.123768, 0.134083, 0.157854, 0.170389, 0.190545, 0.206009, 0.214997, 0.220372, 0.227469, 0.233254, 0.238274, 0.244506, 0.24542, 0.246782, 0.248903, 0.251617, 0.250783, 0.24944, 0.248271, 0.246876, 0.245255, 0.242665, 0.236753, 0.233429, 0.22725, 0.220391, 0.212851, 0.204632, 0.170141, 0.162235, 0.139724, 0.123243, 0.109467, 0.097859, 0.0909978, 0.0845754, 0.0785917, 0.0676106, 0.0619457, 0.0571812, 0.0526993, 0.0458572, 0.0394604, 0.0358375, 0.0327122, 0.029846, 0.0250975, 0.0209719, 0.0174161, 0.0146653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0178635");
-            index_3 ("0.0786088, 0.092111, 0.101486, 0.10469, 0.109529, 0.111114, 0.112699, 0.113659, 0.114619, 0.115877, 0.117135, 0.118937, 0.120728, 0.121919, 0.12311, 0.1243, 0.126427, 0.128554, 0.132496, 0.137, 0.140353, 0.143705, 0.146962, 0.150218, 0.153025, 0.15864, 0.162169, 0.16721, 0.173195, 0.181215, 0.185185, 0.188975, 0.192766, 0.200038, 0.204394, 0.206326, 0.21019, 0.212766, 0.217918, 0.220494, 0.22391, 0.228699, 0.232815, 0.236474, 0.240133, 0.243631, 0.24713, 0.250628, 0.254126, 0.26012");
-            values ( \
-              "0.163045, 0.180385, 0.241628, 0.261681, 0.290678, 0.305057, 0.321331, 0.323596, 0.326549, 0.331461, 0.337556, 0.337901, 0.337701, 0.337268, 0.336597, 0.335687, 0.333154, 0.329784, 0.321833, 0.312036, 0.304127, 0.295407, 0.285836, 0.27565, 0.266376, 0.246457, 0.232921, 0.211727, 0.187846, 0.157758, 0.143667, 0.131884, 0.120747, 0.101387, 0.0903676, 0.0858153, 0.077475, 0.0725586, 0.0634162, 0.0591901, 0.0540524, 0.047155, 0.0415343, 0.0375499, 0.0338762, 0.0307255, 0.0278114, 0.0251339, 0.0226931, 0.0190107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0395712");
-            index_3 ("0.0833709, 0.100867, 0.110169, 0.112691, 0.113974, 0.11507, 0.117074, 0.118569, 0.119067, 0.120064, 0.122057, 0.124029, 0.126955, 0.128827, 0.132569, 0.139811, 0.147391, 0.158761, 0.169138, 0.178726, 0.18773, 0.198282, 0.208834, 0.21183, 0.216325, 0.222318, 0.228311, 0.236088, 0.250432, 0.264776, 0.276916, 0.280943, 0.293024, 0.303357, 0.31369, 0.324047, 0.32854, 0.332135, 0.338773, 0.34541, 0.349688, 0.358244, 0.364822, 0.369422, 0.376322, 0.389078, 0.399313, 0.411279, 0.417261, 0.426709");
-            values ( \
-              "0.233063, 0.263868, 0.33343, 0.365199, 0.370108, 0.375348, 0.387429, 0.392053, 0.393165, 0.393606, 0.39419, 0.39438, 0.39395, 0.392712, 0.389384, 0.382341, 0.374631, 0.362855, 0.351825, 0.341, 0.330056, 0.316452, 0.302007, 0.297266, 0.289445, 0.278499, 0.267058, 0.251476, 0.221473, 0.192272, 0.168058, 0.160857, 0.140351, 0.124115, 0.109081, 0.0952612, 0.0895193, 0.0851427, 0.0780598, 0.0714064, 0.0674601, 0.0598742, 0.0543201, 0.0506191, 0.0455054, 0.0379942, 0.0327788, 0.0274789, 0.025124, 0.0217851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.087658");
-            index_3 ("0.0788565, 0.0958095, 0.116102, 0.121526, 0.125996, 0.137942, 0.249868, 0.292256, 0.322808, 0.342523, 0.381952, 0.477313, 0.542862, 0.603682, 0.645955, 0.671052, 0.73343, 0.779674, 0.835539, 0.910026, 0.989296, 1.06857, 1.2271");
-            values ( \
-              "0.134817, 0.237728, 0.408534, 0.424172, 0.42613, 0.421891, 0.366773, 0.34406, 0.325297, 0.311285, 0.277838, 0.184535, 0.128532, 0.0882658, 0.06675, 0.0562954, 0.0363792, 0.0260656, 0.0173369, 0.00987044, 0.00538614, 0.00291996, 0.000854342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.19418");
-            index_3 ("0.0790895, 0.117393, 0.124539, 0.128876, 0.141911, 0.434257, 0.513526, 0.566555, 0.636054, 0.715324, 0.927925, 1.03598, 1.11496, 1.17002, 1.23495, 1.31422, 1.34635, 1.41063, 1.47876, 1.55803, 1.64305, 1.77042, 1.92896, 2.0875, 2.24604, 2.48385");
-            values ( \
-              "0.117426, 0.425523, 0.440852, 0.441754, 0.439545, 0.372715, 0.353216, 0.338817, 0.316845, 0.286116, 0.18958, 0.14475, 0.116556, 0.0994432, 0.081836, 0.063995, 0.0577815, 0.0469991, 0.0375648, 0.0288595, 0.0216598, 0.0140151, 0.00809729, 0.00465856, 0.00267273, 0.00117374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.117124, 0.124644, 0.131037, 0.13828, 0.142814, 0.147467, 0.15934, 0.167368, 0.177262, 0.185822, 0.193636, 0.201015, 0.20831, 0.215594, 0.221744, 0.227424, 0.234665, 0.243776, 0.24906, 0.252015, 0.25517, 0.265314, 0.273125, 0.27911");
-            values ( \
-              "0.00494548, 0.00810714, 0.0114139, 0.017013, 0.0219136, 0.0284693, 0.0490892, 0.0605162, 0.0722188, 0.0807969, 0.086947, 0.0905848, 0.0893553, 0.0732923, 0.052665, 0.0387192, 0.0259628, 0.0150412, 0.0107717, 0.0116348, 0.0111507, 0.00619321, 0.00348633, 0.00243632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00806404");
-            index_3 ("0.118979, 0.120305, 0.124605, 0.138018, 0.147644, 0.162639, 0.178833, 0.191314, 0.202007, 0.21171, 0.220831, 0.229958, 0.24902, 0.253597, 0.269085, 0.276327, 0.282286, 0.292264, 0.298819, 0.311929, 0.316717");
-            values ( \
-              "0.00777989, 0.00805758, 0.0116963, 0.0251846, 0.0401086, 0.0736692, 0.10532, 0.127214, 0.143863, 0.155912, 0.162374, 0.155174, 0.0858006, 0.0773463, 0.0399136, 0.028068, 0.0208148, 0.0125454, 0.00893931, 0.00442188, 0.00370487" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0178635");
-            index_3 ("0.124529, 0.145533, 0.153677, 0.171682, 0.197757, 0.214161, 0.228172, 0.240849, 0.244896, 0.24692, 0.248944, 0.250926, 0.252874, 0.255302, 0.260106, 0.28052, 0.284878, 0.290689, 0.302309, 0.309704, 0.320609, 0.329629, 0.33716, 0.345787, 0.358, 0.370616, 0.380265, 0.399563, 0.435118, 0.477085");
-            values ( \
-              "0.0147985, 0.0464722, 0.0641087, 0.111442, 0.176729, 0.215472, 0.244078, 0.263224, 0.266622, 0.267714, 0.268034, 0.270096, 0.269396, 0.265299, 0.251072, 0.170359, 0.15492, 0.13571, 0.102388, 0.0850329, 0.0637446, 0.0497529, 0.0402983, 0.031491, 0.0220178, 0.0151858, 0.0113847, 0.00627648, 0.00189626, 0.000420138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0395712");
-            index_3 ("0.124478, 0.157408, 0.176887, 0.226815, 0.248894, 0.250929, 0.2531, 0.256085, 0.260588, 0.266941, 0.280021, 0.28962, 0.312201, 0.332497, 0.376822, 0.389053, 0.412605, 0.430774, 0.443325, 0.468936, 0.48049, 0.503596, 0.521758, 0.541758, 0.568424, 0.621756, 0.692598, 0.771867");
-            values ( \
-              "0.00438811, 0.0821712, 0.138305, 0.289575, 0.349885, 0.358282, 0.36236, 0.363864, 0.361902, 0.35615, 0.341967, 0.330834, 0.299725, 0.262784, 0.172796, 0.150715, 0.113192, 0.0895564, 0.0756225, 0.0527914, 0.0446773, 0.0317481, 0.0241569, 0.0178398, 0.011816, 0.00491576, 0.00143269, 0.000328242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.087658");
-            index_3 ("0.124505, 0.172122, 0.199289, 0.250775, 0.255254, 0.259633, 0.264722, 0.279965, 0.37274, 0.395848, 0.441058, 0.462454, 0.486907, 0.580123, 0.604584, 0.653505, 0.695575, 0.724626, 0.77293, 0.794391, 0.828994, 0.878188, 0.899549, 0.942271, 1.02154, 1.10081, 1.18008, 1.33862");
-            values ( \
-              "0.00212718, 0.130508, 0.219539, 0.39843, 0.408613, 0.410451, 0.409236, 0.402172, 0.355625, 0.342845, 0.313625, 0.296491, 0.274554, 0.183306, 0.161223, 0.121678, 0.0938483, 0.0777561, 0.056151, 0.0483911, 0.0379488, 0.0265939, 0.0228022, 0.0166406, 0.00913545, 0.00495835, 0.00271061, 0.000803195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.19418");
-            index_3 ("0.167725, 0.222632, 0.248558, 0.254829, 0.262422, 0.53514, 0.632055, 0.700359, 0.736984, 0.810235, 0.856073, 1.02883, 1.07559, 1.13695, 1.21584, 1.27075, 1.33572, 1.41498, 1.44718, 1.51157, 1.57966, 1.65893, 1.74383, 1.79186, 1.87113, 1.9504, 2.02967, 2.18821, 2.34675, 2.58456");
-            values ( \
-              "0.208918, 0.313815, 0.410587, 0.426307, 0.434841, 0.372725, 0.348569, 0.32895, 0.316801, 0.288612, 0.268607, 0.18955, 0.169411, 0.144698, 0.116536, 0.0994687, 0.0818554, 0.0640038, 0.057786, 0.0469782, 0.0375598, 0.028849, 0.0216663, 0.0184189, 0.0140241, 0.0106803, 0.00810389, 0.00466373, 0.00267704, 0.00117107" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.23834, 0.265601, 0.275233, 0.290555, 0.298486, 0.308099, 0.328292, 0.342801, 0.349365, 0.362969, 0.375369, 0.38716, 0.398735, 0.410299, 0.42509, 0.440138, 0.452763, 0.46163, 0.474959, 0.486173, 0.508599, 0.550195, 0.559405, 0.567204");
-            values ( \
-              "0.00410868, 0.00550807, 0.00702795, 0.0109145, 0.014049, 0.0193335, 0.0338504, 0.042394, 0.0454335, 0.0511085, 0.054457, 0.056667, 0.0567018, 0.0520901, 0.0305877, 0.0162535, 0.00906302, 0.00578222, 0.00287597, 0.00154513, 0.000395475, 0.000101618, 0.00137496, 0.00129226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00806404");
-            index_3 ("0.21587, 0.263447, 0.26953, 0.275612, 0.283278, 0.295243, 0.299373, 0.307633, 0.32274, 0.343837, 0.361056, 0.369482, 0.386599, 0.402153, 0.416797, 0.431101, 0.445383, 0.446292, 0.457933, 0.46575, 0.471469, 0.47732, 0.485082, 0.492095, 0.501446, 0.509957, 0.514739, 0.521385, 0.530246, 0.547968, 0.550573, 0.555784, 0.562201, 0.568786");
-            values ( \
-              "0.00205558, 0.00830786, 0.00999555, 0.0118364, 0.0145187, 0.0197772, 0.0221162, 0.0275821, 0.0412849, 0.0614624, 0.0746457, 0.0798435, 0.0899755, 0.0966291, 0.101494, 0.101835, 0.0902233, 0.0876198, 0.0657137, 0.0522973, 0.0439814, 0.0365216, 0.0277745, 0.0214859, 0.0149954, 0.0104869, 0.00859002, 0.00646365, 0.00443141, 0.00188696, 0.00173946, 0.00345127, 0.00397833, 0.0031279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0178635");
-            index_3 ("0.221048, 0.275506, 0.30321, 0.314007, 0.376641, 0.403102, 0.425718, 0.44606, 0.465078, 0.483839, 0.502559, 0.543018, 0.550468, 0.587894, 0.602308, 0.621527, 0.629202");
-            values ( \
-              "0.00414673, 0.017322, 0.0335888, 0.0426606, 0.109876, 0.133276, 0.151436, 0.164716, 0.173211, 0.170283, 0.141766, 0.0656168, 0.0550056, 0.0216419, 0.0141235, 0.00783292, 0.00662579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0395712");
-            index_3 ("0.229631, 0.275665, 0.302579, 0.324153, 0.421032, 0.456798, 0.487184, 0.514512, 0.540428, 0.550626, 0.557607, 0.609308, 0.649477, 0.676964, 0.69742, 0.719897, 0.755629, 0.776788, 0.800969, 0.844083");
-            values ( \
-              "0.00810182, 0.0231462, 0.041488, 0.0618182, 0.179004, 0.219463, 0.250004, 0.271257, 0.278865, 0.273732, 0.267556, 0.166405, 0.102533, 0.0709821, 0.0532289, 0.0384167, 0.0224579, 0.0162869, 0.0112024, 0.00577287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.087658");
-            index_3 ("0.275201, 0.328941, 0.3447, 0.374704, 0.48668, 0.515012, 0.550162, 0.559211, 0.568849, 0.61619, 0.636818, 0.671247, 0.685097, 0.712797, 0.789247, 0.82986, 0.876274, 0.896336, 0.927371, 0.95476, 0.990569, 1.01933, 1.05473, 1.10455, 1.1267, 1.15669, 1.19667, 1.27594, 1.35521, 1.43448, 1.59302");
-            values ( \
-              "0.043011, 0.0745459, 0.0936447, 0.133544, 0.289914, 0.327277, 0.369251, 0.373104, 0.372038, 0.34674, 0.33468, 0.311247, 0.300297, 0.275727, 0.200421, 0.162849, 0.124956, 0.110757, 0.0911357, 0.07625, 0.0599254, 0.0491788, 0.0383314, 0.0267669, 0.0228058, 0.0183291, 0.0136346, 0.0074612, 0.00405091, 0.0022068, 0.000651101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.19418");
-            index_3 ("0.274991, 0.365153, 0.426929, 0.549952, 0.5593, 0.571417, 0.582068, 0.749402, 0.833175, 0.907977, 0.963151, 1.04242, 1.08233, 1.25498, 1.30158, 1.36303, 1.44201, 1.49708, 1.562, 1.64127, 1.67341, 1.73768, 1.80581, 1.88508, 1.93402, 1.97009, 2.0182, 2.09747, 2.17673, 2.256, 2.33527, 2.41454, 2.57308, 2.81089");
-            values ( \
-              "0.0299746, 0.12516, 0.216082, 0.40881, 0.415408, 0.417001, 0.413731, 0.375552, 0.35511, 0.334616, 0.316832, 0.286096, 0.268599, 0.189589, 0.169507, 0.144759, 0.116549, 0.0994361, 0.0818439, 0.063988, 0.0577895, 0.0469929, 0.0375731, 0.028853, 0.0244698, 0.0216676, 0.0184087, 0.0140226, 0.0106728, 0.00810452, 0.00615646, 0.0046656, 0.00267963, 0.00116709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.513636, 0.576241, 0.600933, 0.608296, 0.629776, 0.652756, 0.682385, 0.708831, 0.741503, 0.76166, 0.780696, 0.799316, 0.817919, 0.823068, 0.846783, 0.867079, 0.885341, 0.898527, 0.909051, 0.930099, 0.961309, 0.999354, 1.11049");
-            values ( \
-              "0.00302513, 0.00313613, 0.00441707, 0.00495597, 0.00707029, 0.0109872, 0.0190992, 0.0255114, 0.031416, 0.0336525, 0.0351674, 0.0353107, 0.0338877, 0.0320984, 0.0180056, 0.009425, 0.00491713, 0.00298387, 0.00195477, 0.000785922, 0.000187155, 6.40431e-05, 4.08503e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00806404");
-            index_3 ("0.518951, 0.584436, 0.620696, 0.645443, 0.66537, 0.714141, 0.746344, 0.773478, 0.798052, 0.821254, 0.843905, 0.866534, 0.927408, 0.948601, 0.973506, 0.991729");
-            values ( \
-              "0.00621991, 0.00658842, 0.0106283, 0.0152756, 0.0212264, 0.0399338, 0.0502479, 0.0568824, 0.0612555, 0.0638112, 0.0645362, 0.0609673, 0.0174312, 0.00934629, 0.00418687, 0.00229244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0178635");
-            index_3 ("0.518876, 0.608431, 0.637699, 0.683012, 0.75151, 0.793242, 0.828854, 0.861156, 0.891506, 0.921017, 0.950485, 1.02088, 1.04024, 1.06632, 1.09096, 1.11913, 1.13504");
-            values ( \
-              "0.00813157, 0.0146636, 0.0206813, 0.0364493, 0.069157, 0.08503, 0.0957695, 0.103335, 0.108394, 0.109925, 0.10035, 0.0370469, 0.0253194, 0.014339, 0.00804474, 0.00391875, 0.00292755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0395712");
-            index_3 ("0.518512, 0.604615, 0.653644, 0.675601, 0.813424, 0.870477, 0.919173, 0.96292, 1.0037, 1.04365, 1.08349, 1.1719, 1.21585, 1.24702, 1.28623, 1.33394, 1.35688");
-            values ( \
-              "0.0127175, 0.0203908, 0.0336922, 0.0419399, 0.112671, 0.137122, 0.155807, 0.169841, 0.179131, 0.178349, 0.152967, 0.0678531, 0.0388045, 0.0270557, 0.0148765, 0.00689197, 0.00526187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.087658");
-            index_3 ("0.518116, 0.648162, 0.686326, 0.719412, 0.849804, 0.991409, 1.05801, 1.11772, 1.17409, 1.21562, 1.23122, 1.25788, 1.33462, 1.40049, 1.43729, 1.46294, 1.49687, 1.5188, 1.54805, 1.60528, 1.63141, 1.6715, 1.72496, 1.80423, 1.8835, 1.96276, 2.04203");
-            values ( \
-              "0.00886996, 0.0397087, 0.0548982, 0.071381, 0.145942, 0.221735, 0.253104, 0.275427, 0.284686, 0.273589, 0.264063, 0.236045, 0.16311, 0.111388, 0.0883535, 0.0747604, 0.0594322, 0.0511158, 0.0417359, 0.0275585, 0.0228007, 0.0169992, 0.011495, 0.00620055, 0.00344353, 0.00179381, 0.00104553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.19418");
-            index_3 ("0.629931, 0.784877, 1.06209, 1.12679, 1.21634, 1.23629, 1.26394, 1.37296, 1.44413, 1.51006, 1.58496, 1.75831, 1.86676, 1.99936, 2.06451, 2.17627, 2.24124, 2.30912, 2.38839, 2.47276, 2.5997, 2.75824, 2.91678, 2.97235");
-            values ( \
-              "0.0658761, 0.114715, 0.290466, 0.329941, 0.37857, 0.378448, 0.373268, 0.345399, 0.324244, 0.300469, 0.268738, 0.189391, 0.144432, 0.0996024, 0.0819375, 0.0577944, 0.046883, 0.0375202, 0.0288066, 0.0216834, 0.0140541, 0.00812321, 0.00467668, 0.00418488" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.00341234, 0.00346645, 0.00351458, 0.00354662, 0.00356423, 0.00357298", \
-            "0.00431071, 0.0043618, 0.00442105, 0.00446808, 0.00449646, 0.00451115", \
-            "0.00489582, 0.00490561, 0.00492459, 0.00495259, 0.00497504, 0.00498827", \
-            "0.005289, 0.00525643, 0.00523187, 0.00521027, 0.00520538, 0.00520672", \
-            "0.00552984, 0.00548591, 0.00542917, 0.00537129, 0.0053307, 0.00531366", \
-            "0.00570334, 0.00562796, 0.00556161, 0.00550314, 0.00544002, 0.00539025" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0049771, 0.00502528, 0.00508345, 0.00512427, 0.00514505, 0.00515424", \
-            "0.00520357, 0.00507931, 0.00499013, 0.00492873, 0.00489605, 0.00487684", \
-            "0.00512727, 0.00489053, 0.00470241, 0.00457042, 0.00448913, 0.00446566", \
-            "0.00568673, 0.00509319, 0.00469977, 0.00446613, 0.00433873, 0.00426804", \
-            "0.00602104, 0.00597609, 0.00515879, 0.00463979, 0.00437498, 0.00424021", \
-            "0.00578827, 0.00591337, 0.00599462, 0.00525385, 0.00461467, 0.00433104" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0237627, 0.0249893, 0.0261002, 0.027892, 0.0296742, 0.0341323, 0.0383748, 0.0418804, 0.0456678, 0.0498815, 0.0559735, 0.0598219, 0.0636434, 0.0675295, 0.0734428, 0.0771639, 0.0803762, 0.0886077, 0.0980151, 0.100777");
-            values ( \
-              "-0.0411969, -0.173041, -0.180217, -0.184994, -0.187032, -0.188362, -0.186626, -0.182138, -0.168483, -0.142779, -0.0981312, -0.0748603, -0.0559102, -0.0408184, -0.02466, -0.0177339, -0.0132997, -0.00625926, -0.00249361, -0.00208533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00806404");
-            index_3 ("0.0238139, 0.0260978, 0.0280638, 0.0334814, 0.0407266, 0.0479822, 0.0538016, 0.0601028, 0.0670169, 0.0793331, 0.08785, 0.0951338, 0.104578, 0.115534, 0.128349, 0.142996, 0.144159");
-            values ( \
-              "-0.00937852, -0.245446, -0.252078, -0.254011, -0.252706, -0.248463, -0.241982, -0.225706, -0.194039, -0.12259, -0.0827738, -0.0572701, -0.0344863, -0.0186152, -0.00886497, -0.00358041, -0.00348888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0178635");
-            index_3 ("0.0254016, 0.0275806, 0.0307684, 0.0490287, 0.0683605, 0.0795462, 0.0907826, 0.0972311, 0.104028, 0.12521, 0.144018, 0.156986, 0.165247, 0.180172, 0.191786, 0.204023, 0.232215, 0.251216");
-            values ( \
-              "-0.288273, -0.301074, -0.303586, -0.300235, -0.292104, -0.283609, -0.267947, -0.253249, -0.231943, -0.15347, -0.0957178, -0.0667544, -0.0524856, -0.0335214, -0.0233839, -0.015963, -0.00641393, -0.0038936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0395712");
-            index_3 ("0.0257629, 0.0279461, 0.0307807, 0.0687684, 0.0904072, 0.112874, 0.13566, 0.147178, 0.159401, 0.183476, 0.240983, 0.265819, 0.291815, 0.308451, 0.338488, 0.361813, 0.386347, 0.405182, 0.442851, 0.488604");
-            values ( \
-              "-0.321772, -0.332089, -0.33408, -0.32823, -0.323624, -0.317153, -0.307794, -0.301041, -0.291047, -0.258378, -0.145066, -0.105468, -0.0736647, -0.0578636, -0.0369551, -0.0257636, -0.0176033, -0.0130938, -0.00708671, -0.00375289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.087658");
-            index_3 ("0.0259945, 0.0284069, 0.0303085, 0.0325887, 0.058515, 0.117097, 0.163052, 0.210924, 0.234759, 0.259447, 0.308331, 0.313313, 0.333242, 0.359814, 0.375524, 0.458248, 0.488499, 0.527002, 0.556547, 0.595941, 0.633421, 0.649195, 0.680743, 0.734835, 0.7535, 0.796181, 0.844959, 0.926851, 1.00874, 1.09064, 1.17253");
-            values ( \
-              "-0.338595, -0.348631, -0.350051, -0.350121, -0.348123, -0.342263, -0.336945, -0.329906, -0.325638, -0.320258, -0.304437, -0.302105, -0.291204, -0.271113, -0.256671, -0.174133, -0.146797, -0.11622, -0.0962602, -0.0740318, -0.057209, -0.0512323, -0.0409429, -0.0275867, -0.0240682, -0.0175783, -0.0121949, -0.00648491, -0.00343139, -0.00181425, -0.000955305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.19418");
-            index_3 ("0.0257805, 0.0282323, 0.0354084, 0.223449, 0.427419, 0.532986, 0.580333, 0.642746, 0.724639, 0.762293, 0.976677, 1.11993, 1.225, 1.3069, 1.44094, 1.50269, 1.61351, 1.75325, 1.83514, 2.08082, 2.16075");
-            values ( \
-              "-0.346572, -0.356551, -0.358024, -0.349078, -0.336047, -0.326217, -0.320221, -0.309676, -0.287026, -0.27221, -0.17252, -0.116571, -0.0851732, -0.0658544, -0.042706, -0.0347589, -0.0239236, -0.0148312, -0.011218, -0.00474268, -0.00404317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0376932, 0.0387098, 0.0417129, 0.0510119, 0.0518787, 0.052894, 0.0540182, 0.0562664, 0.0599811, 0.0626577, 0.0656341, 0.0722657, 0.0778317, 0.0832538, 0.0863977, 0.0908437, 0.0978224, 0.106612, 0.11438, 0.114856");
-            values ( \
-              "-0.0676406, -0.0745887, -0.110253, -0.167942, -0.177074, -0.18354, -0.185871, -0.186419, -0.181527, -0.173314, -0.158256, -0.109595, -0.07503, -0.049341, -0.0380768, -0.0260657, -0.0140104, -0.00629752, -0.00299118, -0.00290684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00806404");
-            index_3 ("0.0341624, 0.0482347, 0.053298, 0.0568496, 0.066034, 0.0718538, 0.0781547, 0.0850686, 0.097383, 0.105901, 0.113185, 0.122627, 0.133581, 0.146392, 0.161034, 0.164117");
-            values ( \
-              "-0.000404653, -0.205909, -0.249167, -0.252959, -0.248502, -0.241934, -0.225709, -0.194043, -0.122604, -0.0827812, -0.0572733, -0.0344942, -0.0186224, -0.00886895, -0.00358469, -0.00334044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0178635");
-            index_3 ("0.0348464, 0.0519394, 0.0531579, 0.0543015, 0.0565886, 0.0649548, 0.0757147, 0.0864989, 0.0904832, 0.0977914, 0.103431, 0.109449, 0.121285, 0.145726, 0.155719, 0.161351, 0.170186, 0.180283, 0.191902, 0.19979, 0.215272, 0.224343, 0.242486, 0.274195, 0.311469");
-            values ( \
-              "-0.00625993, -0.285985, -0.297027, -0.300632, -0.3025, -0.30088, -0.297344, -0.292257, -0.289652, -0.283539, -0.276826, -0.266877, -0.235553, -0.145638, -0.113747, -0.0981519, -0.0770587, -0.0576604, -0.0408739, -0.0321563, -0.0198812, -0.0149433, -0.00828972, -0.0027226, -0.000686432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0395712");
-            index_3 ("0.0346052, 0.0537136, 0.0567785, 0.10308, 0.131301, 0.153651, 0.177835, 0.198778, 0.276197, 0.307361, 0.342047, 0.382289, 0.440965, 0.465825");
-            values ( \
-              "-0.00234491, -0.329402, -0.333068, -0.324914, -0.31715, -0.308218, -0.291085, -0.263724, -0.117245, -0.0766613, -0.0461906, -0.0249468, -0.00983169, -0.00724972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.087658");
-            index_3 ("0.0423076, 0.0535631, 0.0552389, 0.0582623, 0.135622, 0.182106, 0.229446, 0.283844, 0.307277, 0.328485, 0.370902, 0.409073, 0.485018, 0.529902, 0.550483, 0.582674, 0.619464, 0.652399, 0.691078, 0.742649, 0.777639, 0.831324, 0.902905, 0.984797, 1.06669, 1.23047");
-            values ( \
-              "-0.216562, -0.344559, -0.348721, -0.349741, -0.34233, -0.336812, -0.329918, -0.318773, -0.31185, -0.303604, -0.277459, -0.241939, -0.166511, -0.128019, -0.11272, -0.0916275, -0.0716196, -0.0570832, -0.043465, -0.0298697, -0.0231294, -0.0155448, -0.00902088, -0.00477302, -0.0025353, -0.000711442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.19418");
-            index_3 ("0.0421268, 0.0519787, 0.0592008, 0.241977, 0.445948, 0.551514, 0.598862, 0.661275, 0.743167, 0.780821, 0.995206, 1.13846, 1.24353, 1.32542, 1.37843, 1.45948, 1.52122, 1.63203, 1.77177, 1.85366, 2.09934, 2.20247");
-            values ( \
-              "-0.220849, -0.339692, -0.35785, -0.349055, -0.336023, -0.326192, -0.320246, -0.309651, -0.287052, -0.272182, -0.17255, -0.116602, -0.0851416, -0.0658874, -0.0555844, -0.0426709, -0.0347935, -0.0239588, -0.0148669, -0.0111831, -0.00477832, -0.00385779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0617698, 0.0659153, 0.0664467, 0.0675093, 0.0729812, 0.0800043, 0.0890227, 0.0943659, 0.0992263, 0.103843, 0.108996, 0.112788, 0.113594, 0.114463, 0.119693, 0.124135, 0.126446, 0.129845, 0.133049, 0.137322, 0.139966, 0.142955, 0.146939, 0.154908, 0.155107");
-            values ( \
-              "-0.0280322, -0.0367202, -0.0383782, -0.0421991, -0.0679468, -0.0905979, -0.115936, -0.129623, -0.140061, -0.143979, -0.127641, -0.104001, -0.102511, -0.0992654, -0.0716072, -0.0509697, -0.0422644, -0.0317398, -0.0240825, -0.016467, -0.0129888, -0.00991969, -0.00687907, -0.00320366, -0.00316535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00806404");
-            index_3 ("0.0666307, 0.0726969, 0.0750218, 0.0764653, 0.0779087, 0.0807956, 0.0857371, 0.0905573, 0.095808, 0.0975857, 0.0993634, 0.101145, 0.102927, 0.104709, 0.106491, 0.108069, 0.109647, 0.111224, 0.112836, 0.113317, 0.113591, 0.113865, 0.114963, 0.115903, 0.116843, 0.117409, 0.118542, 0.119902, 0.120696, 0.122285, 0.124134, 0.125984, 0.130535, 0.136779, 0.139652, 0.142525, 0.145398, 0.148896, 0.150708, 0.15252, 0.154332, 0.156758, 0.159184, 0.160587, 0.16199, 0.163392, 0.165582, 0.167772, 0.17246, 0.176733");
-            values ( \
-              "-0.0806948, -0.0877626, -0.100359, -0.10725, -0.113777, -0.125744, -0.144769, -0.162621, -0.18146, -0.187255, -0.19273, -0.199981, -0.208301, -0.217692, -0.228152, -0.226892, -0.226699, -0.227574, -0.22957, -0.232665, -0.233893, -0.234712, -0.233902, -0.232731, -0.231121, -0.229732, -0.226538, -0.221869, -0.218796, -0.212022, -0.202758, -0.192995, -0.166226, -0.130308, -0.11522, -0.101367, -0.0886713, -0.0745661, -0.0677191, -0.0619034, -0.0564544, -0.0498419, -0.0436659, -0.0402945, -0.0371702, -0.034293, -0.0304946, -0.0270298, -0.0208617, -0.0159029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0178635");
-            index_3 ("0.0613535, 0.0817455, 0.0982886, 0.114342, 0.1166, 0.123171, 0.128351, 0.138679, 0.149932, 0.152829, 0.15614, 0.16316, 0.184374, 0.200857, 0.209655, 0.216076, 0.224362, 0.231083, 0.239319, 0.250922, 0.263118, 0.27248, 0.291204, 0.325073, 0.365221");
-            values ( \
-              "-0.00217116, -0.155596, -0.228688, -0.291813, -0.296661, -0.29443, -0.291601, -0.283576, -0.267945, -0.261893, -0.253848, -0.231946, -0.153366, -0.101753, -0.0801409, -0.0668404, -0.0525174, -0.0430191, -0.0335099, -0.0233834, -0.0159828, -0.0118782, -0.00644527, -0.00191652, -0.000417668" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0395712");
-            index_3 ("0.0624234, 0.0905957, 0.11447, 0.11765, 0.150097, 0.17203, 0.181658, 0.202082, 0.216061, 0.22431, 0.233949, 0.244794, 0.284983, 0.317773, 0.328869, 0.34602, 0.36626, 0.384195, 0.408108, 0.424385, 0.44259, 0.466863, 0.515409, 0.584072, 0.665965");
-            values ( \
-              "-0.0141253, -0.216322, -0.324285, -0.330762, -0.323471, -0.317309, -0.313831, -0.303941, -0.293675, -0.285465, -0.272976, -0.254656, -0.173802, -0.116363, -0.100424, -0.0792432, -0.0591745, -0.0453849, -0.0315001, -0.0245058, -0.0184667, -0.0125713, -0.00560918, -0.00163736, -0.000349539" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.087658");
-            index_3 ("0.0753616, 0.0901574, 0.11477, 0.11797, 0.120657, 0.167192, 0.223185, 0.280471, 0.314549, 0.327958, 0.345835, 0.369548, 0.416973, 0.440377, 0.523443, 0.551885, 0.588015, 0.613845, 0.643373, 0.682418, 0.717971, 0.765374, 0.80303, 0.84457, 0.899958, 0.98185, 1.06374, 1.22753");
-            values ( \
-              "-0.202141, -0.224778, -0.342403, -0.348631, -0.347832, -0.34333, -0.336806, -0.328205, -0.321337, -0.317976, -0.312675, -0.303615, -0.273369, -0.251742, -0.169048, -0.143666, -0.115349, -0.0978469, -0.080467, -0.061672, -0.0481002, -0.0341445, -0.0259879, -0.0191544, -0.0125964, -0.00673778, -0.00352843, -0.000965127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.19418");
-            index_3 ("0.0759011, 0.112625, 0.119341, 0.122819, 0.224655, 0.36563, 0.487709, 0.593275, 0.640623, 0.703036, 0.784928, 0.822582, 1.03697, 1.11886, 1.19523, 1.28529, 1.36718, 1.42019, 1.50123, 1.56298, 1.67379, 1.73164, 1.81353, 1.89542, 2.05921, 2.1411, 2.30488, 2.55056");
-            values ( \
-              "-0.181567, -0.34063, -0.357996, -0.356745, -0.352082, -0.344367, -0.336005, -0.326174, -0.320264, -0.309633, -0.287069, -0.272167, -0.172564, -0.138699, -0.111578, -0.0851299, -0.065899, -0.055575, -0.0426626, -0.0348037, -0.0239684, -0.01965, -0.0148759, -0.0111743, -0.00631804, -0.00478701, -0.00270956, -0.00111527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.109502, 0.117078, 0.124528, 0.134768, 0.142125, 0.159133, 0.167449, 0.17493, 0.181855, 0.188575, 0.195868, 0.203478, 0.209201, 0.21557, 0.220201, 0.225493, 0.231425, 0.235808, 0.244574, 0.248943, 0.252321, 0.256591, 0.260433, 0.268117, 0.275554");
-            values ( \
-              "-0.0106918, -0.0143461, -0.0223124, -0.0406329, -0.0521267, -0.0739208, -0.0835975, -0.0916092, -0.0973226, -0.0982845, -0.0818057, -0.0538905, -0.0372944, -0.023417, -0.0164157, -0.0106312, -0.0065102, -0.00453425, -0.00207162, -0.00146144, -0.00346698, -0.00372867, -0.00255197, -0.00125731, -0.000597026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00806404");
-            index_3 ("0.108412, 0.123422, 0.126077, 0.131685, 0.141578, 0.155276, 0.162128, 0.17479, 0.185693, 0.195508, 0.204643, 0.213674, 0.223863, 0.237126, 0.242683, 0.249558, 0.251202, 0.253015, 0.264301, 0.26919, 0.275688, 0.28124");
-            values ( \
-              "-0.0162009, -0.0308455, -0.0343922, -0.044678, -0.0678687, -0.0931567, -0.104456, -0.125035, -0.14132, -0.154503, -0.162982, -0.159241, -0.126217, -0.0749732, -0.0583428, -0.0414325, -0.0409671, -0.0392035, -0.021839, -0.0165458, -0.0113907, -0.00846586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0178635");
-            index_3 ("0.109412, 0.130713, 0.151877, 0.181926, 0.199551, 0.21479, 0.228562, 0.241605, 0.25175, 0.254858, 0.281129, 0.295235, 0.310634, 0.318094, 0.326523, 0.337761, 0.352355, 0.362195, 0.381875, 0.404839");
-            values ( \
-              "-0.00601436, -0.0543782, -0.105586, -0.166224, -0.198559, -0.224115, -0.242904, -0.250429, -0.239729, -0.231434, -0.136028, -0.0946121, -0.0614511, -0.0493933, -0.0383833, -0.0271711, -0.0172464, -0.0126369, -0.006628, -0.00345041" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0395712");
-            index_3 ("0.124495, 0.142643, 0.16128, 0.183156, 0.20733, 0.229892, 0.24891, 0.253385, 0.258539, 0.273997, 0.293462, 0.307501, 0.315485, 0.325208, 0.336144, 0.376207, 0.408478, 0.420436, 0.438949, 0.458036, 0.474768, 0.497077, 0.514993, 0.534736, 0.561059, 0.613707, 0.650725");
-            values ( \
-              "-0.0663855, -0.0907577, -0.138701, -0.187212, -0.238071, -0.281525, -0.314059, -0.318471, -0.319261, -0.313563, -0.303911, -0.293605, -0.285658, -0.273115, -0.254655, -0.174055, -0.117357, -0.100135, -0.0774976, -0.0588263, -0.0459331, -0.0327005, -0.0248078, -0.018251, -0.012011, -0.0049614, -0.00307051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.087658");
-            index_3 ("0.124356, 0.15845, 0.186066, 0.206559, 0.248772, 0.252413, 0.25811, 0.262448, 0.267959, 0.314453, 0.361111, 0.382462, 0.404502, 0.421816, 0.440834, 0.460813, 0.500773, 0.515917, 0.614778, 0.656268, 0.681536, 0.698534, 0.721197, 0.766524, 0.785185, 0.806949, 0.835967, 0.888343, 0.910668, 0.942344, 0.984578, 1.06647, 1.14836, 1.23026, 1.31215");
-            values ( \
-              "-0.0474246, -0.137781, -0.204666, -0.25062, -0.33663, -0.341907, -0.344062, -0.342727, -0.342378, -0.33679, -0.330062, -0.326242, -0.321641, -0.317276, -0.311423, -0.303613, -0.27939, -0.266482, -0.168861, -0.132756, -0.113617, -0.101986, -0.0879724, -0.0646843, -0.0568507, -0.0488089, -0.0396914, -0.0270786, -0.0230001, -0.0182154, -0.0132865, -0.00707295, -0.00374211, -0.00198115, -0.00104108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.19418");
-            index_3 ("0.158638, 0.223684, 0.248726, 0.255553, 0.265334, 0.275338, 0.290325, 0.47582, 0.6209, 0.684317, 0.76621, 0.794135, 0.849986, 0.913455, 1.12873, 1.27141, 1.37505, 1.45694, 1.51165, 1.5957, 1.67759, 1.76766, 1.88987, 1.97177, 2.21744, 2.32306");
-            values ( \
-              "-0.242003, -0.295722, -0.347523, -0.353728, -0.355223, -0.353637, -0.353614, -0.343139, -0.332535, -0.326173, -0.314931, -0.309607, -0.295633, -0.27222, -0.172193, -0.116513, -0.0854881, -0.0661242, -0.0555236, -0.0422062, -0.0321086, -0.0236987, -0.0156106, -0.0117835, -0.00500657, -0.00402561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.201279, 0.232114, 0.239343, 0.246663, 0.250627, 0.258554, 0.275173, 0.28782, 0.303069, 0.316026, 0.327578, 0.338197, 0.348326, 0.358435, 0.377085, 0.385792, 0.391319, 0.396139, 0.404031, 0.414553, 0.430217, 0.449177, 0.550134, 0.561042, 0.579397");
-            values ( \
-              "-0.000701627, -0.00780261, -0.00978581, -0.0123224, -0.0141289, -0.0186463, -0.0308142, -0.0386421, -0.0472712, -0.0539843, -0.0594626, -0.063667, -0.066065, -0.0622591, -0.0284457, -0.0167144, -0.0115544, -0.00827752, -0.00472954, -0.00215771, -0.000646412, -0.000211645, -1.72629e-05, -0.000918826, -0.000349101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00806404");
-            index_3 ("0.205139, 0.234763, 0.254171, 0.324196, 0.355881, 0.369669, 0.382887, 0.39652, 0.428933, 0.451799, 0.466694, 0.483716, 0.489318");
-            values ( \
-              "-0.00571823, -0.0137013, -0.0237276, -0.0812928, -0.101642, -0.108483, -0.111761, -0.100561, -0.0340989, -0.0116236, -0.00548079, -0.00217313, -0.00179402" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0178635");
-            index_3 ("0.208899, 0.250588, 0.267711, 0.300411, 0.322896, 0.359113, 0.384789, 0.405414, 0.424511, 0.443018, 0.463041, 0.490848, 0.508342, 0.51945, 0.5383, 0.550019, 0.552602, 0.55515, 0.576584, 0.59324, 0.611544");
-            values ( \
-              "-0.00158473, -0.0292769, -0.0425126, -0.0772066, -0.0980137, -0.128782, -0.148787, -0.163065, -0.173281, -0.174584, -0.14661, -0.085008, -0.0550592, -0.0405181, -0.0234442, -0.0164921, -0.0176651, -0.0170739, -0.00885436, -0.0051426, -0.00317951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0395712");
-            index_3 ("0.214053, 0.275229, 0.323431, 0.358047, 0.4225, 0.455484, 0.48517, 0.513004, 0.541494, 0.55575, 0.585097, 0.622407, 0.639201, 0.661593, 0.688214, 0.710444, 0.727705, 0.753041, 0.786824, 0.836223");
-            values ( \
-              "-0.00100147, -0.0572322, -0.109864, -0.143948, -0.202839, -0.229583, -0.250334, -0.261435, -0.246133, -0.225259, -0.167019, -0.104644, -0.0831363, -0.0602423, -0.0405809, -0.0288608, -0.0221039, -0.0148699, -0.00862379, -0.0041685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.087658");
-            index_3 ("0.254588, 0.295011, 0.366382, 0.433216, 0.460518, 0.517076, 0.533539, 0.550002, 0.557925, 0.566239, 0.595323, 0.614764, 0.653645, 0.66529, 0.688579, 0.72026, 0.797426, 0.834617, 0.880208, 0.919413, 0.946187, 0.980822, 1.00833, 1.04357, 1.09306, 1.11529, 1.14559, 1.18599, 1.26679, 1.34868, 1.43057, 1.51246");
-            values ( \
-              "-0.0729368, -0.0832976, -0.161526, -0.228488, -0.254234, -0.303744, -0.316694, -0.328871, -0.330677, -0.33016, -0.324693, -0.320172, -0.308535, -0.303535, -0.291224, -0.266493, -0.189822, -0.154917, -0.117798, -0.0916705, -0.0767092, -0.0604934, -0.0499207, -0.0388275, -0.0270523, -0.0229934, -0.0183964, -0.0136137, -0.00730952, -0.00387292, -0.00204574, -0.00107986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.19418");
-            index_3 ("0.2853, 0.374842, 0.468911, 0.537459, 0.549803, 0.558178, 0.571663, 0.760945, 0.88755, 0.969442, 0.997368, 1.05322, 1.11669, 1.33196, 1.41385, 1.47464, 1.57828, 1.66017, 1.7429, 1.79893, 1.88082, 1.95053, 2.0931, 2.175, 2.25689, 2.42068, 2.58446, 2.83014");
-            values ( \
-              "-0.13339, -0.17566, -0.272546, -0.336537, -0.346894, -0.349581, -0.349701, -0.33766, -0.326171, -0.314933, -0.309605, -0.295635, -0.272217, -0.172197, -0.138431, -0.116517, -0.0854843, -0.066128, -0.0506989, -0.042203, -0.032112, -0.0253981, -0.0156136, -0.0117805, -0.0088627, -0.00500954, -0.00282427, -0.00120064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.422311, 0.473745, 0.48488, 0.499727, 0.513237, 0.539943, 0.546407, 0.581993, 0.61065, 0.62446, 0.646796, 0.663931, 0.680186, 0.696264, 0.708306, 0.722831, 0.731669, 0.744761, 0.75591, 0.764579, 0.781917, 0.805402, 0.835316, 0.937557, 1.01945, 1.10134, 1.18323, 1.21602, 1.23632");
-            values ( \
-              "-0.00165797, -0.00344041, -0.00418996, -0.00540432, -0.00687214, -0.0115733, -0.013711, -0.0232214, -0.0297655, -0.0326751, -0.0368711, -0.0395606, -0.0412113, -0.0404289, -0.0332605, -0.0202187, -0.0136614, -0.0071416, -0.00409186, -0.00278758, -0.00121627, -0.000620705, -0.000247288, -1.91425e-07, -6.82056e-05, -1e-22, -5.15838e-05, -1e-22, -0.000308082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00806404");
-            index_3 ("0.42642, 0.482849, 0.502115, 0.519528, 0.525473, 0.537362, 0.556364, 0.575949, 0.602062, 0.63683, 0.659881, 0.681481, 0.695517, 0.70748, 0.727673, 0.747757, 0.762805, 0.781419, 0.791865, 0.796556, 0.805936, 0.817779, 0.825596, 0.841229, 0.867427, 0.898504, 0.937678, 0.990998, 1.07289, 1.15478, 1.2159, 1.24038");
-            values ( \
-              "-0.00315262, -0.00714231, -0.00970553, -0.0126805, -0.0139917, -0.0169228, -0.0232882, -0.0308736, -0.0403287, -0.0517237, -0.0586018, -0.0644662, -0.0678737, -0.0704178, -0.0733998, -0.0713303, -0.0579792, -0.036178, -0.0257247, -0.0220759, -0.0153443, -0.009654, -0.00723894, -0.00362296, -0.00126717, -0.000258356, -0.000222383, -1e-22, -0.000119763, -1e-22, -9.66252e-05, -0.000517675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0178635");
-            index_3 ("0.433198, 0.499272, 0.521472, 0.540938, 0.560828, 0.608216, 0.632599, 0.688828, 0.723904, 0.755166, 0.783949, 0.811436, 0.838865, 0.850645, 0.886786, 0.899983, 0.911521, 0.926905, 0.93697, 0.948553, 0.963997, 0.994885, 1.03742, 1.08934, 1.15514, 1.21589, 1.24033");
-            values ( \
-              "-0.00529569, -0.0141796, -0.0190537, -0.0246729, -0.0318359, -0.0532975, -0.0637381, -0.085693, -0.0979215, -0.10772, -0.115211, -0.119386, -0.112036, -0.100358, -0.0557175, -0.0420672, -0.0323149, -0.0221849, -0.0172054, -0.0127519, -0.00843375, -0.00346903, -0.000908915, -0.000188338, -3.75662e-05, -3.39201e-05, -0.000859037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0395712");
-            index_3 ("0.431925, 0.526009, 0.550392, 0.579279, 0.636853, 0.696548, 0.75079, 0.825205, 0.869711, 0.910802, 0.950448, 0.9922, 1.04917, 1.07419, 1.11144, 1.12887, 1.15382, 1.17996, 1.21584, 1.22991, 1.26218, 1.29403, 1.33646, 1.40993");
-            values ( \
-              "-0.00386847, -0.0264299, -0.0344534, -0.0462241, -0.0745372, -0.101603, -0.124228, -0.15261, -0.16753, -0.178538, -0.181874, -0.157668, -0.0933985, -0.069365, -0.0426794, -0.0332517, -0.0233219, -0.0158294, -0.00917623, -0.00873608, -0.00530585, -0.00326431, -0.00154003, -0.000453523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.087658");
-            index_3 ("0.51203, 0.607099, 0.767701, 0.910299, 1.05018, 1.11087, 1.17163, 1.34396, 1.3944, 1.46472, 1.54755, 1.63466, 1.71003, 1.79192, 1.9557");
-            values ( \
-              "-0.0479773, -0.0649759, -0.142365, -0.203461, -0.253495, -0.266337, -0.255157, -0.110392, -0.0794145, -0.0488653, -0.0268424, -0.0140973, -0.00792578, -0.00419144, -0.00116462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.19418");
-            index_3 ("0.509873, 0.627799, 0.729211, 0.841595, 0.911989, 1.04781, 1.16086, 1.21564, 1.23479, 1.2634, 1.2915, 1.31563, 1.34008, 1.42555, 1.49895, 1.56931, 1.7848, 1.84546, 1.92363, 1.97901, 2.04318, 2.12507, 2.21117, 2.28138, 2.36327, 2.45088, 2.50146, 2.58335, 2.66525, 2.74714, 2.91092, 3.07471, 3.32039");
-            values ( \
-              "-0.0405342, -0.0781032, -0.128787, -0.182296, -0.214588, -0.27342, -0.31721, -0.335791, -0.337221, -0.332679, -0.331971, -0.328195, -0.326716, -0.314655, -0.297889, -0.272091, -0.172131, -0.146688, -0.117771, -0.100066, -0.0823237, -0.0635901, -0.0481544, -0.0382232, -0.0290252, -0.0216167, -0.0181731, -0.0137362, -0.0103289, -0.00779457, -0.00440906, -0.00249056, -0.0010396" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.00309462, 0.00312605, 0.00315394, 0.00317269, 0.00318301, 0.00318814", \
-            "0.00370547, 0.00372482, 0.00374788, 0.00376669, 0.00377845, 0.00378474", \
-            "0.00408045, 0.00408268, 0.00409002, 0.00410042, 0.00410914, 0.0041145", \
-            "0.00430285, 0.00429779, 0.00429031, 0.00428676, 0.00428718, 0.00428874", \
-            "0.00442294, 0.00441337, 0.00440185, 0.00438923, 0.00438129, 0.00437799", \
-            "0.00449419, 0.00448316, 0.00446763, 0.00445049, 0.00443486, 0.00442466" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.00456982, 0.00458617, 0.00460278, 0.00461277, 0.00461965, 0.00462349", \
-            "0.00503064, 0.0049813, 0.00494871, 0.00492813, 0.00491699, 0.00491302", \
-            "0.00528647, 0.00518034, 0.00509327, 0.00503259, 0.0049981, 0.00498329", \
-            "0.00564338, 0.00540535, 0.00521804, 0.00509812, 0.00503154, 0.00499246", \
-            "0.00646269, 0.00585531, 0.00548798, 0.00523816, 0.0050905, 0.00501645", \
-            "0.006838, 0.00679567, 0.00608564, 0.00553632, 0.00525153, 0.00509251" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0344698, 0.0448449, 0.0672162, 0.116188, 0.22427, 0.463397", \
-            "0.0405564, 0.0509853, 0.073424, 0.122254, 0.230535, 0.469698", \
-            "0.0549468, 0.0657767, 0.0879367, 0.137, 0.245021, 0.484181", \
-            "0.0779945, 0.0944943, 0.122099, 0.170963, 0.278661, 0.517665", \
-            "0.11331, 0.137516, 0.179186, 0.24561, 0.353345, 0.592704", \
-            "0.173124, 0.206182, 0.265752, 0.364951, 0.518095, 0.762164" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0263941, 0.0408968, 0.0733559, 0.145498, 0.305273, 0.659096", \
-            "0.0268804, 0.0410781, 0.0733855, 0.1455, 0.305272, 0.659091", \
-            "0.0335115, 0.044959, 0.0741997, 0.145515, 0.305276, 0.659089", \
-            "0.0520991, 0.0644175, 0.0874067, 0.148913, 0.305273, 0.659072", \
-            "0.0811938, 0.0999826, 0.130861, 0.182179, 0.31337, 0.658983", \
-            "0.127638, 0.155805, 0.203014, 0.276693, 0.391796, 0.682285" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0341657, 0.0461024, 0.0717483, 0.127793, 0.251458, 0.525142", \
-            "0.0376146, 0.0497195, 0.0755867, 0.131847, 0.255622, 0.52937", \
-            "0.0443492, 0.0578166, 0.0840622, 0.140653, 0.264767, 0.538617", \
-            "0.0514609, 0.0696979, 0.101705, 0.160261, 0.284825, 0.559131", \
-            "0.0528472, 0.0790767, 0.124005, 0.198417, 0.329436, 0.604323", \
-            "0.0373696, 0.074374, 0.138194, 0.24344, 0.41238, 0.702534" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0238134, 0.0391762, 0.0731903, 0.148597, 0.31539, 0.685144", \
-            "0.0240317, 0.0391805, 0.0732071, 0.148553, 0.31539, 0.685144", \
-            "0.0287999, 0.0418894, 0.0737779, 0.14854, 0.315461, 0.685145", \
-            "0.0412633, 0.0552015, 0.0834458, 0.151459, 0.315426, 0.68514", \
-            "0.0637061, 0.0814966, 0.113977, 0.176945, 0.323995, 0.685075", \
-            "0.104347, 0.126816, 0.168945, 0.244286, 0.383894, 0.70571" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0250581, 0.0283449, 0.0304058, 0.0332245, 0.0342807, 0.0361866, 0.0380818, 0.0419755, 0.0502469, 0.0516522, 0.0544629, 0.0596784, 0.062386, 0.0681783, 0.0733496, 0.0770129, 0.0819206, 0.0847806, 0.0905006, 0.09294, 0.0991432, 0.106233, 0.118692");
-            values ( \
-              "0.04647, 0.153217, 0.164746, 0.171513, 0.172468, 0.172476, 0.171254, 0.16597, 0.151406, 0.148055, 0.135563, 0.0975154, 0.0810415, 0.0540351, 0.0377702, 0.0291801, 0.0206288, 0.0168624, 0.0111386, 0.00940087, 0.00601889, 0.00362536, 0.00148416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00806404");
-            index_3 ("0.0260201, 0.0279373, 0.0298584, 0.0328302, 0.035637, 0.0385104, 0.0464147, 0.0629307, 0.0691307, 0.079425, 0.0903832, 0.0989167, 0.110578, 0.120287, 0.1258, 0.139129, 0.154362, 0.16067");
-            values ( \
-              "0.0868666, 0.216551, 0.235655, 0.251311, 0.256959, 0.257471, 0.247366, 0.213078, 0.191599, 0.130094, 0.0815771, 0.0557994, 0.0323162, 0.0201689, 0.0154333, 0.00790922, 0.00360245, 0.00316741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0178635");
-            index_3 ("0.026055, 0.0293602, 0.0335297, 0.0355166, 0.0374661, 0.0413651, 0.0464106, 0.0486597, 0.067245, 0.0787188, 0.0942512, 0.0987245, 0.103804, 0.122521, 0.134346, 0.144331, 0.15236, 0.163065, 0.175437, 0.182811, 0.197352, 0.214518, 0.228433, 0.256264, 0.295117, 0.343148");
-            values ( \
-              "0.0998316, 0.28935, 0.322278, 0.3287, 0.332007, 0.333223, 0.329464, 0.326769, 0.299636, 0.28122, 0.252572, 0.240422, 0.223133, 0.154807, 0.118558, 0.0932265, 0.0761856, 0.057447, 0.041092, 0.0334351, 0.0220519, 0.013441, 0.00889945, 0.00375957, 0.00102845, 0.000204589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0395712");
-            index_3 ("0.0283034, 0.0283234, 0.0615164, 0.0852139, 0.117071, 0.12777, 0.151379, 0.164643, 0.177822, 0.216709, 0.227713, 0.249721, 0.262657, 0.27681, 0.295681, 0.316882, 0.32879, 0.352605, 0.372706, 0.382955, 0.403453, 0.444448, 0.513859, 0.594077");
-            values ( \
-              "1e-22, 0.392775, 0.374795, 0.354257, 0.325283, 0.314842, 0.288825, 0.270227, 0.246635, 0.171163, 0.15178, 0.117087, 0.0996911, 0.0829713, 0.0642834, 0.0478165, 0.0403368, 0.0284497, 0.0211292, 0.0181362, 0.013307, 0.00701677, 0.0021657, 0.000532528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.087658");
-            index_3 ("0.0308191, 0.0308391, 0.0831198, 0.166781, 0.233757, 0.264489, 0.28536, 0.32128, 0.341229, 0.418849, 0.465864, 0.507841, 0.541257, 0.566868, 0.60783, 0.642377, 0.677086, 0.726735, 0.748084, 0.790783, 0.871001, 0.951218, 1.03144, 1.19187");
-            values ( \
-              "1e-22, 0.459251, 0.403658, 0.366181, 0.333963, 0.317044, 0.303933, 0.276919, 0.259008, 0.185214, 0.144557, 0.113281, 0.0922821, 0.078343, 0.0597481, 0.0472607, 0.0371205, 0.0260866, 0.0223838, 0.0164434, 0.00901672, 0.00493307, 0.00267835, 0.000790101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.19418");
-            index_3 ("0.0334884, 0.0335084, 0.151076, 0.376168, 0.456386, 0.51248, 0.581915, 0.662047, 0.702939, 0.879127, 0.928971, 0.989711, 1.06807, 1.1214, 1.18781, 1.26802, 1.36907, 1.43737, 1.51758, 1.57829, 1.64975, 1.72997, 1.81019, 1.97062, 2.13106, 2.45193");
-            values ( \
-              "1e-22, 0.473636, 0.413831, 0.365145, 0.346395, 0.332005, 0.311418, 0.28223, 0.26515, 0.186693, 0.16569, 0.14205, 0.11473, 0.0985577, 0.0810629, 0.0633015, 0.0459711, 0.0369287, 0.0282754, 0.0231099, 0.0181884, 0.0139458, 0.0105347, 0.00606346, 0.00347236, 0.0011176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0406421, 0.0509539, 0.0536124, 0.0552732, 0.0571712, 0.059489, 0.061937, 0.0702875, 0.0735161, 0.0801624, 0.0842992, 0.0914037, 0.0957261, 0.100626, 0.106464, 0.111596, 0.121554, 0.130251, 0.14016");
-            values ( \
-              "0.0488842, 0.126358, 0.152393, 0.15935, 0.163256, 0.16436, 0.162989, 0.150857, 0.141451, 0.0942216, 0.0713198, 0.0434646, 0.0321037, 0.0227221, 0.0150135, 0.0103436, 0.00511498, 0.00268746, 0.00149036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00806404");
-            index_3 ("0.0419665, 0.0530096, 0.0544213, 0.0559252, 0.0585256, 0.0615075, 0.0643632, 0.0691276, 0.0765288, 0.084977, 0.087447, 0.0990582, 0.107205, 0.113028, 0.118235, 0.122289, 0.129235, 0.137498, 0.144894, 0.15282, 0.158935, 0.171165, 0.186195");
-            values ( \
-              "0.0749772, 0.217226, 0.230477, 0.239398, 0.247563, 0.24992, 0.248316, 0.241653, 0.227066, 0.208248, 0.199572, 0.133105, 0.0945573, 0.0734105, 0.0581129, 0.0482471, 0.0348157, 0.0233962, 0.0162381, 0.0109894, 0.00811052, 0.00432316, 0.00224016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0178635");
-            index_3 ("0.0429787, 0.0537772, 0.0574219, 0.0605048, 0.0639844, 0.0673317, 0.0773412, 0.098905, 0.114489, 0.123992, 0.14271, 0.154535, 0.172545, 0.183245, 0.195623, 0.217536, 0.234688, 0.248591, 0.276396, 0.286951");
-            values ( \
-              "0.107074, 0.286436, 0.315459, 0.325021, 0.327869, 0.326572, 0.314777, 0.281222, 0.25246, 0.223136, 0.154809, 0.118557, 0.0761918, 0.0574613, 0.041093, 0.0220535, 0.0134469, 0.00890884, 0.00376532, 0.00302257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0395712");
-            index_3 ("0.0344572, 0.0637705, 0.0666821, 0.0786503, 0.115142, 0.149603, 0.1713, 0.190368, 0.236585, 0.269157, 0.297296, 0.336394, 0.369204, 0.392352, 0.427532, 0.474437, 0.509999");
-            values ( \
-              "0.0289208, 0.38141, 0.382887, 0.377007, 0.345415, 0.312929, 0.288842, 0.260731, 0.171209, 0.117742, 0.0823212, 0.0480587, 0.029854, 0.0211842, 0.0124468, 0.00599496, 0.003842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.087658");
-            index_3 ("0.0459325, 0.0558452, 0.0590152, 0.0616565, 0.0659092, 0.0676134, 0.071022, 0.0777257, 0.0866709, 0.210177, 0.256014, 0.302557, 0.322875, 0.340857, 0.361417, 0.442549, 0.464725, 0.509077, 0.538564, 0.570689, 0.613522, 0.63911, 0.668942, 0.702569, 0.749517, 0.768446, 0.806304, 0.88202, 0.962238, 1.04246, 1.12267, 1.20289");
-            values ( \
-              "0.263609, 0.373269, 0.397104, 0.407196, 0.41432, 0.415338, 0.415944, 0.414592, 0.410981, 0.355429, 0.332887, 0.305858, 0.291689, 0.277342, 0.258983, 0.181962, 0.162305, 0.1267, 0.106308, 0.0870254, 0.0657854, 0.0554254, 0.0452131, 0.0357891, 0.0256047, 0.0223874, 0.0170103, 0.0096994, 0.00528069, 0.00289585, 0.001559, 0.000867056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.19418");
-            index_3 ("0.0539257, 0.0539457, 0.185126, 0.348281, 0.476656, 0.53277, 0.602192, 0.680748, 0.723202, 0.899025, 1.00928, 1.08811, 1.14213, 1.20836, 1.28857, 1.32198, 1.38879, 1.45728, 1.53749, 1.62253, 1.67051, 1.75072, 1.83094, 1.91116, 2.07159, 2.23203, 2.47268");
-            values ( \
-              "1e-22, 0.471075, 0.410884, 0.375808, 0.346432, 0.332034, 0.311387, 0.282888, 0.265125, 0.186826, 0.142282, 0.114834, 0.0984548, 0.0809661, 0.0632745, 0.0570348, 0.0460819, 0.036944, 0.0283376, 0.0213957, 0.0181866, 0.0138952, 0.0105451, 0.00804721, 0.00464661, 0.00268112, 0.00114316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0722811, 0.082427, 0.0876227, 0.0933842, 0.0968056, 0.100227, 0.103156, 0.106085, 0.108719, 0.111352, 0.112034, 0.112715, 0.11335, 0.113986, 0.114881, 0.116167, 0.116558, 0.116753, 0.117338, 0.117728, 0.118118, 0.118509, 0.11911, 0.119712, 0.120916, 0.123699, 0.127416, 0.129017, 0.129729, 0.131153, 0.132828, 0.133792, 0.134755, 0.135719, 0.138382, 0.139504, 0.140869, 0.142233, 0.144022, 0.145811, 0.146841, 0.148386, 0.148901, 0.150712, 0.152522, 0.15382, 0.155117, 0.156415, 0.157712, 0.159858");
-            values ( \
-              "0.0505188, 0.0549705, 0.0703225, 0.0869492, 0.0963762, 0.105443, 0.112525, 0.119112, 0.124612, 0.129711, 0.13275, 0.136172, 0.136887, 0.137718, 0.139139, 0.141398, 0.1413, 0.141189, 0.140612, 0.140023, 0.139269, 0.138352, 0.136043, 0.133508, 0.127761, 0.110141, 0.0869776, 0.0774878, 0.0739579, 0.0672838, 0.0600918, 0.056277, 0.0526951, 0.0493463, 0.0408794, 0.0376599, 0.0343499, 0.0312762, 0.0276865, 0.0243406, 0.0225247, 0.0200403, 0.0192759, 0.0170342, 0.0150118, 0.0137281, 0.0125364, 0.0114369, 0.0104294, 0.00895367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00806404");
-            index_3 ("0.0774946, 0.091452, 0.0967715, 0.10219, 0.107609, 0.10916, 0.110711, 0.111706, 0.112701, 0.113412, 0.114123, 0.114895, 0.115667, 0.116085, 0.116502, 0.117337, 0.118172, 0.119842, 0.12105, 0.122168, 0.123658, 0.125149, 0.126993, 0.128838, 0.130348, 0.131859, 0.13337, 0.13488, 0.136107, 0.139788, 0.143469, 0.148654, 0.150533, 0.152411, 0.155537, 0.158663, 0.161556, 0.163269, 0.164411, 0.166397, 0.168384, 0.169677, 0.17426, 0.175672, 0.177789, 0.181742, 0.184924, 0.188655, 0.19052, 0.193553");
-            values ( \
-              "0.117423, 0.120192, 0.143707, 0.166623, 0.188473, 0.194364, 0.199849, 0.205883, 0.213522, 0.214651, 0.21628, 0.218616, 0.221543, 0.222377, 0.22309, 0.224154, 0.224233, 0.223942, 0.223359, 0.22254, 0.220692, 0.218341, 0.214737, 0.210364, 0.206181, 0.201464, 0.196215, 0.190434, 0.184333, 0.161848, 0.140041, 0.112735, 0.104374, 0.0964848, 0.0844023, 0.0736273, 0.0646602, 0.0596265, 0.0564895, 0.0517308, 0.0472799, 0.0446314, 0.0358484, 0.0333589, 0.0299253, 0.0248853, 0.0213986, 0.0178699, 0.0163106, 0.0140508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0178635");
-            index_3 ("0.0810728, 0.0969206, 0.098529, 0.100137, 0.101746, 0.104963, 0.105945, 0.106928, 0.107911, 0.108893, 0.109717, 0.11054, 0.112081, 0.112824, 0.113757, 0.115808, 0.116876, 0.117944, 0.119186, 0.120427, 0.122227, 0.124027, 0.127626, 0.131934, 0.135468, 0.143113, 0.149873, 0.154719, 0.158991, 0.164305, 0.166962, 0.168919, 0.183778, 0.190166, 0.193435, 0.199409, 0.202016, 0.207231, 0.210455, 0.215374, 0.218882, 0.222389, 0.225897, 0.230526, 0.237734, 0.242892, 0.249542, 0.254903, 0.261193, 0.269311");
-            values ( \
-              "0.18234, 0.185167, 0.194654, 0.206537, 0.220002, 0.251678, 0.252296, 0.253603, 0.255601, 0.258288, 0.261071, 0.264338, 0.272837, 0.283872, 0.287355, 0.299564, 0.303292, 0.306157, 0.308371, 0.309487, 0.308816, 0.30787, 0.305148, 0.299992, 0.2951, 0.283347, 0.271854, 0.262272, 0.252705, 0.239181, 0.231766, 0.225398, 0.170031, 0.147735, 0.137391, 0.120206, 0.113156, 0.0998729, 0.0922669, 0.0814249, 0.0740791, 0.0678224, 0.0619585, 0.0549597, 0.0448736, 0.0385807, 0.0322262, 0.0278052, 0.0232992, 0.0184519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0395712");
-            index_3 ("0.0852263, 0.103988, 0.111459, 0.112655, 0.113836, 0.116038, 0.117087, 0.119184, 0.119884, 0.120666, 0.121448, 0.123012, 0.126084, 0.128121, 0.13116, 0.133115, 0.13898, 0.144114, 0.160122, 0.176702, 0.192384, 0.194326, 0.196269, 0.206952, 0.211956, 0.216959, 0.221741, 0.231303, 0.236084, 0.241616, 0.24346, 0.265811, 0.282243, 0.293099, 0.303954, 0.31481, 0.333152, 0.340508, 0.347864, 0.352769, 0.362577, 0.371033, 0.377949, 0.382046, 0.387509, 0.392972, 0.398435, 0.409361, 0.419151, 0.438003");
-            values ( \
-              "0.262976, 0.264634, 0.317551, 0.3355, 0.34116, 0.355874, 0.361204, 0.367509, 0.369034, 0.370171, 0.371099, 0.372326, 0.371849, 0.371232, 0.369889, 0.368536, 0.364203, 0.359995, 0.345967, 0.330598, 0.315151, 0.313724, 0.311891, 0.300379, 0.29432, 0.287858, 0.281307, 0.267101, 0.259447, 0.249737, 0.246375, 0.202459, 0.170646, 0.151365, 0.133882, 0.11777, 0.0931077, 0.084485, 0.0767639, 0.0719767, 0.0630771, 0.0561783, 0.0507965, 0.0476751, 0.0439803, 0.0405709, 0.0376308, 0.0322388, 0.0280465, 0.0209859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.087658");
-            index_3 ("0.0795138, 0.0958245, 0.116541, 0.119007, 0.122795, 0.127486, 0.13206, 0.139781, 0.212356, 0.265062, 0.301409, 0.348003, 0.367949, 0.386078, 0.406807, 0.487942, 0.510122, 0.554483, 0.583955, 0.616062, 0.658871, 0.684498, 0.714375, 0.747987, 0.79492, 0.813833, 0.851659, 0.927311, 1.00753, 1.08775, 1.24818");
-            values ( \
-              "0.166259, 0.220946, 0.390093, 0.400317, 0.407872, 0.410502, 0.41008, 0.407481, 0.375259, 0.350938, 0.332885, 0.305823, 0.291924, 0.277489, 0.258983, 0.181959, 0.162303, 0.126686, 0.106306, 0.0870324, 0.0658072, 0.0554303, 0.0452038, 0.0357786, 0.0256065, 0.0223843, 0.0170188, 0.00970307, 0.00528874, 0.00289422, 0.000863798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.19418");
-            index_3 ("0.0799094, 0.117585, 0.12452, 0.129068, 0.135008, 0.260509, 0.44218, 0.522397, 0.578491, 0.647926, 0.726077, 0.944752, 1.055, 1.13384, 1.18787, 1.25409, 1.33431, 1.36771, 1.43451, 1.503, 1.58322, 1.66826, 1.71624, 1.79646, 1.87668, 1.95689, 2.11733, 2.27776, 2.51842");
-            values ( \
-              "0.135561, 0.40846, 0.42775, 0.430557, 0.43083, 0.404556, 0.365116, 0.346423, 0.33203, 0.311395, 0.283042, 0.186835, 0.142293, 0.114829, 0.0984472, 0.080971, 0.0632683, 0.0570405, 0.0460783, 0.0369504, 0.0283333, 0.0214003, 0.0181822, 0.0138994, 0.0105408, 0.00805132, 0.00465063, 0.00268506, 0.00113936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.115159, 0.133825, 0.138208, 0.146447, 0.164285, 0.173096, 0.184352, 0.202505, 0.210252, 0.217386, 0.234125, 0.242064, 0.248964, 0.250953, 0.253539, 0.268166, 0.273278, 0.283502, 0.299457, 0.318389");
-            values ( \
-              "0.00295602, 0.0110975, 0.0138694, 0.0205546, 0.0428483, 0.0525912, 0.0638922, 0.0804051, 0.0888536, 0.0952803, 0.0433962, 0.027632, 0.0180962, 0.0179316, 0.0165947, 0.00728125, 0.00513977, 0.00245379, 0.000720187, 0.000173501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00806404");
-            index_3 ("0.118433, 0.138032, 0.147174, 0.15222, 0.173303, 0.197145, 0.208651, 0.228339, 0.237495, 0.246593, 0.265857, 0.276354, 0.283537, 0.288281, 0.29749, 0.302659, 0.312997, 0.332919, 0.356121, 0.385433");
-            values ( \
-              "0.0078216, 0.0218242, 0.0329991, 0.0409303, 0.0793219, 0.117902, 0.134772, 0.159417, 0.159269, 0.121077, 0.061755, 0.0382476, 0.0272172, 0.0216358, 0.0137605, 0.010647, 0.0063098, 0.00213406, 0.000601387, 0.000116851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0178635");
-            index_3 ("0.126822, 0.144985, 0.15014, 0.15828, 0.201531, 0.216064, 0.224098, 0.233338, 0.246553, 0.251359, 0.255098, 0.258953, 0.264589, 0.272191, 0.281724, 0.295569, 0.30315, 0.312882, 0.320459, 0.330562, 0.338081, 0.344125, 0.352183, 0.366079, 0.372507, 0.382097, 0.394883, 0.420456, 0.458703, 0.505226");
-            values ( \
-              "0.0304903, 0.0403754, 0.0496682, 0.0667272, 0.167793, 0.199988, 0.216599, 0.233988, 0.253724, 0.260161, 0.261471, 0.257878, 0.247037, 0.223354, 0.187119, 0.139781, 0.117517, 0.0928378, 0.0767424, 0.0589127, 0.0480778, 0.0407434, 0.0325667, 0.0218336, 0.0181584, 0.0137563, 0.00946111, 0.00429304, 0.00122874, 0.000235313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0395712");
-            index_3 ("0.133236, 0.156909, 0.172722, 0.229945, 0.249014, 0.252852, 0.260526, 0.26702, 0.283445, 0.312648, 0.331316, 0.384497, 0.41699, 0.430414, 0.445004, 0.475952, 0.478738, 0.484311, 0.517747, 0.534535, 0.540238, 0.574459, 0.620087, 0.690108, 0.770325");
-            values ( \
-              "0.0461366, 0.0742536, 0.115746, 0.280521, 0.330946, 0.343927, 0.345849, 0.341938, 0.327082, 0.297056, 0.272468, 0.170737, 0.117421, 0.099303, 0.0820937, 0.0536253, 0.0524138, 0.0476135, 0.0291485, 0.0235269, 0.0207678, 0.0122198, 0.00661522, 0.00143459, 0.000908482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.087658");
-            index_3 ("0.145246, 0.173289, 0.252029, 0.259617, 0.273321, 0.357612, 0.403452, 0.450041, 0.488148, 0.589987, 0.656525, 0.718109, 0.786542, 0.850024, 0.896958, 0.953701, 1.02936, 1.10958, 1.15135");
-            values ( \
-              "0.0992507, 0.125917, 0.385174, 0.396341, 0.393518, 0.355426, 0.332886, 0.305826, 0.27747, 0.181958, 0.126688, 0.0870308, 0.0554321, 0.03578, 0.0256087, 0.01702, 0.00970222, 0.00528943, 0.00452103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.19418");
-            index_3 ("0.168211, 0.219939, 0.252993, 0.260263, 0.267033, 0.449983, 0.569067, 0.64204, 0.684343, 0.748876, 0.829094, 0.869885, 1.0447, 1.15408, 1.23414, 1.29005, 1.35579, 1.43601, 1.46866, 1.53395, 1.60297, 1.68319, 1.7696, 1.81851, 1.89873, 1.97895, 2.05916, 2.2196, 2.38003, 2.62069");
-            values ( \
-              "0.214918, 0.293472, 0.412144, 0.424058, 0.424261, 0.385571, 0.35924, 0.341792, 0.33069, 0.311392, 0.282219, 0.265119, 0.187258, 0.142982, 0.115041, 0.0980994, 0.0807781, 0.0631253, 0.0570316, 0.0463083, 0.0370611, 0.0284314, 0.0213658, 0.0181064, 0.0138317, 0.0104984, 0.00800974, 0.00462449, 0.00266796, 0.00113882" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.21124, 0.253395, 0.264379, 0.275363, 0.285104, 0.293992, 0.311768, 0.342155, 0.359891, 0.374985, 0.388572, 0.400936, 0.412082, 0.423374, 0.437465, 0.448079, 0.458259, 0.464144, 0.472812, 0.48102, 0.487033, 0.49906, 0.521811, 0.550324, 0.553003, 0.556663, 0.560115, 0.563017");
-            values ( \
-              "0.00072648, 0.00336838, 0.00461713, 0.00615747, 0.0078821, 0.00988896, 0.0161707, 0.0331314, 0.0408067, 0.0461215, 0.0503526, 0.0557079, 0.0617228, 0.0544378, 0.0310983, 0.0196522, 0.0122294, 0.00914374, 0.0058371, 0.00379146, 0.00274119, 0.0013986, 0.000362542, 0.000126983, 0.000971579, 0.00152756, 0.001605, 0.00144469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00806404");
-            index_3 ("0.278764, 0.317991, 0.324294, 0.332959, 0.338473, 0.349501, 0.356581, 0.362778, 0.368975, 0.373481, 0.377987, 0.412827, 0.416605, 0.420383, 0.424161, 0.427938, 0.431439, 0.43494, 0.438441, 0.441941, 0.44544, 0.448939, 0.452438, 0.455936, 0.456541, 0.457751, 0.46138, 0.465009, 0.46741, 0.46981, 0.472211, 0.474612, 0.477045, 0.480523, 0.482511, 0.484499, 0.488474, 0.492449, 0.497551, 0.499785, 0.501572, 0.502465, 0.504198, 0.505931, 0.509397, 0.511886, 0.514375, 0.516864, 0.519353, 0.524299");
-            values ( \
-              "0.0266108, 0.0309931, 0.0358933, 0.042752, 0.0471896, 0.0562349, 0.0611859, 0.065304, 0.069221, 0.0719327, 0.0745324, 0.0922432, 0.0951194, 0.0983508, 0.101937, 0.105879, 0.105398, 0.104521, 0.103248, 0.10158, 0.0995182, 0.0970608, 0.0942084, 0.0909608, 0.0901363, 0.087826, 0.0798456, 0.0723553, 0.0676559, 0.0631602, 0.058868, 0.0547793, 0.0510679, 0.0454955, 0.0426262, 0.0399001, 0.0349323, 0.0304273, 0.0252477, 0.0230769, 0.0214399, 0.0206536, 0.0193528, 0.018113, 0.0158168, 0.0143485, 0.0129862, 0.01173, 0.0105798, 0.00857724" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0178635");
-            index_3 ("0.213546, 0.275575, 0.291542, 0.301908, 0.312604, 0.323503, 0.361262, 0.382427, 0.410104, 0.433643, 0.454681, 0.474049, 0.492751, 0.513344, 0.545974, 0.550536, 0.579525, 0.594092, 0.601826, 0.614086, 0.630433, 0.647455");
-            values ( \
-              "0.00265669, 0.0160507, 0.0235691, 0.0295816, 0.0370911, 0.0465024, 0.0854819, 0.104663, 0.127794, 0.14579, 0.159886, 0.17142, 0.173663, 0.138385, 0.0743071, 0.0670347, 0.0342708, 0.0226272, 0.0181402, 0.0127262, 0.00784562, 0.00520562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0395712");
-            index_3 ("0.218933, 0.275475, 0.29104, 0.301708, 0.323044, 0.339952, 0.425242, 0.461968, 0.493094, 0.521021, 0.547364, 0.554617, 0.561481, 0.566623, 0.613579, 0.625669, 0.649788, 0.662427, 0.682604, 0.701934, 0.718737, 0.741141, 0.769733, 0.787469, 0.822942, 0.885285");
-            values ( \
-              "0.00507498, 0.0218514, 0.0310424, 0.0385377, 0.0569164, 0.0753557, 0.174035, 0.214019, 0.244306, 0.265769, 0.275314, 0.274131, 0.268035, 0.260069, 0.170232, 0.148952, 0.11184, 0.0953212, 0.0730361, 0.0560552, 0.044228, 0.0318414, 0.0208421, 0.0160614, 0.00921517, 0.00345399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.087658");
-            index_3 ("0.310176, 0.369819, 0.404791, 0.450784, 0.46109, 0.471395, 0.481701, 0.492006, 0.499486, 0.506967, 0.514447, 0.521927, 0.529408, 0.536888, 0.547163, 0.551848, 0.555717, 0.560015, 0.563024, 0.565981, 0.568939, 0.571897, 0.584328, 0.60568, 0.630198, 0.653481, 0.676764, 0.688278, 0.696914, 0.71045, 0.730439, 0.765049, 0.816731, 0.838909, 0.861086, 0.883264, 0.90136, 0.92345, 0.934155, 0.944861, 0.955566, 0.976977, 0.987682, 1.01577, 1.02821, 1.03816, 1.05154, 1.07676, 1.11196, 1.14261");
-            values ( \
-              "0.112768, 0.120035, 0.165992, 0.228457, 0.246749, 0.267531, 0.290804, 0.316568, 0.318075, 0.320592, 0.324119, 0.328655, 0.334201, 0.340757, 0.352441, 0.361628, 0.362719, 0.363144, 0.363044, 0.362616, 0.361962, 0.36082, 0.355457, 0.345135, 0.332267, 0.319343, 0.305735, 0.297921, 0.291515, 0.280719, 0.263281, 0.230774, 0.181345, 0.161493, 0.14341, 0.126608, 0.113928, 0.0989098, 0.0924942, 0.0864338, 0.0808607, 0.0705152, 0.0657428, 0.0543636, 0.0496815, 0.0462242, 0.0421589, 0.0354714, 0.0277959, 0.0218158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.19418");
-            index_3 ("0.27519, 0.364671, 0.428151, 0.550152, 0.560944, 0.574212, 0.76912, 0.851915, 0.900196, 0.96457, 1.03706, 1.09569, 1.27079, 1.38037, 1.46016, 1.51565, 1.5815, 1.66172, 1.69453, 1.76017, 1.82907, 1.90929, 1.99539, 2.12431, 2.28474, 2.44518, 2.60561, 2.84626");
-            values ( \
-              "0.0358309, 0.120488, 0.21165, 0.398887, 0.406097, 0.408012, 0.365136, 0.345797, 0.333445, 0.314686, 0.289254, 0.265163, 0.187158, 0.142823, 0.114997, 0.0981826, 0.0808158, 0.0631631, 0.0570275, 0.0462623, 0.0370296, 0.0284156, 0.0213662, 0.0138394, 0.00801146, 0.00462266, 0.00266401, 0.00114686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.41836, 0.433922, 0.514139, 0.555328, 0.577097, 0.604901, 0.62882, 0.653763, 0.662853, 0.681035, 0.707233, 0.736062, 0.759949, 0.781245, 0.80044, 0.808625, 0.81694, 0.817836, 0.819629, 0.823214, 0.828911, 0.83491, 0.846907, 0.861179, 0.879761, 0.897407, 0.90413, 0.915653, 0.931017, 0.958945, 0.992319, 1.0201");
-            values ( \
-              "0.000211107, 0.000258634, 0.00121402, 0.00220215, 0.00288038, 0.00405838, 0.0056561, 0.00835344, 0.00989597, 0.0137248, 0.0198969, 0.0255748, 0.0293876, 0.0321492, 0.0356834, 0.0382055, 0.0392295, 0.0391174, 0.039188, 0.0389147, 0.0382926, 0.0366899, 0.0300023, 0.0190808, 0.0102091, 0.00526625, 0.00406395, 0.00255009, 0.00136137, 0.000342588, 0.000132126, 6.82349e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00806404");
-            index_3 ("0.513992, 0.59241, 0.625521, 0.659813, 0.675786, 0.725967, 0.760043, 0.78859, 0.837972, 0.849719, 0.85992, 0.875658, 0.881818, 0.914148, 0.937114, 0.961569, 0.977447, 0.99029, 1.01598, 1.05294, 1.10555");
-            values ( \
-              "0.00492451, 0.00666532, 0.00993701, 0.0156984, 0.0201507, 0.0376829, 0.047631, 0.0540934, 0.0635888, 0.0670081, 0.0680571, 0.0659561, 0.0630415, 0.0350319, 0.0195468, 0.00946148, 0.0056926, 0.00369087, 0.00142891, 0.000336504, 0.000241631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0178635");
-            index_3 ("0.513622, 0.578898, 0.608127, 0.640739, 0.652534, 0.668261, 0.700048, 0.743087, 0.794758, 0.820867, 0.840268, 0.904508, 0.933569, 0.944338, 0.953414, 0.963365, 1.005, 1.03108, 1.05424, 1.07946, 1.09494, 1.11264, 1.14803, 1.19859, 1.2158, 1.2305, 1.25026, 1.26717, 1.30099");
-            values ( \
-              "0.00979634, 0.00991552, 0.0136733, 0.0195372, 0.0223456, 0.0268552, 0.0394091, 0.0596257, 0.0792702, 0.0872186, 0.0924399, 0.107553, 0.113158, 0.11112, 0.107424, 0.100439, 0.0594451, 0.0378139, 0.0238444, 0.0136469, 0.00952019, 0.00619259, 0.00241231, 0.000543355, 0.000367488, 0.00150732, 0.00155155, 0.000795751, 0.000312155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0395712");
-            index_3 ("0.513541, 0.605133, 0.650409, 0.678645, 0.82033, 0.878623, 0.928358, 0.972918, 1.01416, 1.05411, 1.08904, 1.14286, 1.18577, 1.21582, 1.24588, 1.28298, 1.30417, 1.34657, 1.41631, 1.49653");
-            values ( \
-              "0.0114766, 0.019652, 0.0308196, 0.0404304, 0.110116, 0.1341, 0.152723, 0.166982, 0.177821, 0.179941, 0.157325, 0.102845, 0.0647154, 0.0443883, 0.031301, 0.0180802, 0.0131414, 0.00678288, 0.00209133, 0.000519879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.087658");
-            index_3 ("0.513629, 0.648332, 0.676105, 0.718669, 0.813227, 0.918798, 0.982276, 1.0305, 1.06563, 1.12623, 1.18322, 1.19958, 1.21595, 1.22785, 1.35625, 1.40487, 1.42275, 1.44959, 1.48536, 1.51819, 1.55515, 1.60443, 1.6462, 1.66744, 1.70993, 1.79015, 1.87037, 1.95059, 2.0308, 2.11102");
-            values ( \
-              "0.0085115, 0.038374, 0.0485415, 0.0680253, 0.121298, 0.177791, 0.210594, 0.234027, 0.249698, 0.271996, 0.282072, 0.280624, 0.276001, 0.269331, 0.151976, 0.114967, 0.103142, 0.0872184, 0.0691901, 0.0555723, 0.0431623, 0.0304503, 0.0226064, 0.0194571, 0.0142411, 0.0078467, 0.00424, 0.00235101, 0.00123931, 0.000716466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.19418");
-            index_3 ("0.636977, 0.791958, 1.13192, 1.21574, 1.23585, 1.26217, 1.37015, 1.45037, 1.53059, 1.59784, 1.82093, 1.96247, 2.01774, 2.08365, 2.19677, 2.26258, 2.33142, 2.41164, 2.49758, 2.62638, 2.7066, 2.86703, 3.02747, 3.34834");
-            values ( \
-              "0.07317, 0.116544, 0.326473, 0.371462, 0.371876, 0.367671, 0.341788, 0.319774, 0.292574, 0.265215, 0.166972, 0.114954, 0.0982046, 0.0808588, 0.0570492, 0.0462148, 0.0370375, 0.0283834, 0.021392, 0.0138692, 0.0104993, 0.00604843, 0.00346929, 0.0011255" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.00321835, 0.00325113, 0.00327981, 0.00329894, 0.00330941, 0.00331462", \
-            "0.00419727, 0.004238, 0.00428256, 0.00431693, 0.00433751, 0.00434798", \
-            "0.00488338, 0.00490629, 0.00493982, 0.00497342, 0.00499693, 0.00501005", \
-            "0.00531571, 0.00531756, 0.00532627, 0.00534184, 0.00535762, 0.00536836", \
-            "0.00564413, 0.00560538, 0.00555756, 0.00551982, 0.00550144, 0.00549605", \
-            "0.00578603, 0.0057472, 0.00571114, 0.00566669, 0.00561459, 0.00557846" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.00522515, 0.0053021, 0.00537379, 0.00541977, 0.00544284, 0.0054536", \
-            "0.00563077, 0.00562687, 0.00563488, 0.00563859, 0.00563476, 0.00562972", \
-            "0.00554556, 0.00539168, 0.00525904, 0.00515822, 0.00509804, 0.00506516", \
-            "0.00582132, 0.00535934, 0.00505365, 0.00486076, 0.00475119, 0.00469216", \
-            "0.00577226, 0.0057791, 0.00534962, 0.00491593, 0.00472445, 0.00462296", \
-            "0.00558846, 0.00565278, 0.00570149, 0.00538852, 0.00485174, 0.00465659" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0265696, 0.0295349, 0.0303576, 0.0326174, 0.0351541, 0.0386495, 0.0421391, 0.045647, 0.0473627, 0.0491338, 0.0511873, 0.0579707, 0.0635689, 0.0689617, 0.0720811, 0.0765796, 0.0792488, 0.0835646, 0.0884351, 0.0922833, 0.0999797, 0.106921");
-            values ( \
-              "-0.0106746, -0.177718, -0.180401, -0.184824, -0.187189, -0.188001, -0.186617, -0.182033, -0.176869, -0.169852, -0.159154, -0.109672, -0.0749128, -0.049383, -0.0381794, -0.0260286, -0.0205925, -0.0139848, -0.00901366, -0.00632005, -0.0030318, -0.00178982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00806404");
-            index_3 ("0.0282423, 0.0297532, 0.0315224, 0.0344199, 0.0401563, 0.0489247, 0.0575157, 0.0638157, 0.0707298, 0.0830396, 0.0915623, 0.0988504, 0.108293, 0.119252, 0.132082, 0.146744, 0.148858");
-            values ( \
-              "-0.141396, -0.243494, -0.249212, -0.252488, -0.253479, -0.250459, -0.241903, -0.225708, -0.194036, -0.122621, -0.0827744, -0.0572558, -0.0344821, -0.0186103, -0.00885339, -0.0035732, -0.00340793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0178635");
-            index_3 ("0.0282669, 0.0312885, 0.0338207, 0.0358817, 0.0386297, 0.0463171, 0.0587343, 0.0661502, 0.0721316, 0.0830863, 0.0897103, 0.0949584, 0.105454, 0.127197, 0.134152, 0.145054, 0.155523, 0.163899, 0.171072, 0.179165, 0.189956, 0.197715, 0.205624, 0.21617, 0.237262, 0.271182, 0.311887");
-            values ( \
-              "-0.128516, -0.297852, -0.301783, -0.302625, -0.302947, -0.301869, -0.298299, -0.295228, -0.292114, -0.283998, -0.275678, -0.266885, -0.239952, -0.159759, -0.135718, -0.102893, -0.0773614, -0.0609047, -0.0493629, -0.0387513, -0.0278167, -0.0218682, -0.0170834, -0.0122258, -0.00610413, -0.00180842, -0.000383541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0395712");
-            index_3 ("0.0293432, 0.0337055, 0.0401343, 0.0724144, 0.094056, 0.11652, 0.139307, 0.150827, 0.163047, 0.187122, 0.244631, 0.269466, 0.295464, 0.3121, 0.325581, 0.342139, 0.365466, 0.390005, 0.408845, 0.446524, 0.496814");
-            values ( \
-              "-0.319518, -0.331859, -0.333365, -0.32829, -0.323563, -0.317151, -0.307788, -0.301043, -0.291042, -0.258381, -0.145061, -0.105463, -0.0736635, -0.0578596, -0.0474128, -0.0369535, -0.0257596, -0.0175988, -0.0130914, -0.00708237, -0.00342263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.087658");
-            index_3 ("0.0295537, 0.0322457, 0.0348538, 0.0399066, 0.0749175, 0.12072, 0.166675, 0.214547, 0.238382, 0.26307, 0.311954, 0.316936, 0.336865, 0.363437, 0.379146, 0.461873, 0.492123, 0.530626, 0.560171, 0.599564, 0.637047, 0.652822, 0.684372, 0.738465, 0.75713, 0.799814, 0.848595, 0.929242, 1.00989, 1.09054, 1.17118");
-            values ( \
-              "-0.335892, -0.345777, -0.348704, -0.349653, -0.346917, -0.342316, -0.336891, -0.329905, -0.325638, -0.320258, -0.304437, -0.302104, -0.291203, -0.271112, -0.256671, -0.174131, -0.146795, -0.116218, -0.0962593, -0.0740315, -0.0572077, -0.0512306, -0.0409407, -0.0275852, -0.0240668, -0.0175769, -0.0121937, -0.00655111, -0.00350269, -0.00187127, -0.00099581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.19418");
-            index_3 ("0.0292403, 0.0344373, 0.0417581, 0.226971, 0.430941, 0.536505, 0.586339, 0.646264, 0.726911, 0.765794, 0.980222, 1.11976, 1.23975, 1.3204, 1.40953, 1.47904, 1.55969, 1.64705, 1.77782, 1.93912, 2.10041, 2.20483");
-            values ( \
-              "-0.343748, -0.356476, -0.357783, -0.349041, -0.33601, -0.32618, -0.319906, -0.309637, -0.287502, -0.272174, -0.172539, -0.117853, -0.0822297, -0.0638288, -0.0478694, -0.038021, -0.0290546, -0.0215972, -0.0137947, -0.00788436, -0.00448727, -0.00361441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0412526, 0.0459223, 0.0532482, 0.0559901, 0.058635, 0.0612957, 0.063094, 0.0666795, 0.0812243, 0.0885844, 0.0946859, 0.101616, 0.109466, 0.117337");
-            values ( \
-              "-0.00585802, -0.13467, -0.176893, -0.184134, -0.185942, -0.184341, -0.181361, -0.169529, -0.0735251, -0.0411717, -0.0244719, -0.013196, -0.00643999, -0.00315019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00806404");
-            index_3 ("0.0439893, 0.0461199, 0.0518028, 0.054451, 0.0560619, 0.0577848, 0.0606944, 0.0635582, 0.0683659, 0.0693497, 0.0713174, 0.0752766, 0.0806404, 0.0814826, 0.0831668, 0.0865353, 0.0963018, 0.0970002, 0.104732, 0.11635, 0.121401, 0.122948, 0.126042, 0.13223, 0.136993, 0.138753, 0.142271, 0.149309, 0.159957, 0.168707");
-            values ( \
-              "-0.092045, -0.181342, -0.230519, -0.245916, -0.245826, -0.253312, -0.249785, -0.254192, -0.246879, -0.250893, -0.244296, -0.24395, -0.226248, -0.228181, -0.217198, -0.206387, -0.144388, -0.145054, -0.100023, -0.0553939, -0.0463851, -0.0383015, -0.0365349, -0.0219653, -0.020756, -0.0144827, -0.015927, -0.00683036, -0.0070363, -0.00361393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0178635");
-            index_3 ("0.0426982, 0.0495695, 0.0526501, 0.0555526, 0.0575362, 0.0605605, 0.0641729, 0.0789718, 0.0937376, 0.106688, 0.112706, 0.124542, 0.148981, 0.164608, 0.173451, 0.183557, 0.195145, 0.203039, 0.218535, 0.227621, 0.245793, 0.277598, 0.314995");
-            values ( \
-              "-0.0326962, -0.25078, -0.279867, -0.295034, -0.299362, -0.301596, -0.301648, -0.29733, -0.289654, -0.276825, -0.266876, -0.235551, -0.145643, -0.0981515, -0.0770406, -0.0576297, -0.0408905, -0.0321636, -0.019877, -0.0149329, -0.00827575, -0.00270657, -0.000678379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0395712");
-            index_3 ("0.0455737, 0.0514681, 0.0534024, 0.054779, 0.0565357, 0.0581915, 0.0614182, 0.0651831, 0.0689229, 0.0755288, 0.0829755, 0.0905049, 0.105564, 0.112369, 0.123356, 0.134608, 0.156957, 0.1574, 0.170673, 0.181142, 0.202079, 0.217332, 0.256515, 0.279499, 0.297899, 0.310688, 0.329204, 0.345347, 0.366872, 0.385592, 0.41077, 0.444341, 0.507495, 0.581138");
-            values ( \
-              "-0.185986, -0.297393, -0.315381, -0.318696, -0.329693, -0.327816, -0.334703, -0.33004, -0.334378, -0.328616, -0.332078, -0.325907, -0.327483, -0.321084, -0.322965, -0.314774, -0.310593, -0.307919, -0.299759, -0.291074, -0.263744, -0.234772, -0.156288, -0.117242, -0.0916311, -0.0766483, -0.0586359, -0.0461844, -0.033309, -0.0249378, -0.0168387, -0.00983054, -0.00325989, -0.000859246" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.087658");
-            index_3 ("0.0465044, 0.0567477, 0.0610404, 0.0650584, 0.0930205, 0.13884, 0.184751, 0.232667, 0.256506, 0.28119, 0.330074, 0.335056, 0.354984, 0.381555, 0.39727, 0.479984, 0.510238, 0.548743, 0.578294, 0.617695, 0.655166, 0.670936, 0.702478, 0.756578, 0.775244, 0.817937, 0.866728, 0.947376, 1.02802, 1.10867, 1.18932");
-            values ( \
-              "-0.27352, -0.343112, -0.348499, -0.349167, -0.346915, -0.342321, -0.336892, -0.329906, -0.325637, -0.320258, -0.304436, -0.302105, -0.291204, -0.271114, -0.256668, -0.174139, -0.1468, -0.11622, -0.0962577, -0.0740264, -0.0572081, -0.0512327, -0.0409451, -0.0275868, -0.0240679, -0.0175765, -0.0121924, -0.00655045, -0.00350231, -0.00187109, -0.000995692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.19418");
-            index_3 ("0.0462952, 0.0556576, 0.0589396, 0.0657081, 0.245226, 0.346252, 0.449195, 0.55476, 0.604594, 0.664519, 0.745166, 0.784049, 0.998476, 1.05948, 1.13802, 1.25801, 1.33865, 1.42779, 1.49729, 1.57794, 1.6653, 1.79607, 1.95737, 2.11866, 2.27995, 2.5219");
-            values ( \
-              "-0.264391, -0.348434, -0.35573, -0.357496, -0.349033, -0.343179, -0.336002, -0.326173, -0.319913, -0.30963, -0.287509, -0.272168, -0.172543, -0.146895, -0.117857, -0.0822267, -0.0638324, -0.0478729, -0.0380184, -0.0290578, -0.0215953, -0.0137929, -0.0078825, -0.00448543, -0.00254369, -0.00111823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0700927, 0.0738876, 0.076716, 0.0831861, 0.0916551, 0.0962978, 0.100636, 0.10496, 0.109282, 0.124435, 0.130929, 0.136189, 0.144348, 0.153915, 0.156233");
-            values ( \
-              "-0.00997071, -0.0592338, -0.0798828, -0.106883, -0.13562, -0.147612, -0.15387, -0.14952, -0.132517, -0.0531207, -0.0310414, -0.0196452, -0.00942564, -0.00385909, -0.00332477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00806404");
-            index_3 ("0.0711963, 0.0767312, 0.0805104, 0.0899246, 0.0940264, 0.101233, 0.107819, 0.112634, 0.114141, 0.119526, 0.120406, 0.122166, 0.12731, 0.130556, 0.140446, 0.148635, 0.149271, 0.150542, 0.157724, 0.161029, 0.161814, 0.163383, 0.166522, 0.172404, 0.176497, 0.177864, 0.180599, 0.186068, 0.196487, 0.234762");
-            values ( \
-              "-0.00440593, -0.100718, -0.129923, -0.173698, -0.18984, -0.213177, -0.227032, -0.231087, -0.235387, -0.228694, -0.224173, -0.219973, -0.194903, -0.173396, -0.117265, -0.0797687, -0.0793754, -0.0725187, -0.0497342, -0.0435583, -0.0397574, -0.038449, -0.0305016, -0.0236395, -0.0169428, -0.0175988, -0.0132066, -0.0113376, -0.00456115, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0178635");
-            index_3 ("0.0731059, 0.0806329, 0.0863332, 0.0956609, 0.100936, 0.106971, 0.114782, 0.117898, 0.120727, 0.129232, 0.140396, 0.151643, 0.15458, 0.164877, 0.18608, 0.202568, 0.211396, 0.217809, 0.226086, 0.232792, 0.241032, 0.252639, 0.264852, 0.274228, 0.29298, 0.31954");
-            values ( \
-              "-0.0218121, -0.14926, -0.189306, -0.232176, -0.252612, -0.271108, -0.289645, -0.294606, -0.29535, -0.292095, -0.283591, -0.267943, -0.261816, -0.231942, -0.153403, -0.101765, -0.0800855, -0.0668079, -0.0525045, -0.043029, -0.0335129, -0.0233822, -0.015974, -0.0118661, -0.00643233, -0.00289216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0395712");
-            index_3 ("0.0773261, 0.085806, 0.0951847, 0.102514, 0.107969, 0.117781, 0.12044, 0.125264, 0.151898, 0.174162, 0.196495, 0.210705, 0.220696, 0.240678, 0.258749, 0.294693, 0.315987, 0.325632, 0.340712, 0.357946, 0.373794, 0.392406, 0.417223, 0.433078, 0.457437, 0.489916, 0.554874, 0.630824");
-            values ( \
-              "-0.134408, -0.201963, -0.252706, -0.283189, -0.301623, -0.326928, -0.328791, -0.329146, -0.323479, -0.317163, -0.308216, -0.299406, -0.291082, -0.265327, -0.230934, -0.158808, -0.122027, -0.107533, -0.0876214, -0.0686306, -0.0544877, -0.0412857, -0.0281966, -0.0220695, -0.0150784, -0.00893971, -0.00286259, -0.000699561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.087658");
-            index_3 ("0.0848376, 0.109876, 0.116217, 0.122111, 0.126013, 0.201708, 0.282007, 0.314498, 0.333992, 0.371551, 0.394683, 0.426521, 0.516109, 0.540931, 0.586484, 0.62563, 0.652422, 0.687077, 0.714619, 0.749856, 0.799347, 0.851864, 0.892253, 0.972901, 1.05355, 1.21484");
-            values ( \
-              "-0.308014, -0.322481, -0.342162, -0.347419, -0.347436, -0.339711, -0.328287, -0.321808, -0.316876, -0.303605, -0.291265, -0.266536, -0.177768, -0.154867, -0.117789, -0.0916959, -0.0767223, -0.0604951, -0.0499091, -0.0388203, -0.0270474, -0.0183946, -0.0136133, -0.00731835, -0.00391773, -0.0011153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.19418");
-            index_3 ("0.0845793, 0.106592, 0.117364, 0.125759, 0.365937, 0.48926, 0.594824, 0.644658, 0.704583, 0.785231, 0.824113, 1.03854, 1.17808, 1.29807, 1.37872, 1.46785, 1.618, 1.70536, 1.83613, 1.99743, 2.15872, 2.23556");
-            values ( \
-              "-0.316331, -0.319406, -0.35334, -0.356815, -0.344446, -0.336004, -0.326177, -0.319909, -0.309636, -0.287497, -0.272183, -0.172524, -0.117838, -0.0822459, -0.0638139, -0.0478552, -0.0290403, -0.0216114, -0.0138084, -0.00789755, -0.00450009, -0.00385304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.127302, 0.137245, 0.142827, 0.15465, 0.162634, 0.169574, 0.175916, 0.182126, 0.188321, 0.190142, 0.19591, 0.205317, 0.20877, 0.21612, 0.219702, 0.224478, 0.229194, 0.237169, 0.247802, 0.248928, 0.251179, 0.254254, 0.261378, 0.27667");
-            values ( \
-              "-0.0205873, -0.0384948, -0.0531328, -0.0752998, -0.0890213, -0.0997779, -0.106496, -0.105114, -0.0979266, -0.0947684, -0.0794781, -0.0490415, -0.039435, -0.0235447, -0.0180016, -0.0123883, -0.00848681, -0.00438553, -0.00169157, -0.00153705, -0.00265966, -0.00280189, -0.00151681, -0.000344198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00806404");
-            index_3 ("0.128405, 0.136714, 0.147901, 0.152516, 0.16571, 0.176382, 0.185694, 0.194182, 0.202416, 0.210626, 0.212834, 0.215357, 0.228835, 0.233992, 0.239134, 0.245989, 0.248958, 0.249446, 0.251377, 0.256398, 0.261539, 0.265727, 0.271122, 0.278315, 0.292701, 0.312372, 0.336691");
-            values ( \
-              "-0.0188849, -0.0510954, -0.0834022, -0.0950722, -0.12481, -0.147223, -0.164924, -0.176358, -0.177336, -0.163196, -0.156742, -0.148204, -0.0956893, -0.0772704, -0.0612342, -0.0437439, -0.0376074, -0.0377699, -0.0356671, -0.0278036, -0.0208931, -0.0164828, -0.0121011, -0.00794424, -0.00326175, -0.000871754, -0.000151455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0178635");
-            index_3 ("0.129461, 0.14328, 0.15073, 0.158203, 0.165573, 0.177299, 0.184475, 0.199858, 0.213488, 0.226215, 0.238789, 0.252097, 0.267944, 0.276087, 0.287303, 0.298007, 0.313075, 0.31545, 0.320201, 0.339871, 0.343813, 0.344953, 0.347233, 0.351793, 0.378961, 0.411788, 0.451514");
-            values ( \
-              "-0.0133031, -0.0798267, -0.106151, -0.127594, -0.146677, -0.17618, -0.192735, -0.225321, -0.246982, -0.258155, -0.254051, -0.23074, -0.17217, -0.143815, -0.108065, -0.0808265, -0.0522855, -0.0496112, -0.0422227, -0.0228584, -0.0210801, -0.0194454, -0.019001, -0.0156094, -0.00622381, -0.00254802, -1.05431e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0395712");
-            index_3 ("0.144247, 0.167529, 0.186207, 0.204897, 0.215014, 0.235248, 0.248918, 0.250845, 0.253707, 0.256038, 0.269638, 0.284709, 0.294418, 0.308501, 0.332527, 0.385953, 0.408336, 0.433737, 0.454296, 0.47034, 0.481562, 0.504006, 0.520904, 0.545714, 0.578795, 0.644036, 0.720118");
-            values ( \
-              "-0.139539, -0.166506, -0.214899, -0.258378, -0.2766, -0.304361, -0.313772, -0.316264, -0.318098, -0.318336, -0.314455, -0.30784, -0.302254, -0.291086, -0.258445, -0.152509, -0.115131, -0.0814924, -0.0606646, -0.0478975, -0.0404575, -0.0286898, -0.0220976, -0.0149922, -0.00878734, -0.00280493, -0.000674725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.087658");
-            index_3 ("0.14434, 0.165577, 0.189177, 0.2072, 0.218729, 0.241786, 0.253115, 0.259287, 0.313441, 0.3601, 0.381408, 0.420972, 0.439907, 0.459801, 0.499589, 0.514903, 0.613771, 0.655268, 0.680526, 0.720171, 0.76548, 0.805977, 0.835048, 0.887368, 0.941276, 0.983421, 1.06407, 1.14472, 1.22536, 1.30601");
-            values ( \
-              "-0.130693, -0.166826, -0.233174, -0.275607, -0.298128, -0.329958, -0.340526, -0.343136, -0.336798, -0.330055, -0.32625, -0.317229, -0.311394, -0.303612, -0.279523, -0.266482, -0.168853, -0.132745, -0.113613, -0.0879794, -0.064697, -0.0487938, -0.0396646, -0.0270707, -0.0182225, -0.0133013, -0.00715334, -0.0038248, -0.00204549, -0.00108693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.19418");
-            index_3 ("0.15925, 0.198192, 0.223627, 0.24877, 0.265149, 0.475184, 0.578128, 0.68369, 0.733522, 0.793449, 0.874097, 0.91298, 1.12741, 1.26695, 1.38694, 1.46758, 1.55671, 1.70686, 1.79422, 1.92499, 2.08628, 2.24758, 2.30776");
-            values ( \
-              "-0.260586, -0.261495, -0.314188, -0.347108, -0.35504, -0.343196, -0.336012, -0.326178, -0.31991, -0.309634, -0.2875, -0.272178, -0.172533, -0.117847, -0.0822385, -0.0638218, -0.0478629, -0.0290476, -0.0216059, -0.0138029, -0.00789211, -0.00449469, -0.00398951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.240713, 0.265074, 0.282549, 0.307117, 0.318017, 0.327956, 0.337776, 0.347582, 0.354344, 0.358072, 0.383591, 0.395283, 0.405915, 0.41477, 0.425691");
-            values ( \
-              "-0.00689636, -0.0202144, -0.0365299, -0.0563043, -0.0640714, -0.0676382, -0.0660544, -0.0661729, -0.0625793, -0.0588294, -0.0194782, -0.00943282, -0.00467521, -0.00252104, -0.00134566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00806404");
-            index_3 ("0.24731, 0.259356, 0.267297, 0.297408, 0.327197, 0.341403, 0.354211, 0.366474, 0.378738, 0.391044, 0.41339, 0.424387, 0.437587, 0.447126, 0.453287, 0.465609, 0.487078, 0.512295, 0.544, 0.550199, 0.556163, 0.572105");
-            values ( \
-              "-0.0227857, -0.0254743, -0.0307137, -0.065295, -0.0956836, -0.108951, -0.117691, -0.11914, -0.117155, -0.102038, -0.0534365, -0.0345064, -0.019326, -0.012365, -0.00911247, -0.00495752, -0.00145913, -0.000412952, -5.19368e-05, -0.000112361, -0.00103769, -0.000527493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0178635");
-            index_3 ("0.253076, 0.269435, 0.273864, 0.283073, 0.308644, 0.32779, 0.35922, 0.381234, 0.395592, 0.39909, 0.406088, 0.415949, 0.4327, 0.437579, 0.442784, 0.452241, 0.477109, 0.486191, 0.498299, 0.505282, 0.514084, 0.52582, 0.537556, 0.550141, 0.556227, 0.56989, 0.583633, 0.597727, 0.607934");
-            values ( \
-              "-0.0364201, -0.0422269, -0.0465953, -0.0572678, -0.0910192, -0.113817, -0.149471, -0.172501, -0.185053, -0.187231, -0.191034, -0.193064, -0.183716, -0.177153, -0.167852, -0.147479, -0.0912491, -0.0737844, -0.0542735, -0.0450698, -0.0353727, -0.0252568, -0.0178748, -0.0122345, -0.0116076, -0.00782877, -0.00506657, -0.00317391, -0.00246571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0395712");
-            index_3 ("0.265804, 0.302447, 0.326745, 0.383019, 0.416901, 0.44637, 0.473432, 0.499813, 0.526137, 0.529676, 0.554708, 0.590356, 0.615982, 0.629289, 0.649224, 0.667963, 0.692948, 0.708117, 0.725247, 0.748087, 0.793768, 0.839338");
-            values ( \
-              "-0.062631, -0.0897078, -0.122908, -0.191625, -0.228832, -0.255116, -0.270616, -0.270698, -0.247264, -0.242209, -0.200003, -0.13343, -0.0952858, -0.0792087, -0.0594084, -0.0450202, -0.0307252, -0.0243057, -0.0186247, -0.0129867, -0.00610215, -0.00322835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.087658");
-            index_3 ("0.27496, 0.307094, 0.388473, 0.453534, 0.506858, 0.555863, 0.60431, 0.625206, 0.65486, 0.699461, 0.71006, 0.814506, 0.87724, 0.934566, 0.979627, 0.998831, 1.03377, 1.08303, 1.11487, 1.17433, 1.2536, 1.33425, 1.49554");
-            values ( \
-              "-0.0959406, -0.100638, -0.207407, -0.277871, -0.314547, -0.329926, -0.320468, -0.31436, -0.303835, -0.275579, -0.266572, -0.163587, -0.11239, -0.0772386, -0.0566327, -0.0497569, -0.0385305, -0.0271318, -0.0212176, -0.0135836, -0.00764107, -0.0038364, -0.000972524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.19418");
-            index_3 ("0.302026, 0.380799, 0.426041, 0.463369, 0.52681, 0.550032, 0.559123, 0.570015, 0.751668, 0.818586, 0.879515, 0.960163, 0.989328, 1.04531, 1.10865, 1.32392, 1.38465, 1.46289, 1.51838, 1.58252, 1.66317, 1.75286, 1.82224, 1.90288, 1.98989, 2.12042, 2.28172, 2.44301, 2.60431, 2.84625");
-            values ( \
-              "-0.160627, -0.202297, -0.256649, -0.294478, -0.337685, -0.346411, -0.348717, -0.349285, -0.337699, -0.332282, -0.3262, -0.315117, -0.309628, -0.295576, -0.272236, -0.17219, -0.146745, -0.117772, -0.100046, -0.0823069, -0.0638423, -0.0477864, -0.0380175, -0.0290067, -0.0216295, -0.0138347, -0.00791661, -0.00451488, -0.00257027, -0.00109692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.482918, 0.506053, 0.517716, 0.533229, 0.558229, 0.576954, 0.608194, 0.616915, 0.628938, 0.645299, 0.66152, 0.677627, 0.694044, 0.698396, 0.703369, 0.724617, 0.731722, 0.741705, 0.751318, 0.756965, 0.766504, 0.779222, 0.804552, 0.835161, 0.87614, 0.932682, 1.17462");
-            values ( \
-              "-0.00539079, -0.00594903, -0.0067187, -0.00908836, -0.0155497, -0.0226308, -0.0332072, -0.0359766, -0.0392978, -0.0407641, -0.0400779, -0.0413281, -0.0385543, -0.0367409, -0.0336697, -0.0171752, -0.0128088, -0.00824651, -0.00531141, -0.00412969, -0.00273378, -0.00162367, -0.000647377, -0.000296581, -0.00013791, -4.46567e-05, -1.33233e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00806404");
-            index_3 ("0.486439, 0.515403, 0.532814, 0.546116, 0.568623, 0.605291, 0.639932, 0.662258, 0.68229, 0.701617, 0.720559, 0.740013, 0.743148, 0.773799, 0.790439, 0.80087, 0.808517, 0.817506, 0.82949, 0.853459, 0.883972, 0.921927, 0.97051, 1.20689");
-            values ( \
-              "-0.00901928, -0.0110359, -0.0143353, -0.0179314, -0.0266598, -0.044598, -0.060404, -0.069732, -0.0748034, -0.0757961, -0.0776113, -0.0714845, -0.0692452, -0.0353658, -0.0207626, -0.0143646, -0.0108747, -0.00779312, -0.00493124, -0.00187044, -0.000552055, -0.000190519, -7.85478e-05, -2.25369e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0178635");
-            index_3 ("0.506266, 0.550093, 0.568086, 0.677546, 0.71218, 0.733348, 0.746105, 0.771395, 0.796121, 0.820847, 0.862485, 0.887045, 0.904064, 0.918682, 0.937647, 0.962934, 1.0033, 1.05078, 1.18978");
-            values ( \
-              "-0.0230908, -0.0259807, -0.0332461, -0.0931585, -0.110886, -0.120335, -0.124575, -0.129897, -0.130326, -0.116425, -0.0633421, -0.0380619, -0.0257274, -0.0180154, -0.0111642, -0.00565217, -0.00170812, -0.000401536, -3.32817e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0395712");
-            index_3 ("0.518146, 0.580928, 0.603315, 0.652282, 0.718887, 0.76977, 0.812944, 0.85146, 0.887445, 0.923289, 0.959093, 1.01361, 1.0337, 1.06634, 1.09484, 1.12259, 1.13211, 1.15394, 1.17889, 1.21589, 1.28601, 1.3345");
-            values ( \
-              "-0.0308436, -0.045714, -0.0578798, -0.0876527, -0.126013, -0.15406, -0.176394, -0.193435, -0.202306, -0.195679, -0.164341, -0.0998281, -0.0795076, -0.0528972, -0.0360312, -0.0243074, -0.0211515, -0.0153875, -0.0105322, -0.00592662, -0.00233759, -0.00108518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.087658");
-            index_3 ("0.538119, 0.594017, 0.708219, 0.822105, 0.8971, 0.96168, 1.02054, 1.07754, 1.13437, 1.14076, 1.16632, 1.27587, 1.32027, 1.38073, 1.40795, 1.4399, 1.48248, 1.51927, 1.55645, 1.60604, 1.68669, 1.76733, 1.77332");
-            values ( \
-              "-0.0555555, -0.0574104, -0.125713, -0.190669, -0.23026, -0.258534, -0.276529, -0.278847, -0.256332, -0.25191, -0.231986, -0.135533, -0.102809, -0.0687436, -0.0569699, -0.0455102, -0.0334816, -0.0255993, -0.019479, -0.0134404, -0.0072285, -0.00386549, -0.00376277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.19418");
-            index_3 ("0.559868, 0.646142, 0.735471, 0.835867, 0.916514, 0.980653, 1.04735, 1.09764, 1.17829, 1.20506, 1.21586, 1.22751, 1.24231, 1.28275, 1.36393, 1.42045, 1.5011, 1.53998, 1.7544, 1.8154, 1.89394, 1.94991, 2.01393, 2.09458, 2.12428, 2.1837, 2.2532, 2.33385, 2.4212, 2.47132, 2.55197, 2.63262, 2.71326, 2.87456, 3.03585, 3.2778");
-            values ( \
-              "-0.0718175, -0.0902378, -0.144746, -0.203356, -0.246867, -0.277316, -0.30296, -0.317063, -0.330453, -0.332892, -0.333645, -0.333893, -0.333058, -0.32919, -0.319426, -0.309626, -0.287516, -0.272161, -0.172549, -0.146894, -0.117861, -0.0999858, -0.0822252, -0.0638361, -0.0579986, -0.047878, -0.0380177, -0.0290621, -0.0215941, -0.0182525, -0.0137913, -0.0104805, -0.00788028, -0.00448283, -0.00254086, -0.00112151" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.00307706, 0.00308945, 0.00310062, 0.00310819, 0.0031124, 0.00311451", \
-            "0.00368825, 0.00369717, 0.00370741, 0.00371572, 0.00372089, 0.00372363", \
-            "0.00406253, 0.00406384, 0.00406735, 0.00407203, 0.00407593, 0.00407832", \
-            "0.00428469, 0.00428139, 0.00427587, 0.00427289, 0.00427203, 0.00427209", \
-            "0.00440148, 0.00439548, 0.00438785, 0.00437912, 0.00437322, 0.00437033", \
-            "0.00446822, 0.00446106, 0.00445055, 0.00443846, 0.00442726, 0.0044198" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.00439883, 0.00441371, 0.00442958, 0.00444083, 0.00444712, 0.00445023", \
-            "0.00487319, 0.00485226, 0.00483826, 0.00483113, 0.00482814, 0.00482726", \
-            "0.00516187, 0.00509386, 0.00503065, 0.00498411, 0.00495721, 0.00494812", \
-            "0.00548615, 0.00532058, 0.0051721, 0.00506607, 0.005004, 0.00496773", \
-            "0.00604525, 0.00569482, 0.00544222, 0.00521517, 0.00507473, 0.00499801", \
-            "0.00663283, 0.00655329, 0.00586419, 0.00551813, 0.0052404, 0.00507934" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.00807037, 0.00827073, 0.00843456, 0.00853503, 0.00858923, 0.00861321", \
-            "0.00783712, 0.00804424, 0.00825299, 0.00843349, 0.00854694, 0.00859596", \
-            "0.00777776, 0.00787637, 0.00807337, 0.00828921, 0.00840373, 0.00855103", \
-            "0.00818592, 0.00818045, 0.00820973, 0.0082697, 0.0083802, 0.00850932", \
-            "0.0094173, 0.00920185, 0.00890129, 0.00868451, 0.00854913, 0.00852696", \
-            "0.0128031, 0.0121779, 0.011401, 0.0105002, 0.00964388, 0.0091398" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "-0.0012368, -0.00106963, -0.000924364, -0.000819656, -0.000770833, -0.00074731", \
-            "-0.00148332, -0.00128017, -0.00106599, -0.000905461, -0.000819583, -0.00077888", \
-            "-0.00170068, -0.00150307, -0.00127799, -0.001053, -0.000901816, -0.000821574", \
-            "-0.00168624, -0.00162947, -0.0013899, -0.00119778, -0.00100487, -0.000893308", \
-            "-0.00109946, -0.00125635, -0.00130458, -0.00125568, -0.0011191, -0.000956508", \
-            "0.0016128, 0.000737188, -7.37729e-05, -0.000589184, -0.000861314, -0.00088531" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "0.0100973, 0.0101825, 0.0102576, 0.0103066, 0.0103326, 0.0103395", \
-            "0.00989602, 0.0100149, 0.0101411, 0.0101551, 0.0102906, 0.0103176", \
-            "0.00983192, 0.00989104, 0.00996233, 0.0101356, 0.010202, 0.0102834", \
-            "0.0102077, 0.0101879, 0.0102186, 0.0102138, 0.0102525, 0.0102886", \
-            "0.0113569, 0.0111799, 0.0109131, 0.0106267, 0.00990834, 0.0103432", \
-            "0.0147199, 0.0141953, 0.0133985, 0.0125072, 0.0116781, 0.0110232" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00806404, 0.0178635, 0.0395712, 0.087658, 0.19418");
-          values ( \
-            "-0.00115446, -0.000994176, -0.000860599, -0.000776368, -0.000731942, -0.000710504", \
-            "-0.00142127, -0.00120793, -0.00100151, -0.000851136, -0.00077851, -0.000736545", \
-            "-0.00170776, -0.00150375, -0.00125029, -0.00101471, -0.000855028, -0.000771986", \
-            "-0.00185314, -0.00173316, -0.0015181, -0.00124266, -0.00101179, -0.000871433", \
-            "-0.00149704, -0.00158602, -0.00157447, -0.00142004, -0.00117492, -0.000980985", \
-            "0.000778683, 2.70032e-05, -0.000677856, -0.00103431, -0.00109221, -0.00100216" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00543164;
-      rise_capacitance : 0.00543164;
-      rise_capacitance_range (0.00388679, 0.00543164);
-      fall_capacitance : 0.00540599;
-      fall_capacitance_range (0.00326748, 0.00540599);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0032655, 0.00416339, 0.00466612, 0.00489642, 0.00499424, 0.00503817" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00461401, 0.00455978, 0.00413408, 0.00385889, 0.00375557, 0.0037123" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00250972, 0.00289937, 0.0031358, 0.00328635, 0.00336645, 0.00340726" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00368919, 0.00426958, 0.00466885, 0.00486366, 0.00492646, 0.00494932" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00576788, -0.00576764, -0.00577112, -0.00576172, -0.005779, -0.00576095" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00576788, 0.00576764, 0.00580621, 0.0058008, 0.005779, 0.00576095" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00541553;
-      rise_capacitance : 0.00541553;
-      rise_capacitance_range (0.00424533, 0.00541553);
-      fall_capacitance : 0.00533192;
-      fall_capacitance_range (0.00369827, 0.00533192);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0032378, 0.00424864, 0.00487929, 0.00518892, 0.00532124, 0.00537799" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00518201, 0.00543248, 0.0050065, 0.00469397, 0.00455874, 0.00450596" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00300977, 0.00354845, 0.00386938, 0.00405656, 0.00415871, 0.00421076" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00417167, 0.00472672, 0.00512591, 0.00534031, 0.00541505, 0.00544893" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00535231, -0.00536098, -0.00536031, -0.00537561, -0.00536795, -0.00535725" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00537697, 0.00536098, 0.00536031, 0.00539081, 0.00537275, 0.00536697" \
-          );
-        }
-      }
-    }
-  }
-  cell (NAND2XL) {
-    area : 9.5238;
-    cell_footprint : "NAND2";
-    cell_leakage_power : 0.0889805;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.341467;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00610761;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00743106;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.000916592;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0889805;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A) + (!B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.139865;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.0339894, 0.0472627, 0.0740971, 0.129152, 0.242964, 0.478797", \
-            "0.040215, 0.0534351, 0.0803589, 0.13551, 0.249392, 0.485295", \
-            "0.0549225, 0.0685297, 0.0953159, 0.150491, 0.264439, 0.500023", \
-            "0.0787221, 0.0989494, 0.1299, 0.18455, 0.297877, 0.533906", \
-            "0.11471, 0.144925, 0.192002, 0.261512, 0.373208, 0.610299", \
-            "0.173939, 0.216609, 0.285714, 0.390662, 0.543092, 0.780956" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.0286663, 0.0476392, 0.0870244, 0.16868, 0.337996, 0.689195", \
-            "0.0290266, 0.047708, 0.0870351, 0.16867, 0.338057, 0.689195", \
-            "0.0354297, 0.0505896, 0.0872472, 0.168687, 0.337994, 0.689195", \
-            "0.0544709, 0.0689324, 0.0976299, 0.170352, 0.337979, 0.689195", \
-            "0.0847839, 0.106691, 0.14005, 0.198316, 0.34241, 0.689184", \
-            "0.133422, 0.166022, 0.217026, 0.292066, 0.412892, 0.708263" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.0345566, 0.0490111, 0.0783281, 0.138564, 0.26316, 0.521446", \
-            "0.0386743, 0.0533279, 0.0828189, 0.143206, 0.26791, 0.526251", \
-            "0.0480831, 0.0634068, 0.0930366, 0.153572, 0.278444, 0.536881", \
-            "0.0587378, 0.0810305, 0.117015, 0.177141, 0.302576, 0.561091", \
-            "0.0656901, 0.0975791, 0.149201, 0.229076, 0.356071, 0.614254", \
-            "0.0592869, 0.103648, 0.176361, 0.291424, 0.466136, 0.73603" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.0295858, 0.0485473, 0.0879046, 0.169601, 0.339025, 0.690179", \
-            "0.0296946, 0.0485426, 0.087927, 0.169592, 0.338905, 0.690179", \
-            "0.0359101, 0.0514198, 0.0881325, 0.169606, 0.338915, 0.690179", \
-            "0.0519069, 0.069211, 0.0997268, 0.171498, 0.338906, 0.690179", \
-            "0.0772065, 0.100978, 0.13968, 0.203863, 0.348776, 0.690143", \
-            "0.120478, 0.152226, 0.205565, 0.290421, 0.426814, 0.718191" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0254309, 0.0283365, 0.0320563, 0.0374478, 0.0466912, 0.0517699, 0.0604749, 0.069184, 0.0766746, 0.0846725, 0.0944845, 0.100782, 0.110987, 0.124594, 0.124932");
-            values ( \
-              "0.0519187, 0.173757, 0.182013, 0.175142, 0.149596, 0.128116, 0.0830538, 0.0518135, 0.0340783, 0.0214093, 0.011888, 0.00809562, 0.00429145, 0.00173576, 0.00172168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00755185");
-            index_3 ("0.0262585, 0.0283665, 0.0302319, 0.031768, 0.0332291, 0.0361512, 0.0391995, 0.0443917, 0.0518624, 0.0587989, 0.0658542, 0.0836439, 0.0923981, 0.0974716, 0.105613, 0.114185, 0.121278, 0.127758, 0.136398, 0.145911, 0.161284, 0.181781, 0.196173");
-            values ( \
-              "0.0456421, 0.212804, 0.223425, 0.227575, 0.229103, 0.228543, 0.225042, 0.216927, 0.203318, 0.189115, 0.171019, 0.107193, 0.0813397, 0.0686435, 0.0517397, 0.0378868, 0.0290287, 0.0226426, 0.0160723, 0.0109922, 0.00586733, 0.00243569, 0.00149784" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156663");
-            index_3 ("0.0262664, 0.0298585, 0.0326659, 0.0341149, 0.0360469, 0.0385128, 0.0434445, 0.0589392, 0.0728248, 0.0895336, 0.0995482, 0.110021, 0.134716, 0.147471, 0.162049, 0.171887, 0.185861, 0.197199, 0.206546, 0.217588, 0.233195, 0.249491, 0.261983, 0.286968, 0.33238, 0.386121");
-            values ( \
-              "0.0636359, 0.250817, 0.260856, 0.262766, 0.263569, 0.262937, 0.25926, 0.243473, 0.228351, 0.207477, 0.191277, 0.170253, 0.117297, 0.0939275, 0.0711947, 0.0585506, 0.0437456, 0.0342233, 0.0278508, 0.0217221, 0.0151682, 0.0104055, 0.00776038, 0.0042401, 0.00127568, 0.000282968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0324999");
-            index_3 ("0.0278229, 0.0332811, 0.0354806, 0.0383878, 0.0435172, 0.048724, 0.103026, 0.139465, 0.147574, 0.167764, 0.189611, 0.215661, 0.245495, 0.258776, 0.285339, 0.300691, 0.317609, 0.340166, 0.355261, 0.380981, 0.410376, 0.433645, 0.469222, 0.516658, 0.599195, 0.681733");
-            values ( \
-              "0.25897, 0.281175, 0.283884, 0.284868, 0.283416, 0.280814, 0.250303, 0.227431, 0.221512, 0.20422, 0.180982, 0.150412, 0.117172, 0.103694, 0.0797081, 0.0679297, 0.0565681, 0.0439022, 0.0369001, 0.0272735, 0.0190967, 0.0143722, 0.00924822, 0.00503361, 0.001595, 0.000505881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0674211");
-            index_3 ("0.0316535, 0.0316735, 0.0904219, 0.204365, 0.252122, 0.287276, 0.308977, 0.352379, 0.444279, 0.489471, 0.541118, 0.57308, 0.608236, 0.655111, 0.701422, 0.727448, 0.7795, 0.834961, 0.919047, 1.00159, 1.08412, 1.33174");
-            values ( \
-              "1e-22, 0.333121, 0.283592, 0.250373, 0.234718, 0.221061, 0.211153, 0.187973, 0.133645, 0.109031, 0.0843411, 0.0712916, 0.058821, 0.0450424, 0.034337, 0.0293975, 0.0213912, 0.0151831, 0.00894537, 0.00525376, 0.00306984, 0.000610848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.139865");
-            index_3 ("0.0340152, 0.0340352, 0.155073, 0.387978, 0.470516, 0.530131, 0.601469, 0.684007, 0.915888, 1.03385, 1.11639, 1.18406, 1.25325, 1.33578, 1.44652, 1.52037, 1.6029, 1.70005, 1.83686, 2.00194, 2.16701, 2.41462, 2.66224");
-            values ( \
-              "1e-22, 0.333198, 0.287798, 0.254214, 0.240973, 0.23014, 0.21472, 0.193142, 0.125685, 0.0953617, 0.077267, 0.0645143, 0.0533052, 0.0421261, 0.0304611, 0.0244365, 0.0190115, 0.0141381, 0.00925516, 0.00552257, 0.00328625, 0.00148865, 0.000691475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0392558, 0.0464443, 0.0508765, 0.0527666, 0.0553, 0.0570931, 0.0584708, 0.0614824, 0.0677364, 0.0701258, 0.0821823, 0.0889744, 0.0970744, 0.105213, 0.111412, 0.118111, 0.125949, 0.132266, 0.144902, 0.150405");
-            values ( \
-              "0.00248423, 0.101217, 0.134256, 0.1558, 0.167168, 0.168891, 0.168244, 0.163586, 0.14619, 0.136599, 0.0764217, 0.052832, 0.0335776, 0.0209173, 0.0144715, 0.00958223, 0.00592566, 0.00400381, 0.00173572, 0.00135813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00755185");
-            index_3 ("0.0362873, 0.0577835, 0.0592477, 0.0621763, 0.0654794, 0.0711135, 0.0822759, 0.086479, 0.106279, 0.116813, 0.129511, 0.142039, 0.151393, 0.161705, 0.173708, 0.183391, 0.202759, 0.205213");
-            values ( \
-              "0.00294741, 0.220116, 0.220736, 0.218973, 0.214581, 0.204897, 0.181137, 0.169263, 0.099258, 0.0704795, 0.0451707, 0.0282966, 0.0197288, 0.0130496, 0.00806077, 0.005434, 0.00234453, 0.00219506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156663");
-            index_3 ("0.0364001, 0.0619226, 0.0657667, 0.0751937, 0.0930616, 0.105853, 0.118866, 0.125473, 0.153347, 0.163619, 0.177315, 0.186869, 0.194976, 0.204345, 0.220526, 0.228095, 0.243232, 0.255095, 0.26821, 0.285696, 0.320669, 0.367147, 0.424285");
-            values ( \
-              "0.0280495, 0.258734, 0.256669, 0.247623, 0.228352, 0.212854, 0.193101, 0.180268, 0.120436, 0.101058, 0.078444, 0.0650941, 0.055234, 0.0454093, 0.0319832, 0.0270369, 0.0191746, 0.0145933, 0.0107691, 0.00712543, 0.00296657, 0.000863208, 0.000161869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0324999");
-            index_3 ("0.0365804, 0.0613288, 0.0652436, 0.0691249, 0.0758187, 0.0856029, 0.0904225, 0.100062, 0.11269, 0.133105, 0.136138, 0.142204, 0.15132, 0.161059, 0.180535, 0.18818, 0.20227, 0.257827, 0.259204, 0.261958, 0.267466, 0.274123, 0.285926, 0.300043, 0.31791, 0.321017, 0.327231, 0.339659, 0.35477, 0.374807, 0.379154, 0.387849, 0.405238, 0.422139, 0.446725, 0.453574, 0.467274, 0.494673, 0.528775, 0.589352, 0.643763");
-            values ( \
-              "0.0157735, 0.279678, 0.284019, 0.278589, 0.279123, 0.269746, 0.271034, 0.261684, 0.258492, 0.242601, 0.244709, 0.237038, 0.235149, 0.224605, 0.213272, 0.202228, 0.191616, 0.123925, 0.126266, 0.119439, 0.117465, 0.106808, 0.0991376, 0.0826589, 0.0722073, 0.0661332, 0.0655504, 0.0536981, 0.0488399, 0.0354168, 0.0373495, 0.0301655, 0.0279419, 0.0194041, 0.017625, 0.0126234, 0.0141039, 0.00683452, 0.00751158, 0.000680132, 0.00198714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0674211");
-            index_3 ("0.0463855, 0.0566881, 0.0588397, 0.0656389, 0.0759123, 0.20454, 0.265051, 0.294427, 0.329253, 0.384769, 0.478989, 0.528198, 0.579943, 0.607084, 0.656806, 0.698284, 0.731805, 0.77322, 0.831532, 0.892914, 0.940043, 1.02258, 1.10512, 1.35273");
-            values ( \
-              "0.187565, 0.283911, 0.290485, 0.295404, 0.29336, 0.256488, 0.237288, 0.226477, 0.211194, 0.180977, 0.125599, 0.0997998, 0.0765971, 0.066227, 0.0501727, 0.0394499, 0.0323602, 0.0251997, 0.0175817, 0.0120086, 0.00891776, 0.00523688, 0.00306043, 0.000608773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.139865");
-            index_3 ("0.0517566, 0.0517766, 0.175524, 0.408428, 0.490966, 0.550581, 0.621919, 0.704457, 0.936337, 1.0543, 1.13683, 1.20451, 1.27369, 1.35623, 1.46697, 1.54081, 1.62335, 1.69335, 1.8573, 2.02237, 2.18745, 2.43506, 2.68267");
-            values ( \
-              "1e-22, 0.3196, 0.287799, 0.254212, 0.240976, 0.230143, 0.214717, 0.193145, 0.125681, 0.0953576, 0.077272, 0.0645199, 0.0533006, 0.0421318, 0.0304671, 0.0244315, 0.0190175, 0.0153558, 0.00924912, 0.00551622, 0.00327968, 0.00149564, 0.000684415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0750372, 0.0851546, 0.0936325, 0.0974183, 0.100273, 0.101719, 0.103165, 0.104611, 0.106057, 0.10738, 0.108702, 0.110025, 0.111348, 0.112046, 0.112744, 0.113063, 0.113701, 0.114468, 0.115814, 0.116387, 0.11696, 0.117533, 0.118522, 0.119511, 0.122996, 0.126481, 0.129695, 0.130754, 0.131602, 0.132449, 0.134991, 0.136164, 0.137337, 0.13851, 0.139683, 0.142104, 0.143167, 0.144016, 0.145638, 0.147261, 0.149365, 0.151469, 0.153233, 0.154997, 0.157076, 0.159155, 0.160628, 0.163575, 0.165049, 0.167387");
-            values ( \
-              "0.064523, 0.0650486, 0.0897996, 0.100277, 0.108345, 0.111839, 0.11501, 0.117858, 0.120384, 0.122411, 0.124168, 0.125655, 0.126871, 0.128973, 0.131365, 0.131374, 0.131346, 0.131228, 0.130801, 0.129964, 0.12839, 0.126601, 0.122913, 0.118785, 0.101715, 0.085082, 0.071813, 0.0676019, 0.064388, 0.0616034, 0.053806, 0.0504909, 0.0473523, 0.0443903, 0.0416047, 0.0362581, 0.0340262, 0.0323375, 0.0295572, 0.026963, 0.023938, 0.0211009, 0.0188823, 0.0168845, 0.0149766, 0.0132462, 0.0121487, 0.0101784, 0.00930573, 0.0080667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00755185");
-            index_3 ("0.0768924, 0.0880043, 0.0970132, 0.101042, 0.104475, 0.106565, 0.110745, 0.111724, 0.112703, 0.113458, 0.114212, 0.115157, 0.116103, 0.117456, 0.118772, 0.119638, 0.120503, 0.121368, 0.123147, 0.124926, 0.126267, 0.127608, 0.128949, 0.13029, 0.131954, 0.134481, 0.136453, 0.140108, 0.143647, 0.148288, 0.150608, 0.152613, 0.155337, 0.158449, 0.162275, 0.163551, 0.166827, 0.171738, 0.175008, 0.177668, 0.180328, 0.185638, 0.189021, 0.190634, 0.193859, 0.196009, 0.200309, 0.202459, 0.205951, 0.212013");
-            values ( \
-              "0.0838581, 0.0927087, 0.128941, 0.144135, 0.156321, 0.163353, 0.176579, 0.182507, 0.189, 0.190049, 0.191464, 0.193754, 0.19662, 0.196399, 0.195845, 0.1953, 0.19461, 0.193776, 0.191045, 0.187842, 0.185104, 0.182103, 0.178839, 0.175313, 0.17057, 0.162359, 0.15548, 0.141558, 0.128528, 0.11211, 0.104186, 0.09826, 0.0898846, 0.0809909, 0.0715701, 0.0686054, 0.0614847, 0.0515482, 0.0456204, 0.0415348, 0.0377254, 0.0310367, 0.0270682, 0.0253448, 0.0222717, 0.020565, 0.0174509, 0.0160436, 0.0140441, 0.01085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156663");
-            index_3 ("0.0742158, 0.0819476, 0.112679, 0.115287, 0.117127, 0.120597, 0.123746, 0.126902, 0.138914, 0.151089, 0.159988, 0.176901, 0.200803, 0.213509, 0.228031, 0.243371, 0.252004, 0.263301, 0.272569, 0.283627, 0.299243, 0.315578, 0.328105, 0.353157, 0.387218");
-            values ( \
-              "0.0471299, 0.0764565, 0.221323, 0.237015, 0.242288, 0.245084, 0.243715, 0.24107, 0.228327, 0.213691, 0.200893, 0.168532, 0.117292, 0.0940038, 0.0713357, 0.0523961, 0.0436904, 0.0342091, 0.0278884, 0.0217446, 0.01518, 0.0104042, 0.00775356, 0.00422852, 0.00201162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0324999");
-            index_3 ("0.0774445, 0.112731, 0.114796, 0.116312, 0.119344, 0.12223, 0.126812, 0.131516, 0.158587, 0.187524, 0.206957, 0.231117, 0.240087, 0.292509, 0.330007, 0.358368, 0.376282, 0.388456, 0.412804, 0.423118, 0.439575, 0.458382, 0.48808, 0.517635, 0.540288, 0.585595, 0.668133, 0.75067");
-            values ( \
-              "0.0595042, 0.244248, 0.260298, 0.267115, 0.273498, 0.274869, 0.27394, 0.271652, 0.256511, 0.239366, 0.226573, 0.207122, 0.198255, 0.138145, 0.0989498, 0.0743461, 0.0614825, 0.0537974, 0.0408129, 0.0362345, 0.0298806, 0.0238721, 0.0166118, 0.0115584, 0.00871787, 0.00488004, 0.0015476, 0.000489091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0674211");
-            index_3 ("0.0786072, 0.112631, 0.116408, 0.119421, 0.122372, 0.12702, 0.144757, 0.213442, 0.270717, 0.318444, 0.334864, 0.35363, 0.375301, 0.418643, 0.510603, 0.529971, 0.555796, 0.607446, 0.639403, 0.674552, 0.721417, 0.748219, 0.767746, 0.793781, 0.845853, 0.887271, 0.901276, 0.929285, 0.985303, 1.06784, 1.15038, 1.23292, 1.31545, 1.39799");
-            values ( \
-              "0.0651485, 0.256785, 0.282046, 0.289118, 0.291295, 0.291557, 0.286933, 0.267388, 0.250362, 0.234723, 0.228655, 0.221048, 0.211153, 0.188008, 0.133646, 0.1228, 0.109031, 0.0843398, 0.0712927, 0.0588244, 0.0450476, 0.0385278, 0.0343374, 0.0293961, 0.0213876, 0.0165639, 0.0151841, 0.0127567, 0.00894939, 0.00525615, 0.00307124, 0.0017956, 0.00104389, 0.000611128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.139865");
-            index_3 ("0.0794767, 0.11614, 0.125181, 0.147244, 0.412273, 0.536688, 0.596302, 0.667641, 0.750179, 0.982058, 1.10002, 1.18256, 1.25023, 1.31941, 1.43886, 1.51269, 1.58653, 1.66907, 1.7662, 1.90301, 2.06809, 2.23316, 2.3701");
-            values ( \
-              "0.0766736, 0.287992, 0.300463, 0.298159, 0.260507, 0.240982, 0.230149, 0.214711, 0.193152, 0.125674, 0.0953504, 0.0772793, 0.0645274, 0.0532933, 0.0378581, 0.0304747, 0.0244241, 0.0190251, 0.0141247, 0.00924151, 0.00550856, 0.00327196, 0.00255433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124501, 0.137962, 0.145087, 0.165964, 0.185201, 0.194645, 0.203184, 0.211229, 0.21928, 0.234592, 0.246595, 0.266586, 0.275, 0.291819, 0.300554");
-            values ( \
-              "0.00657116, 0.0129124, 0.0186136, 0.0448418, 0.0651382, 0.0737958, 0.0796945, 0.0831883, 0.079692, 0.0463113, 0.026818, 0.0112926, 0.00677356, 0.00231463, 0.00149336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00755185");
-            index_3 ("0.124471, 0.143139, 0.149167, 0.1873, 0.201047, 0.212788, 0.223387, 0.233457, 0.243524, 0.248887, 0.253058, 0.265768, 0.275637, 0.284014, 0.290923, 0.296776, 0.303034, 0.311956, 0.32107, 0.328027, 0.34194, 0.367975, 0.398686");
-            values ( \
-              "0.00614793, 0.023001, 0.0301397, 0.0893482, 0.10856, 0.123309, 0.133199, 0.13696, 0.124802, 0.110672, 0.103932, 0.0712797, 0.0506906, 0.0373638, 0.0287952, 0.0230082, 0.0180039, 0.0126156, 0.00875713, 0.00659396, 0.00369332, 0.00112058, 0.000260321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156663");
-            index_3 ("0.124524, 0.15207, 0.158274, 0.207653, 0.225376, 0.230249, 0.24059, 0.244765, 0.24894, 0.251746, 0.254402, 0.25783, 0.264688, 0.268375, 0.27563, 0.301335, 0.313902, 0.323252, 0.335127, 0.34421, 0.353331, 0.365502, 0.376346, 0.390805, 0.403354, 0.408982, 0.420239, 0.442754, 0.484708, 0.53375");
-            values ( \
-              "0.000407987, 0.0405614, 0.0508613, 0.143541, 0.174459, 0.182087, 0.196391, 0.2011, 0.205108, 0.208686, 0.209559, 0.20713, 0.197731, 0.191252, 0.177057, 0.121416, 0.0980703, 0.0825504, 0.0655096, 0.0545024, 0.0450348, 0.0346055, 0.0272429, 0.0196362, 0.0147066, 0.0129248, 0.00993346, 0.00580161, 0.00195254, 0.000523182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0324999");
-            index_3 ("0.163456, 0.198666, 0.221127, 0.229054, 0.23698, 0.243051, 0.249122, 0.252583, 0.255459, 0.260626, 0.261427, 0.268904, 0.282359, 0.293286, 0.299516, 0.306006, 0.315588, 0.321925, 0.328262, 0.334599, 0.336419, 0.341878, 0.354616, 0.361895, 0.379025, 0.390445, 0.407454, 0.41863, 0.423415, 0.432986, 0.443969, 0.450168, 0.456367, 0.462566, 0.475802, 0.484209, 0.492486, 0.500762, 0.511424, 0.522086, 0.527957, 0.536764, 0.5397, 0.550102, 0.560505, 0.567853, 0.575202, 0.582551, 0.5899, 0.601462");
-            values ( \
-              "0.12987, 0.139715, 0.189965, 0.206542, 0.222375, 0.23715, 0.253233, 0.254084, 0.254893, 0.256582, 0.25623, 0.251886, 0.243885, 0.237065, 0.232924, 0.228342, 0.221224, 0.216287, 0.211166, 0.205862, 0.204211, 0.198645, 0.184855, 0.176598, 0.156392, 0.143249, 0.123995, 0.111759, 0.107035, 0.0979473, 0.08811, 0.0828378, 0.0777672, 0.0728983, 0.0631718, 0.0573756, 0.0525109, 0.0479504, 0.0426265, 0.0376023, 0.0349634, 0.0313182, 0.0301867, 0.0268013, 0.0237242, 0.0217707, 0.019948, 0.0182561, 0.0166948, 0.0144899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0674211");
-            index_3 ("0.124496, 0.267197, 0.325154, 0.383692, 0.422432, 0.462436, 0.476909, 0.505215, 0.60436, 0.647393, 0.680318, 0.728903, 0.75263, 0.797308, 0.843814, 0.88316, 0.923208, 0.980464, 1.00516, 1.05455, 1.13709, 1.21962, 1.30216, 1.3847, 1.46724");
-            values ( \
-              "0.0078797, 0.281045, 0.264454, 0.246807, 0.233847, 0.217923, 0.211127, 0.196455, 0.138063, 0.114253, 0.0975708, 0.076035, 0.0669562, 0.0522296, 0.0399073, 0.0316217, 0.0248244, 0.0174301, 0.0149567, 0.0109708, 0.006451, 0.00377846, 0.00220581, 0.00128743, 0.000749287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.139865");
-            index_3 ("0.171392, 0.226407, 0.252583, 0.258914, 0.431485, 0.555941, 0.638479, 0.698118, 0.76944, 0.851978, 1.08386, 1.20182, 1.28435, 1.35202, 1.42121, 1.54066, 1.61448, 1.68833, 1.77086, 1.86799, 2.0048, 2.16988, 2.33495, 2.58256, 2.83018");
-            values ( \
-              "0.149405, 0.223011, 0.289586, 0.296529, 0.272491, 0.254207, 0.240983, 0.230145, 0.214712, 0.193151, 0.125675, 0.0953523, 0.077278, 0.064526, 0.0532957, 0.0378605, 0.030473, 0.0244267, 0.0190232, 0.0141279, 0.00924472, 0.00551168, 0.00327507, 0.00150044, 0.000679806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.217662, 0.265411, 0.272882, 0.281178, 0.292239, 0.298856, 0.312089, 0.336773, 0.344193, 0.359032, 0.36684, 0.376589, 0.39022, 0.403102, 0.415662, 0.428204, 0.439294, 0.446258, 0.451545, 0.458594, 0.464131, 0.468672, 0.474726, 0.484918, 0.4891, 0.494385, 0.501431, 0.515524, 0.540756, 0.550608, 0.558087, 0.561587");
-            values ( \
-              "0.00101333, 0.00389845, 0.00502206, 0.00642365, 0.00884274, 0.0107176, 0.0159987, 0.0302049, 0.0337385, 0.0402945, 0.0431365, 0.0461968, 0.0498905, 0.0520503, 0.0524709, 0.0461915, 0.0332572, 0.0264203, 0.0220245, 0.0169423, 0.0136597, 0.0113414, 0.00874634, 0.00549623, 0.00452548, 0.00352503, 0.00250274, 0.00122016, 0.000299311, 0.000207085, 0.00140712, 0.00142499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00755185");
-            index_3 ("0.22081, 0.2751, 0.302688, 0.318611, 0.374739, 0.402903, 0.419933, 0.435874, 0.451514, 0.467118, 0.50248, 0.516054, 0.531789, 0.550062, 0.555093, 0.560107, 0.57952");
-            values ( \
-              "0.00193912, 0.00823536, 0.0173868, 0.0261411, 0.0627403, 0.0764569, 0.0830786, 0.0873352, 0.0868822, 0.0730035, 0.031539, 0.0208861, 0.0123628, 0.00640809, 0.00712401, 0.00690243, 0.00364854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156663");
-            index_3 ("0.230668, 0.275603, 0.28829, 0.305026, 0.325318, 0.381404, 0.421187, 0.445622, 0.467526, 0.488097, 0.508682, 0.550564, 0.57801, 0.596746, 0.617761, 0.638255, 0.650093, 0.673767, 0.709981");
-            values ( \
-              "0.00402388, 0.0118895, 0.0163238, 0.0238698, 0.0366229, 0.080368, 0.107974, 0.123198, 0.134161, 0.140003, 0.132901, 0.0792585, 0.0496026, 0.0331519, 0.0207245, 0.0129276, 0.00980646, 0.0055555, 0.00238321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0324999");
-            index_3 ("0.275226, 0.31307, 0.330555, 0.345185, 0.437358, 0.475254, 0.507494, 0.536604, 0.550187, 0.557429, 0.56467, 0.573849, 0.633594, 0.66448, 0.690732, 0.70462, 0.729822, 0.756317, 0.788514, 0.818053, 0.849232, 0.873185, 0.92109, 1.00363, 1.08617");
-            values ( \
-              "0.0281363, 0.033729, 0.0459999, 0.0581857, 0.138687, 0.170188, 0.193184, 0.207736, 0.210333, 0.209048, 0.204248, 0.195039, 0.126663, 0.0953537, 0.0730501, 0.0630473, 0.047721, 0.0351909, 0.0240216, 0.0167553, 0.0114278, 0.0084745, 0.0045804, 0.00144886, 0.000460622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0674211");
-            index_3 ("0.311282, 0.364261, 0.405946, 0.461441, 0.471952, 0.492972, 0.509608, 0.527984, 0.533914, 0.539845, 0.545776, 0.551706, 0.552592, 0.554363, 0.557905, 0.561018, 0.564132, 0.571085, 0.599818, 0.635888, 0.64994, 0.662788, 0.675635, 0.689999, 0.704363, 0.718319, 0.74623, 0.766953, 0.800794, 0.833052, 0.871628, 0.880223, 0.906006, 0.930852, 0.955698, 0.989223, 0.997312, 1.01349, 1.02967, 1.04041, 1.06189, 1.07858, 1.09049, 1.10835, 1.11857, 1.13902, 1.16372, 1.19268, 1.20716, 1.22982");
-            values ( \
-              "0.0736229, 0.0776398, 0.117579, 0.173076, 0.187304, 0.214438, 0.234663, 0.255723, 0.254593, 0.254686, 0.256002, 0.25854, 0.259023, 0.261087, 0.261275, 0.261156, 0.260781, 0.259021, 0.250254, 0.238608, 0.23369, 0.228815, 0.223579, 0.217079, 0.210253, 0.203245, 0.188437, 0.176759, 0.156446, 0.13754, 0.115363, 0.110929, 0.0982354, 0.0868619, 0.0763319, 0.0633897, 0.0604686, 0.0554043, 0.0506514, 0.0477476, 0.0421928, 0.0381084, 0.0353508, 0.0315817, 0.0297795, 0.0264146, 0.0228108, 0.0191381, 0.0175063, 0.0152121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.139865");
-            index_3 ("0.275615, 0.373762, 0.550577, 0.566954, 0.78363, 0.928483, 0.997121, 1.07966, 1.31153, 1.42949, 1.5797, 1.64888, 1.76833, 1.84215, 1.99853, 2.09566, 2.23247, 2.39755, 2.56262, 2.61732");
-            values ( \
-              "0.0127923, 0.0898723, 0.279853, 0.286035, 0.254209, 0.229616, 0.214711, 0.193146, 0.125679, 0.0953556, 0.064523, 0.0532986, 0.0378635, 0.0304704, 0.0190206, 0.0141304, 0.00924717, 0.00551406, 0.00327739, 0.00299071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.529264, 0.608268, 0.623507, 0.653983, 0.666677, 0.708556, 0.736833, 0.760541, 0.78196, 0.802154, 0.821862, 0.841549, 0.872125, 0.89605, 0.915324, 0.928546, 0.938995, 0.959894, 0.992955, 1.03277, 1.21594, 1.24003, 1.27326");
-            values ( \
-              "0.00247896, 0.00405401, 0.00509235, 0.00840309, 0.0108266, 0.0204702, 0.0258967, 0.0294545, 0.03173, 0.0331309, 0.033466, 0.0315889, 0.0169663, 0.00861242, 0.00456895, 0.00287277, 0.00195363, 0.000848525, 0.000197545, 5.67418e-05, 1.70745e-05, 0.00042857, 0.000111782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00755185");
-            index_3 ("0.530851, 0.599416, 0.636784, 0.658466, 0.681707, 0.733526, 0.768396, 0.797883, 0.824633, 0.849792, 0.87427, 0.898718, 0.935169, 0.9617, 0.977309, 1.00851, 1.02178, 1.04833, 1.08964, 1.11542");
-            values ( \
-              "0.00521077, 0.00607151, 0.00994517, 0.0135044, 0.0192215, 0.034779, 0.0432974, 0.0488718, 0.052768, 0.0552195, 0.056033, 0.0515554, 0.0295596, 0.0168063, 0.011464, 0.00490806, 0.00332954, 0.00143787, 0.000329887, 0.000270423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156663");
-            index_3 ("0.530753, 0.595561, 0.63356, 0.652831, 0.698777, 0.774685, 0.820068, 0.858807, 0.89373, 0.926341, 0.958079, 0.989752, 1.03409, 1.07294, 1.10496, 1.12087, 1.14339, 1.17342, 1.2159, 1.23667, 1.24634");
-            values ( \
-              "0.00852399, 0.00889198, 0.013836, 0.0173278, 0.0298786, 0.0559451, 0.0683866, 0.077384, 0.0841206, 0.0886543, 0.08946, 0.0785186, 0.048684, 0.0272374, 0.0154609, 0.0113913, 0.00727203, 0.00384126, 0.00142552, 0.00207446, 0.00179634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0324999");
-            index_3 ("0.530587, 0.623804, 0.655226, 0.703102, 0.789045, 0.900865, 0.952428, 0.998575, 1.04166, 1.08445, 1.12716, 1.21576, 1.25937, 1.31605, 1.36221, 1.39987, 1.46769");
-            values ( \
-              "0.00849388, 0.0166206, 0.022883, 0.0364937, 0.0689925, 0.106077, 0.121155, 0.132371, 0.139031, 0.134916, 0.11231, 0.0552375, 0.035251, 0.0178103, 0.0100944, 0.00626795, 0.00264794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0674211");
-            index_3 ("0.530448, 0.66626, 0.712348, 1.02016, 1.08894, 1.15092, 1.2102, 1.24187, 1.36594, 1.44889, 1.53567, 1.61426, 1.71304, 1.81866, 1.97633");
-            values ( \
-              "0.00562789, 0.0301362, 0.0442708, 0.165096, 0.188125, 0.20348, 0.206471, 0.194866, 0.122288, 0.0815656, 0.0507846, 0.0320697, 0.0175216, 0.00905314, 0.00337442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.139865");
-            index_3 ("0.607682, 0.723205, 0.827643, 1.14557, 1.21535, 1.23366, 1.2563, 1.38857, 1.4998, 1.55719, 1.8672, 2.01525, 2.1506, 2.27086, 2.3463, 2.41958, 2.50211, 2.59775, 2.73356, 2.89863, 3.06371, 3.19021");
-            values ( \
-              "0.0356301, 0.0515556, 0.093916, 0.23522, 0.261287, 0.261558, 0.259, 0.237526, 0.214663, 0.200046, 0.111436, 0.0771447, 0.0534166, 0.0378598, 0.0303297, 0.0243474, 0.0189653, 0.0141456, 0.00928483, 0.00553514, 0.00328844, 0.00237928" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00275382, 0.00279231, 0.00282343, 0.00284364, 0.00285503, 0.00286098", \
-            "0.00333923, 0.00337747, 0.00341559, 0.00344381, 0.00346088, 0.00347009", \
-            "0.00369931, 0.00370639, 0.00372205, 0.00373947, 0.00375244, 0.00376022", \
-            "0.00394325, 0.00391903, 0.00389628, 0.00389335, 0.00389446, 0.00389689", \
-            "0.00411983, 0.00408093, 0.00403612, 0.00399743, 0.00397995, 0.00396712", \
-            "0.00425156, 0.00419496, 0.00414567, 0.0040964, 0.0040495, 0.00401769" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00377228, 0.00380751, 0.00384267, 0.00386552, 0.00387727, 0.00388279", \
-            "0.00386626, 0.00378802, 0.00373074, 0.00369308, 0.00367088, 0.00365871", \
-            "0.00386954, 0.00370639, 0.00357935, 0.00349308, 0.00344765, 0.00342348", \
-            "0.00427197, 0.00389284, 0.00363561, 0.00346711, 0.00337227, 0.0033192", \
-            "0.00482021, 0.00461393, 0.00396188, 0.00361621, 0.00341812, 0.00331939", \
-            "0.00465312, 0.00474687, 0.0047411, 0.0040543, 0.00362289, 0.0034095" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0237122, 0.0252969, 0.0273555, 0.0327451, 0.041621, 0.0456411, 0.0484865, 0.0551174, 0.0652165, 0.0721307, 0.0794174, 0.087519, 0.0951406, 0.105057, 0.115635, 0.119801");
-            values ( \
-              "-0.0199182, -0.145738, -0.151527, -0.153459, -0.151242, -0.146959, -0.14118, -0.117319, -0.0720132, -0.047836, -0.0297594, -0.0170152, -0.00983221, -0.00475618, -0.00210337, -0.00186338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00755185");
-            index_3 ("0.0246432, 0.0264176, 0.0285347, 0.0320386, 0.0446109, 0.053229, 0.0603874, 0.0649139, 0.0682084, 0.0747975, 0.0895347, 0.100934, 0.112727, 0.123591, 0.129194, 0.140648, 0.147656, 0.161673, 0.176754");
-            values ( \
-              "-0.116046, -0.190928, -0.193871, -0.194358, -0.192455, -0.189041, -0.183351, -0.17669, -0.170335, -0.151851, -0.100232, -0.066585, -0.0415222, -0.0261026, -0.020394, -0.0121744, -0.00883521, -0.00454569, -0.00245282" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156663");
-            index_3 ("0.0253882, 0.0274884, 0.0303119, 0.0507196, 0.0635305, 0.0765339, 0.0895997, 0.0976771, 0.103813, 0.116085, 0.142224, 0.163249, 0.182368, 0.193639, 0.203494, 0.216634, 0.228138, 0.24364, 0.264309, 0.303203, 0.348607");
-            values ( \
-              "-0.215044, -0.22284, -0.224041, -0.221572, -0.218882, -0.214888, -0.208542, -0.201865, -0.19509, -0.174389, -0.116138, -0.076072, -0.0495546, -0.0379343, -0.0298827, -0.0215551, -0.016125, -0.0108653, -0.00631863, -0.00208729, -0.000539665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0324999");
-            index_3 ("0.025608, 0.0274502, 0.0304834, 0.0743224, 0.0988434, 0.124271, 0.150085, 0.161839, 0.177049, 0.204389, 0.259878, 0.296437, 0.327771, 0.349167, 0.368418, 0.394086, 0.415588, 0.444529, 0.483116, 0.557119, 0.639368");
-            values ( \
-              "-0.23474, -0.241052, -0.24221, -0.237988, -0.234666, -0.230052, -0.222985, -0.218373, -0.209873, -0.184202, -0.115044, -0.0775887, -0.053687, -0.0411439, -0.0322521, -0.0231451, -0.0173954, -0.0118341, -0.00701252, -0.00231135, -0.000681609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0674211");
-            index_3 ("0.0256955, 0.0273982, 0.0308605, 0.122947, 0.171984, 0.22297, 0.274688, 0.300816, 0.328637, 0.38338, 0.494548, 0.568816, 0.624079, 0.669915, 0.71311, 0.770703, 0.806919, 0.847751, 0.902193, 0.984443, 1.06669, 1.14894, 1.31344");
-            values ( \
-              "-0.245463, -0.250969, -0.252182, -0.246797, -0.243075, -0.238147, -0.230976, -0.225762, -0.217906, -0.191593, -0.119314, -0.0797533, -0.0576277, -0.0432726, -0.0328794, -0.0226209, -0.017719, -0.0134954, -0.00940972, -0.00525457, -0.00302906, -0.00163614, -0.000487093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.139865");
-            index_3 ("0.0257064, 0.0273423, 0.0287367, 0.0331402, 0.223667, 0.32455, 0.427568, 0.53305, 0.579513, 0.642977, 0.725227, 0.763945, 0.985852, 1.04793, 1.12817, 1.18509, 1.25147, 1.33372, 1.36606, 1.43075, 1.50161, 1.58386, 1.67324, 1.80638, 1.97088, 2.13538, 2.29988, 2.54663");
-            values ( \
-              "-0.250473, -0.25605, -0.257096, -0.257335, -0.251276, -0.247297, -0.242265, -0.235034, -0.230594, -0.222016, -0.203841, -0.19226, -0.120098, -0.102261, -0.0821473, -0.06982, -0.0573129, -0.0446639, -0.0403363, -0.0329869, -0.0262415, -0.0201735, -0.0150071, -0.00965285, -0.00555809, -0.00318314, -0.00181277, -0.000841581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0353882, 0.0444912, 0.0485517, 0.0508841, 0.0509995, 0.0516919, 0.0525895, 0.0538577, 0.0553737, 0.0574567, 0.059684, 0.0632754, 0.0657043, 0.0687301, 0.0734461, 0.0803014, 0.0862812, 0.090187, 0.0932554, 0.0973467, 0.101013, 0.102566, 0.105673, 0.111269, 0.113196, 0.117703, 0.122854, 0.133155, 0.148504, 0.167297");
-            values ( \
-              "-0.00566476, -0.105832, -0.125841, -0.136081, -0.139364, -0.1462, -0.150365, -0.152223, -0.152791, -0.152221, -0.151196, -0.147641, -0.143112, -0.13503, -0.115954, -0.0842069, -0.0605239, -0.0478335, -0.039374, -0.0299379, -0.023319, -0.0210379, -0.0168368, -0.0113356, -0.00978197, -0.00713395, -0.00480672, -0.00223192, -0.000559797, -0.00015245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00755185");
-            index_3 ("0.0364493, 0.0457692, 0.0527515, 0.0551477, 0.0569095, 0.0644378, 0.0741006, 0.0788756, 0.0862187, 0.0912746, 0.108569, 0.119023, 0.131145, 0.141882, 0.155467, 0.170081, 0.182757, 0.189301");
-            values ( \
-              "-0.00408111, -0.141889, -0.189749, -0.193534, -0.193713, -0.192035, -0.187402, -0.183043, -0.17117, -0.157921, -0.097942, -0.0672374, -0.0414026, -0.0261712, -0.0142758, -0.0073396, -0.00403481, -0.00324258" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156663");
-            index_3 ("0.0366345, 0.0499017, 0.0526409, 0.0534849, 0.0564588, 0.0754858, 0.0971547, 0.108266, 0.115, 0.122218, 0.136399, 0.165285, 0.184458, 0.200878, 0.212036, 0.222054, 0.235412, 0.246693, 0.261881, 0.282132, 0.320792, 0.365814");
-            values ( \
-              "-0.0201935, -0.192547, -0.218164, -0.221121, -0.223289, -0.220329, -0.214011, -0.208173, -0.202894, -0.195088, -0.170412, -0.106436, -0.0716764, -0.0494923, -0.0379836, -0.0298025, -0.0213808, -0.016081, -0.010923, -0.00642927, -0.00213922, -0.00056384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0324999");
-            index_3 ("0.0365815, 0.0519377, 0.0534211, 0.0546918, 0.0583642, 0.0893796, 0.113697, 0.146221, 0.166736, 0.172336, 0.179804, 0.195575, 0.222903, 0.278307, 0.294356, 0.314948, 0.330265, 0.346334, 0.367713, 0.386927, 0.412546, 0.434097, 0.463104, 0.50178, 0.575628, 0.657878");
-            values ( \
-              "-0.0148938, -0.228945, -0.238954, -0.241023, -0.241589, -0.238399, -0.235207, -0.229303, -0.223709, -0.221684, -0.218568, -0.209936, -0.184169, -0.115191, -0.0975471, -0.0776328, -0.0650172, -0.0536503, -0.0411569, -0.0322796, -0.0231521, -0.0174179, -0.0118429, -0.00698503, -0.00232838, -0.00066751" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0674211");
-            index_3 ("0.0440247, 0.056133, 0.152065, 0.24159, 0.293304, 0.345363, 0.374667, 0.406664, 0.505686, 0.582444, 0.653314, 0.702944, 0.762767, 0.817159, 0.874256, 1.00032, 1.09387");
-            values ( \
-              "-0.237691, -0.251935, -0.24607, -0.238152, -0.231016, -0.218614, -0.206677, -0.188537, -0.123853, -0.0820312, -0.0539152, -0.0395493, -0.0269139, -0.0187905, -0.0128574, -0.00541606, -0.00331466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.139865");
-            index_3 ("0.0418359, 0.054831, 0.0605633, 0.192214, 0.324655, 0.446307, 0.551788, 0.598252, 0.661716, 0.743965, 0.782684, 1.00459, 1.06667, 1.14691, 1.20383, 1.27021, 1.35246, 1.3848, 1.44948, 1.52034, 1.60259, 1.69197, 1.82511, 1.98961, 2.15411, 2.31861, 2.56536");
-            values ( \
-              "-0.151507, -0.257662, -0.257045, -0.253013, -0.248088, -0.242263, -0.235033, -0.230595, -0.222016, -0.20384, -0.192263, -0.120092, -0.102267, -0.0821413, -0.0698127, -0.0573205, -0.0446563, -0.0403446, -0.032979, -0.0262502, -0.0201651, -0.0150174, -0.00966346, -0.00556881, -0.00319402, -0.00182383, -0.000830277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0628156, 0.0680143, 0.0759184, 0.0820283, 0.093169, 0.0991626, 0.104631, 0.109946, 0.112871, 0.11414, 0.124162, 0.131438, 0.139263, 0.146345, 0.155291, 0.161305, 0.168413");
-            values ( \
-              "-0.0256622, -0.0357992, -0.0631055, -0.0783584, -0.10336, -0.115286, -0.12379, -0.123717, -0.116828, -0.116004, -0.0745151, -0.0486048, -0.0292382, -0.0179536, -0.0094509, -0.00609622, -0.00365133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00755185");
-            index_3 ("0.0716327, 0.0844328, 0.0900338, 0.0927889, 0.095544, 0.0978192, 0.100094, 0.10237, 0.104645, 0.105665, 0.106686, 0.107706, 0.108726, 0.110188, 0.112669, 0.112807, 0.113083, 0.113709, 0.113936, 0.114163, 0.114844, 0.11616, 0.117189, 0.11896, 0.119902, 0.120844, 0.122727, 0.125552, 0.127585, 0.128571, 0.131529, 0.136152, 0.142842, 0.150899, 0.152015, 0.154247, 0.157037, 0.160385, 0.16317, 0.167463, 0.169555, 0.171647, 0.174766, 0.179642, 0.181399, 0.183157, 0.185922, 0.188687, 0.194607, 0.200012");
-            values ( \
-              "-0.105755, -0.106787, -0.123058, -0.130494, -0.137555, -0.144514, -0.152041, -0.160137, -0.168801, -0.169145, -0.16976, -0.170644, -0.171799, -0.173926, -0.178523, -0.180752, -0.181511, -0.18409, -0.184849, -0.185288, -0.186167, -0.185623, -0.185068, -0.183841, -0.182856, -0.181626, -0.178908, -0.174189, -0.169987, -0.16754, -0.159449, -0.144551, -0.120687, -0.0932113, -0.0897732, -0.0831243, -0.0752385, -0.0664, -0.0595978, -0.0498457, -0.0458867, -0.0421597, -0.0371207, -0.0299281, -0.0276161, -0.0254876, -0.0226572, -0.0200766, -0.0154889, -0.0117959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156663");
-            index_3 ("0.0563448, 0.102427, 0.114403, 0.116841, 0.131904, 0.142596, 0.149387, 0.163353, 0.170912, 0.178817, 0.205352, 0.213514, 0.225196, 0.234419, 0.241509, 0.253151, 0.263083, 0.276326, 0.287721, 0.303067, 0.323527, 0.362079, 0.406972");
-            values ( \
-              "-0.000827583, -0.180901, -0.216474, -0.220296, -0.216332, -0.212109, -0.208198, -0.195216, -0.183539, -0.167519, -0.10855, -0.092426, -0.0722238, -0.0588667, -0.0500242, -0.0379674, -0.0298539, -0.0214701, -0.016113, -0.0109025, -0.00637022, -0.00213551, -0.000557921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0324999");
-            index_3 ("0.0699046, 0.0853594, 0.10164, 0.114443, 0.117062, 0.158829, 0.183671, 0.19436, 0.205394, 0.21855, 0.236788, 0.264047, 0.319197, 0.335364, 0.356085, 0.371615, 0.387629, 0.408959, 0.428061, 0.453531, 0.47523, 0.504441, 0.54339, 0.616997, 0.699247");
-            values ( \
-              "-0.0631753, -0.136787, -0.194163, -0.235829, -0.240102, -0.234598, -0.230169, -0.227644, -0.224522, -0.219672, -0.209915, -0.184283, -0.115542, -0.0977782, -0.077678, -0.0648927, -0.0536123, -0.0411223, -0.0322963, -0.0232369, -0.0174211, -0.0118086, -0.00696, -0.00231106, -0.000680662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0674211");
-            index_3 ("0.0699723, 0.0913095, 0.114433, 0.116737, 0.165047, 0.219142, 0.257573, 0.283097, 0.333801, 0.341023, 0.365784, 0.388783, 0.434781, 0.4483, 0.535054, 0.560603, 0.611701, 0.645382, 0.663453, 0.693812, 0.733452, 0.766363, 0.804674, 0.858887, 0.88289, 0.915308, 0.958531, 1.04078, 1.12303, 1.20528, 1.36978");
-            values ( \
-              "-0.0619554, -0.165626, -0.246393, -0.250837, -0.248004, -0.244135, -0.240794, -0.238143, -0.23125, -0.229885, -0.224583, -0.217922, -0.196709, -0.1885, -0.131425, -0.11579, -0.0878938, -0.0725234, -0.0651639, -0.054265, -0.0423735, -0.034389, -0.0268586, -0.0187433, -0.0159879, -0.0128802, -0.00964074, -0.00543951, -0.00308105, -0.00171802, -0.000535486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.139865");
-            index_3 ("0.079765, 0.104723, 0.114231, 0.118516, 0.283894, 0.366144, 0.487796, 0.593278, 0.639742, 0.703205, 0.785455, 0.824173, 1.04608, 1.10816, 1.18839, 1.24532, 1.3117, 1.39395, 1.42629, 1.49097, 1.56183, 1.64408, 1.73345, 1.86659, 2.03109, 2.19559, 2.36009, 2.60684");
-            values ( \
-              "-0.185966, -0.217762, -0.251893, -0.256642, -0.251279, -0.248082, -0.242268, -0.235038, -0.23059, -0.222021, -0.203835, -0.192268, -0.120088, -0.102272, -0.082137, -0.0698086, -0.0573254, -0.0446521, -0.0403494, -0.0329751, -0.026255, -0.0201611, -0.0150219, -0.00966783, -0.00557301, -0.0031981, -0.00182783, -0.00082642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.10958, 0.120544, 0.122785, 0.123106, 0.123749, 0.125026, 0.12758, 0.132422, 0.142108, 0.151793, 0.155587, 0.161655, 0.166227, 0.175444, 0.183724, 0.19142, 0.198999, 0.206556, 0.207517, 0.209438, 0.213279, 0.218544, 0.219785, 0.220023, 0.2205, 0.221453, 0.223359, 0.226585, 0.230833, 0.2321, 0.234632, 0.239182, 0.246019, 0.249441, 0.250014, 0.251161, 0.25222, 0.25434, 0.257653, 0.262729, 0.262854");
-            values ( \
-              "-0.00898906, -0.0149498, -0.0161835, -0.0164278, -0.0170327, -0.0181541, -0.0206533, -0.0264442, -0.0412345, -0.0518179, -0.0562119, -0.062205, -0.0669722, -0.0755578, -0.0827444, -0.0873576, -0.086014, -0.0697624, -0.0684546, -0.0627797, -0.0535464, -0.0405917, -0.0383843, -0.0377393, -0.0367441, -0.0348582, -0.0312512, -0.0258131, -0.0198185, -0.0183023, -0.0155393, -0.0115044, -0.00718187, -0.00567266, -0.00663056, -0.00743996, -0.00755976, -0.00700785, -0.00572026, -0.00394152, -0.0039128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00755185");
-            index_3 ("0.12853, 0.146818, 0.151552, 0.156287, 0.163034, 0.169072, 0.176038, 0.183005, 0.195018, 0.205871, 0.208417, 0.210963, 0.213509, 0.216055, 0.221151, 0.226355, 0.226571, 0.227003, 0.227868, 0.229596, 0.233054, 0.244758, 0.247023, 0.248156, 0.249288, 0.249896, 0.250503, 0.251111, 0.251718, 0.25277, 0.253822, 0.255603, 0.257384, 0.259759, 0.260947, 0.262331, 0.263714, 0.265098, 0.266482, 0.267582, 0.270882, 0.273979, 0.276044, 0.278109, 0.280174, 0.282238, 0.284303, 0.286368, 0.289645, 0.292239");
-            values ( \
-              "-0.0574008, -0.0590677, -0.0659953, -0.0725173, -0.0815596, -0.0894142, -0.0981915, -0.106758, -0.121037, -0.134152, -0.134145, -0.133914, -0.133459, -0.13278, -0.130256, -0.127768, -0.127536, -0.126724, -0.124859, -0.120823, -0.112081, -0.0808549, -0.0750357, -0.071554, -0.0676909, -0.0673424, -0.0669004, -0.066365, -0.0657362, -0.0644271, -0.0628382, -0.0588761, -0.0550326, -0.0500922, -0.0477011, -0.0451617, -0.0427207, -0.0403779, -0.0381335, -0.0364598, -0.0316499, -0.0273901, -0.0250252, -0.0228432, -0.02093, -0.0191427, -0.0174812, -0.0159455, -0.0137994, -0.0121849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156663");
-            index_3 ("0.124463, 0.137457, 0.165059, 0.19089, 0.209931, 0.226425, 0.241455, 0.252378, 0.255802, 0.260367, 0.269498, 0.296444, 0.317146, 0.336286, 0.354223, 0.364429, 0.378764, 0.394025, 0.405768, 0.429255, 0.456187");
-            values ( \
-              "-0.0368175, -0.0500859, -0.0981452, -0.135587, -0.161025, -0.180822, -0.19446, -0.197731, -0.195071, -0.188683, -0.171295, -0.111408, -0.0730184, -0.0473723, -0.030834, -0.0239636, -0.0166956, -0.0113397, -0.00837468, -0.0044933, -0.00245239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0324999");
-            index_3 ("0.135549, 0.156959, 0.167443, 0.182384, 0.195665, 0.2116, 0.218016, 0.224432, 0.227835, 0.231238, 0.23464, 0.238043, 0.240885, 0.243727, 0.246568, 0.24941, 0.251138, 0.254595, 0.258405, 0.270076, 0.282518, 0.288739, 0.292088, 0.302138, 0.329132, 0.331111, 0.335071, 0.342989, 0.358872, 0.382004, 0.408631, 0.419421, 0.429049, 0.441109, 0.451141, 0.459444, 0.465417, 0.467408, 0.475012, 0.482615, 0.48752, 0.497328, 0.504916, 0.510284, 0.518336, 0.532833, 0.544488, 0.558132, 0.564955, 0.575729");
-            values ( \
-              "-0.0854192, -0.0904102, -0.109207, -0.133834, -0.154625, -0.177986, -0.193146, -0.210921, -0.212231, -0.21399, -0.216198, -0.218856, -0.221421, -0.224299, -0.22749, -0.230995, -0.234001, -0.233814, -0.233388, -0.231299, -0.228523, -0.226903, -0.225849, -0.22228, -0.20974, -0.208547, -0.205438, -0.198065, -0.180285, -0.151691, -0.118241, -0.106211, -0.0961104, -0.084297, -0.0752482, -0.0681473, -0.0633037, -0.0617541, -0.0565453, -0.0516604, -0.0487647, -0.0432115, -0.0391333, -0.0363936, -0.0326294, -0.0272474, -0.0235068, -0.0197037, -0.0180153, -0.0156237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0674211");
-            index_3 ("0.124374, 0.162338, 0.17965, 0.211329, 0.248789, 0.255604, 0.265056, 0.274275, 0.277283, 0.305357, 0.363827, 0.39825, 0.427015, 0.479086, 0.484913, 0.508223, 0.540374, 0.63942, 0.667977, 0.716167, 0.756764, 0.786994, 0.826002, 0.857952, 0.896488, 0.950873, 0.975098, 1.00794, 1.05173, 1.13398, 1.21623, 1.29848, 1.46298");
-            values ( \
-              "-0.0228916, -0.105042, -0.136009, -0.187839, -0.242966, -0.247285, -0.247976, -0.246579, -0.246645, -0.244593, -0.239393, -0.235374, -0.231004, -0.218621, -0.216593, -0.206752, -0.188538, -0.12384, -0.107002, -0.0820267, -0.0647616, -0.053926, -0.0423069, -0.0345483, -0.0269124, -0.0187904, -0.0160053, -0.0128597, -0.0095617, -0.00541799, -0.00304525, -0.00172138, -0.000546733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.139865");
-            index_3 ("0.153484, 0.197008, 0.248648, 0.253828, 0.262923, 0.269749, 0.276568, 0.376146, 0.477029, 0.580047, 0.685528, 0.731992, 0.795456, 0.877706, 0.916423, 1.13833, 1.20041, 1.28064, 1.33756, 1.40395, 1.4862, 1.51854, 1.58322, 1.65408, 1.73633, 1.8257, 1.95884, 2.12334, 2.28784, 2.45234, 2.69909");
-            values ( \
-              "-0.162375, -0.169031, -0.249698, -0.254307, -0.255642, -0.25454, -0.254753, -0.251316, -0.247326, -0.242287, -0.23505, -0.230579, -0.222029, -0.203831, -0.19227, -0.120093, -0.102266, -0.0821428, -0.0698154, -0.0573187, -0.0446591, -0.0403424, -0.032982, -0.026248, -0.0201681, -0.0150151, -0.00966114, -0.00556638, -0.00319155, -0.00182137, -0.000832741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.214033, 0.225455, 0.237029, 0.244875, 0.253716, 0.258198, 0.266774, 0.275349, 0.283675, 0.292049, 0.307374, 0.314323, 0.328384, 0.340936, 0.3525, 0.363583, 0.37464, 0.393689, 0.397442, 0.403662, 0.406334, 0.411218, 0.418272, 0.421994, 0.427834, 0.435621, 0.451195, 0.472176, 0.498596, 0.55031, 0.560147, 0.573147");
-            values ( \
-              "-0.00337036, -0.00499114, -0.00720052, -0.0092487, -0.0120116, -0.0138035, -0.0180211, -0.0235042, -0.0283317, -0.0327958, -0.0403505, -0.0435934, -0.0497212, -0.0546814, -0.058411, -0.0601722, -0.05451, -0.0278339, -0.0232927, -0.0169521, -0.0146885, -0.0111988, -0.00743095, -0.00597307, -0.00421422, -0.0026136, -0.000947458, -0.00024012, -7.63351e-05, -2.58425e-05, -0.000938087, -0.000615431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00755185");
-            index_3 ("0.216145, 0.241605, 0.258108, 0.271551, 0.301823, 0.338389, 0.356611, 0.372932, 0.38802, 0.4026, 0.418112, 0.439289, 0.456121, 0.472541, 0.480276, 0.492254, 0.508224, 0.534267, 0.543864");
-            values ( \
-              "-0.00560765, -0.0122038, -0.019061, -0.02687, -0.0484535, -0.0699471, -0.0794458, -0.08714, -0.0927342, -0.0942961, -0.0809651, -0.0476203, -0.0270627, -0.0143884, -0.0105871, -0.00646131, -0.00319304, -0.000943321, -0.000636306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156663");
-            index_3 ("0.216665, 0.268945, 0.328043, 0.37725, 0.402042, 0.424301, 0.444995, 0.465386, 0.488116, 0.516975, 0.537806, 0.550138, 0.553679, 0.568576, 0.58043, 0.596889, 0.609029, 0.63331, 0.66175");
-            values ( \
-              "-0.00112902, -0.030946, -0.0752702, -0.106785, -0.120991, -0.132411, -0.139855, -0.137412, -0.110664, -0.0677764, -0.0438852, -0.0331442, -0.0327209, -0.0230332, -0.017085, -0.0112567, -0.00823707, -0.00431116, -0.00225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0324999");
-            index_3 ("0.245753, 0.277849, 0.343036, 0.383304, 0.437932, 0.472756, 0.50422, 0.533996, 0.542078, 0.556581, 0.622005, 0.656794, 0.686504, 0.724838, 0.74377, 0.770751, 0.819157, 0.861044, 0.911364");
-            values ( \
-              "-0.0312369, -0.0417173, -0.0934656, -0.121875, -0.157834, -0.178398, -0.193572, -0.199616, -0.197453, -0.189671, -0.1097, -0.0750268, -0.0527807, -0.0326829, -0.0255971, -0.0179409, -0.00941261, -0.00528206, -0.00293432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0674211");
-            index_3 ("0.298118, 0.378142, 0.405424, 0.423612, 0.442141, 0.461012, 0.485053, 0.514262, 0.528867, 0.550763, 0.560713, 0.572434, 0.576341, 0.587752, 0.602965, 0.622996, 0.631784, 0.640573, 0.649361, 0.658475, 0.667589, 0.676704, 0.685818, 0.699447, 0.713076, 0.726705, 0.740334, 0.745503, 0.76618, 0.835018, 0.850578, 0.86659, 0.882602, 0.892871, 0.91341, 0.926231, 0.938987, 0.949191, 0.954293, 0.970284, 0.986275, 1.0182, 1.04158, 1.05432, 1.06706, 1.09254, 1.10528, 1.11802, 1.15027, 1.1803");
-            values ( \
-              "-0.119921, -0.124135, -0.144565, -0.157848, -0.170983, -0.183897, -0.199817, -0.218359, -0.227301, -0.2401, -0.239672, -0.238928, -0.238622, -0.237247, -0.235294, -0.232408, -0.230937, -0.229201, -0.227202, -0.224646, -0.22186, -0.218843, -0.215596, -0.21031, -0.204507, -0.19819, -0.191356, -0.188451, -0.174994, -0.129314, -0.11944, -0.110214, -0.101413, -0.0960612, -0.0857439, -0.0795651, -0.0736617, -0.0692246, -0.0670983, -0.0611713, -0.0556291, -0.0458391, -0.0391741, -0.035997, -0.033076, -0.028228, -0.0260184, -0.0239517, -0.019445, -0.0156089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.139865");
-            index_3 ("0.274809, 0.332706, 0.3971, 0.471094, 0.54977, 0.560401, 0.577036, 0.748665, 0.853854, 0.88919, 0.942459, 0.999115, 1.08136, 1.12008, 1.34198, 1.40406, 1.4843, 1.54122, 1.6076, 1.68985, 1.72219, 1.78687, 1.85773, 1.93998, 2.02935, 2.16249, 2.32698, 2.49148, 2.65598, 2.90273");
-            values ( \
-              "-0.0827554, -0.0913668, -0.142764, -0.197344, -0.249732, -0.251705, -0.251562, -0.244127, -0.23783, -0.235038, -0.229835, -0.222016, -0.203835, -0.192266, -0.12009, -0.102271, -0.0821391, -0.0698106, -0.057324, -0.044654, -0.0403481, -0.0329771, -0.0262538, -0.0201629, -0.015021, -0.009667, -0.0055721, -0.00319717, -0.00182691, -0.000827348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.429015, 0.487122, 0.499834, 0.516783, 0.526628, 0.546319, 0.603322, 0.625382, 0.647578, 0.66727, 0.68522, 0.70228, 0.719234, 0.731936, 0.748174, 0.757246, 0.76976, 0.780723, 0.788348, 0.8036, 0.826932, 0.855204, 0.891295, 0.942639, 1.02489, 1.10714, 1.18939, 1.21589, 1.23414");
-            values ( \
-              "-0.00105291, -0.00345978, -0.00433084, -0.00575394, -0.00682347, -0.00964973, -0.0227136, -0.0273562, -0.0316519, -0.0350591, -0.0377079, -0.0393236, -0.0380826, -0.0305414, -0.0187161, -0.0131674, -0.00764315, -0.0046284, -0.00333889, -0.00155028, -0.000590615, -0.000159038, -0.000137832, -1e-22, -6.88072e-05, -1e-22, -5.43262e-05, -1e-22, -0.000329936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00755185");
-            index_3 ("0.436637, 0.498087, 0.517198, 0.539039, 0.553267, 0.569528, 0.601105, 0.631969, 0.658917, 0.686842, 0.711664, 0.734431, 0.756131, 0.777786, 0.788851, 0.814457, 0.833853, 0.845603, 0.853593, 0.862978, 0.875492, 0.900521, 0.933486, 0.974125, 1.0259, 1.21592, 1.23513, 1.26165");
-            values ( \
-              "-0.00219849, -0.0066548, -0.00898749, -0.0123923, -0.0153864, -0.0196452, -0.0293725, -0.0381788, -0.0453153, -0.0520949, -0.0574987, -0.0616269, -0.0640038, -0.0606401, -0.0529142, -0.0308686, -0.0176933, -0.0121353, -0.00930001, -0.00675343, -0.0043426, -0.00167439, -0.000445994, -0.000116187, -4.25651e-05, -1.63193e-05, -0.000534861, -0.000231239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156663");
-            index_3 ("0.437526, 0.515024, 0.524936, 0.544761, 0.564525, 0.599135, 0.62789, 0.650446, 0.669579, 0.725034, 0.756845, 0.784281, 0.81515, 0.844634, 0.875151, 0.889798, 0.922724, 0.933054, 0.949919, 0.969275, 0.986471, 0.997868, 1.00508, 1.01952, 1.04839, 1.09816, 1.15737, 1.21583, 1.2401, 1.27264");
-            values ( \
-              "-0.00250022, -0.0120649, -0.0138249, -0.0179428, -0.0228242, -0.0339757, -0.0441502, -0.0515935, -0.0576074, -0.0736891, -0.0819716, -0.0884142, -0.0944022, -0.097056, -0.0872126, -0.0756749, -0.0473318, -0.0395675, -0.0288345, -0.0195062, -0.0135006, -0.0105335, -0.00897585, -0.00647776, -0.0032612, -0.000863747, -0.000164151, -4.41496e-05, -0.000751507, -0.000422801" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0324999");
-            index_3 ("0.437178, 0.543335, 0.561261, 0.602128, 0.660771, 0.739834, 0.800732, 0.852804, 0.899439, 0.942637, 0.984713, 1.03038, 1.08146, 1.12418, 1.14613, 1.18492, 1.21586, 1.21995, 1.22642, 1.26136, 1.29467, 1.36128, 1.44353");
-            values ( \
-              "-0.000448769, -0.0216038, -0.0263116, -0.0390791, -0.0606656, -0.086907, -0.1053, -0.119689, -0.131204, -0.139264, -0.139632, -0.116202, -0.0765935, -0.0493529, -0.0382867, -0.0240535, -0.0161856, -0.0162544, -0.0154479, -0.00962669, -0.00611779, -0.00231731, -0.000655162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0674211");
-            index_3 ("0.519408, 0.608341, 0.736689, 0.847572, 0.933755, 1.00775, 1.07435, 1.13688, 1.19943, 1.2052, 1.22445, 1.27457, 1.30909, 1.36253, 1.39849, 1.41834, 1.45803, 1.49576, 1.51057, 1.54019, 1.59942, 1.63437, 1.65231, 1.6882, 1.75997, 1.84222, 1.92447, 2.00672, 2.08897");
-            values ( \
-              "-0.0322629, -0.0445733, -0.091381, -0.127808, -0.15406, -0.174279, -0.190199, -0.198029, -0.185196, -0.182555, -0.17275, -0.13965, -0.11805, -0.0886217, -0.0721562, -0.0641745, -0.0503838, -0.0397899, -0.0362256, -0.0299444, -0.0202621, -0.0160772, -0.014264, -0.0112156, -0.00685176, -0.00387036, -0.00217509, -0.00122561, -0.000685025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.139865");
-            index_3 ("0.518623, 0.637227, 0.736909, 0.838433, 1.00629, 1.13034, 1.22074, 1.22945, 1.27865, 1.34341, 1.3866, 1.45356, 1.53581, 1.57453, 1.79643, 1.85852, 1.93875, 1.99567, 2.06205, 2.1443, 2.17664, 2.24132, 2.31218, 2.39443, 2.4838, 2.61693, 2.78143, 2.94593, 3.11043, 3.35718");
-            values ( \
-              "-0.027506, -0.0574523, -0.0941595, -0.129607, -0.18429, -0.220654, -0.242985, -0.242858, -0.239833, -0.23506, -0.230963, -0.222025, -0.203835, -0.192269, -0.120089, -0.102271, -0.0821385, -0.0698104, -0.0573246, -0.0446538, -0.0403486, -0.032977, -0.0262542, -0.0201628, -0.0150212, -0.00966712, -0.00557213, -0.00319713, -0.00182683, -0.000827509" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.0025114, 0.00253604, 0.00255629, 0.0025698, 0.00257751, 0.00258155", \
-            "0.00291878, 0.00293565, 0.00295323, 0.00296678, 0.00297536, 0.00298018", \
-            "0.00316019, 0.00316389, 0.00317121, 0.00317962, 0.00318628, 0.00319043", \
-            "0.00330645, 0.00330212, 0.00329855, 0.0032981, 0.00329973, 0.00330157", \
-            "0.00338739, 0.00338078, 0.00337123, 0.00336363, 0.00335932, 0.00335778", \
-            "0.00343609, 0.00342719, 0.00341601, 0.00340444, 0.00339446, 0.00338813" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00352189, 0.00353613, 0.0035484, 0.00355567, 0.0035611, 0.00356428", \
-            "0.00379685, 0.00376752, 0.00374648, 0.00373424, 0.00372736, 0.00372497", \
-            "0.00398838, 0.00390623, 0.00384243, 0.00379802, 0.00377258, 0.00376132", \
-            "0.00427431, 0.00408674, 0.00394693, 0.00385864, 0.0038075, 0.0037742", \
-            "0.00485001, 0.00444998, 0.00416926, 0.00397813, 0.00386588, 0.00380409", \
-            "0.00539324, 0.00524574, 0.00459673, 0.00423302, 0.00400574, 0.00387657" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.0400863, 0.0534027, 0.0805047, 0.136259, 0.251596, 0.490676", \
-            "0.0462446, 0.0596297, 0.0868267, 0.142667, 0.25806, 0.49712", \
-            "0.0610803, 0.0744566, 0.101575, 0.157362, 0.272753, 0.511573", \
-            "0.087816, 0.106495, 0.136132, 0.191065, 0.306819, 0.545789", \
-            "0.127884, 0.155888, 0.200794, 0.268861, 0.383256, 0.621749", \
-            "0.193071, 0.23275, 0.298886, 0.401344, 0.552446, 0.792385" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.033716, 0.0528129, 0.0926815, 0.175425, 0.34701, 0.702817", \
-            "0.0339484, 0.052894, 0.0926891, 0.175419, 0.346995, 0.702817", \
-            "0.0387091, 0.054982, 0.0929027, 0.175427, 0.346993, 0.702817", \
-            "0.0575646, 0.0715989, 0.102064, 0.176833, 0.347011, 0.702727", \
-            "0.0891551, 0.110192, 0.143483, 0.203251, 0.352644, 0.702803", \
-            "0.137656, 0.170216, 0.220773, 0.295945, 0.419177, 0.720692" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.0379977, 0.0524141, 0.0816959, 0.141923, 0.266505, 0.524779", \
-            "0.041591, 0.0561785, 0.0856615, 0.14604, 0.270731, 0.529027", \
-            "0.0490789, 0.0645145, 0.0942828, 0.154928, 0.279878, 0.538305", \
-            "0.0583261, 0.078667, 0.112993, 0.174317, 0.300063, 0.558768", \
-            "0.063754, 0.0926576, 0.139617, 0.214936, 0.344342, 0.603817", \
-            "0.0547309, 0.0956227, 0.162258, 0.267172, 0.430247, 0.701906" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.0295984, 0.0485645, 0.0879034, 0.1696, 0.339025, 0.690159", \
-            "0.0296954, 0.0485499, 0.0879298, 0.169541, 0.338892, 0.690159", \
-            "0.0336049, 0.0504826, 0.0881424, 0.169606, 0.338861, 0.69016", \
-            "0.0464893, 0.0630997, 0.0959944, 0.17109, 0.338912, 0.690154", \
-            "0.0694701, 0.0899647, 0.126098, 0.193828, 0.345973, 0.690066", \
-            "0.109714, 0.136104, 0.182192, 0.260654, 0.402021, 0.710293" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0261583, 0.028015, 0.0320651, 0.0345136, 0.0368125, 0.0410552, 0.0444687, 0.0569816, 0.0623014, 0.070561, 0.0772506, 0.0855843, 0.0971354, 0.108055, 0.123272, 0.136565");
-            values ( \
-              "0.0210056, 0.120533, 0.135752, 0.138776, 0.139225, 0.137133, 0.133643, 0.117183, 0.104674, 0.0709939, 0.0502656, 0.0320802, 0.0167587, 0.00886457, 0.00359978, 0.00161382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00755185");
-            index_3 ("0.0261831, 0.0290753, 0.0314013, 0.034131, 0.0368736, 0.0405303, 0.0421469, 0.0493981, 0.0643974, 0.0734297, 0.0760075, 0.081163, 0.093285, 0.104369, 0.112111, 0.116466, 0.124406, 0.132885, 0.143207, 0.152652, 0.162907, 0.170837, 0.186699, 0.214065, 0.247092");
-            values ( \
-              "0.0190737, 0.169235, 0.181752, 0.189194, 0.191714, 0.191607, 0.190672, 0.184221, 0.16637, 0.153702, 0.149156, 0.136864, 0.0984938, 0.0699897, 0.0539024, 0.046486, 0.0350524, 0.025668, 0.0173833, 0.0120556, 0.008094, 0.0059299, 0.00311307, 0.000948149, 0.000215517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156663");
-            index_3 ("0.0261349, 0.0305146, 0.0343141, 0.0374213, 0.04035, 0.0514325, 0.0649454, 0.091981, 0.106917, 0.117406, 0.148861, 0.170868, 0.18736, 0.2106, 0.228213, 0.244353, 0.274978, 0.301641, 0.329549");
-            values ( \
-              "0.0439891, 0.213785, 0.229823, 0.234686, 0.235929, 0.230913, 0.220333, 0.196527, 0.180427, 0.164942, 0.104481, 0.0701271, 0.0506901, 0.0310906, 0.0211403, 0.0147174, 0.00731984, 0.00390664, 0.00226968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0324999");
-            index_3 ("0.0288307, 0.0288507, 0.0612396, 0.107515, 0.147785, 0.174365, 0.199888, 0.255706, 0.296477, 0.328953, 0.367435, 0.425202, 0.482489, 0.528772, 0.577111");
-            values ( \
-              "1e-22, 0.270991, 0.259986, 0.237656, 0.215917, 0.198123, 0.175259, 0.114943, 0.0780563, 0.0556102, 0.036206, 0.0183574, 0.00917781, 0.00514534, 0.00312139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0674211");
-            index_3 ("0.0314786, 0.0314986, 0.0943844, 0.171607, 0.235878, 0.263198, 0.315768, 0.366543, 0.476909, 0.556482, 0.622597, 0.668768, 0.69795, 0.747673, 0.804501, 0.854903, 0.931867, 1.01556, 1.09924, 1.18293, 1.35031");
-            values ( \
-              "1e-22, 0.31026, 0.27336, 0.253245, 0.235232, 0.226759, 0.207344, 0.182861, 0.120786, 0.0825769, 0.05821, 0.0449669, 0.0380114, 0.0284303, 0.0201635, 0.0148345, 0.0092159, 0.00543501, 0.00316972, 0.00187057, 0.000646972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.139865");
-            index_3 ("0.0341643, 0.0341843, 0.159261, 0.288962, 0.397716, 0.502425, 0.551095, 0.615844, 0.699533, 0.908752, 0.990486, 1.0564, 1.14008, 1.20411, 1.27549, 1.35917, 1.39858, 1.47738, 1.551, 1.63468, 1.70359, 1.78302, 1.86671, 1.95039, 2.03408, 2.20146, 2.45253, 2.70359");
-            values ( \
-              "1e-22, 0.320333, 0.280803, 0.263602, 0.248506, 0.232448, 0.223821, 0.210629, 0.1901, 0.131056, 0.109448, 0.093679, 0.0758251, 0.0640797, 0.052838, 0.041708, 0.0373439, 0.0296352, 0.0239174, 0.0185535, 0.0150698, 0.0118362, 0.00922435, 0.00706404, 0.0055188, 0.00329782, 0.00145593, 0.000718474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0426461, 0.0509316, 0.0525072, 0.0548541, 0.0567241, 0.0592466, 0.061626, 0.0658549, 0.0665614, 0.0679743, 0.0708002, 0.0717045, 0.0735131, 0.0771235, 0.0822283, 0.0830786, 0.0847791, 0.0926008, 0.0945514, 0.0978657, 0.102138, 0.103224, 0.105398, 0.109031, 0.113898, 0.115435, 0.11851, 0.124658, 0.129391, 0.131199, 0.134814, 0.142046, 0.153924, 0.170784");
-            values ( \
-              "0.0445133, 0.0997272, 0.119276, 0.130415, 0.129533, 0.136937, 0.131723, 0.133944, 0.128123, 0.131647, 0.12324, 0.127087, 0.119781, 0.11955, 0.102944, 0.103803, 0.0923249, 0.0668281, 0.0560686, 0.0513131, 0.0367174, 0.0390585, 0.0304217, 0.0289746, 0.0180492, 0.0208537, 0.013376, 0.0131413, 0.00604578, 0.00966587, 0.00380617, 0.00612831, 1e-22, 0.00249548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00755185");
-            index_3 ("0.0435173, 0.0536344, 0.0570991, 0.0597601, 0.0622022, 0.0658338, 0.0695075, 0.0761698, 0.0850434, 0.0935556, 0.0979286, 0.103006, 0.115094, 0.125088, 0.13246, 0.138719, 0.143544, 0.153005, 0.163691, 0.172983, 0.182651, 0.190065, 0.204893, 0.232, 0.264408");
-            values ( \
-              "0.0604921, 0.167127, 0.182472, 0.186737, 0.18776, 0.186596, 0.183705, 0.176647, 0.165681, 0.153635, 0.145468, 0.131596, 0.0939173, 0.0686347, 0.0535665, 0.0431595, 0.0363531, 0.0256878, 0.0171613, 0.0119534, 0.00820959, 0.00615547, 0.00336584, 0.00104932, 0.000235601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156663");
-            index_3 ("0.0446118, 0.054733, 0.0582165, 0.0605352, 0.0646599, 0.0686876, 0.0727122, 0.0852404, 0.097901, 0.112276, 0.127212, 0.13771, 0.169155, 0.176491, 0.191161, 0.207655, 0.216365, 0.230892, 0.248503, 0.264641, 0.281928, 0.295255, 0.321908, 0.368419, 0.424419");
-            values ( \
-              "0.0913133, 0.209716, 0.225722, 0.230321, 0.232971, 0.232079, 0.229795, 0.220294, 0.209658, 0.196527, 0.180428, 0.164927, 0.104484, 0.0919807, 0.0701315, 0.0506915, 0.0423364, 0.0310932, 0.0211432, 0.0147202, 0.00995838, 0.00732298, 0.0039095, 0.00117902, 0.000274196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0324999");
-            index_3 ("0.036495, 0.0636974, 0.0674419, 0.0778493, 0.129137, 0.166944, 0.184134, 0.19643, 0.219275, 0.275929, 0.316129, 0.349971, 0.373637, 0.391673, 0.412883, 0.441163, 0.46775, 0.508364, 0.562517, 0.646206, 0.729894");
-            values ( \
-              "0.0238862, 0.263078, 0.264364, 0.261619, 0.236999, 0.216588, 0.205785, 0.196807, 0.176323, 0.115048, 0.0785905, 0.0551952, 0.0424919, 0.0346355, 0.0270989, 0.0193558, 0.0140681, 0.00857318, 0.0043156, 0.00137824, 0.000450733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0674211");
-            index_3 ("0.0469951, 0.0576469, 0.0600474, 0.0638532, 0.0673426, 0.0707117, 0.0774197, 0.0922717, 0.206076, 0.231826, 0.281214, 0.31584, 0.339602, 0.380277, 0.483665, 0.511142, 0.547778, 0.595969, 0.6349, 0.664759, 0.712583, 0.726126, 0.753212, 0.807383, 0.855529, 0.877177, 0.920472, 1.00416, 1.08785, 1.17154, 1.25523, 1.4226");
-            values ( \
-              "0.189891, 0.265995, 0.274461, 0.280887, 0.282965, 0.283395, 0.282675, 0.279101, 0.249436, 0.242302, 0.227516, 0.215482, 0.205866, 0.186382, 0.128128, 0.113508, 0.0955404, 0.0748363, 0.0608582, 0.0515985, 0.0393074, 0.0363282, 0.0310235, 0.0224102, 0.0167415, 0.0146515, 0.0112377, 0.00660401, 0.00389031, 0.00226172, 0.0013387, 0.000465354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.139865");
-            index_3 ("0.0545594, 0.0545794, 0.17519, 0.417921, 0.49829, 0.571308, 0.636049, 0.719737, 0.956089, 1.07639, 1.16008, 1.22473, 1.29594, 1.37963, 1.49709, 1.57088, 1.72378, 1.88734, 2.05472, 2.2221, 2.47316, 2.72423");
-            values ( \
-              "1e-22, 0.322124, 0.281341, 0.248483, 0.236379, 0.223842, 0.210606, 0.190123, 0.123723, 0.0937039, 0.0758898, 0.0640322, 0.0527779, 0.0417014, 0.0297025, 0.0239174, 0.0150945, 0.00918862, 0.00548787, 0.00326979, 0.00147767, 0.000693775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0771353, 0.0898609, 0.0946316, 0.0971015, 0.101218, 0.104511, 0.105809, 0.107974, 0.109705, 0.111767, 0.112225, 0.112683, 0.113202, 0.113721, 0.114615, 0.115211, 0.115806, 0.116104, 0.117125, 0.118108, 0.11875, 0.120034, 0.120781, 0.121528, 0.122276, 0.123023, 0.124266, 0.125509, 0.126753, 0.127996, 0.129017, 0.132083, 0.135148, 0.139301, 0.140815, 0.142328, 0.144984, 0.147641, 0.151233, 0.152782, 0.154401, 0.15602, 0.159203, 0.161239, 0.163189, 0.165139, 0.16709, 0.1706, 0.174111, 0.177253");
-            values ( \
-              "0.0574754, 0.0601477, 0.0717864, 0.0776349, 0.0871013, 0.094463, 0.0972752, 0.101636, 0.104916, 0.108526, 0.110629, 0.113464, 0.113985, 0.114669, 0.116369, 0.1173, 0.118068, 0.118391, 0.118471, 0.118396, 0.118266, 0.117814, 0.117206, 0.116466, 0.115593, 0.114588, 0.112622, 0.11029, 0.107591, 0.104525, 0.101188, 0.0885242, 0.0762788, 0.0615814, 0.0570701, 0.0528144, 0.0459605, 0.0398946, 0.032717, 0.0299584, 0.027479, 0.0251575, 0.0210946, 0.0186907, 0.0165329, 0.0148305, 0.0132733, 0.0108801, 0.00887041, 0.00737397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00755185");
-            index_3 ("0.0792361, 0.0936529, 0.103527, 0.107234, 0.111122, 0.112694, 0.113286, 0.113879, 0.115293, 0.11636, 0.117071, 0.117782, 0.118493, 0.119204, 0.120726, 0.121486, 0.12282, 0.123967, 0.125113, 0.12626, 0.127406, 0.129699, 0.132873, 0.136927, 0.139568, 0.140592, 0.141821, 0.14346, 0.145098, 0.147901, 0.148835, 0.152352, 0.15587, 0.162389, 0.165351, 0.169607, 0.17285, 0.174674, 0.17875, 0.184407, 0.186742, 0.189078, 0.192859, 0.198822, 0.202095, 0.203186, 0.20755, 0.211914, 0.217582, 0.222938");
-            values ( \
-              "0.0849787, 0.0949586, 0.128708, 0.140687, 0.152513, 0.162546, 0.163338, 0.164627, 0.16919, 0.171702, 0.17246, 0.17306, 0.1735, 0.173781, 0.173621, 0.173437, 0.172948, 0.172135, 0.17115, 0.170074, 0.168825, 0.166215, 0.162359, 0.157021, 0.153252, 0.151493, 0.149126, 0.145543, 0.14156, 0.133708, 0.130986, 0.119672, 0.10879, 0.0895978, 0.0813803, 0.0713057, 0.0642471, 0.0605088, 0.052757, 0.0430146, 0.039692, 0.036563, 0.0320257, 0.0254214, 0.0223667, 0.0214335, 0.0182779, 0.0154987, 0.0125112, 0.00994137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156663");
-            index_3 ("0.0824198, 0.0970044, 0.103522, 0.105846, 0.110493, 0.112817, 0.114059, 0.115301, 0.11681, 0.118319, 0.121035, 0.123509, 0.125077, 0.128213, 0.130328, 0.131033, 0.135262, 0.140432, 0.147515, 0.157479, 0.159294, 0.161108, 0.166551, 0.169799, 0.173047, 0.176294, 0.179542, 0.18279, 0.187002, 0.189894, 0.200491, 0.209138, 0.215643, 0.21998, 0.224316, 0.228136, 0.235776, 0.239596, 0.250427, 0.257856, 0.265078, 0.268689, 0.2723, 0.278215, 0.282955, 0.287696, 0.292843, 0.29799, 0.308733, 0.317834");
-            values ( \
-              "0.129048, 0.129555, 0.157699, 0.169035, 0.194073, 0.207774, 0.209598, 0.212057, 0.215901, 0.220685, 0.221392, 0.221671, 0.221668, 0.22124, 0.220164, 0.219749, 0.216536, 0.212286, 0.206086, 0.196695, 0.195328, 0.193533, 0.187776, 0.183849, 0.179642, 0.175156, 0.17039, 0.165345, 0.158301, 0.152967, 0.131725, 0.11497, 0.102663, 0.0953677, 0.0884143, 0.0825725, 0.0716854, 0.0666401, 0.0534571, 0.0461195, 0.0397482, 0.0368442, 0.0341279, 0.0300242, 0.026925, 0.0241491, 0.021639, 0.0193369, 0.0152798, 0.0121895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0324999");
-            index_3 ("0.0563098, 0.133496, 0.162769, 0.200284, 0.213705, 0.240342, 0.262213, 0.321555, 0.36193, 0.395355, 0.418725, 0.43725, 0.459042, 0.488097, 0.513458, 0.552278, 0.604038, 0.687726, 0.771415");
-            values ( \
-              "0.00856777, 0.256753, 0.24296, 0.223582, 0.215918, 0.198078, 0.178883, 0.11504, 0.0784525, 0.0553416, 0.0427197, 0.0346294, 0.0269081, 0.0190604, 0.0140613, 0.00876656, 0.00454242, 0.001466, 0.000464004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0674211");
-            index_3 ("0.08065, 0.0987692, 0.115869, 0.122309, 0.126588, 0.132189, 0.148239, 0.248466, 0.326615, 0.3625, 0.385092, 0.426019, 0.529143, 0.594067, 0.641649, 0.679502, 0.729972, 0.77051, 0.822007, 0.890398, 0.97029, 1.03497, 1.11866, 1.20235, 1.45341");
-            values ( \
-              "0.103559, 0.164493, 0.262848, 0.278028, 0.28011, 0.280042, 0.27644, 0.250286, 0.22755, 0.215037, 0.205864, 0.186251, 0.128134, 0.095167, 0.0747637, 0.0611129, 0.0461525, 0.0365756, 0.0270202, 0.0177973, 0.0109075, 0.00729749, 0.00426242, 0.00251729, 0.000488856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.139865");
-            index_3 ("0.0817015, 0.117791, 0.130088, 0.181323, 0.42333, 0.543883, 0.616902, 0.681642, 0.765331, 1.00175, 1.12212, 1.27006, 1.34137, 1.46437, 1.543, 1.70036, 1.7959, 1.93265, 2.10002, 2.2674, 2.35934");
-            values ( \
-              "0.102848, 0.280099, 0.292358, 0.286501, 0.254175, 0.236381, 0.223844, 0.210604, 0.190125, 0.123702, 0.0936715, 0.0640801, 0.0527998, 0.0373188, 0.0296771, 0.018586, 0.0139546, 0.00919477, 0.00549077, 0.00327072, 0.00279863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124514, 0.139052, 0.147379, 0.151854, 0.164256, 0.180401, 0.20305, 0.220735, 0.228776, 0.236777, 0.248929, 0.251995, 0.264819, 0.273469, 0.281809, 0.286694, 0.296463, 0.31056");
-            values ( \
-              "0.00693733, 0.0111049, 0.0165213, 0.0202535, 0.0328936, 0.0481105, 0.0674264, 0.080966, 0.082486, 0.0622583, 0.0370982, 0.0343008, 0.0190269, 0.0117511, 0.00724893, 0.0054411, 0.00302838, 0.00136686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00755185");
-            index_3 ("0.133936, 0.154833, 0.18617, 0.211278, 0.219761, 0.23095, 0.241406, 0.248902, 0.25183, 0.274663, 0.283544, 0.292581, 0.302043, 0.315196, 0.322712, 0.326158, 0.333049, 0.346833, 0.39983, 0.436252");
-            values ( \
-              "0.0210126, 0.031925, 0.0744377, 0.106521, 0.116076, 0.126698, 0.133275, 0.129806, 0.126134, 0.0669193, 0.0495156, 0.0358514, 0.0251767, 0.0150725, 0.0121649, 0.00968026, 0.00830625, 0.00396204, 1e-22, 0.000613001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156663");
-            index_3 ("0.129098, 0.15168, 0.159931, 0.169144, 0.211668, 0.230242, 0.251565, 0.255678, 0.258136, 0.260576, 0.265456, 0.274263, 0.283118, 0.29236, 0.313806, 0.324944, 0.339794, 0.345918, 0.35563, 0.36446, 0.379201, 0.385543, 0.396917, 0.413008, 0.423529, 0.443275, 0.469602, 0.515291, 0.571023");
-            values ( \
-              "0.0147223, 0.0352559, 0.0473122, 0.0623801, 0.136608, 0.166828, 0.19541, 0.197659, 0.196893, 0.195449, 0.19137, 0.181996, 0.169814, 0.1532, 0.110986, 0.0917168, 0.0697568, 0.0618946, 0.0510754, 0.0425044, 0.031074, 0.027151, 0.0210749, 0.0147255, 0.011568, 0.00735946, 0.00398287, 0.00121526, 0.000301338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0324999");
-            index_3 ("0.136458, 0.163, 0.239269, 0.253228, 0.258773, 0.264228, 0.275138, 0.298108, 0.31513, 0.341652, 0.368052, 0.423472, 0.466766, 0.493143, 0.510339, 0.539048, 0.572743, 0.602585, 0.633413, 0.657002, 0.70418, 0.787869, 0.871557");
-            values ( \
-              "0.028538, 0.0596423, 0.214663, 0.239627, 0.243554, 0.242382, 0.237589, 0.225529, 0.215935, 0.198152, 0.174412, 0.114523, 0.0757512, 0.0575306, 0.0476937, 0.0344913, 0.0232883, 0.0162733, 0.0112236, 0.00841537, 0.00464548, 0.00149217, 0.000479302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0674211");
-            index_3 ("0.152493, 0.178938, 0.248845, 0.253911, 0.261456, 0.33081, 0.402564, 0.4313, 0.483824, 0.535311, 0.645349, 0.726941, 0.788196, 0.82039, 0.862617, 0.896584, 0.938728, 0.998082, 1.06087, 1.10914, 1.19283, 1.27652, 1.35067");
-            values ( \
-              "0.0886801, 0.0949743, 0.256846, 0.26644, 0.2728, 0.255637, 0.235642, 0.226772, 0.207353, 0.1825, 0.120609, 0.0815859, 0.0590118, 0.0493862, 0.0387767, 0.031819, 0.0247877, 0.017257, 0.0117529, 0.00872524, 0.00511392, 0.00301084, 0.00229674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.139865");
-            index_3 ("0.152821, 0.19943, 0.253536, 0.260336, 0.266235, 0.278034, 0.418456, 0.595305, 0.670079, 0.759752, 0.831168, 0.906455, 1.12372, 1.18777, 1.27146, 1.32841, 1.38113, 1.45053, 1.53422, 1.56635, 1.6306, 1.70931, 1.793, 1.90172, 2.04735, 2.21473, 2.38211, 2.63317, 2.88424");
-            values ( \
-              "0.0692923, 0.146865, 0.280809, 0.288101, 0.288352, 0.287245, 0.268706, 0.244123, 0.232434, 0.215742, 0.199381, 0.17937, 0.118328, 0.10215, 0.0832159, 0.0718932, 0.0625262, 0.0517307, 0.0408777, 0.0372923, 0.0309622, 0.0245535, 0.0191243, 0.0137767, 0.00882695, 0.00526459, 0.00313052, 0.00143519, 0.000653152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.281008, 0.31801, 0.325903, 0.330883, 0.335864, 0.340844, 0.345825, 0.352488, 0.358119, 0.363751, 0.367799, 0.371848, 0.380119, 0.388391, 0.40318, 0.406542, 0.409904, 0.413266, 0.416629, 0.419697, 0.422766, 0.425834, 0.428903, 0.431973, 0.435042, 0.438112, 0.441182, 0.441628, 0.442521, 0.446985, 0.449663, 0.451448, 0.455019, 0.461029, 0.462848, 0.465577, 0.469215, 0.472854, 0.476998, 0.47975, 0.481751, 0.483752, 0.485753, 0.487755, 0.489756, 0.491757, 0.495469, 0.497325, 0.500204, 0.503272");
-            values ( \
-              "0.0128264, 0.0154806, 0.0187674, 0.0209335, 0.0231708, 0.0254795, 0.0278595, 0.0304509, 0.0325554, 0.0345813, 0.0359358, 0.0372441, 0.039653, 0.04211, 0.0466231, 0.0482279, 0.0500471, 0.0520807, 0.0543287, 0.0541965, 0.0538405, 0.0532607, 0.052457, 0.0514291, 0.0501773, 0.0487015, 0.0470018, 0.0466239, 0.0455261, 0.039533, 0.0362314, 0.0341761, 0.0303477, 0.0245661, 0.0229211, 0.0206757, 0.0180314, 0.0156416, 0.0132224, 0.0116719, 0.0106589, 0.00972915, 0.00893679, 0.00819135, 0.00749281, 0.00684119, 0.00580015, 0.00530759, 0.00458049, 0.0038549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00755185");
-            index_3 ("0.215037, 0.275631, 0.280412, 0.289974, 0.306126, 0.311598, 0.322541, 0.334183, 0.345941, 0.360848, 0.36973, 0.383498, 0.39337, 0.41349, 0.447806, 0.448231, 0.451631, 0.455516, 0.463265, 0.47456, 0.48898, 0.500073, 0.508949, 0.514933, 0.524366, 0.527223, 0.532937, 0.544365, 0.550592, 0.554159, 0.558077, 0.562625, 0.571721, 0.582586, 0.597939");
-            values ( \
-              "0.00129812, 0.00744994, 0.00851241, 0.0109792, 0.0162437, 0.0185197, 0.0239655, 0.0310992, 0.0385699, 0.0470762, 0.0516954, 0.0585539, 0.0632061, 0.0721297, 0.0860934, 0.0864511, 0.0880151, 0.089347, 0.0890139, 0.0790737, 0.0592391, 0.0453774, 0.0359293, 0.0302607, 0.0226844, 0.0207172, 0.0172035, 0.0116086, 0.00932867, 0.00941403, 0.00901938, 0.00815023, 0.0060238, 0.00396783, 0.00219172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156663");
-            index_3 ("0.219622, 0.275707, 0.288096, 0.293853, 0.305368, 0.327576, 0.35275, 0.358547, 0.370142, 0.393331, 0.408491, 0.42837, 0.453765, 0.476425, 0.497441, 0.517381, 0.523054, 0.529538, 0.550668, 0.557714, 0.576899, 0.58288, 0.592339, 0.604924, 0.608714, 0.616294, 0.631453, 0.644139, 0.649889, 0.66139, 0.68439, 0.706807");
-            values ( \
-              "0.00252582, 0.0108541, 0.0148242, 0.0169688, 0.0218219, 0.034235, 0.0526303, 0.0570523, 0.0651697, 0.0809433, 0.0909651, 0.103704, 0.118787, 0.130136, 0.13798, 0.134281, 0.127928, 0.11994, 0.0914269, 0.0840128, 0.0600321, 0.0533234, 0.0439544, 0.0336944, 0.0310804, 0.0263661, 0.0188452, 0.0141646, 0.0124516, 0.00957725, 0.00560632, 0.00367337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0324999");
-            index_3 ("0.2752, 0.331257, 0.481087, 0.514135, 0.544, 0.550161, 0.555905, 0.564209, 0.583186, 0.597066, 0.652626, 0.695029, 0.723563, 0.740361, 0.768368, 0.8017, 0.831693, 0.863085, 0.887169, 0.935338, 0.997018");
-            values ( \
-              "0.0232177, 0.043442, 0.165892, 0.188594, 0.203167, 0.204954, 0.205586, 0.20293, 0.188139, 0.174727, 0.114667, 0.0765553, 0.0568409, 0.0473281, 0.0344965, 0.0233933, 0.0163074, 0.0111694, 0.00833206, 0.00453067, 0.00226885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0674211");
-            index_3 ("0.275145, 0.337547, 0.361802, 0.386907, 0.505852, 0.550106, 0.557864, 0.562694, 0.572354, 0.583454, 0.619647, 0.645798, 0.683583, 0.714387, 0.75591, 0.871887, 0.898067, 0.950427, 0.983081, 1.01901, 1.06692, 1.10032, 1.13951, 1.19176, 1.23476, 1.24931, 1.27842, 1.33663, 1.42032, 1.50401, 1.5877, 1.75508");
-            values ( \
-              "0.0251009, 0.0527373, 0.0730398, 0.0957513, 0.210608, 0.248912, 0.25337, 0.253632, 0.251764, 0.248737, 0.238589, 0.230751, 0.218098, 0.205872, 0.185962, 0.120902, 0.107363, 0.0831105, 0.0701794, 0.0578278, 0.0441836, 0.0364627, 0.0289779, 0.0211774, 0.0162951, 0.014921, 0.0124725, 0.00868887, 0.00509922, 0.00299544, 0.00174519, 0.000594635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.139865");
-            index_3 ("0.27577, 0.37351, 0.550731, 0.564635, 0.582548, 0.600423, 0.694325, 0.843177, 0.897615, 0.981303, 1.0701, 1.33063, 1.45052, 1.53421, 1.60148, 1.67203, 1.79381, 1.87001, 1.94453, 2.02822, 2.12599, 2.26421, 2.43159, 2.59896, 2.72666");
-            values ( \
-              "0.0167511, 0.0862906, 0.271991, 0.277229, 0.277807, 0.274507, 0.262264, 0.241034, 0.232449, 0.216961, 0.196509, 0.123851, 0.0939089, 0.0760588, 0.0637292, 0.0526273, 0.0373239, 0.0298811, 0.0240201, 0.0186663, 0.0139351, 0.00914286, 0.00546275, 0.0032572, 0.00234476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.437532, 0.44768, 0.528017, 0.558488, 0.589061, 0.608353, 0.62921, 0.668745, 0.690826, 0.712985, 0.740408, 0.752681, 0.778245, 0.801182, 0.821988, 0.835274, 0.84102, 0.850241, 0.859822, 0.88956, 0.899338, 0.91024, 0.929498, 0.936817, 0.949191, 0.96569, 0.996272, 1.03258, 1.07891, 1.21602, 1.24171, 1.27793");
-            values ( \
-              "0.00023464, 0.000266351, 0.00120513, 0.00191194, 0.00281484, 0.0035761, 0.00471797, 0.00848675, 0.0124808, 0.0170939, 0.0220708, 0.0239418, 0.0273365, 0.0298477, 0.0328176, 0.0353853, 0.0357168, 0.0353157, 0.0330597, 0.0170779, 0.012974, 0.00931752, 0.00488148, 0.00377788, 0.00240339, 0.00128154, 0.000333949, 0.000100938, 3.75382e-05, 1.23613e-05, 0.000363663, 0.000124997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00755185");
-            index_3 ("0.528028, 0.603091, 0.641845, 0.683425, 0.744828, 0.781676, 0.812876, 0.866757, 0.878738, 0.890813, 0.907334, 0.915109, 0.949305, 0.975658, 1.00064, 1.01952, 1.03241, 1.0582, 1.09824, 1.10787");
-            values ( \
-              "0.00459496, 0.00581985, 0.00930882, 0.0162193, 0.0329533, 0.0408858, 0.0463697, 0.0546033, 0.0570374, 0.0581167, 0.0556763, 0.0521371, 0.0303428, 0.0171747, 0.00912945, 0.00542234, 0.0037371, 0.00166888, 0.000418659, 0.000388034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156663");
-            index_3 ("0.527901, 0.596846, 0.63144, 0.655441, 0.680254, 0.783888, 0.830952, 0.871061, 0.940264, 0.952673, 0.971636, 0.994582, 1.05257, 1.08389, 1.1251, 1.14947, 1.19898, 1.21605, 1.23069, 1.24637");
-            values ( \
-              "0.00788851, 0.0084821, 0.0124883, 0.0162664, 0.0215228, 0.0539615, 0.0659389, 0.0748629, 0.088047, 0.0900785, 0.0909601, 0.0835414, 0.0451266, 0.0280488, 0.0134295, 0.00831993, 0.00289921, 0.00204529, 0.00247814, 0.00205589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0324999");
-            index_3 ("0.527596, 0.624472, 0.657178, 0.706945, 0.794461, 0.909562, 0.962244, 1.00929, 1.05295, 1.09467, 1.10288, 1.12648, 1.21579, 1.26823, 1.31, 1.34874, 1.37081, 1.41495, 1.4961, 1.50789");
-            values ( \
-              "0.00822808, 0.0159372, 0.0220779, 0.0353929, 0.0670887, 0.103727, 0.118693, 0.130005, 0.137701, 0.135538, 0.132156, 0.119592, 0.0613142, 0.0358274, 0.0220503, 0.0138589, 0.0106053, 0.00611585, 0.00205371, 0.00185772" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0674211");
-            index_3 ("0.608586, 0.714472, 0.945698, 1.0282, 1.09802, 1.16089, 1.21626, 1.22083, 1.22998, 1.24827, 1.37819, 1.40427, 1.45641, 1.48943, 1.52577, 1.57421, 1.61942, 1.64484, 1.68322, 1.73724, 1.80091, 1.8846, 1.96828, 2.05197, 2.13566, 2.30304");
-            values ( \
-              "0.0349594, 0.0430162, 0.131845, 0.162584, 0.185393, 0.200653, 0.204454, 0.204125, 0.201629, 0.193149, 0.120918, 0.107455, 0.0832559, 0.0701679, 0.0576874, 0.0439651, 0.0338493, 0.0291706, 0.0231772, 0.0167008, 0.0113, 0.00664071, 0.00391234, 0.00227433, 0.0013464, 0.000468094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.139865");
-            index_3 ("0.607709, 0.723202, 0.829942, 1.15245, 1.21538, 1.23104, 1.25112, 1.39997, 1.51358, 1.64278, 1.88637, 2.03661, 2.17443, 2.29622, 2.37242, 2.53063, 2.62839, 2.76661, 2.93399, 3.10136, 3.21612");
-            values ( \
-              "0.0371152, 0.0500982, 0.0922912, 0.232436, 0.255641, 0.256635, 0.255062, 0.232454, 0.210599, 0.177636, 0.109914, 0.0760657, 0.0526216, 0.0373186, 0.0298864, 0.0186714, 0.0139314, 0.00913944, 0.00545939, 0.00325393, 0.00266863" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00252995, 0.00255031, 0.00256682, 0.00257692, 0.00258293, 0.0025861", \
-            "0.0032201, 0.00324782, 0.00327421, 0.00329337, 0.00330474, 0.00331092", \
-            "0.00368494, 0.00370285, 0.00372507, 0.00374486, 0.00375827, 0.00376595", \
-            "0.00397905, 0.00398405, 0.00399317, 0.00400482, 0.00401503, 0.0040218", \
-            "0.00420505, 0.0041717, 0.00414063, 0.0041205, 0.00411195, 0.00410985", \
-            "0.00433724, 0.00430279, 0.0042679, 0.0042267, 0.00419001, 0.00416743" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00401338, 0.00406355, 0.00410491, 0.0041291, 0.00414286, 0.00414998", \
-            "0.00425684, 0.00425655, 0.00426184, 0.00426395, 0.00426254, 0.0042602", \
-            "0.00422641, 0.00411513, 0.00402435, 0.00396062, 0.00392382, 0.00390147", \
-            "0.00445198, 0.00412016, 0.00390448, 0.00376928, 0.00368858, 0.00364895", \
-            "0.00465099, 0.00457738, 0.00413974, 0.00384636, 0.00369682, 0.00361683", \
-            "0.00447685, 0.00453417, 0.00455703, 0.0042097, 0.00382461, 0.00366424" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0272776, 0.02867, 0.0321991, 0.0402753, 0.0450851, 0.0483793, 0.0517225, 0.0588789, 0.0717663, 0.0786126, 0.0880343, 0.0986496, 0.107954, 0.116038");
-            values ( \
-              "-0.0438672, -0.144639, -0.151282, -0.153134, -0.151171, -0.148012, -0.141728, -0.115829, -0.060248, -0.0395068, -0.0209556, -0.00974858, -0.00491724, -0.00354238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00755185");
-            index_3 ("0.0272642, 0.0297312, 0.0336491, 0.0388889, 0.0494652, 0.0565994, 0.0671132, 0.0715768, 0.0793739, 0.095335, 0.10627, 0.117193, 0.131413, 0.149771, 0.172853, 0.173884");
-            values ( \
-              "-0.0322301, -0.188654, -0.193137, -0.1939, -0.192073, -0.18899, -0.178668, -0.170278, -0.147803, -0.0924004, -0.061787, -0.0396742, -0.0214763, -0.00937951, -0.00314251, -0.00308577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0156663");
-            index_3 ("0.0273218, 0.0312961, 0.0332309, 0.0382653, 0.0540985, 0.0669095, 0.0799128, 0.0929769, 0.101108, 0.107192, 0.11936, 0.145552, 0.16639, 0.186384, 0.197187, 0.206564, 0.216436, 0.236648, 0.248904, 0.273417, 0.305472");
-            values ( \
-              "-0.0635574, -0.22085, -0.222631, -0.223412, -0.221591, -0.218883, -0.214888, -0.208542, -0.201815, -0.19509, -0.174602, -0.116245, -0.0764618, -0.0488229, -0.0377849, -0.0301131, -0.0235975, -0.0141644, -0.0103451, -0.00539734, -0.00243145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0324999");
-            index_3 ("0.0288755, 0.0320349, 0.0369457, 0.0776577, 0.102424, 0.127606, 0.15342, 0.166194, 0.180384, 0.207753, 0.263357, 0.299804, 0.331067, 0.352486, 0.371788, 0.397524, 0.41896, 0.44254, 0.47398, 0.53686, 0.572482");
-            values ( \
-              "-0.232583, -0.23978, -0.241633, -0.237997, -0.234618, -0.230052, -0.222988, -0.217904, -0.209872, -0.184164, -0.114876, -0.0775602, -0.0537102, -0.0411525, -0.0322378, -0.023112, -0.0173873, -0.0127138, -0.00833672, -0.00335141, -0.00231431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0674211");
-            index_3 ("0.0289366, 0.0324506, 0.0386679, 0.126258, 0.17583, 0.226281, 0.277999, 0.304127, 0.331948, 0.386691, 0.49786, 0.572128, 0.627391, 0.673228, 0.716424, 0.774018, 0.810235, 0.85107, 0.905516, 0.986483, 1.06745, 1.14842, 1.31035");
-            values ( \
-              "-0.242478, -0.250083, -0.251763, -0.246803, -0.243025, -0.23815, -0.230978, -0.22576, -0.217908, -0.191591, -0.119314, -0.0797535, -0.0576263, -0.0432725, -0.0328792, -0.0226196, -0.0177188, -0.0134951, -0.00940848, -0.00530451, -0.00308519, -0.00168483, -0.000513263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.139865");
-            index_3 ("0.0289889, 0.0325639, 0.0351977, 0.0428374, 0.227018, 0.328051, 0.430919, 0.511887, 0.536398, 0.58542, 0.646323, 0.727291, 0.767272, 0.989231, 1.0513, 1.13151, 1.1884, 1.2548, 1.33576, 1.36939, 1.43665, 1.50661, 1.58758, 1.67624, 1.80731, 1.96925, 2.13118, 2.29312, 2.53602");
-            values ( \
-              "-0.247585, -0.255284, -0.256545, -0.257071, -0.251261, -0.247277, -0.24225, -0.237043, -0.235021, -0.230325, -0.222003, -0.204201, -0.192254, -0.120086, -0.10225, -0.0821467, -0.0698241, -0.0573144, -0.0448442, -0.040339, -0.0327183, -0.0261021, -0.0201482, -0.0150276, -0.00973512, -0.00565694, -0.00327087, -0.00188198, -0.0008762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0426479, 0.0446225, 0.0464389, 0.0509037, 0.0529335, 0.0559145, 0.0589908, 0.0626068, 0.0669412, 0.0716661, 0.0761949, 0.0855815, 0.0928494, 0.0997629, 0.105452, 0.108479, 0.114161, 0.12076, 0.126072, 0.136695, 0.144254");
-            values ( \
-              "-0.0309647, -0.102357, -0.113987, -0.132495, -0.144044, -0.150612, -0.152023, -0.150942, -0.146663, -0.13501, -0.11681, -0.0744369, -0.0485562, -0.0310608, -0.0210696, -0.0170366, -0.0113269, -0.00703106, -0.0047438, -0.00208782, -0.00134371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00755185");
-            index_3 ("0.0421528, 0.0490196, 0.0509396, 0.0518175, 0.0541279, 0.0563891, 0.0583087, 0.0601656, 0.0636872, 0.0672055, 0.0735749, 0.0758886, 0.0816524, 0.0875021, 0.0929956, 0.112697, 0.117215, 0.123835, 0.129127, 0.133209, 0.139588, 0.145006, 0.150505, 0.158374, 0.161775, 0.168579, 0.182185, 0.204385, 0.230671");
-            values ( \
-              "-0.0382928, -0.159886, -0.167444, -0.175892, -0.186373, -0.191216, -0.192642, -0.193056, -0.192828, -0.191983, -0.18947, -0.188056, -0.182968, -0.17415, -0.161049, -0.0935648, -0.079802, -0.0621691, -0.0504235, -0.0426448, -0.0325638, -0.0257713, -0.0202183, -0.014193, -0.0121676, -0.00892254, -0.00468146, -0.00151189, -0.000363346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0156663");
-            index_3 ("0.0441419, 0.0484617, 0.0540501, 0.0563268, 0.0610147, 0.0698851, 0.0847907, 0.100196, 0.108417, 0.116434, 0.125071, 0.139209, 0.167923, 0.187264, 0.203792, 0.214917, 0.224862, 0.238121, 0.249504, 0.264837, 0.285281, 0.324057, 0.369251");
-            values ( \
-              "-0.0821502, -0.179379, -0.214285, -0.220116, -0.222631, -0.22189, -0.218861, -0.213932, -0.209899, -0.204146, -0.195088, -0.170518, -0.106873, -0.0717463, -0.0494248, -0.0379557, -0.0298342, -0.0214614, -0.0160967, -0.0108927, -0.00637971, -0.00211187, -0.000554803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0324999");
-            index_3 ("0.0437336, 0.0517277, 0.0546411, 0.0561182, 0.0587126, 0.0592455, 0.0603114, 0.0624431, 0.0652733, 0.0702648, 0.0713885, 0.0736357, 0.0781302, 0.0842721, 0.0958422, 0.114583, 0.120608, 0.132658, 0.14579, 0.171091, 0.171609, 0.178863, 0.187153, 0.198574, 0.221416, 0.271859, 0.28452, 0.309842, 0.32753, 0.352044, 0.371708, 0.387772, 0.407113, 0.434414, 0.463059, 0.485041, 0.529003, 0.608896, 0.689864");
-            values ( \
-              "-0.0723709, -0.220647, -0.236623, -0.234832, -0.243155, -0.237909, -0.243558, -0.238419, -0.243717, -0.238053, -0.243247, -0.237769, -0.242579, -0.23669, -0.24061, -0.232897, -0.237207, -0.230003, -0.232661, -0.220725, -0.223081, -0.220275, -0.216516, -0.209912, -0.189279, -0.126313, -0.111436, -0.0849637, -0.0694579, -0.0518348, -0.0406263, -0.0331662, -0.0258348, -0.0180398, -0.0123476, -0.00917673, -0.0050033, -0.00148027, -0.000439545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0674211");
-            index_3 ("0.0472028, 0.0591862, 0.0622339, 0.0662755, 0.12492, 0.183684, 0.244528, 0.295191, 0.3027, 0.328446, 0.350214, 0.393749, 0.409693, 0.495371, 0.518736, 0.565466, 0.59755, 0.632977, 0.680213, 0.705433, 0.734992, 0.771944, 0.82326, 0.844514, 0.887021, 0.967988, 1.04896, 1.12992, 1.29186");
-            values ( \
-              "-0.250596, -0.250636, -0.25138, -0.251431, -0.248097, -0.24388, -0.238153, -0.231247, -0.229838, -0.224275, -0.217922, -0.198111, -0.188507, -0.132121, -0.117733, -0.091747, -0.0765099, -0.0620922, -0.0464579, -0.0396572, -0.0328511, -0.025831, -0.0184098, -0.0159726, -0.0120273, -0.00686927, -0.0039277, -0.00221769, -0.000707416" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.139865");
-            index_3 ("0.0457647, 0.0549372, 0.0578302, 0.0603017, 0.066771, 0.24511, 0.346143, 0.44901, 0.554489, 0.603512, 0.664415, 0.745383, 0.785363, 1.00732, 1.06939, 1.1496, 1.20649, 1.27289, 1.35385, 1.38748, 1.45474, 1.5247, 1.60567, 1.69432, 1.8254, 1.98733, 2.14927, 2.3112, 2.55411");
-            values ( \
-              "-0.186296, -0.249895, -0.25536, -0.256442, -0.256871, -0.251275, -0.247291, -0.242264, -0.235035, -0.230312, -0.222015, -0.20419, -0.192264, -0.120078, -0.102259, -0.0821383, -0.069816, -0.0573227, -0.0448362, -0.0403473, -0.0327106, -0.0261103, -0.0201405, -0.0150354, -0.00974278, -0.00566448, -0.00327833, -0.00188937, -0.000868917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0698448, 0.078038, 0.0822456, 0.0891348, 0.0950137, 0.10035, 0.105419, 0.110489, 0.113684, 0.130748, 0.139894, 0.146862, 0.151888, 0.157805, 0.16981, 0.172971");
-            values ( \
-              "-0.00970502, -0.0703317, -0.0855972, -0.104779, -0.118178, -0.127621, -0.131002, -0.125745, -0.119555, -0.0523154, -0.028992, -0.0179376, -0.0125263, -0.00817715, -0.0033386, -0.00282904" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00755185");
-            index_3 ("0.073875, 0.0777145, 0.081994, 0.0936366, 0.0974179, 0.105728, 0.110212, 0.112606, 0.113422, 0.115053, 0.116689, 0.118736, 0.120804, 0.124517, 0.128497, 0.136313, 0.137118, 0.138727, 0.141945, 0.147207, 0.147941, 0.14941, 0.152348, 0.15711, 0.163209, 0.164748, 0.167826, 0.172497, 0.178726, 0.18058, 0.184288, 0.191704, 0.198105, 0.200981, 0.206732, 0.218234, 0.237298, 0.262476");
-            values ( \
-              "-0.0579808, -0.0839837, -0.106512, -0.145119, -0.155162, -0.172095, -0.177697, -0.179308, -0.18375, -0.182798, -0.186291, -0.182948, -0.183974, -0.176418, -0.171669, -0.146345, -0.146259, -0.13787, -0.128986, -0.107741, -0.107896, -0.100335, -0.0934125, -0.0764721, -0.0630423, -0.0568443, -0.0527198, -0.0411838, -0.0339187, -0.0288613, -0.0269413, -0.0171925, -0.0151211, -0.0108932, -0.0106271, -0.00419736, -0.00339406, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0156663");
-            index_3 ("0.0734615, 0.0814969, 0.0879266, 0.0983312, 0.104434, 0.115821, 0.120311, 0.132294, 0.147324, 0.157231, 0.164579, 0.178755, 0.207625, 0.226814, 0.243244, 0.254399, 0.26441, 0.277758, 0.289048, 0.30425, 0.324519, 0.363056");
-            values ( \
-              "-0.0250635, -0.115459, -0.14781, -0.180346, -0.195592, -0.216945, -0.219275, -0.216596, -0.210325, -0.203057, -0.195047, -0.17046, -0.106474, -0.0716793, -0.0494885, -0.0379794, -0.0298051, -0.0213902, -0.0160823, -0.0109202, -0.0064253, -0.00214866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0324999");
-            index_3 ("0.0761295, 0.08643, 0.0926296, 0.104688, 0.116004, 0.121051, 0.130068, 0.160189, 0.195756, 0.219766, 0.238137, 0.265397, 0.320507, 0.357435, 0.373, 0.389006, 0.410328, 0.429414, 0.454862, 0.476587, 0.505836, 0.544836, 0.618573, 0.699541");
-            values ( \
-              "-0.0762964, -0.151174, -0.175832, -0.212075, -0.236268, -0.239248, -0.238614, -0.234598, -0.227635, -0.219733, -0.209923, -0.184295, -0.1156, -0.0776865, -0.0648731, -0.0536016, -0.0411173, -0.032299, -0.023246, -0.0174218, -0.011803, -0.00695178, -0.00230263, -0.000695221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0674211");
-            index_3 ("0.0826318, 0.105229, 0.115721, 0.12023, 0.12363, 0.198235, 0.250464, 0.2845, 0.335123, 0.342952, 0.369793, 0.390192, 0.430989, 0.449632, 0.535354, 0.558786, 0.60565, 0.637506, 0.672678, 0.719574, 0.745365, 0.775603, 0.812343, 0.863452, 0.884479, 0.926534, 1.0075, 1.08847, 1.16944, 1.33137");
-            values ( \
-              "-0.19588, -0.221725, -0.246431, -0.250151, -0.25042, -0.245819, -0.241582, -0.238156, -0.231253, -0.229787, -0.223929, -0.217921, -0.199643, -0.188515, -0.132105, -0.117668, -0.0916325, -0.0765112, -0.0621891, -0.0466258, -0.039659, -0.0327094, -0.0257598, -0.0183784, -0.0159755, -0.0120609, -0.00689377, -0.00393669, -0.00222784, -0.000712827" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.139865");
-            index_3 ("0.0828001, 0.118161, 0.121079, 0.126883, 0.227301, 0.366623, 0.489555, 0.595034, 0.644057, 0.70496, 0.785927, 1.04787, 1.10993, 1.19015, 1.24704, 1.31343, 1.3944, 1.49528, 1.56524, 1.64621, 1.73486, 1.86594, 2.02787, 2.18981, 2.35175, 2.59465");
-            values ( \
-              "-0.192257, -0.254741, -0.25615, -0.256395, -0.253301, -0.248155, -0.242252, -0.235025, -0.23032, -0.222011, -0.204187, -0.120063, -0.102275, -0.0821226, -0.0697993, -0.0573397, -0.0448194, -0.0326941, -0.0261274, -0.0201239, -0.0150517, -0.00975868, -0.00568013, -0.00329374, -0.00190456, -0.000854082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129021, 0.13499, 0.151723, 0.167925, 0.175675, 0.1828, 0.189787, 0.19676, 0.201581, 0.220821, 0.229529, 0.238977, 0.248943, 0.250694, 0.264714, 0.264735");
-            values ( \
-              "-0.0154005, -0.0285364, -0.0576292, -0.0799407, -0.0891069, -0.0945798, -0.0934234, -0.0862584, -0.0773055, -0.0329425, -0.0196214, -0.0106608, -0.00541406, -0.00640797, -0.00258102, -0.00257889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00755185");
-            index_3 ("0.129538, 0.13939, 0.150971, 0.156612, 0.171216, 0.183101, 0.193539, 0.20315, 0.212559, 0.221947, 0.229433, 0.243989, 0.248949, 0.251757, 0.258917, 0.264678, 0.269238, 0.276177, 0.284779, 0.293745, 0.300792, 0.314885, 0.330825");
-            values ( \
-              "-0.0106286, -0.0446167, -0.0700237, -0.0807391, -0.10527, -0.123488, -0.137256, -0.145297, -0.144353, -0.130389, -0.112229, -0.0737338, -0.0620833, -0.0580428, -0.044423, -0.0349076, -0.0287225, -0.0212026, -0.0143904, -0.00959192, -0.00693828, -0.00354433, -0.00185525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0156663");
-            index_3 ("0.134777, 0.145832, 0.158795, 0.169992, 0.190705, 0.207723, 0.222978, 0.237451, 0.251868, 0.257805, 0.267387, 0.290067, 0.309876, 0.319157, 0.331971, 0.342227, 0.35059, 0.360697, 0.374959, 0.389937, 0.401433, 0.424425, 0.466057, 0.515385");
-            values ( \
-              "-0.0354294, -0.066765, -0.0960426, -0.117941, -0.15378, -0.177695, -0.192301, -0.197523, -0.193376, -0.186535, -0.167477, -0.116581, -0.0784397, -0.0641116, -0.0478341, -0.0374745, -0.0306131, -0.0238657, -0.0166414, -0.0113845, -0.00847367, -0.00460145, -0.00137786, -0.000298034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0324999");
-            index_3 ("0.144789, 0.163991, 0.170934, 0.190852, 0.198295, 0.206061, 0.211345, 0.21663, 0.221915, 0.22534, 0.228766, 0.232191, 0.235617, 0.248148, 0.249319, 0.251661, 0.253776, 0.254921, 0.25721, 0.260643, 0.264949, 0.269881, 0.276358, 0.285297, 0.29226, 0.299223, 0.326217, 0.328213, 0.331206, 0.336195, 0.340186, 0.355957, 0.379096, 0.405699, 0.416476, 0.426105, 0.432142, 0.444216, 0.45854, 0.464527, 0.468326, 0.479723, 0.489525, 0.504687, 0.515407, 0.529909, 0.541566, 0.555214, 0.562037, 0.572817");
-            values ( \
-              "-0.104341, -0.113572, -0.128099, -0.166334, -0.176695, -0.189134, -0.19855, -0.208737, -0.219693, -0.220049, -0.220681, -0.221589, -0.222773, -0.22856, -0.231065, -0.231636, -0.23263, -0.232655, -0.232621, -0.232371, -0.231691, -0.230735, -0.229305, -0.227044, -0.224795, -0.222256, -0.209739, -0.208537, -0.206218, -0.201803, -0.197957, -0.180293, -0.151688, -0.118261, -0.106245, -0.096139, -0.090107, -0.0787455, -0.0664841, -0.0617297, -0.0590873, -0.0516459, -0.0459402, -0.0377353, -0.0326348, -0.0272503, -0.0235091, -0.0197055, -0.0180164, -0.0156224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0674211");
-            index_3 ("0.153935, 0.191224, 0.224997, 0.230901, 0.24271, 0.252805, 0.257915, 0.290807, 0.322345, 0.372793, 0.423498, 0.43072, 0.455481, 0.47848, 0.524478, 0.537997, 0.624751, 0.650301, 0.701401, 0.735078, 0.753149, 0.783508, 0.82315, 0.856063, 0.894374, 0.948587, 0.972591, 1.00501, 1.04823, 1.1292, 1.21017, 1.29114, 1.45307");
-            values ( \
-              "-0.15177, -0.173837, -0.223346, -0.229341, -0.238837, -0.245882, -0.247677, -0.245507, -0.243018, -0.238152, -0.231241, -0.229884, -0.224582, -0.217922, -0.196708, -0.1885, -0.131425, -0.115788, -0.0878927, -0.0725231, -0.0651645, -0.0542648, -0.0423734, -0.0343884, -0.0268579, -0.018743, -0.0159876, -0.0128799, -0.00964016, -0.0054907, -0.00313926, -0.00176778, -0.000562043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.139865");
-            index_3 ("0.153599, 0.184643, 0.222945, 0.24847, 0.255212, 0.26469, 0.274087, 0.373655, 0.474683, 0.57755, 0.683028, 0.732047, 0.792953, 0.873921, 0.913902, 1.13586, 1.19793, 1.27814, 1.33503, 1.40142, 1.48239, 1.58328, 1.65323, 1.7342, 1.82285, 1.95392, 2.11586, 2.2778, 2.43973, 2.68263");
-            values ( \
-              "-0.159889, -0.164724, -0.226411, -0.249597, -0.253586, -0.255316, -0.25453, -0.251282, -0.2473, -0.242269, -0.235038, -0.23031, -0.222019, -0.204185, -0.192271, -0.12007, -0.102268, -0.0821304, -0.0698076, -0.0573321, -0.0448275, -0.0327021, -0.0261199, -0.0201317, -0.0150451, -0.00975231, -0.00567379, -0.00328749, -0.00189842, -0.000860077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.245913, 0.259029, 0.270552, 0.292748, 0.316055, 0.327964, 0.338858, 0.34952, 0.360167, 0.364403, 0.371657, 0.389522, 0.400652, 0.414257, 0.426869, 0.437154, 0.45632, 0.471084");
-            values ( \
-              "-0.00616876, -0.0138281, -0.0193386, -0.0363538, -0.0516847, -0.0584813, -0.0616519, -0.0614991, -0.0608766, -0.0588531, -0.0524162, -0.0286908, -0.0170715, -0.00830707, -0.00407635, -0.00221213, -0.00063728, -0.000471214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00755185");
-            index_3 ("0.252213, 0.265482, 0.275181, 0.310022, 0.338052, 0.353622, 0.367739, 0.381244, 0.394868, 0.398391, 0.40215, 0.409853, 0.435661, 0.450273, 0.457398, 0.466899, 0.47502, 0.487966, 0.505227, 0.532561, 0.538241");
-            values ( \
-              "-0.0178106, -0.023199, -0.0293235, -0.0601459, -0.0818754, -0.0927891, -0.0997931, -0.101596, -0.0980193, -0.0954436, -0.0915636, -0.080926, -0.041235, -0.0250961, -0.019343, -0.0134374, -0.00976444, -0.00577698, -0.0027453, -0.000744655, -0.000618571" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0156663");
-            index_3 ("0.262398, 0.282994, 0.316891, 0.337323, 0.373683, 0.38734, 0.395214, 0.410961, 0.422333, 0.433354, 0.451879, 0.453813, 0.461552, 0.491078, 0.510545, 0.522343, 0.534353, 0.550186, 0.555542, 0.575389, 0.587168, 0.610725, 0.64902, 0.694936");
-            values ( \
-              "-0.0402829, -0.0419504, -0.0748886, -0.0928167, -0.122933, -0.133273, -0.138742, -0.148069, -0.152047, -0.152553, -0.141795, -0.139752, -0.130146, -0.08572, -0.0594262, -0.0464413, -0.0355744, -0.0246272, -0.0229203, -0.0141918, -0.0104797, -0.00562735, -0.00188913, -0.000483029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0324999");
-            index_3 ("0.275142, 0.3169, 0.363179, 0.393004, 0.42906, 0.460609, 0.489994, 0.51899, 0.552602, 0.605978, 0.63135, 0.648915, 0.673396, 0.693096, 0.709229, 0.728552, 0.755835, 0.784417, 0.806343, 0.850194, 0.929614, 1.01058");
-            values ( \
-              "-0.0603563, -0.0798749, -0.123384, -0.148674, -0.176284, -0.1944, -0.203607, -0.200521, -0.177075, -0.111395, -0.0848806, -0.0694907, -0.0518829, -0.0406379, -0.0331448, -0.0258344, -0.0180339, -0.0123508, -0.00919873, -0.00501242, -0.00150602, -0.000437636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0674211");
-            index_3 ("0.288738, 0.344532, 0.393838, 0.430034, 0.460626, 0.516251, 0.533161, 0.558196, 0.56773, 0.61943, 0.661241, 0.697815, 0.732728, 0.832238, 0.908785, 0.978841, 1.01818, 1.05076, 1.08915, 1.14342, 1.20006, 1.24347, 1.32444, 1.40541, 1.44925");
-            values ( \
-              "-0.0890825, -0.110515, -0.155618, -0.184956, -0.20594, -0.230606, -0.234695, -0.238596, -0.238303, -0.230987, -0.221747, -0.208107, -0.188539, -0.12358, -0.0819263, -0.0541091, -0.0423612, -0.0344537, -0.0268678, -0.0187692, -0.0128816, -0.00960601, -0.0054916, -0.00311916, -0.00239232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.139865");
-            index_3 ("0.288757, 0.339698, 0.395898, 0.429435, 0.462973, 0.525676, 0.55771, 0.567169, 0.738981, 0.825234, 0.878896, 0.959863, 0.988885, 1.04506, 1.10958, 1.33253, 1.39427, 1.47413, 1.53047, 1.597, 1.67797, 1.77952, 1.84932, 1.93029, 2.01853, 2.14933, 2.31127, 2.4732, 2.63514, 2.87804");
-            values ( \
-              "-0.0902797, -0.107463, -0.160564, -0.188924, -0.212735, -0.242909, -0.251053, -0.251514, -0.244097, -0.239099, -0.235051, -0.226401, -0.222016, -0.210581, -0.192318, -0.119795, -0.102138, -0.0820711, -0.0698665, -0.0573871, -0.0448433, -0.0326411, -0.0261114, -0.0200981, -0.0150653, -0.00977904, -0.00569494, -0.00330526, -0.00191413, -0.000848376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.49604, 0.518241, 0.52943, 0.544632, 0.57054, 0.584851, 0.612963, 0.636752, 0.645232, 0.662193, 0.665633, 0.669564, 0.683989, 0.692737, 0.696189, 0.703092, 0.713182, 0.726162, 0.738255, 0.751291, 0.766527, 0.778396, 0.786466, 0.802604, 0.827787, 0.858078, 0.882473");
-            values ( \
-              "-0.00566888, -0.0061475, -0.00702761, -0.00913323, -0.0150365, -0.0197881, -0.0282287, -0.0348602, -0.0367404, -0.0384495, -0.0384499, -0.0386061, -0.0394546, -0.0397, -0.0396589, -0.0391617, -0.0366529, -0.0293793, -0.0210416, -0.0134039, -0.00735159, -0.00448663, -0.00319803, -0.00158947, -0.000547686, -0.000197245, -0.000155587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00755185");
-            index_3 ("0.505581, 0.549285, 0.559089, 0.578696, 0.590473, 0.629441, 0.658056, 0.682066, 0.703685, 0.724285, 0.744476, 0.764645, 0.765548, 0.770967, 0.79822, 0.808718, 0.81658, 0.82614, 0.838887, 0.846726, 0.859099, 0.875597, 0.907894, 0.945402, 0.993078, 1.21598, 1.24193");
-            values ( \
-              "-0.0100369, -0.0141706, -0.0167011, -0.0225654, -0.0274858, -0.0424166, -0.0526225, -0.060796, -0.0649275, -0.0670178, -0.0678139, -0.0612383, -0.0607163, -0.0569164, -0.0333912, -0.0254966, -0.0205132, -0.0155131, -0.0104387, -0.00813119, -0.00542425, -0.00308496, -0.000905509, -0.000231968, -6.98639e-05, -1.02567e-05, -0.000284905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0156663");
-            index_3 ("0.517787, 0.571255, 0.581795, 0.602875, 0.670913, 0.709023, 0.741278, 0.770152, 0.797313, 0.824129, 0.850905, 0.891584, 0.911234, 0.935544, 0.947788, 0.970975, 0.996806, 1.02436, 1.0742, 1.1331, 1.21579, 1.22569");
-            values ( \
-              "-0.0170761, -0.0251238, -0.0285674, -0.0371928, -0.0663779, -0.0816187, -0.0934972, -0.101805, -0.105764, -0.104893, -0.0905667, -0.0542131, -0.0390311, -0.0247829, -0.0194091, -0.0119571, -0.00682287, -0.00363002, -0.000993685, -0.000207032, -3.39899e-05, -0.000543292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0324999");
-            index_3 ("0.529791, 0.603297, 0.666636, 0.735868, 0.78913, 0.834549, 0.875298, 0.913685, 0.952081, 0.958098, 0.977351, 1.05397, 1.07485, 1.1027, 1.11879, 1.13886, 1.16563, 1.19194, 1.21599, 1.28055, 1.31291, 1.34084");
-            values ( \
-              "-0.0229223, -0.0410126, -0.0697647, -0.0992745, -0.120572, -0.137395, -0.149721, -0.155158, -0.146578, -0.143605, -0.131112, -0.0719047, -0.058257, -0.0430114, -0.0357973, -0.0282533, -0.0203383, -0.0146013, -0.010718, -0.00491837, -0.00312131, -0.00229883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0674211");
-            index_3 ("0.564548, 0.634627, 0.729269, 0.860944, 0.910264, 0.976851, 1.03802, 1.09776, 1.15738, 1.22502, 1.30298, 1.3415, 1.4008, 1.44437, 1.4849, 1.53894, 1.5788, 1.62312, 1.68221, 1.76317, 1.84414, 1.92511, 2.08704");
-            values ( \
-              "-0.0568651, -0.0578408, -0.10071, -0.154396, -0.172211, -0.192514, -0.203862, -0.203351, -0.184045, -0.146517, -0.0994158, -0.0802173, -0.0564624, -0.0430846, -0.0333071, -0.0233905, -0.017975, -0.0133828, -0.0089607, -0.00511565, -0.00290894, -0.00165224, -0.000531311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.139865");
-            index_3 ("0.564208, 0.650832, 0.738074, 0.836953, 0.91792, 0.980564, 1.04676, 1.09717, 1.17813, 1.21582, 1.22776, 1.24278, 1.3098, 1.36324, 1.41995, 1.50091, 1.54089, 1.7152, 1.82491, 1.90512, 1.96202, 2.02841, 2.10938, 2.143, 2.21026, 2.28021, 2.36118, 2.44983, 2.5809, 2.74283, 2.90477, 3.0667, 3.30961");
-            values ( \
-              "-0.0492841, -0.0670389, -0.106231, -0.148159, -0.17964, -0.200776, -0.218865, -0.228695, -0.238262, -0.240547, -0.240719, -0.240066, -0.235051, -0.229845, -0.222012, -0.204194, -0.192262, -0.134768, -0.102264, -0.0821362, -0.069813, -0.0573273, -0.044833, -0.0403523, -0.0327081, -0.0261156, -0.0201373, -0.0150409, -0.00974811, -0.00566944, -0.00328308, -0.00189399, -0.000864556" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00245401, 0.00246456, 0.00247339, 0.00247929, 0.00248268, 0.00248447", \
-            "0.00288526, 0.00289349, 0.00290189, 0.00290839, 0.00291247, 0.00291473", \
-            "0.00314428, 0.00314647, 0.00315027, 0.00315446, 0.00315773, 0.00315977", \
-            "0.00329862, 0.00329717, 0.00329455, 0.00329348, 0.0032936, 0.00329406", \
-            "0.00338226, 0.00337776, 0.00337134, 0.00336578, 0.00336231, 0.0033607", \
-            "0.00342986, 0.00342382, 0.00341591, 0.00340746, 0.00340001, 0.00339514" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00336475, 0.003379, 0.00339149, 0.00339982, 0.00340449, 0.00340689", \
-            "0.00368155, 0.00367194, 0.00366661, 0.00366447, 0.0036638, 0.00366368", \
-            "0.00390036, 0.00384826, 0.00380185, 0.00376855, 0.00375042, 0.00374325", \
-            "0.00415805, 0.00402459, 0.00391433, 0.00384087, 0.00379304, 0.00376454", \
-            "0.00457507, 0.00435904, 0.00413422, 0.00396349, 0.00385881, 0.00379942", \
-            "0.00521992, 0.00501396, 0.0044975, 0.00421679, 0.00400053, 0.00387371" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00619467, 0.00632492, 0.0064207, 0.00647848, 0.00651123, 0.00652655", \
-            "0.00601474, 0.006178, 0.00631854, 0.00641865, 0.00648121, 0.00651405", \
-            "0.00590591, 0.00601377, 0.00616426, 0.00631001, 0.006417, 0.00641176", \
-            "0.00610979, 0.00611827, 0.00615619, 0.00624533, 0.00634148, 0.00643563", \
-            "0.00684819, 0.00669802, 0.0065425, 0.00641893, 0.00591705, 0.0064215", \
-            "0.00882531, 0.00841227, 0.00795151, 0.00741295, 0.00701778, 0.00674486" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "-0.000930919, -0.000825679, -0.000751249, -0.000702559, -0.000676227, -0.000662923", \
-            "-0.00109031, -0.000956053, -0.000832774, -0.00075133, -0.00070526, -0.000681529", \
-            "-0.00125434, -0.00112123, -0.000969782, -0.000838377, -0.000750918, -0.000700219", \
-            "-0.00130246, -0.00124512, -0.00112783, -0.000963592, -0.000854083, -0.000760155", \
-            "-0.00101436, -0.00106691, -0.00105061, -0.00101073, -0.000898907, -0.000805391", \
-            "0.000491135, 2.79631e-05, -0.000369709, -0.000614356, -0.000740424, -0.000756652" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "0.00767646, 0.00773253, 0.00777662, 0.00780456, 0.00781908, 0.00782346", \
-            "0.00753009, 0.00761723, 0.00769912, 0.00775808, 0.00779338, 0.00780823", \
-            "0.00742796, 0.00749924, 0.0075948, 0.00768193, 0.00774503, 0.00773584", \
-            "0.0076045, 0.00762067, 0.00766023, 0.00756205, 0.0077398, 0.00777364", \
-            "0.00831135, 0.00816972, 0.00803556, 0.00787455, 0.00779408, 0.00778824", \
-            "0.0102498, 0.00987583, 0.00943307, 0.00889794, 0.00844756, 0.00813723" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00755185, 0.0156663, 0.0324999, 0.0674211, 0.139865");
-          values ( \
-            "-0.000878016, -0.000784002, -0.000712877, -0.000668744, -0.000645081, -0.000633108", \
-            "-0.00104933, -0.00091353, -0.000795748, -0.000712565, -0.00067137, -0.000647888", \
-            "-0.00125127, -0.001101, -0.000939467, -0.000806531, -0.000719886, -0.000674862", \
-            "-0.00137963, -0.00128344, -0.0011282, -0.000954127, -0.000818199, -0.000740439", \
-            "-0.00120891, -0.00124562, -0.00119248, -0.00106976, -0.000931037, -0.000805084", \
-            "3.51837e-05, -0.000380554, -0.000690944, -0.000847647, -0.000867938, -0.000811773" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00417672;
-      rise_capacitance : 0.00417672;
-      rise_capacitance_range (0.00301676, 0.00417672);
-      fall_capacitance : 0.00416166;
-      fall_capacitance_range (0.00262771, 0.00416166);
-      receiver_capacitance () {
-        when : "!B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00261806, 0.00320953, 0.00352259, 0.00367149, 0.00373531, 0.00376472" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0034979, 0.0034334, 0.0031796, 0.00303069, 0.00296745, 0.00294062" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00207729, 0.00235974, 0.00252664, 0.00263608, 0.00269253, 0.00272089" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00294611, 0.00333081, 0.00357504, 0.0036757, 0.00370622, 0.0037169" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00420636, -0.00421029, -0.00420657, -0.00423162, -0.00421859, -0.00420617" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00420636, 0.00421029, 0.00424118, 0.00423523, 0.00421859, 0.00420617" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00417173;
-      rise_capacitance : 0.00417173;
-      rise_capacitance_range (0.00326614, 0.00417173);
-      fall_capacitance : 0.00411256;
-      fall_capacitance_range (0.00290363, 0.00411256);
-      receiver_capacitance () {
-        when : "!A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00254306, 0.00325235, 0.00368353, 0.00389759, 0.00399096, 0.00403147" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00393617, 0.00410437, 0.00384996, 0.00364974, 0.0035589, 0.00352311" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00241536, 0.00281473, 0.00305317, 0.00319424, 0.00326975, 0.00330785" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00324423, 0.0036361, 0.00390348, 0.00403329, 0.00407802, 0.00409936" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00390716, -0.00391519, -0.00390709, -0.00392491, -0.00391934, -0.00391133" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00392813, 0.00391554, 0.0039135, 0.00393574, 0.00392235, 0.00391769" \
-          );
-        }
-      }
-    }
-  }
-  cell (NOR2X1) {
-    area : 9.5238;
-    cell_footprint : "NOR2";
-    cell_leakage_power : 0.0953658;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00349244;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0945981;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.2689;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0144725;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0953658;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A * !B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.108453;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0587784, 0.0748228, 0.105841, 0.166305, 0.284941, 0.518446", \
-            "0.0648207, 0.0808461, 0.111945, 0.172569, 0.291364, 0.524974", \
-            "0.0782283, 0.0941855, 0.125191, 0.185865, 0.304829, 0.538612", \
-            "0.103079, 0.1221, 0.154352, 0.214997, 0.334, 0.568017", \
-            "0.142082, 0.168351, 0.21063, 0.279338, 0.398938, 0.633229", \
-            "0.209908, 0.246452, 0.305239, 0.3965, 0.539309, 0.778098" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0446516, 0.0667537, 0.110111, 0.195203, 0.362594, 0.692158", \
-            "0.0447292, 0.0667761, 0.110112, 0.195203, 0.362594, 0.692158", \
-            "0.0460195, 0.0672727, 0.11017, 0.195202, 0.362595, 0.692159", \
-            "0.0569416, 0.0752238, 0.11415, 0.19559, 0.362592, 0.692194", \
-            "0.0828453, 0.102786, 0.139146, 0.210394, 0.365897, 0.692173", \
-            "0.126995, 0.151729, 0.195069, 0.269725, 0.40674, 0.705308" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0293516, 0.0363209, 0.0493898, 0.074254, 0.122383, 0.21657", \
-            "0.0342976, 0.0412092, 0.0543107, 0.0791881, 0.127326, 0.22129", \
-            "0.0447847, 0.0525704, 0.0657485, 0.0905889, 0.138756, 0.232978", \
-            "0.0559838, 0.0676468, 0.0864906, 0.115988, 0.164417, 0.258528", \
-            "0.0617842, 0.0788232, 0.107002, 0.151236, 0.217607, 0.316898", \
-            "0.0499971, 0.0742888, 0.114978, 0.179649, 0.278418, 0.422982" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0227542, 0.0309043, 0.0468381, 0.0784895, 0.141248, 0.26573", \
-            "0.0227964, 0.0305978, 0.046668, 0.0784487, 0.141245, 0.265473", \
-            "0.0293614, 0.0356331, 0.0491501, 0.0786809, 0.141212, 0.265401", \
-            "0.0442699, 0.0529511, 0.0671686, 0.0911448, 0.145126, 0.265393", \
-            "0.0683317, 0.0813415, 0.101999, 0.133698, 0.181654, 0.28122", \
-            "0.107536, 0.126898, 0.157544, 0.204336, 0.273156, 0.373452" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0407001, 0.0424614, 0.0442821, 0.0471763, 0.0517347, 0.0548709, 0.0640464, 0.0730116, 0.0882013, 0.0993293, 0.108865, 0.116677, 0.132355, 0.149967, 0.176793, 0.206123");
-            values ( \
-              "0.0447789, 0.10445, 0.11122, 0.115342, 0.118325, 0.117326, 0.110996, 0.0999459, 0.0597603, 0.0399816, 0.0292004, 0.0227035, 0.013751, 0.00777112, 0.00318681, 0.00130954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00717728");
-            index_3 ("0.0407632, 0.0437732, 0.046488, 0.0508095, 0.0569531, 0.0593015, 0.0635554, 0.0679665, 0.0766954, 0.0845412, 0.090067, 0.0966056, 0.107067, 0.116074, 0.123661, 0.126129, 0.131064, 0.139706, 0.151164, 0.162469, 0.169945, 0.181931, 0.1954, 0.210895, 0.218084, 0.228802, 0.243092, 0.271672, 0.294075");
-            values ( \
-              "0.033664, 0.138378, 0.147279, 0.151682, 0.152804, 0.151182, 0.149637, 0.146532, 0.141301, 0.134723, 0.128797, 0.118511, 0.0951566, 0.0772235, 0.064831, 0.0614445, 0.0549372, 0.0451475, 0.0345935, 0.026519, 0.0221348, 0.0164763, 0.0117523, 0.00788341, 0.00656037, 0.00497585, 0.00342605, 0.00156623, 0.000978135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0141508");
-            index_3 ("0.0406628, 0.0455761, 0.050002, 0.0534758, 0.057116, 0.0642062, 0.0857949, 0.0960576, 0.112103, 0.11739, 0.125529, 0.134593, 0.160006, 0.169147, 0.180011, 0.190514, 0.202518, 0.219652, 0.224375, 0.234533, 0.246037, 0.260881, 0.273266, 0.287739, 0.308229, 0.329648, 0.34607, 0.378913, 0.43867, 0.509525");
-            values ( \
-              "0.0393795, 0.168057, 0.177224, 0.179507, 0.179921, 0.178532, 0.170364, 0.165979, 0.158159, 0.154967, 0.149045, 0.139825, 0.105122, 0.0934966, 0.0810177, 0.070241, 0.0591819, 0.0457576, 0.0425736, 0.0363, 0.0301409, 0.0235662, 0.0191302, 0.0149179, 0.0104143, 0.0071442, 0.00532918, 0.0029126, 0.000877407, 0.000193328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0278998");
-            index_3 ("0.0405436, 0.0483272, 0.0530768, 0.0560358, 0.0619538, 0.120664, 0.148929, 0.168259, 0.192502, 0.208715, 0.287339, 0.324212, 0.353221, 0.377698, 0.396656, 0.427787, 0.464984, 0.498533, 0.560211, 0.613718, 0.695966, 0.778215");
-            values ( \
-              "0.0671959, 0.191146, 0.196873, 0.197989, 0.198187, 0.185407, 0.178224, 0.172632, 0.163413, 0.154427, 0.094945, 0.0706737, 0.0546614, 0.0435048, 0.0361752, 0.0264568, 0.0179803, 0.0125633, 0.00644441, 0.00353095, 0.00133293, 0.000494323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0550075");
-            index_3 ("0.0435362, 0.0622165, 0.139901, 0.223919, 0.278682, 0.324066, 0.354322, 0.413421, 0.532999, 0.617736, 0.654121, 0.702634, 0.765985, 0.803443, 0.86977, 0.945199, 1.00538, 1.08763, 1.16988, 1.20763");
-            values ( \
-              "0.20673, 0.209408, 0.200331, 0.189381, 0.181071, 0.171981, 0.163361, 0.140486, 0.0898266, 0.0599399, 0.0496004, 0.0380515, 0.026563, 0.0213397, 0.0143199, 0.00906483, 0.0062471, 0.00371797, 0.0022083, 0.00194027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.108453");
-            index_3 ("0.0473437, 0.0473637, 0.181177, 0.354516, 0.504614, 0.580979, 0.641007, 0.723256, 1.05772, 1.1982, 1.26538, 1.3807, 1.51787, 1.60012, 1.82208, 2.11052");
-            values ( \
-              "1e-22, 0.228, 0.208354, 0.196717, 0.184969, 0.177047, 0.168406, 0.152863, 0.0778914, 0.0533865, 0.043926, 0.0310406, 0.0201945, 0.0155526, 0.00749631, 0.00310405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0592661, 0.061912, 0.0669082, 0.0715121, 0.0749144, 0.0840079, 0.0902508, 0.0969216, 0.108053, 0.116558, 0.128627, 0.143799, 0.164999, 0.188063, 0.216603, 0.22063");
-            values ( \
-              "0.00337397, 0.0960318, 0.111348, 0.116251, 0.11612, 0.110758, 0.10394, 0.0912541, 0.0599528, 0.0438396, 0.0293742, 0.0181036, 0.00912945, 0.00425652, 0.0016056, 0.00151517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00717728");
-            index_3 ("0.0592628, 0.0661934, 0.070656, 0.0769338, 0.083524, 0.0966696, 0.104251, 0.110544, 0.116571, 0.127061, 0.135923, 0.143386, 0.146092, 0.151503, 0.159964, 0.171257, 0.182029, 0.189646, 0.202265, 0.207706, 0.217675, 0.231772, 0.246795, 0.258357, 0.281482, 0.322589, 0.371446");
-            values ( \
-              "0.0457538, 0.140802, 0.148819, 0.151567, 0.149167, 0.141126, 0.134951, 0.128147, 0.118466, 0.0951104, 0.0774329, 0.0651893, 0.0614527, 0.0543458, 0.0448571, 0.0344899, 0.0267789, 0.0222773, 0.016326, 0.0142499, 0.0110814, 0.0077095, 0.00524134, 0.00388185, 0.00208502, 0.000630362, 0.000136818" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0141508");
-            index_3 ("0.0599115, 0.0653708, 0.0699518, 0.0751283, 0.0771593, 0.0812211, 0.0893448, 0.109876, 0.121478, 0.133305, 0.137463, 0.145619, 0.154666, 0.180077, 0.189219, 0.200083, 0.210587, 0.222592, 0.243722, 0.254603, 0.266107, 0.280952, 0.293337, 0.307809, 0.328297, 0.349711, 0.366128, 0.398961, 0.458614, 0.529324");
-            values ( \
-              "0.0266586, 0.160231, 0.173516, 0.178545, 0.178945, 0.178851, 0.176641, 0.168709, 0.163595, 0.157487, 0.155011, 0.148991, 0.139865, 0.105094, 0.0935289, 0.08099, 0.070266, 0.0591574, 0.0430386, 0.0362782, 0.0301665, 0.0235436, 0.0191073, 0.0149427, 0.0103915, 0.00712173, 0.00535451, 0.00289002, 0.000904087, 0.000170878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0278998");
-            index_3 ("0.0629575, 0.0683763, 0.0762135, 0.0826495, 0.0889552, 0.114825, 0.169147, 0.198028, 0.212719, 0.228933, 0.307557, 0.344429, 0.373439, 0.397916, 0.416873, 0.448004, 0.485199, 0.518748, 0.58042, 0.633924, 0.716172, 0.798421");
-            values ( \
-              "0.18471, 0.186186, 0.196768, 0.19758, 0.197013, 0.191473, 0.178236, 0.16939, 0.163403, 0.154438, 0.0949368, 0.0706682, 0.0546682, 0.0435021, 0.0361788, 0.0264602, 0.0179837, 0.0125611, 0.00644712, 0.00352939, 0.0013352, 0.000492344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0550075");
-            index_3 ("0.0668657, 0.0668857, 0.13428, 0.209487, 0.2627, 0.298923, 0.344589, 0.374849, 0.433948, 0.533413, 0.590878, 0.638261, 0.674647, 0.72316, 0.767782, 0.823966, 0.890294, 0.965723, 1.0259, 1.10815, 1.1904, 1.24585");
-            values ( \
-              "1e-22, 0.227678, 0.203595, 0.194193, 0.186758, 0.181125, 0.171988, 0.163355, 0.140492, 0.0979277, 0.0757055, 0.0599355, 0.0495961, 0.0380559, 0.0295866, 0.0213442, 0.0143161, 0.00906127, 0.00625094, 0.00371435, 0.00221202, 0.0018167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.108453");
-            index_3 ("0.0714474, 0.0714674, 0.201582, 0.374945, 0.525044, 0.601406, 0.661437, 0.743685, 1.07815, 1.21863, 1.2858, 1.40113, 1.5383, 1.62055, 1.84251, 2.13357");
-            values ( \
-              "1e-22, 0.240348, 0.208332, 0.196689, 0.184938, 0.177016, 0.168438, 0.152832, 0.0779085, 0.0533737, 0.04394, 0.0310526, 0.0202054, 0.0155416, 0.00750488, 0.00307222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0952497, 0.10661, 0.114561, 0.12007, 0.125244, 0.128278, 0.134426, 0.137899, 0.152772, 0.163418, 0.180796, 0.194479, 0.216641, 0.23904, 0.263758");
-            values ( \
-              "0.00318938, 0.0817029, 0.0977351, 0.103921, 0.106721, 0.106538, 0.102903, 0.0980717, 0.0591079, 0.0402871, 0.0228379, 0.0147738, 0.00718528, 0.0034265, 0.00152967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00717728");
-            index_3 ("0.095338, 0.113216, 0.120685, 0.127606, 0.132063, 0.141068, 0.141328, 0.150596, 0.158745, 0.172187, 0.179051, 0.186895, 0.192783, 0.202616, 0.215212, 0.22767, 0.237763, 0.249588, 0.256127, 0.269205, 0.281881, 0.301276, 0.327135, 0.354924");
-            values ( \
-              "0.0218265, 0.123708, 0.137353, 0.143736, 0.143327, 0.141002, 0.140296, 0.132901, 0.122432, 0.093023, 0.0794594, 0.0662173, 0.0581352, 0.0465263, 0.0347042, 0.0258846, 0.0202502, 0.0151125, 0.0128397, 0.00918301, 0.00663624, 0.00400781, 0.0020022, 0.00104563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0141508");
-            index_3 ("0.095172, 0.112083, 0.117955, 0.125112, 0.130486, 0.135426, 0.145224, 0.165474, 0.181457, 0.189595, 0.198661, 0.233214, 0.244078, 0.255501, 0.268041, 0.288452, 0.297118, 0.314452, 0.328659, 0.346793, 0.370341, 0.397796, 0.419971, 0.464321, 0.495568");
-            values ( \
-              "0.0108091, 0.139946, 0.156394, 0.169138, 0.173239, 0.174074, 0.172043, 0.163561, 0.154975, 0.149042, 0.139831, 0.0935006, 0.0810191, 0.069349, 0.0579342, 0.0425795, 0.0371581, 0.0280327, 0.0221417, 0.0162631, 0.0107561, 0.00663371, 0.00444774, 0.00192349, 0.00123042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0278998");
-            index_3 ("0.0967718, 0.110302, 0.116205, 0.122717, 0.127787, 0.132975, 0.141803, 0.172004, 0.212514, 0.242141, 0.256835, 0.273046, 0.351669, 0.388543, 0.417551, 0.442024, 0.460981, 0.492112, 0.529306, 0.562852, 0.597763, 0.624506, 0.677992, 0.76024, 0.842489");
-            values ( \
-              "0.00151912, 0.147127, 0.167586, 0.18289, 0.190295, 0.193716, 0.194691, 0.188426, 0.178464, 0.169386, 0.163404, 0.154437, 0.0949412, 0.0706717, 0.054666, 0.0435063, 0.0361788, 0.0264599, 0.0179833, 0.0125642, 0.00863302, 0.0064478, 0.00353258, 0.00133459, 0.000493913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0550075");
-            index_3 ("0.104338, 0.123726, 0.131867, 0.139567, 0.147739, 0.200957, 0.305405, 0.349372, 0.384659, 0.418987, 0.477916, 0.594158, 0.681091, 0.754402, 0.80043, 0.838851, 0.880409, 0.96521, 1.01666, 1.0989, 1.24627");
-            values ( \
-              "0.147592, 0.195062, 0.204792, 0.207224, 0.20707, 0.200776, 0.186995, 0.180018, 0.172938, 0.163398, 0.140538, 0.0912245, 0.0603353, 0.0409025, 0.0315895, 0.0253352, 0.019846, 0.0119098, 0.00869123, 0.00519059, 0.00218021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.108453");
-            index_3 ("0.110468, 0.110488, 0.250888, 0.41942, 0.569583, 0.645763, 0.705914, 0.788163, 1.12263, 1.26311, 1.33028, 1.4456, 1.58277, 1.66502, 1.88698, 2.17587");
-            values ( \
-              "1e-22, 0.222109, 0.208015, 0.196688, 0.184936, 0.177035, 0.168434, 0.152836, 0.0779147, 0.0533659, 0.0439475, 0.0310612, 0.0202146, 0.0155334, 0.00751375, 0.00311385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.172442, 0.179581, 0.188565, 0.196856, 0.211174, 0.219459, 0.227482, 0.235487, 0.248858, 0.269981, 0.283074, 0.299862, 0.314183, 0.325076, 0.345495, 0.370282");
-            values ( \
-              "0.00331953, 0.0334502, 0.0502625, 0.0614895, 0.0765751, 0.0813295, 0.0824578, 0.0764747, 0.0520623, 0.0296055, 0.019675, 0.0114814, 0.00719451, 0.00501492, 0.00254149, 0.00148088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00717728");
-            index_3 ("0.172531, 0.182504, 0.186627, 0.194448, 0.205467, 0.212309, 0.224419, 0.23563, 0.246601, 0.248949, 0.251336, 0.254462, 0.258554, 0.263665, 0.270321, 0.282397, 0.292894, 0.300018, 0.312448, 0.319891, 0.324934, 0.335021, 0.341949, 0.353404, 0.366495, 0.379143, 0.398492, 0.42429, 0.464827, 0.513591");
-            values ( \
-              "0.00218628, 0.0497099, 0.0595373, 0.0744617, 0.0919672, 0.100865, 0.112623, 0.117667, 0.118263, 0.117195, 0.117596, 0.115705, 0.111497, 0.103353, 0.0902104, 0.068455, 0.0545007, 0.046379, 0.0347295, 0.0292086, 0.0258993, 0.0202486, 0.0170819, 0.0128303, 0.0091872, 0.00664607, 0.00402155, 0.00200099, 0.000616231, 0.000135604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0141508");
-            index_3 ("0.172508, 0.186761, 0.196332, 0.208808, 0.217793, 0.22882, 0.248922, 0.251489, 0.255605, 0.262713, 0.269234, 0.278835, 0.292992, 0.302239, 0.314569, 0.326462, 0.340054, 0.3491, 0.361162, 0.38011, 0.385773, 0.399273, 0.414701, 0.430551, 0.439227, 0.452601, 0.471413, 0.493726, 0.523477, 0.578815, 0.643291");
-            values ( \
-              "0.00270898, 0.0688188, 0.0908492, 0.113651, 0.12675, 0.139625, 0.152421, 0.154702, 0.156972, 0.159356, 0.158831, 0.154843, 0.14352, 0.131963, 0.11434, 0.098653, 0.0824103, 0.0730186, 0.0616453, 0.0463906, 0.0425823, 0.034428, 0.0267339, 0.0205299, 0.0177033, 0.0140684, 0.0101033, 0.00680907, 0.00397042, 0.0013287, 0.000360578" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0278998");
-            index_3 ("0.182081, 0.193282, 0.203091, 0.215944, 0.225685, 0.243106, 0.248913, 0.255846, 0.259962, 0.268196, 0.275627, 0.282939, 0.310608, 0.338511, 0.35308, 0.36862, 0.373869, 0.392392, 0.432952, 0.466864, 0.487427, 0.515231, 0.537903, 0.555353, 0.589375, 0.604308, 0.627525, 0.660666, 0.675009, 0.703695, 0.761066, 0.843315, 0.925563, 1.00781");
-            values ( \
-              "0.0874275, 0.0920382, 0.113359, 0.137332, 0.151055, 0.169555, 0.173291, 0.180624, 0.183443, 0.186745, 0.186471, 0.185206, 0.178264, 0.169797, 0.163879, 0.155567, 0.152113, 0.138426, 0.106481, 0.0826727, 0.069726, 0.0544713, 0.0440993, 0.0372387, 0.0264652, 0.0226991, 0.017808, 0.0124932, 0.0107154, 0.00784368, 0.00411177, 0.00154934, 0.000584073, 0.000215812" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0550075");
-            index_3 ("0.181874, 0.204733, 0.22421, 0.240586, 0.259674, 0.264952, 0.273362, 0.284636, 0.301828, 0.402716, 0.458391, 0.485991, 0.516248, 0.575347, 0.694923, 0.732276, 0.779658, 0.816041, 0.864551, 0.89981, 0.927901, 0.965357, 1.03168, 1.10709, 1.16725, 1.24949, 1.33174, 1.41399, 1.57849");
-            values ( \
-              "0.0700191, 0.122729, 0.158085, 0.178634, 0.198201, 0.201399, 0.203141, 0.202465, 0.200354, 0.186983, 0.177867, 0.171975, 0.163368, 0.140481, 0.0898308, 0.0756988, 0.0599443, 0.0496049, 0.0380509, 0.0312108, 0.0265671, 0.0213391, 0.0143238, 0.00906893, 0.00624756, 0.00372119, 0.0022073, 0.00130877, 0.000458403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.108453");
-            index_3 ("0.201821, 0.255049, 0.274446, 0.536792, 0.736646, 0.885531, 1.22, 1.32167, 1.42766, 1.54298, 1.68014, 1.81368, 1.97431, 2.3033, 2.71454");
-            values ( \
-              "0.198454, 0.200834, 0.212699, 0.195656, 0.1782, 0.153205, 0.077597, 0.0591617, 0.043645, 0.0307648, 0.0199218, 0.0128597, 0.00749305, 0.0023158, 0.00092533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.317622, 0.334758, 0.342416, 0.358611, 0.392302, 0.40526, 0.417062, 0.428771, 0.440445, 0.442046, 0.455532, 0.469726, 0.488598, 0.502695, 0.512662, 0.521529, 0.531291, 0.550071, 0.553648, 0.559815, 0.587434, 0.610948, 0.649774");
-            values ( \
-              "0.000535146, 0.0147717, 0.0191128, 0.0296596, 0.0477113, 0.0535774, 0.0572668, 0.0549841, 0.043725, 0.0433463, 0.0333604, 0.025163, 0.0166385, 0.0117964, 0.00904774, 0.00709561, 0.00539332, 0.00308499, 0.00351513, 0.00332819, 0.00138973, 0.000639038, 0.000108619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00717728");
-            index_3 ("0.317651, 0.339651, 0.369565, 0.412168, 0.428457, 0.443356, 0.458119, 0.47844, 0.494953, 0.50321, 0.512648, 0.529027, 0.550086, 0.554788, 0.576228, 0.588525, 0.607234, 0.621398, 0.649726, 0.692215, 0.744027");
-            values ( \
-              "0.000247312, 0.0232484, 0.0459377, 0.074882, 0.0839922, 0.0892166, 0.0862133, 0.0661949, 0.0516991, 0.045422, 0.038512, 0.0282293, 0.0180806, 0.0174837, 0.0110913, 0.00806588, 0.00499793, 0.0034519, 0.00159113, 0.000454896, 8.71118e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0141508");
-            index_3 ("0.333294, 0.354106, 0.410536, 0.432478, 0.443184, 0.46508, 0.48559, 0.506125, 0.528985, 0.550013, 0.557579, 0.582967, 0.600624, 0.619679, 0.63608, 0.651407, 0.671844, 0.686865, 0.703597, 0.725907, 0.770527, 0.831189, 0.905694");
-            values ( \
-              "0.0340786, 0.0399001, 0.0874554, 0.104196, 0.11124, 0.122003, 0.126239, 0.121456, 0.102058, 0.0825241, 0.0775041, 0.0556063, 0.0425755, 0.0314361, 0.0239672, 0.0185107, 0.012981, 0.00998364, 0.00743367, 0.00497585, 0.00214237, 0.000623465, 0.000127625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0278998");
-            index_3 ("0.333313, 0.358577, 0.373145, 0.413296, 0.456284, 0.491377, 0.52338, 0.550066, 0.554431, 0.563162, 0.574112, 0.585598, 0.600975, 0.658147, 0.705296, 0.731268, 0.751099, 0.777539, 0.803793, 0.836883, 0.873322, 0.898114, 0.914343, 0.946801, 1.01172, 1.09397, 1.17621");
-            values ( \
-              "0.0306069, 0.0489921, 0.0610353, 0.0989776, 0.134198, 0.15216, 0.16124, 0.162901, 0.163911, 0.163642, 0.160673, 0.154452, 0.143501, 0.0988674, 0.0681481, 0.0540963, 0.0449651, 0.0347303, 0.0266735, 0.0189328, 0.0128348, 0.00983067, 0.00825743, 0.00577499, 0.00275582, 0.00102791, 0.000394209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0550075");
-            index_3 ("0.351196, 0.391434, 0.441698, 0.472329, 0.514176, 0.53213, 0.558346, 0.567744, 0.578472, 0.632369, 0.674056, 0.723798, 0.781273, 0.92135, 0.976034, 1.04052, 1.08531, 1.12517, 1.1718, 1.23398, 1.27689, 1.34259, 1.42484, 1.50709, 1.59174");
-            values ( \
-              "0.0747578, 0.0826182, 0.130806, 0.15462, 0.175948, 0.182643, 0.190182, 0.192103, 0.192347, 0.18489, 0.177888, 0.166075, 0.144558, 0.0855741, 0.0659967, 0.0473005, 0.0369805, 0.0295227, 0.0225306, 0.0155339, 0.0119962, 0.00803078, 0.0047917, 0.0028482, 0.0020739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.108453");
-            index_3 ("0.367349, 0.431137, 0.449539, 0.486162, 0.509162, 0.56423, 0.582078, 0.599525, 0.703739, 0.839627, 0.908227, 0.990475, 1.01928, 1.07652, 1.1439, 1.32408, 1.43647, 1.51853, 1.5756, 1.64307, 1.72532, 1.75885, 1.82593, 1.89662, 1.97887, 2.06718, 2.19937, 2.36387, 2.52836, 2.69286, 2.93961");
-            values ( \
-              "0.105001, 0.124355, 0.14224, 0.169572, 0.18298, 0.204106, 0.206804, 0.205969, 0.198677, 0.188634, 0.182541, 0.172927, 0.16836, 0.158006, 0.143468, 0.101753, 0.0777747, 0.0626859, 0.053505, 0.0439885, 0.0344381, 0.0310441, 0.0252551, 0.0201596, 0.0155212, 0.0116256, 0.00752362, 0.00434713, 0.0024997, 0.00143177, 0.000644309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.636651, 0.68237, 0.691116, 0.708608, 0.746771, 0.769583, 0.77945, 0.799758, 0.817928, 0.835981, 0.854015, 0.856878, 0.862603, 0.871495, 0.882267, 0.915058, 0.918937, 0.926696, 0.949691, 0.951642, 0.955543, 0.978953, 0.993943, 1.01819, 1.05051, 1.097, 1.21595, 1.24051, 1.27366");
-            values ( \
-              "0.00501931, 0.00658773, 0.00772428, 0.0110626, 0.0217312, 0.0276969, 0.0300816, 0.0345955, 0.0374369, 0.0352279, 0.0283649, 0.0287541, 0.0270218, 0.0257004, 0.0226355, 0.0140354, 0.0133927, 0.0114692, 0.00730276, 0.00714378, 0.0064829, 0.00382254, 0.0026751, 0.00144905, 0.000652998, 0.00011812, 1e-22, 0.000335389, 0.000185452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00717728");
-            index_3 ("0.637584, 0.667136, 0.676501, 0.692908, 0.702591, 0.721956, 0.765643, 0.794635, 0.832018, 0.854507, 0.876313, 0.898072, 0.900146, 0.904293, 0.912587, 0.95999, 0.974138, 0.991444, 1.00505, 1.01575, 1.02923, 1.04832, 1.07114, 1.08923, 1.12541, 1.18072, 1.21597, 1.23699, 1.26336, 1.28723");
-            values ( \
-              "0.00861436, 0.00888293, 0.00950327, 0.012025, 0.0138495, 0.019575, 0.0350619, 0.0443904, 0.0550432, 0.0597192, 0.058952, 0.0501355, 0.0504021, 0.0485811, 0.0459813, 0.0267175, 0.0217301, 0.0164139, 0.0129334, 0.0106325, 0.00820597, 0.0055843, 0.00347049, 0.00233873, 0.00100839, 0.000236395, 0.000118392, 0.000632949, 0.000501796, 0.000193352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0141508");
-            index_3 ("0.632814, 0.689925, 0.720902, 0.812474, 0.851114, 0.883859, 0.913204, 0.941368, 0.971397, 1.06401, 1.08387, 1.10328, 1.11984, 1.14192, 1.16177, 1.19066, 1.21586, 1.23363, 1.27338, 1.28791");
-            values ( \
-              "0.00762468, 0.0158822, 0.0238608, 0.059178, 0.072717, 0.0830888, 0.0904881, 0.0906505, 0.0790329, 0.0341242, 0.026485, 0.0202685, 0.0159547, 0.0114052, 0.00833309, 0.00520359, 0.00340491, 0.00342965, 0.00184674, 0.00148072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0278998");
-            index_3 ("0.662174, 0.722633, 0.74268, 0.786233, 0.827532, 0.919728, 0.964032, 1.00449, 1.04419, 1.0838, 1.09237, 1.12666, 1.1601, 1.19631, 1.21318, 1.22788, 1.24909, 1.26743, 1.28065, 1.30711, 1.34546, 1.36577, 1.37636, 1.39756, 1.43994, 1.52219, 1.60444, 1.68669");
-            values ( \
-              "0.0237556, 0.0292259, 0.03615, 0.0547612, 0.0715855, 0.106799, 0.120157, 0.127773, 0.125841, 0.111671, 0.107943, 0.0910749, 0.073879, 0.0562042, 0.0488613, 0.0441738, 0.0363697, 0.0302712, 0.0265003, 0.020123, 0.0133838, 0.0107671, 0.00959802, 0.007633, 0.00476644, 0.00180947, 0.00066804, 0.000261445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0550075");
-            index_3 ("0.687421, 0.756917, 0.92459, 0.990843, 1.02175, 1.08609, 1.14707, 1.20812, 1.22525, 1.46035, 1.54296, 1.62937, 1.75467, 1.88761, 1.95685");
-            values ( \
-              "0.0449058, 0.0454539, 0.116293, 0.140025, 0.148445, 0.160151, 0.164389, 0.159379, 0.156015, 0.0634715, 0.0411136, 0.0251734, 0.0119146, 0.00521936, 0.00379783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.108453");
-            index_3 ("0.70656, 0.831702, 0.94224, 1.02449, 1.07174, 1.15399, 1.21565, 1.23633, 1.25893, 1.37743, 1.43685, 1.49697, 1.57922, 1.91367, 2.05415, 2.12132, 2.23664, 2.3738, 2.45605, 2.54522, 2.67799, 2.84248, 3.00698, 3.04227");
-            values ( \
-              "0.049148, 0.0795655, 0.127975, 0.157993, 0.170574, 0.185597, 0.19233, 0.193505, 0.193283, 0.18343, 0.176996, 0.168463, 0.152807, 0.0779246, 0.0533614, 0.0439545, 0.0310668, 0.0202195, 0.0155306, 0.0116301, 0.00751587, 0.00434724, 0.00250419, 0.0023436" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00358541, 0.00359843, 0.00361089, 0.00362042, 0.00362657, 0.00363014", \
-            "0.00451502, 0.00453004, 0.00454709, 0.00456234, 0.00457323, 0.00457994", \
-            "0.00505951, 0.00506823, 0.00507428, 0.00508356, 0.00509248, 0.00509889", \
-            "0.00540495, 0.00538446, 0.00536998, 0.00536068, 0.00535125, 0.0053468", \
-            "0.0056543, 0.00562671, 0.0055869, 0.00554006, 0.00550074, 0.00547293", \
-            "0.0057939, 0.00576087, 0.00572274, 0.00568035, 0.00563156, 0.00557939" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00528178, 0.00528202, 0.00528716, 0.00528778, 0.00529386, 0.00529789", \
-            "0.00543737, 0.00537618, 0.00531708, 0.00526661, 0.00524232, 0.00523029", \
-            "0.00528709, 0.00517393, 0.00506093, 0.00496065, 0.00488496, 0.00483452", \
-            "0.00554853, 0.0052796, 0.00507772, 0.00488963, 0.00475324, 0.00466179", \
-            "0.00565005, 0.00568448, 0.00551285, 0.00509082, 0.00485785, 0.0046839", \
-            "0.00547882, 0.00553377, 0.00559508, 0.00562314, 0.00516924, 0.00487739" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0169017, 0.0259474, 0.0329781, 0.0359608, 0.039052, 0.0444366, 0.0478964, 0.0513468, 0.0570689, 0.0618632, 0.0665833, 0.0729928, 0.0779852, 0.0836541");
-            values ( \
-              "-0.0132042, -0.167143, -0.160566, -0.161675, -0.169003, -0.192722, -0.186975, -0.160487, -0.0859869, -0.0456574, -0.0236859, -0.00971812, -0.00498583, -0.0027028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00717728");
-            index_3 ("0.0172375, 0.0264665, 0.0340655, 0.0396316, 0.0427189, 0.0527066, 0.0575066, 0.0622994, 0.0757798, 0.0804474, 0.087859, 0.0936224");
-            values ( \
-              "-0.0222607, -0.247747, -0.239504, -0.23884, -0.24231, -0.275896, -0.263299, -0.225768, -0.074524, -0.0473423, -0.0223411, -0.0128635" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0141508");
-            index_3 ("0.0177655, 0.0256643, 0.0271097, 0.0283441, 0.0373519, 0.0412892, 0.0453012, 0.0532322, 0.0609274, 0.0683307, 0.0760154, 0.0787068, 0.0842068, 0.0942665, 0.0989254, 0.106768, 0.110928, 0.116474, 0.123558, 0.128157, 0.137355, 0.153601, 0.172886, 0.197363");
-            values ( \
-              "-0.00124758, -0.321423, -0.32969, -0.331004, -0.322189, -0.320297, -0.319586, -0.323034, -0.338749, -0.350337, -0.329659, -0.315434, -0.268568, -0.168478, -0.130961, -0.0830288, -0.0645708, -0.0457362, -0.029282, -0.0218639, -0.0120533, -0.00398836, -0.00118032, -0.000338658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0278998");
-            index_3 ("0.0184375, 0.0258281, 0.0271789, 0.0304, 0.0474391, 0.0666846, 0.0731369, 0.0858325, 0.0983609, 0.112107, 0.116999, 0.123521, 0.140706, 0.156398, 0.167797, 0.173272, 0.184222, 0.193659, 0.20944, 0.230483, 0.246119");
-            values ( \
-              "-0.0155968, -0.38117, -0.394259, -0.401999, -0.393325, -0.390098, -0.390911, -0.400237, -0.402146, -0.372243, -0.353216, -0.317664, -0.206401, -0.127323, -0.0869923, -0.0720587, -0.0488973, -0.0349029, -0.0196761, -0.00883353, -0.00565195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0550075");
-            index_3 ("0.0208691, 0.0232169, 0.0255245, 0.0268325, 0.0279019, 0.0291241, 0.0313998, 0.0336036, 0.0368368, 0.052206, 0.0886754, 0.102733, 0.111454, 0.128896, 0.134139, 0.144623, 0.156854, 0.179692, 0.182682, 0.186669, 0.194642, 0.20784, 0.22949, 0.243281, 0.248002, 0.257444, 0.276327, 0.283326, 0.289062, 0.296709, 0.309178, 0.326675, 0.333766, 0.34795, 0.376318, 0.426521, 0.434224");
-            values ( \
-              "-0.212657, -0.303371, -0.412108, -0.433011, -0.442111, -0.448128, -0.453309, -0.454416, -0.454284, -0.449982, -0.441027, -0.43808, -0.436865, -0.437549, -0.439017, -0.437446, -0.430106, -0.401191, -0.395744, -0.387269, -0.366439, -0.321135, -0.239403, -0.193146, -0.178858, -0.152689, -0.109453, -0.0964494, -0.086853, -0.0753904, -0.05963, -0.0426116, -0.037177, -0.0282104, -0.0159969, -0.00545176, -0.00490561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.108453");
-            index_3 ("0.0206424, 0.0264803, 0.0305445, 0.0415579, 0.18563, 0.227992, 0.270403, 0.2927, 0.316477, 0.362704, 0.405514, 0.463956, 0.509965, 0.556626, 0.592665, 0.630728, 0.71019, 0.781062");
-            values ( \
-              "-0.226662, -0.457784, -0.483511, -0.48981, -0.46424, -0.459282, -0.446431, -0.432571, -0.412633, -0.343402, -0.255663, -0.156925, -0.102646, -0.0653907, -0.0455234, -0.0310172, -0.0135858, -0.00732526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0310044, 0.0352145, 0.0509338, 0.0521071, 0.0579507, 0.0632314, 0.0666611, 0.0700847, 0.0758272, 0.0804373, 0.0840283, 0.0903417, 0.0974996, 0.105847, 0.106039");
-            values ( \
-              "-0.00476349, -0.0575378, -0.151797, -0.163645, -0.174613, -0.194958, -0.187818, -0.161084, -0.0858744, -0.0467517, -0.0283452, -0.0117271, -0.00449892, -0.00165554, -0.00165141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00717728");
-            index_3 ("0.0311365, 0.0381231, 0.0528799, 0.0539077, 0.0578983, 0.0615307, 0.0691417, 0.0714404, 0.0761822, 0.0790127, 0.0883368, 0.0947706, 0.101545, 0.106774, 0.116511, 0.126949, 0.136");
-            values ( \
-              "-0.0111208, -0.109919, -0.243255, -0.245066, -0.244125, -0.246012, -0.27065, -0.274533, -0.265542, -0.24568, -0.129963, -0.0720853, -0.0370509, -0.021867, -0.00815181, -0.00283679, -0.00206457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0141508");
-            index_3 ("0.0322528, 0.0383946, 0.0459098, 0.0532199, 0.0545603, 0.064204, 0.0694702, 0.0721121, 0.0773961, 0.0797928, 0.0835765, 0.08716, 0.094481, 0.0970507, 0.0997918, 0.113887, 0.121574, 0.125804, 0.129389, 0.133562, 0.139539, 0.146399, 0.151777, 0.162533, 0.180255, 0.201732, 0.229459");
-            values ( \
-              "-0.0176121, -0.148565, -0.242651, -0.326485, -0.328667, -0.322158, -0.322349, -0.324501, -0.333324, -0.340244, -0.347575, -0.348614, -0.33165, -0.318451, -0.298829, -0.16168, -0.105397, -0.0820753, -0.0660997, -0.0510884, -0.0350478, -0.0227468, -0.0161552, -0.00802055, -0.00242154, -0.000676289, -0.000219419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0278998");
-            index_3 ("0.0328901, 0.040813, 0.0521061, 0.0537114, 0.0554177, 0.0662939, 0.0740679, 0.0890945, 0.0976939, 0.104666, 0.117183, 0.130112, 0.135925, 0.142568, 0.164646, 0.176064, 0.18415, 0.191594, 0.201519, 0.209366, 0.218262, 0.230123, 0.253845, 0.269628");
-            values ( \
-              "-0.0393063, -0.214447, -0.382859, -0.398933, -0.402091, -0.395164, -0.392041, -0.390215, -0.394121, -0.401252, -0.401734, -0.374569, -0.352744, -0.316274, -0.177452, -0.123877, -0.094602, -0.0733439, -0.0516883, -0.0390511, -0.028367, -0.0183732, -0.00734821, -0.00469545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0550075");
-            index_3 ("0.033567, 0.0443354, 0.0524293, 0.0548917, 0.0567664, 0.0751443, 0.120745, 0.130229, 0.149197, 0.152912, 0.160344, 0.175635, 0.197274, 0.203694, 0.212254, 0.248152, 0.262033, 0.27628, 0.295277, 0.307775, 0.327816, 0.345378, 0.366862, 0.395507, 0.44571, 0.495913, 0.546116");
-            values ( \
-              "-0.0692863, -0.298617, -0.437837, -0.454331, -0.456459, -0.449493, -0.438216, -0.436846, -0.437738, -0.43891, -0.438216, -0.43034, -0.403095, -0.390966, -0.369938, -0.239943, -0.193131, -0.152457, -0.109196, -0.0869061, -0.0597415, -0.0427152, -0.0281755, -0.0158198, -0.00544515, -0.00183703, -0.000713733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.108453");
-            index_3 ("0.0338522, 0.0530655, 0.0542168, 0.0586908, 0.20384, 0.246725, 0.260244, 0.289789, 0.326411, 0.352945, 0.387, 0.424891, 0.456182, 0.480349, 0.499063, 0.527501, 0.551429, 0.576313, 0.611847, 0.627321, 0.658269, 0.708472, 0.758675, 0.808878, 0.859082, 0.959488");
-            values ( \
-              "-0.115493, -0.476429, -0.484418, -0.491701, -0.464033, -0.459351, -0.456785, -0.44549, -0.420754, -0.391433, -0.331641, -0.254077, -0.197129, -0.159932, -0.135116, -0.103605, -0.0824061, -0.0645902, -0.0452441, -0.0387218, -0.028252, -0.0167333, -0.00988248, -0.00582492, -0.00344108, -0.00121112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0563259, 0.0625376, 0.0717182, 0.0904456, 0.096275, 0.105117, 0.108828, 0.112776, 0.122902, 0.126726, 0.133754, 0.136302");
-            values ( \
-              "-0.0099348, -0.0322652, -0.0516318, -0.102511, -0.122141, -0.176295, -0.177334, -0.153804, -0.0455436, -0.0264328, -0.00982775, -0.00711499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00717728");
-            index_3 ("0.059061, 0.0642011, 0.0733533, 0.0842162, 0.0962904, 0.103133, 0.11383, 0.115051, 0.116309, 0.118538, 0.121735, 0.130565, 0.1352, 0.14054, 0.14318, 0.148483, 0.155603, 0.163198, 0.174799, 0.180344");
-            values ( \
-              "-0.0468903, -0.0537181, -0.0840399, -0.128764, -0.173904, -0.204677, -0.277514, -0.277795, -0.276973, -0.267576, -0.243737, -0.13025, -0.0857881, -0.0514705, -0.0392148, -0.0228513, -0.0111045, -0.00543498, -0.00152508, -0.00141375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0141508");
-            index_3 ("0.0592191, 0.083403, 0.109338, 0.114482, 0.123336, 0.125851, 0.129413, 0.136691, 0.139344, 0.142052, 0.156068, 0.163832, 0.171543, 0.175731, 0.181716, 0.188621, 0.194039, 0.204874, 0.220707");
-            values ( \
-              "-0.0409851, -0.169484, -0.29662, -0.326882, -0.345848, -0.349544, -0.349766, -0.332017, -0.31825, -0.298631, -0.161939, -0.105088, -0.0663032, -0.0511989, -0.0351006, -0.0227146, -0.0160884, -0.00794225, -0.00297865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0278998");
-            index_3 ("0.0651543, 0.0808208, 0.0919769, 0.108309, 0.114851, 0.117887, 0.120476, 0.126849, 0.134203, 0.146905, 0.159416, 0.173167, 0.178089, 0.184652, 0.201311, 0.208649, 0.218051, 0.228861, 0.234035, 0.244384, 0.254631, 0.26029, 0.271607, 0.294241, 0.324734, 0.362315");
-            values ( \
-              "-0.131649, -0.189063, -0.260541, -0.35468, -0.389554, -0.395696, -0.394026, -0.391772, -0.391578, -0.400352, -0.402193, -0.372227, -0.353057, -0.317191, -0.209107, -0.168177, -0.124873, -0.0869868, -0.0728082, -0.0505205, -0.0350324, -0.02857, -0.0188923, -0.0079217, -0.00236188, -0.000549821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0550075");
-            index_3 ("0.0652134, 0.0769963, 0.0897711, 0.10476, 0.11469, 0.118355, 0.121696, 0.131416, 0.161071, 0.172461, 0.195094, 0.217743, 0.233987, 0.241661, 0.253871, 0.268775, 0.290282, 0.304209, 0.318098, 0.328526, 0.34254, 0.354227, 0.367481, 0.386307, 0.394707, 0.406143, 0.42139, 0.451886, 0.502089, 0.552292");
-            values ( \
-              "-0.150259, -0.186115, -0.279472, -0.380715, -0.443812, -0.452513, -0.450076, -0.446371, -0.438579, -0.436814, -0.438151, -0.431536, -0.411983, -0.399472, -0.37143, -0.321316, -0.24004, -0.193316, -0.15355, -0.12819, -0.0997237, -0.0804981, -0.0627879, -0.0437495, -0.0372254, -0.0298373, -0.0221345, -0.0119763, -0.00408476, -0.00143122" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.108453");
-            index_3 ("0.0650722, 0.0848575, 0.112484, 0.115471, 0.119546, 0.123803, 0.13497, 0.230387, 0.279992, 0.289197, 0.307607, 0.332321, 0.37127, 0.39079, 0.416817, 0.49076, 0.523053, 0.543643, 0.570379, 0.592952, 0.618152, 0.653934, 0.69102, 0.719422, 0.769625, 0.819828, 0.870031, 0.970437");
-            values ( \
-              "-0.13225, -0.262423, -0.466165, -0.481943, -0.49041, -0.488163, -0.485574, -0.467136, -0.459823, -0.459445, -0.45531, -0.445442, -0.418656, -0.397644, -0.356506, -0.210372, -0.159458, -0.132494, -0.103106, -0.0830828, -0.0650002, -0.0453512, -0.0312043, -0.0233842, -0.0137881, -0.00817819, -0.00478134, -0.00165648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.105104, 0.116651, 0.124175, 0.129289, 0.164021, 0.173143, 0.180479, 0.186427, 0.191722, 0.197026, 0.20697, 0.212104, 0.218115, 0.223036, 0.229598, 0.23867, 0.248959, 0.253611, 0.265389, 0.265562");
-            values ( \
-              "-0.00623885, -0.0164845, -0.0213267, -0.0256703, -0.0649687, -0.0786459, -0.100025, -0.120832, -0.126398, -0.116721, -0.0522022, -0.0288154, -0.013523, -0.00724123, -0.003127, -0.00112515, -0.000540155, -0.00161439, -0.000439591, -0.000438675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00717728");
-            index_3 ("0.107046, 0.119893, 0.133305, 0.172832, 0.183394, 0.199449, 0.206139, 0.213039, 0.224741, 0.230305, 0.235577, 0.239731, 0.245028, 0.248936, 0.252128, 0.266246, 0.273239, 0.274191");
-            values ( \
-              "-0.0110117, -0.0292173, -0.0454953, -0.111785, -0.133144, -0.19037, -0.19593, -0.177483, -0.0868672, -0.0543593, -0.0336069, -0.0225832, -0.0135713, -0.00928397, -0.00891633, -0.00260709, -0.00144805, -0.00142972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0141508");
-            index_3 ("0.128159, 0.155206, 0.165283, 0.178626, 0.186342, 0.188431, 0.191564, 0.193753, 0.195942, 0.19813, 0.200319, 0.205793, 0.211266, 0.216018, 0.220771, 0.223006, 0.225241, 0.227476, 0.229711, 0.231942, 0.234174, 0.236405, 0.238637, 0.239109, 0.240053, 0.242805, 0.245397, 0.246351, 0.247304, 0.249211, 0.249802, 0.250392, 0.250983, 0.251573, 0.252067, 0.255029, 0.256647, 0.258264, 0.260376, 0.262488, 0.264263, 0.266039, 0.268124, 0.269167, 0.270209, 0.271701, 0.273193, 0.274685, 0.276177, 0.278667");
-            values ( \
-              "-0.103098, -0.112309, -0.134248, -0.162874, -0.179608, -0.184351, -0.191856, -0.197455, -0.203335, -0.209496, -0.215937, -0.237993, -0.258888, -0.276088, -0.292412, -0.289711, -0.286421, -0.282542, -0.278074, -0.273026, -0.267391, -0.26117, -0.254361, -0.251693, -0.244369, -0.221788, -0.199788, -0.189578, -0.178433, -0.153338, -0.153699, -0.153327, -0.152222, -0.150385, -0.148287, -0.126002, -0.115323, -0.105279, -0.0933203, -0.0820495, -0.0731722, -0.0651847, -0.0576016, -0.0540809, -0.0507407, -0.0463674, -0.0423021, -0.0385448, -0.0350957, -0.0299869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0278998");
-            index_3 ("0.105782, 0.139751, 0.164277, 0.209404, 0.22603, 0.24467, 0.248891, 0.251153, 0.253317, 0.256553, 0.263026, 0.266219, 0.272605, 0.280858, 0.2968, 0.30438, 0.308209, 0.315868, 0.325291, 0.330621, 0.341282, 0.34888, 0.352848, 0.360785, 0.376657, 0.405507, 0.439581, 0.482971");
-            values ( \
-              "-0.01348, -0.095072, -0.163963, -0.280449, -0.324729, -0.386171, -0.396183, -0.399797, -0.400185, -0.396525, -0.38342, -0.374633, -0.349828, -0.30239, -0.199673, -0.158923, -0.140888, -0.109731, -0.0798163, -0.066366, -0.0454297, -0.0346269, -0.0300259, -0.0225202, -0.0124837, -0.00395839, -0.00103822, -0.000225816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0550075");
-            index_3 ("0.124494, 0.154924, 0.171662, 0.205698, 0.249848, 0.251603, 0.252993, 0.266268, 0.275019, 0.288932, 0.311539, 0.327536, 0.335449, 0.347653, 0.362562, 0.384067, 0.397994, 0.411885, 0.422313, 0.436325, 0.448011, 0.461265, 0.48009, 0.499925, 0.515172, 0.545666, 0.595869, 0.646072");
-            values ( \
-              "-0.109248, -0.155835, -0.209516, -0.310627, -0.435194, -0.439103, -0.439604, -0.437281, -0.436936, -0.438935, -0.431209, -0.412353, -0.399494, -0.371458, -0.321326, -0.240047, -0.193322, -0.153551, -0.128191, -0.0997248, -0.0805009, -0.062792, -0.0437508, -0.0298384, -0.0221374, -0.0119767, -0.00408613, -0.0014303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.108453");
-            index_3 ("0.124388, 0.152415, 0.180561, 0.24111, 0.248804, 0.252651, 0.257768, 0.273787, 0.340633, 0.395545, 0.40897, 0.426031, 0.460154, 0.471447, 0.494032, 0.523236, 0.581221, 0.618789, 0.638674, 0.664662, 0.685781, 0.711323, 0.747365, 0.785432, 0.814694, 0.864897, 0.9151, 0.965303, 1.06571");
-            values ( \
-              "-0.118751, -0.160026, -0.256343, -0.448347, -0.471462, -0.478567, -0.481353, -0.477123, -0.46416, -0.45687, -0.452744, -0.445198, -0.422978, -0.41253, -0.384473, -0.331329, -0.216298, -0.156757, -0.130935, -0.102675, -0.0839032, -0.0653561, -0.0455521, -0.0310347, -0.022999, -0.0136046, -0.00802449, -0.00473561, -0.0016586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.189754, 0.216016, 0.227764, 0.248357, 0.258078, 0.266926, 0.311756, 0.326131, 0.34642, 0.354347, 0.362231, 0.379825, 0.385703, 0.390783, 0.401545, 0.405071");
-            values ( \
-              "-0.00226359, -0.00469785, -0.00705503, -0.0122561, -0.0153822, -0.0191299, -0.0409911, -0.0502252, -0.0806727, -0.0842309, -0.0824346, -0.0283838, -0.0162761, -0.00976849, -0.00310883, -0.00248527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00717728");
-            index_3 ("0.19456, 0.215485, 0.232861, 0.254537, 0.270017, 0.324349, 0.340863, 0.365418, 0.375448, 0.385498, 0.415403, 0.421687, 0.428469");
-            values ( \
-              "-0.00436777, -0.00745886, -0.0133667, -0.0226755, -0.0316761, -0.0711379, -0.0854186, -0.12641, -0.130917, -0.12637, -0.0262637, -0.0162903, -0.0106777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0141508");
-            index_3 ("0.203228, 0.214974, 0.234546, 0.253308, 0.273738, 0.345498, 0.365503, 0.396659, 0.409948, 0.423246, 0.453602, 0.467499, 0.475907, 0.481759, 0.493464, 0.513427, 0.52009");
-            values ( \
-              "-0.0081485, -0.0106918, -0.0207088, -0.0321951, -0.0481414, -0.117212, -0.138006, -0.188806, -0.194522, -0.183311, -0.0659783, -0.0328695, -0.0209764, -0.0151916, -0.00774015, -0.00221684, -0.00175896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0278998");
-            index_3 ("0.214806, 0.252387, 0.280163, 0.309427, 0.404657, 0.44577, 0.463948, 0.482119, 0.518888, 0.533233, 0.55028, 0.567371, 0.576655, 0.591747, 0.607772");
-            values ( \
-              "-0.0122083, -0.0412745, -0.0682691, -0.103946, -0.213104, -0.273958, -0.278962, -0.255567, -0.111631, -0.0717369, -0.0405362, -0.0235296, -0.0167916, -0.00962494, -0.00605399" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0550075");
-            index_3 ("0.214479, 0.267472, 0.29018, 0.36567, 0.463279, 0.4926, 0.519052, 0.544641, 0.550002, 0.555425, 0.56315, 0.604197, 0.620461, 0.645489, 0.670769, 0.689517, 0.709054, 0.724039, 0.75401, 0.804213, 0.854416");
-            values ( \
-              "-0.00940477, -0.0654073, -0.0927136, -0.194259, -0.317116, -0.358296, -0.388415, -0.388426, -0.385255, -0.37485, -0.352792, -0.203151, -0.155486, -0.0999965, -0.0625541, -0.0436642, -0.0299501, -0.0223318, -0.0122241, -0.00416678, -0.00146151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.108453");
-            index_3 ("0.259907, 0.317072, 0.365598, 0.45115, 0.510297, 0.55095, 0.554383, 0.591978, 0.612172, 0.63507, 0.674469, 0.680529, 0.692649, 0.716888, 0.795109, 0.82363, 0.843121, 0.872164, 0.897485, 0.931247, 0.972578, 0.998249, 1.04845, 1.09866, 1.14886, 1.19906, 1.29947");
-            values ( \
-              "-0.114173, -0.139845, -0.211657, -0.331651, -0.409913, -0.461261, -0.463338, -0.459361, -0.455007, -0.445234, -0.41847, -0.412565, -0.398941, -0.361307, -0.207716, -0.162611, -0.136446, -0.104083, -0.0816849, -0.0585284, -0.0386666, -0.0298115, -0.0176523, -0.0104412, -0.00614141, -0.0036401, -0.00128485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.407997, 0.467101, 0.484904, 0.507501, 0.518956, 0.536583, 0.545617, 0.563686, 0.60073, 0.617467, 0.635767, 0.649682, 0.658062, 0.671713, 0.6839, 0.695942, 0.704954, 0.720574, 0.725393, 0.730533, 0.738232, 0.743727, 0.754716, 0.770426, 0.789727, 0.843635");
-            values ( \
-              "-0.000961401, -0.00347544, -0.00461375, -0.00644512, -0.00759021, -0.00974575, -0.0112229, -0.0146087, -0.0220259, -0.0255833, -0.0302595, -0.0367358, -0.0436526, -0.0523823, -0.0549984, -0.054092, -0.0458007, -0.0214235, -0.0155793, -0.0108065, -0.00605679, -0.00401137, -0.001684, -0.00061251, -0.000268951, -0.00010565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00717728");
-            index_3 ("0.407552, 0.474439, 0.485847, 0.501057, 0.516463, 0.5458, 0.578053, 0.635504, 0.661515, 0.682368, 0.699384, 0.714743, 0.729978, 0.741384, 0.74662, 0.752663, 0.766406, 0.776753, 0.784138, 0.793985, 0.810707, 0.83041");
-            values ( \
-              "-0.0011235, -0.00660616, -0.00791812, -0.0098707, -0.0121607, -0.0177993, -0.0272224, -0.0448782, -0.054455, -0.069453, -0.082693, -0.0853193, -0.0846114, -0.0706642, -0.062631, -0.0494741, -0.0260728, -0.0146873, -0.00951385, -0.00528288, -0.00171, -0.000666749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0141508");
-            index_3 ("0.457345, 0.517489, 0.559507, 0.665985, 0.697099, 0.745036, 0.765307, 0.785523, 0.800669, 0.833154, 0.851181, 0.864371, 0.881958, 0.895166");
-            values ( \
-              "-0.0140946, -0.0187502, -0.0307863, -0.0747377, -0.0889501, -0.123814, -0.127354, -0.124999, -0.103745, -0.0408707, -0.0197944, -0.0112316, -0.00514738, -0.00315197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0278998");
-            index_3 ("0.457135, 0.50512, 0.555087, 0.604632, 0.652575, 0.754063, 0.817181, 0.844703, 0.872247, 0.876027, 0.887732, 0.933026, 0.948151, 0.9613, 0.97823, 1.0008, 1.0312");
-            values ( \
-              "-0.0198691, -0.0220158, -0.0389306, -0.0624915, -0.087769, -0.138074, -0.179949, -0.184956, -0.178411, -0.17381, -0.156196, -0.0659894, -0.0451381, -0.0316586, -0.019651, -0.00993312, -0.0040411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0550075");
-            index_3 ("0.456907, 0.531096, 0.560429, 0.599001, 0.696599, 0.841702, 0.907577, 0.926385, 0.964342, 1.00229, 1.07939, 1.11118, 1.14335, 1.16506, 1.18988, 1.21584, 1.27459, 1.36525");
-            values ( \
-              "-0.016088, -0.037324, -0.0501831, -0.0700744, -0.127928, -0.207729, -0.248914, -0.257065, -0.265008, -0.246971, -0.105497, -0.0639115, -0.0366525, -0.0248043, -0.0155817, -0.00945958, -0.00345681, -0.000545391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.108453");
-            index_3 ("0.507141, 0.584123, 0.82097, 1.03106, 1.05626, 1.08625, 1.13645, 1.1563, 1.17436, 1.19451, 1.26721, 1.30457, 1.34397, 1.40016, 1.45277, 1.48994, 1.54014, 1.59035, 1.59476");
-            values ( \
-              "-0.06191, -0.0696446, -0.217296, -0.340551, -0.353604, -0.365528, -0.371155, -0.364875, -0.350357, -0.323011, -0.192914, -0.138854, -0.0960461, -0.0553516, -0.0326113, -0.0222556, -0.0131633, -0.0077652, -0.00748549" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00325251, 0.00325953, 0.00326702, 0.00327318, 0.00327733, 0.00327979", \
-            "0.00382661, 0.0038295, 0.00383387, 0.00383869, 0.00384265, 0.00384529", \
-            "0.00415471, 0.00415553, 0.0041569, 0.00415906, 0.00416159, 0.00416371", \
-            "0.00433559, 0.00433594, 0.00433643, 0.0043371, 0.00433811, 0.00433934", \
-            "0.00442845, 0.00442876, 0.00442914, 0.00442956, 0.00442999, 0.00443051", \
-            "0.00448022, 0.0044804, 0.00448087, 0.00448157, 0.00448225, 0.00448282" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00467738, 0.00468524, 0.00469242, 0.00469765, 0.0047008, 0.00470252", \
-            "0.00501818, 0.00502614, 0.00503166, 0.00503455, 0.00503567, 0.00503596", \
-            "0.00510857, 0.00512715, 0.00514031, 0.00514837, 0.00515142, 0.00515237", \
-            "0.00529816, 0.00523922, 0.00519448, 0.00516432, 0.00514379, 0.0051301", \
-            "0.0058091, 0.00557477, 0.00538825, 0.00526461, 0.00519148, 0.00514957", \
-            "0.00667453, 0.00637769, 0.00583823, 0.00550082, 0.00530997, 0.00520265" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0451329, 0.0614921, 0.09266, 0.153394, 0.272196, 0.505796", \
-            "0.0495729, 0.0658256, 0.0972955, 0.158279, 0.27732, 0.511105", \
-            "0.0627166, 0.0783642, 0.109029, 0.170246, 0.289184, 0.52309", \
-            "0.0874785, 0.107781, 0.139453, 0.199379, 0.317901, 0.551746", \
-            "0.125375, 0.153967, 0.199451, 0.268843, 0.384177, 0.616957", \
-            "0.1919, 0.229248, 0.29123, 0.389329, 0.536566, 0.768531" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0441989, 0.0665925, 0.110069, 0.195169, 0.362594, 0.692164", \
-            "0.043993, 0.066431, 0.110069, 0.195143, 0.36257, 0.692162", \
-            "0.0476752, 0.067467, 0.109828, 0.195191, 0.362594, 0.692163", \
-            "0.0660716, 0.0828861, 0.117389, 0.195858, 0.362571, 0.692167", \
-            "0.0928202, 0.117312, 0.156285, 0.220922, 0.367184, 0.692162", \
-            "0.136701, 0.169588, 0.223395, 0.305784, 0.434279, 0.714122" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0234811, 0.0300556, 0.0426015, 0.0669263, 0.114554, 0.208222", \
-            "0.0282733, 0.0349322, 0.0475784, 0.0719987, 0.119704, 0.213431", \
-            "0.0353188, 0.0445319, 0.0588691, 0.0833987, 0.131184, 0.224995", \
-            "0.0399719, 0.0540177, 0.0755115, 0.107786, 0.156876, 0.250624", \
-            "0.0367871, 0.0571953, 0.0893242, 0.137414, 0.207486, 0.30861", \
-            "0.0121867, 0.0414892, 0.0877604, 0.15819, 0.262278, 0.411183" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0152586, 0.0233495, 0.0395094, 0.0713295, 0.134226, 0.258521", \
-            "0.0164235, 0.0237567, 0.0395125, 0.0713236, 0.134191, 0.25822", \
-            "0.024279, 0.0309242, 0.043468, 0.0720934, 0.134201, 0.258219", \
-            "0.038217, 0.0478143, 0.0629843, 0.0864566, 0.139205, 0.258221", \
-            "0.0615985, 0.0754436, 0.0971616, 0.129765, 0.178025, 0.275472", \
-            "0.103583, 0.122828, 0.153173, 0.199967, 0.269363, 0.370031" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0286426, 0.0304005, 0.0319354, 0.034455, 0.036974, 0.0395671, 0.0439536, 0.044937, 0.0563632, 0.0584763, 0.0633221, 0.071144, 0.0741739, 0.0776366, 0.0828284, 0.0898027, 0.0977735, 0.103595, 0.108597, 0.115084, 0.123717, 0.13216, 0.143418, 0.15971, 0.171267, 0.19438, 0.226625, 0.266136");
-            values ( \
-              "0.0231284, 0.126204, 0.130175, 0.130083, 0.128855, 0.125183, 0.120238, 0.118457, 0.105173, 0.101735, 0.0914529, 0.0683398, 0.0606016, 0.0530279, 0.0439692, 0.0348213, 0.0268123, 0.0223276, 0.0190431, 0.0154597, 0.0116946, 0.00889471, 0.00612639, 0.00357719, 0.00242407, 0.00107764, 0.000319888, 6.79821e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00717728");
-            index_3 ("0.0299115, 0.0317804, 0.0341223, 0.0546372, 0.0726308, 0.0784031, 0.100147, 0.118155, 0.137674, 0.159614, 0.172229, 0.193198, 0.221805, 0.253036");
-            values ( \
-              "0.113119, 0.163784, 0.167511, 0.147565, 0.133491, 0.126499, 0.0819811, 0.0544133, 0.0346763, 0.0205314, 0.015042, 0.00882593, 0.00422113, 0.00248563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0141508");
-            index_3 ("0.0297881, 0.0335976, 0.0368178, 0.0573404, 0.0878747, 0.109293, 0.119342, 0.159009, 0.177169, 0.211266, 0.247927, 0.274454, 0.295023, 0.33343, 0.370503");
-            values ( \
-              "0.0744811, 0.193372, 0.193851, 0.176862, 0.163825, 0.151526, 0.142368, 0.0895606, 0.0704614, 0.0425708, 0.0235113, 0.0149601, 0.0104365, 0.0052798, 0.00351913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0278998");
-            index_3 ("0.029863, 0.0362232, 0.0491996, 0.0558933, 0.0667467, 0.108416, 0.136064, 0.164945, 0.184732, 0.218125, 0.276913, 0.313805, 0.340932, 0.362518, 0.3913, 0.414244, 0.443355, 0.48217, 0.527854, 0.564826, 0.63877, 0.677017");
-            values ( \
-              "0.104518, 0.212047, 0.201255, 0.197867, 0.194641, 0.185243, 0.178224, 0.16938, 0.160961, 0.138295, 0.0932351, 0.0691754, 0.0543776, 0.0444612, 0.0335275, 0.0266127, 0.0196967, 0.0130161, 0.00796275, 0.00528888, 0.00224842, 0.0015915" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0550075");
-            index_3 ("0.0324389, 0.0324589, 0.102418, 0.179763, 0.229501, 0.283792, 0.311394, 0.34165, 0.400749, 0.485132, 0.520327, 0.557681, 0.605065, 0.641451, 0.689965, 0.725224, 0.753318, 0.790776, 0.857106, 0.887404, 0.932543, 0.99273, 1.07543, 1.15813, 1.24083, 1.40622");
-            values ( \
-              "1e-22, 0.219932, 0.203309, 0.193662, 0.186772, 0.177865, 0.171974, 0.163367, 0.140479, 0.104144, 0.0898309, 0.0756951, 0.059944, 0.0496041, 0.0380461, 0.0312101, 0.0265663, 0.0213348, 0.0143232, 0.0119325, 0.00906747, 0.00624257, 0.00370989, 0.00219125, 0.00129806, 0.00045302" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.108453");
-            index_3 ("0.0353585, 0.0353785, 0.168601, 0.313023, 0.445243, 0.517365, 0.564642, 0.628431, 0.71113, 0.753305, 0.933025, 1.04514, 1.12759, 1.18525, 1.25257, 1.33526, 1.36812, 1.43384, 1.50502, 1.58771, 1.67673, 1.72725, 1.80994, 1.89264, 1.97534, 2.14074, 2.30614, 2.55423");
-            values ( \
-              "1e-22, 0.230019, 0.208286, 0.198734, 0.188929, 0.182571, 0.177464, 0.168446, 0.152731, 0.143507, 0.101853, 0.0779165, 0.062691, 0.0534216, 0.043978, 0.0343429, 0.0310615, 0.025341, 0.0202333, 0.0155222, 0.0116294, 0.00986447, 0.00750518, 0.00570749, 0.00432905, 0.00248746, 0.00142614, 0.00061905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0428027, 0.047478, 0.0508864, 0.051623, 0.0529926, 0.0552574, 0.0580496, 0.0607085, 0.0633852, 0.0749874, 0.0810893, 0.0913026, 0.0941392, 0.0998123, 0.106338, 0.111196, 0.120602, 0.126651, 0.139269, 0.146814, 0.158296, 0.17275, 0.181206, 0.198118, 0.227756, 0.262573");
-            values ( \
-              "0.0049226, 0.0744809, 0.0929052, 0.104014, 0.114422, 0.122025, 0.124519, 0.123231, 0.120423, 0.105119, 0.0934901, 0.0634841, 0.056728, 0.0459508, 0.0368929, 0.0314581, 0.023142, 0.0191538, 0.012759, 0.0100217, 0.00688426, 0.00426877, 0.00320613, 0.00181102, 0.000600971, 0.000169445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00717728");
-            index_3 ("0.0440303, 0.0522909, 0.0545298, 0.0565368, 0.0580247, 0.0600085, 0.0639228, 0.0686306, 0.0816002, 0.0913692, 0.100574, 0.113897, 0.122227, 0.129838, 0.138737, 0.146592, 0.156891, 0.165141, 0.176142, 0.186923, 0.19154, 0.200775, 0.215997, 0.22132, 0.233382, 0.247167, 0.274737, 0.297571");
-            values ( \
-              "0.017738, 0.140383, 0.154532, 0.159924, 0.161367, 0.161137, 0.158499, 0.153099, 0.141433, 0.13301, 0.120708, 0.0912278, 0.0751443, 0.0631019, 0.0517318, 0.0432224, 0.0340398, 0.0280342, 0.0214489, 0.0164542, 0.0146844, 0.0116195, 0.00786906, 0.00685378, 0.00503632, 0.00349913, 0.00166301, 0.00101797" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0141508");
-            index_3 ("0.0452406, 0.0536111, 0.0555033, 0.0562028, 0.0576018, 0.060293, 0.0629346, 0.0675384, 0.0720669, 0.0768916, 0.0840142, 0.0915712, 0.106398, 0.106832, 0.119853, 0.128761, 0.140023, 0.165668, 0.174712, 0.185478, 0.195779, 0.207551, 0.229804, 0.24034, 0.251712, 0.266408, 0.278507, 0.293044, 0.313582, 0.326893, 0.351767, 0.384932, 0.444638, 0.515438");
-            values ( \
-              "0.0202407, 0.171846, 0.188449, 0.182466, 0.193331, 0.185963, 0.192928, 0.180252, 0.184818, 0.172996, 0.177809, 0.165975, 0.168047, 0.163564, 0.156819, 0.150812, 0.139929, 0.104806, 0.0933542, 0.0809777, 0.0704015, 0.0595398, 0.0425641, 0.0360977, 0.0300103, 0.0235441, 0.0192077, 0.0149379, 0.0104428, 0.00824364, 0.00528986, 0.00289464, 0.000854614, 0.000205892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0278998");
-            index_3 ("0.0463624, 0.0551721, 0.0582437, 0.0614753, 0.0748208, 0.082727, 0.127311, 0.154949, 0.182852, 0.197412, 0.212943, 0.218245, 0.236791, 0.277178, 0.311287, 0.331962, 0.359621, 0.382083, 0.399344, 0.433719, 0.448835, 0.471991, 0.505086, 0.519372, 0.547944, 0.605087, 0.687786, 0.770485, 0.853184");
-            values ( \
-              "0.0288738, 0.199353, 0.207498, 0.208624, 0.199092, 0.195751, 0.18522, 0.178224, 0.169836, 0.16388, 0.15558, 0.15209, 0.13838, 0.106568, 0.0826175, 0.0696084, 0.0544477, 0.0441664, 0.0373669, 0.0264635, 0.0226543, 0.0177843, 0.012482, 0.0107128, 0.00785126, 0.00412752, 0.00154535, 0.000579228, 0.000212353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0550075");
-            index_3 ("0.0522892, 0.0523092, 0.113863, 0.204086, 0.274911, 0.302851, 0.357797, 0.4006, 0.533683, 0.621527, 0.701534, 0.754973, 0.820053, 0.880007, 0.992011, 1.15741, 1.16306");
-            values ( \
-              "1e-22, 0.226309, 0.204263, 0.192954, 0.182734, 0.17788, 0.16453, 0.148515, 0.0920624, 0.060681, 0.0396875, 0.0293632, 0.0200874, 0.0140091, 0.00707403, 0.00248848, 0.00244351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.108453");
-            index_3 ("0.0555813, 0.0556013, 0.174563, 0.332156, 0.464339, 0.58442, 0.647564, 0.730262, 1.06427, 1.20437, 1.27169, 1.38725, 1.52414, 1.60684, 1.69584, 1.82906, 1.99446, 2.15985, 2.19324");
-            values ( \
-              "1e-22, 0.235499, 0.20913, 0.19873, 0.188931, 0.177388, 0.168443, 0.152734, 0.0779132, 0.0534267, 0.0439739, 0.031057, 0.0202286, 0.0155281, 0.0116234, 0.00749881, 0.00432229, 0.00248039, 0.00233072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0769529, 0.0883611, 0.0917813, 0.0963414, 0.0995761, 0.102152, 0.104142, 0.106132, 0.109421, 0.112709, 0.112924, 0.113567, 0.114211, 0.115069, 0.115498, 0.115927, 0.116728, 0.11753, 0.118319, 0.119109, 0.119881, 0.121426, 0.122198, 0.123062, 0.125653, 0.130375, 0.135504, 0.137732, 0.140287, 0.142187, 0.144282, 0.148473, 0.149731, 0.150988, 0.15476, 0.157138, 0.159516, 0.161894, 0.164272, 0.17087, 0.175506, 0.180142, 0.184778, 0.188656, 0.193073, 0.196017, 0.198961, 0.20485, 0.210738, 0.216154");
-            values ( \
-              "0.0563571, 0.0569414, 0.0640629, 0.0730036, 0.0791113, 0.0834147, 0.0876175, 0.092586, 0.09659, 0.101073, 0.101509, 0.104025, 0.105616, 0.106666, 0.10698, 0.107153, 0.106933, 0.106551, 0.106017, 0.105326, 0.104364, 0.101969, 0.100536, 0.0985971, 0.0922064, 0.0776863, 0.0629587, 0.0574324, 0.0522655, 0.0487931, 0.0452768, 0.0389352, 0.037164, 0.0356981, 0.0316059, 0.02928, 0.0271241, 0.0251383, 0.0233225, 0.0188106, 0.0162569, 0.0140434, 0.0121086, 0.0106882, 0.00914428, 0.00832305, 0.0075648, 0.00626281, 0.00516153, 0.00431321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00717728");
-            index_3 ("0.0699706, 0.0796489, 0.086484, 0.0946342, 0.100728, 0.106826, 0.11261, 0.114275, 0.116369, 0.117363, 0.118499, 0.120771, 0.125359, 0.129812, 0.134671, 0.143248, 0.148148, 0.154682, 0.162216, 0.172213, 0.182848, 0.1905, 0.202903, 0.207951, 0.216157, 0.227124, 0.237274, 0.250806, 0.265559, 0.273539, 0.2895, 0.321422, 0.363293, 0.415066");
-            values ( \
-              "0.00744745, 0.0460387, 0.0678464, 0.0907324, 0.106226, 0.120988, 0.133286, 0.141308, 0.146269, 0.146921, 0.147262, 0.146809, 0.1435, 0.139071, 0.133844, 0.121874, 0.111704, 0.0965278, 0.080926, 0.0642636, 0.0507368, 0.042593, 0.0319243, 0.0283376, 0.0232446, 0.0177549, 0.0137973, 0.00976624, 0.00669229, 0.005456, 0.00358106, 0.00148845, 0.000424882, 9.13425e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0141508");
-            index_3 ("0.0703267, 0.0792579, 0.112814, 0.115617, 0.119859, 0.165556, 0.18171, 0.212389, 0.227064, 0.246159, 0.274929, 0.28967, 0.30769, 0.323862, 0.345425, 0.364509, 0.395777, 0.437469, 0.451277");
-            values ( \
-              "0.0305419, 0.0511099, 0.160179, 0.175119, 0.179193, 0.155014, 0.141444, 0.0995706, 0.0821056, 0.0631961, 0.0410228, 0.0324501, 0.024064, 0.0183212, 0.0126102, 0.00903117, 0.00517377, 0.00235231, 0.00197536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0278998");
-            index_3 ("0.0735356, 0.0916893, 0.116025, 0.119955, 0.141937, 0.194195, 0.219658, 0.240969, 0.257665, 0.336308, 0.372934, 0.417192, 0.445732, 0.470054, 0.513856, 0.547427, 0.609267, 0.662925, 0.7101");
-            values ( \
-              "0.00553533, 0.105216, 0.195985, 0.200223, 0.192074, 0.179217, 0.17183, 0.163633, 0.154441, 0.0949329, 0.0708133, 0.0475831, 0.0361294, 0.0283167, 0.0179938, 0.0125729, 0.00643499, 0.00352256, 0.00226335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0550075");
-            index_3 ("0.0792529, 0.0999539, 0.114382, 0.116847, 0.119218, 0.121666, 0.139678, 0.145335, 0.238292, 0.291478, 0.328074, 0.345769, 0.373372, 0.403627, 0.462726, 0.547107, 0.582303, 0.619656, 0.667038, 0.703421, 0.751932, 0.787191, 0.815283, 0.852738, 0.919061, 0.949351, 0.994474, 1.05464, 1.13734, 1.22004, 1.30274, 1.46813");
-            values ( \
-              "0.0485928, 0.142845, 0.20028, 0.209615, 0.212201, 0.212887, 0.206857, 0.205828, 0.194114, 0.186767, 0.181055, 0.17786, 0.17198, 0.163362, 0.140486, 0.10415, 0.0898282, 0.0757009, 0.0599417, 0.0496025, 0.0380525, 0.0312084, 0.026565, 0.0213405, 0.0143218, 0.0119315, 0.00906699, 0.00624847, 0.0037084, 0.00219535, 0.00129554, 0.000450145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.108453");
-            index_3 ("0.079316, 0.115089, 0.121563, 0.138983, 0.148606, 0.403389, 0.507578, 0.57179, 0.62726, 0.690781, 0.77348, 0.995373, 1.10748, 1.18993, 1.24759, 1.31491, 1.43046, 1.49618, 1.56735, 1.65005, 1.73905, 1.87226, 2.03766, 2.20305, 2.61655");
-            values ( \
-              "0.0496949, 0.21085, 0.219958, 0.214745, 0.213583, 0.196728, 0.18892, 0.183321, 0.177425, 0.168453, 0.152725, 0.101857, 0.0779204, 0.0626892, 0.0534211, 0.0439805, 0.0310634, 0.0253418, 0.0202349, 0.0155229, 0.0116289, 0.00750406, 0.00432724, 0.00248512, 0.000622541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.12444, 0.139168, 0.145169, 0.169005, 0.191185, 0.201999, 0.211882, 0.221275, 0.230669, 0.236458, 0.242633, 0.248856, 0.251086, 0.253486, 0.273535, 0.287148, 0.301374, 0.312287, 0.332846, 0.350726, 0.382639, 0.42056");
-            values ( \
-              "0.00665268, 0.0111533, 0.0152889, 0.0405507, 0.0572684, 0.0638824, 0.0687428, 0.0708397, 0.0632332, 0.049713, 0.0385971, 0.0310099, 0.0316849, 0.0307469, 0.0177352, 0.0114603, 0.00721336, 0.00501628, 0.00253636, 0.00137023, 0.000418045, 9.26254e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00717728");
-            index_3 ("0.124521, 0.143502, 0.146556, 0.157524, 0.167225, 0.180078, 0.206637, 0.220037, 0.232272, 0.244088, 0.248937, 0.252164, 0.256682, 0.263707, 0.270958, 0.280295, 0.292744, 0.300325, 0.307136, 0.315533, 0.326671, 0.337227, 0.351303, 0.361509, 0.372953, 0.388211, 0.418727, 0.460333, 0.511407");
-            values ( \
-              "0.00735225, 0.0198944, 0.0226079, 0.0365457, 0.0502147, 0.0648501, 0.0909841, 0.101757, 0.109454, 0.109557, 0.102246, 0.100403, 0.0908104, 0.0779351, 0.0658517, 0.0533352, 0.0401002, 0.0336394, 0.0286545, 0.0234475, 0.0177907, 0.0136795, 0.00958828, 0.0073493, 0.00546974, 0.00369985, 0.00157118, 0.000486356, 7.62291e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0141508");
-            index_3 ("0.124266, 0.150321, 0.157131, 0.171944, 0.179717, 0.195163, 0.210422, 0.230506, 0.239594, 0.243709, 0.247199, 0.248681, 0.249724, 0.250973, 0.252354, 0.255115, 0.258857, 0.263696, 0.27129, 0.280847, 0.307568, 0.320549, 0.32785, 0.333142, 0.340198, 0.351914, 0.366919, 0.370648, 0.378106, 0.390222, 0.406337, 0.411225, 0.421, 0.440552, 0.456475, 0.462333, 0.474051, 0.497485, 0.544354, 0.605458, 0.681049");
-            values ( \
-              "0.00537486, 0.0337417, 0.0433473, 0.0658503, 0.0767146, 0.0968969, 0.115665, 0.138234, 0.146945, 0.150546, 0.153364, 0.154438, 0.157569, 0.159534, 0.160431, 0.160641, 0.159163, 0.155962, 0.149858, 0.139925, 0.103362, 0.0873236, 0.0793553, 0.0738607, 0.0669515, 0.0565304, 0.0450838, 0.0425781, 0.037881, 0.0311774, 0.023876, 0.0220073, 0.018649, 0.0132909, 0.0100582, 0.00907594, 0.0073777, 0.00483893, 0.0019827, 0.000570796, 0.000112277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0278998");
-            index_3 ("0.137488, 0.160839, 0.18206, 0.197585, 0.222258, 0.239999, 0.253641, 0.259991, 0.271148, 0.312031, 0.323845, 0.347472, 0.354783, 0.369403, 0.390217, 0.427248, 0.454092, 0.474587, 0.500488, 0.520212, 0.546511, 0.56741, 0.572964, 0.584074, 0.606293, 0.642584, 0.659193, 0.683353, 0.715567, 0.779995, 0.862694, 0.945393");
-            values ( \
-              "0.0318561, 0.0542108, 0.0879907, 0.111354, 0.146541, 0.169823, 0.186875, 0.189007, 0.184888, 0.173321, 0.169389, 0.158961, 0.154324, 0.14418, 0.127589, 0.0990341, 0.080819, 0.0681012, 0.0540631, 0.0449812, 0.0348181, 0.0282245, 0.0266898, 0.0238078, 0.0189001, 0.0128128, 0.0107266, 0.00826318, 0.00581228, 0.00277572, 0.00104287, 0.000383507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0550075");
-            index_3 ("0.137536, 0.235887, 0.25403, 0.261267, 0.267773, 0.280786, 0.335958, 0.387989, 0.442271, 0.497074, 0.518536, 0.540474, 0.64835, 0.70753, 0.761297, 0.806911, 0.840097, 0.89438, 0.917407, 0.959873, 1.01965, 1.04626, 1.08231, 1.13038, 1.21308, 1.29578, 1.37848, 1.54388");
-            values ( \
-              "0.023521, 0.177648, 0.202966, 0.205667, 0.203504, 0.201024, 0.193981, 0.186783, 0.177908, 0.164553, 0.156952, 0.148333, 0.102186, 0.0788582, 0.0605795, 0.0477808, 0.0398777, 0.0293661, 0.0257197, 0.0200408, 0.0139912, 0.0119173, 0.00957357, 0.00712084, 0.0042331, 0.00250523, 0.0014815, 0.000516065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.108453");
-            index_3 ("0.164058, 0.200868, 0.252857, 0.260547, 0.267276, 0.281071, 0.471559, 0.614765, 0.676026, 0.758725, 0.787077, 0.843782, 0.91169, 1.09189, 1.20428, 1.28633, 1.34339, 1.41086, 1.49356, 1.52665, 1.59284, 1.66384, 1.74654, 1.83509, 1.96799, 2.13339, 2.29879, 2.46419, 2.71228");
-            values ( \
-              "0.124495, 0.128126, 0.21147, 0.215274, 0.213106, 0.211236, 0.198707, 0.188015, 0.182553, 0.17285, 0.168373, 0.158099, 0.14348, 0.10176, 0.0777801, 0.0626774, 0.0534995, 0.0439957, 0.0343847, 0.0310496, 0.025319, 0.0202021, 0.0155212, 0.0116258, 0.00750729, 0.00432616, 0.00248169, 0.00141872, 0.000629763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.256154, 0.29005, 0.301224, 0.31288, 0.344802, 0.361587, 0.369418, 0.385574, 0.400393, 0.414562, 0.428473, 0.442365, 0.453605, 0.465124, 0.472775, 0.485399, 0.50254, 0.512929, 0.525184, 0.545298, 0.550113, 0.555339, 0.557715, 0.562468, 0.583455, 0.600091, 0.626377, 0.667009");
-            values ( \
-              "0.00536868, 0.00656599, 0.00914382, 0.0132779, 0.030004, 0.0363565, 0.0386475, 0.0427162, 0.0454415, 0.047249, 0.047101, 0.0385902, 0.0263917, 0.0187894, 0.0150999, 0.0105107, 0.00637306, 0.00467524, 0.0032557, 0.00169992, 0.001508, 0.00292015, 0.00323357, 0.00331416, 0.00188338, 0.00107094, 0.000413012, 8.02341e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00717728");
-            index_3 ("0.246844, 0.28292, 0.298181, 0.315612, 0.347694, 0.367081, 0.390303, 0.410591, 0.429167, 0.446679, 0.464026, 0.487368, 0.499114, 0.513991, 0.520546, 0.531312, 0.55025, 0.554834, 0.559202, 0.584842, 0.595081, 0.612809, 0.636445, 0.677591, 0.726667");
-            values ( \
-              "0.00567628, 0.00865427, 0.0127115, 0.020223, 0.0412432, 0.0511078, 0.0602793, 0.0670387, 0.0720906, 0.075389, 0.0738356, 0.0494754, 0.0387056, 0.0282267, 0.0246205, 0.0194232, 0.0125485, 0.0136005, 0.0135789, 0.00790217, 0.00608728, 0.00384104, 0.00203635, 0.000611705, 0.000134226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0141508");
-            index_3 ("0.256161, 0.292242, 0.303912, 0.318574, 0.325035, 0.354369, 0.393362, 0.423946, 0.450415, 0.474503, 0.497181, 0.519868, 0.537898, 0.550088, 0.550969, 0.552631, 0.557834, 0.595048, 0.606976, 0.622879, 0.645562, 0.659241, 0.686115, 0.70163, 0.732659, 0.788161, 0.789085");
-            values ( \
-              "0.0123976, 0.015849, 0.020494, 0.028244, 0.0325568, 0.0542254, 0.0760178, 0.0905351, 0.101732, 0.110123, 0.11456, 0.104296, 0.0839201, 0.0722188, 0.0726689, 0.0723966, 0.0695951, 0.0413151, 0.0342012, 0.0263539, 0.0180053, 0.0142217, 0.00887573, 0.00674113, 0.00382401, 0.00128332, 0.00126983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0278998");
-            index_3 ("0.275259, 0.324997, 0.355352, 0.372263, 0.382759, 0.403753, 0.435414, 0.476441, 0.511853, 0.543968, 0.55022, 0.556157, 0.565093, 0.581374, 0.593772, 0.644551, 0.680393, 0.70784, 0.727193, 0.747699, 0.775041, 0.793874, 0.815939, 0.845358, 0.870067, 0.878401, 0.895069, 0.928405, 0.995076, 1.07777, 1.16047");
-            values ( \
-              "0.0256853, 0.0382917, 0.0609423, 0.0728731, 0.0795218, 0.092548, 0.111049, 0.133611, 0.150336, 0.161669, 0.162473, 0.163782, 0.160891, 0.150315, 0.141088, 0.101298, 0.0770455, 0.0608185, 0.0510481, 0.0420511, 0.0321139, 0.0265586, 0.021161, 0.0155101, 0.011912, 0.0108935, 0.00910182, 0.00631686, 0.00294436, 0.00109792, 0.000412527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0550075");
-            index_3 ("0.304953, 0.361216, 0.377241, 0.427845, 0.446401, 0.464956, 0.473592, 0.482227, 0.490862, 0.499497, 0.506317, 0.513138, 0.519958, 0.526778, 0.532936, 0.545251, 0.551408, 0.554353, 0.560241, 0.570443, 0.578689, 0.606332, 0.626112, 0.632705, 0.64666, 0.660615, 0.718488, 0.722877, 0.736045, 0.758364, 0.79706, 0.835756, 0.891446, 0.907938, 0.938144, 0.965573, 0.979287, 0.998576, 1.01257, 1.02657, 1.05111, 1.06985, 1.10713, 1.11832, 1.13511, 1.16713, 1.19279, 1.22276, 1.23774, 1.26154");
-            values ( \
-              "0.0649265, 0.0688039, 0.080535, 0.115373, 0.127516, 0.139126, 0.146561, 0.154715, 0.163589, 0.173183, 0.174398, 0.175966, 0.177885, 0.180157, 0.182511, 0.18808, 0.191295, 0.193972, 0.193526, 0.192169, 0.190729, 0.186724, 0.183655, 0.182589, 0.180037, 0.177241, 0.163164, 0.161887, 0.157123, 0.148349, 0.132015, 0.115222, 0.0916194, 0.0852668, 0.0742855, 0.0650469, 0.0606893, 0.0549512, 0.0508906, 0.047089, 0.0413683, 0.0374138, 0.0302093, 0.0281984, 0.025408, 0.0211941, 0.0182735, 0.0153105, 0.0139952, 0.0121221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.108453");
-            index_3 ("0.27508, 0.35706, 0.420301, 0.489903, 0.550041, 0.564193, 0.588368, 0.596197, 0.687682, 0.758097, 0.838503, 0.892147, 0.974846, 1.00319, 1.05989, 1.12781, 1.308, 1.35649, 1.42039, 1.50245, 1.5595, 1.62698, 1.70967, 1.74277, 1.80896, 1.87996, 1.96266, 2.01355, 2.0512, 2.10141, 2.18411, 2.2668, 2.3495, 2.5149, 2.6803, 2.92839");
-            values ( \
-              "0.0259197, 0.069377, 0.115871, 0.164734, 0.203636, 0.206924, 0.205964, 0.20482, 0.198717, 0.193668, 0.187375, 0.182555, 0.172846, 0.168378, 0.158096, 0.143486, 0.101766, 0.091073, 0.0777854, 0.0626723, 0.0534947, 0.0440008, 0.0343799, 0.0310546, 0.0253144, 0.0202071, 0.0155166, 0.0131403, 0.0116304, 0.00988686, 0.00751175, 0.00572295, 0.00433053, 0.00248597, 0.00142294, 0.000625647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.522708, 0.608349, 0.642365, 0.655697, 0.678515, 0.725879, 0.754052, 0.778048, 0.799947, 0.8209, 0.841421, 0.861927, 0.88321, 0.904403, 0.928651, 0.963233, 0.997371, 1.03639, 1.20399");
-            values ( \
-              "0.00171201, 0.00290684, 0.00491203, 0.00620452, 0.0098267, 0.0207982, 0.0257512, 0.0289271, 0.0307768, 0.0319179, 0.0320186, 0.0298434, 0.0188669, 0.0113328, 0.00624141, 0.00249544, 0.000967357, 0.000294415, 3.54266e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00717728");
-            index_3 ("0.522516, 0.605368, 0.648521, 0.667256, 0.688668, 0.745778, 0.7802, 0.809918, 0.837195, 0.863269, 0.888739, 0.914187, 0.948735, 0.965719, 0.990794, 1.02273, 1.06348, 1.09777, 1.15056, 1.21592, 1.22209, 1.23414, 1.2371");
-            values ( \
-              "0.00328143, 0.00485051, 0.00876259, 0.0118022, 0.0169507, 0.0338946, 0.0412234, 0.0458063, 0.0487197, 0.0505865, 0.0509908, 0.0460864, 0.0259532, 0.0192611, 0.0118511, 0.00603607, 0.0024282, 0.00106453, 0.000271489, 6.31952e-05, 0.00148593, 0.00171663, 0.00163127" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0141508");
-            index_3 ("0.52214, 0.598558, 0.627002, 0.65628, 0.687023, 0.763086, 0.80455, 0.823659, 0.86305, 0.899059, 0.933066, 0.966271, 0.999413, 1.04342, 1.06752, 1.09972, 1.13432, 1.16153, 1.17296, 1.18662, 1.21571, 1.22739, 1.24129, 1.28147, 1.30316");
-            values ( \
-              "0.00605565, 0.00727946, 0.0101345, 0.0144619, 0.0217428, 0.0474535, 0.0577095, 0.0615159, 0.0682174, 0.073138, 0.0765708, 0.0771504, 0.065207, 0.0402562, 0.0297906, 0.0189136, 0.0111146, 0.00714451, 0.00591121, 0.00471194, 0.00287949, 0.0041684, 0.00426812, 0.00205111, 0.00142377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0278998");
-            index_3 ("0.522345, 0.617255, 0.647713, 0.679192, 0.692579, 0.755256, 0.811204, 0.834252, 0.895586, 0.949109, 0.997542, 1.04293, 1.08809, 1.13317, 1.21606, 1.21769, 1.22094, 1.22545, 1.28201, 1.32288, 1.3706, 1.39894, 1.45563, 1.5236");
-            values ( \
-              "0.0081281, 0.013048, 0.0179829, 0.0250424, 0.0289039, 0.0517214, 0.0688408, 0.0746955, 0.0886718, 0.0994924, 0.107839, 0.113324, 0.109495, 0.0866539, 0.0476356, 0.047823, 0.0475066, 0.0464111, 0.0272632, 0.0178557, 0.0107164, 0.00787498, 0.00416187, 0.00202293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0550075");
-            index_3 ("0.521937, 0.64811, 0.687046, 0.78904, 0.859932, 1.00404, 1.0569, 1.11358, 1.14447, 1.20718, 1.22609, 1.24261, 1.37052, 1.45881, 1.54259, 1.58834, 1.62776, 1.66908, 1.72797, 1.80443, 1.88713, 1.96983, 2.05252, 2.06229");
-            values ( \
-              "0.00800887, 0.0234084, 0.0329194, 0.0682895, 0.0894905, 0.127288, 0.139594, 0.15118, 0.156303, 0.159678, 0.156399, 0.150521, 0.0959747, 0.063559, 0.0409322, 0.0316381, 0.0252286, 0.0198086, 0.0138818, 0.00870916, 0.00519995, 0.00306929, 0.00182772, 0.00177016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.108453");
-            index_3 ("0.614787, 0.767879, 0.854261, 0.986176, 1.05334, 1.12184, 1.21551, 1.23634, 1.2645, 1.37634, 1.41394, 1.48727, 1.56997, 1.61218, 1.7919, 1.90401, 1.98645, 2.04411, 2.11143, 2.19412, 2.22698, 2.29269, 2.36386, 2.44656, 2.53554, 2.58605, 2.66875, 2.75145, 2.83414, 2.99954, 3.16494, 3.41304");
-            values ( \
-              "0.0371751, 0.0643484, 0.0929694, 0.132833, 0.152169, 0.170739, 0.193486, 0.19407, 0.192185, 0.182536, 0.178578, 0.168479, 0.152716, 0.143525, 0.101862, 0.0779248, 0.062686, 0.0534192, 0.0439847, 0.0343405, 0.0310671, 0.0253403, 0.0202385, 0.0155213, 0.0116318, 0.00986708, 0.00750659, 0.00570952, 0.00432928, 0.00248685, 0.00142487, 0.000621397" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00313971, 0.0031963, 0.00324631, 0.00328292, 0.00330628, 0.00331972", \
-            "0.00409245, 0.00416182, 0.00423765, 0.0043007, 0.00434387, 0.00436994", \
-            "0.00485289, 0.00488361, 0.00492362, 0.00497269, 0.00501434, 0.00504295", \
-            "0.00546378, 0.00541725, 0.0053884, 0.00536985, 0.00536994, 0.00537697", \
-            "0.00585483, 0.00578965, 0.0057202, 0.00565118, 0.00559203, 0.00555826", \
-            "0.00614159, 0.00602411, 0.00592017, 0.00583809, 0.00576687, 0.00569785" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00505167, 0.00508234, 0.00512545, 0.00516494, 0.00519177, 0.00520755", \
-            "0.00595023, 0.00579836, 0.00566302, 0.00556272, 0.00550744, 0.00548315", \
-            "0.00623437, 0.00589035, 0.00559811, 0.00537461, 0.00522496, 0.00513535", \
-            "0.00672259, 0.00623614, 0.0056826, 0.00530151, 0.00505126, 0.0049027", \
-            "0.00630964, 0.00641666, 0.00637437, 0.00565607, 0.00519231, 0.00491621", \
-            "0.00589126, 0.00608686, 0.00625994, 0.00636558, 0.00578042, 0.00519725" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0214106, 0.0246677, 0.0253621, 0.0255265, 0.0258553, 0.0264712, 0.0271087, 0.0279463, 0.0291378, 0.0302976, 0.0326414, 0.034988, 0.0350817, 0.0366522, 0.0387278, 0.0428334, 0.0488, 0.0515414, 0.0543094, 0.0564269, 0.0592463, 0.0630054, 0.0661083");
-            values ( \
-              "-0.0228103, -0.244279, -0.268006, -0.255737, -0.272516, -0.263339, -0.280303, -0.269915, -0.285964, -0.273462, -0.285527, -0.266329, -0.272738, -0.26504, -0.249069, -0.195105, -0.0896436, -0.0584333, -0.0373049, -0.025864, -0.0158865, -0.00824406, -0.00524234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00717728");
-            index_3 ("0.0230252, 0.0241144, 0.0247687, 0.0271758, 0.0289579, 0.0332573, 0.0378999, 0.042436, 0.0453551, 0.0494242, 0.052299, 0.0626872, 0.0671143, 0.071433, 0.0756632, 0.0829933, 0.0877985");
-            values ( \
-              "-0.166209, -0.30878, -0.327045, -0.354244, -0.361121, -0.36405, -0.357903, -0.345175, -0.331391, -0.30229, -0.270368, -0.115768, -0.0734707, -0.046113, -0.0287519, -0.0123147, -0.00780739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0141508");
-            index_3 ("0.0235673, 0.0250819, 0.0260793, 0.0285129, 0.0324289, 0.0358991, 0.0418521, 0.051739, 0.0588897, 0.0638592, 0.0674585, 0.0744929, 0.0810529, 0.0898118, 0.0968211, 0.103888, 0.109311, 0.114954, 0.127926, 0.137544");
-            values ( \
-              "-0.282255, -0.392142, -0.407813, -0.424178, -0.431092, -0.430667, -0.425081, -0.409191, -0.391086, -0.371812, -0.352966, -0.294312, -0.219332, -0.13575, -0.088731, -0.0566067, -0.0394735, -0.027095, -0.0110305, -0.0063815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0278998");
-            index_3 ("0.0243472, 0.0357567, 0.0406944, 0.0562469, 0.0670356, 0.0784709, 0.0898132, 0.100686, 0.106445, 0.114122, 0.132607, 0.14385, 0.156268, 0.169994, 0.182442, 0.189441, 0.203438, 0.230368, 0.230422");
-            values ( \
-              "-0.472883, -0.477062, -0.475307, -0.463333, -0.453119, -0.439236, -0.42037, -0.392638, -0.371051, -0.328795, -0.203828, -0.143405, -0.0942915, -0.0579763, -0.0367313, -0.0283196, -0.0165915, -0.00541168, -0.00540466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0550075");
-            index_3 ("0.0242145, 0.0259241, 0.0284254, 0.0324628, 0.0374796, 0.0570756, 0.0778804, 0.10486, 0.125633, 0.148098, 0.1654, 0.171491, 0.183546, 0.198219, 0.219425, 0.233142, 0.24716, 0.272551, 0.285263, 0.297547, 0.321581, 0.335368, 0.362941, 0.412609, 0.462276");
-            values ( \
-              "-0.426852, -0.471804, -0.492597, -0.503009, -0.505244, -0.498441, -0.488705, -0.473775, -0.460015, -0.439356, -0.416334, -0.405549, -0.376637, -0.325923, -0.243633, -0.196418, -0.15525, -0.0984275, -0.077647, -0.0614785, -0.0385007, -0.0293026, -0.0167387, -0.00559577, -0.00187918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.108453");
-            index_3 ("0.0241854, 0.0288583, 0.0320255, 0.0378495, 0.0808744, 0.138491, 0.187071, 0.219661, 0.262052, 0.280681, 0.306991, 0.35272, 0.39555, 0.426353, 0.452827, 0.472849, 0.498598, 0.519644, 0.544812, 0.580303, 0.617244, 0.645546, 0.695213, 0.74488, 0.794548, 0.893883");
-            values ( \
-              "-0.443062, -0.509522, -0.517649, -0.521374, -0.512649, -0.497594, -0.482554, -0.470546, -0.450207, -0.438471, -0.416039, -0.346556, -0.257436, -0.200565, -0.159045, -0.132606, -0.103955, -0.0848751, -0.0662914, -0.0462585, -0.0317678, -0.0237481, -0.0140066, -0.00828392, -0.00483495, -0.0016514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0368321, 0.0382765, 0.0420233, 0.0486349, 0.0510061, 0.0513331, 0.0519873, 0.0529129, 0.0538048, 0.0554395, 0.0562895, 0.0579896, 0.058951, 0.0608739, 0.0665703, 0.0667304, 0.0682942, 0.0730172, 0.0751119, 0.075947, 0.0761958, 0.0766934, 0.0776886, 0.0792437, 0.0821943, 0.0845515");
-            values ( \
-              "-0.0846553, -0.115196, -0.164454, -0.231436, -0.249497, -0.263054, -0.26084, -0.272768, -0.263302, -0.267121, -0.252635, -0.248236, -0.22949, -0.212888, -0.100888, -0.106138, -0.0775564, -0.0347541, -0.0298849, -0.0199164, -0.0252891, -0.0172759, -0.0202241, -0.0100541, -0.0108107, -0.00543254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00717728");
-            index_3 ("0.0380999, 0.0397806, 0.0446486, 0.0521807, 0.0541524, 0.0559941, 0.0604773, 0.0641696, 0.0683544, 0.0730353, 0.0812618, 0.085798, 0.0894269, 0.0941762, 0.100118, 0.105243");
-            values ( \
-              "-0.13147, -0.177262, -0.250045, -0.348102, -0.35623, -0.356279, -0.347472, -0.332205, -0.302126, -0.242909, -0.119942, -0.0755207, -0.0511734, -0.0301316, -0.0153216, -0.00872093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0141508");
-            index_3 ("0.0389974, 0.0415541, 0.0521343, 0.0535285, 0.057486, 0.0630353, 0.0729885, 0.0795673, 0.0864586, 0.0897194, 0.108311, 0.115624, 0.123025, 0.130669, 0.144475, 0.149104");
-            values ( \
-              "-0.179547, -0.24353, -0.407712, -0.421872, -0.426212, -0.421554, -0.404403, -0.384982, -0.353229, -0.33028, -0.139451, -0.0895999, -0.0558832, -0.0336848, -0.0129869, -0.0102704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0278998");
-            index_3 ("0.0325937, 0.0538893, 0.0574178, 0.0605154, 0.075254, 0.0862217, 0.0974807, 0.108814, 0.119891, 0.124921, 0.131628, 0.153071, 0.160821, 0.174098, 0.181038, 0.190291, 0.197986, 0.206417, 0.217659, 0.240144, 0.254555");
-            values ( \
-              "-0.00399285, -0.468199, -0.473642, -0.473675, -0.463421, -0.452774, -0.439228, -0.420388, -0.392011, -0.373371, -0.338278, -0.195087, -0.153163, -0.0981785, -0.0770547, -0.055216, -0.0416673, -0.0305195, -0.0199576, -0.00809478, -0.00526085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0550075");
-            index_3 ("0.0332225, 0.0539883, 0.0573593, 0.0603436, 0.0731285, 0.103333, 0.123943, 0.145196, 0.166523, 0.177002, 0.18818, 0.195287, 0.204764, 0.217344, 0.238729, 0.252281, 0.26614, 0.284619, 0.291565, 0.304728, 0.316891, 0.333911, 0.340679, 0.354215, 0.381285, 0.430953, 0.48062");
-            values ( \
-              "-0.00831927, -0.495827, -0.502227, -0.503325, -0.49969, -0.485389, -0.473859, -0.459532, -0.440285, -0.427451, -0.410075, -0.395664, -0.370331, -0.325733, -0.242857, -0.196213, -0.155507, -0.111873, -0.0985424, -0.0771112, -0.0611686, -0.0439204, -0.0384874, -0.0294575, -0.0169958, -0.00569579, -0.00190023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.108453");
-            index_3 ("0.0334434, 0.053791, 0.0667711, 0.0723362, 0.0798158, 0.0813648, 0.0844628, 0.0906587, 0.0992245, 0.114891, 0.119458, 0.128593, 0.143315, 0.15834, 0.18839, 0.198086, 0.217479, 0.238934, 0.280087, 0.318396, 0.342065, 0.470966, 0.51732, 0.564076, 0.635903, 0.713453, 0.74026");
-            values ( \
-              "-0.00666659, -0.50725, -0.523999, -0.515214, -0.521274, -0.51318, -0.520173, -0.510995, -0.51664, -0.50506, -0.511599, -0.501553, -0.505334, -0.493485, -0.492248, -0.481442, -0.48254, -0.466699, -0.4549, -0.423729, -0.397205, -0.160284, -0.104243, -0.0660524, -0.0318914, -0.014137, -0.012457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0630516, 0.0658489, 0.0726882, 0.0790916, 0.0877183, 0.0953254, 0.098957, 0.102583, 0.11159, 0.112633, 0.117281, 0.121031, 0.123939, 0.128677");
-            values ( \
-              "-0.042132, -0.0540619, -0.0987783, -0.127146, -0.160993, -0.18181, -0.179736, -0.157539, -0.0565075, -0.0485615, -0.0279116, -0.0151269, -0.00918694, -0.00421824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00717728");
-            index_3 ("0.0623281, 0.0697482, 0.0762836, 0.0876515, 0.0958234, 0.100803, 0.105495, 0.110152, 0.113788, 0.12351, 0.126348, 0.13113, 0.136676, 0.143815, 0.151973, 0.162257");
-            values ( \
-              "-0.00828553, -0.101287, -0.148699, -0.21103, -0.249975, -0.269558, -0.281054, -0.274552, -0.254458, -0.118242, -0.0888465, -0.0535809, -0.0289063, -0.0127487, -0.00460989, -0.00118438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0141508");
-            index_3 ("0.0636244, 0.073848, 0.0764964, 0.0851985, 0.0997299, 0.108535, 0.113913, 0.115155, 0.116783, 0.121637, 0.124832, 0.128527, 0.135561, 0.142124, 0.15088, 0.15789, 0.164957, 0.170378, 0.176019, 0.180339, 0.188981, 0.204837, 0.223598");
-            values ( \
-              "-0.0105497, -0.153666, -0.175189, -0.235234, -0.323403, -0.369482, -0.395628, -0.398425, -0.397142, -0.384285, -0.371779, -0.353039, -0.294173, -0.219423, -0.135819, -0.0887893, -0.0565685, -0.0395253, -0.0271433, -0.0202599, -0.011079, -0.00329024, -0.000716681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0278998");
-            index_3 ("0.0637703, 0.0815101, 0.0917877, 0.105946, 0.114741, 0.117445, 0.126495, 0.139673, 0.150992, 0.156569, 0.163773, 0.166285, 0.171309, 0.178041, 0.189422, 0.196628, 0.203982, 0.213787, 0.217497, 0.224523, 0.230977, 0.240011, 0.243598, 0.250772, 0.26512, 0.291731, 0.322754");
-            values ( \
-              "-0.0204663, -0.232409, -0.307396, -0.402077, -0.455753, -0.461363, -0.454767, -0.439272, -0.420377, -0.407568, -0.386377, -0.37684, -0.352922, -0.310463, -0.231597, -0.187169, -0.148433, -0.106872, -0.0940775, -0.0735442, -0.0583568, -0.0419144, -0.0367401, -0.0281378, -0.016254, -0.00538516, -0.00139892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0550075");
-            index_3 ("0.0638916, 0.0835108, 0.0976419, 0.112537, 0.115492, 0.119266, 0.137504, 0.161745, 0.18745, 0.208807, 0.218774, 0.229406, 0.239767, 0.253581, 0.290391, 0.308723, 0.318958, 0.33232, 0.343132, 0.356239, 0.374702, 0.393978, 0.408754, 0.438307, 0.487974, 0.537642");
-            values ( \
-              "-0.01424, -0.260899, -0.369728, -0.475536, -0.490655, -0.497331, -0.489478, -0.476536, -0.459538, -0.440236, -0.428093, -0.411897, -0.390388, -0.34834, -0.209765, -0.154652, -0.12924, -0.101437, -0.0830145, -0.0647373, -0.0452178, -0.0309998, -0.0230999, -0.0125955, -0.00420596, -0.00140259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.108453");
-            index_3 ("0.0637809, 0.087814, 0.112473, 0.115361, 0.119093, 0.136357, 0.200639, 0.240383, 0.280369, 0.307206, 0.323621, 0.356449, 0.368595, 0.391752, 0.419962, 0.460723, 0.487117, 0.514128, 0.550143, 0.562978, 0.587344, 0.61101, 0.644025, 0.657318, 0.683905, 0.733573, 0.78324, 0.832907, 0.882575, 0.98191");
-            values ( \
-              "-0.0206964, -0.304452, -0.493184, -0.509539, -0.517354, -0.514049, -0.497337, -0.485303, -0.470928, -0.45898, -0.450249, -0.427466, -0.416081, -0.386448, -0.334201, -0.249777, -0.201301, -0.159038, -0.113848, -0.10082, -0.0796095, -0.063018, -0.0451099, -0.0394463, -0.0300029, -0.0178041, -0.0104799, -0.00618615, -0.00361071, -0.00123349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.112938, 0.118685, 0.123489, 0.139934, 0.153606, 0.164368, 0.170187, 0.175795, 0.181392, 0.182302, 0.196373, 0.201885, 0.205958, 0.211387, 0.219767, 0.230746");
-            values ( \
-              "-0.0173643, -0.0228291, -0.0303116, -0.0693899, -0.0935067, -0.109224, -0.115667, -0.11856, -0.110351, -0.106689, -0.0312898, -0.0156774, -0.00915161, -0.00427801, -0.00123829, -0.000818348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00717728");
-            index_3 ("0.112844, 0.123637, 0.129147, 0.139759, 0.152123, 0.16747, 0.178454, 0.185717, 0.192717, 0.2004, 0.216472, 0.225834, 0.235192, 0.243522, 0.248894, 0.25266, 0.253351");
-            values ( \
-              "-0.00533637, -0.0426352, -0.0553442, -0.0889187, -0.119466, -0.152321, -0.172581, -0.182823, -0.186621, -0.168193, -0.0603128, -0.0254698, -0.00995973, -0.00398228, -0.00226349, -0.00444319, -0.00436461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0141508");
-            index_3 ("0.128876, 0.146413, 0.149291, 0.151209, 0.156963, 0.161304, 0.165646, 0.172182, 0.178719, 0.184368, 0.190016, 0.19512, 0.197672, 0.200224, 0.202598, 0.204973, 0.207347, 0.209722, 0.21203, 0.214338, 0.216645, 0.218953, 0.221256, 0.223559, 0.225862, 0.228165, 0.228617, 0.22907, 0.229523, 0.231334, 0.241538, 0.24529, 0.249042, 0.249161, 0.249281, 0.249401, 0.249521, 0.24988, 0.25045, 0.251589, 0.253579, 0.255569, 0.256501, 0.258365, 0.259297, 0.260629, 0.261962, 0.263294, 0.264627, 0.266875");
-            values ( \
-              "-0.124054, -0.124468, -0.133149, -0.138745, -0.155153, -0.167108, -0.178829, -0.196034, -0.212707, -0.226628, -0.240118, -0.251934, -0.25771, -0.263398, -0.26668, -0.269255, -0.271124, -0.272286, -0.272738, -0.272523, -0.27164, -0.270089, -0.266359, -0.261358, -0.255086, -0.247543, -0.245796, -0.243395, -0.240729, -0.227421, -0.146314, -0.121335, -0.0972761, -0.0973942, -0.097375, -0.0972694, -0.0969927, -0.0959845, -0.0938382, -0.0887879, -0.0787154, -0.0692886, -0.0654727, -0.0583047, -0.0549528, -0.0506652, -0.0465363, -0.0425663, -0.038755, -0.0326837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0278998");
-            index_3 ("0.122846, 0.124667, 0.133501, 0.148692, 0.171046, 0.185738, 0.203424, 0.218653, 0.232428, 0.245315, 0.249082, 0.252222, 0.256591, 0.257955, 0.265928, 0.283642, 0.290813, 0.298134, 0.307895, 0.312673, 0.318773, 0.325202, 0.334211, 0.337773, 0.344895, 0.359139, 0.385655, 0.416578");
-            values ( \
-              "-0.0615989, -0.0653144, -0.0895848, -0.144143, -0.215904, -0.259715, -0.309904, -0.349297, -0.379948, -0.398962, -0.400201, -0.400258, -0.390655, -0.38618, -0.350539, -0.231376, -0.187032, -0.14849, -0.10717, -0.0908929, -0.0733632, -0.058239, -0.0418896, -0.0367329, -0.0282039, -0.0163468, -0.00545396, -0.00141451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0550075");
-            index_3 ("0.124405, 0.145272, 0.162649, 0.211626, 0.230235, 0.24882, 0.253164, 0.259015, 0.281273, 0.296443, 0.303292, 0.314476, 0.326666, 0.350513, 0.372659, 0.38872, 0.402568, 0.412951, 0.426305, 0.437188, 0.450278, 0.468727, 0.476904, 0.487954, 0.502688, 0.532156, 0.581823, 0.631491");
-            values ( \
-              "-0.050749, -0.13922, -0.202558, -0.361564, -0.417101, -0.468876, -0.474666, -0.474831, -0.459522, -0.44652, -0.43931, -0.425562, -0.405486, -0.337663, -0.251465, -0.195738, -0.155082, -0.129288, -0.101475, -0.0829379, -0.0647062, -0.0451997, -0.038538, -0.0310191, -0.0231361, -0.0126372, -0.00422169, -0.00140631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.108453");
-            index_3 ("0.124379, 0.15735, 0.180189, 0.243119, 0.248795, 0.252103, 0.255581, 0.284761, 0.33418, 0.387219, 0.417418, 0.44996, 0.46239, 0.485541, 0.513757, 0.554518, 0.580913, 0.607924, 0.643939, 0.656773, 0.681138, 0.704804, 0.737819, 0.751113, 0.7777, 0.827367, 0.877035, 0.926702, 0.976369, 1.0757");
-            values ( \
-              "-0.0498785, -0.186973, -0.272443, -0.480034, -0.497455, -0.504677, -0.507276, -0.500062, -0.485283, -0.465394, -0.450248, -0.42771, -0.416081, -0.38646, -0.334201, -0.249779, -0.201301, -0.159037, -0.113848, -0.100819, -0.0796113, -0.0630187, -0.045111, -0.0394466, -0.0300037, -0.0178041, -0.0104804, -0.00618593, -0.00361107, -0.00123381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.207734, 0.240719, 0.253925, 0.259839, 0.271668, 0.290519, 0.301772, 0.311864, 0.321194, 0.330115, 0.339022, 0.345665, 0.35671, 0.360771, 0.368861, 0.372125, 0.378653, 0.389648, 0.402624, 0.441677, 0.550147, 0.560673");
-            values ( \
-              "-0.00180349, -0.0139421, -0.0227689, -0.0293275, -0.0403413, -0.0546125, -0.0620217, -0.0678604, -0.0723391, -0.0748941, -0.0728311, -0.0607186, -0.0305243, -0.0217327, -0.0102229, -0.00741888, -0.00377842, -0.00114548, -0.000379607, -0.000123462, -1.86352e-05, -0.000614989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00717728");
-            index_3 ("0.209671, 0.240933, 0.252417, 0.282223, 0.306179, 0.319958, 0.332311, 0.343725, 0.35464, 0.365537, 0.373721, 0.391577, 0.402399, 0.413618, 0.426054");
-            values ( \
-              "-0.00159011, -0.0210913, -0.0296628, -0.0645237, -0.0879318, -0.0998432, -0.109336, -0.1166, -0.120672, -0.116401, -0.0962375, -0.0375573, -0.01717, -0.00701523, -0.00253675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0141508");
-            index_3 ("0.245328, 0.275536, 0.283884, 0.292233, 0.296407, 0.303065, 0.31052, 0.315681, 0.320841, 0.326002, 0.335593, 0.344452, 0.348882, 0.356825, 0.364767, 0.372108, 0.379449, 0.382966, 0.386482, 0.389998, 0.393514, 0.397024, 0.400533, 0.404043, 0.407553, 0.407705, 0.408009, 0.408921, 0.409834, 0.41105, 0.412267, 0.4147, 0.417133, 0.420436, 0.42374, 0.427409, 0.429697, 0.431984, 0.433451, 0.436384, 0.4395, 0.441149, 0.442799, 0.444448, 0.446098, 0.447747, 0.449396, 0.452253, 0.455109, 0.457719");
-            values ( \
-              "-0.0615053, -0.0671123, -0.0791626, -0.0899391, -0.095194, -0.103393, -0.112303, -0.118217, -0.124024, -0.129724, -0.140033, -0.149227, -0.153706, -0.161348, -0.16888, -0.175744, -0.182513, -0.182418, -0.182065, -0.181453, -0.180582, -0.179455, -0.17807, -0.176427, -0.174527, -0.174357, -0.173806, -0.171808, -0.169632, -0.166289, -0.162686, -0.154409, -0.145689, -0.133106, -0.119772, -0.104436, -0.0957205, -0.0873953, -0.0823927, -0.07261, -0.0625418, -0.0576889, -0.0531846, -0.0492431, -0.0455077, -0.0419783, -0.038655, -0.0335643, -0.0287381, -0.0245597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0278998");
-            index_3 ("0.264534, 0.310994, 0.322634, 0.328454, 0.337459, 0.352466, 0.367953, 0.374915, 0.385358, 0.391504, 0.398903, 0.405109, 0.408867, 0.412626, 0.432201, 0.434472, 0.436742, 0.439013, 0.441284, 0.443694, 0.446104, 0.448514, 0.450925, 0.45558, 0.460235, 0.46489, 0.469545, 0.470235, 0.47058, 0.471614, 0.474373, 0.479891, 0.488167, 0.498783, 0.501292, 0.503801, 0.508819, 0.514555, 0.516709, 0.518145, 0.521017, 0.522454, 0.525326, 0.528198, 0.531071, 0.533943, 0.535699, 0.539212, 0.540968, 0.543649");
-            values ( \
-              "-0.119984, -0.127065, -0.142684, -0.150317, -0.161875, -0.180646, -0.199762, -0.207945, -0.219928, -0.226843, -0.234887, -0.241429, -0.245165, -0.248749, -0.265333, -0.266483, -0.267355, -0.267951, -0.26827, -0.268305, -0.268029, -0.267441, -0.26654, -0.26386, -0.259977, -0.254892, -0.248605, -0.247531, -0.246753, -0.244129, -0.234994, -0.214223, -0.180743, -0.138454, -0.129501, -0.120905, -0.10515, -0.0879918, -0.0817825, -0.0781129, -0.0711856, -0.0679278, -0.0620964, -0.0566327, -0.0515368, -0.0468086, -0.044099, -0.0390923, -0.0367951, -0.033575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0550075");
-            index_3 ("0.232619, 0.25517, 0.274319, 0.367947, 0.422419, 0.454437, 0.483149, 0.509818, 0.535768, 0.543226, 0.556477, 0.596292, 0.615591, 0.637671, 0.661913, 0.686524, 0.700906, 0.729669, 0.750679");
-            values ( \
-              "-0.0336584, -0.0542786, -0.0782854, -0.216858, -0.290215, -0.329419, -0.360316, -0.381339, -0.38372, -0.377265, -0.349481, -0.201919, -0.146025, -0.0981362, -0.0621791, -0.0385029, -0.0289764, -0.0161133, -0.0115803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.108453");
-            index_3 ("0.253887, 0.294816, 0.357391, 0.446958, 0.493869, 0.525773, 0.550014, 0.556531, 0.56483, 0.583542, 0.597888, 0.626006, 0.668714, 0.675523, 0.684602, 0.701867, 0.763702, 0.789654, 0.816231, 0.851667, 0.865172, 0.890765, 0.914103, 0.946788, 0.959739, 0.985641, 1.03531, 1.08498, 1.13464, 1.18431, 1.28365");
-            values ( \
-              "-0.0768941, -0.114023, -0.211897, -0.34391, -0.40861, -0.449169, -0.477564, -0.479526, -0.478004, -0.470672, -0.464404, -0.450345, -0.418351, -0.411285, -0.40023, -0.373799, -0.248646, -0.201028, -0.159429, -0.114819, -0.101002, -0.0788321, -0.0625758, -0.044963, -0.0394318, -0.0302274, -0.0179203, -0.0105673, -0.00621959, -0.00364832, -0.00125353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.413202, 0.45043, 0.500448, 0.527058, 0.547876, 0.608218, 0.635785, 0.647079, 0.657893, 0.676486, 0.704439, 0.724978, 0.755979, 0.760872");
-            values ( \
-              "-0.00141679, -0.00293681, -0.00783847, -0.0135974, -0.0216477, -0.0381758, -0.0431229, -0.044522, -0.044843, -0.0382997, -0.00986914, -0.00274502, -0.000739442, -0.000698286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00717728");
-            index_3 ("0.419004, 0.448489, 0.469485, 0.487669, 0.510753, 0.539907, 0.562636, 0.607056, 0.616479, 0.649633, 0.668159, 0.675873, 0.685718, 0.70313, 0.71614, 0.739462, 0.746851, 0.754612, 0.764073, 0.771683, 0.786902, 0.809327, 0.831967");
-            values ( \
-              "-0.00272235, -0.00466796, -0.00710686, -0.0098232, -0.0144116, -0.0242999, -0.0356506, -0.0535301, -0.0567973, -0.0673869, -0.0720554, -0.0735767, -0.0748933, -0.073915, -0.0639369, -0.028406, -0.0199211, -0.0133238, -0.0080664, -0.00535382, -0.00235377, -0.00083757, -0.000475079" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0141508");
-            index_3 ("0.446632, 0.488535, 0.509425, 0.527186, 0.555069, 0.582366, 0.608185, 0.642517, 0.658153, 0.695714, 0.718763, 0.740723, 0.762442, 0.778712, 0.804089, 0.814187, 0.822395, 0.828656, 0.834723, 0.842812, 0.858991, 0.885169, 0.916375, 0.955601, 1.05494");
-            values ( \
-              "-0.00980579, -0.0145268, -0.0199597, -0.0257501, -0.0386459, -0.0543862, -0.0680178, -0.0844362, -0.0914373, -0.106522, -0.113972, -0.118576, -0.116315, -0.0992425, -0.0521454, -0.036938, -0.0271724, -0.0212853, -0.0166787, -0.0118964, -0.00581787, -0.00159655, -0.000397024, -0.000122127, -4.09938e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0278998");
-            index_3 ("0.445764, 0.508616, 0.534984, 0.552601, 0.580367, 0.621712, 0.653668, 0.681861, 0.726529, 0.766078, 0.796259, 0.825004, 0.853715, 0.875228, 0.900906, 0.915632, 0.934637, 0.948705, 0.956079, 0.970827, 1.00032, 1.03927, 1.08679, 1.13645");
-            values ( \
-              "-0.00640135, -0.025576, -0.0355373, -0.0440979, -0.0601291, -0.0862724, -0.104759, -0.120245, -0.143011, -0.160743, -0.171862, -0.178213, -0.172038, -0.14237, -0.0910265, -0.065604, -0.04077, -0.027902, -0.0228552, -0.0147834, -0.00586391, -0.00139164, -0.000311368, -1.13698e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0550075");
-            index_3 ("0.495222, 0.55819, 0.5832, 0.644532, 0.719613, 0.777234, 0.826402, 0.870303, 0.910822, 0.949645, 0.988386, 0.992906, 1.0084, 1.04626, 1.07554, 1.09062, 1.11073, 1.12615, 1.14279, 1.16498, 1.20935, 1.25004, 1.29946");
-            values ( \
-              "-0.0421257, -0.0532555, -0.0675113, -0.108495, -0.155138, -0.188475, -0.214865, -0.235954, -0.251842, -0.259587, -0.243474, -0.23792, -0.212708, -0.138908, -0.091151, -0.0718736, -0.0513588, -0.039281, -0.0291982, -0.0193566, -0.00790334, -0.00431962, -0.00154293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.108453");
-            index_3 ("0.494831, 0.572553, 0.813608, 0.892112, 0.958976, 1.01898, 1.0745, 1.12417, 1.13575, 1.15119, 1.18365, 1.26508, 1.28885, 1.32054, 1.3608, 1.3939, 1.43518, 1.46228, 1.51194, 1.56161, 1.61128, 1.71061");
-            values ( \
-              "-0.0340724, -0.0664424, -0.224727, -0.27261, -0.3105, -0.340499, -0.361818, -0.368166, -0.365849, -0.358632, -0.322283, -0.18023, -0.14582, -0.108414, -0.0734333, -0.0527048, -0.0346712, -0.0262241, -0.0155208, -0.00914578, -0.00537823, -0.0018529" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00312482, 0.00314218, 0.00316027, 0.00317491, 0.00318468, 0.00319044", \
-            "0.00376969, 0.00377851, 0.00379041, 0.00380267, 0.00381236, 0.00381869", \
-            "0.00417778, 0.00417899, 0.0041818, 0.00418663, 0.0041923, 0.00419703", \
-            "0.00441851, 0.00441753, 0.00441428, 0.00441121, 0.00441072, 0.00441162", \
-            "0.0045424, 0.0045371, 0.00453014, 0.00452294, 0.00451722, 0.00451314", \
-            "0.00460418, 0.00459925, 0.00459215, 0.00458378, 0.00457411, 0.00456581" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00434112, 0.00436526, 0.00437058, 0.00438579, 0.004395, 0.00439957", \
-            "0.00476787, 0.00475812, 0.00475198, 0.00474881, 0.00474682, 0.00474555", \
-            "0.00495017, 0.00487774, 0.00482308, 0.00477487, 0.00475354, 0.00474097", \
-            "0.0052359, 0.00502832, 0.00486512, 0.00475627, 0.00468775, 0.00464577", \
-            "0.00593486, 0.00550184, 0.00514894, 0.00489639, 0.00473816, 0.00464762", \
-            "0.00730631, 0.00682203, 0.0057868, 0.00527778, 0.00494928, 0.00475163" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.0110541, 0.011139, 0.011223, 0.0112883, 0.0113306, 0.011355", \
-            "0.0109205, 0.0110025, 0.0111046, 0.0112031, 0.0112774, 0.0113238", \
-            "0.0108086, 0.0108676, 0.0109593, 0.0110756, 0.0111855, 0.0112677", \
-            "0.0107347, 0.0107755, 0.010841, 0.0109384, 0.0110494, 0.0111617", \
-            "0.0108217, 0.0108253, 0.0108362, 0.0108701, 0.0109534, 0.0110773", \
-            "0.0122737, 0.0120114, 0.0117402, 0.0114715, 0.0113104, 0.0112169" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.00101543, 0.00104261, 0.00107348, 0.00108224, 0.00109218, 0.00109481", \
-            "0.000864004, 0.000900202, 0.000955039, 0.000986144, 0.00101619, 0.00102562", \
-            "0.000678305, 0.000757592, 0.000822108, 0.000878981, 0.000930555, 0.000950953", \
-            "0.000558681, 0.000608949, 0.000698885, 0.000821703, 0.000882511, 0.00090623", \
-            "0.000951796, 0.000883872, 0.000837101, 0.000829075, 0.00084795, 0.000891441", \
-            "0.00259597, 0.00231954, 0.00196649, 0.00158095, 0.00131942, 0.00114057" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "0.008159, 0.0083088, 0.00842999, 0.0085428, 0.00861385, 0.00865394", \
-            "0.00791134, 0.00805622, 0.00823513, 0.00840683, 0.00853219, 0.00860671", \
-            "0.00783968, 0.00793118, 0.0080272, 0.00822052, 0.00838416, 0.00849483", \
-            "0.00827281, 0.00818671, 0.00812891, 0.00822419, 0.00831655, 0.00844012", \
-            "0.00928367, 0.0090903, 0.0088596, 0.008634, 0.00828093, 0.00848434", \
-            "0.0123424, 0.0118816, 0.0113379, 0.0106985, 0.00999634, 0.00938989" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00717728, 0.0141508, 0.0278998, 0.0550075, 0.108453");
-          values ( \
-            "-0.00128879, -0.00114442, -0.00100553, -0.000901132, -0.000835415, -0.000798766", \
-            "-0.00146802, -0.00128893, -0.0011016, -0.000937163, -0.000828927, -0.000758157", \
-            "-0.00170524, -0.00150603, -0.0012862, -0.00106917, -0.000904354, -0.000793381", \
-            "-0.00176205, -0.00168465, -0.00148367, -0.00125688, -0.0010378, -0.000865643", \
-            "-0.00111289, -0.00130713, -0.00139559, -0.00131882, -0.00115521, -0.000967713", \
-            "0.00153575, 0.000817669, 4.11592e-05, -0.000557401, -0.000807651, -0.000848111" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00541362;
-      rise_capacitance : 0.00539094;
-      rise_capacitance_range (0.00432867, 0.00539094);
-      fall_capacitance : 0.00541362;
-      fall_capacitance_range (0.00394266, 0.00541362);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00353795, 0.0044647, 0.00502906, 0.00531692, 0.00545414, 0.00552162" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00513073, 0.00515064, 0.00487795, 0.00467682, 0.00458658, 0.00455134" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00322926, 0.00373784, 0.00399498, 0.00413748, 0.0042081, 0.00424723" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00446187, 0.00484444, 0.00520235, 0.00548632, 0.00562255, 0.00566694" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00461905, -0.00487656, -0.00502492, -0.00507776, -0.00512464, -0.00513743" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00514006, 0.00514684, 0.00515519, 0.00518311, 0.00515742, 0.00514062" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00573383;
-      rise_capacitance : 0.00573383;
-      rise_capacitance_range (0.00317378, 0.00573383);
-      fall_capacitance : 0.00568045;
-      fall_capacitance_range (0.00335165, 0.00568045);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0025704, 0.00296474, 0.00315567, 0.00325774, 0.00330304, 0.0033249" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00365101, 0.0037795, 0.00383144, 0.00383983, 0.00384881, 0.003853" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00305721, 0.003534, 0.00374637, 0.0038495, 0.00389587, 0.00391856" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00373234, 0.00364154, 0.00358104, 0.00354446, 0.00353018, 0.00352382" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00242136, -0.00244352, -0.00244413, -0.00244202, -0.00243164, -0.00242448" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00245812, 0.00245958, 0.00245462, 0.00247232, 0.00246045, 0.00245065" \
-          );
-        }
-      }
-    }
-  }
-  cell (NOR2XL) {
-    area : 9.5238;
-    cell_footprint : "NOR2";
-    cell_leakage_power : 0.0656496;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00276198;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0742413;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.170734;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0148605;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0656496;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A * !B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.0745743;
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.0673531, 0.0871298, 0.122814, 0.187542, 0.305554, 0.521125", \
-            "0.0733974, 0.0932256, 0.129018, 0.193919, 0.312078, 0.527755", \
-            "0.0866698, 0.10638, 0.142179, 0.207176, 0.325538, 0.54135", \
-            "0.113083, 0.134794, 0.170798, 0.235815, 0.354137, 0.569293", \
-            "0.155621, 0.184645, 0.229439, 0.299085, 0.41777, 0.634077", \
-            "0.227629, 0.268133, 0.32915, 0.419687, 0.557131, 0.776117" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.0576821, 0.0851631, 0.135221, 0.226526, 0.39334, 0.698157", \
-            "0.0577092, 0.0851705, 0.135215, 0.226526, 0.39334, 0.698157", \
-            "0.058378, 0.0853239, 0.135228, 0.226523, 0.393196, 0.698156", \
-            "0.0673113, 0.091249, 0.137591, 0.226629, 0.39333, 0.698192", \
-            "0.0938044, 0.117713, 0.158791, 0.238242, 0.395751, 0.698183", \
-            "0.13983, 0.168904, 0.216353, 0.294053, 0.432843, 0.711108" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.0317784, 0.0398213, 0.0538434, 0.0792064, 0.124786, 0.207681", \
-            "0.0367918, 0.0448327, 0.0590126, 0.0842927, 0.129848, 0.212488", \
-            "0.0479385, 0.0564608, 0.0705369, 0.095774, 0.141395, 0.224314", \
-            "0.0610809, 0.073921, 0.0932199, 0.122118, 0.16705, 0.250591", \
-            "0.0703423, 0.0890928, 0.118023, 0.160815, 0.221927, 0.309271", \
-            "0.0648037, 0.0916615, 0.133471, 0.196041, 0.286751, 0.414267" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.0262531, 0.0358881, 0.0535951, 0.0861742, 0.146043, 0.256089", \
-            "0.0261379, 0.0356266, 0.0534862, 0.086194, 0.146107, 0.256089", \
-            "0.0319722, 0.0396686, 0.0552843, 0.086194, 0.146106, 0.255834", \
-            "0.0478386, 0.0573717, 0.0722156, 0.0971466, 0.148846, 0.255806", \
-            "0.0728885, 0.0869865, 0.108158, 0.139165, 0.184175, 0.272654", \
-            "0.113114, 0.133735, 0.16476, 0.210029, 0.273669, 0.363988" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0393158, 0.0434077, 0.0449453, 0.0480206, 0.0498579, 0.0523078, 0.0558834, 0.0632691, 0.0708931, 0.0785917, 0.0831491, 0.087642, 0.0966277, 0.101817, 0.105689, 0.112512, 0.120427, 0.127419, 0.139084, 0.144039, 0.153948, 0.163525, 0.175878, 0.189592, 0.20535, 0.217869, 0.242908, 0.264687");
-            values ( \
-              "0.0102509, 0.0852225, 0.087412, 0.0899146, 0.0904204, 0.0904961, 0.090033, 0.0876411, 0.0842234, 0.0796855, 0.0754566, 0.0695973, 0.054888, 0.0478174, 0.0430921, 0.0360229, 0.0294572, 0.0245857, 0.0181097, 0.0158693, 0.0121134, 0.00930674, 0.0065807, 0.00444031, 0.00282821, 0.00196273, 0.000919438, 0.000544064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00665931");
-            index_3 ("0.0392313, 0.047356, 0.0528326, 0.0583076, 0.06375, 0.0747112, 0.0754084, 0.0865334, 0.0986688, 0.10447, 0.111824, 0.125354, 0.135688, 0.14427, 0.158416, 0.174638, 0.181592, 0.193842, 0.207841, 0.219735, 0.237539, 0.253067, 0.27187, 0.296941, 0.342295, 0.395366");
-            values ( \
-              "0.0386955, 0.108924, 0.110135, 0.110443, 0.108383, 0.105902, 0.105272, 0.101427, 0.095918, 0.0921478, 0.0852448, 0.0692068, 0.0583826, 0.0504263, 0.0393944, 0.0291998, 0.0256039, 0.0201585, 0.0151661, 0.0118779, 0.00816073, 0.00583836, 0.00388453, 0.0022222, 0.00074359, 0.000197953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.012182");
-            index_3 ("0.0391686, 0.0458386, 0.050599, 0.0511866, 0.0523618, 0.0591668, 0.0705109, 0.103794, 0.133637, 0.13848, 0.155541, 0.186765, 0.211095, 0.237181, 0.269725, 0.290574, 0.308058, 0.339746, 0.364314, 0.390229, 0.410134, 0.449944, 0.497462");
-            values ( \
-              "0.0119164, 0.119862, 0.125581, 0.125019, 0.125585, 0.125613, 0.123741, 0.116921, 0.108805, 0.106823, 0.0975356, 0.0741893, 0.0577751, 0.0429453, 0.028531, 0.0215811, 0.0169373, 0.0107865, 0.00752049, 0.00513382, 0.00381274, 0.00206371, 0.00110422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0222848");
-            index_3 ("0.042075, 0.0487008, 0.057611, 0.102556, 0.165653, 0.189845, 0.21616, 0.234976, 0.326296, 0.367997, 0.431563, 0.488741, 0.53157, 0.570546, 0.642841, 0.705618, 0.756589");
-            values ( \
-              "0.108773, 0.133565, 0.136358, 0.131533, 0.122806, 0.118702, 0.112513, 0.106077, 0.0655056, 0.049117, 0.0298145, 0.0182483, 0.0124275, 0.00866825, 0.00441538, 0.00239654, 0.00156619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0407661");
-            index_3 ("0.0457819, 0.0458019, 0.120986, 0.201163, 0.258641, 0.300986, 0.347168, 0.379936, 0.443977, 0.536235, 0.610234, 0.665669, 0.711057, 0.746143, 0.810468, 0.884122, 0.948963, 1.03381, 1.11646, 1.19912, 1.31761");
-            values ( \
-              "1e-22, 0.153148, 0.138879, 0.132959, 0.128025, 0.123915, 0.117795, 0.111554, 0.09566, 0.0707382, 0.0524763, 0.0408656, 0.0329049, 0.0276337, 0.0198421, 0.013401, 0.00939597, 0.00586652, 0.00366001, 0.0022857, 0.00128073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0745743");
-            index_3 ("0.0504313, 0.0504513, 0.17288, 0.327561, 0.452426, 0.532897, 0.615173, 0.644554, 0.702202, 0.77054, 0.928988, 1.00413, 1.06889, 1.15155, 1.21187, 1.28042, 1.36308, 1.4689, 1.54079, 1.62345, 1.68914, 1.76621, 1.93152, 2.09684, 2.26215, 2.42747, 2.67544");
-            values ( \
-              "1e-22, 0.164286, 0.142277, 0.135936, 0.129928, 0.125159, 0.118076, 0.114925, 0.107374, 0.0974189, 0.0724135, 0.0611646, 0.0523743, 0.0421271, 0.035728, 0.0295513, 0.0230745, 0.0167518, 0.0135469, 0.0103333, 0.00838609, 0.00654858, 0.00381517, 0.00219999, 0.00125255, 0.000699148, 0.000412474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.057847, 0.0646878, 0.0684983, 0.0723153, 0.075851, 0.0831673, 0.08377, 0.0947471, 0.0988834, 0.107003, 0.11681, 0.121631, 0.12655, 0.132602, 0.139787, 0.147, 0.156429, 0.164334, 0.174875, 0.187307, 0.194807, 0.209203, 0.226166, 0.239873, 0.267286, 0.286543");
-            values ( \
-              "0.0116782, 0.0842186, 0.0876301, 0.0900685, 0.089142, 0.0879832, 0.0873472, 0.0821224, 0.0793756, 0.0708331, 0.0547546, 0.0480081, 0.0420971, 0.0359531, 0.0299349, 0.0248436, 0.0193988, 0.0157344, 0.0118016, 0.00837215, 0.00678157, 0.00448292, 0.00275819, 0.00184844, 0.000797839, 0.000511622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00665931");
-            index_3 ("0.0608499, 0.0637198, 0.0672517, 0.0728227, 0.0769081, 0.0837787, 0.10032, 0.118266, 0.124846, 0.13187, 0.145421, 0.155653, 0.164152, 0.178776, 0.194894, 0.2017, 0.213551, 0.227095, 0.247392, 0.262069, 0.278573, 0.303668, 0.337128, 0.369506");
-            values ( \
-              "0.0696686, 0.0977383, 0.105953, 0.109618, 0.109812, 0.108659, 0.10372, 0.0963129, 0.0918291, 0.0852725, 0.0691418, 0.058458, 0.0505694, 0.0391701, 0.0290848, 0.0255733, 0.0202918, 0.0154176, 0.0101272, 0.00740644, 0.00519516, 0.00300315, 0.0013955, 0.000748921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.012182");
-            index_3 ("0.061237, 0.065826, 0.071299, 0.0757896, 0.0801045, 0.0978135, 0.116798, 0.134849, 0.148974, 0.154527, 0.164557, 0.175725, 0.197495, 0.218104, 0.236507, 0.257424, 0.278611, 0.289888, 0.310724, 0.328229, 0.342543, 0.359946, 0.384505, 0.410388, 0.430265, 0.470019, 0.541408, 0.624065");
-            values ( \
-              "0.0748151, 0.116433, 0.123425, 0.124867, 0.125205, 0.12244, 0.118481, 0.114276, 0.110341, 0.108416, 0.104142, 0.097505, 0.0811059, 0.0664319, 0.0546273, 0.0429198, 0.03299, 0.0285389, 0.0215908, 0.0169422, 0.0138494, 0.0107835, 0.00752102, 0.00513674, 0.00381515, 0.00206817, 0.000621549, 0.00014465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0222848");
-            index_3 ("0.0616088, 0.0689566, 0.0736869, 0.0779465, 0.0927609, 0.122908, 0.173941, 0.210133, 0.236511, 0.255327, 0.346647, 0.388348, 0.422552, 0.451913, 0.473563, 0.501494, 0.524283, 0.551919, 0.590894, 0.631797, 0.663182, 0.725954, 0.808611, 0.829694");
-            values ( \
-              "0.0875229, 0.131064, 0.134519, 0.135833, 0.135007, 0.131451, 0.124587, 0.118719, 0.112517, 0.106073, 0.0655094, 0.0491204, 0.0378122, 0.0298171, 0.0248475, 0.0195021, 0.0159455, 0.0124257, 0.00867065, 0.00593237, 0.00441395, 0.00239878, 0.00104831, 0.000940077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0407661");
-            index_3 ("0.0655686, 0.0655887, 0.141382, 0.221561, 0.279039, 0.321403, 0.367567, 0.400334, 0.464376, 0.556634, 0.630632, 0.686067, 0.731454, 0.76654, 0.830866, 0.904519, 0.969359, 1.0542, 1.13686, 1.21951, 1.38483, 1.55014");
-            values ( \
-              "1e-22, 0.150881, 0.13879, 0.132871, 0.128028, 0.12391, 0.117792, 0.111558, 0.095657, 0.0707356, 0.052474, 0.0408681, 0.0329032, 0.0276358, 0.019844, 0.0134029, 0.00939433, 0.00586495, 0.00366185, 0.00228403, 0.000881935, 0.000340213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0745743");
-            index_3 ("0.0700343, 0.0700543, 0.192652, 0.348053, 0.472917, 0.55339, 0.635667, 0.722694, 1.08939, 1.23236, 1.30091, 1.41885, 1.4894, 1.64394, 1.73532, 1.86935, 2.03467, 2.19998, 2.21781");
-            values ( \
-              "1e-22, 0.162105, 0.142362, 0.13588, 0.129873, 0.125105, 0.118131, 0.107429, 0.052317, 0.0357858, 0.0294938, 0.0208465, 0.0168096, 0.0103911, 0.00780933, 0.00508917, 0.00298572, 0.001748, 0.00169793" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0943183, 0.110687, 0.114093, 0.116066, 0.119286, 0.122326, 0.127177, 0.133792, 0.13495, 0.137266, 0.141898, 0.145179, 0.149552, 0.151744, 0.161684, 0.16753, 0.174212, 0.18028, 0.183704, 0.190552, 0.200393, 0.204012, 0.209129, 0.215951, 0.225248, 0.227909, 0.233229, 0.242015, 0.254548, 0.260105, 0.267614, 0.277625, 0.297647, 0.334297, 0.377685");
-            values ( \
-              "0.0106391, 0.0694898, 0.0755074, 0.0778783, 0.0807466, 0.0829812, 0.0846945, 0.0839912, 0.0835677, 0.082613, 0.0800286, 0.0774551, 0.0726699, 0.0694691, 0.0535212, 0.0457548, 0.0382939, 0.0328443, 0.0300871, 0.0252035, 0.0194662, 0.0177092, 0.0154525, 0.0128411, 0.00993688, 0.00923395, 0.00796076, 0.00621172, 0.00433708, 0.00370189, 0.00298453, 0.00223196, 0.00123048, 0.000374683, 8.55287e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00665931");
-            index_3 ("0.100473, 0.104217, 0.108903, 0.112574, 0.11567, 0.121869, 0.124842, 0.127565, 0.133011, 0.138916, 0.150283, 0.162661, 0.172222, 0.19772, 0.204222, 0.218799, 0.22666, 0.242346, 0.260143, 0.274671, 0.286866, 0.295447, 0.312608, 0.338404, 0.3589, 0.399891, 0.452613");
-            values ( \
-              "0.0574931, 0.070685, 0.0830204, 0.087389, 0.094484, 0.101756, 0.104022, 0.105337, 0.105964, 0.105111, 0.101566, 0.0959526, 0.0890309, 0.0603331, 0.054035, 0.0420502, 0.0365261, 0.0271984, 0.0192459, 0.0143068, 0.0111213, 0.00928296, 0.00642054, 0.00367845, 0.00233538, 0.000890437, 0.000232421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.012182");
-            index_3 ("0.0968048, 0.11089, 0.114712, 0.123714, 0.128723, 0.135363, 0.141762, 0.169152, 0.178786, 0.197792, 0.202475, 0.219648, 0.250962, 0.275235, 0.301205, 0.322514, 0.333896, 0.354765, 0.372208, 0.386406, 0.403834, 0.42841, 0.45436, 0.474296, 0.514167, 0.585572, 0.668229");
-            values ( \
-              "0.0158759, 0.0969201, 0.105618, 0.118305, 0.121612, 0.122748, 0.122177, 0.116592, 0.11428, 0.108752, 0.106879, 0.0975573, 0.0741444, 0.0577721, 0.0430037, 0.0330097, 0.0285162, 0.0215633, 0.0169343, 0.0138659, 0.0107929, 0.00752551, 0.00513479, 0.00381034, 0.00206153, 0.000619342, 0.000144116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0222848");
-            index_3 ("0.0967299, 0.111129, 0.117433, 0.125313, 0.131239, 0.136726, 0.146974, 0.160408, 0.197915, 0.229137, 0.263454, 0.280474, 0.299312, 0.390632, 0.432327, 0.466534, 0.495898, 0.517546, 0.55307, 0.595895, 0.634867, 0.675762, 0.70714, 0.769896, 0.852553, 1.01787");
-            values ( \
-              "0.00659324, 0.104943, 0.118966, 0.130006, 0.133408, 0.134208, 0.133713, 0.13213, 0.127371, 0.12295, 0.1168, 0.112524, 0.106074, 0.0655113, 0.0491244, 0.0378117, 0.0298183, 0.024847, 0.0182466, 0.0124259, 0.00867254, 0.00593422, 0.00441449, 0.00240048, 0.0010481, 0.000199112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0407661");
-            index_3 ("0.104661, 0.128418, 0.133527, 0.142849, 0.254882, 0.323219, 0.379952, 0.408886, 0.439748, 0.472533, 0.620497, 0.707372, 0.784592, 0.83838, 0.888228, 0.958742, 1.01856, 1.08895, 1.17161, 1.33692, 1.37808");
-            values ( \
-              "0.110647, 0.138828, 0.140881, 0.141601, 0.133657, 0.128036, 0.12229, 0.118221, 0.112589, 0.10503, 0.0656055, 0.0453563, 0.0314569, 0.0239783, 0.018503, 0.0126882, 0.00913899, 0.00618697, 0.00386695, 0.00149646, 0.00130747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0745743");
-            index_3 ("0.111082, 0.111102, 0.244392, 0.39244, 0.517322, 0.597777, 0.680035, 0.76708, 0.835419, 1.01891, 1.13377, 1.21643, 1.27674, 1.3453, 1.42796, 1.46323, 1.53377, 1.60566, 1.68832, 1.77969, 1.91372, 2.07904, 2.24435, 2.40966, 2.74029");
-            values ( \
-              "1e-22, 0.153634, 0.1421, 0.135847, 0.129839, 0.125073, 0.118165, 0.10746, 0.0973328, 0.0686218, 0.0522909, 0.042211, 0.035811, 0.0294692, 0.023157, 0.0208227, 0.016834, 0.0134657, 0.010415, 0.00778753, 0.00506765, 0.00296428, 0.00172669, 0.00100261, 0.000334701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.171628, 0.184645, 0.191512, 0.201177, 0.207264, 0.217995, 0.227912, 0.237604, 0.247281, 0.248971, 0.250193, 0.265452, 0.273095, 0.283863, 0.295455, 0.301762, 0.312154, 0.321895, 0.330625, 0.342264, 0.352433, 0.366267, 0.384713, 0.418723, 0.458441");
-            values ( \
-              "0.00309119, 0.0345472, 0.0432092, 0.0528358, 0.0576582, 0.0645359, 0.0675014, 0.0679744, 0.0626296, 0.0607051, 0.060431, 0.0437539, 0.0362841, 0.0276479, 0.0204047, 0.0173177, 0.013091, 0.0100075, 0.0078514, 0.00564125, 0.00421906, 0.00283389, 0.00164337, 0.000557133, 0.000149942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00665931");
-            index_3 ("0.171609, 0.188765, 0.197784, 0.202013, 0.210472, 0.218494, 0.232831, 0.24628, 0.248942, 0.251547, 0.255434, 0.259291, 0.260871, 0.264031, 0.270351, 0.28651, 0.299701, 0.312594, 0.328051, 0.340867, 0.351245, 0.365083, 0.377004, 0.392284, 0.411188, 0.419907, 0.433144, 0.450794, 0.486092, 0.537166, 0.599768");
-            values ( \
-              "0.00434722, 0.0479871, 0.0600433, 0.0646415, 0.073554, 0.0797427, 0.0875608, 0.0906506, 0.0910866, 0.0923201, 0.0928129, 0.0927123, 0.092454, 0.0913857, 0.0871125, 0.0688509, 0.0553099, 0.0443563, 0.0336, 0.026387, 0.021577, 0.0163291, 0.0127908, 0.00928667, 0.00617683, 0.00512277, 0.00384575, 0.00260921, 0.00115438, 0.000323807, 5.94867e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.012182");
-            index_3 ("0.17158, 0.192075, 0.199091, 0.213184, 0.233063, 0.236196, 0.24246, 0.248914, 0.252408, 0.256442, 0.260808, 0.268266, 0.275588, 0.288642, 0.295283, 0.305295, 0.316481, 0.338249, 0.35886, 0.37726, 0.398174, 0.419362, 0.430639, 0.451474, 0.468976, 0.483285, 0.500685, 0.525238, 0.551102, 0.570961, 0.61068, 0.681939, 0.764596");
-            values ( \
-              "0.00450337, 0.0586588, 0.069604, 0.0861031, 0.102168, 0.103359, 0.106574, 0.108733, 0.111335, 0.113133, 0.114417, 0.115231, 0.114057, 0.110742, 0.108405, 0.104171, 0.0974899, 0.081125, 0.0664222, 0.0546365, 0.0429298, 0.0329869, 0.0285389, 0.0215909, 0.016947, 0.0138546, 0.0107845, 0.00752577, 0.00514165, 0.00381726, 0.00207277, 0.000622775, 0.000146707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0222848");
-            index_3 ("0.180799, 0.209922, 0.23008, 0.254288, 0.262919, 0.267638, 0.273031, 0.283788, 0.294709, 0.31655, 0.343023, 0.360241, 0.394117, 0.42157, 0.469283, 0.507542, 0.530624, 0.563695, 0.591323, 0.612777, 0.649844, 0.665972, 0.693275, 0.731992, 0.77193, 0.802469, 0.863547, 0.946204, 1.02886, 1.11152");
-            values ( \
-              "0.0474684, 0.0899252, 0.109074, 0.124609, 0.128517, 0.129582, 0.129786, 0.128827, 0.127379, 0.124332, 0.120144, 0.116815, 0.107052, 0.0951171, 0.0732677, 0.0572991, 0.0485967, 0.0376915, 0.0301579, 0.0251823, 0.0182499, 0.0158148, 0.0123608, 0.00864477, 0.00596794, 0.00447766, 0.00247583, 0.00108295, 0.000468972, 0.000204986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0407661");
-            index_3 ("0.191877, 0.225939, 0.25989, 0.268102, 0.275846, 0.288946, 0.363512, 0.448309, 0.478465, 0.537831, 0.584758, 0.730022, 0.766786, 0.82571, 0.876303, 0.912459, 0.959123, 0.9967, 1.04335, 1.109, 1.1781, 1.23116, 1.31382, 1.39648, 1.56179, 1.7271");
-            values ( \
-              "0.104208, 0.111354, 0.136148, 0.138858, 0.139039, 0.13832, 0.132723, 0.125344, 0.12201, 0.112416, 0.101086, 0.0623627, 0.0535467, 0.0410561, 0.0322457, 0.0269236, 0.0211825, 0.017394, 0.0135453, 0.00944978, 0.00645293, 0.0047928, 0.00298995, 0.00186015, 0.000716424, 0.000275197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0745743");
-            index_3 ("0.201069, 0.257376, 0.268458, 0.27811, 0.459513, 0.589033, 0.671691, 0.738078, 0.805359, 0.888017, 1.16409, 1.31187, 1.44208, 1.52473, 1.62839, 1.70078, 1.83693, 1.92839, 2.09371, 2.39486");
-            values ( \
-              "0.137515, 0.139839, 0.14417, 0.14454, 0.137132, 0.131087, 0.126536, 0.121714, 0.114885, 0.103878, 0.0613697, 0.0422624, 0.029421, 0.0230939, 0.0168966, 0.0135161, 0.00881432, 0.00659421, 0.00387169, 0.00154709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.315022, 0.360826, 0.371743, 0.402669, 0.417293, 0.430715, 0.444163, 0.457544, 0.463506, 0.478523, 0.493818, 0.507106, 0.518534, 0.526598, 0.540083, 0.550173, 0.554995, 0.587329, 0.611336, 0.646318, 0.688229");
-            values ( \
-              "0.000150378, 0.0244615, 0.0294389, 0.04226, 0.0473022, 0.0502428, 0.0475494, 0.0388087, 0.0356625, 0.02809, 0.0214428, 0.0164131, 0.0128202, 0.0106413, 0.00766556, 0.00593332, 0.00596834, 0.00260648, 0.00128657, 0.000400784, 0.000114066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00665931");
-            index_3 ("0.330132, 0.34292, 0.349327, 0.376404, 0.403102, 0.424212, 0.442621, 0.459738, 0.47687, 0.477818, 0.515864, 0.530949, 0.550155, 0.552152, 0.555173, 0.573529, 0.587457, 0.599832, 0.616332, 0.628771, 0.642641, 0.661135, 0.698122, 0.747829, 0.808916");
-            values ( \
-              "0.017798, 0.0197244, 0.0226695, 0.0379118, 0.0519284, 0.0617242, 0.068611, 0.0714249, 0.0681259, 0.0673016, 0.044277, 0.0359555, 0.0264858, 0.0263367, 0.0254158, 0.0183467, 0.0138429, 0.0107008, 0.00751906, 0.00576391, 0.00427072, 0.00283954, 0.00120782, 0.000349558, 7.2401e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.012182");
-            index_3 ("0.329915, 0.359883, 0.41036, 0.428552, 0.456182, 0.480865, 0.504403, 0.527941, 0.532241, 0.558588, 0.595721, 0.611828, 0.632647, 0.651861, 0.665259, 0.682599, 0.696605, 0.714075, 0.73868, 0.764743, 0.784778, 0.824848, 0.896295, 0.978952");
-            values ( \
-              "0.015294, 0.0323726, 0.0632914, 0.0732163, 0.085624, 0.0920381, 0.0943676, 0.0897917, 0.0877774, 0.0746811, 0.0517005, 0.0428496, 0.0330949, 0.0257841, 0.0215182, 0.0169203, 0.013892, 0.0108087, 0.00753178, 0.00513038, 0.00380266, 0.00204945, 0.000616093, 0.000142445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0222848");
-            index_3 ("0.345703, 0.381899, 0.419728, 0.449115, 0.465887, 0.499431, 0.514091, 0.533637, 0.550116, 0.557759, 0.565967, 0.574166, 0.590564, 0.609985, 0.626792, 0.694294, 0.724757, 0.748153, 0.778683, 0.802096, 0.833313, 0.856626, 0.875287, 0.900168, 0.943015, 0.962639, 0.991671, 1.03038, 1.1078, 1.19045, 1.27311");
-            values ( \
-              "0.0430003, 0.0494338, 0.0741755, 0.0908566, 0.0983971, 0.108954, 0.112004, 0.114868, 0.116171, 0.117202, 0.117336, 0.116552, 0.112796, 0.106069, 0.0990354, 0.0683561, 0.0560051, 0.0473065, 0.0373849, 0.0309436, 0.02378, 0.0194178, 0.0164685, 0.0131756, 0.00886353, 0.00739361, 0.00564006, 0.0039156, 0.00181352, 0.000796303, 0.000339779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0407661");
-            index_3 ("0.345398, 0.377156, 0.440006, 0.455559, 0.486665, 0.520851, 0.56036, 0.576034, 0.58814, 0.644235, 0.692693, 0.748596, 0.795684, 0.93968, 1.03138, 1.08061, 1.11405, 1.18569, 1.21818, 1.28316, 1.33127, 1.38462, 1.45575, 1.53841, 1.62106, 1.70372, 1.86903");
-            values ( \
-              "0.0440147, 0.0484562, 0.0908008, 0.0992546, 0.113106, 0.123182, 0.131428, 0.132711, 0.131978, 0.127098, 0.12201, 0.113108, 0.101905, 0.0634975, 0.0427014, 0.0338346, 0.0286966, 0.019859, 0.0167172, 0.0117681, 0.0090287, 0.00672218, 0.00450947, 0.00280393, 0.00175167, 0.00107954, 0.000412109" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0745743");
-            index_3 ("0.364013, 0.433814, 0.448339, 0.477388, 0.507975, 0.560462, 0.578394, 0.703929, 0.785169, 0.843261, 0.90928, 0.991937, 1.07861, 1.14694, 1.33043, 1.44529, 1.52795, 1.58826, 1.65682, 1.73947, 1.77474, 1.84528, 1.91717, 1.99982, 2.09119, 2.22521, 2.39052, 2.55584, 2.72115, 3.05178");
-            values ( \
-              "0.0644634, 0.0889802, 0.0983662, 0.113128, 0.125102, 0.139133, 0.141265, 0.135834, 0.132078, 0.129083, 0.125065, 0.118137, 0.107472, 0.0973206, 0.0686138, 0.0522836, 0.0422193, 0.0358181, 0.0294633, 0.0231637, 0.0208173, 0.0168407, 0.0134608, 0.010421, 0.00778383, 0.00506409, 0.00296062, 0.00172302, 0.000998992, 0.000331244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.641247, 0.696631, 0.721684, 0.765392, 0.792391, 0.815134, 0.835546, 0.855671, 0.875769, 0.878175, 0.882987, 0.892613, 0.940577, 0.953329, 0.978093, 1.00864, 1.02568, 1.05305, 1.08954, 1.13974, 1.21584, 1.22906, 1.24827, 1.26371");
-            values ( \
-              "0.00424836, 0.00758397, 0.0119123, 0.021746, 0.0268102, 0.0309053, 0.0332849, 0.0319086, 0.0268314, 0.0270479, 0.0258382, 0.0242209, 0.0130616, 0.0106252, 0.00676132, 0.00362407, 0.00249615, 0.00132367, 0.000567127, 0.000110773, 6.06213e-07, 0.000355466, 0.000325169, 0.000173108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00665931");
-            index_3 ("0.631231, 0.672123, 0.699167, 0.728882, 0.776614, 0.822835, 0.850832, 0.875977, 0.900415, 0.939254, 0.997844, 1.01266, 1.04174, 1.07494, 1.10215, 1.11827, 1.1505, 1.20652, 1.21581, 1.2266, 1.24307, 1.24358");
-            values ( \
-              "0.00413487, 0.00759294, 0.0106354, 0.0167881, 0.0292399, 0.0399264, 0.0456704, 0.0496129, 0.0488583, 0.0388253, 0.020968, 0.0171906, 0.0110275, 0.00629494, 0.00383673, 0.00283127, 0.00149297, 0.000428203, 0.000357508, 0.000769381, 0.000754815, 0.000748559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.012182");
-            index_3 ("0.636302, 0.685278, 0.710961, 0.728098, 0.826709, 0.868932, 0.904779, 0.937028, 0.968531, 1.00236, 1.08209, 1.11171, 1.15091, 1.17128, 1.19844, 1.21585, 1.2286, 1.24576, 1.26185, 1.28177, 1.3108, 1.34806, 1.40147, 1.4782");
-            values ( \
-              "0.00602297, 0.0118916, 0.0156819, 0.0197985, 0.0468006, 0.0571061, 0.0654013, 0.0702402, 0.0695004, 0.0604878, 0.0334886, 0.0245109, 0.0153839, 0.0118598, 0.00829711, 0.00645823, 0.00656256, 0.00467364, 0.00410282, 0.0026325, 0.00206444, 0.000818025, 0.000652337, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0222848");
-            index_3 ("0.652912, 0.704564, 0.709859, 0.741625, 0.80935, 0.881275, 0.936302, 0.973486, 0.983756, 1.0043, 1.02777, 1.07131, 1.08864, 1.11843, 1.14305, 1.21595, 1.28696, 1.32265, 1.33444, 1.35423, 1.37684, 1.41864, 1.46204, 1.49609, 1.56419, 1.63325");
-            values ( \
-              "0.0136159, 0.0174613, 0.0184292, 0.025857, 0.0456687, 0.0658632, 0.0798676, 0.087196, 0.0887468, 0.0913467, 0.0930021, 0.0907766, 0.0871397, 0.0793421, 0.0717346, 0.0476407, 0.0282082, 0.0207683, 0.0187345, 0.0157212, 0.0128262, 0.00871505, 0.00582602, 0.00422638, 0.00217011, 0.00114986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0407661");
-            index_3 ("0.676565, 0.746503, 0.923053, 0.958443, 1.03343, 1.10085, 1.16531, 1.23023, 1.27837, 1.41533, 1.50516, 1.57575, 1.65992, 1.74386, 1.80395, 1.87516, 2.04048, 2.12943");
-            values ( \
-              "0.0243809, 0.0293798, 0.0812841, 0.0905543, 0.105186, 0.112656, 0.115113, 0.111219, 0.0999746, 0.0631296, 0.0428003, 0.0306789, 0.019858, 0.012787, 0.00909694, 0.00611231, 0.00234693, 0.00155273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0745743");
-            index_3 ("0.701684, 0.787327, 0.939199, 1.02058, 1.06851, 1.15117, 1.17729, 1.21577, 1.2365, 1.25789, 1.32217, 1.37348, 1.4051, 1.44727, 1.49571, 1.57837, 1.62193, 1.80493, 1.85438, 1.91951, 2.00217, 2.06428, 2.13237, 2.21503, 2.24958, 2.31868, 2.39106, 2.47372, 2.52721, 2.56641, 2.61868, 2.70133, 2.78399, 2.86665, 2.94931, 3.03196, 3.11462, 3.27993, 3.5279");
-            values ( \
-              "0.0382841, 0.0424864, 0.0886886, 0.108516, 0.116926, 0.127017, 0.129212, 0.131652, 0.132431, 0.13225, 0.128818, 0.125819, 0.123573, 0.12, 0.114882, 0.103867, 0.0973666, 0.0686943, 0.0613672, 0.0523914, 0.0422602, 0.0356772, 0.0294228, 0.0230929, 0.0208357, 0.0168967, 0.0135174, 0.0104347, 0.00881522, 0.00778571, 0.0065952, 0.00505368, 0.00387261, 0.00295817, 0.00226401, 0.0017251, 0.00132051, 0.000769468, 0.000337515" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00286897, 0.00287767, 0.00288543, 0.00289128, 0.00289518, 0.00289757", \
-            "0.00346726, 0.00347736, 0.0034878, 0.00349664, 0.00350244, 0.0035065", \
-            "0.00379404, 0.00379866, 0.00380602, 0.00381122, 0.00381597, 0.00381949", \
-            "0.00400203, 0.00398986, 0.0039838, 0.00397615, 0.0039725, 0.00397104", \
-            "0.00417551, 0.00415118, 0.0041209, 0.00409103, 0.00406899, 0.00405263", \
-            "0.00428359, 0.00425836, 0.00422924, 0.00419658, 0.00415902, 0.00412404" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00396456, 0.00396208, 0.00396072, 0.00395969, 0.00396131, 0.00396325", \
-            "0.00401391, 0.00397068, 0.00393435, 0.00390472, 0.00388779, 0.00387839", \
-            "0.00396394, 0.003884, 0.00380575, 0.00374166, 0.00369298, 0.00365962", \
-            "0.00416376, 0.0040019, 0.00385715, 0.00373349, 0.00364026, 0.00357735", \
-            "0.00440007, 0.00440545, 0.00416436, 0.00390546, 0.00373833, 0.00361574", \
-            "0.00426442, 0.00430517, 0.00435202, 0.00434028, 0.00399407, 0.00378317" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0179667, 0.0217108, 0.024272, 0.0257936, 0.0320326, 0.034363, 0.0380315, 0.0407824, 0.0474092, 0.051476, 0.0555376, 0.0619881, 0.0664701, 0.0706522, 0.073094, 0.0780188, 0.0852832, 0.0930319, 0.0975367");
-            values ( \
-              "-0.0052575, -0.0987979, -0.143248, -0.148483, -0.142767, -0.142182, -0.14376, -0.148241, -0.165132, -0.157602, -0.132416, -0.0751485, -0.0471433, -0.0297062, -0.022511, -0.0128033, -0.00566277, -0.00239467, -0.00173991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00665931");
-            index_3 ("0.0185, 0.0218708, 0.0247197, 0.0258293, 0.0271391, 0.0331584, 0.0361965, 0.0393052, 0.0454237, 0.0569689, 0.0629234, 0.0640175, 0.0683937, 0.0773979, 0.081656, 0.0850811, 0.0908836, 0.0950469, 0.0998833, 0.107669, 0.118051, 0.132279, 0.134716");
-            values ( \
-              "-0.00800383, -0.134414, -0.195459, -0.201248, -0.202143, -0.196335, -0.194743, -0.194643, -0.19744, -0.217409, -0.204307, -0.199499, -0.16911, -0.0979033, -0.0723429, -0.0559643, -0.0356954, -0.0255914, -0.0173501, -0.00924804, -0.00389434, -0.00123242, -0.00111544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.012182");
-            index_3 ("0.0192705, 0.023685, 0.0257582, 0.0286139, 0.0352401, 0.0433369, 0.0567043, 0.0654053, 0.0738875, 0.0830105, 0.0855982, 0.105561, 0.115217, 0.124343, 0.136757, 0.151582, 0.164597, 0.174224");
-            values ( \
-              "-0.0630537, -0.215991, -0.248358, -0.253137, -0.248579, -0.246077, -0.247724, -0.256346, -0.261262, -0.242144, -0.231849, -0.114952, -0.0738231, -0.0472535, -0.0250816, -0.0116483, -0.00581135, -0.00399534" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0222848");
-            index_3 ("0.0201233, 0.0244079, 0.0266691, 0.0280505, 0.0297477, 0.0434533, 0.0679039, 0.0769185, 0.0907605, 0.104512, 0.118814, 0.122131, 0.126554, 0.148504, 0.166204, 0.172809, 0.183706, 0.196837, 0.208758, 0.221712, 0.231738, 0.251788, 0.287285, 0.329796");
-            values ( \
-              "-0.110007, -0.264627, -0.290497, -0.294331, -0.295583, -0.291713, -0.288226, -0.288127, -0.292104, -0.291669, -0.268861, -0.26053, -0.246381, -0.156983, -0.0988088, -0.0821128, -0.0598817, -0.0404421, -0.0280364, -0.018806, -0.0137497, -0.00722243, -0.00210961, -0.000489924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0407661");
-            index_3 ("0.0205274, 0.0257381, 0.0277826, 0.0295732, 0.0332168, 0.0404027, 0.112983, 0.143286, 0.157283, 0.164546, 0.174096, 0.184369, 0.204917, 0.237923, 0.252875, 0.263532, 0.275814, 0.292067, 0.306999, 0.326909, 0.348408, 0.360087, 0.383443, 0.430155, 0.479466, 0.528776");
-            values ( \
-              "-0.158877, -0.309899, -0.322058, -0.325875, -0.327697, -0.327127, -0.315872, -0.315119, -0.310347, -0.305413, -0.29679, -0.284323, -0.243213, -0.160878, -0.129143, -0.109589, -0.0900561, -0.068849, -0.0534803, -0.0377933, -0.0258633, -0.0210293, -0.0137664, -0.00564926, -0.00218179, -0.000874048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0745743");
-            index_3 ("0.0205357, 0.0257238, 0.0297684, 0.0349026, 0.0413096, 0.0797272, 0.178485, 0.219124, 0.259817, 0.281472, 0.304197, 0.348828, 0.392938, 0.440166, 0.471009, 0.497336, 0.544882, 0.581316, 0.619743, 0.649273, 0.698584, 0.795383");
-            values ( \
-              "-0.167729, -0.326155, -0.345563, -0.349692, -0.350316, -0.346607, -0.333297, -0.329157, -0.319786, -0.308989, -0.293004, -0.238832, -0.174251, -0.117722, -0.0893248, -0.0698749, -0.0443069, -0.0308539, -0.0210494, -0.015639, -0.00939739, -0.0034949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0292481, 0.0397843, 0.0527315, 0.0536024, 0.0571997, 0.05968, 0.0663762, 0.0704331, 0.0744743, 0.0809369, 0.0853787, 0.0896062, 0.0969506, 0.104164, 0.110898");
-            values ( \
-              "-0.00161081, -0.075308, -0.146898, -0.147977, -0.148416, -0.150993, -0.166014, -0.157892, -0.132644, -0.0751382, -0.0473217, -0.0296436, -0.0128178, -0.00570476, -0.00282296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00665931");
-            index_3 ("0.0293182, 0.0453956, 0.052459, 0.0532368, 0.0547925, 0.0614802, 0.0645185, 0.0760132, 0.0820002, 0.0832438, 0.096526, 0.102561, 0.108764, 0.112068, 0.118588, 0.127041, 0.136057, 0.145862");
-            values ( \
-              "-0.00464455, -0.14451, -0.197757, -0.200054, -0.200606, -0.197914, -0.19934, -0.217785, -0.204259, -0.198593, -0.0973264, -0.0629852, -0.0390863, -0.0300822, -0.0177885, -0.00901249, -0.00430178, -0.00225591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.012182");
-            index_3 ("0.0292523, 0.0469012, 0.0531847, 0.0543236, 0.0640141, 0.0713723, 0.0758232, 0.0845018, 0.0929813, 0.102105, 0.104704, 0.124649, 0.134317, 0.143428, 0.155845, 0.170683, 0.183711, 0.194921");
-            values ( \
-              "-0.0017953, -0.1953, -0.251019, -0.252643, -0.24763, -0.247044, -0.248373, -0.256318, -0.261424, -0.242152, -0.231801, -0.114975, -0.0737947, -0.0472698, -0.0250848, -0.0116427, -0.00580325, -0.00368415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0222848");
-            index_3 ("0.0342153, 0.0522475, 0.0554559, 0.0750357, 0.0958754, 0.113219, 0.120112, 0.130119, 0.137745, 0.152295, 0.176495, 0.185285, 0.202764, 0.215888, 0.227815, 0.250824, 0.270903, 0.287082");
-            values ( \
-              "-0.0788066, -0.288898, -0.29698, -0.289883, -0.287899, -0.293787, -0.292772, -0.282995, -0.268856, -0.220124, -0.125162, -0.0987248, -0.0598738, -0.0404468, -0.0280349, -0.013739, -0.00720876, -0.00487891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0407661");
-            index_3 ("0.0333221, 0.0441481, 0.0524391, 0.053404, 0.0551615, 0.0569996, 0.076305, 0.127366, 0.14176, 0.155446, 0.17872, 0.192115, 0.20356, 0.22645, 0.252973, 0.270949, 0.285757, 0.29729, 0.316283, 0.324545, 0.339035, 0.35958, 0.38142, 0.398236, 0.431869, 0.481179, 0.481241");
-            values ( \
-              "-0.0562157, -0.214986, -0.318324, -0.325133, -0.329363, -0.3299, -0.324823, -0.316409, -0.31526, -0.315676, -0.309614, -0.298189, -0.28432, -0.237601, -0.170615, -0.131366, -0.104503, -0.0868227, -0.0633177, -0.0550372, -0.0428606, -0.0298274, -0.0202567, -0.0149764, -0.00804065, -0.0031094, -0.00310701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0745743");
-            index_3 ("0.03348, 0.0520272, 0.0574245, 0.197313, 0.237944, 0.278637, 0.300291, 0.323017, 0.367648, 0.411758, 0.458987, 0.489829, 0.516157, 0.563702, 0.600137, 0.638563, 0.668094, 0.717405, 0.808808");
-            values ( \
-              "-0.0862298, -0.331944, -0.3519, -0.333299, -0.329159, -0.319785, -0.308989, -0.293003, -0.238832, -0.174251, -0.117722, -0.0893247, -0.0698749, -0.0443068, -0.0308539, -0.0210494, -0.0156389, -0.00939742, -0.00382398" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0564199, 0.0637205, 0.0732647, 0.0809268, 0.092236, 0.0969124, 0.0991197, 0.105957, 0.108793, 0.112716, 0.114491, 0.115853, 0.122889, 0.127476, 0.13071, 0.13459, 0.138052, 0.14248, 0.146039, 0.153156, 0.159126");
-            values ( \
-              "-0.00452414, -0.0314298, -0.0498084, -0.0692085, -0.0953862, -0.107876, -0.114859, -0.145309, -0.155591, -0.15713, -0.152315, -0.142749, -0.0791688, -0.0491445, -0.034383, -0.0221563, -0.0148504, -0.00899709, -0.00604269, -0.00271729, -0.00170245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00665931");
-            index_3 ("0.0561394, 0.0654451, 0.0764223, 0.0991759, 0.109457, 0.113511, 0.116448, 0.118155, 0.120894, 0.123758, 0.129454, 0.138678, 0.144747, 0.150775, 0.154102, 0.160651, 0.169231, 0.178384, 0.192511, 0.264708");
-            values ( \
-              "-0.00304093, -0.0485734, -0.0798727, -0.151909, -0.188716, -0.212333, -0.218595, -0.218546, -0.214896, -0.206326, -0.170249, -0.0970509, -0.062597, -0.039366, -0.0302237, -0.017819, -0.00892561, -0.00421753, -0.00131625, -8.77473e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.012182");
-            index_3 ("0.0563811, 0.0680501, 0.0921469, 0.114607, 0.117478, 0.119899, 0.126912, 0.134367, 0.140563, 0.143999, 0.150871, 0.164067, 0.174622, 0.183802, 0.194466, 0.204869, 0.211853, 0.22582, 0.235117");
-            values ( \
-              "-0.00578936, -0.0694329, -0.163784, -0.247073, -0.25184, -0.251943, -0.258036, -0.261852, -0.252696, -0.243645, -0.211469, -0.130556, -0.081374, -0.0521488, -0.0304566, -0.0178223, -0.0123954, -0.00583411, -0.00405739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0222848");
-            index_3 ("0.0563371, 0.0718079, 0.0934526, 0.114226, 0.116479, 0.130014, 0.138291, 0.152117, 0.165868, 0.18017, 0.187921, 0.209861, 0.224154, 0.242693, 0.258193, 0.270114, 0.283067, 0.293091, 0.313139, 0.337935");
-            values ( \
-              "-0.00594205, -0.0978534, -0.198807, -0.288057, -0.292766, -0.288812, -0.288329, -0.292156, -0.291646, -0.268857, -0.246346, -0.156979, -0.108446, -0.064181, -0.040443, -0.0280364, -0.0188067, -0.0137515, -0.00722325, -0.00363124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0407661");
-            index_3 ("0.0562869, 0.0769329, 0.0899726, 0.114603, 0.118112, 0.130116, 0.174235, 0.197718, 0.220985, 0.234129, 0.245821, 0.269205, 0.293675, 0.322841, 0.340491, 0.354784, 0.377464, 0.40826, 0.426955, 0.448321, 0.491053, 0.540364, 0.589674");
-            values ( \
-              "-0.00752199, -0.134685, -0.203073, -0.321881, -0.32755, -0.323287, -0.31567, -0.315714, -0.309587, -0.298438, -0.284322, -0.236389, -0.17435, -0.113349, -0.0855072, -0.0674539, -0.0458223, -0.0266099, -0.0190887, -0.0129731, -0.00578322, -0.00224425, -0.000885969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0745743");
-            index_3 ("0.0732118, 0.0932692, 0.11265, 0.118136, 0.239626, 0.294464, 0.322081, 0.359269, 0.379091, 0.40552, 0.475753, 0.513749, 0.540796, 0.565341, 0.594252, 0.632801, 0.658289, 0.697641, 0.746952, 0.796262, 0.845573, 0.84701");
-            values ( \
-              "-0.224061, -0.23427, -0.33475, -0.350843, -0.3332, -0.327228, -0.319074, -0.298222, -0.279995, -0.245647, -0.146472, -0.1056, -0.0826069, -0.0656572, -0.0497889, -0.0340045, -0.0264119, -0.0177968, -0.0107462, -0.00643203, -0.00388702, -0.00385735" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.106305, 0.119811, 0.139802, 0.168162, 0.177753, 0.192242, 0.198204, 0.204378, 0.220158, 0.22863, 0.234601, 0.238658");
-            values ( \
-              "-0.00282688, -0.0165922, -0.0325976, -0.0623211, -0.0743874, -0.108307, -0.111559, -0.0998976, -0.0298337, -0.0124432, -0.00661193, -0.00514641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00665931");
-            index_3 ("0.107369, 0.123233, 0.134272, 0.140056, 0.158784, 0.181713, 0.189051, 0.198488, 0.206533, 0.214021, 0.221496, 0.233619, 0.241406, 0.24468, 0.249005, 0.250572, 0.257569, 0.261729, 0.270048, 0.282717");
-            values ( \
-              "-0.00325896, -0.0269888, -0.0385417, -0.0458524, -0.0729713, -0.104429, -0.116474, -0.1385, -0.159253, -0.161299, -0.143498, -0.0773862, -0.0454895, -0.0357516, -0.025701, -0.0243146, -0.0145755, -0.0103826, -0.00522074, -0.00185163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.012182");
-            index_3 ("0.106504, 0.125121, 0.140655, 0.204205, 0.228608, 0.238426, 0.248221, 0.268002, 0.278009, 0.290823, 0.296503, 0.30551, 0.310423");
-            values ( \
-              "-0.0160567, -0.0366876, -0.0591028, -0.168891, -0.224005, -0.2234, -0.192464, -0.0881954, -0.0544931, -0.0284687, -0.0212563, -0.0133187, -0.010744" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0222848");
-            index_3 ("0.13242, 0.162028, 0.168145, 0.180379, 0.214476, 0.223072, 0.231667, 0.239188, 0.246709, 0.249022, 0.250248, 0.251473, 0.253399, 0.254362, 0.255966, 0.257887, 0.258528, 0.25981, 0.261732, 0.263013, 0.265576, 0.267868, 0.27016, 0.272451, 0.274743, 0.278367, 0.281991, 0.285614, 0.289238, 0.291416, 0.295773, 0.299659, 0.306038, 0.311025, 0.315875, 0.321359, 0.323859, 0.328828, 0.33524, 0.339759, 0.342141, 0.344523, 0.34662, 0.350812, 0.352908, 0.355887, 0.358866, 0.361844, 0.364823, 0.369624");
-            values ( \
-              "-0.104645, -0.11603, -0.128511, -0.152585, -0.217389, -0.234204, -0.25131, -0.267072, -0.283394, -0.29215, -0.292855, -0.293324, -0.29349, -0.293425, -0.293096, -0.292341, -0.291876, -0.290816, -0.288903, -0.287412, -0.283914, -0.279709, -0.275065, -0.269982, -0.264461, -0.254837, -0.244115, -0.232298, -0.219383, -0.210728, -0.191482, -0.175608, -0.151154, -0.133425, -0.117395, -0.100584, -0.0938976, -0.0819199, -0.0683347, -0.0594717, -0.0551719, -0.0511704, -0.0481904, -0.0426358, -0.0400612, -0.036701, -0.0335699, -0.0306678, -0.0279949, -0.0241452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0407661");
-            index_3 ("0.137054, 0.167849, 0.186516, 0.204805, 0.20863, 0.212454, 0.216279, 0.220103, 0.223771, 0.227439, 0.231107, 0.234776, 0.237581, 0.240386, 0.242979, 0.245571, 0.249448, 0.252618, 0.25542, 0.27224, 0.282339, 0.292079, 0.297896, 0.303713, 0.309529, 0.31556, 0.321981, 0.328829, 0.334508, 0.340187, 0.345866, 0.351545, 0.357224, 0.362903, 0.368308, 0.378875, 0.387329, 0.394537, 0.407706, 0.419138, 0.424653, 0.432925, 0.444619, 0.452899, 0.461664, 0.472399, 0.48108, 0.491285, 0.496387, 0.504417");
-            values ( \
-              "-0.138944, -0.141326, -0.183031, -0.221823, -0.23404, -0.247677, -0.262734, -0.279211, -0.27983, -0.28144, -0.28404, -0.28763, -0.291044, -0.295037, -0.29974, -0.305251, -0.316752, -0.317748, -0.317929, -0.315555, -0.315409, -0.314505, -0.313606, -0.31244, -0.311006, -0.309188, -0.304106, -0.297432, -0.289812, -0.281201, -0.271496, -0.260869, -0.249321, -0.236851, -0.224127, -0.195999, -0.175495, -0.158976, -0.131085, -0.109409, -0.100144, -0.0879203, -0.0727365, -0.0628769, -0.0537152, -0.0449211, -0.0387712, -0.0324915, -0.0297029, -0.0257678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0745743");
-            index_3 ("0.124491, 0.153153, 0.200312, 0.23232, 0.248906, 0.251944, 0.255282, 0.334547, 0.388304, 0.402191, 0.41645, 0.444967, 0.46017, 0.490578, 0.542778, 0.577746, 0.59858, 0.612634, 0.640743, 0.656388, 0.681665, 0.705877, 0.73977, 0.753529, 0.781048, 0.830359, 0.879669, 0.928979, 0.97829, 1.07691");
-            values ( \
-              "-0.0715867, -0.115843, -0.229501, -0.301996, -0.338218, -0.343003, -0.344919, -0.333251, -0.327235, -0.323885, -0.318864, -0.304346, -0.292932, -0.258843, -0.182463, -0.137513, -0.114948, -0.101459, -0.0784264, -0.0677262, -0.053246, -0.0420727, -0.0300778, -0.0262287, -0.0199084, -0.0119965, -0.00721821, -0.00432756, -0.00260668, -0.000950382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.198591, 0.21732, 0.23204, 0.234683, 0.239969, 0.249709, 0.254726, 0.264759, 0.275207, 0.277947, 0.287343, 0.300513, 0.318826, 0.333723, 0.345826, 0.355704, 0.364534, 0.373384, 0.373495, 0.373643, 0.373939, 0.37453, 0.375713, 0.378079, 0.38572, 0.387494, 0.391044, 0.393306, 0.39382, 0.394848, 0.396904, 0.400004, 0.404462, 0.406494, 0.410559, 0.41869, 0.4313, 0.431706");
-            values ( \
-              "-0.00233645, -0.00377097, -0.00673477, -0.00732304, -0.00855861, -0.0110738, -0.0124989, -0.0157228, -0.01994, -0.0212399, -0.0257251, -0.0316904, -0.0399068, -0.0481152, -0.0601794, -0.0727755, -0.0754357, -0.0722264, -0.0717111, -0.0712647, -0.0706515, -0.069652, -0.0675213, -0.0623977, -0.0425297, -0.0380912, -0.0299838, -0.0254838, -0.0245314, -0.0227148, -0.0193911, -0.0151447, -0.0104481, -0.00880472, -0.00620791, -0.00300362, -0.0009534, -0.000936832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00665931");
-            index_3 ("0.205364, 0.217122, 0.237506, 0.261579, 0.275521, 0.332882, 0.350092, 0.376647, 0.387731, 0.398822, 0.425312, 0.431989, 0.438154, 0.445581, 0.45148, 0.463278, 0.465491");
-            values ( \
-              "-0.00414133, -0.00545496, -0.0117584, -0.0212538, -0.0283679, -0.0637474, -0.0753781, -0.106463, -0.109834, -0.103253, -0.0348571, -0.0235176, -0.0159688, -0.00989466, -0.00668555, -0.00293431, -0.00267211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.012182");
-            index_3 ("0.216655, 0.247934, 0.275136, 0.363869, 0.375301, 0.408521, 0.422908, 0.437292, 0.459411, 0.47153, 0.477768, 0.486086, 0.494691, 0.499496, 0.509104, 0.528322, 0.550098, 0.56005, 0.585322, 0.610038");
-            values ( \
-              "-0.00695289, -0.0210978, -0.0371795, -0.104364, -0.113811, -0.15092, -0.154065, -0.142043, -0.0795807, -0.050481, -0.0390654, -0.0271939, -0.0184398, -0.0147698, -0.00934697, -0.0034708, -0.0011294, -0.00163963, -0.000482974, -0.00026192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0222848");
-            index_3 ("0.216723, 0.258861, 0.286478, 0.334755, 0.413336, 0.456161, 0.475301, 0.494416, 0.537969, 0.550206, 0.57389, 0.58712, 0.604761, 0.620145");
-            values ( \
-              "-0.0048386, -0.0332592, -0.0545666, -0.0984977, -0.165125, -0.208855, -0.210749, -0.188063, -0.0744905, -0.0532201, -0.0270618, -0.0179759, -0.010263, -0.00699745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0407661");
-            index_3 ("0.216726, 0.281481, 0.293909, 0.330594, 0.367465, 0.394304, 0.467548, 0.497145, 0.523969, 0.537099, 0.546599, 0.550228, 0.551448, 0.554596, 0.564754, 0.607309, 0.621754, 0.640486, 0.65713, 0.672821, 0.693742, 0.708376, 0.72492, 0.746978, 0.791096, 0.840406, 0.889717");
-            values ( \
-              "-0.00369486, -0.0574985, -0.0690435, -0.10629, -0.141931, -0.167096, -0.233627, -0.262273, -0.283664, -0.285468, -0.283018, -0.280701, -0.280515, -0.276518, -0.256272, -0.151725, -0.122317, -0.0910121, -0.0691292, -0.0530076, -0.0367958, -0.0284277, -0.0211984, -0.0142357, -0.0061638, -0.00239335, -0.000941542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0745743");
-            index_3 ("0.263991, 0.321827, 0.356839, 0.424241, 0.494521, 0.550056, 0.556113, 0.5639, 0.58429, 0.599632, 0.625665, 0.664928, 0.669488, 0.678608, 0.696848, 0.761358, 0.787917, 0.80496, 0.835758, 0.862171, 0.884132, 0.909844, 0.946249, 0.969848, 1.01399, 1.0633, 1.11261, 1.16192, 1.21123, 1.30986");
-            values ( \
-              "-0.0834737, -0.104153, -0.142055, -0.211022, -0.279368, -0.329533, -0.331269, -0.331304, -0.329279, -0.326888, -0.319066, -0.296641, -0.293052, -0.284362, -0.262824, -0.169565, -0.136312, -0.117779, -0.0894055, -0.0699391, -0.0567844, -0.0442513, -0.0308644, -0.024408, -0.0156532, -0.00942803, -0.00565654, -0.00340345, -0.00203971, -0.000741908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.407381, 0.481319, 0.493044, 0.512292, 0.537989, 0.558303, 0.591793, 0.630793, 0.654199, 0.672862, 0.687858, 0.701207, 0.714461, 0.729116, 0.742751, 0.753007, 0.75889, 0.769321, 0.783229, 0.800795, 0.810808");
-            values ( \
-              "-3.45101e-05, -0.00351295, -0.00431889, -0.00581878, -0.00839262, -0.0111918, -0.0174896, -0.0252165, -0.0307763, -0.0394284, -0.0481868, -0.0498016, -0.0492965, -0.0355026, -0.0184118, -0.0100375, -0.00692949, -0.00348213, -0.00136274, -0.000425401, -0.000330159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00665931");
-            index_3 ("0.456576, 0.500494, 0.53087, 0.549767, 0.570637, 0.650797, 0.677618, 0.699682, 0.718078, 0.734789, 0.751531, 0.770034, 0.787339, 0.799889, 0.807182, 0.819499, 0.835921, 0.857571, 0.867304");
-            values ( \
-              "-0.00691992, -0.0074168, -0.0114697, -0.0146409, -0.0191324, -0.0406654, -0.0487837, -0.059906, -0.0706041, -0.0727234, -0.0711408, -0.0508921, -0.0267985, -0.0151895, -0.0106721, -0.00568791, -0.00237263, -0.000656552, -0.000514368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.012182");
-            index_3 ("0.456616, 0.502131, 0.52634, 0.546472, 0.577415, 0.643608, 0.682395, 0.714501, 0.764995, 0.786627, 0.808279, 0.820503, 0.845345, 0.8607, 0.868058, 0.878442, 0.889153, 0.897377, 0.913824, 0.943726, 0.978803, 1.02355, 1.17148");
-            values ( \
-              "-0.00860515, -0.0106743, -0.0150613, -0.0193505, -0.0274818, -0.0501536, -0.0628597, -0.074095, -0.100018, -0.102669, -0.0991657, -0.0864255, -0.0501449, -0.0317387, -0.0249494, -0.0174007, -0.0118468, -0.00873049, -0.0045941, -0.00124764, -0.000296747, -9.6353e-05, -3.32975e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0222848");
-            index_3 ("0.45651, 0.51946, 0.568939, 0.604614, 0.659889, 0.769623, 0.834607, 0.863201, 0.891804, 0.905628, 0.955891, 0.975479, 0.990313, 1.00554, 1.0172, 1.04053, 1.06286");
-            values ( \
-              "-0.00783215, -0.0176668, -0.0314638, -0.0442775, -0.0669521, -0.108255, -0.138669, -0.14196, -0.134376, -0.118884, -0.0503502, -0.0324666, -0.0226991, -0.0155355, -0.0115076, -0.00612171, -0.00378381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0407661");
-            index_3 ("0.45629, 0.543084, 0.584605, 0.623197, 0.694656, 0.849727, 0.912442, 0.930855, 0.942987, 0.958194, 0.973062, 1.0028, 1.01226, 1.07194, 1.10333, 1.12048, 1.14336, 1.15882, 1.17593, 1.19875, 1.21571, 1.24743, 1.26394, 1.29696, 1.34419, 1.3935");
-            values ( \
-              "-0.0055431, -0.0282852, -0.0429664, -0.0590531, -0.0911467, -0.154149, -0.182116, -0.188316, -0.191269, -0.193626, -0.193986, -0.183914, -0.175629, -0.0971531, -0.0631899, -0.0489547, -0.0341377, -0.026557, -0.0199897, -0.0135085, -0.0100652, -0.00636349, -0.00466441, -0.00259632, -0.00104007, -0.000461069" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0745743");
-            index_3 ("0.505796, 0.573708, 0.608224, 0.716651, 0.81852, 0.896818, 1.04171, 1.07605, 1.12536, 1.14278, 1.1614, 1.18258, 1.26092, 1.29487, 1.33306, 1.35442, 1.39714, 1.41724, 1.44058, 1.4717, 1.52101, 1.57032, 1.60422");
-            values ( \
-              "-0.0359197, -0.0431789, -0.0576373, -0.108799, -0.154516, -0.188111, -0.247963, -0.258203, -0.260523, -0.255477, -0.243069, -0.221261, -0.125945, -0.0931614, -0.0652956, -0.0532106, -0.0349405, -0.0286124, -0.0226834, -0.0165836, -0.00997647, -0.00600427, -0.00434904" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00262083, 0.00262648, 0.00263194, 0.00263627, 0.00263926, 0.00264112", \
-            "0.00299662, 0.00299932, 0.00300281, 0.00300629, 0.00300909, 0.00301101", \
-            "0.00320314, 0.00320391, 0.00320515, 0.00320688, 0.00320873, 0.00321028", \
-            "0.00331965, 0.00331995, 0.00332035, 0.00332091, 0.0033217, 0.00332261", \
-            "0.00338468, 0.0033849, 0.00338517, 0.00338545, 0.00338575, 0.00338613", \
-            "0.00342567, 0.00342559, 0.00342604, 0.00342654, 0.003427, 0.00342738" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00358658, 0.00359244, 0.0035973, 0.00360068, 0.00360274, 0.00360391", \
-            "0.00378924, 0.00379474, 0.00379848, 0.00380052, 0.00380141, 0.00380172", \
-            "0.00387231, 0.00388372, 0.00389215, 0.00389658, 0.00389867, 0.00389949", \
-            "0.0040052, 0.00396771, 0.00393963, 0.00391847, 0.00390368, 0.00389372", \
-            "0.00433173, 0.00418036, 0.00406536, 0.00398725, 0.00393821, 0.00390832", \
-            "0.0049838, 0.00469468, 0.00435353, 0.00414765, 0.00402047, 0.00394567" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.0553747, 0.0754836, 0.11136, 0.176349, 0.294509, 0.510175", \
-            "0.059736, 0.0795977, 0.116117, 0.181392, 0.299791, 0.51564", \
-            "0.0726961, 0.0923614, 0.128336, 0.193318, 0.311949, 0.528065", \
-            "0.101161, 0.123358, 0.158561, 0.221929, 0.341148, 0.557188", \
-            "0.144844, 0.176984, 0.224378, 0.293112, 0.409091, 0.623839", \
-            "0.217157, 0.260533, 0.327127, 0.425434, 0.564903, 0.777822" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.0575337, 0.0851287, 0.135214, 0.226526, 0.393338, 0.698113", \
-            "0.0573686, 0.0850471, 0.13521, 0.226448, 0.39334, 0.698113", \
-            "0.0589942, 0.084941, 0.135135, 0.226487, 0.393336, 0.698112", \
-            "0.0757439, 0.0962789, 0.139343, 0.2264, 0.393263, 0.698113", \
-            "0.106757, 0.1337, 0.173584, 0.24576, 0.396824, 0.698194", \
-            "0.153535, 0.191322, 0.247646, 0.328758, 0.455609, 0.717981" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.0264317, 0.0341056, 0.0478372, 0.0726649, 0.117852, 0.200367", \
-            "0.031328, 0.0391285, 0.0529426, 0.0778572, 0.123124, 0.205653", \
-            "0.0400066, 0.0497936, 0.0644026, 0.0893948, 0.134738, 0.217371", \
-            "0.0475156, 0.0624473, 0.0838983, 0.114887, 0.160787, 0.243407", \
-            "0.0488229, 0.070516, 0.102912, 0.148846, 0.213037, 0.301991", \
-            "0.0319133, 0.0632673, 0.109768, 0.177205, 0.272231, 0.40349" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.0190485, 0.0288188, 0.0466756, 0.0794382, 0.139399, 0.249114", \
-            "0.0197411, 0.0289265, 0.046699, 0.0794305, 0.139414, 0.249118", \
-            "0.0275066, 0.0348531, 0.0495691, 0.0798531, 0.139405, 0.249117", \
-            "0.0423615, 0.0527467, 0.0683725, 0.0923931, 0.143816, 0.249108", \
-            "0.066513, 0.08152, 0.10357, 0.135433, 0.180797, 0.267365", \
-            "0.108182, 0.128879, 0.160048, 0.205593, 0.270224, 0.360542" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0287246, 0.0332853, 0.033797, 0.0510566, 0.0589048, 0.0665837, 0.0713428, 0.0756478, 0.0842578, 0.0904767, 0.100762, 0.112985, 0.123841, 0.134752, 0.150098, 0.161025, 0.173513, 0.188983, 0.199478, 0.220469, 0.244145");
-            values ( \
-              "0.0219755, 0.0996853, 0.0989656, 0.0882217, 0.0843317, 0.0797121, 0.0752519, 0.0695858, 0.0554959, 0.0469768, 0.035842, 0.0261877, 0.0196869, 0.0147519, 0.00968604, 0.00714011, 0.00499601, 0.00321235, 0.00236806, 0.00126739, 0.000693637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00665931");
-            index_3 ("0.0297246, 0.033281, 0.0347886, 0.0465987, 0.0521394, 0.07487, 0.0870275, 0.0992804, 0.113607, 0.120798, 0.130386, 0.142538, 0.149507, 0.163445, 0.171757, 0.180806, 0.192871, 0.204618, 0.218585, 0.237207, 0.247438, 0.263275, 0.28439, 0.32662, 0.379727");
-            values ( \
-              "0.0155511, 0.119555, 0.119496, 0.111849, 0.109304, 0.101444, 0.0959818, 0.0865213, 0.0694569, 0.0616327, 0.0523653, 0.0425267, 0.0375577, 0.0289715, 0.024749, 0.020733, 0.0162553, 0.0127746, 0.00953649, 0.00638708, 0.00512844, 0.00363754, 0.00227742, 0.000836286, 0.000219499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.012182");
-            index_3 ("0.0304231, 0.0351681, 0.0385057, 0.0433134, 0.0486069, 0.0571658, 0.0840382, 0.10328, 0.116638, 0.122958, 0.132976, 0.144156, 0.165925, 0.186537, 0.204938, 0.225856, 0.247044, 0.258324, 0.279162, 0.296667, 0.310982, 0.328388, 0.352952, 0.37885, 0.398741, 0.438522, 0.492891");
-            values ( \
-              "0.0506977, 0.134447, 0.132944, 0.129534, 0.126804, 0.124275, 0.118777, 0.114266, 0.110586, 0.108409, 0.104153, 0.0974985, 0.0811107, 0.066425, 0.0546292, 0.0429219, 0.0329854, 0.0285355, 0.0215874, 0.0169411, 0.0138483, 0.0107808, 0.0075199, 0.00513475, 0.00381204, 0.00206628, 0.00096449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0222848");
-            index_3 ("0.0329756, 0.0329956, 0.0758652, 0.0913614, 0.154458, 0.178573, 0.204964, 0.223781, 0.315102, 0.356803, 0.391008, 0.420371, 0.442022, 0.477551, 0.520383, 0.559363, 0.631678, 0.694474, 0.777636, 0.781589");
-            values ( \
-              "1e-22, 0.145816, 0.133056, 0.131441, 0.122804, 0.118718, 0.112515, 0.106075, 0.0655062, 0.0491174, 0.0378128, 0.0298145, 0.0248471, 0.018246, 0.0124252, 0.00866841, 0.00441284, 0.00239647, 0.00104239, 0.0010223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0407661");
-            index_3 ("0.0329149, 0.0329349, 0.105177, 0.189875, 0.287064, 0.335906, 0.368708, 0.432749, 0.52501, 0.598993, 0.65444, 0.699847, 0.734949, 0.799247, 0.872895, 0.937743, 1.02261, 1.10577, 1.18893, 1.35526, 1.52158");
-            values ( \
-              "1e-22, 0.147166, 0.13913, 0.132892, 0.124204, 0.1178, 0.111555, 0.0956595, 0.070737, 0.0524786, 0.0408662, 0.0329014, 0.0276294, 0.0198419, 0.0134015, 0.00939498, 0.00586483, 0.00364895, 0.00227101, 0.000872307, 0.000335179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0745743");
-            index_3 ("0.0392362, 0.0392562, 0.160486, 0.316455, 0.447238, 0.521567, 0.54867, 0.589352, 0.633341, 0.716502, 0.942556, 1.05713, 1.14029, 1.20094, 1.26941, 1.35257, 1.38721, 1.4565, 1.52883, 1.61199, 1.7039, 1.75575, 1.83891, 1.92208, 2.00524, 2.17156, 2.42104, 2.67053");
-            values ( \
-              "1e-22, 0.164152, 0.142419, 0.135845, 0.129522, 0.125074, 0.123116, 0.119573, 0.11488, 0.103791, 0.068703, 0.0524016, 0.0421928, 0.0357606, 0.0294846, 0.023085, 0.0208478, 0.0168719, 0.013525, 0.0103986, 0.00780519, 0.00658948, 0.005071, 0.00384923, 0.00296592, 0.00173142, 0.000742412, 0.000350495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0429733, 0.0543313, 0.0556273, 0.0579098, 0.0602113, 0.0625183, 0.0696833, 0.0852163, 0.093327, 0.103197, 0.107839, 0.112808, 0.122048, 0.133403, 0.142786, 0.150598, 0.161014, 0.173691, 0.181334, 0.195592, 0.212304, 0.225763, 0.252681, 0.269075");
-            values ( \
-              "0.00176019, 0.0923685, 0.0945641, 0.0958739, 0.0952962, 0.0939372, 0.0890768, 0.0794564, 0.0708409, 0.0546639, 0.0481682, 0.0421719, 0.0332271, 0.0247967, 0.0193839, 0.0157612, 0.0118644, 0.00835873, 0.0067445, 0.00447522, 0.00277312, 0.0018736, 0.000821508, 0.000570687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00665931");
-            index_3 ("0.0472916, 0.0515411, 0.0530343, 0.0545066, 0.0563338, 0.0587701, 0.0597176, 0.0702817, 0.0813989, 0.0926349, 0.0977172, 0.103138, 0.109061, 0.116957, 0.134019, 0.149551, 0.1688, 0.18414, 0.191928, 0.204252, 0.218606, 0.231542, 0.248789, 0.264864, 0.273637, 0.291182, 0.326272, 0.357156");
-            values ( \
-              "0.0423237, 0.0943951, 0.106196, 0.111887, 0.115343, 0.116339, 0.116443, 0.110217, 0.105511, 0.101733, 0.0995371, 0.097021, 0.093462, 0.0866913, 0.0667793, 0.0511118, 0.0367246, 0.0275485, 0.02375, 0.0186136, 0.0138806, 0.0106123, 0.00733722, 0.00519462, 0.00430154, 0.00292049, 0.00130637, 0.000727439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.012182");
-            index_3 ("0.04507, 0.0589466, 0.0608282, 0.0731713, 0.0809036, 0.103084, 0.121411, 0.12892, 0.141594, 0.151611, 0.162792, 0.184561, 0.205171, 0.223573, 0.244488, 0.265677, 0.276955, 0.297791, 0.315295, 0.329607, 0.34701, 0.371569, 0.39745, 0.417326, 0.457077, 0.528397, 0.611559");
-            values ( \
-              "0.0246234, 0.132506, 0.132059, 0.12568, 0.12333, 0.11864, 0.114429, 0.11242, 0.10842, 0.10414, 0.0975121, 0.0811004, 0.0664344, 0.0546271, 0.0429209, 0.0329911, 0.028539, 0.0215909, 0.0169423, 0.0138499, 0.010784, 0.00752135, 0.00513709, 0.00381556, 0.00206842, 0.00062258, 0.000143044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0222848");
-            index_3 ("0.0520263, 0.0520463, 0.0984134, 0.141393, 0.173464, 0.205802, 0.222624, 0.240566, 0.255876, 0.305619, 0.345977, 0.363865, 0.399642, 0.440098, 0.468337, 0.495776, 0.528041, 0.571059, 0.595134, 0.632228, 0.681686, 0.764847, 0.848008, 0.931169");
-            values ( \
-              "1e-22, 0.145394, 0.132732, 0.127388, 0.122812, 0.117104, 0.112877, 0.10694, 0.100629, 0.077945, 0.0605932, 0.0535295, 0.0409693, 0.0296182, 0.0233108, 0.018357, 0.0137642, 0.00926158, 0.0074158, 0.00524459, 0.00326672, 0.00142371, 0.000614151, 0.000266084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0407661");
-            index_3 ("0.0560079, 0.0560279, 0.128738, 0.209243, 0.266726, 0.308795, 0.35525, 0.388021, 0.459566, 0.55912, 0.618317, 0.701599, 0.75423, 0.804525, 0.846608, 0.892206, 0.957047, 1.04189, 1.12505, 1.20821, 1.32639");
-            values ( \
-              "1e-22, 0.161906, 0.138785, 0.132841, 0.12803, 0.123939, 0.11779, 0.11156, 0.0937403, 0.0668984, 0.0524721, 0.035821, 0.0276368, 0.0213489, 0.0171198, 0.0134043, 0.00939289, 0.00586346, 0.00365222, 0.00226896, 0.00127492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0745743");
-            index_3 ("0.0588029, 0.0588229, 0.190383, 0.364217, 0.527458, 0.652707, 0.735869, 1.07649, 1.2203, 1.28877, 1.40657, 1.47586, 1.63135, 1.85827, 2.0246, 2.18982");
-            values ( \
-              "1e-22, 0.163207, 0.142053, 0.134612, 0.126034, 0.114916, 0.103755, 0.0524287, 0.0357375, 0.0295081, 0.0208698, 0.0168512, 0.0103787, 0.00508627, 0.00298042, 0.00175335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.072538, 0.0800717, 0.086234, 0.0936084, 0.104665, 0.112665, 0.113563, 0.115359, 0.118214, 0.120357, 0.121176, 0.122815, 0.125835, 0.129057, 0.135499, 0.137758, 0.142276, 0.147692, 0.148516, 0.150164, 0.153462, 0.157763, 0.162979, 0.163669, 0.165048, 0.167806, 0.172549, 0.181793, 0.187712, 0.189037, 0.191688, 0.19699, 0.206353, 0.212325, 0.213757, 0.216621, 0.22235, 0.230372, 0.241756, 0.246175, 0.255013, 0.272688, 0.304892, 0.307164");
-            values ( \
-              "0.0087021, 0.0261273, 0.0381012, 0.0508557, 0.0676758, 0.0779999, 0.0846734, 0.0844483, 0.0886151, 0.0846657, 0.0876968, 0.0831384, 0.0846261, 0.0783589, 0.0750218, 0.0678368, 0.0642111, 0.0516875, 0.054323, 0.0482908, 0.0476868, 0.0390597, 0.0375601, 0.033283, 0.035713, 0.0297719, 0.0297718, 0.0201513, 0.0206373, 0.016379, 0.0187385, 0.0129039, 0.0131631, 0.00786168, 0.0110546, 0.00678876, 0.00906877, 0.00404127, 0.0059666, 0.00192957, 0.00463363, 1e-22, 0.00238464, 0.00224627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00665931");
-            index_3 ("0.0733693, 0.0838312, 0.0890675, 0.10032, 0.112582, 0.113312, 0.114978, 0.117011, 0.119215, 0.123321, 0.145827, 0.14865, 0.154297, 0.161804, 0.175324, 0.185704, 0.194326, 0.20824, 0.224511, 0.231535, 0.243974, 0.258189, 0.269563, 0.275996, 0.287201, 0.302858, 0.322074, 0.347697, 0.393024, 0.44618");
-            values ( \
-              "0.0058515, 0.0400237, 0.0521303, 0.075104, 0.0969952, 0.101956, 0.106668, 0.108752, 0.109243, 0.108038, 0.0975048, 0.0959645, 0.0922484, 0.0852766, 0.0691983, 0.0583516, 0.0503598, 0.0395053, 0.0292613, 0.0256213, 0.0201014, 0.0150488, 0.0119183, 0.0104097, 0.00821855, 0.00585869, 0.00386187, 0.00218523, 0.000727652, 0.00019697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.012182");
-            index_3 ("0.0733839, 0.0951815, 0.104827, 0.112584, 0.114296, 0.116511, 0.11846, 0.122359, 0.131126, 0.137378, 0.164462, 0.171995, 0.184647, 0.194664, 0.205845, 0.227614, 0.248223, 0.266624, 0.287539, 0.308727, 0.320004, 0.327297, 0.340839, 0.358341, 0.372651, 0.390051, 0.414606, 0.425576, 0.440473, 0.460335, 0.50006, 0.571371, 0.654533");
-            values ( \
-              "0.00396552, 0.073851, 0.0956905, 0.111702, 0.119775, 0.12486, 0.125995, 0.125981, 0.122683, 0.120789, 0.114408, 0.112414, 0.108421, 0.10414, 0.097514, 0.0811008, 0.0664366, 0.0546283, 0.0429223, 0.0329933, 0.0285413, 0.0259244, 0.0215931, 0.0169442, 0.0138519, 0.0107862, 0.0075232, 0.00640482, 0.00513948, 0.00381823, 0.00207067, 0.000623474, 0.000143165" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0222848");
-            index_3 ("0.0789959, 0.0946696, 0.112477, 0.114898, 0.11783, 0.120469, 0.122989, 0.133384, 0.141926, 0.183998, 0.199669, 0.21607, 0.248435, 0.264861, 0.282382, 0.291232, 0.303034, 0.369752, 0.399908, 0.423072, 0.453933, 0.477651, 0.509275, 0.538237, 0.550449, 0.574874, 0.618154, 0.637979, 0.667564, 0.70701, 0.785902, 0.869063, 0.952224");
-            values ( \
-              "0.0240584, 0.0783944, 0.1216, 0.131583, 0.137188, 0.13775, 0.137468, 0.134376, 0.132773, 0.127363, 0.125229, 0.122786, 0.117124, 0.11298, 0.107238, 0.103721, 0.098624, 0.0682879, 0.0560891, 0.0474529, 0.0374193, 0.0308961, 0.0236489, 0.0183832, 0.0165001, 0.013248, 0.00888399, 0.00739736, 0.00561443, 0.00386338, 0.00176592, 0.000765476, 0.000330026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0407661");
-            index_3 ("0.0790641, 0.102869, 0.112525, 0.115387, 0.120198, 0.139016, 0.253333, 0.308148, 0.368298, 0.398115, 0.430922, 0.494962, 0.621747, 0.661203, 0.716649, 0.762051, 0.797149, 0.847427, 0.889491, 0.93509, 0.99993, 1.06603, 1.11646, 1.19962, 1.28278, 1.44911, 1.61543");
-            values ( \
-              "0.0196858, 0.103763, 0.127725, 0.141536, 0.145216, 0.141253, 0.132728, 0.128173, 0.122003, 0.117789, 0.111566, 0.0956498, 0.0619139, 0.0524735, 0.0408738, 0.0328994, 0.0276355, 0.0213481, 0.0171207, 0.0134066, 0.00939292, 0.00652244, 0.0049172, 0.00305971, 0.00189731, 0.00072607, 0.000276881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0745743");
-            index_3 ("0.0986196, 0.0986396, 0.222441, 0.281958, 0.365119, 0.379319, 0.407719, 0.464519, 0.479712, 0.510099, 0.570873, 0.584431, 0.611547, 0.652223, 0.696204, 0.779366, 0.822419, 0.889237, 0.968343, 1.11999, 1.20315, 1.22336, 1.26379, 1.33226, 1.41542, 1.45007, 1.51935, 1.59167, 1.67484, 1.72784, 1.74081, 1.76673, 1.81858, 1.89897, 1.98213, 2.06529, 2.14845, 2.23162, 2.31478, 2.39794, 2.4811, 2.56426, 2.64742, 2.73058");
-            values ( \
-              "1e-22, 0.157621, 0.140487, 0.142004, 0.134506, 0.137821, 0.132584, 0.133842, 0.129122, 0.131506, 0.124011, 0.127064, 0.121124, 0.121565, 0.112886, 0.105786, 0.0953727, 0.0888481, 0.0724017, 0.0503974, 0.0441988, 0.0379809, 0.0377698, 0.0274768, 0.0250951, 0.0188383, 0.0188835, 0.0115147, 0.0124109, 0.00681328, 0.0104574, 0.00579045, 0.00860631, 0.00310108, 0.00590168, 0.000976231, 0.00427364, 1e-22, 0.00332195, 1e-22, 0.00276809, 1e-22, 0.00244661, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124493, 0.144214, 0.150289, 0.170111, 0.18811, 0.202265, 0.214485, 0.225621, 0.236335, 0.247025, 0.248908, 0.250153, 0.253227, 0.263876, 0.272257, 0.283628, 0.289239, 0.295778, 0.302583, 0.311559, 0.319054, 0.327949, 0.340563, 0.353941, 0.364228, 0.384801, 0.421615, 0.46529");
-            values ( \
-              "0.00229141, 0.0110071, 0.0144996, 0.0304953, 0.0422223, 0.0504641, 0.0567197, 0.0609774, 0.061536, 0.0494906, 0.0467576, 0.0472471, 0.0449066, 0.034985, 0.0282487, 0.0209898, 0.0181431, 0.015244, 0.0126777, 0.00989311, 0.00803449, 0.00625195, 0.00435359, 0.00297015, 0.00220231, 0.00119393, 0.000360259, 8.18688e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00665931");
-            index_3 ("0.124398, 0.149055, 0.156917, 0.163921, 0.174746, 0.179591, 0.189281, 0.201771, 0.219149, 0.234151, 0.241482, 0.244637, 0.246725, 0.247731, 0.248813, 0.250977, 0.252998, 0.255168, 0.259509, 0.26405, 0.276724, 0.290797, 0.298043, 0.305055, 0.314404, 0.328597, 0.331959, 0.33643, 0.341539, 0.350638, 0.362443, 0.372068, 0.383975, 0.400777, 0.408334, 0.418639, 0.43238, 0.45986, 0.508722, 0.56676");
-            values ( \
-              "0.00158499, 0.0178708, 0.0242772, 0.0311259, 0.0410724, 0.0452273, 0.053082, 0.0628137, 0.0753076, 0.0844099, 0.0878782, 0.0888463, 0.0893626, 0.0895511, 0.0896409, 0.0919976, 0.0921331, 0.0910731, 0.0873064, 0.0820923, 0.066836, 0.0524599, 0.0465653, 0.0412199, 0.0348223, 0.0266538, 0.0250104, 0.0229347, 0.0207426, 0.0172828, 0.0135691, 0.0111137, 0.00864102, 0.00601857, 0.00511725, 0.0040953, 0.00303135, 0.00163285, 0.000491238, 0.0001091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.012182");
-            index_3 ("0.13557, 0.156664, 0.187334, 0.207645, 0.22216, 0.244229, 0.248885, 0.252128, 0.25734, 0.280054, 0.283019, 0.288949, 0.300808, 0.311077, 0.337711, 0.354967, 0.372289, 0.384518, 0.406842, 0.41877, 0.426663, 0.439836, 0.456925, 0.460801, 0.468553, 0.484057, 0.511235, 0.523735, 0.542376, 0.567231, 0.61694, 0.6911, 0.774261");
-            values ( \
-              "0.0135437, 0.0280569, 0.0589634, 0.0779539, 0.0907453, 0.108192, 0.11133, 0.11537, 0.116899, 0.10952, 0.108419, 0.106048, 0.0997585, 0.0926004, 0.0725365, 0.0607945, 0.0503256, 0.043631, 0.0330713, 0.0283777, 0.0255654, 0.0213983, 0.0168827, 0.0159954, 0.0143368, 0.0114852, 0.00770418, 0.00641404, 0.00486774, 0.00335072, 0.00153206, 0.000433028, 9.91139e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0222848");
-            index_3 ("0.161726, 0.196435, 0.202758, 0.20908, 0.215403, 0.222158, 0.228913, 0.231517, 0.234122, 0.236727, 0.241936, 0.244541, 0.24975, 0.250788, 0.252862, 0.254937, 0.257012, 0.26017, 0.266234, 0.273516, 0.297405, 0.3138, 0.329982, 0.34726, 0.350545, 0.35602, 0.362591, 0.371351, 0.383061, 0.391911, 0.40076, 0.424374, 0.456704, 0.46748, 0.475921, 0.497637, 0.509219, 0.520801, 0.536231, 0.559566, 0.575377, 0.591188, 0.606999, 0.629854, 0.648172, 0.660384, 0.672596, 0.694234, 0.715872, 0.73446");
-            values ( \
-              "0.0674376, 0.0734321, 0.0817049, 0.0905883, 0.100082, 0.107045, 0.11308, 0.113891, 0.114947, 0.116247, 0.119577, 0.121608, 0.126401, 0.129017, 0.13111, 0.131342, 0.131419, 0.131235, 0.129908, 0.128599, 0.125181, 0.122645, 0.119693, 0.116777, 0.11608, 0.114668, 0.112798, 0.110093, 0.106062, 0.102389, 0.0985221, 0.0876025, 0.0730656, 0.068326, 0.0649118, 0.0557746, 0.0514533, 0.0473369, 0.0422601, 0.0349345, 0.0306115, 0.0269387, 0.023602, 0.019373, 0.016249, 0.014615, 0.0131147, 0.0108197, 0.00887347, 0.00746295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0407661");
-            index_3 ("0.149808, 0.180667, 0.209716, 0.252814, 0.257826, 0.271093, 0.279029, 0.350782, 0.405674, 0.426539, 0.465745, 0.505743, 0.528398, 0.573709, 0.689592, 0.719612, 0.75964, 0.814283, 0.858506, 0.892546, 0.944281, 0.958799, 0.987834, 1.03308, 1.09765, 1.12558, 1.18146, 1.26462, 1.34778, 1.43094, 1.5141, 1.68042");
-            values ( \
-              "0.035935, 0.0592286, 0.0924321, 0.138678, 0.141, 0.138949, 0.138069, 0.132725, 0.12817, 0.126224, 0.122003, 0.11608, 0.111523, 0.100656, 0.0694567, 0.0617764, 0.0522467, 0.040813, 0.0330482, 0.0279138, 0.0214041, 0.0198496, 0.017031, 0.0133624, 0.00937439, 0.00804069, 0.00588537, 0.0036691, 0.00227641, 0.00141168, 0.000871682, 0.000332235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0745743");
-            index_3 ("0.174381, 0.262253, 0.285152, 0.462936, 0.607959, 0.682246, 0.749891, 0.877178, 1.15266, 1.30096, 1.43007, 1.51323, 1.61715, 1.68948, 1.82564, 2.08269, 2.32805");
-            values ( \
-              "0.0858482, 0.146441, 0.143798, 0.136495, 0.129512, 0.125071, 0.119585, 0.103792, 0.0613661, 0.0421999, 0.0294779, 0.0230946, 0.0168827, 0.0135169, 0.0088158, 0.00386292, 0.00197657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.257648, 0.305345, 0.313065, 0.350864, 0.363405, 0.384624, 0.403111, 0.420007, 0.435917, 0.451658, 0.47659, 0.497355, 0.513174, 0.533561, 0.550218, 0.552133, 0.55569, 0.558591, 0.592286, 0.614216, 0.630264");
-            values ( \
-              "0.0028057, 0.00801326, 0.0100498, 0.0245188, 0.0283202, 0.0335079, 0.0373674, 0.0402106, 0.0421168, 0.0413027, 0.0251853, 0.0155142, 0.0106862, 0.00636821, 0.00410572, 0.00499454, 0.00548696, 0.0054473, 0.00232923, 0.00120656, 0.00083834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00665931");
-            index_3 ("0.257585, 0.291813, 0.295941, 0.304198, 0.317342, 0.33104, 0.348125, 0.382095, 0.408496, 0.431398, 0.452151, 0.471655, 0.491181, 0.492843, 0.505974, 0.518029, 0.531507, 0.549478, 0.550211, 0.551677, 0.554608, 0.558052, 0.58465, 0.598128, 0.6161, 0.627028, 0.639437, 0.655982, 0.689071, 0.737812, 0.797047");
-            values ( \
-              "0.00595255, 0.00805856, 0.00889622, 0.0108958, 0.0150346, 0.0209085, 0.0290782, 0.0415481, 0.0492729, 0.0555268, 0.0600105, 0.062871, 0.0585704, 0.0569989, 0.0471628, 0.039222, 0.0315615, 0.0230444, 0.0227652, 0.0234068, 0.0233958, 0.0226753, 0.0139418, 0.0105343, 0.00716791, 0.00567392, 0.00434031, 0.00301639, 0.00141762, 0.000425301, 9.25002e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.012182");
-            index_3 ("0.275189, 0.317434, 0.367035, 0.410348, 0.44414, 0.473215, 0.499498, 0.524545, 0.55015, 0.551341, 0.555871, 0.596586, 0.621384, 0.651649, 0.673795, 0.695228, 0.710648, 0.735645, 0.760784, 0.780143, 0.818861, 0.875829");
-            values ( \
-              "0.0138385, 0.0187888, 0.0420342, 0.0589052, 0.070933, 0.0800809, 0.086788, 0.0885422, 0.0755866, 0.0760488, 0.0745332, 0.0492305, 0.0365057, 0.0247002, 0.0182138, 0.0134826, 0.0108064, 0.00748292, 0.00516763, 0.00387109, 0.00213251, 0.000942097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0222848");
-            index_3 ("0.275027, 0.316542, 0.33902, 0.383384, 0.452254, 0.495976, 0.533678, 0.549988, 0.558109, 0.568036, 0.599093, 0.61364, 0.698158, 0.724477, 0.759569, 0.776263, 0.802479, 0.832441, 0.857036, 0.885923, 0.924439, 0.955766, 1.00363, 1.06744, 1.1506, 1.23376, 1.31692");
-            values ( \
-              "0.0168389, 0.0218243, 0.0319401, 0.0535835, 0.0831224, 0.100278, 0.112744, 0.116997, 0.118456, 0.117246, 0.107979, 0.10214, 0.0642482, 0.0537021, 0.0413803, 0.0362798, 0.0293252, 0.0227063, 0.0183261, 0.0141659, 0.00996776, 0.00746555, 0.00476978, 0.00255514, 0.00112124, 0.000471905, 0.000217174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0407661");
-            index_3 ("0.27495, 0.335272, 0.407448, 0.485867, 0.53054, 0.549911, 0.558548, 0.569123, 0.580385, 0.645129, 0.684053, 0.709206, 0.746671, 0.818224, 0.936656, 0.974949, 1.03192, 1.07957, 1.11655, 1.16412, 1.20343, 1.24967, 1.31501, 1.38294, 1.43496, 1.51813, 1.60129, 1.76761, 1.93393");
-            values ( \
-              "0.0159642, 0.0327347, 0.0682229, 0.104604, 0.123492, 0.130958, 0.133309, 0.133271, 0.131758, 0.126209, 0.122006, 0.118517, 0.111596, 0.0937573, 0.0621323, 0.0529275, 0.0409699, 0.0326136, 0.0271342, 0.0212433, 0.0172859, 0.0134956, 0.00942549, 0.00647855, 0.00484383, 0.00300979, 0.00187008, 0.000717055, 0.000274885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0745743");
-            index_3 ("0.2747, 0.363045, 0.49508, 0.555359, 0.565052, 0.583828, 0.79388, 0.877041, 0.941918, 1.01021, 1.09337, 1.36892, 1.51721, 1.64631, 1.72948, 1.83341, 1.90573, 2.05485, 2.29893, 2.59793");
-            values ( \
-              "0.0125111, 0.048276, 0.114037, 0.141338, 0.142044, 0.140539, 0.131108, 0.126485, 0.121781, 0.114905, 0.103786, 0.0613606, 0.0421952, 0.0294819, 0.0230915, 0.0168792, 0.0135197, 0.00845274, 0.00386116, 0.00156262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.526852, 0.593667, 0.63715, 0.654563, 0.68939, 0.742017, 0.773365, 0.800339, 0.825014, 0.848552, 0.871502, 0.89443, 0.941518, 0.964952, 0.996355, 1.03264, 1.06347, 1.08068");
-            values ( \
-              "0.00191474, 0.00201088, 0.00369437, 0.00489553, 0.00905082, 0.018879, 0.0229529, 0.0256813, 0.0273043, 0.0284967, 0.0286861, 0.0258565, 0.0106268, 0.00647593, 0.00313215, 0.00129729, 0.000580646, 0.000430477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00665931");
-            index_3 ("0.526824, 0.601712, 0.621212, 0.647213, 0.665266, 0.701374, 0.736402, 0.76524, 0.80404, 0.837661, 0.868521, 0.897646, 0.926047, 0.954399, 0.988203, 1.01581, 1.04435, 1.07155, 1.1076, 1.12994, 1.15973, 1.21588, 1.23907, 1.26842");
-            values ( \
-              "0.00278429, 0.00362097, 0.00462399, 0.00650877, 0.00841688, 0.0145242, 0.0225805, 0.0281899, 0.0337242, 0.0375424, 0.0401608, 0.0421008, 0.0424683, 0.0364121, 0.023278, 0.0154678, 0.00953276, 0.00584509, 0.00290093, 0.00186385, 0.0010308, 0.000278831, 0.00126134, 0.00102842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.012182");
-            index_3 ("0.526612, 0.612922, 0.641861, 0.670943, 0.704153, 0.753138, 0.801785, 0.85175, 0.895428, 0.935026, 0.972134, 1.00858, 1.04954, 1.10308, 1.11691, 1.14144, 1.16179, 1.17949, 1.20084, 1.21577, 1.22871, 1.24307, 1.25817, 1.28835, 1.32117, 1.38681, 1.46926");
-            values ( \
-              "0.00411041, 0.00605765, 0.00858155, 0.0122177, 0.0185304, 0.0305748, 0.0399812, 0.0475208, 0.0531809, 0.0575156, 0.0605611, 0.0600707, 0.0469792, 0.0282577, 0.0243404, 0.0181857, 0.0140535, 0.011143, 0.00832879, 0.0067717, 0.00715885, 0.00650358, 0.00515721, 0.00326477, 0.001983, 0.000666403, 0.000159251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0222848");
-            index_3 ("0.526814, 0.633501, 0.667944, 0.708889, 0.773978, 0.857139, 0.925265, 0.982236, 1.03364, 1.08182, 1.13003, 1.21605, 1.23292, 1.27873, 1.31275, 1.35054, 1.39447, 1.43297, 1.47212, 1.50196, 1.56165, 1.63541");
-            values ( \
-              "0.00455906, 0.0104876, 0.0150124, 0.0228311, 0.0388397, 0.0549276, 0.0661823, 0.0747748, 0.0813242, 0.0851846, 0.0793511, 0.0508842, 0.0473391, 0.033627, 0.0253388, 0.0182508, 0.0123048, 0.00862482, 0.00599909, 0.00452832, 0.00254263, 0.0012664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0407661");
-            index_3 ("0.526452, 0.662763, 0.679738, 0.713689, 0.80739, 0.830364, 0.943082, 1.02624, 1.08178, 1.14107, 1.17281, 1.19427, 1.21573, 1.22654, 1.23743, 1.2534, 1.2789, 1.42336, 1.45612, 1.51923, 1.55643, 1.58145, 1.63149, 1.68061, 1.70733, 1.75046, 1.81087, 1.83494, 1.88307, 1.96623, 2.04939, 2.13255, 2.21571, 2.38204");
-            values ( \
-              "0.00416378, 0.0173816, 0.0202195, 0.0269974, 0.04912, 0.0539577, 0.0760743, 0.0912775, 0.100522, 0.10905, 0.112553, 0.113992, 0.114044, 0.113602, 0.111633, 0.107974, 0.101632, 0.0630982, 0.055158, 0.0415726, 0.034877, 0.0308603, 0.0239576, 0.0185631, 0.0161148, 0.0127758, 0.00917164, 0.00803232, 0.00614674, 0.00383006, 0.00238053, 0.00147291, 0.00091317, 0.000349488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0745743");
-            index_3 ("0.607851, 0.728537, 0.862725, 0.996849, 1.06313, 1.1313, 1.21552, 1.23633, 1.25843, 1.28069, 1.32521, 1.38554, 1.42363, 1.47442, 1.54274, 1.62309, 1.80683, 1.92183, 2.00499, 2.06291, 2.13208, 2.21524, 2.25096, 2.32241, 2.39398, 2.47714, 2.56701, 2.6175, 2.70067, 2.78383, 2.86699, 3.03331, 3.11647, 3.28279, 3.53228");
-            values ( \
-              "0.0241792, 0.0328501, 0.0633927, 0.0917555, 0.105178, 0.118213, 0.132689, 0.133243, 0.132325, 0.131018, 0.128826, 0.125069, 0.122216, 0.117433, 0.109101, 0.0973493, 0.0686037, 0.0522584, 0.042116, 0.0359648, 0.029558, 0.0231844, 0.0208295, 0.0167831, 0.0134475, 0.0103745, 0.0078033, 0.00665113, 0.00508594, 0.00389308, 0.00296732, 0.0017248, 0.00131852, 0.000765415, 0.000334917" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00257922, 0.00262014, 0.00265503, 0.00268082, 0.00269782, 0.00270818", \
-            "0.00322783, 0.00327878, 0.00332915, 0.00337026, 0.00339922, 0.00341759", \
-            "0.00369976, 0.00373121, 0.00376025, 0.00379136, 0.00381753, 0.00383641", \
-            "0.00408012, 0.00405422, 0.00404914, 0.00403679, 0.00403945, 0.0040449", \
-            "0.00436933, 0.00431958, 0.0042653, 0.00421837, 0.00418246, 0.00416248", \
-            "0.00458775, 0.00450133, 0.00442814, 0.00436704, 0.00431032, 0.0042605" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00390603, 0.00392833, 0.00395648, 0.00398028, 0.00399638, 0.00400599", \
-            "0.00443059, 0.00431589, 0.00423466, 0.00416785, 0.00412867, 0.00411086", \
-            "0.00467322, 0.00442093, 0.00422165, 0.0040759, 0.00397306, 0.0039092", \
-            "0.00525012, 0.00476403, 0.00436834, 0.00410114, 0.00391891, 0.00380499", \
-            "0.00515288, 0.00521958, 0.00501356, 0.00444488, 0.00409336, 0.00387254", \
-            "0.00482957, 0.00497364, 0.00509524, 0.00513312, 0.00461772, 0.00415983" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0230369, 0.0242521, 0.0255552, 0.0277259, 0.0292007, 0.0314848, 0.0349854, 0.037894, 0.0410233, 0.0443476, 0.0467754, 0.0543412, 0.0591041, 0.0622274, 0.0663144, 0.071473, 0.0769754, 0.0775112");
-            values ( \
-              "-0.162518, -0.201842, -0.21648, -0.224989, -0.227021, -0.227589, -0.224402, -0.218678, -0.206615, -0.186588, -0.163856, -0.0787435, -0.044721, -0.0302169, -0.0177523, -0.0089612, -0.00415742, -0.003968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00665931");
-            index_3 ("0.023016, 0.0244698, 0.026995, 0.0302077, 0.0323962, 0.0367224, 0.0438233, 0.0491604, 0.0525181, 0.0553058, 0.0604487, 0.0709479, 0.0775042, 0.0829663, 0.0889555, 0.0999786, 0.104073");
-            values ( \
-              "-0.0790658, -0.250749, -0.271961, -0.277818, -0.278212, -0.275751, -0.266337, -0.253287, -0.240392, -0.226196, -0.185016, -0.0917707, -0.0546024, -0.0346218, -0.0206343, -0.00764667, -0.00577334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.012182");
-            index_3 ("0.0238393, 0.0248211, 0.0264351, 0.0275415, 0.0293174, 0.0310283, 0.0335474, 0.0379437, 0.0449433, 0.0519646, 0.0585472, 0.0669808, 0.0730809, 0.075131, 0.0792311, 0.0924341, 0.10158, 0.105531, 0.110795, 0.115464, 0.12169, 0.12956, 0.134435, 0.144184, 0.162744, 0.184345");
-            values ( \
-              "-0.26448, -0.291315, -0.306528, -0.311158, -0.315232, -0.316911, -0.317513, -0.315962, -0.311091, -0.304669, -0.296579, -0.280905, -0.263648, -0.256117, -0.235901, -0.147955, -0.0979811, -0.0810065, -0.0623077, -0.0490999, -0.0354129, -0.02327, -0.0178801, -0.0104052, -0.00339482, -0.000869275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0222848");
-            index_3 ("0.0240577, 0.0249827, 0.0265865, 0.0301428, 0.0325959, 0.0352819, 0.0456743, 0.0595857, 0.0715222, 0.0842, 0.0967649, 0.102683, 0.108995, 0.115027, 0.123069, 0.13537, 0.146499, 0.153244, 0.163394, 0.171899, 0.179072, 0.187114, 0.198522, 0.210274, 0.219258, 0.237227, 0.271156, 0.311258");
-            values ( \
-              "-0.293092, -0.317343, -0.332516, -0.342515, -0.344381, -0.344693, -0.341212, -0.334119, -0.326806, -0.31678, -0.302612, -0.293341, -0.280783, -0.264273, -0.233694, -0.179799, -0.135996, -0.11349, -0.0852797, -0.0664429, -0.0535948, -0.0418985, -0.0293131, -0.0202464, -0.0151937, -0.00841398, -0.00246653, -0.000528881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0407661");
-            index_3 ("0.0241492, 0.0271582, 0.0304792, 0.0345635, 0.037822, 0.0626818, 0.0861085, 0.107438, 0.129343, 0.151414, 0.161678, 0.172627, 0.183848, 0.198809, 0.236908, 0.247226, 0.259223, 0.275219, 0.287152, 0.301143, 0.319799, 0.333424, 0.347298, 0.365797, 0.402795, 0.451618, 0.500441");
-            values ( \
-              "-0.313317, -0.351472, -0.359654, -0.361986, -0.362012, -0.355642, -0.348144, -0.340105, -0.32984, -0.315456, -0.306224, -0.293484, -0.275085, -0.240511, -0.145414, -0.124355, -0.102936, -0.0791571, -0.0647425, -0.0509025, -0.0366213, -0.0287224, -0.0223923, -0.015983, -0.00792801, -0.00302307, -0.00114873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0745743");
-            index_3 ("0.0242279, 0.0270368, 0.030881, 0.036367, 0.0425229, 0.0667101, 0.134582, 0.172674, 0.207737, 0.235943, 0.252541, 0.285737, 0.295906, 0.316243, 0.388667, 0.416085, 0.436363, 0.457625, 0.485887, 0.511202, 0.544955, 0.586268, 0.611837, 0.66066, 0.709483, 0.758306, 0.807128, 0.904774");
-            values ( \
-              "-0.325501, -0.360288, -0.37002, -0.372628, -0.372346, -0.368625, -0.356293, -0.347961, -0.338701, -0.329241, -0.322358, -0.303363, -0.295239, -0.273602, -0.16893, -0.134556, -0.112776, -0.0930981, -0.0714751, -0.0561169, -0.040288, -0.0266774, -0.0205901, -0.0124356, -0.00746043, -0.00448418, -0.00267205, -0.000950789" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0351475, 0.0422199, 0.0432852, 0.0454158, 0.0473501, 0.0499664, 0.0509166, 0.0512521, 0.0515954, 0.0519786, 0.0527448, 0.0537794, 0.055267, 0.0567674, 0.0597681, 0.0611346, 0.0625923, 0.0631902, 0.0643861, 0.0667778, 0.069064, 0.0716768, 0.0734193, 0.0743477, 0.0758937, 0.0779106, 0.0795745, 0.0815278, 0.0842895, 0.0855152, 0.0879668, 0.0928698, 0.100568, 0.109743");
-            values ( \
-              "-0.00797078, -0.135203, -0.144697, -0.162465, -0.177595, -0.19643, -0.202802, -0.210577, -0.21415, -0.217055, -0.219875, -0.220814, -0.219844, -0.217116, -0.206975, -0.199598, -0.190613, -0.186434, -0.176396, -0.149971, -0.121945, -0.0930125, -0.0766732, -0.0689193, -0.0574292, -0.0449168, -0.0365218, -0.0284937, -0.019891, -0.0169526, -0.0122525, -0.00624437, -0.00200824, -0.000486152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00665931");
-            index_3 ("0.0341555, 0.0513048, 0.0528527, 0.0539634, 0.0555363, 0.0557431, 0.0561568, 0.0569841, 0.0581222, 0.060149, 0.0638469, 0.0646362, 0.0662148, 0.0693241, 0.0740443, 0.089666, 0.0964329, 0.102084, 0.107971, 0.118651, 0.121766");
-            values ( \
-              "-0.0177492, -0.262046, -0.275118, -0.268883, -0.277773, -0.269508, -0.277452, -0.269104, -0.276191, -0.266216, -0.268329, -0.258745, -0.262813, -0.245424, -0.231773, -0.0938181, -0.0550135, -0.0342809, -0.0205985, -0.00786963, -0.00697273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.012182");
-            index_3 ("0.0318942, 0.0554232, 0.0569692, 0.0675762, 0.0782659, 0.086015, 0.0924671, 0.0975704, 0.119897, 0.12954, 0.142404, 0.158009, 0.169274");
-            values ( \
-              "-0.0179933, -0.313863, -0.314293, -0.307915, -0.295701, -0.28091, -0.262413, -0.239813, -0.101383, -0.0632172, -0.0323419, -0.0139354, -0.00761137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0222848");
-            index_3 ("0.0323834, 0.0547336, 0.0599017, 0.0787187, 0.0906702, 0.103329, 0.115889, 0.121937, 0.128389, 0.133483, 0.13921, 0.159318, 0.167682, 0.176454, 0.19217, 0.199925, 0.207603, 0.222658, 0.231352, 0.24874, 0.280142, 0.316906");
-            values ( \
-              "-0.00793053, -0.340793, -0.342524, -0.334135, -0.326779, -0.316789, -0.302619, -0.29313, -0.280174, -0.266412, -0.246045, -0.159834, -0.128777, -0.101302, -0.0641048, -0.0507762, -0.0401127, -0.0250207, -0.018984, -0.0107511, -0.00353681, -0.000903958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0407661");
-            index_3 ("0.0328561, 0.0554582, 0.061026, 0.0845113, 0.105395, 0.126723, 0.148169, 0.162496, 0.171382, 0.189155, 0.19566, 0.208208, 0.247126, 0.266713, 0.29038, 0.306222, 0.32619, 0.347969, 0.355521, 0.37247, 0.39184, 0.43058, 0.479402, 0.528225");
-            values ( \
-              "-0.0186307, -0.358925, -0.360871, -0.354912, -0.348158, -0.340131, -0.330117, -0.321418, -0.31481, -0.297067, -0.288116, -0.264523, -0.166125, -0.124075, -0.0848405, -0.0650222, -0.0460516, -0.0312228, -0.0272924, -0.0201158, -0.0141195, -0.00674206, -0.00257227, -0.000973149" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0745743");
-            index_3 ("0.0332028, 0.0551935, 0.0572256, 0.0618207, 0.0849731, 0.14159, 0.192009, 0.230364, 0.27185, 0.304044, 0.315206, 0.33753, 0.407189, 0.442138, 0.484338, 0.512756, 0.548574, 0.587432, 0.600914, 0.631098, 0.665593, 0.714416, 0.763238, 0.812061, 0.909706");
-            values ( \
-              "-0.01823, -0.369004, -0.370934, -0.371986, -0.368846, -0.358788, -0.347885, -0.337797, -0.322351, -0.304093, -0.295232, -0.271115, -0.169974, -0.126985, -0.0869026, -0.0665394, -0.0470684, -0.0319396, -0.027923, -0.0206065, -0.0144852, -0.0087095, -0.00522779, -0.00312674, -0.00111697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.064521, 0.0662117, 0.0677747, 0.0751951, 0.0817725, 0.0872174, 0.092033, 0.0964389, 0.100597, 0.104737, 0.108874, 0.112744, 0.113816, 0.119042, 0.122026, 0.123775, 0.126856, 0.130356, 0.133147, 0.138028");
-            values ( \
-              "-0.0408341, -0.0450048, -0.0519541, -0.0884649, -0.111418, -0.129027, -0.143377, -0.154312, -0.160842, -0.15606, -0.134024, -0.0986909, -0.0933439, -0.0541994, -0.0377582, -0.0303248, -0.0203619, -0.0128933, -0.00888126, -0.00468034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00665931");
-            index_3 ("0.0637605, 0.0698085, 0.0738969, 0.0792125, 0.0875793, 0.10054, 0.104802, 0.108659, 0.111344, 0.112604, 0.113746, 0.116536, 0.119168, 0.127069, 0.133037, 0.138855, 0.143207, 0.149574, 0.157867, 0.166714, 0.179529, 0.195233");
-            values ( \
-              "-0.00350263, -0.0757997, -0.100166, -0.125756, -0.160646, -0.208065, -0.220692, -0.228914, -0.230918, -0.230527, -0.233441, -0.224866, -0.207069, -0.132538, -0.0858646, -0.0540043, -0.0375857, -0.0216806, -0.0104371, -0.00457066, -0.00125143, -0.00022587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.012182");
-            index_3 ("0.0602754, 0.0853508, 0.0963864, 0.112593, 0.113323, 0.117339, 0.119002, 0.120681, 0.12404, 0.128298, 0.136445, 0.142239, 0.161761, 0.172356, 0.181093, 0.187841, 0.194919, 0.211213, 0.213587");
-            values ( \
-              "-0.00179254, -0.173196, -0.223874, -0.289169, -0.29798, -0.30044, -0.295125, -0.296768, -0.287773, -0.282793, -0.256129, -0.225761, -0.10334, -0.0615643, -0.0392148, -0.0273787, -0.0187322, -0.0075782, -0.00693684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0222848");
-            index_3 ("0.0609301, 0.0924101, 0.102351, 0.114726, 0.117455, 0.124691, 0.133235, 0.141867, 0.152005, 0.158659, 0.17177, 0.176943, 0.182227, 0.201569, 0.21005, 0.215463, 0.225203, 0.233525, 0.240394, 0.248507, 0.259969, 0.265051, 0.271922, 0.281083, 0.299405, 0.332932, 0.372621");
-            values ( \
-              "-0.00974365, -0.224635, -0.273394, -0.330874, -0.335137, -0.331915, -0.326625, -0.320142, -0.310349, -0.301906, -0.277734, -0.263061, -0.243575, -0.160439, -0.128898, -0.111337, -0.0845796, -0.0662439, -0.0539252, -0.0420689, -0.0293829, -0.0250519, -0.0201657, -0.0150467, -0.00822906, -0.00245686, -0.000538648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0407661");
-            index_3 ("0.0611409, 0.0920086, 0.114548, 0.117949, 0.121072, 0.126788, 0.155406, 0.169133, 0.190552, 0.205683, 0.213793, 0.230012, 0.23806, 0.250569, 0.289545, 0.305177, 0.316993, 0.332747, 0.345288, 0.355291, 0.368629, 0.390383, 0.397929, 0.41484, 0.434168, 0.472823, 0.521645, 0.570468");
-            values ( \
-              "-0.00397591, -0.233906, -0.351187, -0.357162, -0.355836, -0.355025, -0.345434, -0.340112, -0.330151, -0.320887, -0.314806, -0.298967, -0.288107, -0.264628, -0.166083, -0.131788, -0.109654, -0.084888, -0.0687923, -0.0580127, -0.0460209, -0.0312193, -0.027289, -0.0201292, -0.0141384, -0.00676466, -0.00257955, -0.000977276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0745743");
-            index_3 ("0.0711443, 0.087543, 0.103098, 0.112543, 0.115386, 0.118934, 0.122411, 0.129363, 0.15905, 0.214815, 0.234504, 0.272855, 0.298378, 0.314344, 0.346277, 0.357699, 0.380104, 0.44965, 0.484631, 0.49872, 0.526898, 0.555252, 0.567166, 0.590994, 0.629899, 0.643393, 0.673644, 0.708217, 0.75704, 0.805862, 0.854685, 0.952331");
-            values ( \
-              "-0.112761, -0.215021, -0.301758, -0.352444, -0.364596, -0.369873, -0.368979, -0.368641, -0.363336, -0.352447, -0.347941, -0.337741, -0.329005, -0.322352, -0.304279, -0.295233, -0.271011, -0.170019, -0.126986, -0.112212, -0.0868486, -0.0665378, -0.0593649, -0.0471029, -0.031947, -0.0279272, -0.0205947, -0.0144659, -0.00869704, -0.00522101, -0.00312195, -0.00111497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.113394, 0.123524, 0.130239, 0.137863, 0.148755, 0.157465, 0.171993, 0.178427, 0.184654, 0.191616, 0.206873, 0.21038, 0.216315, 0.220915, 0.226173, 0.236512, 0.246785");
-            values ( \
-              "-0.00120061, -0.0247265, -0.0346867, -0.051195, -0.069022, -0.0812696, -0.0989151, -0.104544, -0.106391, -0.0941178, -0.0311543, -0.0220445, -0.0117473, -0.00708818, -0.00387338, -0.0010321, -0.000379927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00665931");
-            index_3 ("0.119204, 0.127703, 0.132701, 0.151664, 0.169012, 0.187013, 0.194986, 0.202737, 0.210877, 0.227561, 0.236217, 0.241698, 0.24902, 0.251772, 0.262026");
-            values ( \
-              "-0.0269616, -0.0384778, -0.0478617, -0.0897626, -0.119616, -0.14607, -0.154277, -0.155789, -0.137618, -0.0567894, -0.0302516, -0.0195874, -0.0107769, -0.0113571, -0.00556284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.012182");
-            index_3 ("0.120833, 0.124769, 0.131053, 0.137548, 0.149545, 0.162309, 0.171393, 0.18547, 0.197636, 0.208636, 0.2189, 0.228957, 0.238992, 0.241382, 0.249185, 0.251612, 0.257539, 0.261192, 0.266644, 0.268224, 0.271386, 0.277708, 0.28304, 0.28543, 0.290208, 0.299765, 0.310365");
-            values ( \
-              "-0.0346222, -0.0406181, -0.0520248, -0.0667811, -0.0972802, -0.124481, -0.142436, -0.169531, -0.190846, -0.20791, -0.218994, -0.218037, -0.189205, -0.177365, -0.135125, -0.125783, -0.0974034, -0.0818169, -0.0623949, -0.0575973, -0.0489888, -0.0351457, -0.0264538, -0.0232791, -0.0179826, -0.0105824, -0.00648465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0222848");
-            index_3 ("0.124511, 0.138993, 0.151823, 0.167324, 0.190504, 0.208976, 0.224932, 0.239375, 0.250938, 0.252905, 0.255481, 0.260632, 0.266747, 0.273852, 0.296119, 0.31175, 0.320715, 0.327871, 0.341182, 0.357858, 0.367477, 0.378471, 0.400458, 0.420401");
-            values ( \
-              "-0.0334885, -0.0773178, -0.110844, -0.147495, -0.198362, -0.236464, -0.266342, -0.289193, -0.30153, -0.300931, -0.297756, -0.289267, -0.275943, -0.253631, -0.159297, -0.10523, -0.0815059, -0.0660274, -0.0441529, -0.0261894, -0.0193257, -0.0135773, -0.00650357, -0.00381708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0407661");
-            index_3 ("0.124459, 0.147174, 0.159577, 0.174738, 0.205384, 0.241598, 0.248875, 0.253224, 0.258274, 0.273456, 0.285212, 0.304026, 0.315703, 0.332234, 0.356884, 0.381801, 0.402757, 0.413254, 0.42445, 0.439355, 0.451748, 0.465914, 0.485978, 0.494823, 0.506736, 0.52262, 0.554388, 0.60321, 0.652033");
-            values ( \
-              "-0.0261159, -0.103215, -0.136048, -0.173503, -0.24507, -0.323176, -0.337388, -0.342005, -0.342351, -0.335842, -0.329859, -0.317918, -0.308043, -0.288097, -0.235402, -0.170995, -0.12536, -0.106385, -0.0887371, -0.0691543, -0.0559706, -0.0437235, -0.0305712, -0.0261037, -0.0210714, -0.0157798, -0.00870358, -0.00331777, -0.00126427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0745743");
-            index_3 ("0.124321, 0.157657, 0.17403, 0.23704, 0.250991, 0.253143, 0.261666, 0.267664, 0.318274, 0.347827, 0.367763, 0.410851, 0.42533, 0.444635, 0.451772, 0.466045, 0.494592, 0.51807, 0.549375, 0.57233, 0.591418, 0.612982, 0.641516, 0.667445, 0.702017, 0.729712, 0.76025, 0.800968, 0.849791, 0.898613, 0.947436, 1.04508");
-            values ( \
-              "-0.0264429, -0.134707, -0.177437, -0.329325, -0.360591, -0.362563, -0.361812, -0.360708, -0.350355, -0.343126, -0.337443, -0.32125, -0.313829, -0.301102, -0.295217, -0.280827, -0.242239, -0.206707, -0.162394, -0.134117, -0.113585, -0.093494, -0.0716338, -0.0559122, -0.0397814, -0.0301933, -0.0222185, -0.0146237, -0.00880598, -0.00527342, -0.00316625, -0.00113574" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.21879, 0.230355, 0.237923, 0.252925, 0.263784, 0.286769, 0.31307, 0.333996, 0.343627, 0.353241, 0.376692, 0.384685, 0.391077, 0.396299, 0.406743, 0.421118, 0.550186, 0.554396, 0.55954");
-            values ( \
-              "-0.00511844, -0.00773916, -0.0101637, -0.0165049, -0.0237473, -0.0418887, -0.0576447, -0.0671766, -0.0692224, -0.0662926, -0.020916, -0.011205, -0.00658589, -0.00418112, -0.00157381, -0.000413099, -1.65441e-05, -0.000881409, -0.000783334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00665931");
-            index_3 ("0.249584, 0.277142, 0.278985, 0.28267, 0.287584, 0.292498, 0.298333, 0.304167, 0.311947, 0.315836, 0.318447, 0.322569, 0.327101, 0.329744, 0.332387, 0.340317, 0.34571, 0.351211, 0.35292, 0.35463, 0.358049, 0.361, 0.363951, 0.366901, 0.369852, 0.372803, 0.375753, 0.378703, 0.381654, 0.381982, 0.383459, 0.384772, 0.386741, 0.387763, 0.389808, 0.392037, 0.395655, 0.398186, 0.400717, 0.401561, 0.404405, 0.408893, 0.410536, 0.412179, 0.413822, 0.415466, 0.417109, 0.418752, 0.421755, 0.424329");
-            values ( \
-              "-0.0425312, -0.0425907, -0.0444561, -0.0479999, -0.0523972, -0.0565828, -0.0612469, -0.0657811, -0.0716729, -0.0745601, -0.0764637, -0.0793847, -0.0825291, -0.0842822, -0.085999, -0.0909018, -0.0941495, -0.0973851, -0.0985239, -0.0997259, -0.102319, -0.102205, -0.101935, -0.10151, -0.10093, -0.100195, -0.0993042, -0.0982584, -0.0970573, -0.0967074, -0.094374, -0.0919493, -0.0878745, -0.0855127, -0.0806412, -0.0750876, -0.0656243, -0.0587081, -0.0523969, -0.0503736, -0.0439741, -0.0343024, -0.0312511, -0.0284279, -0.0259404, -0.0236095, -0.0214352, -0.0194175, -0.0161883, -0.0135819" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.012182");
-            index_3 ("0.251551, 0.280686, 0.288201, 0.292836, 0.29747, 0.302104, 0.306804, 0.311504, 0.316204, 0.320904, 0.326361, 0.331819, 0.337277, 0.342735, 0.347433, 0.352131, 0.356829, 0.361527, 0.378406, 0.394036, 0.397795, 0.401553, 0.405312, 0.409071, 0.412821, 0.416572, 0.420323, 0.424074, 0.424467, 0.424663, 0.425253, 0.426826, 0.429186, 0.431414, 0.43574, 0.446758, 0.448087, 0.449416, 0.452075, 0.457209, 0.460903, 0.462885, 0.464867, 0.466849, 0.468831, 0.470813, 0.472795, 0.476054, 0.479313, 0.48233");
-            values ( \
-              "-0.0501494, -0.0517322, -0.0600553, -0.065111, -0.0698392, -0.074423, -0.0788118, -0.0831271, -0.0873687, -0.0915367, -0.0962652, -0.100907, -0.105463, -0.109932, -0.113711, -0.117425, -0.121075, -0.124661, -0.136917, -0.148095, -0.147556, -0.146752, -0.145683, -0.144349, -0.142753, -0.140894, -0.13877, -0.136382, -0.135989, -0.135708, -0.134765, -0.131519, -0.125958, -0.120257, -0.108683, -0.0778393, -0.0744689, -0.0711812, -0.0648535, -0.053676, -0.0459965, -0.0423002, -0.0388779, -0.0358946, -0.0330752, -0.0304195, -0.0279276, -0.0243146, -0.0208884, -0.017883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0222848");
-            index_3 ("0.231399, 0.258452, 0.264946, 0.276032, 0.326458, 0.378417, 0.402862, 0.424801, 0.445135, 0.464812, 0.48538, 0.518359, 0.535607, 0.550993, 0.571564, 0.578845, 0.593407, 0.602158");
-            values ( \
-              "-0.0189159, -0.0353756, -0.0408853, -0.0512052, -0.105582, -0.154093, -0.174515, -0.191191, -0.20277, -0.206035, -0.182353, -0.095757, -0.0610309, -0.0393799, -0.0224385, -0.0177965, -0.0111005, -0.00897217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0407661");
-            index_3 ("0.239455, 0.25796, 0.27584, 0.287345, 0.342133, 0.390079, 0.427601, 0.459858, 0.488818, 0.515784, 0.542203, 0.550801, 0.557815, 0.590037, 0.608483, 0.618537, 0.635957, 0.649983, 0.659427, 0.672019, 0.694509, 0.702248, 0.720272, 0.74087, 0.779637");
-            values ( \
-              "-0.0260415, -0.0386396, -0.0554287, -0.0674333, -0.128478, -0.177374, -0.213584, -0.24222, -0.264577, -0.279164, -0.277673, -0.269699, -0.257784, -0.175708, -0.13422, -0.114894, -0.0867657, -0.068605, -0.0584104, -0.0469449, -0.0314385, -0.027377, -0.0197985, -0.0135713, -0.00659309" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0745743");
-            index_3 ("0.256958, 0.297039, 0.380106, 0.444216, 0.489805, 0.521485, 0.549953, 0.558435, 0.567634, 0.595374, 0.61755, 0.641909, 0.660904, 0.698894, 0.753912, 0.788017, 0.822798, 0.851059, 0.876371, 0.910121, 0.938652, 0.977005, 1.02583, 1.07465, 1.12347, 1.1723, 1.26994");
-            values ( \
-              "-0.0518925, -0.0821652, -0.1754, -0.24298, -0.288353, -0.317416, -0.341107, -0.342148, -0.340387, -0.331411, -0.322398, -0.309397, -0.295216, -0.249721, -0.168831, -0.126987, -0.0930957, -0.0714792, -0.0561223, -0.0402882, -0.030304, -0.0205944, -0.0124334, -0.00746378, -0.00448164, -0.00267504, -0.000953634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.448377, 0.482257, 0.499113, 0.51522, 0.544255, 0.545145, 0.570768, 0.592649, 0.622199, 0.639864, 0.656095, 0.671562, 0.686875, 0.698346, 0.716809, 0.724383, 0.730663, 0.735855, 0.741895, 0.749949, 0.766057, 0.78699, 0.81337, 0.848807, 0.897629, 1.14174");
-            values ( \
-              "-0.00344503, -0.00429701, -0.00590642, -0.00789167, -0.0138207, -0.0143507, -0.0223498, -0.0282651, -0.0353274, -0.0389228, -0.0416708, -0.0432694, -0.0424319, -0.0359831, -0.0179552, -0.0121892, -0.00860274, -0.00637898, -0.00449659, -0.00282143, -0.00111997, -0.000475634, -0.000246996, -0.000132492, -4.80861e-05, -1.25813e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00665931");
-            index_3 ("0.44618, 0.49165, 0.51634, 0.526574, 0.54704, 0.583922, 0.596059, 0.618227, 0.639585, 0.671239, 0.690568, 0.709011, 0.727305, 0.741012, 0.763353, 0.771306, 0.776651, 0.787076, 0.793076, 0.805078, 0.826952, 0.852318, 0.884277, 0.926688, 1.1708");
-            values ( \
-              "-0.00302286, -0.00752544, -0.0113177, -0.0135453, -0.0188397, -0.0329018, -0.037179, -0.0445488, -0.0511494, -0.0597273, -0.0639052, -0.0664025, -0.0648117, -0.0545636, -0.0278291, -0.0202103, -0.0160455, -0.00995486, -0.00748142, -0.00412134, -0.00126782, -0.000386108, -0.000143345, -7.98393e-05, -8.64048e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.012182");
-            index_3 ("0.444495, 0.505085, 0.52862, 0.543508, 0.570828, 0.60821, 0.627627, 0.662294, 0.691606, 0.717746, 0.741817, 0.764802, 0.787746, 0.80495, 0.825425, 0.842248, 0.852362, 0.860667, 0.869667, 0.881666, 0.905664, 0.936884, 0.974857, 1.16947");
-            values ( \
-              "-0.00185423, -0.0126285, -0.0178955, -0.0222609, -0.0321755, -0.0488969, -0.0567409, -0.06988, -0.0798686, -0.0879378, -0.0938692, -0.0974029, -0.093893, -0.0776831, -0.0499601, -0.0309294, -0.022229, -0.0168241, -0.0122482, -0.00781481, -0.00297368, -0.000718464, -0.000178162, -4.14723e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0222848");
-            index_3 ("0.461682, 0.492581, 0.520694, 0.550507, 0.568348, 0.670391, 0.71446, 0.751928, 0.785473, 0.816437, 0.846086, 0.875677, 0.878059, 0.886228, 0.927397, 0.943581, 0.964797, 0.989011, 1.00572, 1.03915, 1.05888");
-            values ( \
-              "-0.00754597, -0.0125741, -0.0195312, -0.0287874, -0.0354528, -0.0821218, -0.100111, -0.114037, -0.125131, -0.133461, -0.137813, -0.130398, -0.128501, -0.120619, -0.0652474, -0.0469843, -0.0291249, -0.0161306, -0.010463, -0.00403977, -0.00267623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0407661");
-            index_3 ("0.491759, 0.549633, 0.567737, 0.601014, 0.651012, 0.709535, 0.762801, 0.835994, 0.867235, 0.901474, 0.920849, 0.959837, 0.99882, 1.05888, 1.09172, 1.10831, 1.13043, 1.1466, 1.16428, 1.18786, 1.21583, 1.27573, 1.31742, 1.36624");
-            values ( \
-              "-0.0183617, -0.0324007, -0.0393275, -0.053957, -0.0792059, -0.106583, -0.129834, -0.159145, -0.170313, -0.181047, -0.185978, -0.190684, -0.174854, -0.0983108, -0.0629888, -0.0492775, -0.0348523, -0.0268052, -0.0199854, -0.0133198, -0.00809428, -0.00319616, -0.00147997, -0.000516152" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0745743");
-            index_3 ("0.540129, 0.63019, 0.714116, 0.762939, 0.864049, 0.936164, 1.01125, 1.06007, 1.07626, 1.09696, 1.11736, 1.15816, 1.25096, 1.28472, 1.32241, 1.34013, 1.37558, 1.40238, 1.43208, 1.47168, 1.5205, 1.56932, 1.61815, 1.71579");
-            values ( \
-              "-0.0536054, -0.0714949, -0.113895, -0.137077, -0.182968, -0.213324, -0.240994, -0.25462, -0.257544, -0.259331, -0.257947, -0.236008, -0.126944, -0.0939313, -0.0659898, -0.0556778, -0.0392604, -0.0300647, -0.0223098, -0.0148634, -0.00894681, -0.00536283, -0.00321525, -0.0011513" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00254892, 0.00256412, 0.00257853, 0.00258985, 0.00259757, 0.00260238", \
-            "0.0029908, 0.00299938, 0.00300966, 0.00301949, 0.00302718, 0.00303239", \
-            "0.00326021, 0.00326199, 0.00326521, 0.00326981, 0.0032747, 0.00327874", \
-            "0.00341992, 0.00341849, 0.0034161, 0.00341315, 0.00341327, 0.00341427", \
-            "0.00350212, 0.00349797, 0.00349318, 0.00348863, 0.00348566, 0.00348323", \
-            "0.00354612, 0.00354197, 0.00353725, 0.00353076, 0.00352425, 0.00351874" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00339714, 0.0034159, 0.00342496, 0.00343743, 0.00344495, 0.00344906", \
-            "0.00364736, 0.00364358, 0.00364211, 0.00364192, 0.00364191, 0.00364186", \
-            "0.00377111, 0.00372182, 0.00368147, 0.00365738, 0.00364051, 0.0036289", \
-            "0.00398303, 0.00384365, 0.00373757, 0.00366786, 0.00361846, 0.00358641", \
-            "0.00449974, 0.0041994, 0.00395754, 0.0037839, 0.00367218, 0.00360281", \
-            "0.00565796, 0.00502551, 0.00444389, 0.00409021, 0.00385221, 0.00370301" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00807338, 0.00812938, 0.00817999, 0.00821803, 0.00824333, 0.00825868", \
-            "0.00796577, 0.00802819, 0.00809724, 0.00815841, 0.00820444, 0.00823444", \
-            "0.00787783, 0.00792728, 0.00798968, 0.00806647, 0.00813886, 0.00819242", \
-            "0.00780257, 0.00784435, 0.00789823, 0.00797531, 0.00804602, 0.00798327", \
-            "0.00784695, 0.00784621, 0.00787175, 0.00790941, 0.00796869, 0.00803892", \
-            "0.00869054, 0.00853266, 0.00837015, 0.00829289, 0.00814657, 0.0081265" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00066478, 0.000676036, 0.000676824, 0.000688629, 0.000690611, 0.000692039", \
-            "0.000556367, 0.000585961, 0.000608638, 0.000634213, 0.000643125, 0.000645651", \
-            "0.000442854, 0.000486324, 0.000522308, 0.000558836, 0.00058299, 0.000595793", \
-            "0.000334749, 0.00038043, 0.000443114, 0.000489591, 0.000518638, 0.000558995", \
-            "0.000565387, 0.0005242, 0.000501583, 0.000511731, 0.000538357, 0.00054905", \
-            "0.00156039, 0.00140312, 0.00118275, 0.000983199, 0.000831479, 0.000727746" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "0.00622692, 0.00632839, 0.00640804, 0.006476, 0.00651951, 0.00654541", \
-            "0.00602919, 0.00610243, 0.00626998, 0.00637718, 0.00645657, 0.00650807", \
-            "0.00592666, 0.00599829, 0.00610702, 0.00622576, 0.00634191, 0.00643155", \
-            "0.00610659, 0.00607167, 0.00612883, 0.0060612, 0.00627883, 0.00637319", \
-            "0.00668863, 0.00658812, 0.00645401, 0.00636273, 0.00634102, 0.00633405", \
-            "0.00851388, 0.00824711, 0.00793062, 0.00761896, 0.00718288, 0.0068581" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00665931, 0.012182, 0.0222848, 0.0407661, 0.0745743");
-          values ( \
-            "-0.00086772, -0.000777035, -0.000696739, -0.000641313, -0.000604779, -0.000582931", \
-            "-0.000986556, -0.000861348, -0.000749903, -0.000657467, -0.000597972, -0.000553254", \
-            "-0.00117013, -0.00100956, -0.000864464, -0.000740687, -0.000640529, -0.000579513", \
-            "-0.00126305, -0.00118401, -0.000985348, -0.000872755, -0.000741093, -0.000629582", \
-            "-0.000961498, -0.00104025, -0.0010285, -0.000948714, -0.000830952, -0.000711373", \
-            "0.000479364, 9.5677e-05, -0.00027529, -0.000535058, -0.000642791, -0.000632954" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00409476;
-      rise_capacitance : 0.00407697;
-      rise_capacitance_range (0.00335605, 0.00407697);
-      fall_capacitance : 0.00409476;
-      fall_capacitance_range (0.00311023, 0.00409476);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00284544, 0.00345123, 0.00379849, 0.00397709, 0.00406315, 0.00410597" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00388777, 0.00385489, 0.00369652, 0.00358141, 0.00353206, 0.00351403" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00261117, 0.00295499, 0.00312668, 0.00322317, 0.00326904, 0.00329803" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00348028, 0.00372059, 0.00394863, 0.00412546, 0.00420463, 0.0042241" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00332503, -0.00349189, -0.00358217, -0.0036131, -0.00364519, -0.00365137" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00365267, 0.00365399, 0.00367442, 0.00368341, 0.00366357, 0.00365234" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0044394;
-      rise_capacitance : 0.0044394;
-      rise_capacitance_range (0.00260422, 0.0044394);
-      fall_capacitance : 0.00440479;
-      fall_capacitance_range (0.00271367, 0.00440479);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00216137, 0.00245632, 0.00259702, 0.00267146, 0.00270475, 0.00272087" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00295701, 0.00303299, 0.00306066, 0.00306472, 0.00306939, 0.00307163" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00249724, 0.00283533, 0.00298458, 0.00305985, 0.00309363, 0.0031103" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00298, 0.00292952, 0.00289023, 0.00287243, 0.00286406, 0.00286031" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00180333, -0.00182066, -0.00182081, -0.00181869, -0.00181051, -0.00180389" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00182701, 0.00183728, 0.0018351, 0.00183801, 0.00182883, 0.00182062" \
-          );
-        }
-      }
-    }
-  }
-  cell (OAI21XL) {
-    area : 12.4542;
-    cell_footprint : "OAI21";
-    cell_leakage_power : 0.114118;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.174228;
-      when : "(A0 * A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00723733;
-      when : "(A0 * A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * !A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.439635;
-      when : "(A0 * !A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A0 * !A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00723733;
-      when : "(A0 * !A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * A1 * B0 * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.265333;
-      when : "(!A0 * A1 * B0 * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00723733;
-      when : "(!A0 * A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * !A1 * B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0108943;
-      when : "(!A0 * !A1 * B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A0 * !A1 * !B0 * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00114122;
-      when : "(!A0 * !A1 * !B0 * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.114118;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(!A0 * !A1) + (!B0)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.106957;
-      timing () {
-        related_pin : "A0";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.058408, 0.0745561, 0.105726, 0.166319, 0.284868, 0.517495", \
-            "0.0631956, 0.0792288, 0.110746, 0.171493, 0.290124, 0.522817", \
-            "0.0759124, 0.0918953, 0.123212, 0.18403, 0.302762, 0.535094", \
-            "0.105096, 0.122642, 0.153043, 0.213059, 0.331143, 0.563859", \
-            "0.150722, 0.1755, 0.216689, 0.282071, 0.398522, 0.629821", \
-            "0.224776, 0.258334, 0.315194, 0.407791, 0.55026, 0.780247" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0612917, 0.0838679, 0.12789, 0.214033, 0.382966, 0.71477", \
-            "0.0610918, 0.083779, 0.127868, 0.214041, 0.382966, 0.71477", \
-            "0.0621086, 0.083557, 0.127723, 0.213981, 0.382968, 0.714808", \
-            "0.077443, 0.0949381, 0.132678, 0.214048, 0.383011, 0.71477", \
-            "0.109534, 0.131949, 0.168502, 0.23593, 0.387436, 0.714792", \
-            "0.159937, 0.190504, 0.240759, 0.320721, 0.450584, 0.735168" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.042562, 0.0533877, 0.0741399, 0.114449, 0.193272, 0.34801", \
-            "0.0460624, 0.0570662, 0.0781041, 0.118599, 0.197566, 0.352383", \
-            "0.0527795, 0.0643805, 0.0857384, 0.126515, 0.20583, 0.360793", \
-            "0.0612159, 0.0760971, 0.101039, 0.143824, 0.223564, 0.378972", \
-            "0.0645171, 0.0858438, 0.120288, 0.174453, 0.262348, 0.418964", \
-            "0.0497932, 0.0802398, 0.129458, 0.205904, 0.321828, 0.501826" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.031014, 0.0451936, 0.0731468, 0.128184, 0.236391, 0.449221", \
-            "0.0310564, 0.0452063, 0.073159, 0.128239, 0.236375, 0.449221", \
-            "0.0337724, 0.0467674, 0.0735233, 0.128178, 0.236372, 0.449202", \
-            "0.0456017, 0.0580249, 0.0817288, 0.131663, 0.236633, 0.44911", \
-            "0.0689799, 0.0837096, 0.109796, 0.156906, 0.250078, 0.45163", \
-            "0.111798, 0.130462, 0.162749, 0.217391, 0.312188, 0.490485" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.026175, 0.0315887, 0.034116, 0.0380356, 0.0422843, 0.0458694, 0.0506496, 0.0546649, 0.0699784, 0.0794768, 0.0844933, 0.0901239, 0.0986044, 0.107171, 0.111844, 0.121188, 0.129673, 0.140783, 0.154178, 0.174196, 0.186284, 0.206775, 0.234095, 0.271155, 0.317506");
-            values ( \
-              "0.0345563, 0.086614, 0.0851981, 0.0822065, 0.0795162, 0.077759, 0.0758681, 0.0745986, 0.0705125, 0.0672524, 0.0625993, 0.0542527, 0.042854, 0.0338631, 0.0300543, 0.0230624, 0.0183136, 0.0134172, 0.00915357, 0.00508745, 0.00356645, 0.0019272, 0.000925968, 0.00020845, 0.000128958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0279224, 0.0303151, 0.0333844, 0.0436234, 0.0497846, 0.0589443, 0.0735885, 0.0882428, 0.0966766, 0.0992846, 0.104501, 0.12177, 0.129606, 0.141952, 0.157157, 0.165722, 0.176404, 0.190647, 0.204617, 0.214777, 0.23106, 0.236571, 0.248312, 0.261731, 0.288568, 0.33549, 0.39165");
-            values ( \
-              "0.0893376, 0.126382, 0.125179, 0.115649, 0.112423, 0.10891, 0.104739, 0.100005, 0.0963454, 0.0948247, 0.0904069, 0.0678255, 0.0584344, 0.0460395, 0.0338029, 0.0283596, 0.0225931, 0.0165161, 0.0121126, 0.00962141, 0.00661635, 0.0058327, 0.00445634, 0.0032645, 0.00172767, 0.000524757, 0.000130692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0292141, 0.0292341, 0.0519325, 0.116688, 0.137507, 0.149318, 0.187788, 0.215318, 0.24559, 0.281028, 0.30381, 0.328412, 0.379933, 0.425582, 0.435131");
-            values ( \
-              "1e-22, 0.172293, 0.147329, 0.129474, 0.120469, 0.111669, 0.0736634, 0.0517632, 0.0339402, 0.0198363, 0.0138962, 0.00945492, 0.00406246, 0.00193438, 0.00173622" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0291106, 0.0291306, 0.0693755, 0.121724, 0.170128, 0.211976, 0.23966, 0.307433, 0.356559, 0.395981, 0.456527, 0.512387, 0.583937, 0.641879, 0.702384");
-            values ( \
-              "1e-22, 0.190458, 0.172079, 0.163192, 0.153129, 0.140074, 0.125358, 0.0812716, 0.0549868, 0.0390286, 0.0221939, 0.0128423, 0.00628612, 0.00347687, 0.00197671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0307442, 0.0307642, 0.101874, 0.200541, 0.277621, 0.325658, 0.357653, 0.404104, 0.52749, 0.611533, 0.686661, 0.773784, 0.856122, 0.914742, 0.984523, 1.06899, 1.23792, 1.24901");
-            values ( \
-              "1e-22, 0.212338, 0.189233, 0.178679, 0.168767, 0.160489, 0.152983, 0.138491, 0.090652, 0.0624241, 0.0431201, 0.02725, 0.0173066, 0.0124512, 0.00837245, 0.0050923, 0.00187814, 0.00181521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0362848, 0.0363048, 0.169712, 0.318526, 0.445331, 0.529234, 0.643896, 0.703029, 0.772585, 0.934299, 1.07791, 1.16237, 1.22483, 1.37973, 1.491, 1.56481, 1.64927, 1.79797, 1.96689, 2.13582, 2.47368, 2.72707");
-            values ( \
-              "1e-22, 0.232424, 0.199241, 0.190288, 0.18156, 0.174616, 0.160803, 0.150831, 0.137084, 0.101554, 0.0731464, 0.0587907, 0.049748, 0.0321331, 0.0231554, 0.0187307, 0.0143217, 0.00904059, 0.00530157, 0.00308194, 0.00100374, 0.000583067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0361182, 0.0586319, 0.0636919, 0.0707842, 0.0795705, 0.08871, 0.0988396, 0.102525, 0.116617, 0.123563, 0.129802, 0.13671, 0.14839, 0.159931, 0.17292, 0.190899, 0.200931, 0.215199, 0.234222, 0.268422, 0.274413");
-            values ( \
-              "0.00595508, 0.0830701, 0.0798025, 0.0761271, 0.0730102, 0.0705355, 0.0667976, 0.0633488, 0.0437522, 0.0361668, 0.0305492, 0.0253599, 0.018396, 0.0133438, 0.00922362, 0.00547656, 0.00410139, 0.00270623, 0.00152522, 0.000527677, 0.000470752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0364863, 0.0575566, 0.0684875, 0.074071, 0.0801033, 0.102257, 0.109608, 0.117883, 0.12974, 0.132686, 0.149092, 0.164358, 0.177176, 0.187035, 0.200972, 0.215733, 0.233506, 0.249521, 0.260278, 0.280703, 0.307937, 0.353914, 0.410272");
-            values ( \
-              "0.000542551, 0.121822, 0.113764, 0.110897, 0.108519, 0.101589, 0.0989867, 0.0948248, 0.0826999, 0.0779273, 0.0570828, 0.0426892, 0.032968, 0.026765, 0.019818, 0.0142942, 0.00956227, 0.00668798, 0.00516235, 0.00320861, 0.00172943, 0.00049996, 0.000162502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0451627, 0.0509122, 0.0530806, 0.0556592, 0.05801, 0.0681285, 0.0740793, 0.0807583, 0.117039, 0.13621, 0.144166, 0.156464, 0.162877, 0.175702, 0.200409, 0.208701, 0.235234, 0.255674, 0.263817, 0.277181, 0.30049, 0.311479, 0.333458, 0.357644, 0.397314, 0.450207, 0.517522, 0.601986");
-            values ( \
-              "0.0772551, 0.122304, 0.146941, 0.157998, 0.159341, 0.150603, 0.146996, 0.144526, 0.135001, 0.129229, 0.126365, 0.120428, 0.116103, 0.104396, 0.0792243, 0.0718708, 0.0510602, 0.0384168, 0.0342951, 0.028037, 0.0196593, 0.0166945, 0.0116874, 0.00793121, 0.00414041, 0.00174348, 0.000455879, 0.000164824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.046013, 0.0533106, 0.0576324, 0.0699587, 0.0853396, 0.144217, 0.195834, 0.231048, 0.25834, 0.326521, 0.37568, 0.415022, 0.442617, 0.475636, 0.531706, 0.559565, 0.602672, 0.660148, 0.744612, 0.760125");
-            values ( \
-              "0.100023, 0.173097, 0.189105, 0.178725, 0.172817, 0.162519, 0.151586, 0.140036, 0.125663, 0.0812145, 0.0549165, 0.0389948, 0.0303954, 0.0221424, 0.012766, 0.00967782, 0.00626409, 0.00355126, 0.00135071, 0.00124314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0468725, 0.0581628, 0.0811118, 0.219776, 0.297501, 0.345217, 0.377208, 0.423681, 0.547043, 0.631083, 0.706216, 0.806899, 0.875662, 0.934281, 1.00406, 1.08853, 1.23562");
-            values ( \
-              "0.138194, 0.208949, 0.194076, 0.178714, 0.168721, 0.160491, 0.152982, 0.138485, 0.090651, 0.0624239, 0.0431187, 0.0252931, 0.0173065, 0.012454, 0.00837491, 0.00509119, 0.00229223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0553883, 0.0554083, 0.188863, 0.36804, 0.505471, 0.548396, 0.663057, 0.791746, 1.09707, 1.24399, 1.31442, 1.43597, 1.51015, 1.66842, 1.90156, 2.21106");
-            values ( \
-              "1e-22, 0.232138, 0.199338, 0.188251, 0.178306, 0.174521, 0.16071, 0.136991, 0.0730554, 0.0498388, 0.0410249, 0.0289466, 0.0232449, 0.0144106, 0.00702947, 0.00282405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0758056, 0.0875085, 0.0915845, 0.0966305, 0.100527, 0.104994, 0.106935, 0.110819, 0.11276, 0.114754, 0.116434, 0.117273, 0.118113, 0.119424, 0.120735, 0.123371, 0.1266, 0.140729, 0.141811, 0.142351, 0.143973, 0.14494, 0.14784, 0.151308, 0.154551, 0.156637, 0.16081, 0.16835, 0.17073, 0.17311, 0.1743, 0.177478, 0.180655, 0.182892, 0.187364, 0.190198, 0.191988, 0.195569, 0.196763, 0.201538, 0.206313, 0.20824, 0.214022, 0.217764, 0.221506, 0.225969, 0.230432, 0.236815, 0.240006, 0.248488");
-            values ( \
-              "0.0370317, 0.037902, 0.0438989, 0.0508502, 0.0559112, 0.0611403, 0.0636043, 0.0688794, 0.0716904, 0.0759641, 0.0763369, 0.0764163, 0.0764243, 0.0762941, 0.0759898, 0.0746753, 0.0733029, 0.0678302, 0.0672263, 0.0668048, 0.0653646, 0.0643537, 0.0608663, 0.0555839, 0.0509477, 0.0481192, 0.042826, 0.0349389, 0.0326733, 0.0305782, 0.0295919, 0.027227, 0.0250149, 0.0235972, 0.020894, 0.0192728, 0.0182846, 0.016501, 0.0159485, 0.0140207, 0.0122846, 0.0116625, 0.00988663, 0.00881847, 0.00786129, 0.00694635, 0.00612016, 0.00510704, 0.00465789, 0.0036435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.077828, 0.0907084, 0.0942552, 0.098099, 0.100351, 0.102602, 0.107106, 0.107819, 0.109245, 0.110264, 0.111185, 0.112366, 0.112809, 0.113695, 0.11547, 0.116394, 0.117318, 0.120562, 0.123477, 0.126798, 0.130594, 0.1359, 0.148413, 0.151266, 0.157921, 0.159823, 0.161515, 0.164899, 0.168813, 0.170118, 0.179136, 0.18767, 0.196, 0.200266, 0.204531, 0.208985, 0.213439, 0.222159, 0.229718, 0.23437, 0.237471, 0.243673, 0.246775, 0.257661, 0.264264, 0.272187, 0.279158, 0.287818, 0.2937, 0.299903");
-            values ( \
-              "0.0591123, 0.0617359, 0.0692225, 0.076462, 0.0812898, 0.0864238, 0.0976101, 0.0977644, 0.0985173, 0.0994192, 0.100494, 0.102441, 0.10614, 0.107486, 0.111981, 0.113043, 0.113605, 0.112497, 0.11124, 0.10926, 0.107362, 0.105231, 0.100803, 0.0997006, 0.0967452, 0.0958025, 0.0947694, 0.0918918, 0.0876822, 0.0861173, 0.0738409, 0.0630435, 0.0539918, 0.0495411, 0.0454571, 0.0417092, 0.0381938, 0.0320593, 0.0271531, 0.0245332, 0.0230088, 0.0201809, 0.0188775, 0.0148482, 0.01264, 0.0106234, 0.00911313, 0.00750244, 0.00655549, 0.0056773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0563761, 0.124315, 0.134763, 0.179606, 0.199865, 0.21264, 0.238742, 0.25218, 0.277812, 0.294738, 0.307818, 0.320963, 0.338581, 0.354606, 0.375972, 0.394163, 0.419291, 0.452795, 0.513904, 0.58594, 0.670404");
-            values ( \
-              "0.00135474, 0.14777, 0.142462, 0.129254, 0.12061, 0.110626, 0.0841139, 0.0719018, 0.0517317, 0.0409144, 0.0339339, 0.0279641, 0.021388, 0.0167056, 0.0119303, 0.00891281, 0.00595044, 0.00343619, 0.00116042, 0.000330011, 6.65756e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0763566, 0.115206, 0.118971, 0.122661, 0.134286, 0.143054, 0.208282, 0.239421, 0.254486, 0.270555, 0.296441, 0.370021, 0.41954, 0.458021, 0.485008, 0.519432, 0.538925, 0.57791, 0.596645, 0.642408, 0.694709, 0.779173, 0.789294");
-            values ( \
-              "0.0501678, 0.172442, 0.180034, 0.17914, 0.172927, 0.170514, 0.1585, 0.151548, 0.147324, 0.141661, 0.128856, 0.0812481, 0.0547954, 0.0392134, 0.0306335, 0.0221234, 0.0183539, 0.0124689, 0.0103804, 0.00655359, 0.00384561, 0.0015382, 0.00146104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0773606, 0.11617, 0.118626, 0.122913, 0.132552, 0.142924, 0.262663, 0.301549, 0.341928, 0.388451, 0.420426, 0.467001, 0.59026, 0.618271, 0.674292, 0.710038, 0.749444, 0.801984, 0.850108, 0.877222, 0.91886, 0.977487, 1.00075, 1.04729, 1.13175, 1.21622, 1.30068, 1.46961, 1.55407");
-            values ( \
-              "0.0554277, 0.196403, 0.200168, 0.199396, 0.194287, 0.191844, 0.178752, 0.174038, 0.168544, 0.160489, 0.15298, 0.138452, 0.0906492, 0.0805972, 0.0624245, 0.0525513, 0.0431145, 0.0327852, 0.0252924, 0.0218419, 0.0173065, 0.0124571, 0.010889, 0.00837646, 0.00508887, 0.00312633, 0.0018746, 0.000684594, 0.000445013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0967551, 0.0967751, 0.246652, 0.43108, 0.568296, 0.705997, 0.79022, 1.13953, 1.28822, 1.3582, 1.47904, 1.5518, 1.71056, 1.94588, 2.25455");
-            values ( \
-              "1e-22, 0.224926, 0.19846, 0.186904, 0.17664, 0.160747, 0.146159, 0.0731724, 0.0496766, 0.040946, 0.028948, 0.0233417, 0.0144502, 0.0070005, 0.00282245" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.106155, 0.124606, 0.139153, 0.154354, 0.18908, 0.217804, 0.240584, 0.249021, 0.26651, 0.280363, 0.296029, 0.312835, 0.321962, 0.343976, 0.357964, 0.376614, 0.403814");
-            values ( \
-              "0.00231383, 0.00409347, 0.0093587, 0.0169745, 0.0384835, 0.0525862, 0.0622462, 0.0562686, 0.0362984, 0.0248694, 0.0161664, 0.0100431, 0.00772393, 0.00405296, 0.00267735, 0.00159853, 0.00069158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.136265, 0.156479, 0.163186, 0.172088, 0.176909, 0.184142, 0.19327, 0.199988, 0.208968, 0.217948, 0.225687, 0.229556, 0.233425, 0.236926, 0.243927, 0.247428, 0.249227, 0.250842, 0.25138, 0.252254, 0.253127, 0.254874, 0.256177, 0.25748, 0.259838, 0.262072, 0.266418, 0.268627, 0.273045, 0.281628, 0.286223, 0.291026, 0.298223, 0.302583, 0.309123, 0.314403, 0.317503, 0.323703, 0.333411, 0.338643, 0.342828, 0.348843, 0.354858, 0.360102, 0.365347, 0.370873, 0.376398, 0.380374, 0.388327, 0.398679");
-            values ( \
-              "0.0258335, 0.0272887, 0.0334848, 0.0422376, 0.0465893, 0.0526948, 0.0601418, 0.0654373, 0.0722575, 0.0787866, 0.083868, 0.0862573, 0.0885456, 0.0904472, 0.0939119, 0.095475, 0.0979792, 0.0984987, 0.0986028, 0.0986542, 0.098644, 0.0984388, 0.0981255, 0.0976751, 0.096112, 0.0941383, 0.0895939, 0.0869208, 0.0812414, 0.0694701, 0.0637619, 0.0582839, 0.050661, 0.0462876, 0.0407873, 0.0367161, 0.0344795, 0.030348, 0.0245805, 0.0219944, 0.0201196, 0.0176986, 0.0154541, 0.0136535, 0.0120618, 0.0106981, 0.00946191, 0.00866727, 0.0072442, 0.00571747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.124488, 0.150244, 0.15976, 0.184018, 0.217269, 0.239385, 0.25301, 0.258498, 0.283231, 0.294656, 0.303958, 0.316361, 0.345471, 0.359135, 0.373204, 0.391689, 0.401743, 0.420816, 0.441796, 0.467071, 0.489914, 0.505044, 0.533599, 0.571673, 0.636461, 0.716108");
-            values ( \
-              "0.00937313, 0.0299903, 0.0403314, 0.0694996, 0.104409, 0.124956, 0.136041, 0.137084, 0.127331, 0.122118, 0.116226, 0.105077, 0.0756698, 0.0640545, 0.0536136, 0.0412805, 0.0358589, 0.0270653, 0.019656, 0.0132293, 0.00928407, 0.00719625, 0.00452168, 0.00247273, 0.000718768, 0.000228482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.12448, 0.159858, 0.205761, 0.223593, 0.252201, 0.257263, 0.267718, 0.274552, 0.30539, 0.339608, 0.352048, 0.371601, 0.389397, 0.467194, 0.483814, 0.517054, 0.531607, 0.554706, 0.581106, 0.606745, 0.637134, 0.677653, 0.694515, 0.73586, 0.783112, 0.867576, 0.95204, 1.0365");
-            values ( \
-              "0.00730523, 0.0490342, 0.111756, 0.134086, 0.167549, 0.17019, 0.166414, 0.164709, 0.158516, 0.15074, 0.147182, 0.14005, 0.131277, 0.0812706, 0.0715907, 0.0546649, 0.0482532, 0.0394106, 0.0308816, 0.0242709, 0.0181207, 0.0121812, 0.0102599, 0.00683309, 0.00417567, 0.00172019, 0.000663855, 0.000308567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.124378, 0.236833, 0.254165, 0.260781, 0.267069, 0.278049, 0.386414, 0.421168, 0.455591, 0.513765, 0.535644, 0.559051, 0.686793, 0.714875, 0.771038, 0.806546, 0.845685, 0.89787, 0.946823, 0.974409, 1.01583, 1.07423, 1.14335, 1.22781, 1.31227, 1.39674, 1.4812, 1.65013");
-            values ( \
-              "0.00563728, 0.168784, 0.190153, 0.192287, 0.18991, 0.187715, 0.175482, 0.170981, 0.165871, 0.153842, 0.147686, 0.139985, 0.0906694, 0.0805212, 0.0623876, 0.0525859, 0.043208, 0.0328568, 0.0252975, 0.0217268, 0.0172955, 0.0124078, 0.00836638, 0.00513491, 0.00310265, 0.00191177, 0.00113875, 0.000414546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.167626, 0.214158, 0.252913, 0.260698, 0.267693, 0.279497, 0.462302, 0.604284, 0.688186, 0.77265, 0.861979, 0.931536, 1.11909, 1.23686, 1.32132, 1.38378, 1.45421, 1.57576, 1.64993, 1.72374, 1.8082, 1.95687, 2.04133, 2.21026, 2.37919, 2.63258, 2.88597");
-            values ( \
-              "0.140934, 0.146249, 0.203518, 0.206728, 0.203819, 0.202184, 0.191186, 0.181485, 0.174542, 0.165057, 0.150903, 0.137014, 0.0961823, 0.0730796, 0.0588592, 0.0498159, 0.0410487, 0.0289702, 0.0232225, 0.0186662, 0.0143881, 0.0091059, 0.00705197, 0.00416711, 0.00246068, 0.00106685, 0.000520549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.214056, 0.269456, 0.272992, 0.284218, 0.295858, 0.30565, 0.325233, 0.349062, 0.364397, 0.387817, 0.426124, 0.442375, 0.457082, 0.471794, 0.473577, 0.476189, 0.485146, 0.497089, 0.506093, 0.51994, 0.535613, 0.549285, 0.550449, 0.556459, 0.559673, 0.587021, 0.600725, 0.628132, 0.641061");
-            values ( \
-              "0.000732914, 0.00385735, 0.00414825, 0.00527847, 0.00674478, 0.00834203, 0.0132423, 0.0213501, 0.0254617, 0.0305515, 0.0379147, 0.0427901, 0.0463219, 0.0331566, 0.0325665, 0.0297915, 0.0234524, 0.0173808, 0.0137777, 0.00959418, 0.00628914, 0.00433714, 0.00427299, 0.00535208, 0.00535933, 0.0026428, 0.00176874, 0.000774333, 0.000593859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.216718, 0.27555, 0.278635, 0.284806, 0.297146, 0.309035, 0.313109, 0.321258, 0.33614, 0.342868, 0.356323, 0.378654, 0.406064, 0.429734, 0.450972, 0.47026, 0.488631, 0.506889, 0.50996, 0.510633, 0.511981, 0.514676, 0.530366, 0.547185, 0.547816, 0.549078, 0.550511, 0.553379, 0.556545, 0.560038, 0.567023, 0.576361, 0.580715, 0.589422, 0.606837, 0.617276, 0.621358, 0.629521, 0.645847, 0.678499, 0.699707");
-            values ( \
-              "0.0013815, 0.00727936, 0.00774482, 0.00876145, 0.0112426, 0.0142634, 0.0155437, 0.0184535, 0.025222, 0.0286205, 0.0346401, 0.0427703, 0.0511877, 0.0577967, 0.0635545, 0.0700971, 0.0706456, 0.0498331, 0.048969, 0.0477533, 0.0468776, 0.0443775, 0.0331291, 0.0238521, 0.023746, 0.0230251, 0.0224749, 0.0225591, 0.0223262, 0.0215676, 0.0191382, 0.0157142, 0.0142779, 0.0117548, 0.00790203, 0.00622967, 0.00567831, 0.00470753, 0.00322779, 0.00147018, 0.00101252" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.219898, 0.275646, 0.28903, 0.300986, 0.316928, 0.325967, 0.359451, 0.388864, 0.40315, 0.431724, 0.466038, 0.492268, 0.516555, 0.539696, 0.54135, 0.550608, 0.556004, 0.562044, 0.59157, 0.612359, 0.633656, 0.650835, 0.663307, 0.677546, 0.692494, 0.713595, 0.722185, 0.739366, 0.773729, 0.816658");
-            values ( \
-              "0.00254619, 0.0110693, 0.0143306, 0.0178925, 0.023903, 0.0281534, 0.0476411, 0.0617534, 0.0678869, 0.0796475, 0.0924576, 0.101115, 0.107415, 0.0979341, 0.0953117, 0.0865072, 0.0844272, 0.0801138, 0.0558633, 0.0419972, 0.0309664, 0.0239103, 0.0197733, 0.0158592, 0.0125487, 0.00894409, 0.00781254, 0.00591093, 0.00336258, 0.00180157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.225166, 0.275702, 0.294381, 0.304863, 0.325826, 0.375438, 0.44286, 0.486361, 0.523786, 0.557735, 0.591755, 0.605646, 0.678939, 0.696036, 0.73023, 0.755228, 0.788082, 0.817928, 0.846245, 0.884001, 0.919171, 0.977065, 1.04502, 1.09375");
-            values ( \
-              "0.00442445, 0.0150676, 0.0213175, 0.0254876, 0.0356614, 0.0671441, 0.103811, 0.125262, 0.141191, 0.152622, 0.140014, 0.133413, 0.0862681, 0.0760994, 0.0578342, 0.0467704, 0.0348382, 0.026459, 0.0202147, 0.0138646, 0.00977346, 0.00543131, 0.00274695, 0.00175825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.275112, 0.326328, 0.415666, 0.455264, 0.503028, 0.560921, 0.581001, 0.59811, 0.656138, 0.702935, 0.734913, 0.780884, 0.904752, 0.980995, 1.02516, 1.07668, 1.15803, 1.19522, 1.23636, 1.29334, 1.35917, 1.44363, 1.5281, 1.61256, 1.69702, 1.86595");
-            values ( \
-              "0.0340021, 0.0425399, 0.101725, 0.126136, 0.15402, 0.183339, 0.177364, 0.176532, 0.168691, 0.160599, 0.152874, 0.138771, 0.0905431, 0.0646755, 0.0522942, 0.040281, 0.0261063, 0.0212919, 0.0171438, 0.0122335, 0.00837704, 0.00529479, 0.00304757, 0.0020307, 0.00105873, 0.000325393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.274836, 0.355885, 0.491155, 0.549797, 0.564102, 0.588128, 0.773664, 0.84425, 0.904769, 0.989233, 1.07858, 1.14813, 1.33569, 1.45346, 1.53792, 1.60037, 1.67081, 1.75527, 1.79236, 1.86653, 1.94034, 2.0248, 2.09325, 2.17346, 2.25792, 2.42685, 2.59578, 2.84917, 3.10256");
-            values ( \
-              "0.0282653, 0.0667982, 0.159883, 0.195982, 0.198525, 0.197292, 0.184887, 0.179693, 0.174547, 0.165056, 0.1509, 0.137016, 0.0961798, 0.0730766, 0.0588621, 0.0498199, 0.0410452, 0.0322043, 0.0289664, 0.0232265, 0.0186622, 0.0143923, 0.0116696, 0.00911055, 0.00704755, 0.00416262, 0.00245614, 0.00107147, 0.000515939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.460154, 0.564331, 0.587773, 0.608251, 0.633762, 0.680563, 0.703071, 0.729638, 0.761545, 0.775905, 0.805831, 0.832797, 0.857098, 0.878654, 0.90054, 0.9168, 0.929188, 0.941369, 0.950357, 0.962232, 0.973329, 0.985683, 1.00438, 1.01264, 1.02326, 1.03742, 1.06573, 1.1158, 1.1768, 1.21592, 1.23808, 1.26608, 1.29129, 1.34172");
-            values ( \
-              "0.000585607, 0.00193122, 0.00244669, 0.0030184, 0.00398847, 0.00747008, 0.0107422, 0.0148731, 0.0190261, 0.0205632, 0.0232416, 0.0254002, 0.0285772, 0.0321088, 0.0279263, 0.0197828, 0.0150159, 0.0116209, 0.00950092, 0.00725714, 0.00560597, 0.00415222, 0.00261499, 0.00212275, 0.00162821, 0.00114656, 0.000541611, 0.000144586, 3.90774e-05, 3.51749e-05, 0.000658203, 0.000519199, 0.000123129, 7.70933e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.459992, 0.571863, 0.585418, 0.608176, 0.634229, 0.661276, 0.690142, 0.75868, 0.799537, 0.834553, 0.866291, 0.921706, 0.948149, 0.979437, 1.00172, 1.01727, 1.03528, 1.04597, 1.06736, 1.08856, 1.10188, 1.12851, 1.18177, 1.21585, 1.23653, 1.2624, 1.28027");
-            values ( \
-              "0.000890713, 0.0037184, 0.00424023, 0.00529658, 0.0068963, 0.00939257, 0.0136928, 0.0283535, 0.0347443, 0.0389257, 0.0422769, 0.0508477, 0.043085, 0.0264158, 0.018342, 0.0138776, 0.00991121, 0.0080593, 0.00522094, 0.00338428, 0.00257361, 0.00145011, 0.000425683, 0.000215228, 0.0012072, 0.00112076, 0.000619118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.459895, 0.585235, 0.608146, 0.645198, 0.659834, 0.689107, 0.771514, 0.789608, 0.839853, 0.883624, 0.923142, 0.978024, 0.993449, 1.01831, 1.06966, 1.10315, 1.13924, 1.15576, 1.17462, 1.20238, 1.21582, 1.22163, 1.22573, 1.23392, 1.26761, 1.30445, 1.33129");
-            values ( \
-              "0.00108393, 0.00700648, 0.00866415, 0.0122519, 0.0141889, 0.0194546, 0.0416606, 0.0456329, 0.054792, 0.0613539, 0.0667211, 0.0757684, 0.0754222, 0.066399, 0.039699, 0.0265279, 0.0162392, 0.0128188, 0.00971738, 0.0063472, 0.00517518, 0.00616168, 0.00639776, 0.00604453, 0.00358021, 0.00196142, 0.0014351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.534088, 0.621419, 0.66663, 0.687808, 0.730166, 0.757153, 0.811128, 0.84247, 0.908096, 0.964778, 1.06308, 1.1093, 1.2159, 1.22795, 1.28023, 1.30826, 1.3581, 1.39429, 1.43157, 1.46008, 1.51712, 1.58562");
-            values ( \
-              "0.0127589, 0.0144782, 0.0213441, 0.0257728, 0.0378625, 0.0468738, 0.0618214, 0.0690585, 0.082703, 0.0932694, 0.108796, 0.105806, 0.055544, 0.0524475, 0.0338311, 0.0260486, 0.0161446, 0.0112822, 0.00779396, 0.00585408, 0.00324845, 0.00168056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.533959, 0.64071, 0.657128, 0.689964, 0.720621, 0.7923, 0.859752, 0.927692, 1.01216, 1.09028, 1.1584, 1.21579, 1.2229, 1.23712, 1.2617, 1.40487, 1.44041, 1.49743, 1.54724, 1.58219, 1.62739, 1.66386, 1.70944, 1.77368, 1.84232, 1.8952, 1.97966, 2.06412, 2.14859, 2.23305, 2.40198");
-            values ( \
-              "0.0141532, 0.0225416, 0.0255865, 0.0328637, 0.0413489, 0.0650242, 0.0839782, 0.101351, 0.121655, 0.138384, 0.15007, 0.153003, 0.152487, 0.149156, 0.141065, 0.0861964, 0.0738046, 0.0565478, 0.0441177, 0.036868, 0.0289561, 0.0237669, 0.0185113, 0.012847, 0.00870054, 0.00644877, 0.00390328, 0.00240345, 0.00143384, 0.000900973, 0.000347328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.607883, 0.730714, 0.828065, 0.893156, 1.05727, 1.12816, 1.21555, 1.23661, 1.32416, 1.38801, 1.47248, 1.50275, 1.56188, 1.63144, 1.81899, 1.93676, 2.02122, 2.08369, 2.15412, 2.23859, 2.27566, 2.34982, 2.42363, 2.5081, 2.57656, 2.65678, 2.74125, 2.82571, 2.91018, 3.0791, 3.3325, 3.58589");
-            values ( \
-              "0.0428942, 0.0499793, 0.0816198, 0.101127, 0.147481, 0.165851, 0.186137, 0.186363, 0.180062, 0.174477, 0.165121, 0.160715, 0.150912, 0.137013, 0.0961892, 0.0730854, 0.0588564, 0.0498128, 0.0410493, 0.0321989, 0.0289708, 0.0232237, 0.0186669, 0.0143891, 0.0116669, 0.00910759, 0.00704976, 0.005368, 0.00416484, 0.00245834, 0.00106925, 0.000517982" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00347802, 0.00351129, 0.00354023, 0.0035618, 0.00357552, 0.0035834", \
-            "0.00432957, 0.00438179, 0.00443723, 0.00448252, 0.00451318, 0.00453166", \
-            "0.00491204, 0.00494909, 0.00499756, 0.00504556, 0.00508272, 0.00510741", \
-            "0.00534108, 0.00535407, 0.0053768, 0.00540529, 0.00543286, 0.00544899", \
-            "0.00571341, 0.0056803, 0.00564786, 0.00562502, 0.00561693, 0.00561765", \
-            "0.00589896, 0.00587402, 0.005847, 0.00580947, 0.00576099, 0.00572249" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00521951, 0.00528635, 0.00535016, 0.00539736, 0.00542532, 0.00544015", \
-            "0.00562004, 0.00564638, 0.00566943, 0.00567148, 0.00566801, 0.00566717", \
-            "0.00570651, 0.00559709, 0.00547984, 0.00537662, 0.00530452, 0.00525927", \
-            "0.00574423, 0.0054865, 0.0052706, 0.00510475, 0.00498751, 0.00492154", \
-            "0.00584649, 0.00580692, 0.00548203, 0.00511088, 0.00491982, 0.0048079", \
-            "0.00560261, 0.0056438, 0.00567831, 0.00555516, 0.00508081, 0.00485609" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0311661, 0.0326808, 0.0363183, 0.0407646, 0.0451696, 0.0495758, 0.0515984, 0.0536369, 0.0568379, 0.0588902, 0.0673972, 0.0759475, 0.0843657, 0.093603, 0.101431, 0.107123, 0.114613, 0.124599, 0.133311");
-            values ( \
-              "-0.0323848, -0.14134, -0.146322, -0.148674, -0.148897, -0.147601, -0.145938, -0.143427, -0.136301, -0.129777, -0.0918496, -0.0601879, -0.0377489, -0.0218384, -0.01351, -0.00944834, -0.00588712, -0.00306341, -0.00194736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0311363, 0.0335986, 0.0377073, 0.0452739, 0.0517122, 0.0602202, 0.0649057, 0.070056, 0.0756008, 0.0884542, 0.0996067, 0.110243, 0.121166, 0.126846, 0.140855, 0.157496, 0.176515, 0.178026");
-            values ( \
-              "-0.0152943, -0.194973, -0.198805, -0.200072, -0.19911, -0.194863, -0.189776, -0.179747, -0.161861, -0.110409, -0.0741472, -0.0485882, -0.0306527, -0.0239821, -0.0128847, -0.00606487, -0.00242728, -0.00236525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0309085, 0.0348471, 0.0379873, 0.0423247, 0.0536004, 0.0641156, 0.0747563, 0.0856723, 0.0943701, 0.102785, 0.12634, 0.140999, 0.158163, 0.168256, 0.182048, 0.190299, 0.204237, 0.220227, 0.232949, 0.258393, 0.275363");
-            values ( \
-              "-0.0168388, -0.240978, -0.243264, -0.24369, -0.24251, -0.240045, -0.235772, -0.228041, -0.216642, -0.198183, -0.129479, -0.0927761, -0.0603351, -0.04617, -0.0317558, -0.0252498, -0.0170198, -0.0108132, -0.00748464, -0.00348871, -0.00239719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0308892, 0.0367081, 0.0421537, 0.0694662, 0.0879027, 0.106712, 0.125579, 0.13813, 0.146214, 0.162381, 0.202616, 0.231718, 0.255596, 0.272447, 0.291523, 0.323992, 0.341885, 0.36936, 0.405994, 0.446984");
-            values ( \
-              "-0.0687146, -0.274167, -0.275085, -0.271621, -0.267867, -0.262607, -0.254497, -0.245215, -0.236892, -0.212334, -0.137235, -0.0924205, -0.0649989, -0.0501177, -0.0371103, -0.0219093, -0.0163362, -0.0103551, -0.00552187, -0.00300805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0332575, 0.035784, 0.0392171, 0.0414198, 0.0610454, 0.100262, 0.13399, 0.169173, 0.186683, 0.204863, 0.240846, 0.262788, 0.283982, 0.340326, 0.361479, 0.383979, 0.419873, 0.434044, 0.457721, 0.489224, 0.518272, 0.557003, 0.587639, 0.621694, 0.667101, 0.727577, 0.788052, 0.848528, 0.969479");
-            values ( \
-              "-0.289483, -0.293102, -0.294693, -0.294808, -0.293393, -0.289204, -0.284727, -0.278676, -0.274831, -0.269724, -0.253581, -0.236372, -0.214729, -0.153048, -0.131958, -0.111662, -0.0842329, -0.0750719, -0.0616432, -0.0470984, -0.0365949, -0.0259186, -0.0196938, -0.014487, -0.00953845, -0.00542499, -0.00306691, -0.00174172, -0.000559272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0332428, 0.0356586, 0.0438333, 0.160401, 0.234219, 0.299468, 0.346994, 0.384263, 0.430723, 0.491199, 0.627752, 0.723704, 0.812293, 0.872769, 0.932621, 1.01504, 1.07551, 1.17094, 1.23142, 1.35237, 1.47332, 1.50285");
-            values ( \
-              "-0.300485, -0.304197, -0.306173, -0.299008, -0.293223, -0.286742, -0.280486, -0.273789, -0.261306, -0.233693, -0.152666, -0.104923, -0.0719496, -0.0550121, -0.041788, -0.0284484, -0.021437, -0.0135944, -0.0100735, -0.00558102, -0.00307591, -0.00284887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0477514, 0.0495123, 0.0509802, 0.0511407, 0.0536156, 0.0576286, 0.0581973, 0.0593346, 0.0616093, 0.0626334, 0.0646814, 0.0670482, 0.0715694, 0.0740535, 0.0763603, 0.0838747, 0.0890953, 0.0948017, 0.0997011, 0.102358, 0.107217, 0.112214, 0.117906, 0.124151, 0.131336, 0.137042, 0.148453, 0.157163");
-            values ( \
-              "-0.0326741, -0.11671, -0.123619, -0.12714, -0.138343, -0.146868, -0.14621, -0.14814, -0.147854, -0.149019, -0.147766, -0.147939, -0.142808, -0.136996, -0.129788, -0.0962312, -0.075081, -0.0559813, -0.0426366, -0.0366544, -0.0275617, -0.0203953, -0.0143781, -0.00972433, -0.00619482, -0.00429089, -0.00202321, -0.00130301" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.047566, 0.0509575, 0.0512815, 0.0535044, 0.0545122, 0.0563985, 0.0591418, 0.0624593, 0.0638224, 0.0693735, 0.07584, 0.0791845, 0.0825572, 0.089278, 0.0942828, 0.104466, 0.111377, 0.115707, 0.122785, 0.129374, 0.133658, 0.139239, 0.14306, 0.148155, 0.157154, 0.161332, 0.167612, 0.175986, 0.192734, 0.21793, 0.248779");
-            values ( \
-              "-0.00143204, -0.166884, -0.171163, -0.185233, -0.189392, -0.194609, -0.198199, -0.19963, -0.199715, -0.199026, -0.196399, -0.193745, -0.189844, -0.175617, -0.157815, -0.116638, -0.0922503, -0.078677, -0.059704, -0.0457121, -0.0382111, -0.0301171, -0.0255425, -0.020438, -0.0136735, -0.0113527, -0.00856804, -0.00585199, -0.00264312, -0.000717765, -0.000130131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0473728, 0.0541693, 0.0558368, 0.0584476, 0.0611156, 0.0650296, 0.0701074, 0.0801789, 0.08577, 0.092698, 0.103379, 0.110651, 0.115107, 0.124019, 0.135046, 0.14763, 0.15334, 0.16476, 0.170964, 0.177952, 0.187268, 0.194229, 0.199399, 0.206291, 0.220077, 0.228509, 0.241556, 0.258951, 0.293742, 0.335711");
-            values ( \
-              "-0.0294535, -0.229457, -0.235861, -0.240823, -0.242671, -0.243214, -0.242759, -0.240519, -0.238727, -0.235831, -0.228537, -0.219182, -0.211304, -0.188967, -0.156044, -0.120485, -0.105872, -0.0804581, -0.0688598, -0.0574981, -0.0448733, -0.0371851, -0.0322859, -0.0266742, -0.0180728, -0.0142403, -0.00981667, -0.00590271, -0.00196996, -0.000481647" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0471897, 0.0546948, 0.0567315, 0.0593578, 0.0620504, 0.0656406, 0.087583, 0.106052, 0.117375, 0.139739, 0.152815, 0.164327, 0.184879, 0.21594, 0.227604, 0.240457, 0.257007, 0.269926, 0.28715, 0.300453, 0.31125, 0.325648, 0.349478, 0.376665, 0.398234, 0.441372, 0.501847, 0.562323");
-            values ( \
-              "-0.00389408, -0.26189, -0.268844, -0.272895, -0.274182, -0.274479, -0.271653, -0.2679, -0.264921, -0.25655, -0.248161, -0.236855, -0.204373, -0.145743, -0.125638, -0.105686, -0.0833756, -0.0688585, -0.052934, -0.0429224, -0.0361718, -0.0287374, -0.0193817, -0.0123855, -0.00866148, -0.00405838, -0.00135197, -0.000421247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0509164, 0.0556649, 0.0580056, 0.0609667, 0.0645152, 0.118357, 0.175438, 0.210922, 0.22296, 0.247034, 0.260368, 0.287037, 0.373323, 0.39791, 0.42934, 0.455469, 0.475547, 0.507729, 0.53548, 0.572482, 0.604871, 0.648789, 0.707346, 0.767821, 0.828297, 0.949248");
-            values ( \
-              "-0.250752, -0.285046, -0.290884, -0.293537, -0.294404, -0.289159, -0.280906, -0.273283, -0.269679, -0.260151, -0.252462, -0.230487, -0.138001, -0.115195, -0.0902409, -0.0730148, -0.0617845, -0.0469127, -0.0368643, -0.0265431, -0.019837, -0.0133349, -0.00774324, -0.00438712, -0.00249037, -0.000798877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0511501, 0.0563444, 0.0615862, 0.0693862, 0.146462, 0.239191, 0.317782, 0.365309, 0.402576, 0.449038, 0.509513, 0.646066, 0.742016, 0.830608, 0.891084, 0.950933, 1.03335, 1.09382, 1.12878, 1.24973, 1.37068, 1.49163, 1.52746");
-            values ( \
-              "-0.263093, -0.29802, -0.305048, -0.305814, -0.301263, -0.29441, -0.286775, -0.280519, -0.273823, -0.261273, -0.233726, -0.152636, -0.104894, -0.0719187, -0.0550426, -0.0417571, -0.0284175, -0.0214689, -0.0180384, -0.010042, -0.00554931, -0.00304406, -0.00277619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0773518, 0.0808799, 0.083441, 0.090759, 0.0989466, 0.104084, 0.109079, 0.112608, 0.114194, 0.118906, 0.124898, 0.133658, 0.138972, 0.144476, 0.150279, 0.158401, 0.164633, 0.17474, 0.202471, 0.22361");
-            values ( \
-              "-0.00453844, -0.0698938, -0.0839255, -0.105687, -0.123822, -0.131045, -0.131915, -0.126778, -0.125884, -0.109324, -0.0827118, -0.0529221, -0.0392739, -0.0284389, -0.0199983, -0.0120241, -0.00807854, -0.00415216, -0.000452086, -0.00032304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.0790968, 0.0831572, 0.0864756, 0.0960588, 0.0995425, 0.106959, 0.112649, 0.113947, 0.116543, 0.118638, 0.124112, 0.127784, 0.134962, 0.141416, 0.146309, 0.14981, 0.160228, 0.165775, 0.17516, 0.178865, 0.182933, 0.196064, 0.204471, 0.221647, 0.24327, 0.269534");
-            values ( \
-              "-0.0209173, -0.106498, -0.126491, -0.158434, -0.166859, -0.181029, -0.185985, -0.189845, -0.190336, -0.191528, -0.184133, -0.173771, -0.148025, -0.121177, -0.10308, -0.0911542, -0.0612193, -0.0489836, -0.0329596, -0.0281083, -0.0235459, -0.0130619, -0.00893387, -0.00397644, -0.00159694, -0.000186879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0789553, 0.0907649, 0.0976341, 0.108611, 0.116258, 0.120516, 0.130017, 0.137691, 0.142074, 0.15084, 0.159051, 0.182739, 0.197416, 0.21455, 0.224617, 0.238455, 0.246731, 0.260639, 0.276569, 0.289234, 0.314563, 0.330634");
-            values ( \
-              "-0.0426471, -0.172127, -0.196861, -0.222857, -0.236267, -0.23886, -0.23635, -0.23182, -0.228039, -0.216535, -0.19855, -0.129485, -0.0927486, -0.0603607, -0.0462255, -0.0317529, -0.025231, -0.0170204, -0.0108323, -0.00751119, -0.00351408, -0.00246943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.083209, 0.0895847, 0.0969013, 0.102229, 0.115041, 0.118046, 0.120865, 0.126299, 0.144767, 0.15864, 0.173004, 0.182809, 0.202232, 0.214659, 0.260753, 0.285555, 0.299704, 0.315119, 0.335672, 0.35193, 0.363661, 0.387122, 0.416766, 0.44033, 0.487456, 0.547932, 0.608407");
-            values ( \
-              "-0.125195, -0.18761, -0.219315, -0.236471, -0.264476, -0.269411, -0.271323, -0.271587, -0.26785, -0.264214, -0.259048, -0.254058, -0.238079, -0.220278, -0.13497, -0.0964839, -0.0786318, -0.0624057, -0.0453212, -0.035039, -0.0290075, -0.0197395, -0.0121151, -0.00815065, -0.00356117, -0.00115871, -0.000387319" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0830524, 0.0945316, 0.106525, 0.117895, 0.123882, 0.157527, 0.191664, 0.241489, 0.271167, 0.299522, 0.328729, 0.420558, 0.455824, 0.500239, 0.531571, 0.565175, 0.584227, 0.622331, 0.65727, 0.710742, 0.771218, 0.831693, 0.866803");
-            values ( \
-              "-0.116999, -0.22469, -0.265193, -0.289805, -0.292548, -0.289222, -0.284661, -0.275407, -0.26657, -0.252525, -0.227893, -0.130209, -0.0996965, -0.0697044, -0.0535044, -0.040052, -0.0338966, -0.0241238, -0.0176284, -0.0108418, -0.00616289, -0.00349381, -0.00261307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0882979, 0.112212, 0.117573, 0.126731, 0.282712, 0.37156, 0.416946, 0.447391, 0.488101, 0.548577, 0.685124, 0.781083, 0.869645, 0.93012, 0.989992, 1.07241, 1.13289, 1.2283, 1.28878, 1.40973, 1.53068, 1.57469");
-            values ( \
-              "-0.268233, -0.287952, -0.301872, -0.304749, -0.293999, -0.285007, -0.278443, -0.272533, -0.261313, -0.233674, -0.152687, -0.104942, -0.0719765, -0.0549954, -0.0418068, -0.0284662, -0.0214176, -0.0135768, -0.010092, -0.00559902, -0.0030935, -0.00275012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.136801, 0.142365, 0.149554, 0.153225, 0.163208, 0.171511, 0.17886, 0.185676, 0.192502, 0.199306, 0.203426, 0.213072, 0.221684, 0.226368, 0.231721, 0.235305, 0.239723, 0.245613, 0.248925, 0.250899, 0.253001, 0.265455, 0.274009, 0.287574, 0.308719");
-            values ( \
-              "-0.0155607, -0.0351123, -0.0515593, -0.0580123, -0.0731547, -0.0847141, -0.0937832, -0.0983942, -0.0941514, -0.0838621, -0.0754924, -0.0534218, -0.0359542, -0.0283596, -0.0212625, -0.0174334, -0.0135646, -0.00959262, -0.00788245, -0.0080344, -0.00765782, -0.00370816, -0.00212948, -0.00084471, -0.000165143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.13616, 0.15153, 0.159432, 0.17207, 0.188451, 0.19189, 0.198767, 0.204181, 0.209161, 0.217805, 0.236093, 0.247188, 0.258714, 0.269145, 0.27445, 0.284912, 0.290904, 0.302887, 0.324915, 0.350617");
-            values ( \
-              "-0.0110264, -0.0728438, -0.090287, -0.11391, -0.140365, -0.144592, -0.151024, -0.151411, -0.146839, -0.129045, -0.0789954, -0.0531818, -0.0357098, -0.0228235, -0.0180733, -0.0113316, -0.0086561, -0.00498011, -0.00166876, -0.000439564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.13975, 0.150451, 0.158483, 0.179826, 0.198928, 0.208551, 0.213255, 0.222664, 0.225503, 0.231183, 0.23777, 0.251324, 0.2711, 0.283818, 0.294281, 0.305832, 0.319356, 0.338945, 0.351279, 0.371491, 0.393943, 0.429299, 0.474087");
-            values ( \
-              "-0.0122373, -0.0825533, -0.106723, -0.153672, -0.187539, -0.200041, -0.204476, -0.209387, -0.209167, -0.207386, -0.200707, -0.174855, -0.119558, -0.0889306, -0.0684793, -0.0506377, -0.0350819, -0.0202272, -0.0142199, -0.00787566, -0.00433284, -0.00115401, -0.000481741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.144218, 0.157138, 0.168653, 0.1839, 0.204742, 0.215436, 0.22922, 0.248933, 0.254814, 0.261537, 0.268329, 0.281914, 0.288565, 0.29893, 0.311202, 0.340064, 0.351033, 0.36357, 0.38087, 0.394611, 0.412932, 0.424995, 0.434847, 0.447983, 0.472984, 0.490426, 0.502213, 0.525788, 0.572937, 0.633412, 0.693888");
-            values ( \
-              "-0.0408113, -0.113711, -0.145799, -0.180854, -0.220848, -0.235722, -0.248293, -0.256605, -0.258248, -0.257657, -0.254112, -0.243941, -0.236899, -0.222299, -0.200305, -0.145826, -0.126899, -0.107151, -0.0837801, -0.0683521, -0.0515418, -0.0426977, -0.0365264, -0.0295496, -0.019613, -0.0147034, -0.0121223, -0.00813898, -0.00356712, -0.00114906, -0.000395712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.150304, 0.182974, 0.205692, 0.224638, 0.243157, 0.258222, 0.277548, 0.312343, 0.347271, 0.371391, 0.38545, 0.413568, 0.488857, 0.541848, 0.586039, 0.617212, 0.651182, 0.670443, 0.708965, 0.74324, 0.795736, 0.856212, 0.916688, 0.957139");
-            values ( \
-              "-0.109665, -0.19128, -0.238086, -0.264318, -0.278853, -0.286977, -0.28464, -0.278683, -0.27009, -0.260439, -0.252507, -0.229001, -0.147516, -0.0996073, -0.0697675, -0.0536365, -0.0400171, -0.0338118, -0.0239638, -0.0176155, -0.0109307, -0.00621933, -0.00352044, -0.00250162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.158933, 0.189927, 0.204187, 0.225114, 0.239847, 0.259678, 0.369165, 0.446711, 0.503379, 0.563854, 0.596195, 0.639315, 0.780429, 0.8291, 0.889576, 0.930002, 0.995444, 1.04697, 1.08143, 1.12738, 1.18786, 1.23722, 1.34119, 1.40167, 1.46214, 1.58309, 1.70404, 1.88547");
-            values ( \
-              "-0.194356, -0.215592, -0.244543, -0.276547, -0.289519, -0.301863, -0.293971, -0.286351, -0.27846, -0.264801, -0.253011, -0.231169, -0.147768, -0.122583, -0.0957275, -0.0806101, -0.060448, -0.0478626, -0.040871, -0.0330145, -0.0248209, -0.0196361, -0.011929, -0.00890543, -0.00663799, -0.00368221, -0.00203894, -0.000840649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.248815, 0.27724, 0.287467, 0.304214, 0.317493, 0.328954, 0.339555, 0.350164, 0.350405, 0.352331, 0.358933, 0.365618, 0.373177, 0.389911, 0.400318, 0.410907, 0.418835, 0.425043, 0.435311, 0.449002, 0.470789, 0.497097, 0.530832, 0.550112, 0.560669, 0.574346");
-            values ( \
-              "-0.00153889, -0.0239359, -0.0329753, -0.0450252, -0.053802, -0.0604858, -0.0631148, -0.0601051, -0.0604484, -0.0602767, -0.0590685, -0.056204, -0.0495733, -0.0291193, -0.0187799, -0.0114745, -0.00774734, -0.00566593, -0.0033397, -0.00159931, -0.000468633, -0.000130633, -4.73183e-05, -3.15079e-05, -0.000511066, -0.000370332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.260167, 0.275163, 0.282647, 0.296775, 0.333783, 0.347941, 0.360887, 0.373544, 0.386167, 0.388913, 0.392052, 0.398329, 0.417046, 0.427723, 0.435252, 0.441332, 0.4485, 0.458057, 0.466588, 0.480347, 0.498692, 0.52673, 0.550124, 0.561389, 0.588869");
-            values ( \
-              "-0.0208061, -0.030854, -0.0377044, -0.0534732, -0.0855283, -0.0963155, -0.102758, -0.101367, -0.0965082, -0.0944667, -0.0913085, -0.0829031, -0.0524644, -0.0376414, -0.0291507, -0.0234954, -0.0180629, -0.0125342, -0.00899242, -0.00519225, -0.00239593, -0.000661104, -0.000254045, -0.000926718, -0.00026432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.267771, 0.287959, 0.304844, 0.325355, 0.360038, 0.378557, 0.395371, 0.411677, 0.427915, 0.432452, 0.437639, 0.464167, 0.474507, 0.484973, 0.498928, 0.503992, 0.513767, 0.523033, 0.536137, 0.550181, 0.557137, 0.572499, 0.588085, 0.604412, 0.637067, 0.688838");
-            values ( \
-              "-0.0422731, -0.0522589, -0.0729743, -0.09469, -0.128639, -0.144834, -0.155715, -0.156257, -0.143574, -0.137824, -0.129761, -0.0833584, -0.067215, -0.0531255, -0.037924, -0.0334471, -0.0260623, -0.0204566, -0.0143616, -0.00978296, -0.00925082, -0.00625365, -0.00398304, -0.00246262, -0.000887588, -0.000126682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.275208, 0.30106, 0.325237, 0.352657, 0.371014, 0.400343, 0.425925, 0.449559, 0.472815, 0.497695, 0.550169, 0.574281, 0.584617, 0.596953, 0.613072, 0.626871, 0.642209, 0.664039, 0.686728, 0.704105, 0.738859, 0.799335, 0.85981");
-            values ( \
-              "-0.0615236, -0.0755389, -0.105831, -0.136265, -0.155578, -0.184435, -0.204828, -0.216251, -0.212952, -0.187124, -0.107873, -0.0784381, -0.0672491, -0.0557075, -0.0432824, -0.0347697, -0.0271516, -0.0189625, -0.0130554, -0.00977775, -0.00539125, -0.00177241, -0.000578291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.284532, 0.31511, 0.36753, 0.4193, 0.461652, 0.500077, 0.537401, 0.558485, 0.570296, 0.584398, 0.616714, 0.684515, 0.741873, 0.777874, 0.80693, 0.840328, 0.895515, 0.932524, 0.989023, 1.0495, 1.10997, 1.13577");
-            values ( \
-              "-0.0912316, -0.0990708, -0.162435, -0.215785, -0.247203, -0.261656, -0.264182, -0.26095, -0.255617, -0.245812, -0.214685, -0.141415, -0.0917347, -0.0684322, -0.053576, -0.0401493, -0.0246155, -0.0176529, -0.0105522, -0.00601711, -0.00339112, -0.00299823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.284828, 0.416021, 0.465748, 0.492702, 0.546611, 0.560252, 0.626328, 0.694445, 0.765702, 0.830387, 0.971557, 1.0202, 1.08068, 1.12103, 1.18645, 1.27253, 1.31855, 1.37902, 1.42831, 1.53208, 1.59256, 1.77399, 1.83471");
-            values ( \
-              "-0.0744316, -0.220565, -0.262073, -0.275783, -0.291383, -0.293796, -0.287637, -0.278448, -0.261271, -0.231156, -0.147742, -0.122558, -0.0957203, -0.0806287, -0.0604689, -0.0408628, -0.0330022, -0.0248064, -0.0196362, -0.0119365, -0.00891559, -0.00368291, -0.00311277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.503296, 0.522834, 0.533566, 0.545007, 0.562242, 0.569409, 0.596014, 0.62011, 0.630954, 0.640372, 0.657823, 0.675062, 0.676067, 0.680088, 0.685448, 0.693055, 0.70827, 0.7113, 0.717359, 0.745398, 0.752881, 0.759214, 0.766642, 0.77746, 0.783182, 0.792136, 0.804074, 0.82795, 0.860607, 0.902031, 0.956871, 1.07782, 1.21596, 1.23069");
-            values ( \
-              "-0.00558009, -0.00784546, -0.00852351, -0.0104971, -0.0144272, -0.0171694, -0.0254255, -0.0322232, -0.0350848, -0.0371662, -0.0380404, -0.036545, -0.0368803, -0.037155, -0.0372247, -0.0371395, -0.0352016, -0.0342705, -0.03166, -0.0153958, -0.0119486, -0.00954283, -0.00725604, -0.00482038, -0.00388834, -0.00278451, -0.00178703, -0.000750526, -0.000266199, -0.000114002, -4.8629e-05, -1.44163e-05, -7.24036e-06, -0.000203284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.503307, 0.529828, 0.543086, 0.555285, 0.574746, 0.58313, 0.608306, 0.639615, 0.667793, 0.688279, 0.708325, 0.728357, 0.749204, 0.7818, 0.800335, 0.810145, 0.823226, 0.836118, 0.845154, 0.863225, 0.896686, 0.935948, 1.16763, 1.21598, 1.23739");
-            values ( \
-              "-0.00645976, -0.0129845, -0.0148721, -0.0182462, -0.0248234, -0.0290231, -0.0393521, -0.0514333, -0.0610854, -0.0647854, -0.0639074, -0.0650482, -0.0582077, -0.0314575, -0.0189767, -0.014218, -0.00953201, -0.00630133, -0.00474865, -0.00257445, -0.000809191, -0.000210796, -1e-22, -2.95775e-05, -0.000291131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.51753, 0.560039, 0.571958, 0.649728, 0.683387, 0.711866, 0.737462, 0.761926, 0.78628, 0.810622, 0.848375, 0.86608, 0.877678, 0.898242, 0.914408, 0.932262, 0.946148, 0.97392, 1.02033, 1.07616, 1.13664, 1.21591, 1.23132");
-            values ( \
-              "-0.0183952, -0.0256428, -0.0300346, -0.0676027, -0.0829871, -0.0951641, -0.102725, -0.104396, -0.103801, -0.0901726, -0.0525747, -0.037692, -0.0296924, -0.0190541, -0.0131353, -0.00866316, -0.00622906, -0.00309187, -0.000875977, -0.00018371, -6.911e-05, -3.38389e-05, -0.000483624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.533283, 0.580329, 0.597445, 0.677703, 0.736922, 0.772215, 0.794991, 0.813754, 0.84591, 0.878052, 0.886771, 0.895771, 0.91481, 0.962309, 0.980216, 1.00409, 1.0141, 1.03275, 1.05408, 1.07209, 1.09057, 1.11521, 1.16449, 1.21576, 1.23744, 1.29521");
-            values ( \
-              "-0.0346106, -0.0395491, -0.0477388, -0.0908662, -0.120434, -0.136902, -0.14638, -0.152638, -0.157408, -0.149952, -0.144852, -0.137789, -0.119833, -0.0735924, -0.0590953, -0.0431196, -0.0375929, -0.0289002, -0.0211523, -0.0161482, -0.0121962, -0.00830691, -0.00366786, -0.00153279, -0.00170801, -0.000625725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.546937, 0.608162, 0.676272, 0.768766, 0.829903, 0.882234, 0.907372, 0.929795, 0.975586, 1.02139, 1.02772, 1.05302, 1.12726, 1.16769, 1.1883, 1.21583, 1.24836, 1.27636, 1.30166, 1.33762, 1.35136, 1.37886, 1.43385, 1.49432, 1.5548, 1.61527, 1.67575");
-            values ( \
-              "-0.0453275, -0.0579255, -0.0964027, -0.145232, -0.17538, -0.197996, -0.206928, -0.212904, -0.214923, -0.194151, -0.189877, -0.170334, -0.109775, -0.0819484, -0.0699096, -0.0560592, -0.0434646, -0.034038, -0.0271992, -0.0196895, -0.0173988, -0.0135642, -0.00814766, -0.0046258, -0.00261795, -0.00148212, -0.00083642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.562488, 0.619828, 0.643355, 0.738346, 0.81126, 0.888727, 0.934447, 0.959071, 1.00018, 1.03626, 1.09674, 1.10992, 1.13629, 1.18335, 1.21574, 1.23365, 1.25797, 1.32341, 1.3868, 1.41878, 1.47926, 1.51948, 1.56229, 1.61936, 1.65878, 1.68797, 1.72688, 1.7731, 1.83357, 1.86373, 1.92406, 1.98453, 2.04501, 2.10548, 2.16596, 2.22643, 2.34739, 2.52881");
-            values ( \
-              "-0.0664183, -0.0679759, -0.0806195, -0.134418, -0.173048, -0.210652, -0.229833, -0.238792, -0.251043, -0.258588, -0.264534, -0.264598, -0.26379, -0.256927, -0.24705, -0.239374, -0.225918, -0.186283, -0.149416, -0.132432, -0.103919, -0.0877987, -0.0729859, -0.0566079, -0.047331, -0.0414065, -0.0345801, -0.027838, -0.0208997, -0.0181, -0.0135553, -0.0101153, -0.00755266, -0.00562125, -0.00419427, -0.0031149, -0.00172276, -0.000714842" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00328987, 0.00329708, 0.00330401, 0.00330937, 0.00331286, 0.0033149", \
-            "0.00384421, 0.00385057, 0.00385778, 0.0038642, 0.00386885, 0.00387174", \
-            "0.00416961, 0.0041715, 0.00417476, 0.00417887, 0.00418263, 0.00418533", \
-            "0.00435281, 0.00435035, 0.00434797, 0.00434656, 0.00434641, 0.00434692", \
-            "0.0044536, 0.00444995, 0.00444427, 0.00443844, 0.0044339, 0.00443126", \
-            "0.00450538, 0.00450087, 0.00449432, 0.00448604, 0.0044777, 0.00447093" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0044789, 0.00448936, 0.00450043, 0.00450953, 0.00451609, 0.00452005", \
-            "0.00470295, 0.00469676, 0.00469339, 0.00469255, 0.00469319, 0.00469322", \
-            "0.00473922, 0.00470453, 0.00466839, 0.00463828, 0.00462479, 0.00461357", \
-            "0.00485738, 0.00477135, 0.00468603, 0.0046184, 0.00456976, 0.00453473", \
-            "0.00521957, 0.00504878, 0.00486298, 0.00470449, 0.00459315, 0.00452214", \
-            "0.00642365, 0.00580375, 0.00527781, 0.00499135, 0.00475907, 0.00460755" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A1";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0759124, 0.0919796, 0.123077, 0.183626, 0.302137, 0.534745", \
-            "0.0818416, 0.097985, 0.129193, 0.189857, 0.308461, 0.541131", \
-            "0.0952369, 0.111376, 0.142624, 0.203343, 0.32215, 0.554936", \
-            "0.123096, 0.140378, 0.171799, 0.23263, 0.351577, 0.584503", \
-            "0.169158, 0.192075, 0.231235, 0.297088, 0.416421, 0.649779", \
-            "0.245923, 0.278007, 0.331707, 0.418188, 0.557611, 0.79417" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0615793, 0.0839951, 0.127902, 0.214033, 0.382967, 0.71477", \
-            "0.0616095, 0.0840199, 0.12794, 0.214032, 0.382967, 0.71477", \
-            "0.0620915, 0.0842156, 0.127952, 0.214042, 0.382969, 0.714782", \
-            "0.0698342, 0.0897618, 0.130519, 0.214252, 0.382961, 0.71477", \
-            "0.0961353, 0.115909, 0.152356, 0.226685, 0.385657, 0.714781", \
-            "0.143772, 0.167694, 0.209858, 0.28433, 0.424129, 0.72693" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0500296, 0.0602694, 0.0796716, 0.116844, 0.188933, 0.32986", \
-            "0.0541162, 0.064404, 0.0838726, 0.12105, 0.193225, 0.334187", \
-            "0.0627002, 0.0730303, 0.0927297, 0.130106, 0.202342, 0.343453", \
-            "0.0771618, 0.0898243, 0.111778, 0.150134, 0.222717, 0.36398", \
-            "0.0901274, 0.107937, 0.138039, 0.186975, 0.266732, 0.409036", \
-            "0.0886466, 0.114118, 0.157423, 0.227161, 0.335415, 0.503189" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0392449, 0.0516247, 0.0762138, 0.125045, 0.221428, 0.411773", \
-            "0.0391102, 0.0515691, 0.0761978, 0.12503, 0.221428, 0.411653", \
-            "0.0403843, 0.052081, 0.0762327, 0.124998, 0.221421, 0.411645", \
-            "0.0516664, 0.0626281, 0.0836606, 0.128245, 0.221686, 0.411655", \
-            "0.0757046, 0.0891153, 0.112482, 0.154644, 0.236591, 0.414776", \
-            "0.11686, 0.135225, 0.166378, 0.217562, 0.303579, 0.460298" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0412675, 0.0448624, 0.047628, 0.052051, 0.058553, 0.0607027, 0.0694025, 0.0831222, 0.0874752, 0.0969806, 0.101777, 0.107626, 0.116076, 0.124687, 0.129382, 0.138772, 0.147178, 0.158172, 0.171682, 0.178416, 0.191884, 0.203809, 0.224051, 0.251041, 0.287975, 0.334146");
-            values ( \
-              "0.00342867, 0.0695595, 0.0726367, 0.0755917, 0.0759948, 0.0760176, 0.0746366, 0.0714903, 0.0704338, 0.0672332, 0.0628515, 0.054275, 0.0428753, 0.0338733, 0.0300071, 0.0230297, 0.0183289, 0.0134754, 0.00916604, 0.00766878, 0.00507107, 0.00357464, 0.00195077, 0.000931475, 0.000224341, 0.000120722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0415349, 0.0459199, 0.0495822, 0.0554522, 0.067047, 0.0813025, 0.103511, 0.116669, 0.121743, 0.147351, 0.158633, 0.173677, 0.194962, 0.209572, 0.22007, 0.242673, 0.255287, 0.274311, 0.299677, 0.339239");
-            values ( \
-              "0.01433, 0.10329, 0.108148, 0.111427, 0.110672, 0.107327, 0.100758, 0.0948267, 0.0905229, 0.0580315, 0.0466945, 0.0344084, 0.0220279, 0.0159614, 0.0126472, 0.00755748, 0.00566374, 0.00365164, 0.00200244, 0.000812086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0433759, 0.0475909, 0.0529589, 0.0569904, 0.0616627, 0.0703397, 0.0791163, 0.0976739, 0.115436, 0.140367, 0.151875, 0.160829, 0.172768, 0.204133, 0.213144, 0.225158, 0.246453, 0.262087, 0.272904, 0.29279, 0.310898, 0.335042, 0.350427, 0.368148, 0.391777, 0.439034, 0.506178, 0.587738");
-            values ( \
-              "0.102821, 0.137866, 0.144344, 0.145786, 0.146288, 0.145345, 0.143832, 0.139587, 0.134982, 0.12723, 0.122074, 0.116416, 0.105758, 0.0742951, 0.066641, 0.0573567, 0.042655, 0.0341997, 0.0293082, 0.0215502, 0.0162873, 0.0112125, 0.00865795, 0.00650664, 0.00453272, 0.00194615, 0.000665236, 7.89411e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0445944, 0.0548292, 0.0590252, 0.0662142, 0.0733275, 0.102348, 0.131543, 0.163048, 0.195197, 0.211548, 0.229309, 0.257141, 0.312484, 0.324763, 0.341134, 0.373877, 0.392574, 0.41333, 0.441005, 0.459904, 0.473846, 0.492435, 0.529613, 0.557806, 0.601415, 0.659561, 0.743753, 0.912137");
-            values ( \
-              "0.172305, 0.172551, 0.174006, 0.174388, 0.173784, 0.1695, 0.164513, 0.158505, 0.151285, 0.146605, 0.140007, 0.125332, 0.0886855, 0.0812163, 0.0719327, 0.054938, 0.046847, 0.0389714, 0.030357, 0.0252883, 0.0221485, 0.0186409, 0.0128083, 0.00967717, 0.00623089, 0.00350982, 0.00133642, 0.000177212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0443705, 0.0549453, 0.0582283, 0.0701701, 0.147402, 0.236389, 0.295251, 0.342896, 0.374886, 0.421447, 0.544722, 0.628757, 0.703906, 0.756445, 0.804579, 0.873338, 0.931967, 1.00178, 1.08597, 1.25435, 1.29468");
-            values ( \
-              "0.179598, 0.191074, 0.192622, 0.193681, 0.186449, 0.176467, 0.16871, 0.16049, 0.152981, 0.138454, 0.0906505, 0.0624249, 0.0431159, 0.0327827, 0.0252927, 0.0173066, 0.0124535, 0.00837316, 0.0050985, 0.00188573, 0.00165608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0484056, 0.0484256, 0.175288, 0.320226, 0.459256, 0.546384, 0.630576, 0.720172, 0.789735, 0.977288, 1.09506, 1.17925, 1.24253, 1.31276, 1.43398, 1.50804, 1.58189, 1.66608, 1.76174, 1.89966, 2.06804, 2.23643, 2.489, 2.74158");
-            values ( \
-              "1e-22, 0.217496, 0.200011, 0.191217, 0.18171, 0.174527, 0.165108, 0.150917, 0.137001, 0.0961704, 0.0730679, 0.0589116, 0.0497515, 0.0409983, 0.0289583, 0.0232398, 0.0186586, 0.0144132, 0.0107853, 0.0070385, 0.00416184, 0.00245732, 0.00108402, 0.000513695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0617958, 0.0643105, 0.0717294, 0.080455, 0.0891825, 0.102656, 0.116787, 0.121121, 0.135098, 0.148514, 0.164421, 0.172031, 0.189228, 0.203125, 0.21725, 0.248889, 0.277222, 0.285406");
-            values ( \
-              "0.0385284, 0.0659255, 0.074346, 0.0756675, 0.0745177, 0.0715417, 0.0671555, 0.0632944, 0.0438473, 0.0304257, 0.0196894, 0.0159727, 0.00982078, 0.0066173, 0.00434577, 0.00174116, 0.00071383, 0.000651066" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0608037, 0.0655542, 0.0723222, 0.0752951, 0.0811957, 0.0869699, 0.0986188, 0.11089, 0.123438, 0.1366, 0.143548, 0.162145, 0.18015, 0.203243, 0.217192, 0.23442, 0.259822, 0.27485, 0.300104, 0.333776, 0.352496");
-            values ( \
-              "0.00987067, 0.0986193, 0.108487, 0.109963, 0.110854, 0.110352, 0.108009, 0.104624, 0.100748, 0.0949097, 0.0885005, 0.0638525, 0.0453271, 0.0282407, 0.0209436, 0.0143171, 0.00802573, 0.00568699, 0.00315891, 0.00142559, 0.00102176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.060666, 0.0674783, 0.0729684, 0.0750266, 0.0784214, 0.0817329, 0.0883559, 0.0992126, 0.116737, 0.135533, 0.151551, 0.161028, 0.174928, 0.192071, 0.197759, 0.224498, 0.23282, 0.243916, 0.265599, 0.283529, 0.296131, 0.31376, 0.329455, 0.35038, 0.369019, 0.394794, 0.429161, 0.486565, 0.558782, 0.642974");
-            values ( \
-              "0.0153355, 0.133659, 0.142512, 0.143922, 0.145207, 0.145669, 0.145479, 0.14376, 0.139852, 0.13498, 0.130277, 0.127017, 0.120457, 0.106813, 0.100521, 0.0740765, 0.0669657, 0.0584772, 0.0431715, 0.0334991, 0.0280391, 0.0212355, 0.0166555, 0.012161, 0.00883887, 0.00580913, 0.0034578, 0.00112941, 0.000441961, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0635931, 0.0703819, 0.0750293, 0.0791889, 0.0864323, 0.0935422, 0.107762, 0.122549, 0.151745, 0.18325, 0.215399, 0.23175, 0.249511, 0.277307, 0.332685, 0.344965, 0.361337, 0.394081, 0.412776, 0.433528, 0.461197, 0.480103, 0.494049, 0.512644, 0.549834, 0.578005, 0.621582, 0.679685, 0.763877, 0.932261");
-            values ( \
-              "0.128608, 0.164864, 0.1709, 0.173065, 0.174098, 0.173669, 0.171854, 0.169486, 0.164528, 0.158505, 0.151285, 0.146605, 0.140007, 0.125354, 0.0886863, 0.0812168, 0.0719317, 0.0549373, 0.0468478, 0.0389734, 0.0303593, 0.0252895, 0.0221486, 0.0186393, 0.0128062, 0.00967767, 0.00623338, 0.00351242, 0.00133784, 0.000177662" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0671827, 0.0672027, 0.141409, 0.237727, 0.315616, 0.363239, 0.395229, 0.441727, 0.565065, 0.649105, 0.724242, 0.824925, 0.893689, 0.952313, 1.02211, 1.1063, 1.27468, 1.27957");
-            values ( \
-              "1e-22, 0.205684, 0.189123, 0.178722, 0.168708, 0.160491, 0.15298, 0.138477, 0.0906493, 0.0624225, 0.0431164, 0.0252914, 0.0173049, 0.0124542, 0.00837472, 0.00509803, 0.00188501, 0.00185719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0715986, 0.0716186, 0.207091, 0.356041, 0.479652, 0.566749, 0.650941, 0.740537, 0.8101, 0.997654, 1.11543, 1.19962, 1.26289, 1.33312, 1.45434, 1.52841, 1.60226, 1.68645, 1.83582, 1.92002, 2.0884, 2.25678, 2.50936, 2.76194");
-            values ( \
-              "1e-22, 0.225374, 0.199311, 0.190224, 0.181737, 0.174555, 0.165081, 0.150893, 0.137023, 0.0961865, 0.073083, 0.0588961, 0.0497377, 0.0410133, 0.0289727, 0.0232252, 0.0186727, 0.0143989, 0.00909129, 0.00705329, 0.0041766, 0.00247209, 0.00106927, 0.00052858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.096954, 0.108803, 0.113768, 0.118509, 0.1228, 0.127306, 0.133378, 0.142405, 0.151417, 0.156686, 0.161103, 0.169936, 0.1718, 0.182984, 0.189323, 0.199969, 0.211278, 0.225923, 0.23549, 0.248074, 0.266747, 0.291809, 0.318542, 0.35662, 0.40445");
-            values ( \
-              "0.00181258, 0.0535281, 0.0611068, 0.0662238, 0.0698733, 0.0721206, 0.0731284, 0.072276, 0.0703602, 0.0689564, 0.067071, 0.0577125, 0.0541855, 0.0393798, 0.033411, 0.0249915, 0.0183248, 0.0121289, 0.00924113, 0.00641615, 0.00369483, 0.00175433, 0.000847086, 0.000193714, 0.000105461" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.0970185, 0.111423, 0.115452, 0.121369, 0.126486, 0.131016, 0.137073, 0.142979, 0.160984, 0.167685, 0.180848, 0.18784, 0.206297, 0.224495, 0.236736, 0.247493, 0.261135, 0.278663, 0.287288, 0.304539, 0.319131, 0.343725, 0.376517, 0.423261, 0.480759");
-            values ( \
-              "0.00609606, 0.0833917, 0.0918765, 0.100542, 0.105389, 0.10743, 0.108083, 0.10741, 0.102874, 0.100741, 0.0948671, 0.088425, 0.0639689, 0.0452375, 0.0352571, 0.0282478, 0.0210918, 0.0143243, 0.0118379, 0.00794303, 0.00568628, 0.00321016, 0.00147653, 0.000436025, 0.000123021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.100397, 0.108924, 0.117861, 0.125517, 0.131297, 0.137479, 0.143382, 0.155187, 0.179733, 0.198905, 0.219154, 0.229525, 0.271319, 0.295893, 0.327965, 0.347179, 0.369457, 0.409111, 0.440781, 0.466542, 0.518064, 0.530101");
-            values ( \
-              "0.0169027, 0.102049, 0.124937, 0.137483, 0.141882, 0.143313, 0.143117, 0.141122, 0.134977, 0.129262, 0.120365, 0.112991, 0.0720143, 0.0525784, 0.0334864, 0.0251745, 0.0178801, 0.00951717, 0.00572891, 0.00378692, 0.00154513, 0.0014315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.100411, 0.112688, 0.114071, 0.122862, 0.128446, 0.135132, 0.137745, 0.142971, 0.153422, 0.166838, 0.196637, 0.227536, 0.25371, 0.271654, 0.293801, 0.320932, 0.376977, 0.389271, 0.405662, 0.438445, 0.457073, 0.477751, 0.50532, 0.524356, 0.5384, 0.557124, 0.594573, 0.622323, 0.665268, 0.722527, 0.806719, 0.975103");
-            values ( \
-              "0.0312395, 0.131177, 0.136888, 0.15929, 0.167196, 0.171361, 0.171906, 0.172269, 0.171464, 0.169501, 0.164402, 0.158499, 0.152777, 0.147978, 0.140032, 0.125745, 0.0886912, 0.081207, 0.0719201, 0.054902, 0.0468418, 0.0389936, 0.0304153, 0.0252941, 0.0221308, 0.0186134, 0.0127424, 0.00966826, 0.0062653, 0.00357332, 0.00135252, 0.000174086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.107434, 0.123962, 0.134823, 0.14413, 0.155791, 0.187706, 0.300373, 0.361609, 0.407694, 0.439663, 0.486296, 0.609498, 0.693526, 0.768688, 0.821237, 0.869345, 0.938094, 0.996726, 1.06655, 1.15074, 1.31913, 1.36239");
-            values ( \
-              "0.170614, 0.178485, 0.190319, 0.192385, 0.192034, 0.188926, 0.176563, 0.168478, 0.160487, 0.152979, 0.138432, 0.0906485, 0.0624249, 0.0431123, 0.0327829, 0.025292, 0.0173064, 0.0124573, 0.00837581, 0.00509626, 0.00188342, 0.00163783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.114647, 0.114667, 0.289714, 0.400664, 0.553129, 0.611382, 0.695574, 0.785179, 0.854744, 1.0423, 1.16007, 1.24426, 1.30753, 1.37776, 1.49898, 1.57304, 1.64689, 1.73108, 1.88045, 1.96465, 2.13303, 2.30141, 2.55399, 2.80657");
-            values ( \
-              "1e-22, 0.217608, 0.197094, 0.190221, 0.17951, 0.174552, 0.165086, 0.150893, 0.137024, 0.096189, 0.0730859, 0.0588937, 0.049735, 0.0410166, 0.0289761, 0.0232226, 0.0186762, 0.0143961, 0.0090887, 0.00705655, 0.0041797, 0.00247508, 0.00106648, 0.000531377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.174253, 0.183844, 0.199656, 0.213861, 0.225898, 0.235329, 0.252799, 0.257964, 0.263123, 0.277833, 0.297057, 0.313598, 0.332809, 0.358894, 0.390021, 0.404122");
-            values ( \
-              "0.00186657, 0.0254709, 0.0408937, 0.0514145, 0.0575306, 0.0604424, 0.0634244, 0.0635293, 0.0607698, 0.0422213, 0.0251842, 0.016073, 0.00927008, 0.00439394, 0.00172806, 0.00140356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.174103, 0.18623, 0.205431, 0.214103, 0.22272, 0.23763, 0.248839, 0.25151, 0.256852, 0.262286, 0.264792, 0.269805, 0.278068, 0.289921, 0.30958, 0.323434, 0.34281, 0.368924, 0.384115, 0.410419, 0.417113, 0.4305, 0.457276, 0.499844, 0.616313");
-            values ( \
-              "0.00218875, 0.0403513, 0.0658628, 0.0750651, 0.0822996, 0.0906017, 0.0939176, 0.0958184, 0.0967044, 0.098771, 0.098234, 0.0980657, 0.0942736, 0.0829341, 0.0565638, 0.0434907, 0.0291995, 0.0164991, 0.011724, 0.00633023, 0.00582591, 0.00390798, 0.00239148, 0.000543217, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.174132, 0.190245, 0.199826, 0.215324, 0.227331, 0.238159, 0.258169, 0.263805, 0.275076, 0.296232, 0.316492, 0.325914, 0.368793, 0.394272, 0.41129, 0.424534, 0.442191, 0.46756, 0.482746, 0.507341, 0.536025, 0.558844, 0.604483, 0.673437, 0.75706");
-            values ( \
-              "0.00328225, 0.0596265, 0.0769852, 0.0995296, 0.112405, 0.120685, 0.132331, 0.134397, 0.134957, 0.12927, 0.120379, 0.113742, 0.0718105, 0.0519274, 0.040864, 0.0338065, 0.0261466, 0.0176205, 0.0140184, 0.00934164, 0.00589115, 0.00415955, 0.00184333, 0.000603622, 6.74799e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.18405, 0.196353, 0.21646, 0.23127, 0.256582, 0.26393, 0.271076, 0.281991, 0.293976, 0.340457, 0.356975, 0.391107, 0.413707, 0.486616, 0.53606, 0.57472, 0.60183, 0.635971, 0.655303, 0.713092, 0.759758, 0.813091, 0.897283, 0.91478");
-            values ( \
-              "0.0779685, 0.0840747, 0.119916, 0.138519, 0.160428, 0.164991, 0.166628, 0.166267, 0.164345, 0.155217, 0.151274, 0.140141, 0.12835, 0.0813267, 0.0549059, 0.0392407, 0.0305133, 0.022191, 0.0183427, 0.010347, 0.00655259, 0.0037276, 0.00156188, 0.00143023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.183975, 0.218962, 0.227905, 0.259685, 0.264121, 0.271719, 0.283496, 0.309726, 0.363096, 0.416887, 0.456736, 0.475932, 0.505205, 0.537205, 0.583046, 0.707045, 0.735075, 0.791135, 0.826816, 0.866149, 0.918593, 0.966942, 0.994185, 1.03576, 1.09433, 1.11754, 1.16395, 1.24814, 1.33233, 1.41652, 1.50071, 1.6691");
-            values ( \
-              "0.0685603, 0.136861, 0.149778, 0.183087, 0.186104, 0.188606, 0.188946, 0.186418, 0.180625, 0.174234, 0.16883, 0.165861, 0.16049, 0.152988, 0.138701, 0.0906578, 0.0805747, 0.0624169, 0.0525623, 0.0431412, 0.0328033, 0.0252949, 0.0218093, 0.0173045, 0.0124424, 0.0108989, 0.00837243, 0.00511093, 0.00312905, 0.00189531, 0.00116618, 0.000439815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.194979, 0.229537, 0.265209, 0.27302, 0.283937, 0.34709, 0.482637, 0.621704, 0.708792, 0.792984, 0.823454, 0.88258, 0.952143, 1.11386, 1.19137, 1.25747, 1.34166, 1.40493, 1.47516, 1.55935, 1.67044, 1.74429, 1.82848, 1.89727, 1.97784, 2.14623, 2.31461, 2.483, 2.65138, 2.90396");
-            values ( \
-              "0.15032, 0.161161, 0.199801, 0.202374, 0.202928, 0.199448, 0.191204, 0.181722, 0.174541, 0.165095, 0.160732, 0.150904, 0.137013, 0.101625, 0.0855637, 0.0730777, 0.0589027, 0.0497436, 0.0410082, 0.0321962, 0.0232316, 0.0186681, 0.0144049, 0.0116672, 0.00909762, 0.00537121, 0.00315521, 0.00184594, 0.00107522, 0.000522678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.327178, 0.347817, 0.371147, 0.39502, 0.413727, 0.429798, 0.444289, 0.458042, 0.471725, 0.472571, 0.474263, 0.477648, 0.483363, 0.49029, 0.491142, 0.492846, 0.496255, 0.503073, 0.522226, 0.531169, 0.550191, 0.551934, 0.580866, 0.589426, 0.60084, 0.623668, 0.660553, 0.704859, 0.761235");
-            values ( \
-              "0.00718328, 0.014153, 0.0231583, 0.0317892, 0.0382539, 0.0433839, 0.0470637, 0.0482951, 0.0423448, 0.0408625, 0.0406338, 0.0374653, 0.0344392, 0.0295875, 0.0297264, 0.0283068, 0.0269128, 0.0230636, 0.0151594, 0.0122474, 0.00755115, 0.00753118, 0.00389016, 0.00304771, 0.00218368, 0.00111036, 0.000345681, 9.5965e-05, 1.94505e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.327048, 0.345131, 0.356468, 0.408616, 0.430586, 0.449632, 0.467081, 0.484044, 0.500125, 0.524599, 0.546659, 0.574643, 0.587745, 0.598872, 0.613708, 0.632801, 0.644966, 0.669296, 0.712551, 0.763499, 0.827756");
-            values ( \
-              "0.00851521, 0.0200028, 0.0254986, 0.05329, 0.0639612, 0.0715156, 0.0759286, 0.0763857, 0.0680243, 0.0480466, 0.0332773, 0.0205223, 0.015503, 0.0121159, 0.00864893, 0.00559124, 0.00422645, 0.00238186, 0.000809273, 0.000227674, 5.07766e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.326974, 0.352109, 0.431178, 0.45877, 0.483215, 0.506307, 0.529208, 0.55012, 0.55372, 0.56092, 0.605368, 0.629499, 0.644704, 0.660922, 0.675105, 0.691374, 0.713067, 0.727978, 0.743558, 0.764332, 0.805879, 0.869751, 0.948828");
-            values ( \
-              "0.0095124, 0.0308136, 0.0843742, 0.0993797, 0.107886, 0.111505, 0.109951, 0.0990086, 0.0965227, 0.0911939, 0.0544256, 0.0390156, 0.0313471, 0.0245917, 0.0198053, 0.0153814, 0.0109187, 0.00858751, 0.00668424, 0.00480434, 0.0023682, 0.000788105, 0.000165764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.342794, 0.369793, 0.421051, 0.450012, 0.46671, 0.500107, 0.512691, 0.538849, 0.565166, 0.572203, 0.586277, 0.615872, 0.634887, 0.701956, 0.75226, 0.788839, 0.808768, 0.834933, 0.856398, 0.882321, 0.91901, 0.958329, 0.98865, 1.04929, 1.13348, 1.21768, 1.30187");
-            values ( \
-              "0.0476195, 0.0504124, 0.0923982, 0.114119, 0.124403, 0.138908, 0.142488, 0.147497, 0.15045, 0.150221, 0.147314, 0.135618, 0.124772, 0.0810954, 0.0542951, 0.0394998, 0.0330797, 0.0257651, 0.0209971, 0.016445, 0.0113197, 0.00764732, 0.00573133, 0.00297013, 0.00128069, 0.000447025, 0.00026407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.342594, 0.379132, 0.442715, 0.457886, 0.488226, 0.52253, 0.560363, 0.575707, 0.578596, 0.595931, 0.633811, 0.691413, 0.720687, 0.752687, 0.79915, 0.922522, 1.00656, 1.0423, 1.08169, 1.13422, 1.18237, 1.25113, 1.30975, 1.37952, 1.46371, 1.54791, 1.6321, 1.80048, 1.88467");
-            values ( \
-              "0.0431962, 0.0640548, 0.121359, 0.132994, 0.152241, 0.166675, 0.177788, 0.18016, 0.180191, 0.178649, 0.17403, 0.16585, 0.160504, 0.15297, 0.138501, 0.0906409, 0.0624141, 0.0525441, 0.0431099, 0.0327973, 0.0252852, 0.0172987, 0.0124637, 0.00838444, 0.00509179, 0.00314371, 0.001878, 0.000684178, 0.000455697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.363158, 0.41952, 0.453339, 0.492757, 0.514154, 0.549937, 0.563136, 0.579719, 0.595914, 0.714153, 0.869365, 0.924871, 1.00906, 1.09867, 1.16823, 1.35578, 1.47355, 1.55774, 1.62102, 1.69124, 1.77543, 1.81246, 1.88652, 1.96037, 2.04456, 2.1402, 2.27811, 2.44649, 2.61488, 2.86745, 3.12003");
-            values ( \
-              "0.0883063, 0.106811, 0.137537, 0.165602, 0.176265, 0.190448, 0.194826, 0.197978, 0.19775, 0.190209, 0.179309, 0.174535, 0.165102, 0.150909, 0.137009, 0.0961738, 0.0730708, 0.0589102, 0.0497514, 0.0410012, 0.0322038, 0.0289609, 0.0232393, 0.0186612, 0.0144124, 0.0107887, 0.00704194, 0.00416497, 0.00246032, 0.00108137, 0.000516782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.637642, 0.665561, 0.68337, 0.700213, 0.726465, 0.776705, 0.831713, 0.853771, 0.874295, 0.89536, 0.897664, 0.966544, 0.995736, 1.01438, 1.03806, 1.08229, 1.183, 1.21989");
-            values ( \
-              "0.00466139, 0.00473034, 0.00556259, 0.00692589, 0.0104871, 0.019448, 0.0281409, 0.0312464, 0.0326452, 0.0290072, 0.0290575, 0.0108344, 0.00608106, 0.00403968, 0.00230952, 0.000696383, 1e-22, 0.000184234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.63756, 0.672734, 0.693292, 0.710674, 0.738977, 0.796029, 0.859956, 0.885803, 0.909905, 0.933093, 0.937303, 0.986831, 1.01727, 1.04478, 1.07238, 1.09977, 1.15067, 1.2157, 1.22764, 1.24502, 1.28717, 1.38072");
-            values ( \
-              "0.00647339, 0.00818896, 0.0101577, 0.0126171, 0.0187785, 0.0331622, 0.0473623, 0.0523091, 0.0546442, 0.0501676, 0.0489238, 0.0290417, 0.0185621, 0.0116887, 0.00704914, 0.0041059, 0.00135971, 0.000240678, 0.0006286, 0.000722905, 0.000254129, 1.02051e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.65596, 0.725746, 0.829096, 0.871657, 0.907627, 0.939764, 0.970186, 0.998733, 1.00739, 1.05083, 1.08903, 1.10567, 1.14143, 1.16694, 1.19608, 1.21252, 1.23043, 1.27522, 1.31527, 1.39086, 1.47505");
-            values ( \
-              "0.0132977, 0.0213217, 0.0533809, 0.0656996, 0.0753551, 0.0822663, 0.0844106, 0.0775185, 0.0740062, 0.0527952, 0.035521, 0.0291815, 0.0183011, 0.0130351, 0.00826867, 0.0064429, 0.00566673, 0.00297032, 0.00154411, 0.000393376, 9.88628e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.655984, 0.704092, 0.733736, 0.881456, 0.936223, 0.983199, 1.02628, 1.06844, 1.10671, 1.12492, 1.21602, 1.2752, 1.30748, 1.34399, 1.36716, 1.41186, 1.43651, 1.48582, 1.57002, 1.59032");
-            values ( \
-              "0.0197623, 0.021663, 0.0293557, 0.0819475, 0.100007, 0.112242, 0.118675, 0.118131, 0.108196, 0.100661, 0.0575784, 0.0358809, 0.026577, 0.0187741, 0.0149844, 0.00962866, 0.00752443, 0.00455067, 0.00184369, 0.00158103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.688783, 0.765406, 0.907919, 0.960845, 1.03543, 1.10209, 1.16575, 1.21595, 1.2295, 1.25658, 1.29837, 1.39919, 1.45751, 1.50791, 1.54296, 1.58074, 1.6461, 1.67642, 1.73707, 1.80958, 1.8547, 1.93889, 2.02308, 2.10728, 2.27566, 2.35985");
-            values ( \
-              "0.040064, 0.0451429, 0.101526, 0.120921, 0.141715, 0.15207, 0.155716, 0.152901, 0.151597, 0.145433, 0.129866, 0.0905948, 0.0703588, 0.0555682, 0.0467249, 0.038369, 0.027087, 0.0230836, 0.0163577, 0.0108428, 0.00848676, 0.00509594, 0.00320474, 0.00185728, 0.000654356, 0.000494379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.708031, 0.835997, 0.947874, 1.03207, 1.07963, 1.16382, 1.23215, 1.25245, 1.33075, 1.40208, 1.48627, 1.51676, 1.57588, 1.64545, 1.833, 1.95077, 2.03496, 2.09823, 2.16846, 2.28968, 2.36374, 2.43758, 2.52177, 2.67113, 2.75532, 2.9237, 3.09209, 3.34466, 3.59724");
-            values ( \
-              "0.0470318, 0.0774232, 0.124376, 0.153231, 0.164986, 0.179088, 0.185775, 0.186141, 0.18056, 0.174529, 0.165107, 0.160733, 0.150901, 0.137019, 0.0961897, 0.0730873, 0.0588939, 0.0497345, 0.0410186, 0.0289784, 0.0232222, 0.0186788, 0.0143953, 0.00908811, 0.00705892, 0.00418172, 0.00247688, 0.00106514, 0.000532834" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00332945, 0.00333239, 0.00333513, 0.0033372, 0.00333854, 0.00333931", \
-            "0.0044044, 0.00441128, 0.00441859, 0.00442476, 0.00442903, 0.00443163", \
-            "0.00511859, 0.00512721, 0.00513817, 0.00514909, 0.00515769, 0.00516336", \
-            "0.00554545, 0.00555028, 0.00555774, 0.00556707, 0.00557601, 0.00558276", \
-            "0.00580868, 0.0057945, 0.00578025, 0.00577015, 0.00576574, 0.0057648", \
-            "0.00599779, 0.00598621, 0.0059653, 0.00593332, 0.005896, 0.0058667" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00538479, 0.00539586, 0.00540707, 0.00541609, 0.00542215, 0.00542576", \
-            "0.00584321, 0.00585649, 0.00587357, 0.00588985, 0.00590191, 0.00590945", \
-            "0.0056257, 0.00558765, 0.00554626, 0.0055114, 0.00548644, 0.0054727", \
-            "0.0054286, 0.00534545, 0.00525421, 0.00516957, 0.00510422, 0.00506107", \
-            "0.00577669, 0.00565617, 0.00533151, 0.00515055, 0.00502245, 0.00493405", \
-            "0.00557691, 0.00559493, 0.00561652, 0.00548423, 0.0051205, 0.00497633" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0269639, 0.0287831, 0.0308033, 0.0363328, 0.0399405, 0.0484188, 0.0552567, 0.0673536, 0.0735834, 0.0863386, 0.0934359, 0.103242, 0.115638, 0.130118, 0.141015");
-            values ( \
-              "-0.0470403, -0.0973699, -0.0972961, -0.092677, -0.0916434, -0.0934467, -0.0980952, -0.117949, -0.102442, -0.0563048, -0.0372845, -0.0200292, -0.00880463, -0.00341744, -0.00177513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0269543, 0.0297354, 0.032037, 0.0408575, 0.0458059, 0.0548115, 0.0635818, 0.0795424, 0.088159, 0.102713, 0.113995, 0.127693, 0.144022, 0.160441, 0.174934, 0.17929");
-            values ( \
-              "-0.038382, -0.147162, -0.148008, -0.142304, -0.142084, -0.144466, -0.150071, -0.171142, -0.14772, -0.0911548, -0.0567453, -0.0299938, -0.0134425, -0.00598304, -0.00288884, -0.00251589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0269915, 0.0311495, 0.0349248, 0.0465762, 0.0667168, 0.0792289, 0.0912592, 0.102883, 0.115115, 0.134413, 0.150594, 0.169755, 0.183096, 0.205723, 0.232633, 0.24979");
-            values ( \
-              "-0.0438184, -0.200157, -0.201786, -0.198271, -0.200493, -0.204469, -0.216385, -0.220519, -0.19239, -0.129373, -0.0844521, -0.0479166, -0.0315704, -0.0152164, -0.0062417, -0.00386852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0289478, 0.0307816, 0.0331432, 0.035791, 0.0391301, 0.0490861, 0.0889804, 0.108877, 0.128434, 0.147804, 0.168584, 0.194118, 0.213138, 0.232876, 0.245163, 0.255039, 0.267923, 0.276539, 0.288028, 0.309744, 0.320025, 0.336045, 0.357405, 0.398695, 0.456972, 0.515248");
-            values ( \
-              "-0.223548, -0.23929, -0.247324, -0.250184, -0.250619, -0.249863, -0.249836, -0.250973, -0.258463, -0.256303, -0.223275, -0.165908, -0.125878, -0.0915397, -0.0741671, -0.0623419, -0.0492827, -0.0420445, -0.0339633, -0.022319, -0.0183195, -0.013437, -0.00888877, -0.00377365, -0.00112478, -0.000317417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.029079, 0.0355962, 0.0404133, 0.0576691, 0.165976, 0.200472, 0.234794, 0.257174, 0.272567, 0.347908, 0.388787, 0.442175, 0.499278, 0.545881, 0.573742, 0.629463, 0.695046");
-            values ( \
-              "-0.247158, -0.283884, -0.287721, -0.28935, -0.283932, -0.286012, -0.277983, -0.25973, -0.24214, -0.143328, -0.0998924, -0.05961, -0.0331963, -0.0202496, -0.0150192, -0.00810663, -0.00479395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0290714, 0.0354028, 0.041973, 0.0603461, 0.341193, 0.365225, 0.40626, 0.464537, 0.62983, 0.696822, 0.813536, 0.897032, 1.00522, 1.15239, 1.25268");
-            values ( \
-              "-0.261272, -0.304291, -0.312365, -0.315664, -0.301157, -0.29867, -0.289121, -0.260921, -0.142556, -0.103906, -0.0569167, -0.0360983, -0.0196416, -0.00842922, -0.00535088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0426438, 0.0458067, 0.0524305, 0.0550947, 0.0665246, 0.0718744, 0.0854203, 0.0916697, 0.104207, 0.111575, 0.122693, 0.135252, 0.145153, 0.15647, 0.157235");
-            values ( \
-              "-0.0125383, -0.0705034, -0.0886057, -0.0929952, -0.0940828, -0.0970293, -0.117881, -0.102521, -0.0569136, -0.0371045, -0.0182915, -0.0079528, -0.00415448, -0.00197511, -0.00191689" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0425898, 0.0469914, 0.0530487, 0.0560818, 0.0684609, 0.0816935, 0.089936, 0.097658, 0.106278, 0.12065, 0.132259, 0.145817, 0.162048, 0.178972, 0.19271");
-            values ( \
-              "-0.00600765, -0.111245, -0.13789, -0.143827, -0.143657, -0.149757, -0.162921, -0.171089, -0.147714, -0.0917945, -0.0563871, -0.0299935, -0.0135051, -0.00586282, -0.00301553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0425195, 0.0486592, 0.0542149, 0.058731, 0.0678397, 0.0784828, 0.0973259, 0.109356, 0.12098, 0.133212, 0.157447, 0.173166, 0.191175, 0.21191, 0.223126, 0.242116, 0.267437, 0.27449");
-            values ( \
-              "-0.00425425, -0.161034, -0.193995, -0.200599, -0.19913, -0.199547, -0.204466, -0.216394, -0.22051, -0.192357, -0.114432, -0.0743878, -0.0432582, -0.0222923, -0.0154875, -0.00829277, -0.00351483, -0.00300447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0458406, 0.0538007, 0.0573405, 0.0604091, 0.0800405, 0.117105, 0.127062, 0.146579, 0.165945, 0.186726, 0.21226, 0.231252, 0.251017, 0.263333, 0.273199, 0.286072, 0.294673, 0.306141, 0.327876, 0.338166, 0.354212, 0.375608, 0.418398, 0.476675, 0.534951");
-            values ( \
-              "-0.17095, -0.237357, -0.249313, -0.251343, -0.249892, -0.250155, -0.251368, -0.258357, -0.256507, -0.223313, -0.165934, -0.125907, -0.0915191, -0.0741237, -0.0623307, -0.0492693, -0.042046, -0.033988, -0.0223181, -0.0183162, -0.0134275, -0.00888144, -0.00363664, -0.00109119, -0.000304409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0461894, 0.0547846, 0.0584103, 0.0648018, 0.184246, 0.218741, 0.253063, 0.275443, 0.290836, 0.366176, 0.407056, 0.46045, 0.517542, 0.56415, 0.592015, 0.647744, 0.71192");
-            values ( \
-              "-0.191359, -0.27511, -0.286533, -0.289941, -0.283934, -0.286014, -0.277981, -0.25973, -0.24214, -0.143329, -0.0998927, -0.0596068, -0.033198, -0.0202498, -0.0150187, -0.00810554, -0.00486434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.04625, 0.0565724, 0.0602892, 0.063876, 0.0736019, 0.0886693, 0.150146, 0.291103, 0.359391, 0.417667, 0.438214, 0.465034, 0.4952, 0.605613, 0.636525, 0.694802, 0.724847, 0.770822, 0.827338, 0.877924, 0.9362, 0.986425, 1.0404, 1.09868, 1.15695, 1.21523, 1.27351, 1.39006, 1.56489");
-            values ( \
-              "-0.20495, -0.304992, -0.312334, -0.314708, -0.315868, -0.315607, -0.312793, -0.304031, -0.301065, -0.291711, -0.284374, -0.271394, -0.252831, -0.171309, -0.150117, -0.114622, -0.0990191, -0.0784675, -0.0582392, -0.0443066, -0.0320401, -0.0241706, -0.0178112, -0.0127291, -0.00911246, -0.00648466, -0.00464425, -0.00236904, -0.00085032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0745356, 0.0786723, 0.0819171, 0.0845036, 0.0870902, 0.0905846, 0.0923318, 0.0932055, 0.0976293, 0.101686, 0.105743, 0.109255, 0.110134, 0.111012, 0.11189, 0.112768, 0.112836, 0.113244, 0.113788, 0.116184, 0.119163, 0.11992, 0.120677, 0.121434, 0.122191, 0.122854, 0.123516, 0.124179, 0.124842, 0.126167, 0.127492, 0.128817, 0.130143, 0.13074, 0.134322, 0.138724, 0.141613, 0.144096, 0.147283, 0.149496, 0.151797, 0.154098, 0.156399, 0.159609, 0.162386, 0.164238, 0.166089, 0.169377, 0.172664, 0.175923");
-            values ( \
-              "-0.0420898, -0.0438364, -0.049078, -0.053469, -0.0580467, -0.0646017, -0.0675908, -0.0689958, -0.0751662, -0.081272, -0.087806, -0.0940329, -0.0948082, -0.0952709, -0.095421, -0.0952586, -0.0953613, -0.096858, -0.0984175, -0.104435, -0.112374, -0.113543, -0.114471, -0.11516, -0.115609, -0.115805, -0.115817, -0.115645, -0.11529, -0.114019, -0.112006, -0.109252, -0.105757, -0.10389, -0.0899553, -0.0734089, -0.0631343, -0.0553156, -0.046254, -0.0402704, -0.0348878, -0.0303743, -0.0263196, -0.0214293, -0.0175792, -0.0156214, -0.0138458, -0.011204, -0.00901314, -0.00725247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.0769017, 0.0855574, 0.0875929, 0.0915814, 0.0942985, 0.0971391, 0.101009, 0.102944, 0.104174, 0.105404, 0.106635, 0.107865, 0.109069, 0.112542, 0.112787, 0.113278, 0.114494, 0.115787, 0.117807, 0.121538, 0.123952, 0.125394, 0.126837, 0.129513, 0.130851, 0.13219, 0.133528, 0.134866, 0.136014, 0.137163, 0.138108, 0.139999, 0.140945, 0.141992, 0.145135, 0.157584, 0.160544, 0.163721, 0.166422, 0.170472, 0.17317, 0.177551, 0.180487, 0.185289, 0.188088, 0.189022, 0.192754, 0.196486, 0.200952, 0.205402");
-            values ( \
-              "-0.0836909, -0.0849222, -0.0902124, -0.101324, -0.106969, -0.113844, -0.124809, -0.130983, -0.131318, -0.131907, -0.13275, -0.133848, -0.13524, -0.139696, -0.141325, -0.141907, -0.144276, -0.14636, -0.148492, -0.151765, -0.154727, -0.157022, -0.159715, -0.16587, -0.167477, -0.168635, -0.169344, -0.169603, -0.169467, -0.169001, -0.167665, -0.164143, -0.161957, -0.158887, -0.14886, -0.100783, -0.0898921, -0.0793486, -0.0710716, -0.0593852, -0.0523768, -0.0430708, -0.0377275, -0.0296332, -0.0257498, -0.0245698, -0.0206173, -0.0171883, -0.013844, -0.0108371" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0758331, 0.0818554, 0.0935533, 0.104702, 0.115316, 0.118989, 0.137048, 0.149105, 0.160683, 0.172913, 0.197436, 0.2109, 0.229166, 0.246123, 0.271219, 0.302402, 0.307668");
-            values ( \
-              "-0.0648877, -0.103553, -0.145869, -0.176325, -0.196973, -0.20058, -0.204996, -0.216473, -0.220517, -0.192367, -0.113603, -0.0787044, -0.0456021, -0.0267068, -0.0118308, -0.00419215, -0.00373705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0793757, 0.0899934, 0.101478, 0.117458, 0.120348, 0.162671, 0.175133, 0.18637, 0.205712, 0.226492, 0.262803, 0.289551, 0.31854, 0.341314, 0.372203, 0.407115, 0.447015, 0.470504");
-            values ( \
-              "-0.157933, -0.166166, -0.209854, -0.248384, -0.250342, -0.250583, -0.253707, -0.259134, -0.256196, -0.223332, -0.14254, -0.0934536, -0.0562708, -0.0369931, -0.0205112, -0.0104285, -0.00460554, -0.00326569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0793586, 0.110284, 0.118379, 0.125526, 0.224079, 0.258725, 0.281914, 0.293325, 0.310839, 0.330774, 0.409669, 0.447501, 0.510039, 0.553882, 0.588343, 0.625707, 0.712354, 0.740833");
-            values ( \
-              "-0.15612, -0.268181, -0.287269, -0.289393, -0.283666, -0.286001, -0.28264, -0.276759, -0.264214, -0.24193, -0.139195, -0.0994954, -0.0541607, -0.0344966, -0.0239475, -0.0160959, -0.00620688, -0.00510776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0840878, 0.116686, 0.126884, 0.399918, 0.458195, 0.505612, 0.535753, 0.646165, 0.735353, 0.811377, 0.918474, 1.02698, 1.13923, 1.29982");
-            values ( \
-              "-0.238344, -0.309482, -0.31561, -0.301073, -0.291712, -0.271372, -0.25284, -0.171296, -0.114608, -0.0784801, -0.0442941, -0.024158, -0.0127417, -0.00529232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.130655, 0.139509, 0.147069, 0.153089, 0.165497, 0.183757, 0.193523, 0.20164, 0.208615, 0.215576, 0.222438, 0.233657, 0.242003, 0.248937, 0.257773, 0.262421, 0.266558, 0.272073, 0.283105, 0.300007, 0.303298");
-            values ( \
-              "-0.00579365, -0.0238443, -0.0280656, -0.0327806, -0.0449789, -0.0616184, -0.0727119, -0.0884714, -0.0992833, -0.0894018, -0.0737718, -0.0435316, -0.0268758, -0.0175467, -0.0107815, -0.00791471, -0.006042, -0.00421652, -0.00205334, -0.000710591, -0.000639507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.130666, 0.142265, 0.151058, 0.153285, 0.162559, 0.172029, 0.193049, 0.204517, 0.21434, 0.22301, 0.23193, 0.2329, 0.248955, 0.258072, 0.264867, 0.270572, 0.2737, 0.278492, 0.283339, 0.290238, 0.293092, 0.2988, 0.310217, 0.33072, 0.354955, 0.385754, 0.42664");
-            values ( \
-              "-0.00474735, -0.039726, -0.0481268, -0.0509024, -0.0643655, -0.0773369, -0.104285, -0.120557, -0.141898, -0.155124, -0.138766, -0.13648, -0.0841278, -0.0596238, -0.0437603, -0.033489, -0.0288311, -0.0228745, -0.0180509, -0.0128193, -0.0111476, -0.00840215, -0.00475109, -0.00164621, -0.00054069, -0.000179293, -8.7128e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.135199, 0.146435, 0.157358, 0.171212, 0.181942, 0.19197, 0.208711, 0.223286, 0.236295, 0.242626, 0.246814, 0.247885, 0.248195, 0.248956, 0.249718, 0.251884, 0.254178, 0.2572, 0.260506, 0.282791, 0.292282, 0.301541, 0.306561, 0.316601, 0.32144, 0.327237, 0.334968, 0.346148, 0.351141, 0.357968, 0.36707, 0.385275, 0.418477, 0.457985, 0.508826");
-            values ( \
-              "-0.0288419, -0.0614344, -0.078196, -0.104497, -0.122989, -0.139176, -0.163776, -0.183812, -0.205783, -0.212399, -0.214122, -0.213347, -0.213497, -0.21306, -0.2132, -0.21156, -0.208013, -0.201141, -0.191666, -0.120105, -0.0936127, -0.0721487, -0.0622528, -0.0458535, -0.0394658, -0.0328945, -0.0256958, -0.0178692, -0.0152015, -0.0121795, -0.0090436, -0.00493106, -0.00153019, -0.000419746, -0.000116409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.141691, 0.161265, 0.184631, 0.198573, 0.211846, 0.234491, 0.251807, 0.255041, 0.279629, 0.289676, 0.302011, 0.314494, 0.358649, 0.375342, 0.400026, 0.41356, 0.424774, 0.438272, 0.457437, 0.478483, 0.494805, 0.527451, 0.583265, 0.641542");
-            values ( \
-              "-0.0845899, -0.106927, -0.158111, -0.184643, -0.206228, -0.233885, -0.248244, -0.250721, -0.260523, -0.258153, -0.245684, -0.223076, -0.126961, -0.0971775, -0.0634916, -0.0496776, -0.0403927, -0.0313293, -0.0216842, -0.014466, -0.0105234, -0.00547595, -0.00165393, -0.000508558" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.146751, 0.172755, 0.195742, 0.224206, 0.243869, 0.252355, 0.261744, 0.312631, 0.347127, 0.38154, 0.402164, 0.419283, 0.488836, 0.533113, 0.560103, 0.590948, 0.642687, 0.677514, 0.713191, 0.795894, 0.857543");
-            values ( \
-              "-0.126761, -0.152036, -0.205843, -0.255629, -0.277268, -0.284014, -0.286679, -0.283877, -0.285338, -0.278349, -0.26144, -0.24213, -0.150346, -0.102189, -0.0792442, -0.0584004, -0.0343805, -0.0237586, -0.0162565, -0.00655741, -0.00404016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.154397, 0.186906, 0.223424, 0.237377, 0.254059, 0.260823, 0.319496, 0.419883, 0.48818, 0.546456, 0.567002, 0.593823, 0.623989, 0.734402, 0.765314, 0.82359, 0.853634, 0.899609, 0.956125, 1.00671, 1.06499, 1.11521, 1.16918, 1.22746, 1.28574, 1.40229, 1.51884, 1.69367");
-            values ( \
-              "-0.194743, -0.202104, -0.276772, -0.295672, -0.310864, -0.313631, -0.310514, -0.304028, -0.301053, -0.291722, -0.284383, -0.271386, -0.252839, -0.171305, -0.150122, -0.114618, -0.099023, -0.0784716, -0.0582366, -0.0443041, -0.0320434, -0.0241681, -0.017809, -0.0127322, -0.00911004, -0.00464174, -0.00236651, -0.000852883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.248681, 0.264734, 0.268176, 0.275335, 0.286246, 0.338587, 0.344302, 0.353371, 0.365351, 0.375543, 0.385463, 0.395327, 0.411016, 0.423302, 0.432464, 0.439689, 0.449622, 0.457731, 0.473951, 0.494928, 0.511488");
-            values ( \
-              "-0.00378897, -0.012557, -0.0129682, -0.0145017, -0.0178625, -0.0403196, -0.0432331, -0.0487838, -0.0605921, -0.0678516, -0.0648236, -0.0572796, -0.0325659, -0.0178168, -0.0109576, -0.00712909, -0.0040184, -0.00260725, -0.000914674, -0.000388941, -0.000200323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.255696, 0.268658, 0.275196, 0.284768, 0.304191, 0.351856, 0.368858, 0.383067, 0.395226, 0.407171, 0.419065, 0.436421, 0.451373, 0.461599, 0.475707, 0.487154, 0.502416, 0.525962, 0.550157, 0.558368");
-            values ( \
-              "-0.0185622, -0.0212256, -0.0231414, -0.0274103, -0.0388816, -0.0691689, -0.0822086, -0.099948, -0.111096, -0.105409, -0.0910985, -0.0554511, -0.0317624, -0.0207814, -0.0111506, -0.00663669, -0.00328725, -0.00100903, -0.000426945, -0.000749022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.265267, 0.294661, 0.317515, 0.379889, 0.395506, 0.409808, 0.413282, 0.42023, 0.428897, 0.444252, 0.447943, 0.459755, 0.48565, 0.493614, 0.504232, 0.512209, 0.522466, 0.536141, 0.544316, 0.550304, 0.553256, 0.567945, 0.577211, 0.593127, 0.612401");
-            values ( \
-              "-0.040315, -0.0459154, -0.0654273, -0.116576, -0.131415, -0.149244, -0.154848, -0.163034, -0.167079, -0.157837, -0.15287, -0.130544, -0.0759355, -0.061984, -0.0463683, -0.0369035, -0.0272205, -0.0177971, -0.0137799, -0.0114081, -0.0111256, -0.00723119, -0.00537721, -0.00322935, -0.00190687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.266125, 0.292032, 0.302535, 0.338002, 0.378889, 0.438124, 0.462136, 0.484226, 0.506813, 0.509889, 0.52219, 0.550189, 0.572261, 0.583759, 0.594173, 0.607841, 0.619191, 0.632686, 0.651864, 0.660658, 0.672872, 0.689158, 0.72173, 0.777703, 0.835979");
-            values ( \
-              "-0.0487207, -0.0565497, -0.0657852, -0.102287, -0.141379, -0.19512, -0.219757, -0.231519, -0.208997, -0.204769, -0.183012, -0.129413, -0.0927566, -0.0763007, -0.0635553, -0.049616, -0.0402557, -0.0312541, -0.0216241, -0.0182727, -0.0144444, -0.0105323, -0.00548508, -0.00166294, -0.00050467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.277838, 0.312592, 0.369168, 0.406724, 0.423829, 0.458039, 0.467403, 0.486132, 0.505927, 0.541846, 0.55016, 0.556568, 0.566533, 0.581225, 0.590342, 0.608577, 0.625405, 0.679599, 0.699963, 0.732221, 0.745177, 0.765156, 0.791804, 0.815841, 0.847889, 0.875134, 0.887559, 0.912408, 0.962107, 1.02038, 1.07866, 1.13694, 1.19521");
-            values ( \
-              "-0.0867891, -0.0874934, -0.15148, -0.189812, -0.206256, -0.235481, -0.242379, -0.254594, -0.264883, -0.280258, -0.283086, -0.283441, -0.28154, -0.273921, -0.267161, -0.248744, -0.22751, -0.155381, -0.131141, -0.0982303, -0.0870161, -0.0717334, -0.0550229, -0.0430829, -0.0307918, -0.0230974, -0.0202206, -0.0155178, -0.00897209, -0.00471, -0.00244747, -0.00130873, -0.000678922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.294216, 0.36367, 0.415392, 0.440612, 0.469832, 0.492371, 0.527714, 0.557609, 0.620586, 0.684248, 0.716215, 0.74931, 0.807586, 0.845375, 0.930967, 0.972906, 1.02699, 1.07466, 1.11042, 1.15657, 1.19469, 1.24008, 1.29836, 1.34825, 1.37885, 1.43713, 1.49541, 1.55368, 1.61196, 1.67024, 1.78679, 1.90334");
-            values ( \
-              "-0.119968, -0.157359, -0.214882, -0.239769, -0.264712, -0.280657, -0.29785, -0.307766, -0.303846, -0.301052, -0.297562, -0.288882, -0.261131, -0.23467, -0.170919, -0.142641, -0.110615, -0.0874401, -0.0726561, -0.0569751, -0.0463894, -0.0360422, -0.0260679, -0.0195877, -0.0165585, -0.0117551, -0.00848625, -0.00596456, -0.00434555, -0.00300801, -0.00150625, -0.000744627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.506146, 0.552498, 0.580391, 0.626165, 0.655067, 0.678135, 0.696665, 0.712467, 0.727499, 0.742517, 0.749804, 0.771539, 0.782755, 0.788798, 0.797485, 0.808678, 0.817696, 0.835734, 0.861257, 0.893008, 0.905388");
-            values ( \
-              "-0.00616387, -0.00797315, -0.0113876, -0.0198276, -0.0254724, -0.031374, -0.0393895, -0.0436279, -0.0436347, -0.0408938, -0.036289, -0.0187253, -0.0118358, -0.00907864, -0.00607697, -0.00360058, -0.00234618, -0.000966078, -0.000308976, -0.000123109, -0.000118714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.506202, 0.543439, 0.561596, 0.596829, 0.608383, 0.66131, 0.700644, 0.722245, 0.740876, 0.758646, 0.776396, 0.806056, 0.821784, 0.833345, 0.843857, 0.856335, 0.866213, 0.885968, 0.91651, 0.953701, 1.00173, 1.21605, 1.23631");
-            values ( \
-              "-0.0100026, -0.0117734, -0.0145542, -0.0220113, -0.0256301, -0.0404337, -0.0536025, -0.0658461, -0.0725784, -0.0725675, -0.0667719, -0.0357679, -0.0218979, -0.0146849, -0.00999716, -0.00627553, -0.00430141, -0.00196196, -0.000565881, -0.000183626, -8.68999e-05, -1.83859e-05, -0.000216136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.505989, 0.540276, 0.556228, 0.576439, 0.608217, 0.707113, 0.738784, 0.765447, 0.78868, 0.811179, 0.833579, 0.895314, 0.925476, 0.952209, 0.967287");
-            values ( \
-              "-0.0124957, -0.0170753, -0.0204843, -0.0250868, -0.0354236, -0.0731321, -0.0869467, -0.103501, -0.113702, -0.112388, -0.100561, -0.0315844, -0.0147288, -0.00702349, -0.00486973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.520199, 0.575302, 0.608117, 0.760027, 0.800373, 0.846065, 0.856264, 0.865867, 0.880929, 0.895969, 0.920967, 0.967805, 0.996614, 1.01404, 1.02883, 1.04856, 1.06561, 1.08918, 1.1206, 1.17717, 1.21579, 1.33673");
-            values ( \
-              "-0.0239506, -0.0329932, -0.0450281, -0.113918, -0.133214, -0.160692, -0.164456, -0.166355, -0.166547, -0.162597, -0.143328, -0.0877812, -0.0587051, -0.0448917, -0.0353796, -0.0253678, -0.0188938, -0.0124496, -0.00694524, -0.0022136, -0.00106096, -0.000258397" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.5405, 0.608221, 0.733035, 0.895895, 0.943444, 0.97072, 0.986861, 1.01914, 1.03075, 1.0533, 1.15078, 1.19108, 1.21589, 1.25327, 1.27445, 1.30407, 1.33052, 1.3658, 1.42407, 1.48235, 1.49198");
-            values ( \
-              "-0.0437353, -0.0534426, -0.116158, -0.194323, -0.218481, -0.228202, -0.229547, -0.220975, -0.213682, -0.1953, -0.100563, -0.0702634, -0.0554415, -0.0385619, -0.0309356, -0.0226212, -0.017085, -0.0116747, -0.00612377, -0.00320707, -0.00295685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.560184, 0.646247, 0.739008, 0.876828, 0.964438, 1.02271, 1.07698, 1.11095, 1.16922, 1.19804, 1.23009, 1.26831, 1.36473, 1.40166, 1.45719, 1.50461, 1.54038, 1.58653, 1.62466, 1.67006, 1.72833, 1.77824, 1.86712, 1.9254, 2.04195, 2.1585, 2.33333");
-            values ( \
-              "-0.0646102, -0.0787284, -0.129303, -0.199511, -0.238731, -0.259927, -0.275259, -0.282732, -0.284865, -0.27879, -0.265357, -0.239633, -0.168227, -0.143329, -0.110511, -0.0873863, -0.0726889, -0.0569255, -0.0463398, -0.0360827, -0.0260209, -0.0196338, -0.0118032, -0.00843595, -0.00429542, -0.00218812, -0.000796363" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00318507, 0.00318922, 0.0031934, 0.00319674, 0.00319898, 0.0032003", \
-            "0.00384063, 0.0038435, 0.00384708, 0.00385054, 0.00385316, 0.00385484", \
-            "0.00423947, 0.00424045, 0.00424216, 0.0042444, 0.00424656, 0.00424818", \
-            "0.00446615, 0.00446642, 0.00446689, 0.00446772, 0.00446889, 0.00447005", \
-            "0.00458208, 0.0045823, 0.00458256, 0.00458289, 0.00458333, 0.00458393", \
-            "0.00464345, 0.00464384, 0.00464437, 0.00464506, 0.0046457, 0.00464625" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00459352, 0.0045987, 0.00460428, 0.00460899, 0.00461221, 0.00461415", \
-            "0.00506502, 0.00506888, 0.00507283, 0.00507608, 0.00507825, 0.00507951", \
-            "0.00522721, 0.00523571, 0.00524329, 0.00524878, 0.00525199, 0.00525362", \
-            "0.00538642, 0.00535841, 0.00533227, 0.00530887, 0.00529203, 0.00528103", \
-            "0.00575588, 0.00563687, 0.00551678, 0.00542067, 0.00535556, 0.00531602", \
-            "0.00646613, 0.00616596, 0.0058908, 0.00565952, 0.00548613, 0.00537901" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(A0 & A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A0 * A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0405891, 0.0526641, 0.0757225, 0.120341, 0.207534, 0.378561", \
-            "0.0464698, 0.0585114, 0.081512, 0.126461, 0.213785, 0.384891", \
-            "0.0613273, 0.0733298, 0.0962582, 0.140748, 0.228083, 0.399368", \
-            "0.0881804, 0.104977, 0.130838, 0.175044, 0.262151, 0.433392", \
-            "0.129482, 0.154361, 0.193364, 0.251089, 0.339352, 0.509695", \
-            "0.199455, 0.234031, 0.290298, 0.37648, 0.501339, 0.681706" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0353573, 0.0522882, 0.085716, 0.151497, 0.280724, 0.534783", \
-            "0.0356215, 0.0523689, 0.0857351, 0.151483, 0.280728, 0.534783", \
-            "0.04028, 0.0546175, 0.0860521, 0.151472, 0.280717, 0.534779", \
-            "0.0597801, 0.0716998, 0.0965098, 0.154133, 0.280734, 0.534821", \
-            "0.0914064, 0.109863, 0.138217, 0.184798, 0.292018, 0.534816", \
-            "0.140069, 0.167464, 0.210893, 0.274847, 0.371017, 0.570606" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0276599, 0.0360773, 0.0521251, 0.0833662, 0.144528, 0.264603", \
-            "0.0324648, 0.0408061, 0.0569368, 0.0882425, 0.149456, 0.269579", \
-            "0.0412072, 0.0514161, 0.0679621, 0.0992083, 0.160566, 0.28073", \
-            "0.049208, 0.0643519, 0.0880729, 0.124144, 0.185503, 0.305641", \
-            "0.0504692, 0.0724024, 0.107593, 0.161158, 0.239832, 0.361768", \
-            "0.032787, 0.0639156, 0.114113, 0.192015, 0.308087, 0.475727" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0232066, 0.034002, 0.0553146, 0.0972468, 0.179753, 0.341925", \
-            "0.0238562, 0.0340829, 0.0553229, 0.0972333, 0.179714, 0.342116", \
-            "0.0316529, 0.0397768, 0.0577892, 0.0973218, 0.179711, 0.342117", \
-            "0.047195, 0.0581477, 0.0762074, 0.107972, 0.181863, 0.342144", \
-            "0.073085, 0.0885307, 0.113301, 0.151596, 0.213466, 0.351606", \
-            "0.117624, 0.138782, 0.173288, 0.227337, 0.308592, 0.432674" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0277851, 0.0293983, 0.0326964, 0.0358389, 0.0412519, 0.0441245, 0.0535876, 0.0576359, 0.0721419, 0.0784163, 0.0885479, 0.100051, 0.112133, 0.119799, 0.132251, 0.148853, 0.15164");
-            values ( \
-              "0.0296903, 0.133208, 0.143909, 0.146315, 0.142423, 0.138383, 0.120784, 0.11048, 0.0633046, 0.0480689, 0.0302758, 0.0175205, 0.00966411, 0.00659247, 0.00350056, 0.00143933, 0.0013614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0280233, 0.0306904, 0.0327727, 0.0361145, 0.0394521, 0.0479928, 0.0524603, 0.06382, 0.0693664, 0.0788588, 0.0938391, 0.102278, 0.111796, 0.117012, 0.125538, 0.133111, 0.146653, 0.156508, 0.169389, 0.186564, 0.209268");
-            values ( \
-              "0.0125665, 0.180856, 0.190511, 0.195592, 0.196592, 0.188251, 0.181976, 0.164069, 0.153172, 0.128894, 0.0866257, 0.0676364, 0.050215, 0.0425222, 0.0320319, 0.0247195, 0.0153559, 0.0107654, 0.00673568, 0.00350947, 0.00156024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0287654, 0.0322156, 0.0355224, 0.0382473, 0.0408699, 0.0461151, 0.0604514, 0.0746493, 0.0909401, 0.100957, 0.111435, 0.135624, 0.148325, 0.16284, 0.172408, 0.18623, 0.197537, 0.206942, 0.217886, 0.233379, 0.249534, 0.261914, 0.286673, 0.331809, 0.385197");
-            values ( \
-              "0.0697489, 0.224333, 0.234532, 0.23758, 0.238258, 0.23598, 0.223048, 0.208458, 0.189377, 0.174395, 0.154909, 0.106631, 0.0851217, 0.0643068, 0.0530615, 0.0396522, 0.030972, 0.0251305, 0.0196056, 0.0136925, 0.00940387, 0.0070185, 0.00384562, 0.00115732, 0.000258373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0288108, 0.0344067, 0.0373946, 0.0400853, 0.0447714, 0.049404, 0.0574568, 0.101299, 0.125922, 0.143369, 0.156217, 0.181184, 0.219988, 0.247263, 0.269935, 0.281658, 0.304094, 0.323412, 0.339458, 0.358188, 0.384681, 0.412247, 0.433363, 0.475594, 0.545731, 0.615869");
-            values ( \
-              "0.0987552, 0.25765, 0.264357, 0.267075, 0.267642, 0.266202, 0.261923, 0.235527, 0.219189, 0.205676, 0.193636, 0.165067, 0.117678, 0.0888352, 0.0687432, 0.0598987, 0.0455008, 0.0355756, 0.0288923, 0.022563, 0.0157481, 0.0108179, 0.00810159, 0.00442459, 0.00153655, 0.000510729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0313661, 0.0313861, 0.177428, 0.2171, 0.230579, 0.264299, 0.30093, 0.392788, 0.414277, 0.457254, 0.483712, 0.512802, 0.551588, 0.574058, 0.612254, 0.655906, 0.701343, 0.724322, 0.770279, 0.840417, 0.910555, 0.980693, 1.12097");
-            values ( \
-              "1e-22, 0.293125, 0.243203, 0.227977, 0.222167, 0.205179, 0.182276, 0.119225, 0.10584, 0.0818379, 0.0692303, 0.0571687, 0.0438281, 0.037435, 0.0284909, 0.0206664, 0.0147383, 0.0124095, 0.00874477, 0.0050709, 0.00292429, 0.00168775, 0.000559638" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0339698, 0.0339898, 0.129775, 0.310568, 0.380706, 0.44114, 0.476383, 0.546521, 0.720024, 0.830283, 0.917267, 0.965895, 1.03603, 1.08678, 1.16577, 1.28996, 1.39611, 1.53639, 1.67666, 1.77643");
-            values ( \
-              "1e-22, 0.316635, 0.283449, 0.250367, 0.236059, 0.221591, 0.211621, 0.188278, 0.124044, 0.0885926, 0.066122, 0.0556732, 0.0430783, 0.0356336, 0.0263451, 0.0161849, 0.0106015, 0.00601218, 0.00339448, 0.0024657" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0436143, 0.0465803, 0.0508862, 0.0521701, 0.0550827, 0.0572151, 0.0600246, 0.0619464, 0.06579, 0.068759, 0.0770576, 0.0921879, 0.102487, 0.109581, 0.11971, 0.132996, 0.150814, 0.165597, 0.169579");
-            values ( \
-              "0.014553, 0.0738908, 0.10161, 0.117884, 0.132722, 0.137447, 0.139026, 0.138235, 0.134233, 0.129604, 0.111728, 0.0627775, 0.039671, 0.0286476, 0.0176619, 0.00919008, 0.00375342, 0.00170003, 0.00156457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0447893, 0.048602, 0.0509489, 0.0516163, 0.0525756, 0.0535671, 0.0549449, 0.0566035, 0.0588149, 0.0623988, 0.0658032, 0.0692452, 0.0753279, 0.0785127, 0.0827591, 0.0864683, 0.0914139, 0.0941202, 0.110611, 0.116089, 0.123918, 0.132854, 0.137956, 0.141367, 0.146575, 0.153366, 0.157905, 0.163957, 0.175263, 0.180484, 0.188433, 0.19903, 0.220226, 0.250738, 0.288164");
-            values ( \
-              "0.0218156, 0.113453, 0.133835, 0.147487, 0.159193, 0.167494, 0.17616, 0.183057, 0.188162, 0.19046, 0.188698, 0.185209, 0.177091, 0.172249, 0.165319, 0.158605, 0.148137, 0.141381, 0.0941556, 0.080743, 0.0638995, 0.0482551, 0.0409659, 0.0365702, 0.0307323, 0.0243082, 0.0207651, 0.0168048, 0.0111373, 0.00922708, 0.00690728, 0.0046949, 0.00204061, 0.000587087, 8.95757e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0459567, 0.051446, 0.0532398, 0.0553844, 0.0565003, 0.0585882, 0.0605017, 0.0643287, 0.0678608, 0.07139, 0.0768521, 0.0944082, 0.107001, 0.119816, 0.122618, 0.126355, 0.15344, 0.163272, 0.170212, 0.184092, 0.195371, 0.203012, 0.216422, 0.220408, 0.22838, 0.244323, 0.259259, 0.267382, 0.283628, 0.31612, 0.361781, 0.417559");
-            values ( \
-              "0.0507878, 0.172413, 0.196928, 0.214118, 0.220046, 0.227604, 0.231338, 0.234061, 0.233111, 0.230875, 0.226152, 0.20847, 0.194117, 0.176053, 0.171181, 0.164321, 0.110196, 0.0929637, 0.081847, 0.0624666, 0.0496788, 0.0422872, 0.0315667, 0.0289211, 0.0241929, 0.0167851, 0.0118787, 0.00982356, 0.00668324, 0.00297375, 0.000877288, 0.000177139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.047461, 0.0539223, 0.0569734, 0.0600231, 0.0638066, 0.0683492, 0.0717461, 0.0762752, 0.0853334, 0.108555, 0.128689, 0.15197, 0.172007, 0.184998, 0.233055, 0.254475, 0.281423, 0.292977, 0.3016, 0.313096, 0.33609, 0.353432, 0.363165, 0.381169, 0.406188, 0.41651, 0.437152, 0.478438, 0.548576, 0.618713");
-            values ( \
-              "0.0833234, 0.225319, 0.247237, 0.258559, 0.26419, 0.265346, 0.264427, 0.262414, 0.257412, 0.243463, 0.230856, 0.214812, 0.197967, 0.184358, 0.125761, 0.10179, 0.0758424, 0.0664043, 0.0599544, 0.052159, 0.0390663, 0.0312656, 0.0275313, 0.0216792, 0.0154496, 0.0134314, 0.010116, 0.00564031, 0.00194313, 0.000666631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0376489, 0.0650095, 0.0683832, 0.0741061, 0.0856591, 0.17756, 0.237316, 0.265647, 0.284476, 0.322135, 0.412954, 0.477327, 0.533095, 0.572036, 0.594298, 0.632134, 0.675374, 0.721446, 0.791292, 0.86143, 0.931568, 0.969556");
-            values ( \
-              "0.00463889, 0.28341, 0.284742, 0.28456, 0.281272, 0.250338, 0.227963, 0.215165, 0.205181, 0.181585, 0.119237, 0.0818944, 0.0571267, 0.043747, 0.0374197, 0.0285534, 0.0207769, 0.0147474, 0.00868881, 0.00503829, 0.00290527, 0.00248114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0507372, 0.0643808, 0.0737833, 0.184869, 0.330819, 0.400956, 0.461289, 0.496584, 0.566722, 0.740224, 0.850483, 0.913153, 0.986093, 1.08723, 1.18596, 1.2561, 1.35564, 1.48644, 1.62671, 1.76699, 1.80352");
-            values ( \
-              "0.271354, 0.293425, 0.296439, 0.277237, 0.25036, 0.236046, 0.221606, 0.21162, 0.188279, 0.124044, 0.0885924, 0.0718932, 0.0556733, 0.0383763, 0.0263457, 0.0200301, 0.0135165, 0.00799137, 0.00452133, 0.00254985, 0.00234713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0680991, 0.0864773, 0.0984546, 0.105668, 0.109094, 0.112519, 0.113393, 0.114314, 0.11532, 0.117536, 0.119989, 0.123289, 0.128476, 0.137772, 0.144719, 0.151181, 0.154094, 0.1587, 0.164841, 0.16698, 0.174411, 0.182336, 0.187647, 0.198161, 0.21218, 0.233869, 0.260232");
-            values ( \
-              "0.000369322, 0.0532924, 0.082953, 0.0987068, 0.104986, 0.109958, 0.114105, 0.116307, 0.117177, 0.117509, 0.115194, 0.108929, 0.0933857, 0.0634789, 0.0468186, 0.0348802, 0.030525, 0.0245982, 0.0183437, 0.0165237, 0.0114847, 0.00774805, 0.00591496, 0.00346495, 0.00167781, 0.000461951, 0.000113329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.0697431, 0.0920485, 0.105839, 0.112628, 0.114447, 0.120649, 0.121972, 0.124616, 0.128801, 0.129637, 0.131307, 0.13448, 0.14499, 0.147698, 0.152948, 0.159304, 0.160502, 0.162899, 0.167693, 0.175809, 0.180079, 0.18102, 0.182903, 0.186668, 0.191957, 0.198944, 0.200803, 0.204522, 0.211656, 0.221697, 0.225199, 0.226233, 0.228302, 0.232439, 0.239928, 0.254615, 0.282844, 0.282926");
-            values ( \
-              "0.0019209, 0.0893733, 0.136259, 0.156335, 0.168495, 0.173992, 0.17108, 0.170519, 0.162992, 0.163556, 0.158754, 0.154701, 0.127956, 0.117775, 0.104541, 0.0855859, 0.0848141, 0.0770948, 0.0687773, 0.0515894, 0.0470391, 0.0435663, 0.043001, 0.0360259, 0.0320748, 0.0233793, 0.0239374, 0.0190827, 0.0166562, 0.00984092, 0.0106145, 0.00821048, 0.00958506, 0.00635419, 0.0066157, 0.00221045, 0.00203039, 0.00202544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0721641, 0.0936433, 0.114568, 0.116692, 0.119217, 0.123775, 0.128203, 0.140038, 0.160815, 0.177408, 0.201019, 0.213683, 0.228156, 0.243042, 0.262939, 0.283238, 0.298736, 0.327307, 0.352101, 0.379339");
-            values ( \
-              "0.00360253, 0.114396, 0.207329, 0.215627, 0.219834, 0.2219, 0.219417, 0.208331, 0.183127, 0.153743, 0.106633, 0.085175, 0.0644078, 0.0475882, 0.030967, 0.0196237, 0.0137051, 0.00701815, 0.00384258, 0.00222114" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0780822, 0.0807873, 0.089837, 0.112874, 0.115195, 0.119051, 0.122925, 0.127712, 0.141692, 0.180253, 0.197353, 0.21502, 0.234363, 0.278929, 0.315062, 0.336092, 0.352338, 0.373998, 0.389466, 0.418425, 0.448045, 0.481922, 0.509002, 0.563161, 0.5819");
-            values ( \
-              "0.0553796, 0.0628477, 0.106269, 0.22265, 0.240474, 0.252845, 0.257217, 0.257619, 0.250843, 0.2268, 0.214816, 0.200176, 0.179807, 0.124994, 0.0865798, 0.0681903, 0.0562247, 0.0429877, 0.0352891, 0.0241749, 0.0161777, 0.0101966, 0.00700842, 0.00317685, 0.00262077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0814074, 0.112577, 0.11484, 0.118224, 0.126569, 0.130834, 0.138035, 0.148023, 0.164128, 0.16638, 0.170883, 0.17989, 0.195385, 0.20362, 0.22009, 0.242779, 0.28241, 0.284373, 0.311843, 0.329648, 0.365259, 0.458146, 0.479665, 0.522701, 0.549056, 0.57803, 0.616662, 0.639335, 0.677879, 0.721929, 0.766733, 0.834748, 0.904885, 0.975023, 1.04516, 1.18544");
-            values ( \
-              "0.0689111, 0.239332, 0.260083, 0.273105, 0.281767, 0.279414, 0.279915, 0.274511, 0.271363, 0.268405, 0.269104, 0.263885, 0.260852, 0.255845, 0.252358, 0.242105, 0.229091, 0.227177, 0.214654, 0.20518, 0.182959, 0.119219, 0.105814, 0.0817871, 0.0692322, 0.0572151, 0.0439104, 0.037455, 0.028432, 0.0205635, 0.0147347, 0.00880566, 0.00510416, 0.00294593, 0.00169793, 0.00056203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0820335, 0.117296, 0.124685, 0.129278, 0.135955, 0.157657, 0.376173, 0.446311, 0.506657, 0.541945, 0.612083, 0.785585, 0.895843, 0.958512, 1.03145, 1.13259, 1.23132, 1.30145, 1.40099, 1.53178, 1.67206, 1.81234, 1.84563");
-            values ( \
-              "0.0710132, 0.279618, 0.292696, 0.293952, 0.293818, 0.290168, 0.250361, 0.236047, 0.221607, 0.211618, 0.188282, 0.124041, 0.0885895, 0.0718902, 0.0556702, 0.0383731, 0.0263423, 0.0200345, 0.0135131, 0.00798787, 0.00451765, 0.00254606, 0.00236203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124501, 0.146029, 0.154751, 0.1721, 0.193129, 0.20336, 0.212643, 0.221446, 0.230251, 0.248916, 0.251641, 0.269349, 0.278489, 0.290222, 0.304352, 0.31663");
-            values ( \
-              "0.0020007, 0.0141816, 0.0225558, 0.0416576, 0.0603399, 0.0679015, 0.0732802, 0.0756366, 0.0710877, 0.0389515, 0.0374038, 0.018067, 0.0116502, 0.00648318, 0.00317273, 0.00176961" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.124515, 0.147611, 0.151857, 0.173861, 0.192209, 0.20635, 0.216664, 0.229461, 0.23998, 0.24893, 0.250909, 0.273903, 0.284198, 0.284558, 0.289603, 0.302285, 0.304266, 0.308229, 0.325251, 0.328694, 0.3297, 0.331712, 0.335735, 0.343783, 0.359878, 0.42326");
-            values ( \
-              "0.00064876, 0.0216759, 0.0265392, 0.0581125, 0.0823544, 0.0998368, 0.11103, 0.121308, 0.123779, 0.114188, 0.113644, 0.0618055, 0.0445559, 0.0449843, 0.0372451, 0.0240418, 0.0233877, 0.0194657, 0.0103595, 0.0100554, 0.00875847, 0.00905918, 0.00694487, 0.00599229, 0.00253288, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.139784, 0.154487, 0.161703, 0.186215, 0.20904, 0.226558, 0.241639, 0.248927, 0.252261, 0.255328, 0.259761, 0.268627, 0.276315, 0.301637, 0.314168, 0.323386, 0.335112, 0.344096, 0.35315, 0.365239, 0.376046, 0.390457, 0.402887, 0.41963, 0.441955, 0.483694, 0.532415");
-            values ( \
-              "0.0320084, 0.03699, 0.0484996, 0.0916432, 0.130732, 0.15847, 0.177842, 0.185113, 0.189031, 0.189755, 0.186861, 0.175317, 0.161365, 0.110393, 0.0888628, 0.0747858, 0.0593231, 0.049354, 0.040768, 0.0312964, 0.0246088, 0.0177213, 0.0132757, 0.00897369, 0.00525757, 0.00176291, 0.000479447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.143271, 0.164169, 0.17506, 0.218183, 0.233543, 0.241234, 0.25254, 0.256072, 0.260721, 0.268812, 0.287867, 0.29954, 0.322298, 0.333757, 0.382475, 0.398392, 0.415412, 0.437555, 0.449213, 0.456584, 0.471327, 0.490938, 0.507277, 0.525934, 0.552366, 0.564018, 0.579708, 0.600627, 0.642466, 0.712604, 0.782742");
-            values ( \
-              "0.0361644, 0.0592109, 0.0801985, 0.169333, 0.200159, 0.214553, 0.23528, 0.238843, 0.238955, 0.235523, 0.223191, 0.214778, 0.195389, 0.182986, 0.123541, 0.105695, 0.0883657, 0.0687432, 0.0599509, 0.0548604, 0.0457238, 0.0356394, 0.0288368, 0.0225194, 0.0157516, 0.0134507, 0.0108588, 0.00813044, 0.00448869, 0.00154005, 0.000530648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.144198, 0.177345, 0.248894, 0.251659, 0.256199, 0.260497, 0.2673, 0.287454, 0.365513, 0.386663, 0.429013, 0.473507, 0.562192, 0.587295, 0.634395, 0.669876, 0.694347, 0.735428, 0.747514, 0.771686, 0.82003, 0.868165, 0.894192, 0.946246, 1.01638, 1.08652, 1.15666, 1.29693");
-            values ( \
-              "0.0276908, 0.0905194, 0.25476, 0.263949, 0.269391, 0.270601, 0.269681, 0.263194, 0.235582, 0.227141, 0.206882, 0.17887, 0.118061, 0.102609, 0.0770617, 0.061305, 0.052021, 0.0390881, 0.035899, 0.0301911, 0.0211716, 0.0148011, 0.0121721, 0.00818183, 0.00473693, 0.00273549, 0.00157378, 0.000519907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.170239, 0.203812, 0.252155, 0.256947, 0.264546, 0.282665, 0.393274, 0.503507, 0.557877, 0.628014, 0.676951, 0.74272, 0.88183, 0.968414, 1.03855, 1.10468, 1.1549, 1.2419, 1.2797, 1.34365, 1.41379, 1.49872, 1.54894, 1.61908, 1.75936, 1.89963, 2.03991, 2.25032");
-            values ( \
-              "0.152381, 0.156682, 0.279176, 0.286713, 0.288626, 0.286152, 0.266302, 0.245423, 0.234002, 0.216402, 0.201253, 0.177879, 0.126208, 0.0974673, 0.0775266, 0.0617561, 0.051597, 0.0373882, 0.0324081, 0.0253322, 0.0192506, 0.0137606, 0.0112626, 0.00848918, 0.0048045, 0.00270949, 0.00152484, 0.00064406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.215567, 0.265817, 0.283714, 0.300086, 0.309245, 0.315155, 0.321909, 0.349191, 0.3664, 0.390268, 0.404911, 0.418789, 0.432448, 0.446087, 0.454174, 0.463204, 0.474391, 0.482112, 0.488646, 0.496578, 0.507153, 0.51389, 0.522665, 0.534366, 0.55049, 0.559855, 0.570643, 0.573805");
-            values ( \
-              "0.000748157, 0.00256167, 0.0048399, 0.00784453, 0.0104765, 0.0126751, 0.0158039, 0.0296426, 0.0362359, 0.0432291, 0.0461929, 0.0482101, 0.0478884, 0.0413258, 0.0335213, 0.0260346, 0.0185248, 0.0142394, 0.0113065, 0.00840475, 0.00553306, 0.00418539, 0.00289617, 0.00175182, 0.000836253, 0.00169473, 0.00152145, 0.00132776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.219323, 0.268593, 0.289344, 0.31514, 0.321398, 0.361993, 0.387793, 0.403295, 0.429755, 0.446225, 0.462478, 0.4787, 0.491324, 0.510198, 0.523974, 0.539064, 0.550461, 0.556094, 0.561937, 0.572981, 0.58476, 0.601098");
-            values ( \
-              "0.00144439, 0.00468499, 0.00897933, 0.0179859, 0.0214983, 0.0477933, 0.0606217, 0.0672905, 0.0765996, 0.0799038, 0.0789267, 0.0655488, 0.0511719, 0.0329222, 0.0226062, 0.0143864, 0.00998252, 0.00998789, 0.00900726, 0.0063397, 0.00413673, 0.00234059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.225648, 0.273094, 0.290063, 0.298915, 0.316621, 0.32669, 0.373393, 0.423123, 0.44716, 0.468802, 0.489171, 0.509553, 0.524392, 0.550479, 0.557176, 0.579411, 0.597503, 0.614212, 0.631001, 0.648557, 0.662377, 0.690015, 0.698874");
-            values ( \
-              "0.00274897, 0.0081253, 0.0131044, 0.0163514, 0.0248864, 0.0314349, 0.0669523, 0.0988403, 0.112197, 0.121891, 0.126833, 0.120472, 0.10431, 0.0722322, 0.0670386, 0.0439875, 0.0296855, 0.0203947, 0.0138116, 0.00917921, 0.00662279, 0.00335819, 0.00290071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.280543, 0.325418, 0.431717, 0.467726, 0.498397, 0.526129, 0.552685, 0.568298, 0.616556, 0.643438, 0.664893, 0.702463, 0.732556, 0.748514, 0.778736, 0.813722, 0.841885, 0.898209, 0.968347, 1.03848");
-            values ( \
-              "0.0249153, 0.0367243, 0.124291, 0.15244, 0.172737, 0.18644, 0.189321, 0.176398, 0.117592, 0.0889943, 0.0699982, 0.0444149, 0.0301985, 0.0244792, 0.0162559, 0.0100935, 0.0068221, 0.00299164, 0.0010242, 0.000351582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.2933, 0.33544, 0.37875, 0.485394, 0.532289, 0.550263, 0.55913, 0.572912, 0.614615, 0.651538, 0.676569, 0.711568, 0.765035, 0.802911, 0.831891, 0.869964, 0.899136, 0.938032, 0.974517, 0.989877, 1.0206, 1.07393, 1.09834, 1.13452, 1.18276, 1.2529, 1.32304, 1.39317, 1.53345");
-            values ( \
-              "0.0440315, 0.0489716, 0.0875189, 0.188448, 0.228931, 0.242019, 0.245212, 0.243731, 0.22714, 0.209801, 0.195232, 0.171854, 0.134504, 0.110063, 0.0930467, 0.0734964, 0.0607999, 0.0466901, 0.0361632, 0.0324091, 0.0259542, 0.0174534, 0.0145529, 0.0110901, 0.00767779, 0.00443996, 0.00256617, 0.00147324, 0.000485323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.318905, 0.372826, 0.537187, 0.556816, 0.565063, 0.705526, 0.775664, 0.836001, 0.941431, 1.11493, 1.22519, 1.28786, 1.3608, 1.46851, 1.56066, 1.63079, 1.73033, 1.86111, 2.00139, 2.14166, 2.17307");
-            values ( \
-              "0.0836968, 0.0854712, 0.256288, 0.273862, 0.275663, 0.250349, 0.236058, 0.221598, 0.188272, 0.124051, 0.0885995, 0.0718998, 0.0556798, 0.0374413, 0.0263509, 0.0200278, 0.0135211, 0.00799562, 0.00452501, 0.00255317, 0.00237838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.533047, 0.603586, 0.61809, 0.63743, 0.648983, 0.672089, 0.73168, 0.760549, 0.78516, 0.80763, 0.828988, 0.84998, 0.870955, 0.905275, 0.925853, 0.944247, 0.954215, 0.969043, 0.988813, 1.02249, 1.06189, 1.11143, 1.15929");
-            values ( \
-              "0.00197569, 0.00255676, 0.00316045, 0.00427247, 0.00515595, 0.00787678, 0.020244, 0.0251256, 0.0281808, 0.0301522, 0.0311958, 0.0313116, 0.0289539, 0.015264, 0.00910469, 0.00538501, 0.00397829, 0.00247963, 0.00125419, 0.000340353, 8.26762e-05, 3.63473e-05, 2.86533e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.532474, 0.598448, 0.62738, 0.650215, 0.674287, 0.695952, 0.748048, 0.785391, 0.814914, 0.841889, 0.867432, 0.892443, 0.917427, 0.949778, 0.981025, 1.00093, 1.0269, 1.04792, 1.07594, 1.11987, 1.21576, 1.23749, 1.26561");
-            values ( \
-              "0.00364373, 0.00416932, 0.00613372, 0.00847266, 0.0123867, 0.0178089, 0.0329284, 0.0412267, 0.046108, 0.0494457, 0.0513941, 0.0517797, 0.0469592, 0.0295308, 0.0160364, 0.0101375, 0.0052389, 0.00295831, 0.00128957, 0.000296655, 4.39658e-05, 0.000711151, 0.000460752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.532469, 0.610675, 0.624449, 0.642815, 0.666663, 0.691395, 0.743106, 0.777899, 0.795782, 0.827155, 0.864444, 0.898461, 0.930371, 0.961565, 0.992705, 1.0369, 1.05938, 1.07545, 1.08937, 1.10792, 1.12361, 1.14607, 1.17601, 1.21605, 1.23656, 1.25662");
-            values ( \
-              "0.00531739, 0.00764506, 0.00909236, 0.0114178, 0.0155501, 0.0216968, 0.0398848, 0.0511633, 0.0561753, 0.0639362, 0.071741, 0.0774441, 0.0812119, 0.0815195, 0.0714011, 0.0438907, 0.0318291, 0.0245169, 0.0192985, 0.0137547, 0.0101429, 0.00646529, 0.00342396, 0.00134609, 0.00195597, 0.00154383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.531772, 0.631448, 0.654462, 0.701224, 0.814409, 0.892077, 0.940584, 0.984213, 1.02506, 1.0655, 1.10587, 1.1663, 1.205, 1.27533, 1.30751, 1.36777, 1.41871");
-            values ( \
-              "0.00585842, 0.0141405, 0.0182252, 0.0304662, 0.0726616, 0.0963332, 0.109285, 0.118959, 0.124787, 0.121959, 0.102121, 0.0630984, 0.042028, 0.0183112, 0.0118367, 0.00508351, 0.00266003" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.599174, 0.698429, 1.01237, 1.10652, 1.16049, 1.21728, 1.31974, 1.38152, 1.43389, 1.50228, 1.53577, 1.60424, 1.71584, 1.85612, 1.99639");
-            values ( \
-              "0.0267623, 0.0356765, 0.15355, 0.179048, 0.184096, 0.170481, 0.103189, 0.070705, 0.0499317, 0.0308534, 0.0241899, 0.0145341, 0.00616999, 0.00205746, 0.000682905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.620978, 0.740849, 1.07758, 1.12671, 1.19685, 1.21618, 1.2278, 1.23943, 1.29012, 1.37634, 1.44648, 1.62002, 1.73028, 1.79294, 1.86588, 1.9736, 2.06574, 2.13588, 2.2354, 2.36618, 2.50645, 2.64673, 2.64949");
-            values ( \
-              "0.0354894, 0.0541627, 0.1972, 0.216162, 0.239778, 0.245136, 0.24588, 0.244773, 0.233961, 0.21163, 0.188296, 0.124038, 0.0885867, 0.0718885, 0.0556697, 0.0374513, 0.026342, 0.020036, 0.013514, 0.0079888, 0.00451803, 0.00254615, 0.0025309" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00272187, 0.00274693, 0.00277017, 0.00278753, 0.00279856, 0.00280491", \
-            "0.00342511, 0.0034507, 0.00347907, 0.0035033, 0.00352009, 0.00353021", \
-            "0.00389359, 0.00389431, 0.00390254, 0.0039153, 0.00392713, 0.0039354", \
-            "0.0042166, 0.00418506, 0.00416162, 0.00414101, 0.00413158, 0.00412851", \
-            "0.00445063, 0.00440452, 0.00435334, 0.00430112, 0.00426051, 0.00423432", \
-            "0.0046566, 0.00457336, 0.00449567, 0.00443082, 0.00437201, 0.00432037" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0040783, 0.00407991, 0.00408896, 0.00409948, 0.00410733, 0.00411214", \
-            "0.00440271, 0.00431118, 0.00422622, 0.00416123, 0.00412361, 0.00410533", \
-            "0.00450602, 0.00430444, 0.00412935, 0.00399842, 0.00391401, 0.0038619", \
-            "0.00520473, 0.00468042, 0.0042955, 0.00402709, 0.00385654, 0.00375787", \
-            "0.00548756, 0.00551116, 0.00504403, 0.00437553, 0.00401607, 0.00380849", \
-            "0.00519117, 0.00532963, 0.00545695, 0.00535287, 0.00450253, 0.00405228" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0196134, 0.0237741, 0.0244462, 0.0263064, 0.0321989, 0.0356346, 0.0391314, 0.0426409, 0.0468321, 0.056534, 0.0639666, 0.0698993, 0.0767907, 0.0848492, 0.0913436");
-            values ( \
-              "-0.00704355, -0.174957, -0.182158, -0.187142, -0.190966, -0.18964, -0.185087, -0.173897, -0.148949, -0.0761412, -0.04144, -0.0247284, -0.0132961, -0.00634369, -0.00368759" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0198827, 0.0247916, 0.0251128, 0.027353, 0.0289321, 0.034896, 0.0374012, 0.041651, 0.0424658, 0.0440954, 0.0528267, 0.0769812, 0.0945483, 0.109656");
-            values ( \
-              "-0.0127797, -0.250401, -0.245473, -0.257569, -0.252666, -0.260058, -0.252918, -0.256581, -0.249506, -0.254034, -0.234977, -0.0840087, -0.0290518, -0.0125984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0196924, 0.0257786, 0.028045, 0.0320954, 0.0417971, 0.0551659, 0.0636337, 0.0679154, 0.0724657, 0.0821647, 0.097324, 0.110567, 0.122239, 0.130355, 0.140173, 0.14911, 0.158545, 0.165794, 0.180292, 0.199193");
-            values ( \
-              "-0.0278487, -0.306665, -0.311636, -0.313564, -0.311391, -0.303483, -0.294521, -0.287789, -0.277888, -0.244086, -0.163344, -0.105123, -0.0686337, -0.0502806, -0.0341561, -0.0238809, -0.0163127, -0.0120449, -0.00658835, -0.00321926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0195867, 0.0269624, 0.0282122, 0.0319788, 0.0457399, 0.0612228, 0.0799378, 0.0946049, 0.103923, 0.110502, 0.123659, 0.127496, 0.15899, 0.179196, 0.197169, 0.211257, 0.228258, 0.24375, 0.260099, 0.272658, 0.297775, 0.327745");
-            values ( \
-              "-0.0509747, -0.350083, -0.352384, -0.354363, -0.352002, -0.346678, -0.33774, -0.328087, -0.318671, -0.310072, -0.284433, -0.273832, -0.168763, -0.113812, -0.077886, -0.0570701, -0.0388055, -0.0270747, -0.0184796, -0.0137145, -0.00743963, -0.00398514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0223681, 0.0250367, 0.0281146, 0.0298689, 0.0349499, 0.0473985, 0.074841, 0.101336, 0.12836, 0.155534, 0.173374, 0.185005, 0.208267, 0.21638, 0.272564, 0.296326, 0.313779, 0.333182, 0.359052, 0.382959, 0.400171, 0.429825, 0.460195, 0.483811, 0.531042, 0.586663, 0.697903");
-            values ( \
-              "-0.256078, -0.363406, -0.377432, -0.379094, -0.380319, -0.378617, -0.372929, -0.366413, -0.358343, -0.347767, -0.337852, -0.329482, -0.304568, -0.29199, -0.18384, -0.143828, -0.118798, -0.0952164, -0.0699748, -0.0523161, -0.04226, -0.0289932, -0.0196942, -0.0145217, -0.00773309, -0.00363592, -0.000797941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0223278, 0.0259984, 0.0291103, 0.0326501, 0.0389983, 0.0775654, 0.139043, 0.171734, 0.235734, 0.27609, 0.32939, 0.341808, 0.356, 0.390777, 0.403564, 0.485448, 0.515069, 0.573886, 0.605376, 0.634555, 0.682478, 0.702712, 0.741114, 0.795161, 0.830553, 0.852686, 0.896951, 0.952571, 1.00819, 1.06381, 1.17505, 1.28629");
-            values ( \
-              "-0.270281, -0.385738, -0.393257, -0.395076, -0.395355, -0.391114, -0.383221, -0.37839, -0.36737, -0.358366, -0.341171, -0.335523, -0.327956, -0.302198, -0.289998, -0.202613, -0.173525, -0.124316, -0.102963, -0.0860115, -0.0634642, -0.0556952, -0.0433002, -0.0301549, -0.0237879, -0.0204889, -0.0151536, -0.0103327, -0.00703408, -0.00478233, -0.00220615, -0.00101615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0300909, 0.0451259, 0.0509309, 0.0517611, 0.0535116, 0.0579194, 0.0612317, 0.0656249, 0.0753469, 0.0827514, 0.0886993, 0.0967358, 0.103613, 0.108611");
-            values ( \
-              "-0.00104186, -0.139173, -0.17521, -0.187355, -0.189311, -0.184491, -0.174829, -0.149086, -0.0759074, -0.041355, -0.0248316, -0.011867, -0.00624761, -0.0049175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.031718, 0.0448516, 0.0524307, 0.0546374, 0.0611381, 0.0650902, 0.0717031, 0.0776673, 0.0862346, 0.0931178, 0.100712, 0.10992, 0.118236, 0.127885, 0.13555, 0.146554");
-            values ( \
-              "-0.0105288, -0.184867, -0.253502, -0.255503, -0.25248, -0.247824, -0.230747, -0.201072, -0.13852, -0.0966811, -0.0626585, -0.0357334, -0.0210923, -0.0113359, -0.00683089, -0.00355756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0322237, 0.0439138, 0.0519276, 0.0531268, 0.0564838, 0.0656619, 0.078109, 0.093098, 0.100896, 0.129415, 0.141014, 0.152639, 0.167897, 0.184565, 0.196645");
-            values ( \
-              "-0.00223234, -0.214633, -0.302061, -0.311103, -0.312034, -0.308909, -0.299708, -0.273149, -0.243981, -0.104943, -0.0687013, -0.0439094, -0.023839, -0.0120926, -0.00907898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0321783, 0.0482071, 0.0532497, 0.0575534, 0.0641382, 0.076338, 0.0985402, 0.111828, 0.117437, 0.123221, 0.129308, 0.141482, 0.146289, 0.176886, 0.189879, 0.197548, 0.209774, 0.223746, 0.23725, 0.246976, 0.262756, 0.278667, 0.290928, 0.31545, 0.360519, 0.413858");
-            values ( \
-              "-0.0173538, -0.293507, -0.352403, -0.353117, -0.351983, -0.348012, -0.338004, -0.329163, -0.324251, -0.318082, -0.310086, -0.286867, -0.273839, -0.171566, -0.134049, -0.114878, -0.0890452, -0.0656034, -0.0485309, -0.0388913, -0.0269174, -0.0185637, -0.013894, -0.00763985, -0.00230681, -0.000506484" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.032092, 0.05086, 0.0540485, 0.0641448, 0.0936354, 0.120101, 0.147105, 0.174898, 0.202831, 0.209676, 0.217499, 0.235085, 0.30323, 0.331602, 0.348715, 0.363946, 0.388197, 0.415125, 0.446575, 0.457455, 0.48267, 0.511488, 0.567108, 0.622729, 0.733969");
-            values ( \
-              "-0.026212, -0.346191, -0.379538, -0.378884, -0.372963, -0.366448, -0.35836, -0.347382, -0.330417, -0.324473, -0.316536, -0.292107, -0.162838, -0.11992, -0.098743, -0.0826266, -0.0617268, -0.0442943, -0.0297182, -0.0258847, -0.0187613, -0.0129012, -0.00608151, -0.00284783, -0.000620075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0320658, 0.0515608, 0.0538824, 0.0580429, 0.140287, 0.211049, 0.260835, 0.295053, 0.348227, 0.361515, 0.376701, 0.409654, 0.515429, 0.585669, 0.646346, 0.688693, 0.727353, 0.778901, 0.820307, 0.866096, 0.921716, 0.977336, 1.08858, 1.12791");
-            values ( \
-              "-0.0143455, -0.380955, -0.393239, -0.394806, -0.385681, -0.375204, -0.366145, -0.35838, -0.341212, -0.335161, -0.326929, -0.302304, -0.191686, -0.129857, -0.0900616, -0.0689295, -0.0537372, -0.0382132, -0.0289718, -0.0212906, -0.0145477, -0.00991979, -0.00459076, -0.00395172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0563295, 0.0630499, 0.0671282, 0.0706913, 0.0775213, 0.0871372, 0.0925943, 0.0975224, 0.102159, 0.107048, 0.109383, 0.112625, 0.112872, 0.113749, 0.118002, 0.121602, 0.123257, 0.126569, 0.128637, 0.131091, 0.133709, 0.137388, 0.138865, 0.141818, 0.147724, 0.158447, 0.170985");
-            values ( \
-              "-0.00347114, -0.0356104, -0.0483623, -0.0634385, -0.0854569, -0.112927, -0.127378, -0.138581, -0.144111, -0.132861, -0.119192, -0.0973936, -0.0981082, -0.0956141, -0.0709493, -0.0532615, -0.0464616, -0.0350105, -0.0292651, -0.0235881, -0.0186961, -0.0133794, -0.0117263, -0.00893578, -0.00515522, -0.00173542, -0.000477249" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.0562942, 0.0710187, 0.0774417, 0.0870953, 0.101589, 0.107699, 0.11259, 0.113504, 0.117281, 0.133662, 0.138576, 0.143828, 0.149674, 0.157983, 0.162172, 0.163728, 0.166842, 0.17307, 0.20055, 0.220694");
-            values ( \
-              "-0.00228826, -0.0851308, -0.114634, -0.1521, -0.202746, -0.219014, -0.22345, -0.228052, -0.2141, -0.10269, -0.077844, -0.056975, -0.0396125, -0.0230704, -0.0194162, -0.015672, -0.0146334, -0.00807829, -0.000293338, -0.00125707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0622853, 0.084301, 0.0968952, 0.107011, 0.114148, 0.115783, 0.120942, 0.124253, 0.128528, 0.133087, 0.142786, 0.157951, 0.163719, 0.171189, 0.177929, 0.182856, 0.189227, 0.194468, 0.200793, 0.209729, 0.219159, 0.226403, 0.240891, 0.266884, 0.297772");
-            values ( \
-              "-0.0568911, -0.172535, -0.229388, -0.271692, -0.300008, -0.302635, -0.298362, -0.294497, -0.287726, -0.277934, -0.244099, -0.163333, -0.135902, -0.10514, -0.082529, -0.068683, -0.0538359, -0.0439228, -0.0341971, -0.0238443, -0.0162778, -0.0120915, -0.00655436, -0.00197725, -0.000435609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0626564, 0.0735689, 0.0802179, 0.0829206, 0.0883262, 0.0943627, 0.105099, 0.112529, 0.113641, 0.114849, 0.11611, 0.118631, 0.121411, 0.125896, 0.131244, 0.139175, 0.148306, 0.155451, 0.170537, 0.174167, 0.178316, 0.186615, 0.187972, 0.196116, 0.212645, 0.220205, 0.235253, 0.24111, 0.247576, 0.256198, 0.268229, 0.271747, 0.278784, 0.289402, 0.304621, 0.311201, 0.324361, 0.350681, 0.39299, 0.443219");
-            values ( \
-              "-0.0518702, -0.130845, -0.172233, -0.187434, -0.216511, -0.247486, -0.30046, -0.335407, -0.343209, -0.347387, -0.347734, -0.347314, -0.346521, -0.34483, -0.34253, -0.338527, -0.333009, -0.327615, -0.311069, -0.30528, -0.29772, -0.277865, -0.273955, -0.247502, -0.190761, -0.16667, -0.12456, -0.110613, -0.096697, -0.0804425, -0.061737, -0.0570953, -0.0487381, -0.03825, -0.0268354, -0.0230227, -0.0168761, -0.00887995, -0.00292965, -0.000735305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0628684, 0.0796732, 0.0876413, 0.114492, 0.11703, 0.119266, 0.123739, 0.134351, 0.162071, 0.181377, 0.202718, 0.216839, 0.244798, 0.251464, 0.259082, 0.276991, 0.293024, 0.331516, 0.34812, 0.369451, 0.385498, 0.402105, 0.424247, 0.444199, 0.470801, 0.493255, 0.503354, 0.523552, 0.563949, 0.619569, 0.67519, 0.73081");
-            values ( \
-              "-0.0533802, -0.17984, -0.228572, -0.372287, -0.376937, -0.375845, -0.375479, -0.373233, -0.366449, -0.360867, -0.353453, -0.347384, -0.330393, -0.324612, -0.316934, -0.292162, -0.262406, -0.187036, -0.158083, -0.125614, -0.104869, -0.0864624, -0.0663301, -0.0519927, -0.0372528, -0.0280318, -0.0246496, -0.0190316, -0.0111836, -0.00526944, -0.00246203, -0.00115767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0757717, 0.0967024, 0.114615, 0.120194, 0.23198, 0.304198, 0.336995, 0.390191, 0.403331, 0.418348, 0.451568, 0.557435, 0.627627, 0.688273, 0.730629, 0.769311, 0.820886, 0.862263, 0.908024, 0.963644, 1.01926, 1.1305, 1.17431");
-            values ( \
-              "-0.289583, -0.290307, -0.391788, -0.392914, -0.37853, -0.365855, -0.358376, -0.341207, -0.335223, -0.327105, -0.302332, -0.191625, -0.129847, -0.0900716, -0.068933, -0.0537325, -0.0382029, -0.0289694, -0.0212928, -0.0145495, -0.00992073, -0.00459111, -0.0038794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.101416, 0.116106, 0.123991, 0.13948, 0.157556, 0.166088, 0.173712, 0.180743, 0.187535, 0.194799, 0.201542, 0.209118, 0.215284, 0.218561, 0.22293, 0.22695, 0.233358, 0.241901, 0.24896, 0.253253, 0.258415, 0.263266, 0.264523");
-            values ( \
-              "-0.000459147, -0.017399, -0.0253623, -0.0489157, -0.071932, -0.0818641, -0.0900464, -0.0959977, -0.0975701, -0.0830886, -0.057694, -0.0348848, -0.0219649, -0.0169714, -0.0119092, -0.00850662, -0.00494945, -0.00233764, -0.00124416, -0.00321543, -0.00284646, -0.00157457, -0.0014813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.108232, 0.116968, 0.120402, 0.124748, 0.130569, 0.141679, 0.156554, 0.157212, 0.158527, 0.161158, 0.165602, 0.169333, 0.175038, 0.179728, 0.18906, 0.197695, 0.206126, 0.214531, 0.215386, 0.217097, 0.220519, 0.226062, 0.228279, 0.22874, 0.22966, 0.2315, 0.235181, 0.240028, 0.241558, 0.244617, 0.249164, 0.252331, 0.256028, 0.257736, 0.261153, 0.266986, 0.27645, 0.28035");
-            values ( \
-              "-0.0174113, -0.0262801, -0.0303815, -0.0365412, -0.0463142, -0.0696863, -0.095175, -0.0964381, -0.0986259, -0.102907, -0.110071, -0.115978, -0.124815, -0.131814, -0.144588, -0.15351, -0.153005, -0.127698, -0.125571, -0.117065, -0.102756, -0.0790498, -0.0715148, -0.0694516, -0.066462, -0.060221, -0.0494348, -0.0374158, -0.0343505, -0.028583, -0.0217867, -0.0215039, -0.0185359, -0.0164399, -0.0132809, -0.00909791, -0.00482361, -0.00398272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.106703, 0.125277, 0.127126, 0.129592, 0.134524, 0.138464, 0.146344, 0.152388, 0.164476, 0.172307, 0.187908, 0.201302, 0.21332, 0.224536, 0.235721, 0.246894, 0.249692, 0.251986, 0.254184, 0.256459, 0.260874, 0.266282, 0.269543, 0.273891, 0.282278, 0.284961, 0.288052, 0.292172, 0.300412, 0.305796, 0.307546, 0.311046, 0.318045, 0.327171");
-            values ( \
-              "-0.021756, -0.0471242, -0.0501573, -0.0548231, -0.0650657, -0.0744279, -0.0935866, -0.106767, -0.131767, -0.147315, -0.177379, -0.201422, -0.220124, -0.231354, -0.222234, -0.179259, -0.1671, -0.160605, -0.151882, -0.141378, -0.122268, -0.101335, -0.090165, -0.0768415, -0.0558596, -0.0503671, -0.0446647, -0.0379831, -0.0272992, -0.0219705, -0.0204732, -0.0177644, -0.0133384, -0.00946478" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.132643, 0.161612, 0.180527, 0.194381, 0.204776, 0.215172, 0.219633, 0.224095, 0.228556, 0.233018, 0.235049, 0.237081, 0.239112, 0.242141, 0.245131, 0.249149, 0.249269, 0.24999, 0.250559, 0.251127, 0.251695, 0.253737, 0.257068, 0.260895, 0.264565, 0.268156, 0.271748, 0.274142, 0.278931, 0.281569, 0.286844, 0.30996, 0.314286, 0.321804, 0.328189, 0.333514, 0.341744, 0.345755, 0.349766, 0.355823, 0.361881, 0.365316, 0.368751, 0.372186, 0.377524, 0.382862, 0.386678, 0.39431, 0.399785, 0.404763");
-            values ( \
-              "-0.134435, -0.143854, -0.187649, -0.218884, -0.24062, -0.2609, -0.271608, -0.282914, -0.294818, -0.30732, -0.307786, -0.308605, -0.309777, -0.312181, -0.315325, -0.320303, -0.321642, -0.323802, -0.324061, -0.324127, -0.324058, -0.322685, -0.319359, -0.314926, -0.309951, -0.303698, -0.296724, -0.291674, -0.280612, -0.273483, -0.256534, -0.177522, -0.164371, -0.142946, -0.126177, -0.113288, -0.0947081, -0.0871983, -0.0801317, -0.0704655, -0.0614765, -0.0566802, -0.0522453, -0.0481717, -0.0428234, -0.0379488, -0.0349371, -0.0292746, -0.0255086, -0.0222996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.114252, 0.124806, 0.142543, 0.165835, 0.22702, 0.249221, 0.252266, 0.255552, 0.301222, 0.324336, 0.339208, 0.368483, 0.423882, 0.464941, 0.486745, 0.511664, 0.539027, 0.558509, 0.596845, 0.619527, 0.664893, 0.720513, 0.72743");
-            values ( \
-              "-0.0412408, -0.0606695, -0.102232, -0.165294, -0.312638, -0.360998, -0.365483, -0.366576, -0.351412, -0.33989, -0.329473, -0.295686, -0.189303, -0.122949, -0.0959121, -0.0714033, -0.0511811, -0.0401147, -0.0246512, -0.0184563, -0.0100893, -0.00477554, -0.00454464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.124262, 0.158097, 0.210556, 0.25175, 0.267714, 0.320043, 0.377093, 0.417974, 0.429975, 0.453976, 0.48501, 0.54063, 0.570345, 0.64417, 0.697323, 0.72946, 0.768385, 0.819705, 0.842601, 0.881033, 0.932276, 0.962607, 0.992167, 1.03158, 1.0872, 1.14282, 1.19844, 1.30968, 1.42092");
-            values ( \
-              "-0.0761701, -0.151544, -0.289099, -0.388151, -0.386561, -0.379277, -0.369784, -0.361311, -0.358336, -0.351636, -0.340322, -0.30605, -0.276852, -0.197952, -0.148301, -0.123157, -0.0974192, -0.0706197, -0.0609168, -0.0474488, -0.0338033, -0.0275259, -0.0225641, -0.0173292, -0.0117671, -0.00807239, -0.00543295, -0.00248948, -0.00112927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.227807, 0.256419, 0.259453, 0.262487, 0.265738, 0.26899, 0.272241, 0.275492, 0.277729, 0.282203, 0.288454, 0.292468, 0.296483, 0.300497, 0.303858, 0.307219, 0.31058, 0.313941, 0.325858, 0.33676, 0.339353, 0.341945, 0.344538, 0.34713, 0.349717, 0.352304, 0.354891, 0.357478, 0.357642, 0.359172, 0.360483, 0.360921, 0.362669, 0.364417, 0.365686, 0.372564, 0.374291, 0.376018, 0.377145, 0.3794, 0.381863, 0.383199, 0.384535, 0.385871, 0.387208, 0.388544, 0.38988, 0.392229, 0.394579, 0.397015");
-            values ( \
-              "-0.0173018, -0.0196114, -0.0213721, -0.023174, -0.0252922, -0.0273632, -0.029387, -0.0313636, -0.0326791, -0.0352771, -0.0388333, -0.0410717, -0.0432744, -0.0454416, -0.0472177, -0.048967, -0.0506896, -0.0523855, -0.0581835, -0.0635288, -0.063607, -0.0635801, -0.063448, -0.0632109, -0.0628694, -0.0624233, -0.0618725, -0.0612171, -0.0608647, -0.0588625, -0.056876, -0.0561802, -0.0531419, -0.0500108, -0.047634, -0.0345109, -0.0315317, -0.0287028, -0.0269855, -0.0236472, -0.0201465, -0.0184361, -0.0168615, -0.0155082, -0.0142335, -0.0130375, -0.0119201, -0.0102125, -0.0086155, -0.00707625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.230648, 0.260674, 0.263629, 0.265798, 0.270136, 0.27859, 0.281732, 0.286591, 0.291449, 0.297947, 0.311099, 0.317114, 0.325223, 0.329277, 0.333331, 0.340542, 0.347753, 0.354372, 0.360992, 0.364152, 0.367313, 0.370473, 0.373634, 0.376787, 0.379941, 0.383094, 0.386247, 0.386496, 0.387489, 0.388978, 0.389971, 0.391957, 0.395806, 0.401393, 0.406319, 0.408207, 0.410096, 0.411564, 0.414501, 0.417597, 0.420037, 0.42085, 0.422118, 0.424655, 0.425923, 0.427759, 0.429595, 0.431432, 0.433268, 0.436382");
-            values ( \
-              "-0.0285475, -0.0303865, -0.0326522, -0.0344115, -0.0380103, -0.0451984, -0.0477784, -0.0516269, -0.0554008, -0.0603315, -0.0699987, -0.0743387, -0.079907, -0.0826261, -0.0853018, -0.0898421, -0.0944679, -0.0987898, -0.103184, -0.103005, -0.102618, -0.102022, -0.101218, -0.100207, -0.0989885, -0.0975623, -0.0959283, -0.0956768, -0.0939775, -0.0910735, -0.0890345, -0.0846369, -0.0758845, -0.0626355, -0.0514139, -0.047564, -0.0438903, -0.0412026, -0.036052, -0.0309479, -0.0273049, -0.0261755, -0.0246272, -0.0217363, -0.0203936, -0.0186008, -0.0169318, -0.0153868, -0.0139657, -0.0118217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.19963, 0.220131, 0.232982, 0.240916, 0.256784, 0.264514, 0.27578, 0.288455, 0.320161, 0.344341, 0.364878, 0.383286, 0.400202, 0.416468, 0.433447, 0.453989, 0.466775, 0.47696, 0.488653, 0.499107, 0.502911, 0.511461, 0.521232, 0.540775, 0.550742, 0.553491");
-            values ( \
-              "-0.00799557, -0.012794, -0.0192707, -0.0240856, -0.0347853, -0.0412511, -0.0518693, -0.0651683, -0.0944727, -0.115044, -0.131322, -0.144518, -0.154385, -0.158034, -0.139193, -0.0880173, -0.0603369, -0.0431888, -0.0287055, -0.0195508, -0.0169749, -0.0123038, -0.00843088, -0.00378807, -0.00254219, -0.00306552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.218971, 0.253919, 0.264507, 0.339028, 0.3848, 0.40794, 0.43619, 0.458663, 0.48061, 0.504654, 0.533586, 0.550057, 0.575163, 0.584851, 0.602012, 0.621637, 0.637308, 0.668649, 0.715431, 0.771051");
-            values ( \
-              "-0.0167617, -0.0402764, -0.0494177, -0.127765, -0.171687, -0.19229, -0.214724, -0.227908, -0.227115, -0.186316, -0.116848, -0.0849976, -0.0514969, -0.0413207, -0.0277105, -0.0175168, -0.0120533, -0.00552917, -0.00155969, -0.000321343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.218895, 0.275258, 0.329187, 0.382004, 0.445204, 0.482006, 0.515041, 0.546035, 0.555102, 0.562947, 0.577459, 0.636577, 0.675019, 0.702795, 0.724808, 0.744094, 0.76359, 0.791518, 0.803598, 0.82776, 0.876082, 0.931703, 0.987323, 1.04294");
-            values ( \
-              "-0.0120193, -0.0659239, -0.12753, -0.184926, -0.249531, -0.28351, -0.309319, -0.322584, -0.320849, -0.313105, -0.291984, -0.178596, -0.118468, -0.0861346, -0.066119, -0.0522483, -0.041041, -0.0287529, -0.0247058, -0.0180676, -0.00953354, -0.00444846, -0.00211497, -0.000956243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.265804, 0.324146, 0.396495, 0.472557, 0.538927, 0.549964, 0.557283, 0.565817, 0.598948, 0.629137, 0.651767, 0.681941, 0.691807, 0.711538, 0.751351, 0.85713, 0.888027, 0.927366, 0.956144, 0.988039, 1.03039, 1.06905, 1.1206, 1.162, 1.20778, 1.2634, 1.31902, 1.37464, 1.43026, 1.54151, 1.65275");
-            values ( \
-              "-0.113112, -0.126968, -0.211117, -0.294915, -0.36201, -0.372288, -0.373976, -0.373212, -0.366799, -0.360329, -0.354342, -0.344292, -0.340348, -0.330809, -0.302317, -0.191667, -0.162459, -0.129843, -0.109473, -0.0900764, -0.0689179, -0.0537256, -0.0382255, -0.0289606, -0.0212804, -0.01456, -0.00990908, -0.00676127, -0.0045799, -0.00210741, -0.000965565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.392034, 0.435072, 0.455083, 0.477853, 0.494983, 0.512553, 0.535412, 0.566477, 0.62175, 0.640921, 0.658461, 0.674951, 0.69119, 0.703346, 0.725014, 0.738129, 0.750283, 0.758942, 0.776259, 0.798658, 0.808432");
-            values ( \
-              "-0.000808809, -0.00204464, -0.00313614, -0.00473556, -0.0062859, -0.00838523, -0.0124395, -0.020263, -0.0323699, -0.0359938, -0.0387857, -0.0406713, -0.0401936, -0.0337775, -0.0152255, -0.0079916, -0.00421907, -0.00268719, -0.00107623, -0.000421924, -0.000343355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.434028, 0.478494, 0.493579, 0.511491, 0.540266, 0.60043, 0.623538, 0.649236, 0.671889, 0.692628, 0.712165, 0.731497, 0.745972, 0.772721, 0.786286, 0.795691, 0.81151, 0.832602, 0.859075, 0.880484");
-            values ( \
-              "-0.00637169, -0.00767765, -0.00973225, -0.0126716, -0.0193077, -0.0393834, -0.0465324, -0.0538993, -0.0598574, -0.064419, -0.0674094, -0.0661217, -0.0547554, -0.0248902, -0.0145868, -0.00982642, -0.00487407, -0.00187888, -0.000437799, -0.000312868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.433241, 0.485015, 0.512906, 0.529359, 0.560516, 0.608203, 0.623932, 0.655388, 0.693732, 0.72209, 0.74801, 0.772666, 0.797273, 0.815709, 0.839239, 0.852074, 0.857693, 0.86893, 0.883303, 0.892319, 0.910352, 0.94207, 0.979619, 1.08201, 1.13764, 1.19326, 1.21587, 1.23274");
-            values ( \
-              "-0.00721126, -0.0123651, -0.0181565, -0.0225185, -0.0328841, -0.0531429, -0.0593262, -0.0715401, -0.085037, -0.0940538, -0.100911, -0.105123, -0.10103, -0.0815112, -0.0505925, -0.0364174, -0.031522, -0.0225047, -0.0145033, -0.0111357, -0.0059389, -0.00200601, -0.000334298, -1e-22, -0.000134238, -1e-22, -0.000118046, -0.000793869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.432817, 0.505751, 0.540377, 0.582486, 0.638058, 0.672475, 0.721612, 0.763099, 0.800098, 0.834125, 0.866666, 0.89988, 0.910376, 0.949321, 0.975265, 1.00031, 1.02613, 1.0509, 1.07921, 1.13132, 1.18694, 1.21596, 1.23479, 1.25918");
-            values ( \
-              "-0.00591556, -0.0214021, -0.0319521, -0.0490443, -0.0757292, -0.0912164, -0.111955, -0.128111, -0.141096, -0.150984, -0.155993, -0.143437, -0.131848, -0.0801631, -0.0517918, -0.0323929, -0.0192893, -0.0115022, -0.00616257, -0.00167793, -0.000402239, -0.000210927, -0.00119288, -0.000837211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.488076, 0.559482, 0.596543, 0.659636, 0.745145, 0.810611, 0.866212, 0.916111, 0.962047, 1.00648, 1.05385, 1.11925, 1.14768, 1.17845, 1.21586, 1.25369, 1.28286, 1.31575, 1.37137, 1.42699, 1.48261");
-            values ( \
-              "-0.0370639, -0.0449761, -0.0616336, -0.0938738, -0.134856, -0.164188, -0.187408, -0.206072, -0.219546, -0.222616, -0.189957, -0.110597, -0.0823857, -0.0583657, -0.0376474, -0.0246204, -0.016978, -0.0109804, -0.00522244, -0.00239449, -0.00116794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.487579, 0.574078, 0.607831, 0.789979, 0.876426, 0.980996, 1.01516, 1.08342, 1.10869, 1.14692, 1.20254, 1.2155, 1.23772, 1.30594, 1.35203, 1.38586, 1.41455, 1.44647, 1.48883, 1.52754, 1.57914, 1.62049, 1.66622, 1.72184, 1.77746, 1.83308, 1.8887, 1.99994, 2.11118");
-            values ( \
-              "-0.0332202, -0.0558131, -0.0718417, -0.165602, -0.207577, -0.255159, -0.269494, -0.294874, -0.302473, -0.310181, -0.303, -0.295291, -0.274789, -0.201483, -0.157488, -0.129849, -0.109529, -0.0900769, -0.0689422, -0.0537299, -0.0381907, -0.0289685, -0.0212955, -0.0145522, -0.00992152, -0.00675238, -0.00459084, -0.00211744, -0.000974995" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00270252, 0.00272578, 0.00274913, 0.00276769, 0.00278016, 0.00278751", \
-            "0.00318119, 0.00319457, 0.0032116, 0.00322807, 0.00324055, 0.00324858", \
-            "0.0034814, 0.00348367, 0.00348884, 0.00349679, 0.00350504, 0.00351144", \
-            "0.00366094, 0.00365847, 0.00365735, 0.0036564, 0.00365716, 0.00365928", \
-            "0.00375929, 0.00375448, 0.00374828, 0.0037414, 0.00373602, 0.00373317", \
-            "0.00381338, 0.00380801, 0.0038009, 0.00379152, 0.00378216, 0.00377463" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00367425, 0.00368998, 0.00370531, 0.00371529, 0.00371956, 0.00372285", \
-            "0.00403644, 0.00402056, 0.00400726, 0.00399712, 0.00399038, 0.00398595", \
-            "0.0042708, 0.00420919, 0.00415452, 0.00411332, 0.00408673, 0.0040712", \
-            "0.00460254, 0.00443887, 0.00429661, 0.00419822, 0.0041346, 0.0040941", \
-            "0.00519759, 0.00489036, 0.00460009, 0.00437947, 0.00423497, 0.00414903", \
-            "0.00603133, 0.00576553, 0.00515716, 0.00474455, 0.00445226, 0.00426806" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(A0 & ~A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(A0 * !A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0409205, 0.0529866, 0.0760271, 0.120637, 0.207821, 0.378841", \
-            "0.0467427, 0.0586708, 0.0820254, 0.126824, 0.214105, 0.385209", \
-            "0.0614904, 0.0735485, 0.0963578, 0.141174, 0.228589, 0.399744", \
-            "0.0881678, 0.105094, 0.13094, 0.175297, 0.262509, 0.433746", \
-            "0.129012, 0.154047, 0.193168, 0.251093, 0.339519, 0.509947", \
-            "0.197177, 0.232322, 0.28884, 0.375648, 0.500963, 0.681651" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0353686, 0.0523237, 0.0857837, 0.151572, 0.280803, 0.534862", \
-            "0.0355949, 0.052403, 0.0857734, 0.15155, 0.280841, 0.534863", \
-            "0.0400973, 0.0544875, 0.0860786, 0.151555, 0.280804, 0.534862", \
-            "0.0597013, 0.0715539, 0.0963656, 0.154091, 0.280804, 0.534894", \
-            "0.0919081, 0.110189, 0.138355, 0.184751, 0.291914, 0.534798", \
-            "0.14232, 0.16944, 0.212434, 0.275776, 0.371369, 0.570649" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0336828, 0.0443945, 0.0650738, 0.105309, 0.184113, 0.338846", \
-            "0.0380445, 0.0488356, 0.0696056, 0.109952, 0.188825, 0.343608", \
-            "0.0475748, 0.0592368, 0.0800253, 0.120452, 0.199425, 0.354288", \
-            "0.0578574, 0.0748239, 0.10201, 0.143855, 0.223293, 0.378129", \
-            "0.0625004, 0.0871393, 0.126812, 0.187521, 0.276277, 0.431923", \
-            "0.0504166, 0.0848895, 0.140984, 0.228567, 0.35961, 0.550802" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0310124, 0.0451962, 0.0731568, 0.128244, 0.236374, 0.449136", \
-            "0.0311319, 0.0452096, 0.0731601, 0.128149, 0.236492, 0.449136", \
-            "0.0373771, 0.0489116, 0.0741459, 0.128178, 0.236372, 0.449133", \
-            "0.0535665, 0.0669907, 0.0892674, 0.134277, 0.236608, 0.449173", \
-            "0.0805033, 0.098654, 0.12801, 0.174954, 0.258583, 0.45235", \
-            "0.126761, 0.151054, 0.191277, 0.254713, 0.352593, 0.5161" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0280831, 0.0299208, 0.0313269, 0.0324876, 0.0335932, 0.0358043, 0.0380531, 0.0426004, 0.0515099, 0.0580121, 0.0693382, 0.0769206, 0.0811089, 0.0865738, 0.0912024, 0.0973738, 0.102262, 0.108619, 0.117037, 0.127062, 0.135201, 0.151477, 0.174052, 0.201936");
-            values ( \
-              "0.00586062, 0.137163, 0.142337, 0.144898, 0.146528, 0.14747, 0.146631, 0.14155, 0.126012, 0.110463, 0.072596, 0.0521685, 0.0432426, 0.0336915, 0.0272138, 0.020302, 0.0160773, 0.011804, 0.00775913, 0.00472191, 0.00312841, 0.00131748, 0.000366493, 6.49295e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0296233, 0.0311427, 0.0331887, 0.0364924, 0.0397943, 0.0484691, 0.0525168, 0.064145, 0.0697401, 0.0791393, 0.0878032, 0.0942146, 0.102708, 0.112251, 0.117476, 0.126022, 0.133652, 0.140374, 0.147296, 0.157208, 0.170171, 0.187457, 0.214952, 0.24761");
-            values ( \
-              "0.154767, 0.184712, 0.193391, 0.196963, 0.197608, 0.188369, 0.182495, 0.164055, 0.152979, 0.128914, 0.103424, 0.0864009, 0.0673468, 0.0499845, 0.0423253, 0.0318899, 0.0245879, 0.0194827, 0.0152525, 0.0106922, 0.00668822, 0.00348308, 0.00115713, 0.000285898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0296502, 0.0326114, 0.0358831, 0.0379789, 0.0407734, 0.0437362, 0.0471223, 0.0519412, 0.0749601, 0.0875436, 0.10031, 0.103294, 0.13661, 0.153268, 0.16667, 0.173816, 0.18705, 0.198186, 0.207303, 0.218357, 0.23396, 0.2504, 0.263024, 0.288273, 0.333508, 0.387336");
-            values ( \
-              "0.115186, 0.227569, 0.236383, 0.238514, 0.239142, 0.23801, 0.235762, 0.231578, 0.208383, 0.194045, 0.176002, 0.170818, 0.105371, 0.07798, 0.0599891, 0.0519091, 0.0392794, 0.0307871, 0.025152, 0.0196143, 0.0136536, 0.00932057, 0.00694934, 0.00374354, 0.00114952, 0.00023631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0288161, 0.034725, 0.0380463, 0.0403657, 0.0450046, 0.0580002, 0.10977, 0.132157, 0.142824, 0.156494, 0.181429, 0.218404, 0.247174, 0.270215, 0.282246, 0.304837, 0.323999, 0.339744, 0.358582, 0.38518, 0.413026, 0.434383, 0.477096, 0.547237, 0.617378");
-            values ( \
-              "0.0775472, 0.260004, 0.266327, 0.267992, 0.268264, 0.261893, 0.23022, 0.214759, 0.206254, 0.193598, 0.164969, 0.119726, 0.0891328, 0.0687537, 0.0596902, 0.0452684, 0.0354579, 0.0289181, 0.0225738, 0.0157294, 0.0107701, 0.00805131, 0.00436154, 0.001525, 0.000502068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0313794, 0.0484941, 0.101307, 0.177703, 0.21739, 0.246082, 0.264585, 0.301591, 0.393133, 0.45764, 0.484121, 0.513242, 0.55207, 0.574543, 0.612748, 0.656411, 0.70193, 0.770993, 0.841134, 0.911274, 0.981415, 1.1217");
-            values ( \
-              "0.285879, 0.286605, 0.269478, 0.243164, 0.227931, 0.214953, 0.205126, 0.181964, 0.119151, 0.0817797, 0.0691778, 0.0571204, 0.0437862, 0.0374028, 0.0284704, 0.0206554, 0.0147254, 0.00873221, 0.00506572, 0.00292293, 0.00168783, 0.000560407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0339614, 0.0339814, 0.130004, 0.310811, 0.380952, 0.441399, 0.476644, 0.546784, 0.720348, 0.830634, 0.91766, 0.966312, 1.03645, 1.08724, 1.1663, 1.23644, 1.29051, 1.39668, 1.53696, 1.67724, 1.79518");
-            values ( \
-              "1e-22, 0.315242, 0.283355, 0.250345, 0.23604, 0.221561, 0.211593, 0.18824, 0.124004, 0.0885639, 0.0660927, 0.0556518, 0.043061, 0.0356199, 0.0263317, 0.0200175, 0.016179, 0.0105958, 0.00600944, 0.00339298, 0.00229737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0437224, 0.0481303, 0.0508746, 0.0525917, 0.0553332, 0.0575336, 0.0603606, 0.0659551, 0.0692307, 0.0773227, 0.0925307, 0.101046, 0.110725, 0.120768, 0.128929, 0.136944, 0.153656, 0.168492, 0.174631");
-            values ( \
-              "0.00469585, 0.0851058, 0.102807, 0.122572, 0.134786, 0.138974, 0.139937, 0.134692, 0.129402, 0.111695, 0.0624364, 0.0428077, 0.0274541, 0.0170331, 0.0114639, 0.00768853, 0.00334085, 0.00153011, 0.00134959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.044995, 0.0490279, 0.052631, 0.0563963, 0.0577317, 0.0602127, 0.0625765, 0.06728, 0.0728623, 0.0837805, 0.089252, 0.0989332, 0.113822, 0.122301, 0.131847, 0.137083, 0.14565, 0.153259, 0.166883, 0.176795, 0.189761, 0.207048, 0.232005");
-            values ( \
-              "0.00907337, 0.118779, 0.160991, 0.183514, 0.187206, 0.190602, 0.191054, 0.187931, 0.180887, 0.163817, 0.15321, 0.128395, 0.0863893, 0.0673852, 0.0499936, 0.0423307, 0.0318716, 0.0245846, 0.0152663, 0.0106973, 0.00669019, 0.00348754, 0.00136995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0461269, 0.051705, 0.0548819, 0.0610784, 0.0652497, 0.0700099, 0.0719223, 0.0757472, 0.0831321, 0.0931832, 0.0949477, 0.0984765, 0.105534, 0.107536, 0.111539, 0.119545, 0.121268, 0.124712, 0.13039, 0.137147, 0.15066, 0.156679, 0.15772, 0.159802, 0.163965, 0.169743, 0.177823, 0.188108, 0.190361, 0.194866, 0.203876, 0.216624, 0.221163, 0.23024, 0.248395, 0.257072, 0.260411, 0.26709, 0.280448, 0.29965, 0.334998, 0.384621");
-            values ( \
-              "0.000428949, 0.18155, 0.214699, 0.235823, 0.232735, 0.235094, 0.228839, 0.230177, 0.218269, 0.212634, 0.206001, 0.206895, 0.194091, 0.196355, 0.186533, 0.179488, 0.171925, 0.170544, 0.155053, 0.14592, 0.113925, 0.107612, 0.101091, 0.10196, 0.0903222, 0.0855693, 0.0692022, 0.0605388, 0.0534499, 0.0530159, 0.0397724, 0.0340881, 0.0266013, 0.0257652, 0.0132752, 0.0149156, 0.00953141, 0.0122705, 0.0051345, 0.00685079, 1e-22, 0.00270148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0475293, 0.0543755, 0.0579342, 0.0603824, 0.0643987, 0.0689584, 0.0748653, 0.0816161, 0.119043, 0.151238, 0.158474, 0.176651, 0.196467, 0.219707, 0.246624, 0.258675, 0.282779, 0.296388, 0.311406, 0.33143, 0.345516, 0.36955, 0.397017, 0.416587, 0.446596, 0.486608, 0.556749, 0.62689");
-            values ( \
-              "0.0600284, 0.231255, 0.253205, 0.26048, 0.265455, 0.265848, 0.263484, 0.25977, 0.237126, 0.21557, 0.209971, 0.193547, 0.171228, 0.142262, 0.110607, 0.0977606, 0.0749603, 0.0640584, 0.0534986, 0.0416941, 0.0348432, 0.0254776, 0.0176093, 0.0135155, 0.00895898, 0.00509089, 0.0017553, 0.000604815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0529123, 0.0529323, 0.10505, 0.197977, 0.229648, 0.259574, 0.28483, 0.331128, 0.409469, 0.451858, 0.493606, 0.515108, 0.556107, 0.591202, 0.620223, 0.654387, 0.702666, 0.752934, 0.791445, 0.861586, 0.931727, 0.991407");
-            values ( \
-              "1e-22, 0.304212, 0.274981, 0.243171, 0.231169, 0.218232, 0.205157, 0.175654, 0.121726, 0.0958622, 0.0741406, 0.0645536, 0.0490316, 0.0383789, 0.0312228, 0.0243754, 0.0170166, 0.0116869, 0.00873924, 0.00505515, 0.0029314, 0.00225634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0506889, 0.064661, 0.0717031, 0.150213, 0.331032, 0.401173, 0.461619, 0.496864, 0.567005, 0.740568, 0.850853, 0.913554, 0.98653, 1.0877, 1.18651, 1.25665, 1.35622, 1.48703, 1.62731, 1.76759, 1.80908");
-            values ( \
-              "0.257209, 0.294498, 0.29665, 0.283444, 0.250348, 0.236036, 0.221565, 0.21159, 0.188244, 0.124001, 0.0885615, 0.0718656, 0.0556496, 0.0383607, 0.02633, 0.0200207, 0.0135102, 0.00798884, 0.0045207, 0.00254996, 0.00231988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0683439, 0.095078, 0.0988479, 0.105971, 0.109265, 0.110716, 0.11256, 0.112993, 0.113532, 0.114126, 0.115315, 0.116537, 0.117741, 0.12015, 0.123478, 0.129121, 0.132091, 0.136051, 0.139713, 0.144274, 0.150354, 0.154789, 0.157193, 0.161175, 0.166419, 0.167908, 0.170888, 0.175997, 0.183268, 0.187998, 0.190947, 0.196847, 0.208646, 0.229909, 0.255161");
-            values ( \
-              "0.000288432, 0.075311, 0.0843063, 0.0998009, 0.105778, 0.108036, 0.110572, 0.113562, 0.1155, 0.116646, 0.117894, 0.118328, 0.117985, 0.115573, 0.109027, 0.0918266, 0.0815696, 0.068862, 0.0588454, 0.0480784, 0.0364567, 0.0297762, 0.0266442, 0.0220585, 0.0171776, 0.0159746, 0.0138505, 0.0107646, 0.00752087, 0.005933, 0.00514464, 0.00380735, 0.00209084, 0.000622311, 0.00015537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.070086, 0.100921, 0.106195, 0.112603, 0.114685, 0.116078, 0.118863, 0.122171, 0.127082, 0.129847, 0.135377, 0.142509, 0.158076, 0.166745, 0.172359, 0.179587, 0.185046, 0.190937, 0.201194, 0.205994, 0.215595, 0.223352, 0.231949, 0.243411, 0.266336, 0.296318, 0.333312");
-            values ( \
-              "0.00209212, 0.120844, 0.138254, 0.157056, 0.167795, 0.170722, 0.173341, 0.172302, 0.166792, 0.162559, 0.152259, 0.134462, 0.0897313, 0.0699816, 0.0589777, 0.0469296, 0.0393332, 0.032338, 0.0227786, 0.0192678, 0.0137016, 0.0103736, 0.00760756, 0.00499459, 0.00204434, 0.000598975, 0.00011093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0781315, 0.0846969, 0.116856, 0.119376, 0.123913, 0.128335, 0.140172, 0.152156, 0.161009, 0.177455, 0.201201, 0.21392, 0.228455, 0.24328, 0.251944, 0.263272, 0.272689, 0.283669, 0.299212, 0.315428, 0.327856, 0.352712, 0.384359");
-            values ( \
-              "0.0488894, 0.0742772, 0.216631, 0.220557, 0.222253, 0.219613, 0.208319, 0.194852, 0.182944, 0.153802, 0.106446, 0.0849493, 0.0641755, 0.0474998, 0.0395252, 0.030878, 0.0250625, 0.0195495, 0.0136531, 0.00937449, 0.0069947, 0.0038316, 0.00195997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0799686, 0.092083, 0.112565, 0.114522, 0.11579, 0.118325, 0.121062, 0.125839, 0.129945, 0.14232, 0.174221, 0.197468, 0.218658, 0.228241, 0.238149, 0.273257, 0.296204, 0.31025, 0.334955, 0.348419, 0.357359, 0.371474, 0.389803, 0.40204, 0.418356, 0.448322, 0.462075, 0.482849, 0.510546, 0.565942, 0.636082, 0.706223");
-            values ( \
-              "0.0445147, 0.120094, 0.22373, 0.239591, 0.245426, 0.252892, 0.256808, 0.258379, 0.257235, 0.250548, 0.230775, 0.214747, 0.19679, 0.186781, 0.175359, 0.131904, 0.105812, 0.0913188, 0.0691621, 0.0590434, 0.0529877, 0.0444976, 0.0352413, 0.0300881, 0.024282, 0.0161899, 0.0134419, 0.010123, 0.00689399, 0.00307075, 0.00106007, 0.000359687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0811099, 0.115832, 0.120709, 0.131324, 0.138223, 0.147865, 0.162567, 0.166904, 0.17558, 0.189519, 0.204123, 0.23333, 0.243299, 0.263235, 0.327267, 0.330257, 0.336236, 0.348195, 0.363878, 0.382066, 0.409617, 0.442575, 0.447973, 0.45877, 0.516689, 0.543716, 0.550178, 0.5631, 0.588945, 0.632342, 0.643524, 0.646005, 0.650966, 0.660889, 0.677835, 0.709416, 0.752802, 0.76962, 0.803257, 0.87053, 0.94067, 1.01081, 1.08095, 1.15109");
-            values ( \
-              "0.0490758, 0.265736, 0.278605, 0.281918, 0.277958, 0.276868, 0.26987, 0.27051, 0.265516, 0.262925, 0.255858, 0.247798, 0.242103, 0.236811, 0.207902, 0.204035, 0.202727, 0.193278, 0.185226, 0.170609, 0.153426, 0.128644, 0.127246, 0.118054, 0.0841157, 0.0730021, 0.0679168, 0.0645046, 0.0522958, 0.0405751, 0.035433, 0.0369495, 0.0335576, 0.0333437, 0.0274924, 0.0237635, 0.0153587, 0.0155737, 0.0101811, 0.00777135, 0.00279964, 0.00331006, 0.000212645, 0.00181583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0819108, 0.116956, 0.124015, 0.130971, 0.230491, 0.376454, 0.446595, 0.506938, 0.542236, 0.612377, 0.785939, 0.896224, 0.958924, 1.0319, 1.13307, 1.23188, 1.30202, 1.40158, 1.53239, 1.67267, 1.81295, 1.85438");
-            values ( \
-              "0.0611365, 0.279695, 0.292836, 0.294354, 0.277225, 0.250338, 0.236026, 0.221578, 0.21159, 0.188244, 0.124002, 0.0885624, 0.0718666, 0.0556507, 0.0383617, 0.0263311, 0.0200207, 0.0135112, 0.0079897, 0.00452137, 0.00255052, 0.00232063" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124535, 0.146438, 0.151725, 0.166156, 0.175069, 0.193192, 0.203395, 0.212666, 0.22146, 0.230256, 0.241072, 0.248951, 0.251869, 0.262647, 0.269487, 0.278754, 0.286499, 0.290571, 0.296598, 0.304633, 0.320702, 0.328269");
-            values ( \
-              "0.000784901, 0.0146037, 0.0194537, 0.0357683, 0.0445586, 0.0604876, 0.0680172, 0.0733638, 0.0757045, 0.0711113, 0.0516718, 0.0388285, 0.0370853, 0.0246345, 0.0179338, 0.0115181, 0.00783449, 0.00640866, 0.00474708, 0.00316972, 0.00134458, 0.00102082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.131668, 0.143027, 0.150205, 0.192556, 0.206627, 0.218716, 0.22969, 0.24019, 0.249045, 0.251103, 0.272095, 0.284114, 0.294348, 0.304621, 0.318688, 0.326668, 0.330267, 0.337465, 0.351861, 0.409837");
-            values ( \
-              "0.0107863, 0.0174764, 0.0247096, 0.0828419, 0.100294, 0.113103, 0.121698, 0.123938, 0.114476, 0.113715, 0.065564, 0.04488, 0.0318782, 0.022297, 0.0133859, 0.0109015, 0.00867383, 0.00753392, 0.00364854, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.135266, 0.155851, 0.209371, 0.226811, 0.236518, 0.2418, 0.252357, 0.255474, 0.259897, 0.268742, 0.276846, 0.301808, 0.314399, 0.323625, 0.335367, 0.344365, 0.353447, 0.365575, 0.376429, 0.390902, 0.403359, 0.420148, 0.442534, 0.484398, 0.533277");
-            values ( \
-              "0.00995777, 0.0392833, 0.131498, 0.159065, 0.172276, 0.178255, 0.189307, 0.189951, 0.186939, 0.175306, 0.160506, 0.110214, 0.0886254, 0.074584, 0.0591624, 0.0492216, 0.0406517, 0.0312, 0.0245233, 0.017652, 0.0132259, 0.00894133, 0.00524083, 0.00175791, 0.0004805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.142308, 0.165761, 0.233926, 0.25259, 0.256371, 0.261007, 0.269088, 0.288154, 0.299832, 0.322596, 0.347192, 0.382652, 0.415993, 0.449548, 0.471308, 0.491308, 0.508041, 0.526643, 0.553054, 0.580207, 0.600957, 0.642456, 0.712597, 0.782738");
-            values ( \
-              "0.0226298, 0.0623566, 0.201272, 0.235684, 0.239317, 0.239161, 0.235549, 0.223134, 0.214712, 0.195304, 0.167292, 0.12361, 0.0880592, 0.059931, 0.0459306, 0.0356361, 0.0286959, 0.0224354, 0.0157037, 0.01086, 0.00815609, 0.00452951, 0.00155906, 0.000538046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.142992, 0.184383, 0.248849, 0.25163, 0.256191, 0.26052, 0.267332, 0.278628, 0.335429, 0.365176, 0.386973, 0.429309, 0.474212, 0.562763, 0.588264, 0.635226, 0.669818, 0.693606, 0.73561, 0.748018, 0.772834, 0.822467, 0.86896, 0.894202, 0.944686, 1.01483, 1.08497, 1.15511, 1.29539");
-            values ( \
-              "0.0163618, 0.106197, 0.255211, 0.264422, 0.269769, 0.27088, 0.269843, 0.266243, 0.246728, 0.235801, 0.227092, 0.206853, 0.178551, 0.117865, 0.102202, 0.0768109, 0.0614683, 0.0524106, 0.0391446, 0.0358693, 0.0300324, 0.0208573, 0.0147638, 0.0122181, 0.00831523, 0.0048192, 0.00278253, 0.00160406, 0.000531609" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.169025, 0.204636, 0.251942, 0.256548, 0.260155, 0.264866, 0.283046, 0.379106, 0.516916, 0.558287, 0.628428, 0.677133, 0.743073, 0.882229, 0.968848, 1.03899, 1.10517, 1.15543, 1.22353, 1.28023, 1.34421, 1.41435, 1.49938, 1.54966, 1.6198, 1.68994, 1.76008, 1.90036, 2.04064, 2.25107");
-            values ( \
-              "0.132981, 0.159119, 0.279316, 0.286803, 0.288316, 0.288833, 0.28614, 0.268911, 0.242776, 0.233941, 0.21637, 0.201286, 0.177825, 0.126155, 0.0974186, 0.0775095, 0.0617233, 0.0515726, 0.0401077, 0.032393, 0.025326, 0.0192415, 0.0137564, 0.011252, 0.0084873, 0.00638606, 0.0048057, 0.00271205, 0.00152793, 0.000641807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.221371, 0.261884, 0.275666, 0.282866, 0.297266, 0.308828, 0.315031, 0.323303, 0.344333, 0.355101, 0.373747, 0.389852, 0.40458, 0.418507, 0.432208, 0.445889, 0.453926, 0.462903, 0.474415, 0.482374, 0.491122, 0.496168, 0.50626, 0.516802, 0.523139, 0.535814, 0.550628, 0.559796, 0.569648");
-            values ( \
-              "0.00108244, 0.00253954, 0.00414569, 0.00509538, 0.00757613, 0.0106921, 0.0129798, 0.0168411, 0.0274543, 0.0318678, 0.0384888, 0.0428653, 0.0461352, 0.0479291, 0.0478769, 0.0411264, 0.0335495, 0.0260076, 0.0183298, 0.0140295, 0.0102534, 0.00850414, 0.00568904, 0.00369778, 0.00285496, 0.0016273, 0.000861903, 0.00170399, 0.0015476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.225918, 0.268337, 0.275733, 0.288952, 0.313342, 0.323012, 0.351913, 0.37026, 0.39277, 0.412145, 0.429689, 0.446175, 0.462452, 0.478698, 0.491328, 0.503264, 0.510289, 0.524202, 0.531114, 0.539131, 0.550694, 0.556351, 0.562241, 0.573188, 0.584865, 0.597856");
-            values ( \
-              "0.00206892, 0.00509461, 0.00650347, 0.00935624, 0.0175046, 0.0227716, 0.0418618, 0.0518718, 0.062655, 0.0704852, 0.076401, 0.0798193, 0.0787765, 0.0654976, 0.0510597, 0.0389543, 0.032806, 0.022452, 0.0183563, 0.0143746, 0.0099353, 0.00994191, 0.00895702, 0.0063299, 0.0041577, 0.00272883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.233616, 0.273462, 0.289063, 0.299234, 0.319576, 0.329832, 0.373337, 0.394872, 0.423057, 0.447119, 0.468779, 0.489162, 0.509559, 0.524389, 0.550652, 0.557315, 0.579373, 0.597612, 0.615424, 0.631642, 0.648185, 0.661026, 0.686708, 0.692882");
-            values ( \
-              "0.00393554, 0.00877248, 0.0133544, 0.0170255, 0.0269917, 0.0339397, 0.0667678, 0.0811624, 0.0986592, 0.112156, 0.121738, 0.126808, 0.120328, 0.104224, 0.0719498, 0.0667778, 0.0440183, 0.0296433, 0.0198826, 0.0136609, 0.00930748, 0.00688315, 0.00368407, 0.00333414" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.275121, 0.314721, 0.327027, 0.431818, 0.467784, 0.49843, 0.526148, 0.552697, 0.568302, 0.61661, 0.643456, 0.688756, 0.732753, 0.74868, 0.779007, 0.814161, 0.842477, 0.89911, 0.914345");
-            values ( \
-              "0.020163, 0.030185, 0.0382389, 0.124484, 0.152583, 0.17285, 0.186509, 0.189344, 0.176345, 0.117465, 0.0889417, 0.052658, 0.0301572, 0.0244656, 0.0162323, 0.010063, 0.00679221, 0.00296459, 0.00254291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.293278, 0.336941, 0.379528, 0.485667, 0.532471, 0.550249, 0.559111, 0.57284, 0.612221, 0.660001, 0.680337, 0.802422, 0.830653, 0.869843, 0.900047, 0.940318, 0.974927, 1.01876, 1.07357, 1.1367, 1.18742, 1.25757, 1.32771, 1.35584");
-            values ( \
-              "0.0393227, 0.0504292, 0.0883559, 0.188872, 0.229272, 0.242163, 0.245324, 0.243819, 0.22816, 0.205105, 0.192873, 0.110403, 0.0937826, 0.0735991, 0.0604829, 0.0460022, 0.036103, 0.0263476, 0.0175289, 0.0109308, 0.00742318, 0.00429321, 0.00248274, 0.0020587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.319528, 0.374108, 0.559623, 0.734025, 0.83786, 0.930805, 1.10885, 1.2353, 1.38506, 1.46925, 1.56941, 1.68795, 1.84889, 2.12023");
-            values ( \
-              "0.0806988, 0.0870212, 0.277969, 0.245163, 0.221463, 0.192386, 0.125892, 0.0860251, 0.0507945, 0.0371303, 0.0252427, 0.0157652, 0.00818043, 0.002649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.533157, 0.608236, 0.637384, 0.651203, 0.669629, 0.685221, 0.716406, 0.745257, 0.782309, 0.805168, 0.826818, 0.848043, 0.86925, 0.900745, 0.92444, 0.938652, 0.951562, 0.967172, 0.979598, 1.00445, 1.04182, 1.08731, 1.21591, 1.24008, 1.27483");
-            values ( \
-              "0.00212262, 0.00304258, 0.00462482, 0.00582168, 0.00812542, 0.0110065, 0.0176248, 0.0227917, 0.0277373, 0.0296326, 0.0308852, 0.0309387, 0.0287914, 0.0162498, 0.00904834, 0.00608035, 0.00412279, 0.0025254, 0.00167053, 0.000689637, 0.000156897, 4.95965e-05, 2.37124e-05, 0.000409938, 0.000151794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.532857, 0.60029, 0.645329, 0.667023, 0.690457, 0.748117, 0.783194, 0.813138, 0.840389, 0.866142, 0.891321, 0.916472, 0.980459, 1.00006, 1.02644, 1.04807, 1.06816");
-            values ( \
-              "0.00403046, 0.00467046, 0.00842452, 0.0116325, 0.0169333, 0.0329574, 0.040535, 0.0456163, 0.0489463, 0.051065, 0.051425, 0.0467929, 0.015913, 0.0101475, 0.00520351, 0.00289506, 0.0017362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.532212, 0.60542, 0.646808, 0.669775, 0.712392, 0.776086, 0.824787, 0.86249, 0.896648, 0.92876, 0.960082, 0.991358, 1.03901, 1.07432, 1.1068, 1.1226, 1.14522, 1.17539, 1.21571, 1.23624, 1.28638, 1.33606");
-            values ( \
-              "0.00606848, 0.00778073, 0.0127651, 0.0169809, 0.0292813, 0.0506969, 0.0633145, 0.071246, 0.077057, 0.080733, 0.0812902, 0.0710983, 0.0418699, 0.0245012, 0.0136756, 0.0101718, 0.00648766, 0.00334326, 0.00138012, 0.00194247, 0.000635542, 0.000216947" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.531984, 0.628546, 0.680221, 0.698313, 0.807178, 0.891053, 0.939762, 0.983529, 1.02446, 1.06498, 1.10542, 1.18915, 1.21574, 1.2667, 1.31013, 1.33725, 1.39151, 1.41971");
-            values ( \
-              "0.00622451, 0.0144186, 0.0247963, 0.0300713, 0.0701231, 0.0958697, 0.108961, 0.118625, 0.124571, 0.121731, 0.102009, 0.0497641, 0.0369972, 0.0205122, 0.0113881, 0.00781749, 0.0035594, 0.00262013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.599662, 0.696349, 1.01298, 1.10658, 1.16059, 1.21744, 1.31985, 1.38176, 1.43442, 1.50251, 1.58389, 1.66943, 1.73957, 1.80971, 1.87599");
-            values ( \
-              "0.0278201, 0.0354082, 0.153582, 0.178913, 0.183994, 0.170417, 0.103175, 0.0706563, 0.0498105, 0.0308536, 0.0169471, 0.00891253, 0.00516517, 0.00298658, 0.00222499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.645261, 0.803746, 1.07767, 1.12626, 1.1964, 1.21579, 1.22752, 1.23922, 1.28967, 1.3759, 1.44604, 1.61964, 1.72993, 1.79262, 1.86559, 1.97335, 2.06558, 2.13572, 2.23526, 2.36604, 2.50632, 2.6466, 2.68761");
-            values ( \
-              "0.0409619, 0.0805572, 0.19745, 0.216187, 0.239797, 0.245165, 0.245863, 0.244722, 0.233942, 0.211603, 0.188258, 0.123997, 0.0885566, 0.0718613, 0.0556463, 0.0374361, 0.0263256, 0.0200269, 0.0135074, 0.00798591, 0.00451695, 0.00254576, 0.0023194" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0027056, 0.00273092, 0.00275438, 0.00277186, 0.00278298, 0.00278937", \
-            "0.00340499, 0.00343151, 0.00346071, 0.00348552, 0.00350263, 0.00351294", \
-            "0.00386177, 0.00386543, 0.00387648, 0.00389138, 0.00390455, 0.00391359", \
-            "0.00416918, 0.00414702, 0.00412389, 0.00410858, 0.00410305, 0.00410268", \
-            "0.00439501, 0.00435392, 0.00430629, 0.00425868, 0.00422357, 0.00420254", \
-            "0.00457766, 0.0045084, 0.00444329, 0.00438506, 0.00432959, 0.00428274" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00397392, 0.00398424, 0.00400063, 0.00401609, 0.00402685, 0.00403321", \
-            "0.00423313, 0.00416176, 0.00408916, 0.00405031, 0.00402799, 0.00401351", \
-            "0.00427326, 0.00410879, 0.00396362, 0.00385473, 0.00378193, 0.00374616", \
-            "0.00478342, 0.00437935, 0.00406562, 0.00384451, 0.00370377, 0.00362083", \
-            "0.00554672, 0.00538929, 0.0046236, 0.00411523, 0.00381963, 0.00365045", \
-            "0.0053176, 0.00543282, 0.00550973, 0.00502449, 0.00421001, 0.00383989" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0192201, 0.0274121, 0.0345131, 0.0397508, 0.0451888, 0.0499814, 0.0599462, 0.0689722, 0.0757619, 0.0846731, 0.092527, 0.105644, 0.115562, 0.12413");
-            values ( \
-              "-0.0135507, -0.146549, -0.148881, -0.148004, -0.142694, -0.130113, -0.0857241, -0.0543038, -0.0371091, -0.0218735, -0.0135101, -0.00591344, -0.00309007, -0.00197341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0231648, 0.0239964, 0.0254701, 0.0280973, 0.0362716, 0.0427199, 0.0492467, 0.0528313, 0.0559105, 0.0620687, 0.0676336, 0.0778073, 0.089063, 0.0961331, 0.102707, 0.106994, 0.116402, 0.121502, 0.130489, 0.140916, 0.149261, 0.165949, 0.178139");
-            values ( \
-              "-0.155796, -0.185268, -0.196107, -0.19882, -0.200097, -0.199124, -0.196359, -0.193474, -0.18982, -0.177169, -0.157843, -0.116702, -0.0786527, -0.0597154, -0.0457431, -0.0382353, -0.0255499, -0.020441, -0.0136816, -0.00858515, -0.00587302, -0.0026599, -0.00171892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0235331, 0.0257263, 0.0288667, 0.0333123, 0.0438644, 0.053262, 0.0656394, 0.0763188, 0.0836884, 0.0880502, 0.0967739, 0.120573, 0.137718, 0.150866, 0.160152, 0.172346, 0.179274, 0.193129, 0.214276, 0.231401, 0.264224");
-            values ( \
-              "-0.211415, -0.240026, -0.243271, -0.243652, -0.24264, -0.240518, -0.235801, -0.228576, -0.219041, -0.211307, -0.18952, -0.120485, -0.0804277, -0.0575395, -0.0449466, -0.0322814, -0.0266462, -0.0180145, -0.0098783, -0.00599083, -0.00220455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0238144, 0.0246293, 0.026506, 0.0295475, 0.0329839, 0.0479415, 0.0682533, 0.0972921, 0.116779, 0.123214, 0.13703, 0.157517, 0.188662, 0.212909, 0.229625, 0.242839, 0.260457, 0.283768, 0.29771, 0.321957, 0.349854, 0.37212, 0.41665, 0.480053, 0.543456");
-            values ( \
-              "-0.246804, -0.263503, -0.272769, -0.274906, -0.275051, -0.273561, -0.270133, -0.26274, -0.254041, -0.249862, -0.236956, -0.204484, -0.145756, -0.10608, -0.0835097, -0.0686661, -0.0524487, -0.0362922, -0.0290435, -0.0194584, -0.012289, -0.00848919, -0.00387334, -0.00120588, -0.000350446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0238836, 0.0255247, 0.028279, 0.0326835, 0.0909501, 0.148068, 0.183442, 0.219774, 0.232962, 0.259338, 0.345516, 0.40174, 0.449526, 0.507356, 0.535038, 0.591951, 0.626538, 0.689941, 0.772311");
-            values ( \
-              "-0.268313, -0.289113, -0.294063, -0.294731, -0.289183, -0.280901, -0.273304, -0.260081, -0.252463, -0.230778, -0.138394, -0.0903766, -0.0610659, -0.0371004, -0.0290618, -0.0174236, -0.012715, -0.00703645, -0.00385164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.02385, 0.0267418, 0.0354476, 0.151067, 0.259746, 0.327226, 0.396251, 0.421407, 0.471717, 0.634823, 0.741104, 0.830347, 0.941182, 1.05675, 1.11902, 1.21963, 1.40984, 1.43153");
-            values ( \
-              "-0.280314, -0.303707, -0.306084, -0.299002, -0.289973, -0.282044, -0.268848, -0.261261, -0.239194, -0.143725, -0.0939128, -0.0637864, -0.0384822, -0.0223314, -0.0166331, -0.0102523, -0.00404832, -0.00383098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0320288, 0.0467748, 0.0509263, 0.0526093, 0.0589872, 0.0622012, 0.0657802, 0.0682885, 0.0807659, 0.0873602, 0.095406, 0.10025, 0.105875, 0.115869, 0.123673, 0.12999, 0.142626, 0.144509");
-            values ( \
-              "-0.0049186, -0.117255, -0.136892, -0.149513, -0.14741, -0.144727, -0.137712, -0.129658, -0.0761298, -0.054093, -0.0343827, -0.0257824, -0.0183634, -0.00984149, -0.0060273, -0.00401621, -0.00172436, -0.00164712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0353075, 0.0413713, 0.0520899, 0.0533949, 0.0643398, 0.0676244, 0.0741647, 0.0781357, 0.0813419, 0.10202, 0.113805, 0.123309, 0.130305, 0.13665, 0.14511, 0.15063, 0.165227, 0.18191, 0.191846");
-            values ( \
-              "-0.00943368, -0.116912, -0.195675, -0.200977, -0.19795, -0.196221, -0.190382, -0.182681, -0.174381, -0.0959723, -0.0614643, -0.0417118, -0.0309688, -0.0235393, -0.0161731, -0.0126501, -0.00654781, -0.00296766, -0.0021002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0336586, 0.0467378, 0.0509345, 0.0525991, 0.0537176, 0.0630147, 0.0735209, 0.082356, 0.0877511, 0.0950812, 0.106054, 0.109766, 0.114009, 0.130711, 0.140969, 0.147325, 0.159121, 0.170645, 0.179957, 0.18748, 0.196063, 0.207507, 0.216567, 0.225833, 0.238187, 0.262896, 0.300217, 0.34539");
-            values ( \
-              "-0.00232233, -0.191533, -0.22338, -0.24269, -0.244025, -0.242491, -0.240015, -0.236685, -0.233793, -0.228177, -0.212536, -0.204413, -0.193248, -0.143664, -0.115574, -0.0998835, -0.0748272, -0.0556799, -0.0434293, -0.0354177, -0.027969, -0.0202892, -0.0156993, -0.0120694, -0.00846226, -0.00403444, -0.00121497, -0.000255011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0347225, 0.0491714, 0.0521292, 0.0530964, 0.0542442, 0.0855716, 0.0974417, 0.115955, 0.124245, 0.135458, 0.14077, 0.151393, 0.155717, 0.164364, 0.178339, 0.207215, 0.231388, 0.248187, 0.26145, 0.279135, 0.291915, 0.302315, 0.316182, 0.340498, 0.357323, 0.368513, 0.390893, 0.435654, 0.470503");
-            values ( \
-              "-0.0231148, -0.236566, -0.271701, -0.274896, -0.27528, -0.270402, -0.267888, -0.262744, -0.259603, -0.254036, -0.250655, -0.241646, -0.236929, -0.225004, -0.200313, -0.145842, -0.106177, -0.0835797, -0.0686744, -0.052327, -0.0428555, -0.0363439, -0.0290615, -0.0195019, -0.0147774, -0.0122968, -0.00843663, -0.00386726, -0.00238603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0379424, 0.0491173, 0.0518137, 0.0542627, 0.122866, 0.143815, 0.186023, 0.219742, 0.230715, 0.251679, 0.289651, 0.346381, 0.368395, 0.391659, 0.421655, 0.444768, 0.467974, 0.49905, 0.527114, 0.564532, 0.596487, 0.639783, 0.69751, 0.760913, 0.824316, 0.951122");
-            values ( \
-              "-0.0974045, -0.253298, -0.285173, -0.295075, -0.287538, -0.284661, -0.277131, -0.267868, -0.263577, -0.252541, -0.218826, -0.156607, -0.134399, -0.113271, -0.0895605, -0.0742787, -0.0612962, -0.0469296, -0.0367731, -0.0264241, -0.0197775, -0.0133633, -0.00786405, -0.00428819, -0.00240404, -0.000745191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0394766, 0.0523445, 0.0547399, 0.0570156, 0.170021, 0.233424, 0.301193, 0.346179, 0.369188, 0.415206, 0.44036, 0.49067, 0.519491, 0.613351, 0.653776, 0.699975, 0.760057, 0.810459, 0.849306, 0.908955, 0.960133, 1.0123, 1.0757, 1.13797, 1.17519, 1.23859, 1.30199, 1.36539, 1.4288, 1.5556, 1.74581");
-            values ( \
-              "-0.151666, -0.298111, -0.308562, -0.306107, -0.298999, -0.294145, -0.287622, -0.282045, -0.278419, -0.268849, -0.26126, -0.239196, -0.222845, -0.166347, -0.143726, -0.120146, -0.093914, -0.0756533, -0.0637854, -0.0486798, -0.0384823, -0.0301879, -0.0223312, -0.0166336, -0.0138972, -0.0102526, -0.00751711, -0.00554279, -0.00404794, -0.00217291, -0.000872124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0609357, 0.0681415, 0.0743878, 0.0804613, 0.0922083, 0.0983397, 0.103923, 0.109338, 0.112657, 0.11387, 0.11917, 0.124893, 0.128064, 0.132935, 0.137424, 0.140516, 0.144539, 0.147822, 0.151918, 0.157704, 0.163918, 0.168708, 0.17829, 0.191243");
-            values ( \
-              "-0.0217209, -0.0395199, -0.0597802, -0.0746023, -0.100746, -0.112953, -0.121788, -0.120606, -0.109967, -0.109157, -0.0873434, -0.0661067, -0.055986, -0.0427156, -0.0330376, -0.0275206, -0.021606, -0.0176949, -0.0137362, -0.00955367, -0.00646793, -0.00476808, -0.00255002, -0.00118124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.0616347, 0.0685266, 0.074335, 0.081394, 0.092191, 0.100935, 0.10858, 0.112613, 0.114029, 0.115544, 0.119805, 0.122595, 0.126218, 0.138865, 0.150529, 0.156326, 0.160668, 0.165411, 0.173682, 0.177574, 0.185359, 0.191566, 0.198476, 0.207689, 0.226115, 0.239326");
-            values ( \
-              "-0.0195875, -0.0552133, -0.0787166, -0.10308, -0.135292, -0.159417, -0.177992, -0.18517, -0.189613, -0.189687, -0.181503, -0.174443, -0.161817, -0.111186, -0.07335, -0.0584484, -0.0490297, -0.0402413, -0.0282719, -0.0238947, -0.016924, -0.0128472, -0.00943223, -0.00618972, -0.00256308, -0.00156522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0621593, 0.0723072, 0.0797691, 0.0959047, 0.112558, 0.114725, 0.118635, 0.121967, 0.125375, 0.13029, 0.147279, 0.15495, 0.181775, 0.199217, 0.214838, 0.22558, 0.235246, 0.248134, 0.257271, 0.267538, 0.281227, 0.308606, 0.326542");
-            values ( \
-              "-0.0153785, -0.084578, -0.11914, -0.177841, -0.231099, -0.243176, -0.236582, -0.23862, -0.234746, -0.233881, -0.213407, -0.194019, -0.116614, -0.0769486, -0.0514693, -0.038513, -0.029552, -0.0205909, -0.0158892, -0.0118697, -0.0080097, -0.0034833, -0.00232121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0625186, 0.0773026, 0.0840822, 0.113578, 0.115621, 0.143838, 0.164271, 0.176768, 0.196147, 0.209199, 0.255332, 0.282664, 0.305754, 0.321739, 0.34281, 0.354773, 0.3787, 0.414845, 0.444113, 0.502648, 0.566051");
-            values ( \
-              "-0.0147284, -0.120111, -0.153123, -0.26887, -0.272444, -0.266599, -0.260138, -0.254125, -0.238076, -0.219304, -0.133905, -0.0922279, -0.0656321, -0.0513287, -0.0368239, -0.0304048, -0.0205255, -0.011308, -0.00689594, -0.00236288, -0.000729234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0735913, 0.090246, 0.114404, 0.116712, 0.119092, 0.151158, 0.185294, 0.219567, 0.235121, 0.251177, 0.264788, 0.278544, 0.293152, 0.322368, 0.383329, 0.414185, 0.449449, 0.470365, 0.493865, 0.525198, 0.558791, 0.577837, 0.615928, 0.650871, 0.704342, 0.767745, 0.831148, 0.894551, 1.02136");
-            values ( \
-              "-0.176053, -0.1911, -0.290408, -0.293804, -0.292686, -0.289209, -0.284651, -0.278812, -0.275407, -0.271153, -0.266574, -0.260688, -0.252526, -0.227886, -0.161339, -0.130214, -0.099702, -0.0844641, -0.0697074, -0.0535073, -0.0400569, -0.0339036, -0.024131, -0.0176329, -0.0108446, -0.00598902, -0.00329664, -0.00181195, -0.000546193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0736034, 0.0937363, 0.115805, 0.274799, 0.342581, 0.410576, 0.456969, 0.48175, 0.531311, 0.694142, 0.800844, 0.895341, 0.999184, 1.11558, 1.18005, 1.2822, 1.47241, 1.48163");
-            values ( \
-              "-0.167695, -0.214918, -0.305801, -0.294141, -0.287622, -0.27842, -0.268752, -0.26126, -0.23959, -0.144274, -0.0941442, -0.062472, -0.0389064, -0.0224936, -0.0165794, -0.0101432, -0.00400473, -0.00391336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.108323, 0.113081, 0.118859, 0.124638, 0.127452, 0.128937, 0.131906, 0.136526, 0.144741, 0.153421, 0.164472, 0.173963, 0.182456, 0.190321, 0.19807, 0.205795, 0.206843, 0.207312, 0.211687, 0.216686, 0.219966, 0.222194, 0.225165, 0.231107, 0.234652, 0.239448, 0.244604, 0.246829, 0.249053, 0.251254, 0.253872, 0.256355, 0.259666, 0.266266, 0.274954, 0.283345");
-            values ( \
-              "-0.0098564, -0.0123334, -0.0157485, -0.0205376, -0.0233209, -0.0250035, -0.0287104, -0.0351025, -0.0448364, -0.0537792, -0.0647304, -0.0735317, -0.0807784, -0.0856421, -0.0839587, -0.0653775, -0.0645878, -0.0626855, -0.0520167, -0.0410547, -0.0348301, -0.0310118, -0.0264638, -0.0189448, -0.0154718, -0.0116878, -0.00861133, -0.00751032, -0.00659424, -0.0083474, -0.00837505, -0.00717141, -0.00591142, -0.00389637, -0.00222519, -0.00138084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.125649, 0.14664, 0.150956, 0.155271, 0.159586, 0.163901, 0.164274, 0.164671, 0.166658, 0.184442, 0.191748, 0.19961, 0.202052, 0.204493, 0.206935, 0.209376, 0.211821, 0.214265, 0.21671, 0.219154, 0.219248, 0.219437, 0.220003, 0.222078, 0.226399, 0.234474, 0.236856, 0.239239, 0.248956, 0.249372, 0.249647, 0.250058, 0.25047, 0.251292, 0.252252, 0.253211, 0.255398, 0.257045, 0.25888, 0.26097, 0.26449, 0.267147, 0.269804, 0.27069, 0.272461, 0.274233, 0.276004, 0.277776, 0.282256, 0.285785");
-            values ( \
-              "-0.0597192, -0.0631653, -0.0693702, -0.0752264, -0.0807339, -0.0858927, -0.0866135, -0.0872228, -0.0898774, -0.112468, -0.121996, -0.132479, -0.132541, -0.13236, -0.131934, -0.131265, -0.130351, -0.129192, -0.127789, -0.126141, -0.126042, -0.125718, -0.124325, -0.118758, -0.106551, -0.0833666, -0.0771081, -0.0711535, -0.049549, -0.0499568, -0.0505572, -0.0504655, -0.0502538, -0.049572, -0.0482306, -0.0467754, -0.0428835, -0.040176, -0.0373282, -0.0342792, -0.0294854, -0.0260768, -0.0231234, -0.0222185, -0.0206366, -0.0191415, -0.017733, -0.0164112, -0.0135046, -0.0113958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.110347, 0.125189, 0.129998, 0.139213, 0.15225, 0.171965, 0.198506, 0.21357, 0.227173, 0.240128, 0.249604, 0.253682, 0.2597, 0.26423, 0.27029, 0.289161, 0.295103, 0.296473, 0.299212, 0.304691, 0.320193, 0.321569, 0.324322, 0.329826, 0.340836, 0.350401, 0.35365, 0.360148, 0.373143, 0.390882");
-            values ( \
-              "-0.0217031, -0.03821, -0.0443108, -0.060021, -0.0853354, -0.117663, -0.15793, -0.178633, -0.193816, -0.197669, -0.181618, -0.179322, -0.156768, -0.14677, -0.127219, -0.0818942, -0.0721618, -0.0682876, -0.0648877, -0.0551187, -0.036163, -0.0359928, -0.0322723, -0.0287888, -0.0201576, -0.0163793, -0.0138642, -0.0125512, -0.007688, -0.00539565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.133208, 0.159707, 0.171547, 0.189929, 0.198109, 0.206289, 0.212172, 0.223937, 0.239651, 0.242109, 0.244567, 0.247025, 0.249483, 0.249943, 0.250555, 0.251781, 0.253694, 0.257883, 0.264683, 0.267794, 0.270564, 0.275548, 0.279979, 0.28441, 0.289135, 0.293861, 0.298587, 0.308469, 0.311763, 0.32941, 0.345404, 0.351768, 0.358132, 0.364495, 0.373011, 0.38602, 0.390515, 0.395009, 0.403998, 0.408492, 0.412986, 0.425435, 0.435581, 0.442346, 0.44911, 0.455267, 0.461424, 0.467582, 0.473739, 0.484396");
-            values ( \
-              "-0.104883, -0.110683, -0.132818, -0.165889, -0.179624, -0.192665, -0.204345, -0.227124, -0.256337, -0.258389, -0.25945, -0.259521, -0.258601, -0.260267, -0.261514, -0.261444, -0.261047, -0.259398, -0.256258, -0.25466, -0.252997, -0.249399, -0.245755, -0.2417, -0.236433, -0.22984, -0.222687, -0.205642, -0.199792, -0.166394, -0.137144, -0.125811, -0.115827, -0.106355, -0.0946964, -0.077815, -0.0726697, -0.0678531, -0.0594546, -0.0555614, -0.0518722, -0.0427186, -0.0358519, -0.0322773, -0.0289952, -0.0263262, -0.0238566, -0.0215863, -0.0195152, -0.0163612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.124363, 0.149849, 0.173691, 0.22195, 0.248779, 0.252693, 0.258365, 0.262881, 0.270005, 0.291514, 0.311966, 0.346887, 0.358666, 0.37123, 0.385073, 0.412757, 0.48848, 0.506156, 0.541506, 0.562275, 0.585608, 0.616717, 0.636322, 0.650828, 0.670169, 0.708851, 0.742859, 0.760226, 0.79496, 0.858363, 0.921766, 0.985169, 1.11198");
-            values ( \
-              "-0.0556043, -0.0981761, -0.148094, -0.238072, -0.283313, -0.287246, -0.287715, -0.28641, -0.285849, -0.282483, -0.278612, -0.270155, -0.265801, -0.260334, -0.252508, -0.229434, -0.147515, -0.130158, -0.0995796, -0.0844575, -0.0697986, -0.0536883, -0.0453679, -0.0400095, -0.0337795, -0.0239074, -0.0176163, -0.0150657, -0.0109717, -0.00606299, -0.00333428, -0.0018359, -0.000554831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.124328, 0.189209, 0.219741, 0.248744, 0.25376, 0.260471, 0.26623, 0.277747, 0.368349, 0.451332, 0.502564, 0.560274, 0.600844, 0.65273, 0.747531, 0.783291, 0.824161, 0.887564, 0.916958, 0.960021, 1.01744, 1.07441, 1.1057, 1.15546, 1.21887, 1.25334, 1.31674, 1.38015, 1.44355, 1.57036, 1.69716, 1.88737");
-            values ( \
-              "-0.0491125, -0.185594, -0.244883, -0.297445, -0.301759, -0.302317, -0.301282, -0.300985, -0.294081, -0.285693, -0.278441, -0.265634, -0.250615, -0.222909, -0.165876, -0.145812, -0.124645, -0.0962439, -0.0849808, -0.0705027, -0.0545403, -0.0420643, -0.0364024, -0.0288368, -0.0213472, -0.0181181, -0.0133604, -0.0098325, -0.00722997, -0.00389814, -0.00209771, -0.000825468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.232621, 0.261837, 0.263784, 0.267679, 0.268913, 0.272403, 0.275469, 0.281603, 0.285874, 0.290326, 0.296927, 0.305675, 0.313734, 0.31742, 0.324791, 0.33133, 0.337869, 0.343861, 0.349853, 0.352712, 0.355572, 0.358431, 0.36129, 0.364142, 0.366994, 0.369846, 0.372698, 0.373056, 0.373773, 0.375207, 0.38241, 0.383755, 0.387793, 0.3913, 0.393548, 0.395796, 0.398759, 0.401722, 0.403658, 0.405593, 0.406561, 0.407529, 0.409465, 0.411401, 0.413336, 0.415272, 0.418203, 0.419669, 0.421968, 0.424468");
-            values ( \
-              "-0.0173616, -0.0179914, -0.0189591, -0.020972, -0.021631, -0.0235706, -0.0252243, -0.0283565, -0.0304587, -0.0325959, -0.0356688, -0.039613, -0.043129, -0.0447005, -0.0477802, -0.0504421, -0.0530376, -0.0556337, -0.0583476, -0.0581292, -0.0577648, -0.0572543, -0.0565978, -0.0557974, -0.0548517, -0.0537607, -0.0525245, -0.0522476, -0.0514038, -0.0494268, -0.0391695, -0.0373099, -0.0318457, -0.0272584, -0.0247198, -0.0223396, -0.0195129, -0.0168243, -0.0151425, -0.0136794, -0.012996, -0.0123447, -0.0112161, -0.0101644, -0.00918946, -0.0082914, -0.00712727, -0.00657422, -0.0057456, -0.00489841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.196438, 0.224526, 0.233515, 0.2403, 0.249347, 0.258138, 0.274066, 0.287983, 0.307945, 0.329051, 0.346958, 0.362914, 0.37759, 0.391691, 0.406632, 0.418, 0.427368, 0.436668, 0.446664, 0.451448, 0.461016, 0.469151, 0.473636, 0.482607, 0.500549, 0.526841");
-            values ( \
-              "-0.00435746, -0.00928628, -0.0119358, -0.0144484, -0.0181057, -0.0223588, -0.0324857, -0.0424314, -0.0549666, -0.0671855, -0.0768395, -0.0846435, -0.0905523, -0.0927703, -0.0795733, -0.0601194, -0.0454163, -0.0331381, -0.0229645, -0.0192468, -0.0130793, -0.00950936, -0.0078261, -0.00547225, -0.00243262, -0.000779055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.205207, 0.223867, 0.238462, 0.260841, 0.271317, 0.320574, 0.358954, 0.381863, 0.402341, 0.421263, 0.439728, 0.460173, 0.485834, 0.493871, 0.510047, 0.517796, 0.526922, 0.536523, 0.550468, 0.551872, 0.553219, 0.577443, 0.59302, 0.60429");
-            values ( \
-              "-0.00815547, -0.0126573, -0.0187592, -0.0310056, -0.0383361, -0.0771351, -0.103434, -0.117886, -0.129526, -0.137805, -0.137447, -0.110829, -0.0672084, -0.0562439, -0.0378858, -0.0311008, -0.0244891, -0.0190035, -0.0128642, -0.0144619, -0.0146573, -0.00769988, -0.00489532, -0.00379835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.223237, 0.2605, 0.275215, 0.315356, 0.33984, 0.404903, 0.435361, 0.462672, 0.4882, 0.514642, 0.532476, 0.550176, 0.555974, 0.583056, 0.595843, 0.614798, 0.629673, 0.639604, 0.652846, 0.677787, 0.686385, 0.706955, 0.730464, 0.777482, 0.805729");
-            values ( \
-              "-0.0159493, -0.0375423, -0.0482468, -0.0839052, -0.103844, -0.153307, -0.174156, -0.190561, -0.199568, -0.185479, -0.159874, -0.131988, -0.125638, -0.0870173, -0.0720452, -0.0539882, -0.0427755, -0.0365485, -0.0295372, -0.0196064, -0.0170197, -0.0121276, -0.00816775, -0.00357557, -0.00246739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.221859, 0.278402, 0.342905, 0.4234, 0.471934, 0.51363, 0.550191, 0.55274, 0.573469, 0.587564, 0.615753, 0.690981, 0.743968, 0.788162, 0.819337, 0.853294, 0.872547, 0.911054, 0.945338, 0.997844, 1.06125, 1.12465, 1.18805, 1.31486");
-            values ( \
-              "-0.0120604, -0.0572601, -0.115832, -0.183126, -0.220653, -0.249292, -0.268792, -0.268993, -0.260422, -0.252541, -0.228928, -0.147499, -0.0995918, -0.0697497, -0.0536583, -0.0400049, -0.033836, -0.0239553, -0.0176044, -0.0109175, -0.0060581, -0.00330651, -0.00184549, -0.000568693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.222778, 0.358569, 0.459705, 0.549892, 0.559254, 0.572502, 0.638893, 0.672246, 0.706883, 0.770286, 0.778165, 0.793923, 0.823322, 0.987421, 1.0281, 1.0915, 1.12135, 1.16517, 1.22359, 1.27803, 1.30789, 1.35832, 1.42172, 1.45754, 1.52094, 1.58434, 1.64775, 1.77455, 1.90136, 2.09157");
-            values ( \
-              "-0.0083349, -0.134195, -0.222702, -0.293347, -0.294901, -0.294138, -0.287623, -0.283625, -0.278449, -0.263928, -0.261275, -0.255436, -0.241779, -0.145877, -0.124822, -0.0963688, -0.0849423, -0.0702366, -0.0540717, -0.0421918, -0.0367504, -0.0290304, -0.0214864, -0.018126, -0.0133609, -0.00983813, -0.00722895, -0.00389631, -0.00209548, -0.000828374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.418284, 0.467318, 0.483176, 0.501844, 0.520977, 0.545152, 0.576744, 0.60282, 0.637784, 0.677393, 0.695141, 0.712714, 0.725869, 0.742608, 0.752143, 0.765573, 0.777067, 0.785158, 0.80134, 0.826002, 0.855893, 0.894223, 0.948587, 1.01199, 1.04962");
-            values ( \
-              "-0.0019278, -0.00342378, -0.00438114, -0.00585237, -0.00785727, -0.0116949, -0.0185772, -0.0236867, -0.0300488, -0.0360809, -0.037719, -0.0370041, -0.0295634, -0.0178637, -0.0124494, -0.0070731, -0.00427209, -0.00316464, -0.00139479, -0.000599412, -9.27232e-05, -0.000168016, -1e-22, -0.000112712, -4.5818e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.417321, 0.475704, 0.497317, 0.513952, 0.530855, 0.550462, 0.610809, 0.640329, 0.668229, 0.69287, 0.715442, 0.736724, 0.758031, 0.782233, 0.795208, 0.806751, 0.822375, 0.83616, 0.84529, 0.86355, 0.893342, 0.928793, 0.973438, 1.03431, 1.09771, 1.10776");
-            values ( \
-              "-0.00256044, -0.00627211, -0.00864947, -0.0108776, -0.0137478, -0.01814, -0.0351919, -0.0428711, -0.0495917, -0.0549844, -0.0591992, -0.0618356, -0.0594686, -0.0403032, -0.0283019, -0.0201046, -0.0120488, -0.00747139, -0.00566586, -0.00267725, -0.00100102, -9.40245e-05, -0.000201228, -1e-22, -0.00014833, -0.000124813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.416577, 0.490335, 0.511044, 0.538674, 0.573722, 0.641214, 0.682826, 0.717881, 0.749006, 0.777568, 0.804808, 0.831985, 0.874999, 0.888527, 0.903887, 0.912873, 0.930846, 0.955453, 0.975767, 1.0164, 1.06583, 1.12842, 1.21591, 1.23331, 1.25669");
-            values ( \
-              "-0.00240839, -0.0113359, -0.014822, -0.0207438, -0.0311221, -0.05443, -0.0673709, -0.0773783, -0.0853751, -0.0915659, -0.0949568, -0.0878541, -0.0474075, -0.0362044, -0.025971, -0.0211965, -0.013793, -0.00754728, -0.00449502, -0.00142214, -0.000339571, -5.19175e-05, -1.19296e-05, -0.000978941, -0.000663225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.415909, 0.513083, 0.551257, 0.568411, 0.694863, 0.749199, 0.795294, 0.836448, 0.874358, 0.910874, 0.949654, 1.00295, 1.02633, 1.05143, 1.08622, 1.11491, 1.13349, 1.17067, 1.21574, 1.23706, 1.2906, 1.34323");
-            values ( \
-              "-0.000746158, -0.0197675, -0.0297146, -0.0351236, -0.0826205, -0.100909, -0.115225, -0.126803, -0.135424, -0.138243, -0.118426, -0.0689219, -0.0510362, -0.03599, -0.0214586, -0.0137672, -0.0104581, -0.00552802, -0.00272418, -0.00316929, -0.00125776, -0.000580028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.478931, 0.544538, 0.575395, 0.607957, 0.661454, 0.719707, 0.84916, 0.911557, 0.967423, 1.01927, 1.07058, 1.12177, 1.17007, 1.21563, 1.22054, 1.26036, 1.28747, 1.32711, 1.3724, 1.39584, 1.43221, 1.4807, 1.5441, 1.6075, 1.67091, 1.73431");
-            values ( \
-              "-0.0272843, -0.0324516, -0.0425999, -0.0547631, -0.0770687, -0.0999445, -0.146675, -0.16717, -0.183348, -0.194053, -0.18844, -0.153228, -0.114178, -0.0817301, -0.0803796, -0.0578306, -0.0458331, -0.032429, -0.0215824, -0.017488, -0.0125856, -0.00804219, -0.00443665, -0.00243645, -0.00134155, -0.000732978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.479123, 0.588008, 0.721889, 0.828673, 0.934618, 1.02615, 1.08128, 1.14468, 1.18753, 1.20174, 1.21595, 1.22725, 1.24251, 1.2855, 1.42801, 1.46129, 1.5247, 1.56759, 1.61523, 1.67864, 1.73236, 1.76276, 1.81293, 1.87633, 1.91161, 1.97502, 2.03842, 2.10182, 2.22863, 2.35543, 2.54564");
-            values ( \
-              "-0.0198969, -0.0508728, -0.105897, -0.148156, -0.188027, -0.220133, -0.237752, -0.255146, -0.263153, -0.264391, -0.264899, -0.262901, -0.25761, -0.237551, -0.15334, -0.135393, -0.105129, -0.0878482, -0.0714942, -0.0538353, -0.0421314, -0.0366192, -0.028948, -0.0214375, -0.0181173, -0.0133672, -0.00982998, -0.00723574, -0.00390338, -0.0021027, -0.00082093" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00267018, 0.00270103, 0.00273081, 0.00275385, 0.00276881, 0.00277754", \
-            "0.00314932, 0.0031701, 0.00319447, 0.00321645, 0.00323246, 0.00324247", \
-            "0.00346016, 0.00346518, 0.00347476, 0.00348735, 0.00349895, 0.00350733", \
-            "0.00365306, 0.00365014, 0.00365008, 0.00365014, 0.0036528, 0.00365643", \
-            "0.00376145, 0.00375543, 0.00374755, 0.00373936, 0.0037337, 0.0037314", \
-            "0.003823, 0.0038159, 0.00380634, 0.00379442, 0.00378301, 0.00377442" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00367375, 0.00369042, 0.00370499, 0.0037135, 0.00371995, 0.00372369", \
-            "0.00405843, 0.00403671, 0.00401802, 0.00400369, 0.00399354, 0.00399009", \
-            "0.00429691, 0.00423084, 0.00417351, 0.00412359, 0.00409217, 0.00407649", \
-            "0.00461537, 0.00445057, 0.00430719, 0.00420592, 0.00413983, 0.00409772", \
-            "0.00519819, 0.00489154, 0.00460295, 0.00438254, 0.00423794, 0.00415105", \
-            "0.00601682, 0.00575927, 0.0051545, 0.00474259, 0.00445201, 0.0042686" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        sdf_cond : "(~A0 & A1)";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "(!A0 * A1)";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0414613, 0.0536132, 0.0767694, 0.121484, 0.208846, 0.379995", \
-            "0.0473131, 0.0592833, 0.0827239, 0.127626, 0.215123, 0.386351", \
-            "0.0621518, 0.0742225, 0.097299, 0.141948, 0.229575, 0.400854", \
-            "0.089458, 0.106098, 0.1318, 0.176166, 0.263464, 0.434882", \
-            "0.131475, 0.156116, 0.194739, 0.25224, 0.340441, 0.511119", \
-            "0.201958, 0.236231, 0.292119, 0.378057, 0.50272, 0.682869" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0528353, 0.0707339, 0.105198, 0.171577, 0.300928, 0.554858", \
-            "0.0530836, 0.070825, 0.105213, 0.171578, 0.300905, 0.554858", \
-            "0.0576526, 0.0729849, 0.105517, 0.171586, 0.300926, 0.554858", \
-            "0.0788334, 0.09001, 0.115909, 0.174179, 0.30092, 0.554853", \
-            "0.121477, 0.135323, 0.159314, 0.2048, 0.31207, 0.554881", \
-            "0.186927, 0.207183, 0.242665, 0.299073, 0.389276, 0.590743" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0422564, 0.0527031, 0.0721997, 0.109462, 0.181625, 0.3226", \
-            "0.0468491, 0.0572051, 0.0767566, 0.114044, 0.186296, 0.327307", \
-            "0.0575664, 0.0676438, 0.0871406, 0.124484, 0.196754, 0.337331", \
-            "0.0738564, 0.0874263, 0.110321, 0.148136, 0.220279, 0.361319", \
-            "0.087082, 0.106806, 0.139851, 0.192664, 0.27344, 0.414438", \
-            "0.0855131, 0.113204, 0.160394, 0.236739, 0.354535, 0.530427" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0391088, 0.0515505, 0.0761853, 0.125029, 0.221428, 0.411662", \
-            "0.0386589, 0.0513705, 0.076109, 0.125034, 0.221527, 0.41166", \
-            "0.0416339, 0.0528089, 0.0761789, 0.124967, 0.221421, 0.4116", \
-            "0.0571356, 0.0686606, 0.0883369, 0.130142, 0.221707, 0.411616", \
-            "0.0840086, 0.0997616, 0.12579, 0.168116, 0.24384, 0.415898", \
-            "0.128722, 0.151029, 0.187617, 0.245556, 0.33446, 0.481717" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0279543, 0.0297079, 0.0311391, 0.0323192, 0.0334357, 0.0356687, 0.0379406, 0.0424369, 0.045537, 0.047444, 0.0559219, 0.0592982, 0.0653339, 0.0690017, 0.071692, 0.0752791, 0.0792973, 0.0834922, 0.0868627, 0.0966361, 0.100954, 0.106713, 0.121429, 0.127711, 0.135655, 0.145976, 0.154881, 0.164541, 0.178337, 0.196649, 0.221065, 0.255796, 0.298098");
-            values ( \
-              "0.0154189, 0.134441, 0.140135, 0.143019, 0.144882, 0.146178, 0.14532, 0.139728, 0.132487, 0.127097, 0.0989847, 0.0861748, 0.0619042, 0.0495432, 0.0424214, 0.0351216, 0.0295654, 0.0264858, 0.0254251, 0.0244335, 0.0233977, 0.0216723, 0.0161693, 0.0140084, 0.0116662, 0.00897899, 0.00717223, 0.0056653, 0.00389459, 0.00239768, 0.0012884, 0.000431361, 0.000168113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0280779, 0.032999, 0.0363341, 0.0396662, 0.0482989, 0.0497475, 0.0526449, 0.0570291, 0.0644417, 0.0695227, 0.0739207, 0.080574, 0.0873212, 0.0925698, 0.0966367, 0.101301, 0.105359, 0.113678, 0.118702, 0.125333, 0.14519, 0.156525, 0.171638, 0.181017, 0.193099, 0.209208, 0.222573, 0.243108, 0.270487, 0.314833, 0.367073");
-            values ( \
-              "0.0706859, 0.191293, 0.195489, 0.196585, 0.186992, 0.18372, 0.17902, 0.168878, 0.149979, 0.134934, 0.119812, 0.0947898, 0.0732698, 0.0610956, 0.0541191, 0.0493762, 0.0470412, 0.0449511, 0.0432356, 0.0401874, 0.0290985, 0.0233356, 0.017095, 0.0140331, 0.0108284, 0.00760242, 0.00566434, 0.0035831, 0.00190879, 0.000640093, 0.000169396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0296039, 0.032436, 0.0357299, 0.039065, 0.0410818, 0.0451153, 0.0518522, 0.0605997, 0.0750887, 0.0872733, 0.0944103, 0.119611, 0.12541, 0.130105, 0.134524, 0.139086, 0.157179, 0.194227, 0.210003, 0.219953, 0.239255, 0.251442, 0.273707, 0.300207, 0.344244, 0.400004, 0.464507");
-            values ( \
-              "0.134097, 0.224844, 0.234686, 0.237844, 0.238044, 0.236503, 0.231083, 0.222091, 0.203109, 0.182765, 0.168351, 0.104228, 0.0933766, 0.0866828, 0.0825467, 0.0797996, 0.0713904, 0.0456687, 0.0362062, 0.0310988, 0.0229025, 0.0188359, 0.0130669, 0.00838339, 0.00393799, 0.00140318, 0.000405275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0287601, 0.0345533, 0.0402261, 0.0449173, 0.0575287, 0.0862169, 0.10991, 0.126729, 0.144948, 0.180568, 0.190726, 0.195192, 0.220933, 0.277436, 0.301917, 0.338959, 0.371659, 0.416958, 0.476785, 0.522598, 0.594229, 0.619832");
-            values ( \
-              "0.0862715, 0.258014, 0.26696, 0.267606, 0.261873, 0.24419, 0.226538, 0.211348, 0.189204, 0.134734, 0.124292, 0.120916, 0.109484, 0.0708716, 0.0562176, 0.0387386, 0.0274146, 0.0166801, 0.00854385, 0.00499758, 0.00211847, 0.00180807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0314349, 0.0314549, 0.0794724, 0.101322, 0.137211, 0.177969, 0.217949, 0.225196, 0.23486, 0.278407, 0.293082, 0.30521, 0.331027, 0.347877, 0.42134, 0.456831, 0.502528, 0.543428, 0.573182, 0.622038, 0.642924, 0.681045, 0.734813, 0.791364, 0.834776, 0.906407, 0.978038, 1.04967, 1.19293");
-            values ( \
-              "1e-22, 0.290971, 0.276646, 0.26935, 0.256881, 0.240918, 0.221346, 0.216951, 0.21001, 0.174249, 0.1638, 0.15755, 0.150314, 0.143283, 0.104148, 0.0866994, 0.0671629, 0.0527904, 0.0439914, 0.0323087, 0.0282437, 0.0219986, 0.0153483, 0.0104907, 0.00779651, 0.00473524, 0.00286468, 0.00173286, 0.000631864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0340823, 0.0341023, 0.130099, 0.233737, 0.311185, 0.349234, 0.389953, 0.497312, 0.513364, 0.548201, 0.566656, 0.755618, 0.874776, 0.970693, 1.02493, 1.09564, 1.1546, 1.22241, 1.29404, 1.38772, 1.51455, 1.65781, 1.80107, 1.87619");
-            values ( \
-              "1e-22, 0.315341, 0.283416, 0.264577, 0.249054, 0.240389, 0.229225, 0.189044, 0.1852, 0.179845, 0.175285, 0.113267, 0.0796884, 0.0584245, 0.0485632, 0.0379492, 0.0307505, 0.0239805, 0.018426, 0.012949, 0.00799482, 0.00460773, 0.00264381, 0.00223242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0437674, 0.0469497, 0.0508718, 0.0533635, 0.055291, 0.0570029, 0.0582587, 0.059933, 0.0621745, 0.0666577, 0.0727092, 0.0896369, 0.0952068, 0.0992251, 0.103424, 0.106712, 0.116, 0.120316, 0.126038, 0.147694, 0.165755, 0.174449, 0.197978, 0.212442, 0.245725, 0.275964");
-            values ( \
-              "0.00600824, 0.0764273, 0.101599, 0.125507, 0.133348, 0.136988, 0.138186, 0.138287, 0.136549, 0.128094, 0.108863, 0.0470356, 0.0348004, 0.0293986, 0.0264011, 0.0254386, 0.0245182, 0.0234757, 0.0218299, 0.0139031, 0.00894596, 0.0071806, 0.00388277, 0.0026542, 0.00105866, 0.000687304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0449427, 0.0486535, 0.0521965, 0.0555405, 0.0578286, 0.0611508, 0.0650487, 0.069337, 0.0762703, 0.0910995, 0.0996315, 0.108145, 0.115257, 0.120021, 0.124221, 0.134167, 0.141455, 0.168521, 0.184134, 0.200864, 0.223706, 0.2427, 0.278214, 0.319697, 0.368359");
-            values ( \
-              "0.0248944, 0.114145, 0.155189, 0.178775, 0.18617, 0.190016, 0.188527, 0.183325, 0.170277, 0.128286, 0.0969043, 0.0702104, 0.0556866, 0.0500803, 0.0473777, 0.0446733, 0.0418246, 0.027118, 0.0198573, 0.0139863, 0.00851938, 0.00560737, 0.00251336, 0.000915907, 0.000273982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0463309, 0.0514078, 0.0536852, 0.0589364, 0.0609161, 0.0648754, 0.0695915, 0.0718026, 0.0762248, 0.083062, 0.0944377, 0.0950336, 0.10318, 0.114665, 0.13501, 0.148914, 0.154107, 0.158591, 0.177143, 0.214826, 0.238528, 0.256829, 0.286291, 0.309793, 0.334708, 0.393819, 0.423588");
-            values ( \
-              "0.0256883, 0.174168, 0.203667, 0.230963, 0.229671, 0.236545, 0.229901, 0.232749, 0.22416, 0.22146, 0.202032, 0.203355, 0.189966, 0.167616, 0.114498, 0.0880705, 0.0828089, 0.0799124, 0.0713758, 0.0452391, 0.0317939, 0.0237838, 0.0147898, 0.00993783, 0.00653666, 0.00231522, 0.00155674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0509328, 0.0509528, 0.0823057, 0.101911, 0.122758, 0.144749, 0.162141, 0.198067, 0.208802, 0.215368, 0.237712, 0.248133, 0.297045, 0.321882, 0.340658, 0.365692, 0.391043, 0.423148, 0.457799, 0.496903, 0.527799, 0.58959, 0.661221, 0.732852");
-            values ( \
-              "1e-22, 0.26944, 0.259103, 0.2471, 0.232562, 0.213564, 0.193589, 0.138208, 0.125981, 0.120862, 0.111282, 0.105286, 0.0712055, 0.0563644, 0.0468408, 0.0361238, 0.027609, 0.0194601, 0.013292, 0.00858519, 0.00594573, 0.00292312, 0.00115289, 0.000547694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.053775, 0.053795, 0.106613, 0.151348, 0.19816, 0.240675, 0.318111, 0.328522, 0.36123, 0.459157, 0.511133, 0.544404, 0.592354, 0.642134, 0.701539, 0.755139, 0.811056, 0.925544, 0.997175, 1.06269");
-            values ( \
-              "1e-22, 0.314089, 0.274358, 0.259067, 0.240908, 0.219971, 0.161078, 0.156489, 0.146522, 0.0952395, 0.0717207, 0.0591612, 0.044226, 0.0322857, 0.0219167, 0.0153524, 0.0105479, 0.00479454, 0.00285708, 0.0021883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0508192, 0.0646778, 0.070268, 0.076231, 0.150274, 0.253916, 0.331365, 0.369413, 0.422167, 0.517491, 0.533543, 0.547864, 0.568381, 0.586836, 0.752033, 0.823324, 0.894954, 0.959339, 1.04511, 1.11582, 1.17477, 1.24258, 1.31421, 1.40789, 1.53471, 1.67797, 1.82123, 1.9645, 2.17939");
-            values ( \
-              "0.262615, 0.293577, 0.296029, 0.296181, 0.283409, 0.264568, 0.249054, 0.240388, 0.225203, 0.189045, 0.1852, 0.183539, 0.179845, 0.175285, 0.120742, 0.0989543, 0.0796887, 0.0648116, 0.0485639, 0.0379499, 0.0307511, 0.0239811, 0.0184265, 0.0129496, 0.00799541, 0.00460807, 0.002644, 0.00151094, 0.00068227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0681719, 0.095106, 0.105928, 0.109229, 0.112531, 0.113067, 0.114354, 0.115706, 0.117483, 0.120111, 0.137029, 0.144119, 0.148291, 0.151951, 0.162234, 0.167734, 0.195058, 0.208755, 0.216694, 0.2307, 0.249921, 0.284355, 0.319627, 0.361205");
-            values ( \
-              "0.00141886, 0.0748567, 0.0982699, 0.103179, 0.104595, 0.10738, 0.10879, 0.108146, 0.105858, 0.100267, 0.0430522, 0.0304943, 0.0268654, 0.02558, 0.024407, 0.0230487, 0.0134247, 0.00969282, 0.0078833, 0.00550371, 0.00332652, 0.00130258, 0.000520994, 0.000110458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.069873, 0.0952207, 0.106063, 0.109335, 0.112606, 0.113686, 0.11465, 0.116043, 0.11883, 0.121726, 0.123486, 0.125832, 0.130518, 0.134732, 0.139647, 0.148055, 0.154408, 0.156674, 0.161204, 0.166013, 0.170291, 0.179036, 0.183937, 0.190579, 0.214329, 0.222496, 0.238832, 0.246423, 0.256259, 0.267072, 0.282244, 0.300669, 0.325236, 0.365603, 0.414678");
-            values ( \
-              "0.00111726, 0.100606, 0.136801, 0.146536, 0.155358, 0.161906, 0.165451, 0.167934, 0.169337, 0.166606, 0.163622, 0.158813, 0.147345, 0.135345, 0.1184, 0.0875422, 0.0689593, 0.0637534, 0.0553739, 0.0498621, 0.0472263, 0.0449829, 0.0432985, 0.0402779, 0.0270777, 0.0230848, 0.0164165, 0.0139848, 0.0113227, 0.0090098, 0.00638786, 0.00423398, 0.00246705, 0.000887144, 0.000299932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0722591, 0.0939951, 0.107942, 0.11259, 0.114502, 0.116851, 0.119361, 0.123924, 0.128378, 0.134158, 0.140403, 0.152275, 0.153601, 0.156252, 0.161556, 0.167424, 0.178994, 0.187814, 0.190757, 0.196644, 0.201796, 0.206801, 0.214199, 0.220233, 0.222436, 0.226841, 0.235652, 0.248252, 0.26415, 0.267922, 0.275464, 0.288246, 0.305211, 0.310411, 0.320812, 0.341613, 0.358033, 0.364111, 0.376267, 0.40058, 0.449205, 0.510122, 0.581753");
-            values ( \
-              "0.000269412, 0.116338, 0.176803, 0.195278, 0.207251, 0.215936, 0.219751, 0.221139, 0.217983, 0.211811, 0.202974, 0.183419, 0.181006, 0.175954, 0.163959, 0.148731, 0.117781, 0.0985555, 0.0933063, 0.0854035, 0.0809551, 0.0786875, 0.0755825, 0.0726307, 0.0714232, 0.0685903, 0.0625751, 0.0534065, 0.0427701, 0.0404266, 0.0361589, 0.0296568, 0.0227168, 0.0208483, 0.0176677, 0.0124622, 0.0095275, 0.008546, 0.00701948, 0.00457579, 0.00194186, 0.000572795, 0.000175108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0802647, 0.112574, 0.115574, 0.118045, 0.120647, 0.125347, 0.12964, 0.133939, 0.153962, 0.174205, 0.198249, 0.210132, 0.234836, 0.245707, 0.255848, 0.260474, 0.278252, 0.295258, 0.342718, 0.367198, 0.385366, 0.404235, 0.436933, 0.45203, 0.482224, 0.519134, 0.542029, 0.587819, 0.659449, 0.73108, 0.802711");
-            values ( \
-              "0.0607038, 0.222795, 0.243653, 0.251444, 0.255676, 0.257849, 0.256861, 0.254757, 0.24242, 0.22765, 0.204826, 0.189394, 0.150088, 0.134929, 0.124389, 0.120921, 0.113473, 0.103961, 0.0708543, 0.0562403, 0.0470182, 0.0387223, 0.0273981, 0.0233387, 0.0166636, 0.0110181, 0.00856831, 0.00498115, 0.00214427, 0.000837454, 0.000406795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.081397, 0.117017, 0.122535, 0.126983, 0.131314, 0.138783, 0.149018, 0.166886, 0.195199, 0.204165, 0.222099, 0.243535, 0.283485, 0.286049, 0.291175, 0.301428, 0.315415, 0.341349, 0.367823, 0.377635, 0.38946, 0.394709, 0.405208, 0.422823, 0.442874, 0.467992, 0.504096, 0.55622, 0.576152, 0.580745, 0.58993, 0.608301, 0.638016, 0.676882, 0.687527, 0.708818, 0.746794, 0.800448, 0.818913, 0.824292, 0.835052, 0.85657, 0.893466, 0.964521, 1.03615, 1.10778, 1.17941, 1.25104");
-            values ( \
-              "0.0586095, 0.269025, 0.27978, 0.279719, 0.281498, 0.277639, 0.276373, 0.26829, 0.260617, 0.255252, 0.250689, 0.239842, 0.222437, 0.218925, 0.217727, 0.208194, 0.198911, 0.175233, 0.157844, 0.156618, 0.151573, 0.151994, 0.145934, 0.139771, 0.126873, 0.115228, 0.0943907, 0.0729161, 0.0630588, 0.0634807, 0.0580693, 0.0540586, 0.0431081, 0.0356482, 0.0312427, 0.0292408, 0.0208938, 0.0164044, 0.012479, 0.0141404, 0.0110846, 0.0115825, 0.00710283, 0.00605685, 0.00194715, 0.00289588, 2.97306e-05, 0.00173607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0820661, 0.117202, 0.124468, 0.12956, 0.136184, 0.157764, 0.299162, 0.376759, 0.41481, 0.467505, 0.56283, 0.57889, 0.61373, 0.632181, 0.797387, 0.868684, 0.940315, 1.00469, 1.09045, 1.16117, 1.22013, 1.28793, 1.35956, 1.45323, 1.58005, 1.72331, 1.86657, 2.22472");
-            values ( \
-              "0.0657544, 0.279181, 0.292545, 0.294, 0.293795, 0.290153, 0.264574, 0.249041, 0.240382, 0.225208, 0.189049, 0.185201, 0.179846, 0.175288, 0.120742, 0.0989525, 0.079687, 0.0648127, 0.0485654, 0.0379506, 0.0307511, 0.0239813, 0.0184266, 0.0129503, 0.00799603, 0.00460844, 0.00264423, 0.000682299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124554, 0.146458, 0.154879, 0.166102, 0.181395, 0.191637, 0.196737, 0.20385, 0.213979, 0.224106, 0.240349, 0.248717, 0.250481, 0.256021, 0.264792, 0.296265, 0.308202, 0.31744, 0.329236, 0.344964, 0.3571, 0.381929, 0.415034, 0.426651");
-            values ( \
-              "0.00165684, 0.0144159, 0.0226201, 0.0355595, 0.0499133, 0.058493, 0.061692, 0.0648335, 0.0645907, 0.0576701, 0.0352459, 0.0280195, 0.0292875, 0.0283209, 0.0257714, 0.0141273, 0.0105959, 0.00842417, 0.00624322, 0.00415589, 0.00301981, 0.00156007, 0.000625029, 0.000497182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.124534, 0.150587, 0.182333, 0.206548, 0.218892, 0.230607, 0.238656, 0.242734, 0.24895, 0.249636, 0.251009, 0.257971, 0.263818, 0.269094, 0.273654, 0.282421, 0.287507, 0.294464, 0.317557, 0.322822, 0.332697, 0.345725, 0.349795, 0.357936, 0.374216, 0.390688, 0.417553, 0.453373, 0.498602, 0.555032");
-            values ( \
-              "0.000337437, 0.024901, 0.0693908, 0.0993422, 0.109217, 0.110919, 0.105294, 0.0993665, 0.0864717, 0.0865352, 0.0845273, 0.0688807, 0.0575262, 0.0510149, 0.0479271, 0.0452518, 0.0433103, 0.0400109, 0.0270588, 0.0244853, 0.0199636, 0.0152913, 0.0139366, 0.0117993, 0.00817238, 0.00567109, 0.0030832, 0.00139491, 0.000388793, 0.00015009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.138038, 0.155116, 0.162057, 0.186494, 0.20924, 0.219303, 0.22675, 0.242041, 0.24892, 0.252426, 0.256252, 0.260705, 0.268065, 0.278588, 0.28669, 0.293482, 0.298902, 0.303282, 0.308056, 0.316117, 0.325166, 0.33163, 0.35959, 0.370552, 0.381087, 0.393101, 0.408807, 0.413213, 0.422025, 0.437138, 0.458591, 0.468114, 0.480996, 0.498172, 0.532524, 0.593265, 0.664526");
-            values ( \
-              "0.0213862, 0.0380165, 0.0490404, 0.0920482, 0.130786, 0.14677, 0.157714, 0.174585, 0.177412, 0.180169, 0.177749, 0.17107, 0.15431, 0.12593, 0.106376, 0.0934217, 0.0859121, 0.082084, 0.0793951, 0.0760218, 0.0714711, 0.0672606, 0.0474628, 0.0404978, 0.0345726, 0.0287722, 0.0223695, 0.0209061, 0.0180706, 0.0141477, 0.00982716, 0.0083791, 0.00674213, 0.0050793, 0.00274556, 0.000904119, 0.000188336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.14356, 0.164927, 0.17608, 0.218683, 0.233767, 0.252535, 0.256298, 0.260943, 0.269081, 0.277581, 0.288424, 0.300636, 0.31033, 0.338608, 0.347285, 0.357667, 0.362866, 0.380866, 0.388834, 0.397763, 0.426854, 0.445159, 0.469607, 0.475646, 0.487722, 0.506604, 0.531764, 0.539321, 0.554434, 0.584662, 0.610085, 0.621513, 0.644369, 0.690081, 0.761711, 0.833342, 0.904973");
-            values ( \
-              "0.0326067, 0.0606766, 0.0822407, 0.170345, 0.200515, 0.234695, 0.238046, 0.237665, 0.233244, 0.226454, 0.217106, 0.204753, 0.192666, 0.147989, 0.135984, 0.124851, 0.120905, 0.113369, 0.10933, 0.103896, 0.0833267, 0.0708123, 0.0562451, 0.0529772, 0.0470494, 0.0387197, 0.0297735, 0.0273874, 0.0233487, 0.0166431, 0.0126126, 0.0110078, 0.00858568, 0.00497705, 0.00215966, 0.00082682, 0.000419413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.144315, 0.177915, 0.248791, 0.254781, 0.261884, 0.268801, 0.306069, 0.345446, 0.373052, 0.387874, 0.409744, 0.450102, 0.466474, 0.477986, 0.487067, 0.508636, 0.52664, 0.606158, 0.65823, 0.691775, 0.73981, 0.789417, 0.848729, 0.90236, 0.958396, 1.00136, 1.07299, 1.14462, 1.28788, 1.35951");
-            values ( \
-              "0.0246785, 0.0919382, 0.254699, 0.265273, 0.270384, 0.269075, 0.256372, 0.240869, 0.228173, 0.219926, 0.204058, 0.171, 0.160461, 0.155542, 0.153821, 0.146384, 0.137714, 0.0953601, 0.0718223, 0.0591075, 0.0441551, 0.0322623, 0.0219062, 0.0153848, 0.0105685, 0.0077897, 0.00481345, 0.00283006, 0.000999124, 0.000684329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.170764, 0.204065, 0.251788, 0.256478, 0.264514, 0.403689, 0.478734, 0.530459, 0.584536, 0.664678, 0.680808, 0.715653, 0.73411, 0.970565, 1.0422, 1.10668, 1.19248, 1.26316, 1.32204, 1.38986, 1.46149, 1.5552, 1.68204, 1.8253, 1.96856, 2.04081");
-            values ( \
-              "0.154528, 0.157963, 0.279029, 0.286573, 0.288633, 0.264041, 0.248965, 0.237021, 0.219698, 0.189106, 0.185225, 0.179854, 0.175308, 0.0989824, 0.079704, 0.0648107, 0.0485594, 0.037944, 0.0307536, 0.0239885, 0.0184257, 0.0129538, 0.00799861, 0.00461129, 0.00264722, 0.00225023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.2834, 0.314905, 0.322126, 0.331124, 0.344995, 0.355793, 0.365136, 0.369807, 0.374478, 0.378611, 0.382744, 0.386877, 0.39101, 0.395054, 0.399098, 0.403142, 0.407186, 0.409205, 0.413244, 0.417446, 0.42181, 0.424866, 0.430105, 0.435345, 0.439252, 0.443574, 0.445735, 0.448887, 0.452038, 0.455189, 0.461914, 0.466135, 0.468042, 0.46995, 0.473765, 0.477291, 0.484344, 0.492909, 0.519274, 0.528889, 0.53697, 0.543179, 0.549844, 0.550757, 0.553357, 0.555671, 0.55844, 0.563976, 0.570658, 0.580853");
-            values ( \
-              "0.0119037, 0.0127215, 0.0160388, 0.0209731, 0.0277895, 0.0326989, 0.03625, 0.0377831, 0.0391546, 0.0395353, 0.0398186, 0.0400046, 0.0400933, 0.0400858, 0.0399852, 0.0397913, 0.0395042, 0.0390883, 0.0380283, 0.0365549, 0.0345682, 0.0329105, 0.0297273, 0.0273136, 0.0257715, 0.0243225, 0.0236991, 0.02299, 0.0228469, 0.0228273, 0.0231203, 0.0232115, 0.0232067, 0.023176, 0.0230366, 0.0226009, 0.0211571, 0.0190617, 0.0121434, 0.0100304, 0.00845227, 0.00736458, 0.00633459, 0.00672065, 0.00666001, 0.00652616, 0.0061943, 0.00534803, 0.00446383, 0.00340452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.220785, 0.270319, 0.297146, 0.316507, 0.382783, 0.412123, 0.430865, 0.440233, 0.475325, 0.505228, 0.549786, 0.589667, 0.61568, 0.655072, 0.74644");
-            values ( \
-              "0.00167253, 0.00520561, 0.0115354, 0.0194404, 0.0582435, 0.0685529, 0.0689319, 0.0665931, 0.0449892, 0.0411412, 0.022382, 0.0105905, 0.00596763, 0.00246539, 0.000254623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.228783, 0.275811, 0.287674, 0.299044, 0.321785, 0.330048, 0.358301, 0.395189, 0.423434, 0.447809, 0.470475, 0.481212, 0.493511, 0.509765, 0.521056, 0.524956, 0.529887, 0.542627, 0.550772, 0.554553, 0.559155, 0.563603, 0.583284, 0.605508, 0.616879, 0.636122, 0.644507, 0.658014, 0.676024, 0.691432, 0.706433, 0.726434, 0.766437, 0.776331");
-            values ( \
-              "0.00320261, 0.00890825, 0.0124475, 0.0164978, 0.0279457, 0.0337959, 0.0560464, 0.0810833, 0.0982415, 0.109859, 0.113738, 0.111539, 0.103474, 0.0894989, 0.0806884, 0.0788832, 0.0775738, 0.0750511, 0.0719912, 0.0715417, 0.0692891, 0.0661914, 0.051272, 0.0371635, 0.0312241, 0.0230425, 0.0201407, 0.0161683, 0.0119985, 0.00926706, 0.0072018, 0.00512818, 0.00252261, 0.00224129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.275097, 0.31376, 0.338136, 0.371532, 0.40992, 0.467807, 0.498721, 0.527275, 0.538666, 0.552969, 0.55606, 0.57895, 0.591381, 0.61615, 0.625638, 0.673666, 0.698162, 0.716357, 0.735219, 0.76036, 0.767902, 0.782987, 0.813157, 0.838637, 0.872997, 0.918811, 0.990442, 1.06207, 1.1337");
-            values ( \
-              "0.0222461, 0.029286, 0.0464914, 0.0751794, 0.106638, 0.151859, 0.170683, 0.17823, 0.176027, 0.167064, 0.164061, 0.132657, 0.121526, 0.110188, 0.104371, 0.0709124, 0.0562092, 0.0469734, 0.0387582, 0.0297188, 0.0274381, 0.0233067, 0.0167091, 0.0125677, 0.00853276, 0.00501665, 0.00210358, 0.000879555, 0.000365817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.292765, 0.335975, 0.379057, 0.485495, 0.525577, 0.555102, 0.561579, 0.573211, 0.584793, 0.60595, 0.615682, 0.635146, 0.678724, 0.690036, 0.702267, 0.711711, 0.72595, 0.739537, 0.83583, 0.887281, 0.910493, 0.936094, 0.966494, 1.00609, 1.03946, 1.0771, 1.13049, 1.18563, 1.22781, 1.29944, 1.37107, 1.4427, 1.58596");
-            values ( \
-              "0.041184, 0.0494902, 0.0878218, 0.188496, 0.223266, 0.244158, 0.244878, 0.240852, 0.235806, 0.225504, 0.219911, 0.205964, 0.170321, 0.162679, 0.156845, 0.154635, 0.150416, 0.144948, 0.094448, 0.0713141, 0.0624026, 0.0536085, 0.0445122, 0.0346893, 0.0280007, 0.0218756, 0.0153016, 0.0105594, 0.00791364, 0.00480857, 0.00290759, 0.00176056, 0.000642654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.308108, 0.365102, 0.54297, 0.555342, 0.55996, 0.566485, 0.706173, 0.744245, 0.784906, 0.892309, 0.908337, 0.943173, 0.961635, 1.15056, 1.26971, 1.36565, 1.41988, 1.49059, 1.54952, 1.61733, 1.68896, 1.78263, 1.90945, 2.05271, 2.19597, 2.24591");
-            values ( \
-              "0.0571418, 0.0780567, 0.261803, 0.273445, 0.275587, 0.275634, 0.249, 0.240415, 0.229189, 0.189032, 0.185195, 0.17984, 0.175278, 0.11327, 0.0796937, 0.0584228, 0.0485632, 0.0379503, 0.0307535, 0.023983, 0.0184278, 0.0129511, 0.00799647, 0.00460871, 0.00264439, 0.0023708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.539239, 0.608187, 0.635965, 0.645763, 0.665358, 0.685361, 0.720604, 0.747081, 0.759198, 0.784831, 0.809951, 0.82221, 0.843644, 0.852953, 0.86336, 0.871662, 0.898784, 0.907861, 0.916883, 0.976083, 0.999777, 1.02506, 1.05018, 1.07901, 1.10977, 1.16099, 1.21586, 1.23887, 1.26975");
-            values ( \
-              "0.00239034, 0.00288468, 0.0043153, 0.00508862, 0.00717058, 0.01064, 0.0181647, 0.0228998, 0.0244727, 0.0267464, 0.0254773, 0.0235181, 0.0176257, 0.015873, 0.0148693, 0.0148199, 0.018566, 0.0185769, 0.017884, 0.00895285, 0.00609504, 0.00384269, 0.00233562, 0.00127173, 0.000690877, 0.000150478, 8.601e-05, 0.000361398, 0.000174374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.53906, 0.605294, 0.625353, 0.645819, 0.655751, 0.675615, 0.698956, 0.736876, 0.770222, 0.784551, 0.815003, 0.844195, 0.857282, 0.887823, 0.897668, 0.90748, 0.916729, 0.926054, 0.935497, 0.946366, 0.957088, 1.00893, 1.04171, 1.06958, 1.0923, 1.11113, 1.14961, 1.20092, 1.21583, 1.22951, 1.24836, 1.2642, 1.29588");
-            values ( \
-              "0.00437944, 0.00471267, 0.00617976, 0.00817914, 0.00950949, 0.0129329, 0.018969, 0.0299372, 0.0378861, 0.0404646, 0.0444747, 0.0437765, 0.0415359, 0.0316584, 0.0298239, 0.0291603, 0.0301693, 0.032479, 0.0339308, 0.0337736, 0.0321871, 0.0191549, 0.0121001, 0.00769138, 0.00519525, 0.00365327, 0.0017254, 0.000590451, 0.000401634, 0.00094704, 0.000769544, 0.000433024, 0.000244361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.539021, 0.608083, 0.667149, 0.697464, 0.768216, 0.807854, 0.826278, 0.864425, 0.900228, 0.914638, 0.951099, 0.960779, 0.97106, 0.979923, 0.985519, 0.99298, 1.00501, 1.0192, 1.09076, 1.12742, 1.14637, 1.17164, 1.19005, 1.21596, 1.22478, 1.26408, 1.28729, 1.33372, 1.40535");
-            values ( \
-              "0.0070498, 0.00762279, 0.0157454, 0.0238351, 0.0482333, 0.0591327, 0.0630786, 0.0701715, 0.071339, 0.0696937, 0.0579834, 0.0560994, 0.0553463, 0.0565045, 0.0580539, 0.0591496, 0.0594108, 0.0564609, 0.0304026, 0.019324, 0.0149321, 0.0104305, 0.0078245, 0.00530679, 0.00550162, 0.00298751, 0.00199716, 0.000867781, 0.000203535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.538913, 0.630212, 0.674025, 0.69347, 0.713165, 0.760242, 0.801878, 0.835185, 0.892192, 0.941077, 0.98618, 1.00236, 1.04817, 1.06422, 1.07577, 1.08166, 1.09343, 1.10447, 1.11848, 1.13434, 1.21598, 1.27241, 1.29294, 1.333, 1.35373, 1.38857, 1.43501, 1.50665, 1.5223");
-            values ( \
-              "0.00762142, 0.0142009, 0.0227206, 0.0281012, 0.0347023, 0.053253, 0.0682833, 0.0790556, 0.095918, 0.107724, 0.113172, 0.112001, 0.0999276, 0.0973134, 0.0972988, 0.0986603, 0.098549, 0.0969026, 0.0922799, 0.0856091, 0.0469686, 0.0274406, 0.02197, 0.0140313, 0.0111309, 0.00750538, 0.00437844, 0.00182963, 0.0015978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.538637, 0.662729, 0.695528, 0.730263, 0.816169, 0.885224, 0.989088, 1.02067, 1.05252, 1.11066, 1.13117, 1.14868, 1.19662, 1.20531, 1.21578, 1.22082, 1.23078, 1.26028, 1.34314, 1.39424, 1.42497, 1.47212, 1.51209, 1.5462, 1.58366, 1.63692, 1.69155, 1.73329, 1.80492, 1.87655, 1.94818, 2.09144");
-            values ( \
-              "0.0064144, 0.0251504, 0.0340778, 0.0462239, 0.0810776, 0.107108, 0.14451, 0.154689, 0.163578, 0.173664, 0.172658, 0.169261, 0.155168, 0.154092, 0.153612, 0.154226, 0.151704, 0.138046, 0.0939197, 0.0710402, 0.0594562, 0.0446824, 0.0347485, 0.0279138, 0.0218283, 0.0152858, 0.0105837, 0.00795533, 0.00483493, 0.00292282, 0.00177054, 0.000646535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.607978, 0.708501, 0.766285, 1.08779, 1.12602, 1.19765, 1.21565, 1.2268, 1.23806, 1.24916, 1.29074, 1.39613, 1.41216, 1.42635, 1.44711, 1.46543, 1.65484, 1.70258, 1.77422, 1.86932, 1.92371, 1.99459, 2.05386, 2.12159, 2.19322, 2.28668, 2.41335, 2.55661, 2.69987, 2.84314, 3.05803");
-            values ( \
-              "0.0339382, 0.0426883, 0.0647151, 0.201344, 0.215841, 0.239247, 0.243724, 0.244341, 0.24286, 0.240244, 0.228654, 0.189095, 0.185225, 0.183579, 0.179869, 0.175371, 0.113213, 0.0988545, 0.0795911, 0.0585058, 0.0486169, 0.0379608, 0.0307239, 0.0239758, 0.0184132, 0.0129594, 0.00800795, 0.00461734, 0.00265133, 0.00151712, 0.000678304" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00273269, 0.00274997, 0.002768, 0.00278274, 0.00279268, 0.0027986", \
-            "0.00345105, 0.00346452, 0.00348242, 0.00350038, 0.00351436, 0.0035234", \
-            "0.00389476, 0.00389567, 0.0039006, 0.00390933, 0.00391869, 0.00392598", \
-            "0.00416647, 0.00415325, 0.00413667, 0.0041241, 0.00411787, 0.00411603", \
-            "0.0043645, 0.00433985, 0.0043056, 0.0042686, 0.00423798, 0.00421739", \
-            "0.00451217, 0.00447856, 0.00443723, 0.00439171, 0.004343, 0.00429853" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00406719, 0.00405827, 0.00405743, 0.00406238, 0.00406817, 0.0040724", \
-            "0.00425747, 0.00420238, 0.00413727, 0.00408809, 0.00407219, 0.00405659", \
-            "0.00415674, 0.00407248, 0.00397992, 0.00389622, 0.00383106, 0.003788", \
-            "0.0044289, 0.00422517, 0.00403605, 0.00387303, 0.00375153, 0.00367281", \
-            "0.00556087, 0.00527932, 0.00454353, 0.0041252, 0.00386724, 0.00370348", \
-            "0.00542652, 0.00548205, 0.00552504, 0.00507556, 0.00427063, 0.00390749" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0195232, 0.0222263, 0.0240956, 0.0273886, 0.0298624, 0.0316615, 0.0336252, 0.0366883, 0.0406251, 0.0456172, 0.0474566, 0.0508145, 0.0537711, 0.0595279, 0.065779, 0.0727426, 0.078308, 0.0856864, 0.0915994, 0.0967959, 0.0997682, 0.105713, 0.110769, 0.113619, 0.119318, 0.130715, 0.147775, 0.168821, 0.196053, 0.295955");
-            values ( \
-              "-0.00962833, -0.08753, -0.119937, -0.105042, -0.098364, -0.0957031, -0.0941525, -0.093304, -0.0939654, -0.0966753, -0.0985771, -0.103492, -0.110112, -0.117857, -0.10253, -0.0760384, -0.056937, -0.0370979, -0.0256039, -0.0182986, -0.015055, -0.0101226, -0.00724995, -0.00601449, -0.00413931, -0.00195712, -0.000670337, -0.000247126, -0.000107384, -2.26084e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0195564, 0.0247829, 0.0280112, 0.0295477, 0.0317911, 0.034286, 0.0374686, 0.0382904, 0.0399342, 0.0432217, 0.0467539, 0.0472531, 0.0482517, 0.0502488, 0.0524855, 0.0560123, 0.0603443, 0.0642546, 0.0719609, 0.0796608, 0.0799757, 0.0919079, 0.106094, 0.120958, 0.126265, 0.138212, 0.150288, 0.164089, 0.171155");
-            values ( \
-              "-0.011684, -0.181726, -0.154534, -0.159756, -0.143868, -0.151219, -0.138669, -0.149058, -0.138299, -0.14903, -0.139398, -0.149988, -0.140078, -0.151202, -0.142299, -0.155268, -0.150907, -0.168847, -0.165909, -0.155695, -0.149927, -0.102982, -0.0575078, -0.0287374, -0.0222147, -0.0122946, -0.00682048, -0.00335751, -0.00264543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0194981, 0.0256781, 0.0298952, 0.0318375, 0.033931, 0.036929, 0.0407498, 0.0465365, 0.0509967, 0.0638079, 0.071742, 0.0837634, 0.0953856, 0.107616, 0.132456, 0.145655, 0.153775, 0.162437, 0.170771, 0.182948, 0.198212, 0.207323, 0.225545, 0.253545, 0.261609");
-            values ( \
-              "-0.0138325, -0.230427, -0.213841, -0.209097, -0.205233, -0.202165, -0.199992, -0.199185, -0.199402, -0.201717, -0.204727, -0.216436, -0.220493, -0.192334, -0.112647, -0.0785523, -0.0619772, -0.0477157, -0.0367402, -0.0249127, -0.0151492, -0.0113369, -0.00608195, -0.00238887, -0.00200073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0194353, 0.0264672, 0.0301013, 0.0347264, 0.0378423, 0.041793, 0.047085, 0.0616673, 0.0915929, 0.10155, 0.121068, 0.140433, 0.161214, 0.186748, 0.20574, 0.225505, 0.237821, 0.247687, 0.263427, 0.280628, 0.302363, 0.312652, 0.328697, 0.350091, 0.392878, 0.452213, 0.512642");
-            values ( \
-              "-0.00868648, -0.274821, -0.265392, -0.257306, -0.254311, -0.252163, -0.250776, -0.249924, -0.250202, -0.251322, -0.258403, -0.256462, -0.223275, -0.165899, -0.125943, -0.0915538, -0.0741527, -0.0623026, -0.0467956, -0.0339614, -0.0223465, -0.0183441, -0.0134553, -0.00885553, -0.0036641, -0.00103445, -0.000306519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0228049, 0.0261231, 0.0362099, 0.0500679, 0.147644, 0.175967, 0.193212, 0.227534, 0.249913, 0.265307, 0.340645, 0.381526, 0.434926, 0.456628, 0.492006, 0.538617, 0.566485, 0.622222, 0.694729");
-            values ( \
-              "-0.231125, -0.306527, -0.294886, -0.290216, -0.284236, -0.284341, -0.286014, -0.277981, -0.259731, -0.24214, -0.143332, -0.0998935, -0.0596038, -0.0478767, -0.0332002, -0.0202504, -0.0150186, -0.00810481, -0.004507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0229086, 0.0276978, 0.0531624, 0.334059, 0.392458, 0.438679, 0.469737, 0.607509, 0.691646, 0.804867, 0.891435, 0.996366, 1.13862, 1.23706");
-            values ( \
-              "-0.251451, -0.325206, -0.316276, -0.30097, -0.291691, -0.271858, -0.252857, -0.152495, -0.102879, -0.0573408, -0.0357501, -0.0198009, -0.00875551, -0.0056586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.031636, 0.0385193, 0.040776, 0.0509377, 0.0517766, 0.0525833, 0.0557774, 0.057476, 0.0592631, 0.0626034, 0.0660222, 0.0758108, 0.0780427, 0.0825064, 0.0950265, 0.105714, 0.109739, 0.115494, 0.118875, 0.128323, 0.135853, 0.144458, 0.159836, 0.164373");
-            values ( \
-              "-0.00154714, -0.0543522, -0.0657967, -0.0943558, -0.101075, -0.102793, -0.0972401, -0.0962548, -0.095796, -0.0965611, -0.098964, -0.116059, -0.116762, -0.108581, -0.0627535, -0.0337996, -0.0262137, -0.0180857, -0.0144716, -0.00770205, -0.00471602, -0.00267862, -0.000997233, -0.000844022" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0317893, 0.0422258, 0.0509285, 0.0517816, 0.0531461, 0.054469, 0.0587156, 0.0606931, 0.0646482, 0.0677821, 0.0744306, 0.0809807, 0.0860425, 0.0903383, 0.0979462, 0.114354, 0.124474, 0.129403, 0.137988, 0.145169, 0.152829, 0.16136, 0.167233, 0.178979, 0.200012, 0.207479");
-            values ( \
-              "-0.00559459, -0.105945, -0.143693, -0.152771, -0.155975, -0.151894, -0.14647, -0.145618, -0.14538, -0.14619, -0.150372, -0.159861, -0.169183, -0.169639, -0.15196, -0.0881654, -0.057534, -0.0460403, -0.0306898, -0.0216777, -0.0148694, -0.00972694, -0.00731794, -0.0040337, -0.00140392, -0.00111432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0317817, 0.0444926, 0.0509458, 0.0526578, 0.0576964, 0.0608005, 0.0666286, 0.0776947, 0.0854823, 0.0902081, 0.107421, 0.11381, 0.125297, 0.149638, 0.166209, 0.175951, 0.184448, 0.192043, 0.19993, 0.211326, 0.223901, 0.233678, 0.253233, 0.280449");
-            values ( \
-              "-0.00287583, -0.158421, -0.197087, -0.21316, -0.204588, -0.201831, -0.200129, -0.200716, -0.202723, -0.20495, -0.221283, -0.218932, -0.19508, -0.116325, -0.0739636, -0.0554358, -0.0426063, -0.0335763, -0.0261666, -0.0179988, -0.0119599, -0.00873768, -0.00449172, -0.00199381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0317597, 0.0478516, 0.0509435, 0.0519369, 0.0524379, 0.05344, 0.0566116, 0.060316, 0.0659763, 0.0721377, 0.100091, 0.11999, 0.139547, 0.158916, 0.179695, 0.205229, 0.224249, 0.239447, 0.24972, 0.266149, 0.281905, 0.299137, 0.320852, 0.328462, 0.347149, 0.368505, 0.411217, 0.470268, 0.530697");
-            values ( \
-              "-0.00650365, -0.220882, -0.243616, -0.260327, -0.262927, -0.263266, -0.258124, -0.2543, -0.251627, -0.250499, -0.249873, -0.251014, -0.25842, -0.256359, -0.223267, -0.165888, -0.125904, -0.0987685, -0.0830883, -0.0623023, -0.0467758, -0.0339205, -0.022365, -0.0193035, -0.013485, -0.00884513, -0.00369735, -0.00102339, -0.000331449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0393371, 0.0479423, 0.0530033, 0.0611235, 0.0749604, 0.177325, 0.211977, 0.229117, 0.246569, 0.281134, 0.350513, 0.397145, 0.432943, 0.460952, 0.487487, 0.522866, 0.546719, 0.573828, 0.609974, 0.670403, 0.730832, 0.735588");
-            values ( \
-              "-0.205363, -0.250133, -0.300064, -0.293341, -0.289795, -0.283646, -0.286, -0.284325, -0.276874, -0.245954, -0.154324, -0.10301, -0.0733191, -0.0555077, -0.0423638, -0.0291876, -0.0227052, -0.0170161, -0.011475, -0.00587563, -0.00296886, -0.00288254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0394524, 0.0541437, 0.0615962, 0.0722539, 0.353139, 0.411388, 0.458551, 0.488819, 0.62658, 0.710724, 0.775601, 0.823955, 0.910501, 1.01545, 1.15774, 1.26144");
-            values ( \
-              "-0.199715, -0.324788, -0.318835, -0.316567, -0.300973, -0.29173, -0.271425, -0.252855, -0.152499, -0.102881, -0.0740665, -0.0573392, -0.0357512, -0.0197991, -0.00875444, -0.0054919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.056309, 0.0657064, 0.0719647, 0.0998769, 0.107497, 0.112605, 0.113863, 0.116379, 0.118882, 0.119399, 0.120433, 0.125192, 0.134195, 0.14392, 0.145371, 0.148274, 0.153319, 0.154708, 0.155048, 0.155728, 0.157087, 0.159795, 0.16521, 0.169002, 0.170404, 0.173208, 0.178815, 0.19003, 0.206645, 0.221811");
-            values ( \
-              "-0.00242954, -0.025477, -0.0377831, -0.0791906, -0.0932563, -0.107663, -0.117004, -0.118111, -0.120121, -0.118258, -0.118735, -0.105266, -0.0690182, -0.039623, -0.0382146, -0.0300741, -0.023469, -0.01955, -0.0210503, -0.0182779, -0.0185273, -0.0137848, -0.0111865, -0.00704496, -0.00819495, -0.00512887, -0.00510333, -0.00108295, -0.00161994, -0.000432142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.0563493, 0.071129, 0.0829241, 0.107071, 0.114216, 0.121, 0.127947, 0.131603, 0.138916, 0.153656, 0.167732, 0.177886, 0.190693, 0.20588, 0.218006, 0.23103");
-            values ( \
-              "-0.00200556, -0.0536461, -0.0838702, -0.135121, -0.153418, -0.159965, -0.170739, -0.170211, -0.153098, -0.0950842, -0.0525846, -0.032734, -0.0175454, -0.00825935, -0.00450841, -0.00262411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0622596, 0.0713161, 0.0797823, 0.0862217, 0.0982376, 0.114879, 0.1183, 0.118983, 0.12035, 0.123082, 0.12726, 0.131432, 0.139775, 0.143433, 0.14933, 0.154982, 0.166285, 0.182371, 0.192269, 0.202694, 0.206848, 0.21318, 0.221622, 0.230511, 0.235446, 0.242861, 0.253428, 0.257824, 0.266615, 0.284199, 0.314854, 0.351222, 0.397067");
-            values ( \
-              "-0.0380786, -0.073933, -0.10533, -0.125219, -0.159603, -0.204494, -0.205229, -0.204296, -0.203532, -0.20334, -0.203839, -0.205509, -0.212421, -0.217353, -0.221569, -0.218936, -0.195657, -0.142471, -0.111955, -0.08452, -0.07505, -0.0623537, -0.0482969, -0.0366092, -0.0313553, -0.024698, -0.0175446, -0.0151817, -0.0114206, -0.0063235, -0.00218195, -0.000620245, -0.000190071" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0625804, 0.0793879, 0.0868886, 0.11414, 0.11656, 0.118421, 0.125122, 0.148013, 0.161227, 0.180742, 0.200107, 0.220888, 0.265408, 0.285179, 0.307365, 0.323101, 0.340294, 0.362033, 0.369648, 0.388371, 0.409769, 0.452564, 0.511484, 0.571913");
-            values ( \
-              "-0.0378394, -0.127901, -0.158727, -0.253395, -0.255495, -0.253229, -0.251096, -0.250116, -0.251245, -0.258424, -0.256455, -0.223262, -0.125965, -0.0915655, -0.0622898, -0.046787, -0.0339574, -0.0223587, -0.0193192, -0.0134652, -0.00884579, -0.00367264, -0.00103664, -0.000318863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0627528, 0.0822909, 0.0974663, 0.116139, 0.122249, 0.13182, 0.184156, 0.218579, 0.253043, 0.276273, 0.287643, 0.305158, 0.325092, 0.403986, 0.441818, 0.480293, 0.504356, 0.523776, 0.548198, 0.582658, 0.620018, 0.648898, 0.706657, 0.767086, 0.78635");
-            values ( \
-              "-0.0410629, -0.159546, -0.225949, -0.294018, -0.290709, -0.289086, -0.285531, -0.283657, -0.286, -0.282627, -0.276758, -0.264215, -0.241929, -0.139196, -0.0994967, -0.0688768, -0.0541618, -0.0444558, -0.0344976, -0.0239486, -0.0160972, -0.0117816, -0.00620804, -0.00315735, -0.00266861" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0742425, 0.0997977, 0.114642, 0.123156, 0.134439, 0.225598, 0.329567, 0.393202, 0.449162, 0.476316, 0.512521, 0.529013, 0.660184, 0.71863, 0.744753, 0.78586, 0.832841, 0.877013, 0.92916, 0.989589, 1.0405, 1.11624, 1.17667, 1.2371, 1.35795, 1.47881, 1.59967");
-            values ( \
-              "-0.203439, -0.256816, -0.319394, -0.316533, -0.315312, -0.310462, -0.303736, -0.301106, -0.292296, -0.282603, -0.263319, -0.252828, -0.156763, -0.120235, -0.105922, -0.0863781, -0.0676508, -0.0534735, -0.040253, -0.0287432, -0.0215813, -0.0140183, -0.00987518, -0.0069772, -0.00346496, -0.00172469, -0.000864297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.105582, 0.121612, 0.130113, 0.177915, 0.189365, 0.206159, 0.213134, 0.229687, 0.23852, 0.249227, 0.251422, 0.253733, 0.264607, 0.276646");
-            values ( \
-              "-0.00687461, -0.0133189, -0.0184419, -0.0520023, -0.0625928, -0.0952818, -0.0925949, -0.0459214, -0.0274519, -0.0138445, -0.0145098, -0.0135238, -0.00651719, -0.00310746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.105904, 0.130026, 0.191079, 0.201542, 0.221766, 0.230725, 0.249522, 0.263984, 0.275462, 0.288691, 0.29744, 0.309106, 0.311093");
-            values ( \
-              "-0.0110589, -0.0284505, -0.0928616, -0.10664, -0.149271, -0.139159, -0.0776919, -0.044236, -0.0254074, -0.0131122, -0.0084633, -0.00468074, -0.00438012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.108632, 0.130139, 0.205335, 0.247393, 0.249288, 0.251505, 0.254943, 0.278645, 0.298047, 0.318135, 0.332943, 0.357619, 0.383024");
-            values ( \
-              "-0.0184429, -0.0404747, -0.145888, -0.216263, -0.213349, -0.213879, -0.207262, -0.130081, -0.07748, -0.0423352, -0.0264779, -0.0118631, -0.00558523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.132366, 0.159359, 0.174164, 0.189628, 0.20822, 0.220372, 0.232524, 0.240832, 0.249139, 0.252299, 0.255423, 0.257493, 0.259564, 0.261634, 0.272846, 0.275096, 0.277346, 0.279596, 0.281846, 0.284408, 0.286969, 0.28953, 0.292091, 0.295729, 0.299366, 0.303003, 0.30664, 0.312803, 0.323672, 0.344437, 0.350449, 0.354141, 0.357834, 0.365219, 0.372928, 0.383123, 0.388206, 0.393288, 0.39749, 0.401691, 0.405893, 0.410094, 0.415974, 0.42141, 0.425363, 0.429316, 0.432316, 0.441318, 0.453946, 0.464641");
-            values ( \
-              "-0.104383, -0.105065, -0.130607, -0.156534, -0.186305, -0.20508, -0.223314, -0.237119, -0.251511, -0.252984, -0.254008, -0.254451, -0.254705, -0.254771, -0.260878, -0.260728, -0.260365, -0.259787, -0.258994, -0.257831, -0.25639, -0.254671, -0.252675, -0.249082, -0.244783, -0.239777, -0.234064, -0.222735, -0.198929, -0.151925, -0.139107, -0.131806, -0.124735, -0.111285, -0.0982897, -0.0820306, -0.0753488, -0.0690699, -0.0641841, -0.0595736, -0.0552384, -0.0511785, -0.045945, -0.0413248, -0.0382429, -0.0354126, -0.0335403, -0.0283806, -0.0224138, -0.017875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.12448, 0.148168, 0.172229, 0.200336, 0.220495, 0.241612, 0.248896, 0.253169, 0.259274, 0.264247, 0.274193, 0.298384, 0.310347, 0.334272, 0.344823, 0.361967, 0.379416, 0.414241, 0.47583, 0.498149, 0.526927, 0.535047, 0.552454, 0.571436, 0.595954, 0.616237, 0.640457, 0.674764, 0.690262, 0.711524, 0.739874, 0.796574, 0.857003, 0.917432, 0.977861, 1.03829");
-            values ( \
-              "-0.0689594, -0.0965052, -0.147266, -0.200878, -0.237152, -0.272861, -0.284353, -0.287901, -0.287798, -0.286398, -0.285973, -0.284281, -0.283932, -0.284733, -0.286056, -0.284216, -0.276936, -0.245578, -0.163801, -0.136553, -0.105874, -0.0982699, -0.0834375, -0.0693839, -0.0543271, -0.0441999, -0.0343623, -0.0238991, -0.0202783, -0.0161665, -0.0118985, -0.00635169, -0.00322792, -0.00166218, -0.000850081, -0.00045695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.124386, 0.221019, 0.248802, 0.254615, 0.422078, 0.492349, 0.505471, 0.527745, 0.550806, 0.596927, 0.621491, 0.749758, 0.804267, 0.835834, 0.88568, 0.942647, 1.00254, 1.04567, 1.10609, 1.1914, 1.25183, 1.31226, 1.43312, 1.67484");
-            values ( \
-              "-0.0607593, -0.25986, -0.310479, -0.314378, -0.303864, -0.300526, -0.29922, -0.29527, -0.288942, -0.268362, -0.252607, -0.158865, -0.124132, -0.106777, -0.083183, -0.0617596, -0.0447393, -0.0352272, -0.0251144, -0.0154666, -0.0108832, -0.00771031, -0.00383563, -0.000965002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.194911, 0.222998, 0.232961, 0.24976, 0.258144, 0.27431, 0.331243, 0.348775, 0.362683, 0.373724, 0.383507, 0.393302, 0.407684, 0.419572, 0.429002, 0.440823, 0.448424, 0.458559, 0.467863");
-            values ( \
-              "-0.00214189, -0.00448687, -0.00596615, -0.00915227, -0.0111256, -0.0159655, -0.0337829, -0.0410067, -0.0531836, -0.0658833, -0.067968, -0.06113, -0.0339876, -0.0180952, -0.0104756, -0.00514691, -0.00327508, -0.00179293, -0.00121064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.199267, 0.222828, 0.25291, 0.267912, 0.346039, 0.366091, 0.396047, 0.408378, 0.420689, 0.448023, 0.461235, 0.47725, 0.490039, 0.499677");
-            values ( \
-              "-0.0040903, -0.00731363, -0.0158233, -0.0218081, -0.0585988, -0.0699752, -0.103609, -0.105553, -0.0920865, -0.0372041, -0.0213577, -0.0103368, -0.00573407, -0.00386986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.206632, 0.222197, 0.240685, 0.262155, 0.37057, 0.394842, 0.432759, 0.449259, 0.465706, 0.486745, 0.506484, 0.522977, 0.545003, 0.550426, 0.553203, 0.57639, 0.591454, 0.599217");
-            values ( \
-              "-0.00757127, -0.0107373, -0.0177839, -0.0280667, -0.0961761, -0.113053, -0.154212, -0.153433, -0.128666, -0.0831981, -0.0497682, -0.0304686, -0.0152387, -0.0129338, -0.0143837, -0.00699292, -0.00419866, -0.00349323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.221883, 0.261343, 0.275366, 0.326523, 0.441237, 0.467984, 0.491692, 0.515137, 0.590096, 0.615244, 0.640929, 0.659808, 0.679844, 0.69528, 0.726153, 0.742502");
-            values ( \
-              "-0.0141146, -0.0363769, -0.046059, -0.087683, -0.173158, -0.199927, -0.219106, -0.207322, -0.0787989, -0.050205, -0.0310805, -0.0215939, -0.014677, -0.0108734, -0.00585505, -0.00465386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.221294, 0.277823, 0.360766, 0.432648, 0.548291, 0.556316, 0.575024, 0.598352, 0.620338, 0.699852, 0.738411, 0.775184, 0.819315, 0.843638, 0.878023, 0.915087, 0.943704, 1.00094, 1.06137, 1.09656");
-            values ( \
-              "-0.0123412, -0.0569916, -0.131981, -0.192058, -0.282622, -0.286122, -0.28084, -0.265845, -0.242092, -0.13849, -0.0982754, -0.0691262, -0.0443307, -0.0344313, -0.0239251, -0.016133, -0.0118386, -0.00628007, -0.00319109, -0.00265954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.221344, 0.412451, 0.496485, 0.549959, 0.554554, 0.558663, 0.562772, 0.625636, 0.674144, 0.689389, 0.71988, 0.754482, 0.812808, 0.850459, 0.936691, 0.978414, 1.0323, 1.07943, 1.11534, 1.16165, 1.20007, 1.24539, 1.30582, 1.33818, 1.3815, 1.43926, 1.49969, 1.56011, 1.62054, 1.7414, 1.92269");
-            values ( \
-              "-0.00915193, -0.192146, -0.265461, -0.306835, -0.308521, -0.308573, -0.307958, -0.303847, -0.301487, -0.301125, -0.297662, -0.289017, -0.260964, -0.234632, -0.170611, -0.14235, -0.110621, -0.0875673, -0.0728107, -0.0569523, -0.0462828, -0.0360717, -0.025673, -0.021395, -0.0167357, -0.0120195, -0.00846715, -0.00597501, -0.00419833, -0.00208079, -0.000740707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.402368, 0.477472, 0.497532, 0.521538, 0.533678, 0.552938, 0.625635, 0.64493, 0.672236, 0.693586, 0.710268, 0.724932, 0.739577, 0.765366, 0.773625, 0.78543, 0.796187, 0.804065, 0.81982, 0.841668, 0.868707, 0.903868, 0.955669, 1.0161, 1.06493");
-            values ( \
-              "-0.000309289, -0.00318254, -0.00428259, -0.00595241, -0.00698795, -0.00908891, -0.0188675, -0.0216264, -0.0264204, -0.0349069, -0.0439407, -0.0452139, -0.0444775, -0.0202805, -0.0140566, -0.00788503, -0.00456951, -0.00325344, -0.00131552, -0.00061956, -0.000127415, -0.000207926, -1e-22, -0.00012435, -9.08542e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.40191, 0.484682, 0.506325, 0.530667, 0.564256, 0.665264, 0.696149, 0.721148, 0.741501, 0.759808, 0.778154, 0.811029, 0.835232, 0.848095, 0.874579, 0.900593, 0.932533, 0.97546");
-            values ( \
-              "-0.000193109, -0.00603392, -0.0081389, -0.0110561, -0.0166574, -0.0378586, -0.0455493, -0.0575285, -0.0693207, -0.0712623, -0.0688811, -0.03178, -0.0133065, -0.00801875, -0.0026458, -0.0010259, -0.000209114, -0.000177433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.461967, 0.535137, 0.570221, 0.634529, 0.700528, 0.737576, 0.768504, 0.794661, 0.818799, 0.842955, 0.881037, 0.892969, 0.906692, 0.914742, 0.93084, 0.939181, 0.952983, 0.971385, 1.00819, 1.05232, 1.10855, 1.21593, 1.23714, 1.26666");
-            values ( \
-              "-0.0110352, -0.017593, -0.0257241, -0.0444069, -0.0628252, -0.074339, -0.0896296, -0.103743, -0.106113, -0.0995745, -0.0535866, -0.0409243, -0.0292091, -0.0237294, -0.0152959, -0.0121564, -0.00824722, -0.00484236, -0.00151813, -0.000414947, -0.000119182, -4.43119e-05, -0.000849457, -0.000419242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.461708, 0.51689, 0.545821, 0.572662, 0.669523, 0.804868, 0.880126, 0.913228, 0.946295, 0.995164, 1.02199, 1.05598, 1.08046, 1.11154, 1.13712, 1.18829, 1.21605, 1.23117");
-            values ( \
-              "-0.0164305, -0.0196887, -0.0267332, -0.0345923, -0.0690243, -0.114919, -0.149542, -0.151645, -0.134947, -0.0787963, -0.0531201, -0.0304603, -0.0197923, -0.011311, -0.00701676, -0.00249836, -0.00148729, -0.00210659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.461087, 0.544726, 0.591146, 0.727148, 0.908544, 0.974146, 0.9867, 1.01071, 1.05358, 1.06545, 1.08128, 1.17514, 1.20255, 1.25355, 1.28046, 1.31284, 1.34014, 1.38116, 1.43586, 1.49628, 1.55671, 1.67757");
-            values ( \
-              "-0.0139399, -0.0328973, -0.0487057, -0.103668, -0.170902, -0.198816, -0.203371, -0.209513, -0.20899, -0.203822, -0.192932, -0.103224, -0.0809952, -0.0508076, -0.0385733, -0.0273525, -0.0204862, -0.0131885, -0.00720346, -0.00365745, -0.00187453, -0.000507388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.521385, 0.60799, 0.727465, 0.815626, 0.980608, 1.13829, 1.16613, 1.20701, 1.21566, 1.22608, 1.25344, 1.27769, 1.41044, 1.49416, 1.53073, 1.56669, 1.61363, 1.65298, 1.69808, 1.75851, 1.79049, 1.83319, 1.89012, 1.95055, 2.01098, 2.07141, 2.19227, 2.37355");
-            values ( \
-              "-0.0588326, -0.061811, -0.114239, -0.15111, -0.215885, -0.272688, -0.280476, -0.285261, -0.28451, -0.281922, -0.268173, -0.252727, -0.155761, -0.105587, -0.088028, -0.0731654, -0.0570593, -0.0461368, -0.035988, -0.0256231, -0.0213984, -0.0167975, -0.0121164, -0.00854141, -0.00602182, -0.00423663, -0.00210107, -0.000743984" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00268775, 0.00271424, 0.00274034, 0.00276098, 0.00277455, 0.00278252", \
-            "0.00316665, 0.0031832, 0.00320347, 0.00322245, 0.0032365, 0.00324551", \
-            "0.00347197, 0.00347531, 0.00348231, 0.00349228, 0.00350206, 0.00350934", \
-            "0.0036575, 0.00365476, 0.00365406, 0.00365352, 0.00365509, 0.00365791", \
-            "0.00376046, 0.00375527, 0.00374812, 0.00374054, 0.00373488, 0.0037322", \
-            "0.00381751, 0.00381151, 0.00380339, 0.00379286, 0.00378256, 0.0037745" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00367341, 0.00368952, 0.00370484, 0.00371365, 0.00371858, 0.00372311", \
-            "0.00404549, 0.00402727, 0.00401146, 0.00399943, 0.00399123, 0.00398636", \
-            "0.00428071, 0.00421766, 0.00416063, 0.0041171, 0.00408752, 0.004073", \
-            "0.00460414, 0.00444349, 0.00429996, 0.00420103, 0.00413649, 0.00409529", \
-            "0.00505947, 0.0048634, 0.00459894, 0.00438022, 0.00423574, 0.0041499", \
-            "0.00566942, 0.00537561, 0.00506236, 0.00473872, 0.00445151, 0.00426922" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B0";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0414613, 0.0536132, 0.0767694, 0.121484, 0.208846, 0.379995", \
-            "0.0473131, 0.0592833, 0.0827239, 0.127626, 0.215123, 0.386351", \
-            "0.0621518, 0.0742225, 0.097299, 0.141948, 0.229575, 0.400854", \
-            "0.089458, 0.106098, 0.1318, 0.176166, 0.263464, 0.434882", \
-            "0.131475, 0.156116, 0.194739, 0.25224, 0.340441, 0.511119", \
-            "0.201958, 0.236231, 0.292119, 0.378057, 0.50272, 0.682869" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0528353, 0.0707339, 0.105198, 0.171577, 0.300928, 0.554858", \
-            "0.0530836, 0.070825, 0.105213, 0.171578, 0.300905, 0.554858", \
-            "0.0576526, 0.0729849, 0.105517, 0.171586, 0.300926, 0.554858", \
-            "0.0788334, 0.09001, 0.115909, 0.174179, 0.30092, 0.554853", \
-            "0.121477, 0.135323, 0.159314, 0.2048, 0.31207, 0.554881", \
-            "0.186927, 0.207183, 0.242665, 0.299073, 0.389276, 0.590743" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0336828, 0.0443945, 0.0650738, 0.105309, 0.184113, 0.338846", \
-            "0.0380445, 0.0488356, 0.0696056, 0.109952, 0.188825, 0.343608", \
-            "0.0475748, 0.0592368, 0.0800253, 0.120452, 0.199425, 0.354288", \
-            "0.0578574, 0.0748239, 0.10201, 0.143855, 0.223293, 0.378129", \
-            "0.0625004, 0.0871393, 0.126812, 0.187521, 0.276277, 0.431923", \
-            "0.0504166, 0.0848895, 0.140984, 0.228567, 0.35961, 0.550802" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0310124, 0.0451962, 0.0731568, 0.128244, 0.236374, 0.449136", \
-            "0.0311319, 0.0452096, 0.0731601, 0.128149, 0.236492, 0.449136", \
-            "0.0373771, 0.0489116, 0.0741459, 0.128178, 0.236372, 0.449133", \
-            "0.0535665, 0.0669907, 0.0892674, 0.134277, 0.236608, 0.449173", \
-            "0.0805033, 0.098654, 0.12801, 0.174954, 0.258583, 0.45235", \
-            "0.126761, 0.151054, 0.191277, 0.254713, 0.352593, 0.5161" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0279543, 0.0297079, 0.0311391, 0.0323192, 0.0334357, 0.0356687, 0.0379406, 0.0424369, 0.045537, 0.047444, 0.0559219, 0.0592982, 0.0653339, 0.0690017, 0.071692, 0.0752791, 0.0792973, 0.0834922, 0.0868627, 0.0966361, 0.100954, 0.106713, 0.121429, 0.127711, 0.135655, 0.145976, 0.154881, 0.164541, 0.178337, 0.196649, 0.221065, 0.255796, 0.298098");
-            values ( \
-              "0.0154189, 0.134441, 0.140135, 0.143019, 0.144882, 0.146178, 0.14532, 0.139728, 0.132487, 0.127097, 0.0989847, 0.0861748, 0.0619042, 0.0495432, 0.0424214, 0.0351216, 0.0295654, 0.0264858, 0.0254251, 0.0244335, 0.0233977, 0.0216723, 0.0161693, 0.0140084, 0.0116662, 0.00897899, 0.00717223, 0.0056653, 0.00389459, 0.00239768, 0.0012884, 0.000431361, 0.000168113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0280779, 0.032999, 0.0363341, 0.0396662, 0.0482989, 0.0497475, 0.0526449, 0.0570291, 0.0644417, 0.0695227, 0.0739207, 0.080574, 0.0873212, 0.0925698, 0.0966367, 0.101301, 0.105359, 0.113678, 0.118702, 0.125333, 0.14519, 0.156525, 0.171638, 0.181017, 0.193099, 0.209208, 0.222573, 0.243108, 0.270487, 0.314833, 0.367073");
-            values ( \
-              "0.0706859, 0.191293, 0.195489, 0.196585, 0.186992, 0.18372, 0.17902, 0.168878, 0.149979, 0.134934, 0.119812, 0.0947898, 0.0732698, 0.0610956, 0.0541191, 0.0493762, 0.0470412, 0.0449511, 0.0432356, 0.0401874, 0.0290985, 0.0233356, 0.017095, 0.0140331, 0.0108284, 0.00760242, 0.00566434, 0.0035831, 0.00190879, 0.000640093, 0.000169396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0296039, 0.032436, 0.0357299, 0.039065, 0.0410818, 0.0451153, 0.0518522, 0.0605997, 0.0750887, 0.0872733, 0.0944103, 0.119611, 0.12541, 0.130105, 0.134524, 0.139086, 0.157179, 0.194227, 0.210003, 0.219953, 0.239255, 0.251442, 0.273707, 0.300207, 0.344244, 0.400004, 0.464507");
-            values ( \
-              "0.134097, 0.224844, 0.234686, 0.237844, 0.238044, 0.236503, 0.231083, 0.222091, 0.203109, 0.182765, 0.168351, 0.104228, 0.0933766, 0.0866828, 0.0825467, 0.0797996, 0.0713904, 0.0456687, 0.0362062, 0.0310988, 0.0229025, 0.0188359, 0.0130669, 0.00838339, 0.00393799, 0.00140318, 0.000405275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0287601, 0.0345533, 0.0402261, 0.0449173, 0.0575287, 0.0862169, 0.10991, 0.126729, 0.144948, 0.180568, 0.190726, 0.195192, 0.220933, 0.277436, 0.301917, 0.338959, 0.371659, 0.416958, 0.476785, 0.522598, 0.594229, 0.619832");
-            values ( \
-              "0.0862715, 0.258014, 0.26696, 0.267606, 0.261873, 0.24419, 0.226538, 0.211348, 0.189204, 0.134734, 0.124292, 0.120916, 0.109484, 0.0708716, 0.0562176, 0.0387386, 0.0274146, 0.0166801, 0.00854385, 0.00499758, 0.00211847, 0.00180807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0314349, 0.0314549, 0.0794724, 0.101322, 0.137211, 0.177969, 0.217949, 0.225196, 0.23486, 0.278407, 0.293082, 0.30521, 0.331027, 0.347877, 0.42134, 0.456831, 0.502528, 0.543428, 0.573182, 0.622038, 0.642924, 0.681045, 0.734813, 0.791364, 0.834776, 0.906407, 0.978038, 1.04967, 1.19293");
-            values ( \
-              "1e-22, 0.290971, 0.276646, 0.26935, 0.256881, 0.240918, 0.221346, 0.216951, 0.21001, 0.174249, 0.1638, 0.15755, 0.150314, 0.143283, 0.104148, 0.0866994, 0.0671629, 0.0527904, 0.0439914, 0.0323087, 0.0282437, 0.0219986, 0.0153483, 0.0104907, 0.00779651, 0.00473524, 0.00286468, 0.00173286, 0.000631864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.0340823, 0.0341023, 0.130099, 0.233737, 0.311185, 0.349234, 0.389953, 0.497312, 0.513364, 0.548201, 0.566656, 0.755618, 0.874776, 0.970693, 1.02493, 1.09564, 1.1546, 1.22241, 1.29404, 1.38772, 1.51455, 1.65781, 1.80107, 1.87619");
-            values ( \
-              "1e-22, 0.315341, 0.283416, 0.264577, 0.249054, 0.240389, 0.229225, 0.189044, 0.1852, 0.179845, 0.175285, 0.113267, 0.0796884, 0.0584245, 0.0485632, 0.0379492, 0.0307505, 0.0239805, 0.018426, 0.012949, 0.00799482, 0.00460773, 0.00264381, 0.00223242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0437674, 0.0469497, 0.0508718, 0.0533635, 0.055291, 0.0570029, 0.0582587, 0.059933, 0.0621745, 0.0666577, 0.0727092, 0.0896369, 0.0952068, 0.0992251, 0.103424, 0.106712, 0.116, 0.120316, 0.126038, 0.147694, 0.165755, 0.174449, 0.197978, 0.212442, 0.245725, 0.275964");
-            values ( \
-              "0.00600824, 0.0764273, 0.101599, 0.125507, 0.133348, 0.136988, 0.138186, 0.138287, 0.136549, 0.128094, 0.108863, 0.0470356, 0.0348004, 0.0293986, 0.0264011, 0.0254386, 0.0245182, 0.0234757, 0.0218299, 0.0139031, 0.00894596, 0.0071806, 0.00388277, 0.0026542, 0.00105866, 0.000687304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0449427, 0.0486535, 0.0521965, 0.0555405, 0.0578286, 0.0611508, 0.0650487, 0.069337, 0.0762703, 0.0910995, 0.0996315, 0.108145, 0.115257, 0.120021, 0.124221, 0.134167, 0.141455, 0.168521, 0.184134, 0.200864, 0.223706, 0.2427, 0.278214, 0.319697, 0.368359");
-            values ( \
-              "0.0248944, 0.114145, 0.155189, 0.178775, 0.18617, 0.190016, 0.188527, 0.183325, 0.170277, 0.128286, 0.0969043, 0.0702104, 0.0556866, 0.0500803, 0.0473777, 0.0446733, 0.0418246, 0.027118, 0.0198573, 0.0139863, 0.00851938, 0.00560737, 0.00251336, 0.000915907, 0.000273982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0463309, 0.0514078, 0.0536852, 0.0589364, 0.0609161, 0.0648754, 0.0695915, 0.0718026, 0.0762248, 0.083062, 0.0944377, 0.0950336, 0.10318, 0.114665, 0.13501, 0.148914, 0.154107, 0.158591, 0.177143, 0.214826, 0.238528, 0.256829, 0.286291, 0.309793, 0.334708, 0.393819, 0.423588");
-            values ( \
-              "0.0256883, 0.174168, 0.203667, 0.230963, 0.229671, 0.236545, 0.229901, 0.232749, 0.22416, 0.22146, 0.202032, 0.203355, 0.189966, 0.167616, 0.114498, 0.0880705, 0.0828089, 0.0799124, 0.0713758, 0.0452391, 0.0317939, 0.0237838, 0.0147898, 0.00993783, 0.00653666, 0.00231522, 0.00155674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0509328, 0.0509528, 0.0823057, 0.101911, 0.122758, 0.144749, 0.162141, 0.198067, 0.208802, 0.215368, 0.237712, 0.248133, 0.297045, 0.321882, 0.340658, 0.365692, 0.391043, 0.423148, 0.457799, 0.496903, 0.527799, 0.58959, 0.661221, 0.732852");
-            values ( \
-              "1e-22, 0.26944, 0.259103, 0.2471, 0.232562, 0.213564, 0.193589, 0.138208, 0.125981, 0.120862, 0.111282, 0.105286, 0.0712055, 0.0563644, 0.0468408, 0.0361238, 0.027609, 0.0194601, 0.013292, 0.00858519, 0.00594573, 0.00292312, 0.00115289, 0.000547694" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.053775, 0.053795, 0.106613, 0.151348, 0.19816, 0.240675, 0.318111, 0.328522, 0.36123, 0.459157, 0.511133, 0.544404, 0.592354, 0.642134, 0.701539, 0.755139, 0.811056, 0.925544, 0.997175, 1.06269");
-            values ( \
-              "1e-22, 0.314089, 0.274358, 0.259067, 0.240908, 0.219971, 0.161078, 0.156489, 0.146522, 0.0952395, 0.0717207, 0.0591612, 0.044226, 0.0322857, 0.0219167, 0.0153524, 0.0105479, 0.00479454, 0.00285708, 0.0021883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0508192, 0.0646778, 0.070268, 0.076231, 0.150274, 0.253916, 0.331365, 0.369413, 0.422167, 0.517491, 0.533543, 0.547864, 0.568381, 0.586836, 0.752033, 0.823324, 0.894954, 0.959339, 1.04511, 1.11582, 1.17477, 1.24258, 1.31421, 1.40789, 1.53471, 1.67797, 1.82123, 1.9645, 2.17939");
-            values ( \
-              "0.262615, 0.293577, 0.296029, 0.296181, 0.283409, 0.264568, 0.249054, 0.240388, 0.225203, 0.189045, 0.1852, 0.183539, 0.179845, 0.175285, 0.120742, 0.0989543, 0.0796887, 0.0648116, 0.0485639, 0.0379499, 0.0307511, 0.0239811, 0.0184265, 0.0129496, 0.00799541, 0.00460807, 0.002644, 0.00151094, 0.00068227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0681719, 0.095106, 0.105928, 0.109229, 0.112531, 0.113067, 0.114354, 0.115706, 0.117483, 0.120111, 0.137029, 0.144119, 0.148291, 0.151951, 0.162234, 0.167734, 0.195058, 0.208755, 0.216694, 0.2307, 0.249921, 0.284355, 0.319627, 0.361205");
-            values ( \
-              "0.00141886, 0.0748567, 0.0982699, 0.103179, 0.104595, 0.10738, 0.10879, 0.108146, 0.105858, 0.100267, 0.0430522, 0.0304943, 0.0268654, 0.02558, 0.024407, 0.0230487, 0.0134247, 0.00969282, 0.0078833, 0.00550371, 0.00332652, 0.00130258, 0.000520994, 0.000110458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.069873, 0.0952207, 0.106063, 0.109335, 0.112606, 0.113686, 0.11465, 0.116043, 0.11883, 0.121726, 0.123486, 0.125832, 0.130518, 0.134732, 0.139647, 0.148055, 0.154408, 0.156674, 0.161204, 0.166013, 0.170291, 0.179036, 0.183937, 0.190579, 0.214329, 0.222496, 0.238832, 0.246423, 0.256259, 0.267072, 0.282244, 0.300669, 0.325236, 0.365603, 0.414678");
-            values ( \
-              "0.00111726, 0.100606, 0.136801, 0.146536, 0.155358, 0.161906, 0.165451, 0.167934, 0.169337, 0.166606, 0.163622, 0.158813, 0.147345, 0.135345, 0.1184, 0.0875422, 0.0689593, 0.0637534, 0.0553739, 0.0498621, 0.0472263, 0.0449829, 0.0432985, 0.0402779, 0.0270777, 0.0230848, 0.0164165, 0.0139848, 0.0113227, 0.0090098, 0.00638786, 0.00423398, 0.00246705, 0.000887144, 0.000299932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0722591, 0.0939951, 0.107942, 0.11259, 0.114502, 0.116851, 0.119361, 0.123924, 0.128378, 0.134158, 0.140403, 0.152275, 0.153601, 0.156252, 0.161556, 0.167424, 0.178994, 0.187814, 0.190757, 0.196644, 0.201796, 0.206801, 0.214199, 0.220233, 0.222436, 0.226841, 0.235652, 0.248252, 0.26415, 0.267922, 0.275464, 0.288246, 0.305211, 0.310411, 0.320812, 0.341613, 0.358033, 0.364111, 0.376267, 0.40058, 0.449205, 0.510122, 0.581753");
-            values ( \
-              "0.000269412, 0.116338, 0.176803, 0.195278, 0.207251, 0.215936, 0.219751, 0.221139, 0.217983, 0.211811, 0.202974, 0.183419, 0.181006, 0.175954, 0.163959, 0.148731, 0.117781, 0.0985555, 0.0933063, 0.0854035, 0.0809551, 0.0786875, 0.0755825, 0.0726307, 0.0714232, 0.0685903, 0.0625751, 0.0534065, 0.0427701, 0.0404266, 0.0361589, 0.0296568, 0.0227168, 0.0208483, 0.0176677, 0.0124622, 0.0095275, 0.008546, 0.00701948, 0.00457579, 0.00194186, 0.000572795, 0.000175108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0802647, 0.112574, 0.115574, 0.118045, 0.120647, 0.125347, 0.12964, 0.133939, 0.153962, 0.174205, 0.198249, 0.210132, 0.234836, 0.245707, 0.255848, 0.260474, 0.278252, 0.295258, 0.342718, 0.367198, 0.385366, 0.404235, 0.436933, 0.45203, 0.482224, 0.519134, 0.542029, 0.587819, 0.659449, 0.73108, 0.802711");
-            values ( \
-              "0.0607038, 0.222795, 0.243653, 0.251444, 0.255676, 0.257849, 0.256861, 0.254757, 0.24242, 0.22765, 0.204826, 0.189394, 0.150088, 0.134929, 0.124389, 0.120921, 0.113473, 0.103961, 0.0708543, 0.0562403, 0.0470182, 0.0387223, 0.0273981, 0.0233387, 0.0166636, 0.0110181, 0.00856831, 0.00498115, 0.00214427, 0.000837454, 0.000406795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.081397, 0.117017, 0.122535, 0.126983, 0.131314, 0.138783, 0.149018, 0.166886, 0.195199, 0.204165, 0.222099, 0.243535, 0.283485, 0.286049, 0.291175, 0.301428, 0.315415, 0.341349, 0.367823, 0.377635, 0.38946, 0.394709, 0.405208, 0.422823, 0.442874, 0.467992, 0.504096, 0.55622, 0.576152, 0.580745, 0.58993, 0.608301, 0.638016, 0.676882, 0.687527, 0.708818, 0.746794, 0.800448, 0.818913, 0.824292, 0.835052, 0.85657, 0.893466, 0.964521, 1.03615, 1.10778, 1.17941, 1.25104");
-            values ( \
-              "0.0586095, 0.269025, 0.27978, 0.279719, 0.281498, 0.277639, 0.276373, 0.26829, 0.260617, 0.255252, 0.250689, 0.239842, 0.222437, 0.218925, 0.217727, 0.208194, 0.198911, 0.175233, 0.157844, 0.156618, 0.151573, 0.151994, 0.145934, 0.139771, 0.126873, 0.115228, 0.0943907, 0.0729161, 0.0630588, 0.0634807, 0.0580693, 0.0540586, 0.0431081, 0.0356482, 0.0312427, 0.0292408, 0.0208938, 0.0164044, 0.012479, 0.0141404, 0.0110846, 0.0115825, 0.00710283, 0.00605685, 0.00194715, 0.00289588, 2.97306e-05, 0.00173607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0820661, 0.117202, 0.124468, 0.12956, 0.136184, 0.157764, 0.299162, 0.376759, 0.41481, 0.467505, 0.56283, 0.57889, 0.61373, 0.632181, 0.797387, 0.868684, 0.940315, 1.00469, 1.09045, 1.16117, 1.22013, 1.28793, 1.35956, 1.45323, 1.58005, 1.72331, 1.86657, 2.22472");
-            values ( \
-              "0.0657544, 0.279181, 0.292545, 0.294, 0.293795, 0.290153, 0.264574, 0.249041, 0.240382, 0.225208, 0.189049, 0.185201, 0.179846, 0.175288, 0.120742, 0.0989525, 0.079687, 0.0648127, 0.0485654, 0.0379506, 0.0307511, 0.0239813, 0.0184266, 0.0129503, 0.00799603, 0.00460844, 0.00264423, 0.000682299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124554, 0.146458, 0.154879, 0.166102, 0.181395, 0.191637, 0.196737, 0.20385, 0.213979, 0.224106, 0.240349, 0.248717, 0.250481, 0.256021, 0.264792, 0.296265, 0.308202, 0.31744, 0.329236, 0.344964, 0.3571, 0.381929, 0.415034, 0.426651");
-            values ( \
-              "0.00165684, 0.0144159, 0.0226201, 0.0355595, 0.0499133, 0.058493, 0.061692, 0.0648335, 0.0645907, 0.0576701, 0.0352459, 0.0280195, 0.0292875, 0.0283209, 0.0257714, 0.0141273, 0.0105959, 0.00842417, 0.00624322, 0.00415589, 0.00301981, 0.00156007, 0.000625029, 0.000497182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.124534, 0.150587, 0.182333, 0.206548, 0.218892, 0.230607, 0.238656, 0.242734, 0.24895, 0.249636, 0.251009, 0.257971, 0.263818, 0.269094, 0.273654, 0.282421, 0.287507, 0.294464, 0.317557, 0.322822, 0.332697, 0.345725, 0.349795, 0.357936, 0.374216, 0.390688, 0.417553, 0.453373, 0.498602, 0.555032");
-            values ( \
-              "0.000337437, 0.024901, 0.0693908, 0.0993422, 0.109217, 0.110919, 0.105294, 0.0993665, 0.0864717, 0.0865352, 0.0845273, 0.0688807, 0.0575262, 0.0510149, 0.0479271, 0.0452518, 0.0433103, 0.0400109, 0.0270588, 0.0244853, 0.0199636, 0.0152913, 0.0139366, 0.0117993, 0.00817238, 0.00567109, 0.0030832, 0.00139491, 0.000388793, 0.00015009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.138038, 0.155116, 0.162057, 0.186494, 0.20924, 0.219303, 0.22675, 0.242041, 0.24892, 0.252426, 0.256252, 0.260705, 0.268065, 0.278588, 0.28669, 0.293482, 0.298902, 0.303282, 0.308056, 0.316117, 0.325166, 0.33163, 0.35959, 0.370552, 0.381087, 0.393101, 0.408807, 0.413213, 0.422025, 0.437138, 0.458591, 0.468114, 0.480996, 0.498172, 0.532524, 0.593265, 0.664526");
-            values ( \
-              "0.0213862, 0.0380165, 0.0490404, 0.0920482, 0.130786, 0.14677, 0.157714, 0.174585, 0.177412, 0.180169, 0.177749, 0.17107, 0.15431, 0.12593, 0.106376, 0.0934217, 0.0859121, 0.082084, 0.0793951, 0.0760218, 0.0714711, 0.0672606, 0.0474628, 0.0404978, 0.0345726, 0.0287722, 0.0223695, 0.0209061, 0.0180706, 0.0141477, 0.00982716, 0.0083791, 0.00674213, 0.0050793, 0.00274556, 0.000904119, 0.000188336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.14356, 0.164927, 0.17608, 0.218683, 0.233767, 0.252535, 0.256298, 0.260943, 0.269081, 0.277581, 0.288424, 0.300636, 0.31033, 0.338608, 0.347285, 0.357667, 0.362866, 0.380866, 0.388834, 0.397763, 0.426854, 0.445159, 0.469607, 0.475646, 0.487722, 0.506604, 0.531764, 0.539321, 0.554434, 0.584662, 0.610085, 0.621513, 0.644369, 0.690081, 0.761711, 0.833342, 0.904973");
-            values ( \
-              "0.0326067, 0.0606766, 0.0822407, 0.170345, 0.200515, 0.234695, 0.238046, 0.237665, 0.233244, 0.226454, 0.217106, 0.204753, 0.192666, 0.147989, 0.135984, 0.124851, 0.120905, 0.113369, 0.10933, 0.103896, 0.0833267, 0.0708123, 0.0562451, 0.0529772, 0.0470494, 0.0387197, 0.0297735, 0.0273874, 0.0233487, 0.0166431, 0.0126126, 0.0110078, 0.00858568, 0.00497705, 0.00215966, 0.00082682, 0.000419413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.144315, 0.177915, 0.248791, 0.254781, 0.261884, 0.268801, 0.306069, 0.345446, 0.373052, 0.387874, 0.409744, 0.450102, 0.466474, 0.477986, 0.487067, 0.508636, 0.52664, 0.606158, 0.65823, 0.691775, 0.73981, 0.789417, 0.848729, 0.90236, 0.958396, 1.00136, 1.07299, 1.14462, 1.28788, 1.35951");
-            values ( \
-              "0.0246785, 0.0919382, 0.254699, 0.265273, 0.270384, 0.269075, 0.256372, 0.240869, 0.228173, 0.219926, 0.204058, 0.171, 0.160461, 0.155542, 0.153821, 0.146384, 0.137714, 0.0953601, 0.0718223, 0.0591075, 0.0441551, 0.0322623, 0.0219062, 0.0153848, 0.0105685, 0.0077897, 0.00481345, 0.00283006, 0.000999124, 0.000684329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.170764, 0.204065, 0.251788, 0.256478, 0.264514, 0.403689, 0.478734, 0.530459, 0.584536, 0.664678, 0.680808, 0.715653, 0.73411, 0.970565, 1.0422, 1.10668, 1.19248, 1.26316, 1.32204, 1.38986, 1.46149, 1.5552, 1.68204, 1.8253, 1.96856, 2.04081");
-            values ( \
-              "0.154528, 0.157963, 0.279029, 0.286573, 0.288633, 0.264041, 0.248965, 0.237021, 0.219698, 0.189106, 0.185225, 0.179854, 0.175308, 0.0989824, 0.079704, 0.0648107, 0.0485594, 0.037944, 0.0307536, 0.0239885, 0.0184257, 0.0129538, 0.00799861, 0.00461129, 0.00264722, 0.00225023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.2834, 0.314905, 0.322126, 0.331124, 0.344995, 0.355793, 0.365136, 0.369807, 0.374478, 0.378611, 0.382744, 0.386877, 0.39101, 0.395054, 0.399098, 0.403142, 0.407186, 0.409205, 0.413244, 0.417446, 0.42181, 0.424866, 0.430105, 0.435345, 0.439252, 0.443574, 0.445735, 0.448887, 0.452038, 0.455189, 0.461914, 0.466135, 0.468042, 0.46995, 0.473765, 0.477291, 0.484344, 0.492909, 0.519274, 0.528889, 0.53697, 0.543179, 0.549844, 0.550757, 0.553357, 0.555671, 0.55844, 0.563976, 0.570658, 0.580853");
-            values ( \
-              "0.0119037, 0.0127215, 0.0160388, 0.0209731, 0.0277895, 0.0326989, 0.03625, 0.0377831, 0.0391546, 0.0395353, 0.0398186, 0.0400046, 0.0400933, 0.0400858, 0.0399852, 0.0397913, 0.0395042, 0.0390883, 0.0380283, 0.0365549, 0.0345682, 0.0329105, 0.0297273, 0.0273136, 0.0257715, 0.0243225, 0.0236991, 0.02299, 0.0228469, 0.0228273, 0.0231203, 0.0232115, 0.0232067, 0.023176, 0.0230366, 0.0226009, 0.0211571, 0.0190617, 0.0121434, 0.0100304, 0.00845227, 0.00736458, 0.00633459, 0.00672065, 0.00666001, 0.00652616, 0.0061943, 0.00534803, 0.00446383, 0.00340452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.220785, 0.270319, 0.297146, 0.316507, 0.382783, 0.412123, 0.430865, 0.440233, 0.475325, 0.505228, 0.549786, 0.589667, 0.61568, 0.655072, 0.74644");
-            values ( \
-              "0.00167253, 0.00520561, 0.0115354, 0.0194404, 0.0582435, 0.0685529, 0.0689319, 0.0665931, 0.0449892, 0.0411412, 0.022382, 0.0105905, 0.00596763, 0.00246539, 0.000254623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.228783, 0.275811, 0.287674, 0.299044, 0.321785, 0.330048, 0.358301, 0.395189, 0.423434, 0.447809, 0.470475, 0.481212, 0.493511, 0.509765, 0.521056, 0.524956, 0.529887, 0.542627, 0.550772, 0.554553, 0.559155, 0.563603, 0.583284, 0.605508, 0.616879, 0.636122, 0.644507, 0.658014, 0.676024, 0.691432, 0.706433, 0.726434, 0.766437, 0.776331");
-            values ( \
-              "0.00320261, 0.00890825, 0.0124475, 0.0164978, 0.0279457, 0.0337959, 0.0560464, 0.0810833, 0.0982415, 0.109859, 0.113738, 0.111539, 0.103474, 0.0894989, 0.0806884, 0.0788832, 0.0775738, 0.0750511, 0.0719912, 0.0715417, 0.0692891, 0.0661914, 0.051272, 0.0371635, 0.0312241, 0.0230425, 0.0201407, 0.0161683, 0.0119985, 0.00926706, 0.0072018, 0.00512818, 0.00252261, 0.00224129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.275097, 0.31376, 0.338136, 0.371532, 0.40992, 0.467807, 0.498721, 0.527275, 0.538666, 0.552969, 0.55606, 0.57895, 0.591381, 0.61615, 0.625638, 0.673666, 0.698162, 0.716357, 0.735219, 0.76036, 0.767902, 0.782987, 0.813157, 0.838637, 0.872997, 0.918811, 0.990442, 1.06207, 1.1337");
-            values ( \
-              "0.0222461, 0.029286, 0.0464914, 0.0751794, 0.106638, 0.151859, 0.170683, 0.17823, 0.176027, 0.167064, 0.164061, 0.132657, 0.121526, 0.110188, 0.104371, 0.0709124, 0.0562092, 0.0469734, 0.0387582, 0.0297188, 0.0274381, 0.0233067, 0.0167091, 0.0125677, 0.00853276, 0.00501665, 0.00210358, 0.000879555, 0.000365817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.292765, 0.335975, 0.379057, 0.485495, 0.525577, 0.555102, 0.561579, 0.573211, 0.584793, 0.60595, 0.615682, 0.635146, 0.678724, 0.690036, 0.702267, 0.711711, 0.72595, 0.739537, 0.83583, 0.887281, 0.910493, 0.936094, 0.966494, 1.00609, 1.03946, 1.0771, 1.13049, 1.18563, 1.22781, 1.29944, 1.37107, 1.4427, 1.58596");
-            values ( \
-              "0.041184, 0.0494902, 0.0878218, 0.188496, 0.223266, 0.244158, 0.244878, 0.240852, 0.235806, 0.225504, 0.219911, 0.205964, 0.170321, 0.162679, 0.156845, 0.154635, 0.150416, 0.144948, 0.094448, 0.0713141, 0.0624026, 0.0536085, 0.0445122, 0.0346893, 0.0280007, 0.0218756, 0.0153016, 0.0105594, 0.00791364, 0.00480857, 0.00290759, 0.00176056, 0.000642654" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.308108, 0.365102, 0.54297, 0.555342, 0.55996, 0.566485, 0.706173, 0.744245, 0.784906, 0.892309, 0.908337, 0.943173, 0.961635, 1.15056, 1.26971, 1.36565, 1.41988, 1.49059, 1.54952, 1.61733, 1.68896, 1.78263, 1.90945, 2.05271, 2.19597, 2.24591");
-            values ( \
-              "0.0571418, 0.0780567, 0.261803, 0.273445, 0.275587, 0.275634, 0.249, 0.240415, 0.229189, 0.189032, 0.185195, 0.17984, 0.175278, 0.11327, 0.0796937, 0.0584228, 0.0485632, 0.0379503, 0.0307535, 0.023983, 0.0184278, 0.0129511, 0.00799647, 0.00460871, 0.00264439, 0.0023708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.539239, 0.608187, 0.635965, 0.645763, 0.665358, 0.685361, 0.720604, 0.747081, 0.759198, 0.784831, 0.809951, 0.82221, 0.843644, 0.852953, 0.86336, 0.871662, 0.898784, 0.907861, 0.916883, 0.976083, 0.999777, 1.02506, 1.05018, 1.07901, 1.10977, 1.16099, 1.21586, 1.23887, 1.26975");
-            values ( \
-              "0.00239034, 0.00288468, 0.0043153, 0.00508862, 0.00717058, 0.01064, 0.0181647, 0.0228998, 0.0244727, 0.0267464, 0.0254773, 0.0235181, 0.0176257, 0.015873, 0.0148693, 0.0148199, 0.018566, 0.0185769, 0.017884, 0.00895285, 0.00609504, 0.00384269, 0.00233562, 0.00127173, 0.000690877, 0.000150478, 8.601e-05, 0.000361398, 0.000174374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.53906, 0.605294, 0.625353, 0.645819, 0.655751, 0.675615, 0.698956, 0.736876, 0.770222, 0.784551, 0.815003, 0.844195, 0.857282, 0.887823, 0.897668, 0.90748, 0.916729, 0.926054, 0.935497, 0.946366, 0.957088, 1.00893, 1.04171, 1.06958, 1.0923, 1.11113, 1.14961, 1.20092, 1.21583, 1.22951, 1.24836, 1.2642, 1.29588");
-            values ( \
-              "0.00437944, 0.00471267, 0.00617976, 0.00817914, 0.00950949, 0.0129329, 0.018969, 0.0299372, 0.0378861, 0.0404646, 0.0444747, 0.0437765, 0.0415359, 0.0316584, 0.0298239, 0.0291603, 0.0301693, 0.032479, 0.0339308, 0.0337736, 0.0321871, 0.0191549, 0.0121001, 0.00769138, 0.00519525, 0.00365327, 0.0017254, 0.000590451, 0.000401634, 0.00094704, 0.000769544, 0.000433024, 0.000244361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.539021, 0.608083, 0.667149, 0.697464, 0.768216, 0.807854, 0.826278, 0.864425, 0.900228, 0.914638, 0.951099, 0.960779, 0.97106, 0.979923, 0.985519, 0.99298, 1.00501, 1.0192, 1.09076, 1.12742, 1.14637, 1.17164, 1.19005, 1.21596, 1.22478, 1.26408, 1.28729, 1.33372, 1.40535");
-            values ( \
-              "0.0070498, 0.00762279, 0.0157454, 0.0238351, 0.0482333, 0.0591327, 0.0630786, 0.0701715, 0.071339, 0.0696937, 0.0579834, 0.0560994, 0.0553463, 0.0565045, 0.0580539, 0.0591496, 0.0594108, 0.0564609, 0.0304026, 0.019324, 0.0149321, 0.0104305, 0.0078245, 0.00530679, 0.00550162, 0.00298751, 0.00199716, 0.000867781, 0.000203535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.538913, 0.630212, 0.674025, 0.69347, 0.713165, 0.760242, 0.801878, 0.835185, 0.892192, 0.941077, 0.98618, 1.00236, 1.04817, 1.06422, 1.07577, 1.08166, 1.09343, 1.10447, 1.11848, 1.13434, 1.21598, 1.27241, 1.29294, 1.333, 1.35373, 1.38857, 1.43501, 1.50665, 1.5223");
-            values ( \
-              "0.00762142, 0.0142009, 0.0227206, 0.0281012, 0.0347023, 0.053253, 0.0682833, 0.0790556, 0.095918, 0.107724, 0.113172, 0.112001, 0.0999276, 0.0973134, 0.0972988, 0.0986603, 0.098549, 0.0969026, 0.0922799, 0.0856091, 0.0469686, 0.0274406, 0.02197, 0.0140313, 0.0111309, 0.00750538, 0.00437844, 0.00182963, 0.0015978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.538637, 0.662729, 0.695528, 0.730263, 0.816169, 0.885224, 0.989088, 1.02067, 1.05252, 1.11066, 1.13117, 1.14868, 1.19662, 1.20531, 1.21578, 1.22082, 1.23078, 1.26028, 1.34314, 1.39424, 1.42497, 1.47212, 1.51209, 1.5462, 1.58366, 1.63692, 1.69155, 1.73329, 1.80492, 1.87655, 1.94818, 2.09144");
-            values ( \
-              "0.0064144, 0.0251504, 0.0340778, 0.0462239, 0.0810776, 0.107108, 0.14451, 0.154689, 0.163578, 0.173664, 0.172658, 0.169261, 0.155168, 0.154092, 0.153612, 0.154226, 0.151704, 0.138046, 0.0939197, 0.0710402, 0.0594562, 0.0446824, 0.0347485, 0.0279138, 0.0218283, 0.0152858, 0.0105837, 0.00795533, 0.00483493, 0.00292282, 0.00177054, 0.000646535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.607978, 0.708501, 0.766285, 1.08779, 1.12602, 1.19765, 1.21565, 1.2268, 1.23806, 1.24916, 1.29074, 1.39613, 1.41216, 1.42635, 1.44711, 1.46543, 1.65484, 1.70258, 1.77422, 1.86932, 1.92371, 1.99459, 2.05386, 2.12159, 2.19322, 2.28668, 2.41335, 2.55661, 2.69987, 2.84314, 3.05803");
-            values ( \
-              "0.0339382, 0.0426883, 0.0647151, 0.201344, 0.215841, 0.239247, 0.243724, 0.244341, 0.24286, 0.240244, 0.228654, 0.189095, 0.185225, 0.183579, 0.179869, 0.175371, 0.113213, 0.0988545, 0.0795911, 0.0585058, 0.0486169, 0.0379608, 0.0307239, 0.0239758, 0.0184132, 0.0129594, 0.00800795, 0.00461734, 0.00265133, 0.00151712, 0.000678304" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0027056, 0.00273092, 0.00275438, 0.00277186, 0.00278298, 0.00278937", \
-            "0.00340499, 0.00343151, 0.00346071, 0.00348552, 0.00350263, 0.00351294", \
-            "0.00386177, 0.00386543, 0.00387648, 0.00389138, 0.00390455, 0.00391359", \
-            "0.00416918, 0.00414702, 0.00412389, 0.00410858, 0.00410305, 0.00410268", \
-            "0.00439501, 0.00435392, 0.00430629, 0.00425868, 0.00422357, 0.00420254", \
-            "0.00457766, 0.0045084, 0.00444329, 0.00438506, 0.00432959, 0.00428274" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00397392, 0.00398424, 0.00400063, 0.00401609, 0.00402685, 0.00403321", \
-            "0.00423313, 0.00416176, 0.00408916, 0.00405031, 0.00402799, 0.00401351", \
-            "0.00427326, 0.00410879, 0.00396362, 0.00385473, 0.00378193, 0.00374616", \
-            "0.00478342, 0.00437935, 0.00406562, 0.00384451, 0.00370377, 0.00362083", \
-            "0.00554672, 0.00538929, 0.0046236, 0.00411523, 0.00381963, 0.00365045", \
-            "0.0053176, 0.00543282, 0.00550973, 0.00502449, 0.00421001, 0.00383989" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0192201, 0.0274121, 0.0345131, 0.0397508, 0.0451888, 0.0499814, 0.0599462, 0.0689722, 0.0757619, 0.0846731, 0.092527, 0.105644, 0.115562, 0.12413");
-            values ( \
-              "-0.0135507, -0.146549, -0.148881, -0.148004, -0.142694, -0.130113, -0.0857241, -0.0543038, -0.0371091, -0.0218735, -0.0135101, -0.00591344, -0.00309007, -0.00197341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715737");
-            index_3 ("0.0231648, 0.0239964, 0.0254701, 0.0280973, 0.0362716, 0.0427199, 0.0492467, 0.0528313, 0.0559105, 0.0620687, 0.0676336, 0.0778073, 0.089063, 0.0961331, 0.102707, 0.106994, 0.116402, 0.121502, 0.130489, 0.140916, 0.149261, 0.165949, 0.178139");
-            values ( \
-              "-0.155796, -0.185268, -0.196107, -0.19882, -0.200097, -0.199124, -0.196359, -0.193474, -0.18982, -0.177169, -0.157843, -0.116702, -0.0786527, -0.0597154, -0.0457431, -0.0382353, -0.0255499, -0.020441, -0.0136816, -0.00858515, -0.00587302, -0.0026599, -0.00171892" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140724");
-            index_3 ("0.0235331, 0.0257263, 0.0288667, 0.0333123, 0.0438644, 0.053262, 0.0656394, 0.0763188, 0.0836884, 0.0880502, 0.0967739, 0.120573, 0.137718, 0.150866, 0.160152, 0.172346, 0.179274, 0.193129, 0.214276, 0.231401, 0.264224");
-            values ( \
-              "-0.211415, -0.240026, -0.243271, -0.243652, -0.24264, -0.240518, -0.235801, -0.228576, -0.219041, -0.211307, -0.18952, -0.120485, -0.0804277, -0.0575395, -0.0449466, -0.0322814, -0.0266462, -0.0180145, -0.0098783, -0.00599083, -0.00220455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276683");
-            index_3 ("0.0238144, 0.0246293, 0.026506, 0.0295475, 0.0329839, 0.0479415, 0.0682533, 0.0972921, 0.116779, 0.123214, 0.13703, 0.157517, 0.188662, 0.212909, 0.229625, 0.242839, 0.260457, 0.283768, 0.29771, 0.321957, 0.349854, 0.37212, 0.41665, 0.480053, 0.543456");
-            values ( \
-              "-0.246804, -0.263503, -0.272769, -0.274906, -0.275051, -0.273561, -0.270133, -0.26274, -0.254041, -0.249862, -0.236956, -0.204484, -0.145756, -0.10608, -0.0835097, -0.0686661, -0.0524487, -0.0362922, -0.0290435, -0.0194584, -0.012289, -0.00848919, -0.00387334, -0.00120588, -0.000350446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543997");
-            index_3 ("0.0238836, 0.0255247, 0.028279, 0.0326835, 0.0909501, 0.148068, 0.183442, 0.219774, 0.232962, 0.259338, 0.345516, 0.40174, 0.449526, 0.507356, 0.535038, 0.591951, 0.626538, 0.689941, 0.772311");
-            values ( \
-              "-0.268313, -0.289113, -0.294063, -0.294731, -0.289183, -0.280901, -0.273304, -0.260081, -0.252463, -0.230778, -0.138394, -0.0903766, -0.0610659, -0.0371004, -0.0290618, -0.0174236, -0.012715, -0.00703645, -0.00385164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106957");
-            index_3 ("0.02385, 0.0267418, 0.0354476, 0.151067, 0.259746, 0.327226, 0.396251, 0.421407, 0.471717, 0.634823, 0.741104, 0.830347, 0.941182, 1.05675, 1.11902, 1.21963, 1.40984, 1.43153");
-            values ( \
-              "-0.280314, -0.303707, -0.306084, -0.299002, -0.289973, -0.282044, -0.268848, -0.261261, -0.239194, -0.143725, -0.0939128, -0.0637864, -0.0384822, -0.0223314, -0.0166331, -0.0102523, -0.00404832, -0.00383098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0320288, 0.0467748, 0.0509263, 0.0526093, 0.0589872, 0.0622012, 0.0657802, 0.0682885, 0.0807659, 0.0873602, 0.095406, 0.10025, 0.105875, 0.115869, 0.123673, 0.12999, 0.142626, 0.144509");
-            values ( \
-              "-0.0049186, -0.117255, -0.136892, -0.149513, -0.14741, -0.144727, -0.137712, -0.129658, -0.0761298, -0.054093, -0.0343827, -0.0257824, -0.0183634, -0.00984149, -0.0060273, -0.00401621, -0.00172436, -0.00164712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715737");
-            index_3 ("0.0353075, 0.0413713, 0.0520899, 0.0533949, 0.0643398, 0.0676244, 0.0741647, 0.0781357, 0.0813419, 0.10202, 0.113805, 0.123309, 0.130305, 0.13665, 0.14511, 0.15063, 0.165227, 0.18191, 0.191846");
-            values ( \
-              "-0.00943368, -0.116912, -0.195675, -0.200977, -0.19795, -0.196221, -0.190382, -0.182681, -0.174381, -0.0959723, -0.0614643, -0.0417118, -0.0309688, -0.0235393, -0.0161731, -0.0126501, -0.00654781, -0.00296766, -0.0021002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140724");
-            index_3 ("0.0336586, 0.0467378, 0.0509345, 0.0525991, 0.0537176, 0.0630147, 0.0735209, 0.082356, 0.0877511, 0.0950812, 0.106054, 0.109766, 0.114009, 0.130711, 0.140969, 0.147325, 0.159121, 0.170645, 0.179957, 0.18748, 0.196063, 0.207507, 0.216567, 0.225833, 0.238187, 0.262896, 0.300217, 0.34539");
-            values ( \
-              "-0.00232233, -0.191533, -0.22338, -0.24269, -0.244025, -0.242491, -0.240015, -0.236685, -0.233793, -0.228177, -0.212536, -0.204413, -0.193248, -0.143664, -0.115574, -0.0998835, -0.0748272, -0.0556799, -0.0434293, -0.0354177, -0.027969, -0.0202892, -0.0156993, -0.0120694, -0.00846226, -0.00403444, -0.00121497, -0.000255011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276683");
-            index_3 ("0.0347225, 0.0491714, 0.0521292, 0.0530964, 0.0542442, 0.0855716, 0.0974417, 0.115955, 0.124245, 0.135458, 0.14077, 0.151393, 0.155717, 0.164364, 0.178339, 0.207215, 0.231388, 0.248187, 0.26145, 0.279135, 0.291915, 0.302315, 0.316182, 0.340498, 0.357323, 0.368513, 0.390893, 0.435654, 0.470503");
-            values ( \
-              "-0.0231148, -0.236566, -0.271701, -0.274896, -0.27528, -0.270402, -0.267888, -0.262744, -0.259603, -0.254036, -0.250655, -0.241646, -0.236929, -0.225004, -0.200313, -0.145842, -0.106177, -0.0835797, -0.0686744, -0.052327, -0.0428555, -0.0363439, -0.0290615, -0.0195019, -0.0147774, -0.0122968, -0.00843663, -0.00386726, -0.00238603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543997");
-            index_3 ("0.0379424, 0.0491173, 0.0518137, 0.0542627, 0.122866, 0.143815, 0.186023, 0.219742, 0.230715, 0.251679, 0.289651, 0.346381, 0.368395, 0.391659, 0.421655, 0.444768, 0.467974, 0.49905, 0.527114, 0.564532, 0.596487, 0.639783, 0.69751, 0.760913, 0.824316, 0.951122");
-            values ( \
-              "-0.0974045, -0.253298, -0.285173, -0.295075, -0.287538, -0.284661, -0.277131, -0.267868, -0.263577, -0.252541, -0.218826, -0.156607, -0.134399, -0.113271, -0.0895605, -0.0742787, -0.0612962, -0.0469296, -0.0367731, -0.0264241, -0.0197775, -0.0133633, -0.00786405, -0.00428819, -0.00240404, -0.000745191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106957");
-            index_3 ("0.0394766, 0.0523445, 0.0547399, 0.0570156, 0.170021, 0.233424, 0.301193, 0.346179, 0.369188, 0.415206, 0.44036, 0.49067, 0.519491, 0.613351, 0.653776, 0.699975, 0.760057, 0.810459, 0.849306, 0.908955, 0.960133, 1.0123, 1.0757, 1.13797, 1.17519, 1.23859, 1.30199, 1.36539, 1.4288, 1.5556, 1.74581");
-            values ( \
-              "-0.151666, -0.298111, -0.308562, -0.306107, -0.298999, -0.294145, -0.287622, -0.282045, -0.278419, -0.268849, -0.26126, -0.239196, -0.222845, -0.166347, -0.143726, -0.120146, -0.093914, -0.0756533, -0.0637854, -0.0486798, -0.0384823, -0.0301879, -0.0223312, -0.0166336, -0.0138972, -0.0102526, -0.00751711, -0.00554279, -0.00404794, -0.00217291, -0.000872124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0609357, 0.0681415, 0.0743878, 0.0804613, 0.0922083, 0.0983397, 0.103923, 0.109338, 0.112657, 0.11387, 0.11917, 0.124893, 0.128064, 0.132935, 0.137424, 0.140516, 0.144539, 0.147822, 0.151918, 0.157704, 0.163918, 0.168708, 0.17829, 0.191243");
-            values ( \
-              "-0.0217209, -0.0395199, -0.0597802, -0.0746023, -0.100746, -0.112953, -0.121788, -0.120606, -0.109967, -0.109157, -0.0873434, -0.0661067, -0.055986, -0.0427156, -0.0330376, -0.0275206, -0.021606, -0.0176949, -0.0137362, -0.00955367, -0.00646793, -0.00476808, -0.00255002, -0.00118124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715737");
-            index_3 ("0.0616347, 0.0685266, 0.074335, 0.081394, 0.092191, 0.100935, 0.10858, 0.112613, 0.114029, 0.115544, 0.119805, 0.122595, 0.126218, 0.138865, 0.150529, 0.156326, 0.160668, 0.165411, 0.173682, 0.177574, 0.185359, 0.191566, 0.198476, 0.207689, 0.226115, 0.239326");
-            values ( \
-              "-0.0195875, -0.0552133, -0.0787166, -0.10308, -0.135292, -0.159417, -0.177992, -0.18517, -0.189613, -0.189687, -0.181503, -0.174443, -0.161817, -0.111186, -0.07335, -0.0584484, -0.0490297, -0.0402413, -0.0282719, -0.0238947, -0.016924, -0.0128472, -0.00943223, -0.00618972, -0.00256308, -0.00156522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140724");
-            index_3 ("0.0621593, 0.0723072, 0.0797691, 0.0959047, 0.112558, 0.114725, 0.118635, 0.121967, 0.125375, 0.13029, 0.147279, 0.15495, 0.181775, 0.199217, 0.214838, 0.22558, 0.235246, 0.248134, 0.257271, 0.267538, 0.281227, 0.308606, 0.326542");
-            values ( \
-              "-0.0153785, -0.084578, -0.11914, -0.177841, -0.231099, -0.243176, -0.236582, -0.23862, -0.234746, -0.233881, -0.213407, -0.194019, -0.116614, -0.0769486, -0.0514693, -0.038513, -0.029552, -0.0205909, -0.0158892, -0.0118697, -0.0080097, -0.0034833, -0.00232121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276683");
-            index_3 ("0.0625186, 0.0773026, 0.0840822, 0.113578, 0.115621, 0.143838, 0.164271, 0.176768, 0.196147, 0.209199, 0.255332, 0.282664, 0.305754, 0.321739, 0.34281, 0.354773, 0.3787, 0.414845, 0.444113, 0.502648, 0.566051");
-            values ( \
-              "-0.0147284, -0.120111, -0.153123, -0.26887, -0.272444, -0.266599, -0.260138, -0.254125, -0.238076, -0.219304, -0.133905, -0.0922279, -0.0656321, -0.0513287, -0.0368239, -0.0304048, -0.0205255, -0.011308, -0.00689594, -0.00236288, -0.000729234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543997");
-            index_3 ("0.0735913, 0.090246, 0.114404, 0.116712, 0.119092, 0.151158, 0.185294, 0.219567, 0.235121, 0.251177, 0.264788, 0.278544, 0.293152, 0.322368, 0.383329, 0.414185, 0.449449, 0.470365, 0.493865, 0.525198, 0.558791, 0.577837, 0.615928, 0.650871, 0.704342, 0.767745, 0.831148, 0.894551, 1.02136");
-            values ( \
-              "-0.176053, -0.1911, -0.290408, -0.293804, -0.292686, -0.289209, -0.284651, -0.278812, -0.275407, -0.271153, -0.266574, -0.260688, -0.252526, -0.227886, -0.161339, -0.130214, -0.099702, -0.0844641, -0.0697074, -0.0535073, -0.0400569, -0.0339036, -0.024131, -0.0176329, -0.0108446, -0.00598902, -0.00329664, -0.00181195, -0.000546193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106957");
-            index_3 ("0.0736034, 0.0937363, 0.115805, 0.274799, 0.342581, 0.410576, 0.456969, 0.48175, 0.531311, 0.694142, 0.800844, 0.895341, 0.999184, 1.11558, 1.18005, 1.2822, 1.47241, 1.48163");
-            values ( \
-              "-0.167695, -0.214918, -0.305801, -0.294141, -0.287622, -0.27842, -0.268752, -0.26126, -0.23959, -0.144274, -0.0941442, -0.062472, -0.0389064, -0.0224936, -0.0165794, -0.0101432, -0.00400473, -0.00391336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.108323, 0.113081, 0.118859, 0.124638, 0.127452, 0.128937, 0.131906, 0.136526, 0.144741, 0.153421, 0.164472, 0.173963, 0.182456, 0.190321, 0.19807, 0.205795, 0.206843, 0.207312, 0.211687, 0.216686, 0.219966, 0.222194, 0.225165, 0.231107, 0.234652, 0.239448, 0.244604, 0.246829, 0.249053, 0.251254, 0.253872, 0.256355, 0.259666, 0.266266, 0.274954, 0.283345");
-            values ( \
-              "-0.0098564, -0.0123334, -0.0157485, -0.0205376, -0.0233209, -0.0250035, -0.0287104, -0.0351025, -0.0448364, -0.0537792, -0.0647304, -0.0735317, -0.0807784, -0.0856421, -0.0839587, -0.0653775, -0.0645878, -0.0626855, -0.0520167, -0.0410547, -0.0348301, -0.0310118, -0.0264638, -0.0189448, -0.0154718, -0.0116878, -0.00861133, -0.00751032, -0.00659424, -0.0083474, -0.00837505, -0.00717141, -0.00591142, -0.00389637, -0.00222519, -0.00138084" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715737");
-            index_3 ("0.125649, 0.14664, 0.150956, 0.155271, 0.159586, 0.163901, 0.164274, 0.164671, 0.166658, 0.184442, 0.191748, 0.19961, 0.202052, 0.204493, 0.206935, 0.209376, 0.211821, 0.214265, 0.21671, 0.219154, 0.219248, 0.219437, 0.220003, 0.222078, 0.226399, 0.234474, 0.236856, 0.239239, 0.248956, 0.249372, 0.249647, 0.250058, 0.25047, 0.251292, 0.252252, 0.253211, 0.255398, 0.257045, 0.25888, 0.26097, 0.26449, 0.267147, 0.269804, 0.27069, 0.272461, 0.274233, 0.276004, 0.277776, 0.282256, 0.285785");
-            values ( \
-              "-0.0597192, -0.0631653, -0.0693702, -0.0752264, -0.0807339, -0.0858927, -0.0866135, -0.0872228, -0.0898774, -0.112468, -0.121996, -0.132479, -0.132541, -0.13236, -0.131934, -0.131265, -0.130351, -0.129192, -0.127789, -0.126141, -0.126042, -0.125718, -0.124325, -0.118758, -0.106551, -0.0833666, -0.0771081, -0.0711535, -0.049549, -0.0499568, -0.0505572, -0.0504655, -0.0502538, -0.049572, -0.0482306, -0.0467754, -0.0428835, -0.040176, -0.0373282, -0.0342792, -0.0294854, -0.0260768, -0.0231234, -0.0222185, -0.0206366, -0.0191415, -0.017733, -0.0164112, -0.0135046, -0.0113958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140724");
-            index_3 ("0.110347, 0.125189, 0.129998, 0.139213, 0.15225, 0.171965, 0.198506, 0.21357, 0.227173, 0.240128, 0.249604, 0.253682, 0.2597, 0.26423, 0.27029, 0.289161, 0.295103, 0.296473, 0.299212, 0.304691, 0.320193, 0.321569, 0.324322, 0.329826, 0.340836, 0.350401, 0.35365, 0.360148, 0.373143, 0.390882");
-            values ( \
-              "-0.0217031, -0.03821, -0.0443108, -0.060021, -0.0853354, -0.117663, -0.15793, -0.178633, -0.193816, -0.197669, -0.181618, -0.179322, -0.156768, -0.14677, -0.127219, -0.0818942, -0.0721618, -0.0682876, -0.0648877, -0.0551187, -0.036163, -0.0359928, -0.0322723, -0.0287888, -0.0201576, -0.0163793, -0.0138642, -0.0125512, -0.007688, -0.00539565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276683");
-            index_3 ("0.133208, 0.159707, 0.171547, 0.189929, 0.198109, 0.206289, 0.212172, 0.223937, 0.239651, 0.242109, 0.244567, 0.247025, 0.249483, 0.249943, 0.250555, 0.251781, 0.253694, 0.257883, 0.264683, 0.267794, 0.270564, 0.275548, 0.279979, 0.28441, 0.289135, 0.293861, 0.298587, 0.308469, 0.311763, 0.32941, 0.345404, 0.351768, 0.358132, 0.364495, 0.373011, 0.38602, 0.390515, 0.395009, 0.403998, 0.408492, 0.412986, 0.425435, 0.435581, 0.442346, 0.44911, 0.455267, 0.461424, 0.467582, 0.473739, 0.484396");
-            values ( \
-              "-0.104883, -0.110683, -0.132818, -0.165889, -0.179624, -0.192665, -0.204345, -0.227124, -0.256337, -0.258389, -0.25945, -0.259521, -0.258601, -0.260267, -0.261514, -0.261444, -0.261047, -0.259398, -0.256258, -0.25466, -0.252997, -0.249399, -0.245755, -0.2417, -0.236433, -0.22984, -0.222687, -0.205642, -0.199792, -0.166394, -0.137144, -0.125811, -0.115827, -0.106355, -0.0946964, -0.077815, -0.0726697, -0.0678531, -0.0594546, -0.0555614, -0.0518722, -0.0427186, -0.0358519, -0.0322773, -0.0289952, -0.0263262, -0.0238566, -0.0215863, -0.0195152, -0.0163612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543997");
-            index_3 ("0.124363, 0.149849, 0.173691, 0.22195, 0.248779, 0.252693, 0.258365, 0.262881, 0.270005, 0.291514, 0.311966, 0.346887, 0.358666, 0.37123, 0.385073, 0.412757, 0.48848, 0.506156, 0.541506, 0.562275, 0.585608, 0.616717, 0.636322, 0.650828, 0.670169, 0.708851, 0.742859, 0.760226, 0.79496, 0.858363, 0.921766, 0.985169, 1.11198");
-            values ( \
-              "-0.0556043, -0.0981761, -0.148094, -0.238072, -0.283313, -0.287246, -0.287715, -0.28641, -0.285849, -0.282483, -0.278612, -0.270155, -0.265801, -0.260334, -0.252508, -0.229434, -0.147515, -0.130158, -0.0995796, -0.0844575, -0.0697986, -0.0536883, -0.0453679, -0.0400095, -0.0337795, -0.0239074, -0.0176163, -0.0150657, -0.0109717, -0.00606299, -0.00333428, -0.0018359, -0.000554831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106957");
-            index_3 ("0.124328, 0.189209, 0.219741, 0.248744, 0.25376, 0.260471, 0.26623, 0.277747, 0.368349, 0.451332, 0.502564, 0.560274, 0.600844, 0.65273, 0.747531, 0.783291, 0.824161, 0.887564, 0.916958, 0.960021, 1.01744, 1.07441, 1.1057, 1.15546, 1.21887, 1.25334, 1.31674, 1.38015, 1.44355, 1.57036, 1.69716, 1.88737");
-            values ( \
-              "-0.0491125, -0.185594, -0.244883, -0.297445, -0.301759, -0.302317, -0.301282, -0.300985, -0.294081, -0.285693, -0.278441, -0.265634, -0.250615, -0.222909, -0.165876, -0.145812, -0.124645, -0.0962439, -0.0849808, -0.0705027, -0.0545403, -0.0420643, -0.0364024, -0.0288368, -0.0213472, -0.0181181, -0.0133604, -0.0098325, -0.00722997, -0.00389814, -0.00209771, -0.000825468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.232621, 0.261837, 0.263784, 0.267679, 0.268913, 0.272403, 0.275469, 0.281603, 0.285874, 0.290326, 0.296927, 0.305675, 0.313734, 0.31742, 0.324791, 0.33133, 0.337869, 0.343861, 0.349853, 0.352712, 0.355572, 0.358431, 0.36129, 0.364142, 0.366994, 0.369846, 0.372698, 0.373056, 0.373773, 0.375207, 0.38241, 0.383755, 0.387793, 0.3913, 0.393548, 0.395796, 0.398759, 0.401722, 0.403658, 0.405593, 0.406561, 0.407529, 0.409465, 0.411401, 0.413336, 0.415272, 0.418203, 0.419669, 0.421968, 0.424468");
-            values ( \
-              "-0.0173616, -0.0179914, -0.0189591, -0.020972, -0.021631, -0.0235706, -0.0252243, -0.0283565, -0.0304587, -0.0325959, -0.0356688, -0.039613, -0.043129, -0.0447005, -0.0477802, -0.0504421, -0.0530376, -0.0556337, -0.0583476, -0.0581292, -0.0577648, -0.0572543, -0.0565978, -0.0557974, -0.0548517, -0.0537607, -0.0525245, -0.0522476, -0.0514038, -0.0494268, -0.0391695, -0.0373099, -0.0318457, -0.0272584, -0.0247198, -0.0223396, -0.0195129, -0.0168243, -0.0151425, -0.0136794, -0.012996, -0.0123447, -0.0112161, -0.0101644, -0.00918946, -0.0082914, -0.00712727, -0.00657422, -0.0057456, -0.00489841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715737");
-            index_3 ("0.196438, 0.224526, 0.233515, 0.2403, 0.249347, 0.258138, 0.274066, 0.287983, 0.307945, 0.329051, 0.346958, 0.362914, 0.37759, 0.391691, 0.406632, 0.418, 0.427368, 0.436668, 0.446664, 0.451448, 0.461016, 0.469151, 0.473636, 0.482607, 0.500549, 0.526841");
-            values ( \
-              "-0.00435746, -0.00928628, -0.0119358, -0.0144484, -0.0181057, -0.0223588, -0.0324857, -0.0424314, -0.0549666, -0.0671855, -0.0768395, -0.0846435, -0.0905523, -0.0927703, -0.0795733, -0.0601194, -0.0454163, -0.0331381, -0.0229645, -0.0192468, -0.0130793, -0.00950936, -0.0078261, -0.00547225, -0.00243262, -0.000779055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140724");
-            index_3 ("0.205207, 0.223867, 0.238462, 0.260841, 0.271317, 0.320574, 0.358954, 0.381863, 0.402341, 0.421263, 0.439728, 0.460173, 0.485834, 0.493871, 0.510047, 0.517796, 0.526922, 0.536523, 0.550468, 0.551872, 0.553219, 0.577443, 0.59302, 0.60429");
-            values ( \
-              "-0.00815547, -0.0126573, -0.0187592, -0.0310056, -0.0383361, -0.0771351, -0.103434, -0.117886, -0.129526, -0.137805, -0.137447, -0.110829, -0.0672084, -0.0562439, -0.0378858, -0.0311008, -0.0244891, -0.0190035, -0.0128642, -0.0144619, -0.0146573, -0.00769988, -0.00489532, -0.00379835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276683");
-            index_3 ("0.223237, 0.2605, 0.275215, 0.315356, 0.33984, 0.404903, 0.435361, 0.462672, 0.4882, 0.514642, 0.532476, 0.550176, 0.555974, 0.583056, 0.595843, 0.614798, 0.629673, 0.639604, 0.652846, 0.677787, 0.686385, 0.706955, 0.730464, 0.777482, 0.805729");
-            values ( \
-              "-0.0159493, -0.0375423, -0.0482468, -0.0839052, -0.103844, -0.153307, -0.174156, -0.190561, -0.199568, -0.185479, -0.159874, -0.131988, -0.125638, -0.0870173, -0.0720452, -0.0539882, -0.0427755, -0.0365485, -0.0295372, -0.0196064, -0.0170197, -0.0121276, -0.00816775, -0.00357557, -0.00246739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543997");
-            index_3 ("0.221859, 0.278402, 0.342905, 0.4234, 0.471934, 0.51363, 0.550191, 0.55274, 0.573469, 0.587564, 0.615753, 0.690981, 0.743968, 0.788162, 0.819337, 0.853294, 0.872547, 0.911054, 0.945338, 0.997844, 1.06125, 1.12465, 1.18805, 1.31486");
-            values ( \
-              "-0.0120604, -0.0572601, -0.115832, -0.183126, -0.220653, -0.249292, -0.268792, -0.268993, -0.260422, -0.252541, -0.228928, -0.147499, -0.0995918, -0.0697497, -0.0536583, -0.0400049, -0.033836, -0.0239553, -0.0176044, -0.0109175, -0.0060581, -0.00330651, -0.00184549, -0.000568693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106957");
-            index_3 ("0.222778, 0.358569, 0.459705, 0.549892, 0.559254, 0.572502, 0.638893, 0.672246, 0.706883, 0.770286, 0.778165, 0.793923, 0.823322, 0.987421, 1.0281, 1.0915, 1.12135, 1.16517, 1.22359, 1.27803, 1.30789, 1.35832, 1.42172, 1.45754, 1.52094, 1.58434, 1.64775, 1.77455, 1.90136, 2.09157");
-            values ( \
-              "-0.0083349, -0.134195, -0.222702, -0.293347, -0.294901, -0.294138, -0.287623, -0.283625, -0.278449, -0.263928, -0.261275, -0.255436, -0.241779, -0.145877, -0.124822, -0.0963688, -0.0849423, -0.0702366, -0.0540717, -0.0421918, -0.0367504, -0.0290304, -0.0214864, -0.018126, -0.0133609, -0.00983813, -0.00722895, -0.00389631, -0.00209548, -0.000828374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.418284, 0.467318, 0.483176, 0.501844, 0.520977, 0.545152, 0.576744, 0.60282, 0.637784, 0.677393, 0.695141, 0.712714, 0.725869, 0.742608, 0.752143, 0.765573, 0.777067, 0.785158, 0.80134, 0.826002, 0.855893, 0.894223, 0.948587, 1.01199, 1.04962");
-            values ( \
-              "-0.0019278, -0.00342378, -0.00438114, -0.00585237, -0.00785727, -0.0116949, -0.0185772, -0.0236867, -0.0300488, -0.0360809, -0.037719, -0.0370041, -0.0295634, -0.0178637, -0.0124494, -0.0070731, -0.00427209, -0.00316464, -0.00139479, -0.000599412, -9.27232e-05, -0.000168016, -1e-22, -0.000112712, -4.5818e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715737");
-            index_3 ("0.417321, 0.475704, 0.497317, 0.513952, 0.530855, 0.550462, 0.610809, 0.640329, 0.668229, 0.69287, 0.715442, 0.736724, 0.758031, 0.782233, 0.795208, 0.806751, 0.822375, 0.83616, 0.84529, 0.86355, 0.893342, 0.928793, 0.973438, 1.03431, 1.09771, 1.10776");
-            values ( \
-              "-0.00256044, -0.00627211, -0.00864947, -0.0108776, -0.0137478, -0.01814, -0.0351919, -0.0428711, -0.0495917, -0.0549844, -0.0591992, -0.0618356, -0.0594686, -0.0403032, -0.0283019, -0.0201046, -0.0120488, -0.00747139, -0.00566586, -0.00267725, -0.00100102, -9.40245e-05, -0.000201228, -1e-22, -0.00014833, -0.000124813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140724");
-            index_3 ("0.416577, 0.490335, 0.511044, 0.538674, 0.573722, 0.641214, 0.682826, 0.717881, 0.749006, 0.777568, 0.804808, 0.831985, 0.874999, 0.888527, 0.903887, 0.912873, 0.930846, 0.955453, 0.975767, 1.0164, 1.06583, 1.12842, 1.21591, 1.23331, 1.25669");
-            values ( \
-              "-0.00240839, -0.0113359, -0.014822, -0.0207438, -0.0311221, -0.05443, -0.0673709, -0.0773783, -0.0853751, -0.0915659, -0.0949568, -0.0878541, -0.0474075, -0.0362044, -0.025971, -0.0211965, -0.013793, -0.00754728, -0.00449502, -0.00142214, -0.000339571, -5.19175e-05, -1.19296e-05, -0.000978941, -0.000663225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276683");
-            index_3 ("0.415909, 0.513083, 0.551257, 0.568411, 0.694863, 0.749199, 0.795294, 0.836448, 0.874358, 0.910874, 0.949654, 1.00295, 1.02633, 1.05143, 1.08622, 1.11491, 1.13349, 1.17067, 1.21574, 1.23706, 1.2906, 1.34323");
-            values ( \
-              "-0.000746158, -0.0197675, -0.0297146, -0.0351236, -0.0826205, -0.100909, -0.115225, -0.126803, -0.135424, -0.138243, -0.118426, -0.0689219, -0.0510362, -0.03599, -0.0214586, -0.0137672, -0.0104581, -0.00552802, -0.00272418, -0.00316929, -0.00125776, -0.000580028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543997");
-            index_3 ("0.478931, 0.544538, 0.575395, 0.607957, 0.661454, 0.719707, 0.84916, 0.911557, 0.967423, 1.01927, 1.07058, 1.12177, 1.17007, 1.21563, 1.22054, 1.26036, 1.28747, 1.32711, 1.3724, 1.39584, 1.43221, 1.4807, 1.5441, 1.6075, 1.67091, 1.73431");
-            values ( \
-              "-0.0272843, -0.0324516, -0.0425999, -0.0547631, -0.0770687, -0.0999445, -0.146675, -0.16717, -0.183348, -0.194053, -0.18844, -0.153228, -0.114178, -0.0817301, -0.0803796, -0.0578306, -0.0458331, -0.032429, -0.0215824, -0.017488, -0.0125856, -0.00804219, -0.00443665, -0.00243645, -0.00134155, -0.000732978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106957");
-            index_3 ("0.479123, 0.588008, 0.721889, 0.828673, 0.934618, 1.02615, 1.08128, 1.14468, 1.18753, 1.20174, 1.21595, 1.22725, 1.24251, 1.2855, 1.42801, 1.46129, 1.5247, 1.56759, 1.61523, 1.67864, 1.73236, 1.76276, 1.81293, 1.87633, 1.91161, 1.97502, 2.03842, 2.10182, 2.22863, 2.35543, 2.54564");
-            values ( \
-              "-0.0198969, -0.0508728, -0.105897, -0.148156, -0.188027, -0.220133, -0.237752, -0.255146, -0.263153, -0.264391, -0.264899, -0.262901, -0.25761, -0.237551, -0.15334, -0.135393, -0.105129, -0.0878482, -0.0714942, -0.0538353, -0.0421314, -0.0366192, -0.028948, -0.0214375, -0.0181173, -0.0133672, -0.00982998, -0.00723574, -0.00390338, -0.0021027, -0.00082093" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00268775, 0.00271424, 0.00274034, 0.00276098, 0.00277455, 0.00278252", \
-            "0.00316665, 0.0031832, 0.00320347, 0.00322245, 0.0032365, 0.00324551", \
-            "0.00347197, 0.00347531, 0.00348231, 0.00349228, 0.00350206, 0.00350934", \
-            "0.0036575, 0.00365476, 0.00365406, 0.00365352, 0.00365509, 0.00365791", \
-            "0.00376046, 0.00375527, 0.00374812, 0.00374054, 0.00373488, 0.0037322", \
-            "0.00381751, 0.00381151, 0.00380339, 0.00379286, 0.00378256, 0.0037745" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00367341, 0.00368952, 0.00370484, 0.00371365, 0.00371858, 0.00372311", \
-            "0.00404549, 0.00402727, 0.00401146, 0.00399943, 0.00399123, 0.00398636", \
-            "0.00428071, 0.00421766, 0.00416063, 0.0041171, 0.00408752, 0.004073", \
-            "0.00460414, 0.00444349, 0.00429996, 0.00420103, 0.00413649, 0.00409529", \
-            "0.00505947, 0.0048634, 0.00459894, 0.00438022, 0.00423574, 0.0041499", \
-            "0.00566942, 0.00537561, 0.00506236, 0.00473872, 0.00445151, 0.00426922" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A0";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A0";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0111289, 0.0111734, 0.0112147, 0.0112449, 0.0112592, 0.0112634", \
-            "0.0109576, 0.0109951, 0.0111033, 0.0111721, 0.0112118, 0.0112311", \
-            "0.0108026, 0.0108591, 0.0109627, 0.0110606, 0.0111338, 0.0110847", \
-            "0.0110614, 0.0110476, 0.011049, 0.0110631, 0.0111015, 0.0111546", \
-            "0.0119286, 0.0118018, 0.0116311, 0.011424, 0.0112989, 0.0112352", \
-            "0.0145413, 0.0142275, 0.0137083, 0.0131615, 0.0125128, 0.0119975" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.000274158, 0.000380481, 0.000471112, 0.000535308, 0.000574701, 0.000596748", \
-            "5.27658e-05, 0.00021718, 0.00038287, 0.000508973, 0.000588383, 0.000634443", \
-            "-0.000295176, -8.97435e-05, 0.000147679, 0.000353133, 0.000513146, 0.000618043", \
-            "-0.000598176, -0.000439798, -0.000193824, 6.63404e-05, 0.000328426, 0.000500582", \
-            "-0.000517288, -0.000510163, -0.000397319, -0.000188254, 8.0547e-05, 0.000340379", \
-            "0.00110025, 0.000674982, 0.000293726, 8.79671e-05, 0.000112408, 0.000259151" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A1";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A1";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.0140401, 0.0140721, 0.0141024, 0.0141257, 0.0141379, 0.0141393", \
-            "0.0139091, 0.0139571, 0.0140107, 0.0140579, 0.0140896, 0.0141034", \
-            "0.0137782, 0.0138291, 0.0138945, 0.01395, 0.0140332, 0.0140695", \
-            "0.0136734, 0.0137053, 0.0137779, 0.0138508, 0.013936, 0.014003", \
-            "0.013686, 0.0137072, 0.0137129, 0.0137775, 0.0138489, 0.013933", \
-            "0.0147707, 0.0146033, 0.0144156, 0.0142711, 0.0140915, 0.0140352" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00261498, 0.00263002, 0.00264418, 0.00265405, 0.00265747, 0.00265504", \
-            "0.00246445, 0.002497, 0.00252637, 0.00255427, 0.00256614, 0.00257082", \
-            "0.00224912, 0.00229735, 0.00236811, 0.00242364, 0.00246923, 0.00249521", \
-            "0.00201092, 0.00206914, 0.00217272, 0.00225496, 0.00234042, 0.00239087", \
-            "0.0019843, 0.00199174, 0.00205572, 0.00212796, 0.00222728, 0.00232227", \
-            "0.00288113, 0.00273971, 0.00258899, 0.00245285, 0.0023912, 0.00237364" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00673909, 0.00687954, 0.00700801, 0.00710172, 0.00716124, 0.00719427", \
-            "0.00650238, 0.00665157, 0.0067869, 0.00698116, 0.00709298, 0.0071568", \
-            "0.00637003, 0.00647398, 0.00662466, 0.00679069, 0.00693642, 0.00708178", \
-            "0.00657881, 0.00656289, 0.00664034, 0.00674516, 0.00686763, 0.00700226", \
-            "0.00751265, 0.00735411, 0.00720987, 0.00702382, 0.00695752, 0.00697194", \
-            "0.00983704, 0.00947769, 0.00903267, 0.00850762, 0.00821228, 0.00754702" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.000935065, 0.00102305, 0.00108418, 0.00113152, 0.00116213, 0.00117953", \
-            "0.000815716, 0.000905913, 0.000999882, 0.00107986, 0.00112918, 0.00116714", \
-            "0.000676126, 0.000768573, 0.000880906, 0.000993498, 0.00107669, 0.00113658", \
-            "0.000650895, 0.000691654, 0.000779359, 0.000895072, 0.00100102, 0.00108703", \
-            "0.00117362, 0.00105585, 0.00096233, 0.000949208, 0.000991148, 0.00105663", \
-            "0.00310576, 0.00260703, 0.00205539, 0.00163613, 0.00137948, 0.00125365" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * !A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(A0 * !A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00686031, 0.00699833, 0.00712084, 0.00720884, 0.00726468, 0.00729507", \
-            "0.00661004, 0.00673617, 0.00695488, 0.0071059, 0.00720891, 0.00726777", \
-            "0.0064395, 0.00657068, 0.00673302, 0.00692961, 0.00709506, 0.00720715", \
-            "0.00658673, 0.00659803, 0.00672092, 0.00684617, 0.00698996, 0.00712781", \
-            "0.00745062, 0.00731028, 0.00718639, 0.00704381, 0.00702898, 0.00707908", \
-            "0.00964669, 0.00930525, 0.00885581, 0.00837389, 0.00814069, 0.00755255" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.000937196, 0.00101706, 0.00108488, 0.00113436, 0.00116518, 0.00118255", \
-            "0.000797926, 0.000894028, 0.000997042, 0.00108206, 0.00113224, 0.00115958", \
-            "0.000654044, 0.000748494, 0.000874323, 0.000990055, 0.00107535, 0.00113357", \
-            "0.000617194, 0.000670633, 0.000756884, 0.000875424, 0.000988362, 0.00107036", \
-            "0.00105735, 0.000948699, 0.000902635, 0.000897205, 0.000951595, 0.00102961", \
-            "0.00278702, 0.00233307, 0.00188212, 0.00150836, 0.00129931, 0.00119603" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * A1)";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        when : "(!A0 * A1)";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00964264, 0.00978099, 0.00990729, 0.00999909, 0.0100567, 0.0100891", \
-            "0.00939973, 0.00951637, 0.00973426, 0.00988753, 0.00999447, 0.0100583", \
-            "0.00924785, 0.00936505, 0.00952854, 0.00970416, 0.00987344, 0.00999092", \
-            "0.00941018, 0.00941924, 0.00952234, 0.00963888, 0.00977469, 0.00990964", \
-            "0.0103195, 0.0101832, 0.0100256, 0.00988523, 0.00983435, 0.00987503", \
-            "0.0125767, 0.0122274, 0.011786, 0.0112847, 0.0107624, 0.0103831" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.003618, 0.00368743, 0.00372841, 0.00376642, 0.00378936, 0.00379885", \
-            "0.00354768, 0.00360022, 0.003663, 0.00372108, 0.00376568, 0.00378258", \
-            "0.00343847, 0.00348871, 0.00355972, 0.00364454, 0.00371166, 0.0037512", \
-            "0.00336444, 0.00339676, 0.00346047, 0.00355097, 0.00362815, 0.00368865", \
-            "0.00360792, 0.0035692, 0.00355476, 0.00356195, 0.00361086, 0.00366224", \
-            "0.00495151, 0.00467613, 0.00436376, 0.00409351, 0.00391031, 0.00381218" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        related_pg_pin : GND;
-        rise_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-        fall_power (scalar) {
-          values ( \
-            "0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B0";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.00964264, 0.00978099, 0.00990729, 0.00999909, 0.0100567, 0.0100891", \
-            "0.00939973, 0.00951637, 0.00973426, 0.00988753, 0.00999447, 0.0100583", \
-            "0.00924785, 0.00936505, 0.00952854, 0.00970416, 0.00987344, 0.00999092", \
-            "0.00941018, 0.00941924, 0.00952234, 0.00963888, 0.00977469, 0.00990964", \
-            "0.0103195, 0.0101832, 0.0100256, 0.00988523, 0.00983435, 0.00987503", \
-            "0.0125767, 0.0122274, 0.011786, 0.0112847, 0.0107624, 0.0103831" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715737, 0.0140724, 0.0276683, 0.0543997, 0.106957");
-          values ( \
-            "0.003618, 0.00368743, 0.00372841, 0.00376642, 0.00378936, 0.00379885", \
-            "0.00354768, 0.00360022, 0.003663, 0.00372108, 0.00376568, 0.00378258", \
-            "0.00343847, 0.00348871, 0.00355972, 0.00364454, 0.00371166, 0.0037512", \
-            "0.00336444, 0.00339676, 0.00346047, 0.00355097, 0.00362815, 0.00368865", \
-            "0.00360792, 0.0035692, 0.00355476, 0.00356195, 0.00361086, 0.00366224", \
-            "0.00495151, 0.00467613, 0.00436376, 0.00409351, 0.00391031, 0.00381218" \
-          );
-        }
-      }
-    }
-    pin (A0) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0054988;
-      rise_capacitance : 0.0054988;
-      rise_capacitance_range (0.00439215, 0.0054988);
-      fall_capacitance : 0.00540088;
-      fall_capacitance_range (0.00387585, 0.00540088);
-      receiver_capacitance () {
-        when : "(A1 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00262458, 0.00292969, 0.00308623, 0.00316937, 0.00320774, 0.00322642" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00355083, 0.00368345, 0.00373074, 0.003743, 0.00374972, 0.00375291" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00312652, 0.00350715, 0.00367582, 0.00376224, 0.00380111, 0.0038205" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00362743, 0.00354171, 0.00347788, 0.00344599, 0.00343032, 0.00342346" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(A1 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00334313, 0.00413532, 0.00472296, 0.00515963, 0.00539497, 0.00550342" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00493069, 0.00526025, 0.00518971, 0.00488793, 0.00468638, 0.0046" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00326463, 0.00376319, 0.00401343, 0.00414644, 0.00420898, 0.00423938" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00429874, 0.00455647, 0.00484435, 0.00514329, 0.0054373, 0.00559723" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A1 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00346568, 0.00436753, 0.00497186, 0.00529924, 0.00544563, 0.00550846" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00520992, 0.00553219, 0.00520831, 0.00485988, 0.00469946, 0.00463454" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00321156, 0.00370883, 0.00399517, 0.00417714, 0.00427681, 0.00432973" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00434466, 0.00481612, 0.00520151, 0.00543572, 0.00553702, 0.00557885" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00242589, -0.00244085, -0.00243882, -0.00245012, -0.00243684, -0.00242916" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00246607, 0.00247912, 0.00247713, 0.00248111, 0.00246754, 0.00245169" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A1 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00495164, -0.00498491, -0.00506791, -0.00514341, -0.00516729, -0.00515356" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00515414, 0.00516075, 0.00518176, 0.00519209, 0.00517135, 0.00515356" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A1 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00526097, -0.00526735, -0.00525935, -0.00526345, -0.00526607, -0.00525667" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00526097, 0.00527107, 0.0052757, 0.00528776, 0.00527111, 0.00525688" \
-          );
-        }
-      }
-    }
-    pin (A1) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00556245;
-      rise_capacitance : 0.00556245;
-      rise_capacitance_range (0.00437954, 0.00556245);
-      fall_capacitance : 0.00552264;
-      fall_capacitance_range (0.00388072, 0.00552264);
-      receiver_capacitance () {
-        when : "(A0 * B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00330918, 0.00436393, 0.00507434, 0.00543807, 0.00560786, 0.00568892" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00520014, 0.00545842, 0.00516567, 0.00490554, 0.0047879, 0.00474058" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316571, 0.00376752, 0.0041015, 0.00427606, 0.00436653, 0.00441644" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00440437, 0.00486036, 0.0052585, 0.00561081, 0.00578351, 0.00583971" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(A0 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00330315, 0.0043622, 0.00507365, 0.00543775, 0.00560771, 0.00568886" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00519274, 0.00544954, 0.00515614, 0.00489876, 0.0047836, 0.00473783" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00317267, 0.00378451, 0.00412161, 0.0042893, 0.00437356, 0.00441374" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00441404, 0.00485112, 0.00522776, 0.00557304, 0.00575421, 0.00582555" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "(!A0 * !B0)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00334004, 0.00440365, 0.00508719, 0.00543382, 0.00559503, 0.00566595" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00531208, 0.00564575, 0.00533961, 0.0050328, 0.00487383, 0.00480557" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00313533, 0.00374073, 0.00409725, 0.00430585, 0.00442344, 0.00448649" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00438723, 0.00494277, 0.00537257, 0.00562311, 0.00572184, 0.00575571" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * B0 * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * B0 * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00454641, -0.00479183, -0.00495698, -0.00500133, -0.0050484, -0.00506014" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00506562, 0.00506998, 0.00507298, 0.0051046, 0.00508401, 0.00507628" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A0 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00495133, -0.00501053, -0.00508248, -0.00509695, -0.00513206, -0.00513255" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00512593, 0.00512168, 0.0051522, 0.0051644, 0.00514299, 0.00513255" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !B0 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !B0 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00520851, -0.00520605, -0.00521391, -0.00521897, -0.00522091, -0.00521014" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00521069, 0.00520605, 0.00521394, 0.00524092, 0.00522493, 0.00522225" \
-          );
-        }
-      }
-    }
-    pin (B0) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00462581;
-      rise_capacitance : 0.00462581;
-      rise_capacitance_range (0.00304207, 0.00462581);
-      fall_capacitance : 0.00460585;
-      fall_capacitance_range (0.00263542, 0.00460585);
-      receiver_capacitance () {
-        when : "(!A0 * !A1)";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00250595, 0.00318789, 0.00360754, 0.00381944, 0.00391406, 0.00395689" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00355827, 0.00366471, 0.00347383, 0.0032751, 0.00318558, 0.00314536" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00209473, 0.00243788, 0.00265349, 0.0027876, 0.00286453, 0.00290466" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00294788, 0.00337402, 0.00367752, 0.0038443, 0.00389779, 0.00391377" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !A1 * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A0 * !A1 * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00433809, -0.00433492, -0.00433097, -0.0043336, -0.00434608, -0.00433862" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00433809, 0.00433492, 0.00433097, 0.00436036, 0.00434608, 0.00433862" \
-          );
-        }
-      }
-    }
-  }
-  cell (OR2X1) {
-    area : 12.4542;
-    cell_footprint : "OR2";
-    cell_leakage_power : 0.168019;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0107298;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.101836;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.276137;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.283374;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.168019;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A) + (B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.215632;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0634006, 0.0746944, 0.0984417, 0.151395, 0.271367, 0.542978", \
-            "0.0682071, 0.0795361, 0.103336, 0.156315, 0.276278, 0.547871", \
-            "0.0792273, 0.090589, 0.11445, 0.167466, 0.287407, 0.558953", \
-            "0.095133, 0.10683, 0.131019, 0.184253, 0.304152, 0.575661", \
-            "0.108747, 0.121153, 0.145692, 0.19884, 0.319124, 0.590684", \
-            "0.107898, 0.122106, 0.147767, 0.201215, 0.321165, 0.592821" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0240328, 0.0385585, 0.0722793, 0.150249, 0.327792, 0.729495", \
-            "0.0239704, 0.0385337, 0.0722653, 0.150246, 0.327791, 0.729497", \
-            "0.0241255, 0.0385886, 0.0722637, 0.15024, 0.327789, 0.729505", \
-            "0.0256511, 0.0397614, 0.0729319, 0.150492, 0.327801, 0.729503", \
-            "0.0290665, 0.0422076, 0.0742134, 0.151315, 0.328382, 0.729608", \
-            "0.0357139, 0.0479767, 0.0776476, 0.152274, 0.32877, 0.730332" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0977676, 0.109806, 0.132164, 0.176066, 0.269923, 0.480802", \
-            "0.103652, 0.115692, 0.138065, 0.182016, 0.275878, 0.486775", \
-            "0.117055, 0.129091, 0.15154, 0.195576, 0.289509, 0.500364", \
-            "0.144173, 0.156474, 0.179234, 0.223626, 0.317624, 0.528477", \
-            "0.188712, 0.202387, 0.227146, 0.273505, 0.368462, 0.579427", \
-            "0.264001, 0.280067, 0.30794, 0.356833, 0.453777, 0.665997" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.02391, 0.0350013, 0.0583317, 0.110031, 0.230167, 0.508648", \
-            "0.0239144, 0.035011, 0.0583143, 0.110027, 0.23022, 0.508642", \
-            "0.0239277, 0.0350473, 0.0583349, 0.11001, 0.230182, 0.508648", \
-            "0.0250571, 0.0359472, 0.0589147, 0.110267, 0.230228, 0.50865", \
-            "0.0288238, 0.0401432, 0.063143, 0.113706, 0.231589, 0.508753", \
-            "0.0355358, 0.0477292, 0.0709838, 0.120175, 0.235614, 0.510431" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0502199, 0.059794, 0.0640987, 0.0678451, 0.071392, 0.0749216, 0.0784503, 0.0911761, 0.0974812, 0.105382, 0.110942, 0.115606, 0.125556, 0.137079");
-            values ( \
-              "0.00176893, 0.135203, 0.167631, 0.182313, 0.187323, 0.18424, 0.173984, 0.0777065, 0.0484821, 0.0266705, 0.0175118, 0.0123201, 0.00591301, 0.00343781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823482");
-            index_3 ("0.0502245, 0.0591267, 0.0630602, 0.066627, 0.0693771, 0.0750564, 0.0805895, 0.0864489, 0.0922117, 0.0976504, 0.106982, 0.109945, 0.113895, 0.120378, 0.127934, 0.136666, 0.143424, 0.151148, 0.160999, 0.16885, 0.184554, 0.1934");
-            values ( \
-              "0.00112965, 0.164954, 0.211819, 0.23899, 0.254797, 0.267656, 0.268306, 0.257011, 0.236786, 0.206193, 0.14273, 0.126138, 0.10614, 0.079051, 0.0557071, 0.0365299, 0.0262224, 0.017767, 0.0108457, 0.00728128, 0.00314881, 0.00226055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186281");
-            index_3 ("0.0547536, 0.0624433, 0.0686626, 0.0744566, 0.079148, 0.0863679, 0.0940915, 0.099255, 0.115597, 0.121388, 0.132971, 0.150502, 0.164289, 0.175241, 0.184754, 0.203528, 0.217552, 0.230278, 0.253761, 0.274141, 0.300548");
-            values ( \
-              "0.199057, 0.237231, 0.297183, 0.325536, 0.335111, 0.33805, 0.331484, 0.324129, 0.292778, 0.277432, 0.237665, 0.169818, 0.125354, 0.0966124, 0.0763359, 0.0466435, 0.0317643, 0.0221878, 0.0113365, 0.00618738, 0.00311998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042139");
-            index_3 ("0.0548159, 0.0634566, 0.0688017, 0.0730534, 0.0795527, 0.0866826, 0.0931208, 0.109248, 0.139835, 0.162936, 0.185634, 0.208723, 0.256206, 0.294356, 0.328005, 0.354733, 0.366737, 0.390745, 0.410788, 0.437608, 0.473368, 0.541765");
-            values ( \
-              "0.240261, 0.268863, 0.324588, 0.351196, 0.37487, 0.383779, 0.385759, 0.377803, 0.351617, 0.328186, 0.300242, 0.261777, 0.172241, 0.113066, 0.0748055, 0.0526611, 0.0447811, 0.0321249, 0.0242257, 0.0165371, 0.00978886, 0.00335013" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0953233");
-            index_3 ("0.0592207, 0.0808599, 0.0880689, 0.0950995, 0.101831, 0.114122, 0.128782, 0.210077, 0.280654, 0.31328, 0.335875, 0.381065, 0.484465, 0.559229, 0.619384, 0.661147, 0.696411, 0.737577, 0.792464, 0.834855, 0.899541, 0.979535, 1.05953, 1.12726");
-            values ( \
-              "0.395321, 0.397926, 0.409224, 0.413263, 0.413695, 0.41141, 0.406285, 0.372523, 0.339934, 0.322185, 0.307877, 0.273371, 0.182273, 0.12507, 0.0891777, 0.0694878, 0.0559073, 0.0431012, 0.0301099, 0.0227759, 0.0147757, 0.00852904, 0.0048728, 0.00375726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.215632");
-            index_3 ("0.0636561, 0.0636761, 0.238723, 0.445422, 0.554662, 0.67276, 0.73324, 0.806689, 1.01194, 1.08592, 1.16591, 1.22154, 1.29165, 1.35961, 1.47236, 1.53788, 1.61695, 1.69695, 1.81058, 1.95461, 2.1146, 2.27459, 2.43457, 2.75455");
-            values ( \
-              "1e-22, 0.451344, 0.407733, 0.367255, 0.343709, 0.312601, 0.292528, 0.26518, 0.183013, 0.155487, 0.128893, 0.112101, 0.093688, 0.0779174, 0.0569212, 0.0473665, 0.0374625, 0.0297379, 0.0210117, 0.0135381, 0.00824982, 0.00499572, 0.00300366, 0.00104736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0686231, 0.0829584, 0.0853236, 0.089367, 0.0918848, 0.0937256, 0.097258, 0.101222, 0.106636, 0.110975, 0.116725, 0.12317, 0.131832, 0.142479, 0.150489, 0.155493");
-            values ( \
-              "0.0138637, 0.169551, 0.179517, 0.187423, 0.187025, 0.184163, 0.174063, 0.146773, 0.0995853, 0.0720176, 0.0468745, 0.0287952, 0.0149698, 0.00676671, 0.00372033, 0.00283761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823482");
-            index_3 ("0.0686399, 0.0804001, 0.0819153, 0.0849458, 0.0882003, 0.0938611, 0.0993948, 0.105257, 0.108378, 0.111012, 0.116281, 0.12583, 0.132399, 0.138962, 0.143929, 0.147396, 0.155477, 0.15926, 0.166826, 0.173623, 0.184867, 0.199858, 0.210144");
-            values ( \
-              "0.00306835, 0.196403, 0.213043, 0.237087, 0.255139, 0.268396, 0.268128, 0.257074, 0.247442, 0.236819, 0.207311, 0.142501, 0.107542, 0.0798132, 0.0635534, 0.0539743, 0.0365211, 0.0303138, 0.0207798, 0.0147979, 0.0083804, 0.00377492, 0.00251507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186281");
-            index_3 ("0.0730124, 0.0782595, 0.0814566, 0.0876176, 0.0930828, 0.098071, 0.105318, 0.108031, 0.118167, 0.134507, 0.140298, 0.15188, 0.17033, 0.183197, 0.19415, 0.203665, 0.222437, 0.236461, 0.249187, 0.272672, 0.293054, 0.313493");
-            values ( \
-              "0.182349, 0.192407, 0.242254, 0.299959, 0.324202, 0.336593, 0.337258, 0.337198, 0.324974, 0.292846, 0.277388, 0.237723, 0.166541, 0.125378, 0.0965946, 0.0763372, 0.0466388, 0.0317605, 0.0221918, 0.0113331, 0.00618916, 0.0038036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042139");
-            index_3 ("0.0731145, 0.0797464, 0.0842484, 0.0877731, 0.0938897, 0.0984707, 0.105726, 0.112092, 0.118284, 0.128153, 0.13842, 0.159481, 0.181843, 0.20454, 0.227628, 0.265456, 0.287985, 0.31326, 0.326262, 0.346917, 0.367639, 0.38564, 0.409642, 0.429691, 0.456519, 0.492291, 0.561705, 0.641698");
-            values ( \
-              "0.225612, 0.232066, 0.293921, 0.326361, 0.361847, 0.374941, 0.384628, 0.385425, 0.383765, 0.377388, 0.369557, 0.350619, 0.32817, 0.300261, 0.261793, 0.189415, 0.150623, 0.113059, 0.0968653, 0.0748065, 0.0570151, 0.0447767, 0.0321354, 0.0242206, 0.0165298, 0.0097921, 0.00321862, 0.000856176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0953233");
-            index_3 ("0.0775538, 0.0959982, 0.0998144, 0.107062, 0.114064, 0.132875, 0.206724, 0.252849, 0.301771, 0.33222, 0.354817, 0.400011, 0.503409, 0.578184, 0.638311, 0.680056, 0.715351, 0.756554, 0.811491, 0.853802, 0.918373, 0.998366, 1.07836, 1.15835, 1.31834");
-            values ( \
-              "0.381128, 0.386975, 0.398639, 0.409248, 0.413612, 0.411707, 0.382347, 0.362221, 0.338816, 0.322188, 0.307875, 0.273371, 0.182269, 0.125059, 0.089183, 0.0695037, 0.0559097, 0.0430932, 0.0300911, 0.0227731, 0.014785, 0.00853713, 0.0048748, 0.0028075, 0.000922838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.215632");
-            index_3 ("0.0818852, 0.0819052, 0.257754, 0.4642, 0.544194, 0.629487, 0.691616, 0.752097, 0.825545, 1.03079, 1.10477, 1.18477, 1.25041, 1.31051, 1.37847, 1.49122, 1.55674, 1.63581, 1.7158, 1.82944, 1.97346, 2.13345, 2.29344, 2.53342, 2.7734");
-            values ( \
-              "1e-22, 0.448187, 0.407791, 0.367165, 0.350284, 0.330017, 0.312494, 0.292636, 0.265072, 0.182902, 0.155599, 0.128782, 0.109341, 0.0935727, 0.0780331, 0.0570376, 0.0472499, 0.0375794, 0.0296208, 0.0211307, 0.0136575, 0.00836948, 0.00511571, 0.00239773, 0.00116838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.114044, 0.118016, 0.119511, 0.121006, 0.122501, 0.123249, 0.124354, 0.124722, 0.126196, 0.127669, 0.128514, 0.129358, 0.12978, 0.130203, 0.131141, 0.13208, 0.132966, 0.133852, 0.134737, 0.135623, 0.136509, 0.137396, 0.138283, 0.139169, 0.139548, 0.140053, 0.141064, 0.142579, 0.143401, 0.145935, 0.147203, 0.147836, 0.150633, 0.152654, 0.153664, 0.154675, 0.155685, 0.156695, 0.159567, 0.160398, 0.161507, 0.163103, 0.164145, 0.166229, 0.169132, 0.171197, 0.174294, 0.175326, 0.177125, 0.180156");
-            values ( \
-              "0.0983236, 0.103503, 0.120894, 0.136137, 0.149254, 0.155021, 0.162582, 0.164846, 0.171853, 0.178032, 0.1812, 0.18356, 0.184437, 0.185111, 0.185205, 0.185018, 0.184585, 0.183901, 0.182968, 0.181785, 0.180142, 0.178163, 0.175851, 0.173204, 0.171593, 0.168769, 0.162203, 0.150995, 0.144191, 0.120638, 0.109938, 0.104887, 0.0845502, 0.0728555, 0.067619, 0.0627404, 0.0582199, 0.0540573, 0.0434071, 0.040749, 0.0375659, 0.0333637, 0.0308546, 0.026379, 0.0210148, 0.0178594, 0.0142617, 0.0132084, 0.0116062, 0.00914101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823482");
-            index_3 ("0.113091, 0.118183, 0.123589, 0.126587, 0.129982, 0.135676, 0.141222, 0.147074, 0.152845, 0.158575, 0.168593, 0.176708, 0.185877, 0.195612, 0.20763, 0.220966, 0.23124, 0.24666");
-            values ( \
-              "0.0848801, 0.131741, 0.207074, 0.232396, 0.253119, 0.267363, 0.267976, 0.257029, 0.236827, 0.204338, 0.137452, 0.0963242, 0.0632829, 0.0396851, 0.0218934, 0.0112292, 0.00660188, 0.00318014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186281");
-            index_3 ("0.114724, 0.12189, 0.126062, 0.129535, 0.134962, 0.140022, 0.146918, 0.149987, 0.160121, 0.17076, 0.176461, 0.18225, 0.193827, 0.211363, 0.225135, 0.236097, 0.245626, 0.252728, 0.264386, 0.278406, 0.291134, 0.304433, 0.314628, 0.335019, 0.361345");
-            values ( \
-              "0.167264, 0.213759, 0.26665, 0.297287, 0.323628, 0.335822, 0.337722, 0.336794, 0.324691, 0.305452, 0.292845, 0.277437, 0.237723, 0.169837, 0.125404, 0.0966121, 0.0763155, 0.0635517, 0.0466416, 0.031766, 0.0221918, 0.0152155, 0.011332, 0.00618515, 0.00310847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042139");
-            index_3 ("0.116088, 0.125819, 0.129703, 0.135251, 0.140425, 0.147291, 0.15386, 0.160244, 0.170111, 0.200694, 0.223795, 0.246493, 0.257265, 0.269576, 0.307408, 0.329932, 0.355208, 0.368215, 0.38888, 0.409593, 0.427586, 0.451577, 0.471637, 0.498481, 0.534274, 0.603403, 0.683397");
-            values ( \
-              "0.249949, 0.286233, 0.324615, 0.358379, 0.374812, 0.384144, 0.385634, 0.383673, 0.377583, 0.351341, 0.328187, 0.300257, 0.283455, 0.261796, 0.18942, 0.150627, 0.113069, 0.0968601, 0.0747926, 0.0570165, 0.0447832, 0.0321399, 0.0242255, 0.0165303, 0.00978464, 0.00323786, 0.000857652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0953233");
-            index_3 ("0.118475, 0.148963, 0.155967, 0.162673, 0.189438, 0.270916, 0.341487, 0.374119, 0.396709, 0.441888, 0.545293, 0.620024, 0.680258, 0.722077, 0.757249, 0.798306, 0.853049, 0.895671, 0.960697, 1.04069, 1.12068, 1.18732");
-            values ( \
-              "0.345393, 0.409626, 0.413272, 0.413934, 0.406496, 0.372607, 0.340012, 0.322174, 0.307886, 0.273373, 0.182285, 0.125104, 0.0891631, 0.0694404, 0.0558996, 0.043124, 0.0301656, 0.0227845, 0.0147491, 0.00850489, 0.00486747, 0.00376942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.215632");
-            index_3 ("0.126779, 0.126799, 0.295487, 0.506251, 0.615428, 0.733623, 0.794689, 0.867505, 1.07269, 1.14654, 1.22654, 1.28231, 1.35267, 1.42055, 1.50055, 1.59844, 1.6776, 1.75759, 1.87146, 2.01565, 2.17563, 2.33562, 2.49561, 2.81558");
-            values ( \
-              "1e-22, 0.463277, 0.408646, 0.367244, 0.343715, 0.312562, 0.292326, 0.265157, 0.183014, 0.155576, 0.128927, 0.112138, 0.0936053, 0.0779225, 0.0625485, 0.0473664, 0.0375166, 0.0297161, 0.0210484, 0.0135707, 0.00828697, 0.00503609, 0.00304641, 0.00109343" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.190149, 0.194216, 0.194876, 0.202233, 0.207652, 0.211955, 0.215878, 0.219666, 0.223649, 0.225455, 0.232014, 0.236522, 0.240188, 0.245632, 0.252461, 0.257985, 0.262932, 0.274335, 0.28431, 0.285437");
-            values ( \
-              "0.0302662, 0.0341787, 0.0370261, 0.101045, 0.141431, 0.16286, 0.171831, 0.174156, 0.165616, 0.157081, 0.104757, 0.0759977, 0.0579077, 0.0388825, 0.0231628, 0.0154637, 0.0108118, 0.00478568, 0.00231265, 0.00224251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823482");
-            index_3 ("0.190104, 0.196373, 0.197522, 0.204276, 0.210436, 0.213561, 0.219677, 0.225478, 0.231274, 0.237023, 0.241742, 0.25335, 0.260407, 0.269955, 0.277607, 0.281277, 0.28738, 0.294355, 0.30053, 0.310051, 0.322747, 0.335778");
-            values ( \
-              "0.0302673, 0.0643636, 0.0741031, 0.153606, 0.211325, 0.230789, 0.253792, 0.257898, 0.251782, 0.234693, 0.21095, 0.13553, 0.100344, 0.0653992, 0.0455557, 0.0381696, 0.0283503, 0.0199997, 0.0146949, 0.00909052, 0.00470471, 0.00267552" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186281");
-            index_3 ("0.19013, 0.199344, 0.208558, 0.213032, 0.21908, 0.224169, 0.231703, 0.239496, 0.248932, 0.259125, 0.266376, 0.275263, 0.297102, 0.31106, 0.329391, 0.34031, 0.352638, 0.35997, 0.374633, 0.392042, 0.406126, 0.434293, 0.442856");
-            values ( \
-              "0.0365487, 0.109086, 0.228739, 0.270658, 0.307354, 0.32274, 0.330591, 0.326624, 0.314302, 0.295632, 0.278477, 0.249744, 0.166517, 0.122187, 0.0783688, 0.0590554, 0.042506, 0.0347671, 0.0229929, 0.0140203, 0.00930127, 0.00391618, 0.00329254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042139");
-            index_3 ("0.190083, 0.203743, 0.211194, 0.217396, 0.223484, 0.227065, 0.23184, 0.238506, 0.24492, 0.248876, 0.259629, 0.272246, 0.299173, 0.308704, 0.327766, 0.343221, 0.36044, 0.388998, 0.40883, 0.424034, 0.444589, 0.460262, 0.481158, 0.496066, 0.507931, 0.523751, 0.552252, 0.565245, 0.58468, 0.610593, 0.66242, 0.739897, 0.81989");
-            values ( \
-              "0.046335, 0.175858, 0.274306, 0.32706, 0.356742, 0.367004, 0.375274, 0.379381, 0.379121, 0.37737, 0.371486, 0.362601, 0.337954, 0.328079, 0.305203, 0.28172, 0.250517, 0.19537, 0.160558, 0.136185, 0.107393, 0.0887583, 0.0679828, 0.0558943, 0.0476855, 0.038412, 0.0257342, 0.0214254, 0.0162456, 0.0111475, 0.00507555, 0.00139881, 0.000376196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0953233");
-            index_3 ("0.201905, 0.220835, 0.22603, 0.233683, 0.240773, 0.247399, 0.250801, 0.260556, 0.274907, 0.33354, 0.379678, 0.428637, 0.478407, 0.528251, 0.630923, 0.657253, 0.709914, 0.759947, 0.791135, 0.842914, 0.865472, 0.903799, 0.958054, 1.01372, 1.05625, 1.13624, 1.21624, 1.29623, 1.45622");
-            values ( \
-              "0.33758, 0.363506, 0.38482, 0.401799, 0.407725, 0.409514, 0.408645, 0.408796, 0.405475, 0.382054, 0.361968, 0.338766, 0.310155, 0.272096, 0.181683, 0.160267, 0.121753, 0.091909, 0.0764599, 0.0556365, 0.0482495, 0.0377622, 0.0264145, 0.0182731, 0.0137432, 0.00789729, 0.00453908, 0.00258205, 0.0008356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.215632");
-            index_3 ("0.209308, 0.209328, 0.356465, 0.537905, 0.671045, 0.756255, 0.818382, 0.878863, 0.952312, 1.15756, 1.31153, 1.43727, 1.50523, 1.58523, 1.68351, 1.76258, 1.84257, 1.95621, 2.10023, 2.26022, 2.4202, 2.66019, 2.90017");
-            values ( \
-              "1e-22, 0.453502, 0.413049, 0.377885, 0.350237, 0.32999, 0.312517, 0.292611, 0.265095, 0.182925, 0.128804, 0.093594, 0.0780119, 0.06251, 0.0472705, 0.0375588, 0.0296412, 0.0211112, 0.0136384, 0.00835063, 0.00509706, 0.00241612, 0.00115035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0, 0.311196, 0.335277, 0.347142, 0.349174, 0.355493, 0.374677, 0.379362, 0.383699, 0.387983, 0.392261, 0.40218, 0.408683, 0.417592, 0.424679, 0.434819, 0.442229, 0.451353");
-            values ( \
-              "1e-22, 2e-22, 0.00032341, 0.0122968, 0.0164973, 0.039911, 0.132824, 0.147425, 0.154217, 0.152194, 0.136339, 0.0706938, 0.044725, 0.023442, 0.0140217, 0.00672764, 0.00400917, 0.00230356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823482");
-            index_3 ("6.81698e-05, 0.177556, 0.236429, 0.289859, 0.335607, 0.350499, 0.352441, 0.359322, 0.376653, 0.382121, 0.386949, 0.390113, 0.396336, 0.402558, 0.404892, 0.420154, 0.432204, 0.442327, 0.450056, 0.462687, 0.4719, 0.479245, 0.492475");
-            values ( \
-              "1e-22, 7.3812e-05, 0.000698474, 1e-22, 0.000292528, 0.0264334, 0.0339952, 0.0732803, 0.192577, 0.219015, 0.233272, 0.238203, 0.238472, 0.226547, 0.217506, 0.128382, 0.0758329, 0.0473426, 0.032671, 0.0174859, 0.0110817, 0.00766805, 0.0039729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186281");
-            index_3 ("0.000113255, 0.00305656, 0.177438, 0.26799, 0.290068, 0.335855, 0.353756, 0.358823, 0.380607, 0.38854, 0.397655, 0.402987, 0.410024, 0.420631, 0.42866, 0.438559, 0.459588, 0.477385, 0.49465, 0.50466, 0.51462, 0.527138, 0.540895, 0.552362, 0.564281, 0.585544, 0.604424");
-            values ( \
-              "1e-22, 0.000397103, 0.000138457, 0.00136039, 1e-22, 8.25386e-05, 0.0464634, 0.079544, 0.257989, 0.29655, 0.316139, 0.318076, 0.314506, 0.301041, 0.284661, 0.256359, 0.176683, 0.120125, 0.0791976, 0.0612386, 0.0470727, 0.0334864, 0.02276, 0.015879, 0.0114915, 0.00630347, 0.0037513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042139");
-            index_3 ("0.335776, 0.407596, 0.409722, 0.422021, 0.446577, 0.473927, 0.496728, 0.591201, 0.611015, 0.63982, 0.678458, 0.702918, 0.722507, 0.772706, 0.830077, 0.838592");
-            values ( \
-              "0.028514, 0.372088, 0.373122, 0.370573, 0.352843, 0.326361, 0.299205, 0.133933, 0.106348, 0.0744875, 0.0446319, 0.0318208, 0.0241434, 0.0117385, 0.0048528, 0.00446403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0953233");
-            index_3 ("0.357307, 0.373932, 0.385864, 0.397758, 0.405383, 0.412027, 0.423187, 0.454562, 0.498656, 0.577255, 0.619105, 0.647042, 0.701299, 0.810912, 0.888634, 0.966363, 1.02436, 1.0586, 1.11928, 1.18812, 1.24302, 1.40016");
-            values ( \
-              "0.216577, 0.235654, 0.335236, 0.384514, 0.398285, 0.403846, 0.406438, 0.398115, 0.380429, 0.346787, 0.325195, 0.307825, 0.265698, 0.169715, 0.113308, 0.072057, 0.0503189, 0.0404564, 0.0271486, 0.0172037, 0.0118674, 0.0040502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.215632");
-            index_3 ("0.357281, 0.393629, 0.409147, 0.416073, 0.428008, 0.44204, 0.461908, 0.618452, 0.756508, 0.836502, 0.921723, 0.983852, 1.04434, 1.11778, 1.32304, 1.39702, 1.47701, 1.54266, 1.60275, 1.67071, 1.78346, 1.84899, 1.92806, 2.00805, 2.12168, 2.2657, 2.42569, 2.58568, 2.82566, 3.06564");
-            values ( \
-              "0.181561, 0.381605, 0.416259, 0.421064, 0.42497, 0.424305, 0.422136, 0.394437, 0.367116, 0.35023, 0.329984, 0.312501, 0.29261, 0.265087, 0.182923, 0.155572, 0.128803, 0.109365, 0.093598, 0.0780087, 0.0570128, 0.0472735, 0.0375543, 0.0296449, 0.0211066, 0.0136337, 0.00834582, 0.00509213, 0.00242127, 0.00114514" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.000153235, 0.00115324, 0.0060123, 0.0117304, 0.0231667, 0.259007, 0.339001, 0.418994, 0.498988, 0.605373, 0.626788, 0.647496, 0.66451, 0.667784, 0.670362, 0.675519, 0.679831, 0.682736, 0.685848, 0.691006, 0.697207, 0.704419, 0.710532, 0.71604, 0.721265, 0.726489, 0.728944, 0.731477, 0.732935, 0.735851, 0.740692, 0.742842, 0.744537, 0.746798, 0.751319, 0.753879, 0.754943, 0.757071, 0.760735, 0.765973, 0.768329, 0.773041, 0.782464, 0.790681");
-            values ( \
-              "1e-22, 0.000103008, 5.63139e-05, 1.79686e-05, 1e-22, 2e-22, 8.57204e-06, 4.66873e-05, 0.000153292, 1e-22, 2e-22, 0.000853015, 0.0068942, 0.00960794, 0.0127431, 0.0206282, 0.030713, 0.0392186, 0.0491477, 0.0643022, 0.0813791, 0.100405, 0.114252, 0.123652, 0.127307, 0.119907, 0.107842, 0.0933609, 0.0854418, 0.0707663, 0.051808, 0.0452531, 0.040452, 0.034806, 0.025525, 0.0214494, 0.0199523, 0.0172174, 0.0133778, 0.00931484, 0.0079695, 0.00581359, 0.00313839, 0.00206911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823482");
-            index_3 ("7.658e-05, 0.338922, 0.498909, 0.626723, 0.647503, 0.66841, 0.671872, 0.677373, 0.684634, 0.715271, 0.723015, 0.73017, 0.737233, 0.744288, 0.753951, 0.762373, 0.772311, 0.7782, 0.78613, 0.790902, 0.799061, 0.808629, 0.81628, 0.831582, 0.831927");
-            values ( \
-              "1e-22, 1.48957e-05, 0.000328698, 1e-22, 0.00117928, 0.0152429, 0.0211157, 0.0342066, 0.058998, 0.181008, 0.202187, 0.211954, 0.20841, 0.186006, 0.132695, 0.0942028, 0.0609344, 0.0464417, 0.0319153, 0.0253906, 0.0169882, 0.010667, 0.00735047, 0.00336087, 0.00332507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186281");
-            index_3 ("0.000102385, 0.00310239, 0.0382104, 0.338944, 0.418937, 0.498931, 0.626789, 0.647622, 0.674682, 0.680279, 0.689864, 0.720578, 0.730141, 0.733036, 0.738827, 0.744475, 0.755996, 0.763609, 0.767768, 0.776087, 0.798218, 0.811979, 0.822407, 0.831029, 0.837663, 0.85065, 0.856346, 0.865179, 0.877774, 0.883184, 0.894004, 0.915644, 0.940041");
-            values ( \
-              "1e-22, 0.000179369, 1e-22, 2.87137e-05, 0.000201383, 0.000673574, 1e-22, 0.00122119, 0.0331071, 0.051312, 0.0923771, 0.24903, 0.280572, 0.286903, 0.294539, 0.29738, 0.291186, 0.279413, 0.270363, 0.246329, 0.165234, 0.122194, 0.0955456, 0.0772994, 0.0652949, 0.0464077, 0.0398187, 0.0312713, 0.021984, 0.0188885, 0.0138828, 0.00733017, 0.00389597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042139");
-            index_3 ("0.674741, 0.694388, 0.720605, 0.725703, 0.7325, 0.743962, 0.755065, 0.765137, 0.779908, 0.786602, 0.809159, 0.832064, 0.841968, 0.850907, 0.896257, 0.923592, 0.945086, 0.962448, 0.975809, 0.995951, 1.00155, 1.01276, 1.03041, 1.05553, 1.06633, 1.08793, 1.13114, 1.20072, 1.22967, 1.2661");
-            values ( \
-              "0.100256, 0.125784, 0.28209, 0.306076, 0.330225, 0.353847, 0.360752, 0.35971, 0.351455, 0.346479, 0.32578, 0.29848, 0.283577, 0.268445, 0.18345, 0.137942, 0.107767, 0.087334, 0.0737966, 0.0567292, 0.0526699, 0.0453048, 0.0355725, 0.0250003, 0.0214683, 0.0157588, 0.00829663, 0.00272342, 0.00162553, 0.00105047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0953233");
-            index_3 ("0.674961, 0.700112, 0.721766, 0.728393, 0.735125, 0.746172, 0.759463, 0.773866, 0.789443, 0.808636, 0.879978, 0.929114, 0.944914, 0.976513, 0.993749, 1.01632, 1.13742, 1.16279, 1.21354, 1.25061, 1.2689, 1.29761, 1.33469, 1.35882, 1.39099, 1.45373, 1.48238, 1.52627, 1.58479, 1.66478, 1.74477, 1.82477, 1.98476");
-            values ( \
-              "0.106598, 0.166397, 0.304572, 0.336902, 0.361489, 0.386114, 0.3981, 0.399898, 0.396626, 0.390041, 0.360608, 0.337731, 0.32954, 0.310957, 0.299165, 0.281943, 0.176814, 0.156458, 0.119938, 0.0975278, 0.0877437, 0.0739503, 0.0589202, 0.0506721, 0.0412789, 0.0273436, 0.0226407, 0.0169064, 0.0113616, 0.0065321, 0.00373654, 0.00213819, 0.000697357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.215632");
-            index_3 ("0.695523, 0.729705, 0.749632, 0.763623, 0.777426, 0.796048, 0.816808, 0.98801, 1.17108, 1.26166, 1.31879, 1.37761, 1.45265, 1.65808, 1.73238, 1.81237, 1.93712, 2.00527, 2.11836, 2.18454, 2.26338, 2.34338, 2.4564, 2.60001, 2.76, 2.91999, 3.15997, 3.39995");
-            values ( \
-              "0.332614, 0.354964, 0.406836, 0.418546, 0.42168, 0.421012, 0.418194, 0.38676, 0.34963, 0.328596, 0.312445, 0.293116, 0.265088, 0.182864, 0.155396, 0.12866, 0.0937266, 0.0780785, 0.0570135, 0.0471824, 0.0375079, 0.0296062, 0.0211199, 0.0136608, 0.00836358, 0.00510416, 0.00242293, 0.00115034" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0033525, 0.00335319, 0.00335407, 0.00335474, 0.00335514, 0.00335534", \
-            "0.00437516, 0.00437543, 0.00437595, 0.00437652, 0.00437695, 0.0043772", \
-            "0.00503666, 0.00503686, 0.00503715, 0.00503753, 0.00503793, 0.0050382", \
-            "0.00545179, 0.00545205, 0.00545244, 0.0054529, 0.00545333, 0.00545367", \
-            "0.00576595, 0.00576609, 0.0057664, 0.00576686, 0.00576738, 0.00576781", \
-            "0.00599171, 0.00599168, 0.00599167, 0.00599181, 0.00599218, 0.00599266" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.00527192, 0.00527275, 0.00527401, 0.00527531, 0.00527621, 0.0052767", \
-            "0.00567371, 0.00567482, 0.00567632, 0.00567795, 0.0056792, 0.00567999", \
-            "0.00566587, 0.0056656, 0.00566595, 0.0056669, 0.00566795, 0.00566874", \
-            "0.00619232, 0.00620058, 0.00620392, 0.00620298, 0.00620477, 0.00620588", \
-            "0.00633019, 0.00633707, 0.00643525, 0.00650483, 0.00654222, 0.00655835", \
-            "0.00604278, 0.00604263, 0.00604395, 0.00606588, 0.00616605, 0.00622312" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.080846, 0.0845281, 0.0859947, 0.0902696, 0.0936413, 0.10138, 0.105473, 0.109307, 0.113018, 0.116725, 0.120756, 0.12714, 0.130493, 0.134743, 0.139542, 0.143367, 0.14943, 0.153057");
-            values ( \
-              "-0.0163562, -0.0549309, -0.0578367, -0.0977774, -0.118867, -0.153997, -0.166289, -0.175164, -0.178586, -0.174793, -0.151067, -0.079638, -0.0507679, -0.0273337, -0.0128687, -0.00717563, -0.00255183, -0.00208238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823482");
-            index_3 ("0.0816689, 0.0868709, 0.094079, 0.0994297, 0.109889, 0.115783, 0.121379, 0.126855, 0.132328, 0.134788, 0.138458, 0.151892, 0.157364, 0.163441, 0.168758, 0.174835, 0.175692");
-            values ( \
-              "-0.0205986, -0.0934071, -0.159835, -0.195819, -0.243392, -0.259786, -0.269816, -0.27218, -0.262049, -0.250691, -0.223222, -0.0862088, -0.0527539, -0.0295515, -0.0176022, -0.00953274, -0.00901421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186281");
-            index_3 ("0.082497, 0.098015, 0.108161, 0.114743, 0.125, 0.134551, 0.143815, 0.153025, 0.162233, 0.166635, 0.17133, 0.193224, 0.202956, 0.214564, 0.227356, 0.237499, 0.239927");
-            values ( \
-              "-0.0701965, -0.218724, -0.283213, -0.311566, -0.342534, -0.359024, -0.366052, -0.362588, -0.343527, -0.326268, -0.297621, -0.129994, -0.0818455, -0.0455339, -0.0233359, -0.0134595, -0.0123134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042139");
-            index_3 ("0.0833648, 0.102952, 0.115077, 0.126051, 0.135066, 0.14917, 0.161014, 0.170487, 0.187788, 0.205104, 0.223964, 0.241042, 0.268429, 0.284654, 0.304575, 0.327211, 0.340759, 0.361251, 0.388573, 0.402275");
-            values ( \
-              "-0.121229, -0.27628, -0.345299, -0.38532, -0.40725, -0.428825, -0.437408, -0.439873, -0.436881, -0.423594, -0.393167, -0.336858, -0.208998, -0.148161, -0.0937242, -0.0540258, -0.0385038, -0.0228352, -0.0110011, -0.00846295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0953233");
-            index_3 ("0.0834854, 0.10515, 0.116254, 0.131218, 0.137343, 0.149594, 0.162613, 0.174345, 0.192324, 0.209739, 0.238416, 0.281534, 0.318003, 0.325776, 0.358965, 0.372041, 0.398193, 0.438315, 0.464844, 0.486359, 0.502885, 0.527543, 0.548126, 0.56989, 0.600867, 0.614273, 0.641085, 0.69471, 0.760224, 0.825738, 0.891252");
-            values ( \
-              "-0.144701, -0.305805, -0.369018, -0.422254, -0.437509, -0.459286, -0.473778, -0.481084, -0.48636, -0.486424, -0.481508, -0.466285, -0.447432, -0.442134, -0.412521, -0.395231, -0.345872, -0.253138, -0.198271, -0.160463, -0.135463, -0.10422, -0.0832763, -0.0653218, -0.0458378, -0.0392977, -0.0287571, -0.0150397, -0.00665619, -0.0029167, -0.0012963" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.215632");
-            index_3 ("0.100264, 0.156337, 0.181054, 0.202753, 0.227188, 0.257108, 0.333681, 0.411812, 0.492374, 0.575911, 0.611957, 0.66438, 0.729894, 0.886175, 0.945889, 1.03805, 1.08815, 1.15367, 1.2086, 1.28188, 1.34739, 1.41291, 1.54394, 1.6023");
-            values ( \
-              "-0.465042, -0.483647, -0.503826, -0.511001, -0.512777, -0.51155, -0.50214, -0.49, -0.475097, -0.454705, -0.442989, -0.420305, -0.373351, -0.213688, -0.16443, -0.10654, -0.0833445, -0.0599166, -0.0451401, -0.0308481, -0.0218934, -0.0154004, -0.00762459, -0.00633467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0977704, 0.115277, 0.116667, 0.121205, 0.125307, 0.129143, 0.132856, 0.136564, 0.140596, 0.146024, 0.150799, 0.154259, 0.15652, 0.159396, 0.163231, 0.168746, 0.174581");
-            values ( \
-              "-0.0013035, -0.127605, -0.135075, -0.153841, -0.166105, -0.175168, -0.178419, -0.174856, -0.151182, -0.0894091, -0.0473955, -0.028862, -0.0200625, -0.0127788, -0.00724139, -0.00274839, -0.00189459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823482");
-            index_3 ("0.102044, 0.109287, 0.113991, 0.123328, 0.12978, 0.135676, 0.141273, 0.146751, 0.152224, 0.157197, 0.171679, 0.181462, 0.188279, 0.193355");
-            values ( \
-              "-0.0591967, -0.10785, -0.159493, -0.21657, -0.243188, -0.259794, -0.269678, -0.272204, -0.261955, -0.233576, -0.0870388, -0.0353809, -0.0182619, -0.0111321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186281");
-            index_3 ("0.0961625, 0.129771, 0.134495, 0.144744, 0.154291, 0.163547, 0.172759, 0.182262, 0.186362, 0.191048, 0.212851, 0.222789, 0.228362, 0.235792, 0.245105, 0.252492, 0.265887");
-            values ( \
-              "-0.0221736, -0.292224, -0.311689, -0.342394, -0.358953, -0.36589, -0.362598, -0.342636, -0.32628, -0.297729, -0.130639, -0.081472, -0.0617586, -0.0421166, -0.0259103, -0.0174606, -0.00853675" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042139");
-            index_3 ("0.106742, 0.118961, 0.129285, 0.144987, 0.154731, 0.169119, 0.180047, 0.190129, 0.207433, 0.224746, 0.235009, 0.243604, 0.260792, 0.287931, 0.304499, 0.322707, 0.342747, 0.361025, 0.391883, 0.430593, 0.432399");
-            values ( \
-              "-0.214668, -0.248606, -0.319325, -0.383536, -0.407251, -0.429385, -0.437288, -0.439822, -0.437002, -0.423511, -0.409641, -0.393175, -0.336385, -0.209587, -0.147497, -0.0970999, -0.0598645, -0.0379036, -0.0170726, -0.00571376, -0.0055446" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0953233");
-            index_3 ("0.107989, 0.124704, 0.13614, 0.148129, 0.157233, 0.171878, 0.183623, 0.194233, 0.212189, 0.229622, 0.257597, 0.280058, 0.301413, 0.3379, 0.345545, 0.378843, 0.393017, 0.424311, 0.460822, 0.485805, 0.503998, 0.522511, 0.547105, 0.568803, 0.597733, 0.634314, 0.656898, 0.702065, 0.767579, 0.833093, 0.898607");
-            values ( \
-              "-0.261382, -0.30339, -0.369195, -0.414194, -0.437889, -0.462844, -0.474878, -0.481193, -0.48643, -0.486448, -0.481713, -0.474755, -0.466264, -0.447443, -0.442207, -0.412523, -0.393564, -0.331855, -0.247507, -0.196368, -0.164237, -0.135898, -0.104647, -0.0826019, -0.059671, -0.0392527, -0.0302014, -0.0176069, -0.00780894, -0.00342231, -0.00152061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.215632");
-            index_3 ("0.108964, 0.142768, 0.1731, 0.187872, 0.223051, 0.277248, 0.353825, 0.512563, 0.59605, 0.684519, 0.750033, 0.945682, 1.03889, 1.14275, 1.24047, 1.38473, 1.5026");
-            values ( \
-              "-0.277718, -0.407992, -0.478102, -0.496486, -0.511794, -0.512199, -0.502709, -0.475544, -0.455123, -0.420709, -0.372932, -0.179884, -0.117275, -0.0701192, -0.0425, -0.019926, -0.0122477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.145092, 0.150828, 0.155385, 0.160866, 0.165426, 0.169527, 0.172758, 0.177075, 0.180776, 0.18389, 0.194609, 0.198, 0.20226, 0.205707, 0.210302, 0.21351");
-            values ( \
-              "-0.0367861, -0.0610359, -0.104104, -0.13427, -0.153182, -0.165974, -0.173486, -0.178335, -0.174507, -0.157749, -0.0504713, -0.0308021, -0.0160246, -0.00937254, -0.00450595, -0.00316476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823482");
-            index_3 ("0.145741, 0.150689, 0.157052, 0.167418, 0.173885, 0.179789, 0.185392, 0.190874, 0.196351, 0.201248, 0.214986, 0.220003, 0.22656, 0.234007, 0.240513, 0.245749");
-            values ( \
-              "-0.0285901, -0.0913577, -0.149331, -0.216174, -0.242633, -0.259625, -0.269338, -0.272131, -0.261743, -0.23413, -0.0934215, -0.0599466, -0.0322532, -0.0156089, -0.00807927, -0.00543659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186281");
-            index_3 ("0.146833, 0.159625, 0.164171, 0.172682, 0.178796, 0.189055, 0.198607, 0.207871, 0.217081, 0.226289, 0.230692, 0.235389, 0.257295, 0.266999, 0.278421, 0.29167, 0.302173, 0.307518");
-            values ( \
-              "-0.0649051, -0.197672, -0.235141, -0.285555, -0.311482, -0.342522, -0.358996, -0.366035, -0.362588, -0.343505, -0.326257, -0.297601, -0.129909, -0.081897, -0.0460087, -0.0230185, -0.0130045, -0.0105696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042139");
-            index_3 ("0.147389, 0.167175, 0.179248, 0.188815, 0.199208, 0.217249, 0.234613, 0.251914, 0.269225, 0.279544, 0.288084, 0.305164, 0.332545, 0.348779, 0.368694, 0.391301, 0.404876, 0.425408, 0.452783, 0.473142");
-            values ( \
-              "-0.107144, -0.279151, -0.346593, -0.38113, -0.40803, -0.432819, -0.440224, -0.436707, -0.423841, -0.409574, -0.393174, -0.336859, -0.209008, -0.148143, -0.0937227, -0.0540656, -0.038506, -0.0228131, -0.0109728, -0.00721028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0953233");
-            index_3 ("0.147212, 0.173478, 0.1805, 0.192696, 0.201563, 0.216766, 0.232231, 0.238553, 0.251198, 0.273937, 0.309384, 0.345722, 0.382241, 0.389662, 0.415104, 0.42315, 0.43924, 0.468633, 0.500622, 0.529028, 0.55141, 0.568888, 0.592224, 0.611474, 0.633551, 0.664771, 0.6969, 0.721457, 0.770572, 0.836086, 0.9016, 0.967115");
-            values ( \
-              "-0.125568, -0.334083, -0.369582, -0.415849, -0.43791, -0.464133, -0.477887, -0.48162, -0.485389, -0.486772, -0.479618, -0.466413, -0.447276, -0.442329, -0.421194, -0.41253, -0.390566, -0.33177, -0.257433, -0.198328, -0.159123, -0.132959, -0.103716, -0.0840926, -0.0657374, -0.0460062, -0.0317764, -0.0238383, -0.0131854, -0.0058278, -0.00255628, -0.00113183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.215632");
-            index_3 ("0.159875, 0.197754, 0.20534, 0.23287, 0.267692, 0.292402, 0.321318, 0.397899, 0.556591, 0.640129, 0.676175, 0.728598, 0.794112, 0.884879, 0.989761, 1.05079, 1.10387, 1.19798, 1.2635, 1.31166, 1.39961, 1.46513, 1.53064, 1.66167, 1.85821");
-            values ( \
-              "-0.40445, -0.440311, -0.459809, -0.496831, -0.511564, -0.512471, -0.511872, -0.502439, -0.475352, -0.454946, -0.442753, -0.420539, -0.373114, -0.278149, -0.179979, -0.13616, -0.105935, -0.0661986, -0.0475725, -0.0368735, -0.0232197, -0.0166114, -0.0115058, -0.00564149, -0.00211548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.235715, 0.239405, 0.240863, 0.243483, 0.25002, 0.255519, 0.260436, 0.26478, 0.268796, 0.27265, 0.276472, 0.280589, 0.287435, 0.290596, 0.293838, 0.296403, 0.299541, 0.302038, 0.30698");
-            values ( \
-              "-0.0231533, -0.0342749, -0.0408177, -0.0470684, -0.094173, -0.123207, -0.143794, -0.15774, -0.168034, -0.172724, -0.170488, -0.148346, -0.0751091, -0.0492354, -0.031056, -0.0208249, -0.0128606, -0.00891979, -0.00394429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823482");
-            index_3 ("0.236199, 0.245971, 0.254402, 0.262638, 0.269425, 0.27553, 0.281269, 0.286853, 0.292431, 0.295788, 0.312247, 0.317691, 0.323769, 0.328799, 0.333077");
-            values ( \
-              "-0.0349124, -0.0823995, -0.154544, -0.203837, -0.233219, -0.252455, -0.263766, -0.267959, -0.25873, -0.243081, -0.0848852, -0.0521494, -0.029262, -0.0179504, -0.0121072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186281");
-            index_3 ("0.237049, 0.244188, 0.261096, 0.268501, 0.274448, 0.284946, 0.294627, 0.30398, 0.313248, 0.322513, 0.326663, 0.352135, 0.36112, 0.370157, 0.384403, 0.401154, 0.40478");
-            values ( \
-              "-0.0461037, -0.0894924, -0.231856, -0.275702, -0.302508, -0.336697, -0.35508, -0.363344, -0.360775, -0.342319, -0.326552, -0.138361, -0.0909098, -0.0580799, -0.0277562, -0.0112196, -0.00981082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042139");
-            index_3 ("0.244119, 0.254137, 0.260314, 0.266595, 0.274923, 0.285509, 0.295208, 0.308906, 0.322201, 0.330765, 0.3481, 0.365441, 0.375274, 0.384294, 0.402333, 0.42479, 0.441408, 0.450137, 0.461626, 0.472021, 0.48588, 0.50086, 0.508892, 0.524957, 0.557085, 0.599739, 0.652233");
-            values ( \
-              "-0.187388, -0.19057, -0.248342, -0.292948, -0.338118, -0.378648, -0.404106, -0.426197, -0.43649, -0.439026, -0.436315, -0.42339, -0.410135, -0.393044, -0.332671, -0.226375, -0.160433, -0.132119, -0.10134, -0.0791543, -0.056287, -0.0387121, -0.0316289, -0.0208881, -0.00871455, -0.00247675, -0.00050145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0953233");
-            index_3 ("0.24902, 0.297906, 0.31275, 0.329307, 0.34645, 0.367505, 0.405956, 0.451263, 0.479819, 0.519687, 0.545924, 0.648212, 0.691496, 0.734913, 0.775053, 0.843158, 0.875784");
-            values ( \
-              "-0.270895, -0.434867, -0.461284, -0.477453, -0.484695, -0.486475, -0.479298, -0.462269, -0.44655, -0.412628, -0.37291, -0.158623, -0.100538, -0.0621803, -0.0392639, -0.0175379, -0.0126736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.215632");
-            index_3 ("0.256827, 0.294287, 0.315198, 0.328996, 0.363261, 0.387364, 0.41749, 0.494059, 0.652752, 0.736289, 0.772336, 0.824758, 0.890272, 1.04655, 1.10627, 1.19903, 1.24745, 1.31201, 1.36827, 1.44369, 1.57472, 1.74597");
-            values ( \
-              "-0.430227, -0.438327, -0.480002, -0.495452, -0.510883, -0.512516, -0.511631, -0.502251, -0.475188, -0.454791, -0.442905, -0.420391, -0.373254, -0.213789, -0.164516, -0.106331, -0.0838871, -0.0604724, -0.0453931, -0.0306997, -0.015364, -0.00660011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.423627, 0.429588, 0.433379, 0.444532, 0.453981, 0.463705, 0.468105, 0.472427, 0.477445, 0.48393, 0.488248, 0.491987, 0.497467, 0.501855, 0.50898, 0.517462, 0.550079");
-            values ( \
-              "-0.0164639, -0.0275898, -0.0368012, -0.0894053, -0.122263, -0.145887, -0.151838, -0.151873, -0.131864, -0.0742795, -0.0434843, -0.025949, -0.0116971, -0.00602815, -0.0020358, -0.000748445, -0.00019412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823482");
-            index_3 ("0.429443, 0.437919, 0.446481, 0.456208, 0.463202, 0.47097, 0.475975, 0.48359, 0.489726, 0.495891, 0.509574, 0.514691, 0.522937, 0.529356, 0.536203, 0.547818, 0.547954");
-            values ( \
-              "-0.0698634, -0.0735614, -0.128764, -0.174821, -0.200893, -0.223339, -0.234014, -0.242985, -0.238856, -0.211184, -0.0893659, -0.0579659, -0.0273062, -0.014879, -0.00758039, -0.0023135, -0.00230363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186281");
-            index_3 ("0.428758, 0.437964, 0.453057, 0.461671, 0.469975, 0.481657, 0.492254, 0.502299, 0.512146, 0.521985, 0.527416, 0.53312, 0.548634, 0.556886, 0.567714, 0.576386, 0.588241, 0.598093, 0.607727");
-            values ( \
-              "-0.0554571, -0.0903539, -0.191129, -0.235181, -0.268458, -0.305791, -0.327266, -0.340241, -0.341645, -0.328271, -0.309561, -0.276177, -0.159393, -0.111645, -0.0666836, -0.0429732, -0.0233312, -0.0137886, -0.00918479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042139");
-            index_3 ("0.432921, 0.444334, 0.456507, 0.468927, 0.481601, 0.493015, 0.512392, 0.530642, 0.548556, 0.55838, 0.566565, 0.575077, 0.585375, 0.604448, 0.634105, 0.645734, 0.663782, 0.679802, 0.701139, 0.713644, 0.727935, 0.756518, 0.775741");
-            values ( \
-              "-0.122743, -0.143296, -0.228371, -0.293637, -0.342095, -0.374134, -0.408592, -0.423121, -0.424697, -0.420879, -0.415457, -0.406517, -0.389455, -0.327493, -0.192383, -0.15, -0.0993283, -0.0676819, -0.0397499, -0.0289772, -0.0200789, -0.00928819, -0.0063276" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0953233");
-            index_3 ("0.413725, 0.495925, 0.514219, 0.53506, 0.550144, 0.569593, 0.581891, 0.60717, 0.63245, 0.661949, 0.681197, 0.721077, 0.732374, 0.747437, 0.803327, 0.826218, 0.849598, 0.880771, 0.892884, 0.915789, 0.936322, 0.976447, 0.999134, 1.04451, 1.11002, 1.17554, 1.24105");
-            values ( \
-              "-0.0136753, -0.404021, -0.441132, -0.464583, -0.472678, -0.478204, -0.47947, -0.476937, -0.469884, -0.4573, -0.44634, -0.412594, -0.39795, -0.372664, -0.246689, -0.199684, -0.158633, -0.11449, -0.100543, -0.0782336, -0.0621688, -0.0392634, -0.0301626, -0.0175475, -0.00777396, -0.00341517, -0.00151228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.215632");
-            index_3 ("0.444657, 0.480271, 0.49987, 0.536468, 0.571447, 0.586544, 0.618962, 0.653607, 0.695623, 0.773913, 0.854366, 0.937852, 0.973798, 1.02632, 1.09184, 1.24812, 1.30784, 1.34853, 1.4016, 1.44714, 1.4957, 1.56122, 1.60939, 1.6974, 1.76291, 1.89394, 2.156");
-            values ( \
-              "-0.286105, -0.366567, -0.427796, -0.485403, -0.503706, -0.507667, -0.509615, -0.507247, -0.501941, -0.489877, -0.474994, -0.454623, -0.443104, -0.420232, -0.373413, -0.21364, -0.164413, -0.136352, -0.105733, -0.0845963, -0.0663835, -0.0473921, -0.0370264, -0.0233628, -0.0164571, -0.00815823, -0.00197354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.818006, 0.827017, 0.835017, 0.852313, 0.866069, 0.877234, 0.882465, 0.887689, 0.888796, 0.902458, 0.907325, 0.913289, 0.920106, 0.924883");
-            values ( \
-              "-0.0104378, -0.018672, -0.0317409, -0.0805, -0.109129, -0.124475, -0.126514, -0.11708, -0.111916, -0.0310195, -0.0164657, -0.00731799, -0.00277947, -0.00176325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823482");
-            index_3 ("0.823806, 0.834886, 0.839691, 0.84972, 0.857963, 0.86214, 0.874587, 0.88032, 0.888017, 0.89531, 0.902426, 0.909532, 0.910538, 0.921343, 0.927966, 0.931681, 0.936564, 0.940101, 0.947451, 0.95725, 0.970208, 1.00723");
-            values ( \
-              "-0.0350384, -0.0450576, -0.0589139, -0.0987267, -0.126395, -0.139199, -0.172244, -0.184902, -0.199099, -0.208178, -0.209162, -0.189311, -0.184208, -0.102677, -0.0623406, -0.0459148, -0.0299858, -0.0219157, -0.0112017, -0.00435129, -0.00128851, -0.000228617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186281");
-            index_3 ("0.819029, 0.828853, 0.837381, 0.842089, 0.868028, 0.878628, 0.892518, 0.904757, 0.916081, 0.926984, 0.937871, 0.948741, 0.96506, 0.973396, 0.983078, 0.988185, 0.995376, 1.00282, 1.00853, 1.01994, 1.0301");
-            values ( \
-              "-0.0215462, -0.041285, -0.0646994, -0.0808123, -0.187753, -0.222371, -0.260776, -0.287037, -0.304314, -0.312033, -0.304945, -0.268065, -0.158643, -0.111813, -0.071682, -0.0560295, -0.0391601, -0.0268963, -0.0200566, -0.0109601, -0.00722507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042139");
-            index_3 ("0.79534, 0.828447, 0.842916, 0.87735, 0.904774, 0.926476, 0.946259, 0.965192, 0.983987, 1.00278, 1.01157, 1.06505, 1.085, 1.10695, 1.12123, 1.14272, 1.17137, 1.17144");
-            values ( \
-              "-0.0074373, -0.0409229, -0.0925482, -0.241619, -0.327019, -0.371853, -0.395881, -0.405545, -0.402241, -0.381074, -0.361669, -0.14673, -0.0931979, -0.0548975, -0.0385044, -0.0223197, -0.0103683, -0.0103593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0953233");
-            index_3 ("0.828129, 0.856059, 0.880051, 0.898227, 0.907436, 0.925853, 0.950162, 0.984255, 0.99583, 1.01039, 1.02483, 1.05372, 1.07764, 1.09976, 1.13807, 1.14373, 1.15128, 1.16637, 1.22959, 1.2542, 1.27256, 1.29951, 1.31308, 1.33648, 1.35689, 1.38555, 1.39677, 1.41919, 1.46405, 1.52957, 1.54818");
-            values ( \
-              "-0.086081, -0.159764, -0.2668, -0.329766, -0.357324, -0.400203, -0.43829, -0.463572, -0.466914, -0.468874, -0.468152, -0.46274, -0.453932, -0.44233, -0.411933, -0.405403, -0.395579, -0.370904, -0.23277, -0.184918, -0.1541, -0.116387, -0.100614, -0.0779042, -0.0619666, -0.0446628, -0.0392462, -0.0302681, -0.0177016, -0.00786448, -0.00660569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.215632");
-            index_3 ("0.828108, 0.896244, 0.932095, 0.957408, 0.991261, 1.02299, 1.03675, 1.06426, 1.1346, 1.25142, 1.29542, 1.35743, 1.42294, 1.44598, 1.49158, 1.5471, 1.66619, 1.72904, 1.76935, 1.8216, 1.86572, 1.91462, 1.98013, 2.02919, 2.11901, 2.18452, 2.25003, 2.38106, 2.57761");
-            values ( \
-              "-0.0731393, -0.332731, -0.426928, -0.464948, -0.491577, -0.500807, -0.502173, -0.502253, -0.495393, -0.478113, -0.470092, -0.454628, -0.431384, -0.420258, -0.390286, -0.337441, -0.215023, -0.163211, -0.135461, -0.105546, -0.0850449, -0.0665331, -0.047592, -0.0369293, -0.0230614, -0.0163169, -0.0114772, -0.00567814, -0.00199184" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.00314335, 0.0031448, 0.00314631, 0.00314737, 0.00314796, 0.00314826", \
-            "0.00377883, 0.00377943, 0.00378038, 0.00378129, 0.00378189, 0.00378222", \
-            "0.00416046, 0.00416074, 0.00416118, 0.00416173, 0.00416221, 0.00416251", \
-            "0.0043775, 0.00437773, 0.00437805, 0.00437844, 0.00437881, 0.00437909", \
-            "0.00449029, 0.00449044, 0.00449068, 0.004491, 0.00449133, 0.00449159", \
-            "0.00455212, 0.00455218, 0.0045523, 0.00455252, 0.0045528, 0.00455305" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.00453203, 0.00453482, 0.00453798, 0.0045406, 0.00454223, 0.00454307", \
-            "0.0049746, 0.00497731, 0.00498046, 0.00498345, 0.00498556, 0.00498674", \
-            "0.00509705, 0.00510231, 0.00510735, 0.00511211, 0.0051156, 0.00511767", \
-            "0.00548498, 0.00548037, 0.00547627, 0.00547491, 0.00547562, 0.00547676", \
-            "0.00622464, 0.00623912, 0.00624951, 0.00625503, 0.00625252, 0.0062531", \
-            "0.00725856, 0.00724642, 0.00725503, 0.00739197, 0.00746563, 0.00749764" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0558, 0.0674215, 0.0916872, 0.145146, 0.265391, 0.537116", \
-            "0.0605776, 0.0721764, 0.096445, 0.149936, 0.270229, 0.541945", \
-            "0.0700267, 0.0816381, 0.105977, 0.159482, 0.279858, 0.55162", \
-            "0.0803361, 0.0923102, 0.116879, 0.170708, 0.291165, 0.563019", \
-            "0.0862264, 0.0989871, 0.124065, 0.177855, 0.298987, 0.570602", \
-            "0.0755619, 0.0908038, 0.117581, 0.171805, 0.292286, 0.564541" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0229367, 0.0375654, 0.0715865, 0.149862, 0.327551, 0.729306", \
-            "0.0229553, 0.0375699, 0.0715988, 0.149862, 0.327537, 0.729307", \
-            "0.023579, 0.0379307, 0.0716831, 0.149871, 0.327541, 0.729307", \
-            "0.0255921, 0.0394568, 0.0726496, 0.150209, 0.327538, 0.729307", \
-            "0.0299797, 0.0428437, 0.0742845, 0.150946, 0.32811, 0.72943", \
-            "0.0386363, 0.0506135, 0.079327, 0.152773, 0.328771, 0.73025" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0794625, 0.0916071, 0.114292, 0.158664, 0.252926, 0.4641", \
-            "0.0843006, 0.0964605, 0.119175, 0.163595, 0.257912, 0.46904", \
-            "0.0974256, 0.109542, 0.132272, 0.176723, 0.271061, 0.482212", \
-            "0.125996, 0.138458, 0.16147, 0.206218, 0.300837, 0.512046", \
-            "0.171404, 0.184926, 0.209108, 0.255397, 0.351083, 0.562158", \
-            "0.24539, 0.261868, 0.288709, 0.336454, 0.432683, 0.645723" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.023851, 0.0349074, 0.058164, 0.109853, 0.230109, 0.508635", \
-            "0.0238344, 0.0348933, 0.0581453, 0.109848, 0.230081, 0.508541", \
-            "0.0238583, 0.0349108, 0.0581475, 0.109764, 0.230106, 0.508636", \
-            "0.0256201, 0.0364108, 0.0592294, 0.110412, 0.230235, 0.508643", \
-            "0.030448, 0.0406912, 0.0629374, 0.114041, 0.232398, 0.508759", \
-            "0.0385262, 0.0495774, 0.0705535, 0.117985, 0.235388, 0.511815" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0473804, 0.0515577, 0.0557053, 0.0606823, 0.0639853, 0.0672906, 0.0697232, 0.0708528, 0.072661, 0.0790299, 0.0833662, 0.086937, 0.0894787, 0.0924828, 0.0964883, 0.0999343, 0.105229, 0.109892, 0.11591, 0.123935, 0.137942, 0.15471");
-            values ( \
-              "0.00735131, 0.149828, 0.18251, 0.198797, 0.197865, 0.193531, 0.18418, 0.17843, 0.166491, 0.106977, 0.0775245, 0.0588833, 0.0486947, 0.0387448, 0.0285292, 0.0219614, 0.0147236, 0.0104129, 0.00671153, 0.00369625, 0.00131059, 0.000354902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823482");
-            index_3 ("0.048375, 0.0538235, 0.0572677, 0.059669, 0.0628588, 0.0681673, 0.0734733, 0.0787752, 0.0814463, 0.0842954, 0.0879091, 0.0986096, 0.108695, 0.117677, 0.121882, 0.129283, 0.139847, 0.145411, 0.151677, 0.160032, 0.17674, 0.182705");
-            values ( \
-              "0.0269389, 0.219381, 0.250493, 0.26498, 0.276544, 0.282445, 0.276835, 0.264131, 0.254963, 0.242878, 0.22272, 0.148072, 0.095625, 0.0632505, 0.051779, 0.0362121, 0.0214849, 0.0163297, 0.0119627, 0.0078173, 0.00325421, 0.00262808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186281");
-            index_3 ("0.0494212, 0.0543293, 0.0579508, 0.0632602, 0.0661422, 0.0696624, 0.0730202, 0.0797358, 0.0875402, 0.103191, 0.10942, 0.11462, 0.12502, 0.142963, 0.154945, 0.167144, 0.176006, 0.182106, 0.196799, 0.203537, 0.217013, 0.228832, 0.248167, 0.273948, 0.298497");
-            values ( \
-              "0.0157728, 0.257577, 0.299131, 0.332329, 0.341623, 0.347477, 0.349791, 0.346643, 0.336616, 0.307705, 0.293036, 0.278581, 0.24249, 0.171986, 0.132639, 0.0993688, 0.0799691, 0.0684867, 0.0464674, 0.0386977, 0.0266121, 0.0190956, 0.0109765, 0.00503052, 0.00274148" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042139");
-            index_3 ("0.0503523, 0.0575075, 0.0641304, 0.0694418, 0.0740915, 0.0819108, 0.0903797, 0.113374, 0.155616, 0.170972, 0.20051, 0.249212, 0.283812, 0.312585, 0.348289, 0.390981, 0.412891, 0.446207, 0.490627, 0.531707");
-            values ( \
-              "0.10726, 0.317193, 0.36628, 0.383797, 0.391984, 0.393909, 0.391058, 0.371843, 0.330296, 0.31188, 0.265473, 0.173311, 0.118738, 0.0839149, 0.052765, 0.0293576, 0.0215729, 0.0133936, 0.00690594, 0.00424531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0953233");
-            index_3 ("0.0555165, 0.0555365, 0.128698, 0.181955, 0.276945, 0.324529, 0.362669, 0.483131, 0.551936, 0.613843, 0.69068, 0.731104, 0.785003, 0.896323, 1.05503, 1.07067");
-            values ( \
-              "1e-22, 0.428273, 0.405449, 0.38311, 0.339023, 0.311702, 0.283535, 0.178353, 0.125966, 0.0889581, 0.0558446, 0.0432667, 0.0304363, 0.014525, 0.00483792, 0.00458119" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.215632");
-            index_3 ("0.0613832, 0.0614032, 0.201041, 0.518813, 0.604603, 0.666733, 0.727204, 0.800656, 1.00724, 1.16321, 1.28187, 1.43059, 1.53819, 1.61512, 1.69447, 1.86401, 2.02271, 2.18142, 2.49883, 2.73688");
-            values ( \
-              "1e-22, 0.474451, 0.414747, 0.35073, 0.330307, 0.312314, 0.292872, 0.264844, 0.182143, 0.127502, 0.0942808, 0.0628023, 0.0461831, 0.0373375, 0.0291067, 0.0174182, 0.0106475, 0.0064479, 0.00227338, 0.00141415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0648637, 0.0723376, 0.0742233, 0.0760114, 0.079407, 0.0827202, 0.086072, 0.0884196, 0.0895921, 0.0914002, 0.0977713, 0.102107, 0.105677, 0.108221, 0.111228, 0.115237, 0.118675, 0.123967, 0.128632, 0.134657, 0.142691, 0.15659, 0.17322");
-            values ( \
-              "0.00901942, 0.166408, 0.179653, 0.188445, 0.197699, 0.198356, 0.192658, 0.184283, 0.178363, 0.166448, 0.106959, 0.0775234, 0.058888, 0.0486913, 0.0387354, 0.0285166, 0.0219637, 0.014728, 0.0104158, 0.00671034, 0.0036919, 0.00132281, 0.000362016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823482");
-            index_3 ("0.0650244, 0.0759886, 0.0802144, 0.0842927, 0.0868866, 0.092153, 0.0976746, 0.103226, 0.10769, 0.115498, 0.119201, 0.127009, 0.132342, 0.136715, 0.142545, 0.147859, 0.154766, 0.162825, 0.172302, 0.179898, 0.19509, 0.205625");
-            values ( \
-              "0.021608, 0.249014, 0.273547, 0.281793, 0.281403, 0.277376, 0.263307, 0.242103, 0.21593, 0.160594, 0.137603, 0.097472, 0.0763574, 0.0623189, 0.0471722, 0.0365123, 0.0260312, 0.0173823, 0.010858, 0.00741867, 0.00333923, 0.00222653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186281");
-            index_3 ("0.0690764, 0.0729795, 0.0766218, 0.0830964, 0.0853967, 0.0899972, 0.0917189, 0.0951623, 0.10138, 0.111934, 0.125747, 0.133315, 0.144939, 0.162367, 0.176478, 0.187233, 0.196483, 0.203689, 0.215559, 0.229728, 0.242484, 0.265902, 0.286215, 0.312478");
-            values ( \
-              "0.0882986, 0.255528, 0.297836, 0.335609, 0.342482, 0.34875, 0.349576, 0.348791, 0.343514, 0.327402, 0.298883, 0.278676, 0.237782, 0.169808, 0.124308, 0.0962164, 0.0765262, 0.0635839, 0.0464375, 0.0315364, 0.0220418, 0.0113192, 0.00621323, 0.0031644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042139");
-            index_3 ("0.0700907, 0.0762834, 0.0788648, 0.0829212, 0.0883008, 0.0928815, 0.100332, 0.108706, 0.119283, 0.152306, 0.175456, 0.196434, 0.206036, 0.215772, 0.249975, 0.272287, 0.28582, 0.309977, 0.331556, 0.3452, 0.36288, 0.374617, 0.390267, 0.419073, 0.432234, 0.452079, 0.478539, 0.531459, 0.610812, 0.690164");
-            values ( \
-              "0.174333, 0.316053, 0.339565, 0.365742, 0.384163, 0.391633, 0.394169, 0.391057, 0.383039, 0.352738, 0.328888, 0.302991, 0.288386, 0.271725, 0.20616, 0.165899, 0.143658, 0.108879, 0.0837198, 0.0703626, 0.0557994, 0.0476998, 0.0385336, 0.0257203, 0.0213716, 0.0161193, 0.0109824, 0.00491661, 0.00130657, 0.000357134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0953233");
-            index_3 ("0.0713928, 0.0816841, 0.0872563, 0.0932142, 0.102758, 0.113665, 0.123529, 0.199136, 0.29336, 0.326862, 0.348613, 0.392115, 0.496744, 0.568775, 0.600764, 0.635588, 0.68202, 0.704252, 0.741719, 0.778135, 0.828929, 0.890277, 0.96963, 1.04898, 1.12833, 1.28704");
-            values ( \
-              "0.29274, 0.374915, 0.400231, 0.413507, 0.419721, 0.419093, 0.415632, 0.383488, 0.340219, 0.321855, 0.307997, 0.274786, 0.182598, 0.127206, 0.106724, 0.0873695, 0.0660865, 0.0575931, 0.0455276, 0.0360094, 0.0258061, 0.0171674, 0.00994688, 0.00575088, 0.00329704, 0.00108173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.215632");
-            index_3 ("0.0777705, 0.0777905, 0.196526, 0.392366, 0.537802, 0.623598, 0.685728, 0.746199, 0.819651, 1.02623, 1.1822, 1.30086, 1.37023, 1.44958, 1.55719, 1.63412, 1.71347, 1.79205, 1.883, 2.0417, 2.20041, 2.43847, 2.75587");
-            values ( \
-              "1e-22, 0.461556, 0.419137, 0.380726, 0.350535, 0.330113, 0.312508, 0.292679, 0.265038, 0.18234, 0.127699, 0.0944767, 0.078545, 0.0629974, 0.0463767, 0.037144, 0.0292998, 0.0231682, 0.0176085, 0.0108371, 0.00663668, 0.00323301, 0.00122828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.105251, 0.112745, 0.117846, 0.119545, 0.123009, 0.126457, 0.129905, 0.131963, 0.138299, 0.142584, 0.148761, 0.156075, 0.164293, 0.175456, 0.183981, 0.184462");
-            values ( \
-              "0.0674975, 0.151875, 0.181437, 0.186868, 0.191777, 0.188462, 0.177214, 0.164128, 0.106353, 0.0775867, 0.0486079, 0.0278676, 0.0149777, 0.00659519, 0.00349464, 0.00341714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823482");
-            index_3 ("0.100817, 0.119258, 0.121563, 0.126173, 0.1288, 0.132435, 0.137832, 0.141836, 0.167053, 0.175555, 0.186758, 0.203798, 0.217103, 0.226024");
-            values ( \
-              "0.00447908, 0.252609, 0.263831, 0.275201, 0.276246, 0.273447, 0.262302, 0.248602, 0.0987029, 0.0668583, 0.039145, 0.0168809, 0.0086986, 0.00674556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186281");
-            index_3 ("0.108133, 0.112196, 0.118226, 0.121938, 0.126473, 0.131949, 0.138796, 0.146549, 0.161778, 0.168338, 0.173645, 0.184259, 0.201847, 0.214823, 0.226778, 0.234261, 0.254581, 0.271509, 0.283536, 0.298375, 0.31816, 0.353422, 0.394838");
-            values ( \
-              "0.111205, 0.219048, 0.290562, 0.317703, 0.336713, 0.3458, 0.345099, 0.336107, 0.308604, 0.293264, 0.278575, 0.241665, 0.172484, 0.130087, 0.0979342, 0.0815356, 0.0480709, 0.0302922, 0.0215899, 0.0141638, 0.00792794, 0.00256703, 0.000649377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042139");
-            index_3 ("0.106062, 0.11597, 0.117067, 0.122993, 0.127956, 0.133145, 0.140255, 0.146421, 0.152566, 0.1623, 0.192713, 0.215799, 0.238462, 0.249186, 0.261442, 0.29937, 0.321819, 0.347141, 0.36026, 0.381145, 0.401736, 0.419614, 0.443452, 0.463766, 0.490976, 0.527256, 0.597165, 0.676517");
-            values ( \
-              "0.0176934, 0.288757, 0.302034, 0.353401, 0.376271, 0.387947, 0.392809, 0.391607, 0.388065, 0.38057, 0.352572, 0.328884, 0.300624, 0.283745, 0.26205, 0.189294, 0.150606, 0.112995, 0.0966734, 0.074452, 0.0568629, 0.0447481, 0.0322045, 0.0242011, 0.0164406, 0.00967048, 0.0031613, 0.000853028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0953233");
-            index_3 ("0.11367, 0.131001, 0.142486, 0.149074, 0.168357, 0.287195, 0.333882, 0.36671, 0.389088, 0.433844, 0.537487, 0.611092, 0.674155, 0.717962, 0.749926, 0.787208, 0.836916, 0.887631, 0.96445, 1.0438, 1.12316, 1.18361");
-            values ( \
-              "0.399246, 0.403824, 0.418455, 0.419381, 0.413976, 0.362424, 0.340189, 0.322228, 0.307999, 0.273741, 0.182374, 0.125945, 0.0883514, 0.0679596, 0.0557964, 0.0441046, 0.0319318, 0.0228755, 0.0136693, 0.00792194, 0.00455171, 0.00361687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.215632");
-            index_3 ("0.11961, 0.11963, 0.238153, 0.43284, 0.578277, 0.664073, 0.726203, 0.786674, 0.860126, 1.06671, 1.22268, 1.34134, 1.41071, 1.49006, 1.59766, 1.67459, 1.75394, 1.86284, 2.00282, 2.16152, 2.32023, 2.55829, 2.79634");
-            values ( \
-              "1e-22, 0.47153, 0.418876, 0.380691, 0.3505, 0.330078, 0.312544, 0.292644, 0.265073, 0.182373, 0.127732, 0.0945058, 0.0785162, 0.0630265, 0.046406, 0.037116, 0.0293285, 0.0212055, 0.0138763, 0.00853651, 0.00523636, 0.0024861, 0.00120325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.177904, 0.180499, 0.184096, 0.191173, 0.192883, 0.196305, 0.198886, 0.201064, 0.20485, 0.208635, 0.210597, 0.218486, 0.223774, 0.22708, 0.229803, 0.232568, 0.236337, 0.240022, 0.244936, 0.250246, 0.253427, 0.262179, 0.269104, 0.278974");
-            values ( \
-              "0.0340365, 0.0476762, 0.0791948, 0.131971, 0.142204, 0.159813, 0.168252, 0.172348, 0.174044, 0.166634, 0.157508, 0.0957986, 0.0649263, 0.0508835, 0.0416627, 0.0339221, 0.0255866, 0.019475, 0.0135055, 0.00860632, 0.00689735, 0.00393103, 0.00250761, 0.00130984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823482");
-            index_3 ("0.179684, 0.187591, 0.192178, 0.195602, 0.199195, 0.205238, 0.210987, 0.217172, 0.220433, 0.222824, 0.227606, 0.237825, 0.243849, 0.248917, 0.255909, 0.260317, 0.268027, 0.272048, 0.280092, 0.286455, 0.29705, 0.311177, 0.319083");
-            values ( \
-              "0.0468902, 0.138614, 0.184483, 0.212355, 0.235025, 0.255702, 0.260271, 0.252243, 0.243321, 0.234347, 0.209195, 0.141299, 0.109232, 0.0870876, 0.0636196, 0.0518465, 0.0360069, 0.0296579, 0.0199356, 0.0145697, 0.00858762, 0.00412609, 0.00306921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186281");
-            index_3 ("0.187451, 0.199551, 0.201133, 0.202714, 0.204296, 0.205878, 0.207022, 0.209311, 0.210456, 0.212528, 0.2146, 0.216673, 0.219669, 0.220592, 0.222439, 0.224286, 0.229174, 0.233371, 0.235939, 0.242318, 0.247294, 0.248183, 0.249072, 0.250035, 0.250997, 0.252012, 0.253026, 0.256565, 0.260103, 0.265651, 0.271896, 0.277577, 0.287833, 0.289404, 0.294117, 0.300193, 0.303231, 0.306269, 0.313774, 0.318873, 0.321422, 0.326521, 0.329071, 0.334096, 0.337554, 0.341011, 0.34434, 0.350869, 0.35993, 0.367955");
-            values ( \
-              "0.27051, 0.284063, 0.294534, 0.303624, 0.311332, 0.317659, 0.320818, 0.326082, 0.328188, 0.330135, 0.331582, 0.332528, 0.33301, 0.332947, 0.331598, 0.329947, 0.323961, 0.317916, 0.313806, 0.302614, 0.291416, 0.287663, 0.283183, 0.281908, 0.28031, 0.278276, 0.275882, 0.265023, 0.253166, 0.233051, 0.208173, 0.186471, 0.149383, 0.144392, 0.130097, 0.113167, 0.105336, 0.0979267, 0.0809823, 0.0711421, 0.0667503, 0.0585895, 0.0548205, 0.0479992, 0.0435084, 0.0393601, 0.0359385, 0.0301107, 0.0235003, 0.0182847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042139");
-            index_3 ("0.171259, 0.21166, 0.220005, 0.228557, 0.237814, 0.267864, 0.295205, 0.317846, 0.337186, 0.386, 0.408043, 0.447942, 0.481359, 0.516277, 0.5414, 0.573782, 0.616959, 0.667976");
-            values ( \
-              "0.00655883, 0.369058, 0.38218, 0.383607, 0.379041, 0.356023, 0.328695, 0.300832, 0.268912, 0.176321, 0.139684, 0.0872348, 0.0566353, 0.0354338, 0.0247367, 0.0155686, 0.00834819, 0.00414055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0953233");
-            index_3 ("0.185016, 0.199586, 0.209163, 0.213707, 0.22217, 0.230792, 0.234769, 0.269487, 0.320613, 0.378083, 0.415618, 0.460206, 0.485483, 0.519185, 0.639846, 0.694509, 0.750486, 0.780416, 0.829998, 0.850839, 0.889814, 0.944593, 1.00208, 1.0462, 1.12555, 1.2049, 1.28425, 1.44296");
-            values ( \
-              "0.150995, 0.320374, 0.380922, 0.395279, 0.409345, 0.413004, 0.413138, 0.404131, 0.382808, 0.357199, 0.339032, 0.313626, 0.295939, 0.268669, 0.163544, 0.123314, 0.0899829, 0.0753401, 0.055562, 0.0487535, 0.0379785, 0.0265135, 0.0181255, 0.0134714, 0.00779519, 0.00448925, 0.00258174, 0.000850465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.215632");
-            index_3 ("0.19952, 0.19954, 0.330146, 0.512356, 0.657811, 0.743606, 0.805737, 0.866207, 0.939659, 1.14624, 1.22285, 1.30221, 1.42087, 1.49024, 1.56959, 1.67719, 1.75412, 1.83347, 1.94237, 2.08235, 2.24105, 2.39976, 2.63781, 2.87587");
-            values ( \
-              "1e-22, 0.471301, 0.416421, 0.380674, 0.350479, 0.330058, 0.312563, 0.292625, 0.265092, 0.182388, 0.154146, 0.127747, 0.0945168, 0.0785058, 0.063037, 0.0464161, 0.0371067, 0.0293382, 0.0211976, 0.0138687, 0.00852908, 0.00522907, 0.00249324, 0.00119644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.321739, 0.328034, 0.329996, 0.35122, 0.356747, 0.361253, 0.365667, 0.370079, 0.378358, 0.384988, 0.391427, 0.398251, 0.407174, 0.418088, 0.429634");
-            values ( \
-              "0.0138357, 0.0272106, 0.0344132, 0.124588, 0.141337, 0.148809, 0.148345, 0.133735, 0.0786532, 0.0495649, 0.0314437, 0.0192585, 0.0101581, 0.0047987, 0.00299231" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823482");
-            index_3 ("0.332844, 0.344685, 0.350945, 0.351723, 0.35406, 0.357175, 0.358733, 0.360835, 0.361923, 0.363556, 0.364644, 0.365732, 0.366821, 0.367909, 0.369492, 0.371075, 0.372658, 0.374241, 0.375821, 0.377401, 0.378981, 0.380561, 0.380918, 0.381631, 0.382344, 0.38377, 0.384246, 0.386005, 0.389524, 0.393043, 0.394803, 0.396585, 0.398956, 0.400989, 0.401666, 0.404377, 0.407087, 0.410832, 0.413688, 0.415592, 0.417496, 0.420752, 0.42238, 0.424008, 0.428531, 0.431722, 0.433318, 0.436509, 0.439351, 0.444727");
-            values ( \
-              "0.120175, 0.13033, 0.169073, 0.173492, 0.186213, 0.201185, 0.208147, 0.216987, 0.221268, 0.227114, 0.23031, 0.232991, 0.235155, 0.236804, 0.236049, 0.235072, 0.233872, 0.23245, 0.230808, 0.228944, 0.226859, 0.224552, 0.223627, 0.221081, 0.218268, 0.21177, 0.209444, 0.199765, 0.17938, 0.157627, 0.146238, 0.136758, 0.123276, 0.112848, 0.10957, 0.0977375, 0.0869092, 0.0736001, 0.0639983, 0.0587643, 0.0538692, 0.0463014, 0.0428758, 0.0396891, 0.0316832, 0.02701, 0.0250854, 0.02156, 0.0188384, 0.0143417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186281");
-            index_3 ("0.324435, 0.330954, 0.335298, 0.359987, 0.366995, 0.377978, 0.388606, 0.399482, 0.406919, 0.455376, 0.47367, 0.497159, 0.519371, 0.553543, 0.562064");
-            values ( \
-              "0.0362648, 0.0568837, 0.0825339, 0.261477, 0.294056, 0.316342, 0.315374, 0.301171, 0.285964, 0.121339, 0.0780266, 0.0422202, 0.0228068, 0.00811861, 0.00692995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042139");
-            index_3 ("0.330892, 0.36259, 0.367934, 0.378622, 0.389007, 0.399258, 0.409412, 0.430588, 0.453009, 0.475798, 0.498645, 0.550201, 0.576699, 0.606333, 0.645128, 0.66477, 0.690959, 0.739014, 0.777739, 0.816447");
-            values ( \
-              "0.0629036, 0.307759, 0.335252, 0.365556, 0.37471, 0.372808, 0.367147, 0.34944, 0.327246, 0.299173, 0.261485, 0.165484, 0.124351, 0.0873079, 0.0527796, 0.040474, 0.0280883, 0.0142002, 0.00801992, 0.00506167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0953233");
-            index_3 ("0.330981, 0.359868, 0.366086, 0.370418, 0.379083, 0.38918, 0.398973, 0.411096, 0.428197, 0.478796, 0.574084, 0.606941, 0.627111, 0.667452, 0.775268, 0.799365, 0.847559, 0.879254, 0.913752, 0.95975, 0.988058, 1.02103, 1.05717, 1.1077, 1.16821, 1.24756, 1.32692, 1.40627, 1.56497");
-            values ( \
-              "0.0513374, 0.29911, 0.340445, 0.361958, 0.38961, 0.404331, 0.408155, 0.407194, 0.401941, 0.381132, 0.33888, 0.320926, 0.307974, 0.277422, 0.18256, 0.162854, 0.126998, 0.106721, 0.0875351, 0.066391, 0.0557392, 0.0452868, 0.0358854, 0.0257552, 0.0172287, 0.00998954, 0.00576904, 0.00331418, 0.00109024" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.215632");
-            index_3 ("0.346935, 0.375519, 0.38526, 0.396707, 0.408678, 0.422712, 0.442324, 0.623666, 0.816007, 0.901782, 0.963912, 1.02438, 1.09783, 1.30442, 1.38103, 1.46038, 1.57904, 1.64841, 1.76363, 1.83536, 1.91229, 1.99165, 2.10054, 2.24052, 2.39922, 2.55793, 2.79598, 3.03404");
-            values ( \
-              "0.354286, 0.393113, 0.414612, 0.424515, 0.42706, 0.426148, 0.423166, 0.389968, 0.350471, 0.330054, 0.312567, 0.292621, 0.265096, 0.182393, 0.154142, 0.127752, 0.0945207, 0.0785023, 0.0569977, 0.0464201, 0.0371034, 0.029342, 0.0211946, 0.0138657, 0.00852607, 0.00522606, 0.00249631, 0.00119352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.623463, 0.633635, 0.640721, 0.645065, 0.656798, 0.670866, 0.677549, 0.683583, 0.689275, 0.694971, 0.706302, 0.71324, 0.720904, 0.724811, 0.730968, 0.736598, 0.742918, 0.757649, 0.765996");
-            values ( \
-              "0.00597384, 0.012896, 0.0226655, 0.0315553, 0.0618496, 0.0920248, 0.104319, 0.112907, 0.117322, 0.112396, 0.0617768, 0.0400431, 0.0242967, 0.0187642, 0.0124616, 0.00861574, 0.00578833, 0.0023736, 0.00163815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823482");
-            index_3 ("0.625025, 0.639275, 0.643897, 0.648557, 0.673195, 0.681393, 0.686118, 0.691292, 0.698931, 0.706358, 0.713771, 0.723304, 0.72676, 0.73071, 0.737359, 0.743739, 0.747668, 0.753987, 0.761031, 0.769652, 0.772715, 0.780208, 0.788771, 0.805898, 0.806214");
-            values ( \
-              "0.0112251, 0.0288303, 0.0397295, 0.0532235, 0.13634, 0.162061, 0.175652, 0.188408, 0.199929, 0.200065, 0.180468, 0.131119, 0.114858, 0.0979395, 0.0738995, 0.0558762, 0.0467361, 0.0348556, 0.0249913, 0.0164858, 0.0142501, 0.00997635, 0.00661392, 0.0028421, 0.00281572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186281");
-            index_3 ("0.649649, 0.669737, 0.673689, 0.678432, 0.681086, 0.683741, 0.68905, 0.6921, 0.69515, 0.698199, 0.701249, 0.704156, 0.707063, 0.708031, 0.711041, 0.714051, 0.716962, 0.719872, 0.722783, 0.725693, 0.728603, 0.731513, 0.734423, 0.737649, 0.738598, 0.740283, 0.742811, 0.74534, 0.748711, 0.751202, 0.758724, 0.76453, 0.77283, 0.775791, 0.778752, 0.781712, 0.787633, 0.792612, 0.797225, 0.800684, 0.804142, 0.807601, 0.812847, 0.821162, 0.825766, 0.827301, 0.83344, 0.839579, 0.84711, 0.853293");
-            values ( \
-              "0.146568, 0.150707, 0.168842, 0.192212, 0.203541, 0.214352, 0.234422, 0.245015, 0.254924, 0.264151, 0.272694, 0.279376, 0.284984, 0.286578, 0.287245, 0.287399, 0.287058, 0.286237, 0.284936, 0.283154, 0.280409, 0.27709, 0.273196, 0.268207, 0.266271, 0.261875, 0.254496, 0.2467, 0.235657, 0.22701, 0.198744, 0.177736, 0.148815, 0.139581, 0.130767, 0.12241, 0.106886, 0.0951386, 0.0847942, 0.0774021, 0.0711395, 0.0652546, 0.0572592, 0.0455091, 0.0400424, 0.0383712, 0.0327077, 0.0277189, 0.0226134, 0.0187613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042139");
-            index_3 ("0.630927, 0.646714, 0.655073, 0.697975, 0.714462, 0.730022, 0.746555, 0.757488, 0.792049, 0.829212, 0.867227, 0.893983, 0.934144, 0.972775, 1.00132, 1.02668, 1.07229, 1.11176, 1.13978");
-            values ( \
-              "0.0287778, 0.0636553, 0.0955738, 0.302729, 0.346049, 0.357821, 0.352999, 0.345665, 0.312404, 0.255181, 0.183257, 0.138689, 0.0865058, 0.0525127, 0.0356469, 0.0249874, 0.013059, 0.00729004, 0.00535651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0953233");
-            index_3 ("0.64634, 0.671142, 0.692545, 0.704216, 0.717317, 0.736217, 0.748768, 0.760779, 0.804957, 0.851271, 0.888045, 0.925024, 0.953457, 1.00779, 1.08989, 1.11751, 1.15185, 1.19539, 1.21594, 1.25169, 1.2767, 1.30901, 1.32722, 1.3515, 1.40006, 1.43943, 1.47926, 1.53237, 1.61172, 1.69107, 1.77043, 1.92913");
-            values ( \
-              "0.095979, 0.180924, 0.299491, 0.349618, 0.381562, 0.398855, 0.398723, 0.396696, 0.38069, 0.36106, 0.344188, 0.325003, 0.307105, 0.265472, 0.192475, 0.169398, 0.143075, 0.113044, 0.100743, 0.0821653, 0.0707073, 0.0579891, 0.0517511, 0.0443757, 0.0323992, 0.0250134, 0.0192157, 0.0134342, 0.00777156, 0.00447728, 0.00257302, 0.000846976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.215632");
-            index_3 ("0.64612, 0.696256, 0.705422, 0.723818, 0.729758, 0.737678, 0.753246, 0.768435, 0.792458, 0.821709, 1.00752, 1.1426, 1.2158, 1.26382, 1.29101, 1.3454, 1.42476, 1.63211, 1.71004, 1.78939, 1.84099, 1.90392, 1.97407, 2.05342, 2.09064, 2.16508, 2.24106, 2.32041, 2.38261, 2.42683, 2.48578, 2.56514, 2.64449, 2.72384, 2.88255, 2.9619, 3.1206, 3.35866");
-            values ( \
-              "0.0695202, 0.326214, 0.364925, 0.407069, 0.412991, 0.417897, 0.421606, 0.421196, 0.418071, 0.413049, 0.377769, 0.349918, 0.332836, 0.320555, 0.312561, 0.294705, 0.265165, 0.18215, 0.153439, 0.127144, 0.111805, 0.095063, 0.078793, 0.0632884, 0.056998, 0.0460625, 0.0369106, 0.0291935, 0.0242464, 0.0212388, 0.0177797, 0.0139653, 0.0109584, 0.00858692, 0.00526265, 0.00411811, 0.00251742, 0.00120024" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0032876, 0.00328805, 0.00328859, 0.003289, 0.00328925, 0.00328937", \
-            "0.00417739, 0.00417728, 0.00417733, 0.00417751, 0.00417768, 0.00417779", \
-            "0.00483881, 0.00483861, 0.00483834, 0.00483815, 0.00483808, 0.00483807", \
-            "0.00537339, 0.00537328, 0.00537311, 0.00537292, 0.00537277, 0.00537268", \
-            "0.00571372, 0.00571361, 0.00571347, 0.00571332, 0.00571319, 0.0057131", \
-            "0.00595262, 0.0059526, 0.00595253, 0.00595241, 0.00595227, 0.00595216" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.00513115, 0.00512995, 0.00512917, 0.00512891, 0.00512886, 0.00512887", \
-            "0.00578623, 0.00576858, 0.00578171, 0.00578243, 0.00578067, 0.00577973", \
-            "0.00589824, 0.00589729, 0.00589615, 0.00589501, 0.00589414, 0.00589362", \
-            "0.00631119, 0.00633296, 0.00634318, 0.00634808, 0.0063521, 0.00635436", \
-            "0.00603501, 0.00603538, 0.00608205, 0.00613192, 0.00614745, 0.00615462", \
-            "0.00569064, 0.00569004, 0.00569013, 0.0057039, 0.00576951, 0.005792" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0607347, 0.0695446, 0.0717409, 0.0759323, 0.0830595, 0.0909648, 0.0946686, 0.0983685, 0.102398, 0.112185, 0.115564, 0.120974, 0.126927");
-            values ( \
-              "-0.00152377, -0.0728991, -0.0969821, -0.123142, -0.154666, -0.175593, -0.178807, -0.17506, -0.151221, -0.0503817, -0.0309887, -0.0133012, -0.00560591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00823482");
-            index_3 ("0.0605264, 0.0822905, 0.0916558, 0.0975313, 0.103113, 0.10858, 0.114042, 0.116568, 0.12017, 0.131176, 0.136719, 0.144169, 0.152129, 0.159166, 0.162988");
-            values ( \
-              "-0.0120878, -0.203273, -0.244235, -0.260701, -0.270269, -0.272725, -0.262269, -0.250581, -0.22339, -0.105885, -0.0653875, -0.0324271, -0.0149108, -0.00727945, -0.00555546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0186281");
-            index_3 ("0.0607106, 0.082167, 0.085231, 0.0968604, 0.10706, 0.116563, 0.125801, 0.134995, 0.144484, 0.148654, 0.15342, 0.169095, 0.176729, 0.184094, 0.194421, 0.201457, 0.212837, 0.22801, 0.238548");
-            values ( \
-              "-0.00320121, -0.238439, -0.259472, -0.314639, -0.343892, -0.360521, -0.366514, -0.363429, -0.342939, -0.326209, -0.296772, -0.169847, -0.121031, -0.0851976, -0.0506869, -0.0352226, -0.0192706, -0.00819908, -0.00522547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.042139");
-            index_3 ("0.0605909, 0.0836194, 0.0977586, 0.108869, 0.11754, 0.132133, 0.142266, 0.15284, 0.170116, 0.187412, 0.198075, 0.206276, 0.222678, 0.251574, 0.265737, 0.284214, 0.30288, 0.317242, 0.332175, 0.343613, 0.36649, 0.408196, 0.457572");
-            values ( \
-              "-0.00193795, -0.271108, -0.351214, -0.389714, -0.409859, -0.430883, -0.437899, -0.440626, -0.437256, -0.423822, -0.409135, -0.39326, -0.339769, -0.205436, -0.15218, -0.0997792, -0.0636815, -0.0445043, -0.0305676, -0.0228163, -0.0124772, -0.00373538, -0.000819352" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0953233");
-            index_3 ("0.0727002, 0.0901313, 0.0997189, 0.107847, 0.120581, 0.134546, 0.15153, 0.169153, 0.19275, 0.210075, 0.228242, 0.263873, 0.273696, 0.302095, 0.342015, 0.350165, 0.366465, 0.387549, 0.424003, 0.447044, 0.470566, 0.501928, 0.513822, 0.536328, 0.556969, 0.585859, 0.597313, 0.620221, 0.666038, 0.731174, 0.79631, 0.861446");
-            values ( \
-              "-0.268121, -0.328078, -0.377227, -0.407168, -0.441621, -0.463903, -0.479672, -0.48609, -0.486956, -0.484202, -0.479387, -0.466821, -0.462222, -0.446559, -0.412558, -0.402252, -0.376161, -0.331405, -0.247142, -0.199756, -0.158465, -0.114156, -0.100453, -0.0785326, -0.062312, -0.0447859, -0.0392517, -0.0300952, -0.0173922, -0.00776143, -0.00341141, -0.00153471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.215632");
-            index_3 ("0.0739687, 0.107877, 0.124962, 0.151674, 0.187059, 0.210816, 0.240734, 0.317319, 0.47605, 0.559547, 0.648016, 0.713151, 0.804263, 0.909296, 0.95983, 1.03451, 1.08463, 1.12827, 1.19234, 1.26611, 1.39638, 1.52666, 1.59031");
-            values ( \
-              "-0.28945, -0.419326, -0.463736, -0.497957, -0.512225, -0.512368, -0.51219, -0.50266, -0.475503, -0.455089, -0.42068, -0.373294, -0.27801, -0.179769, -0.142845, -0.0999236, -0.0780997, -0.0630054, -0.0450539, -0.0306762, -0.0153205, -0.00756158, -0.00614306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0787001, 0.0957824, 0.0973749, 0.101885, 0.10597, 0.109793, 0.113497, 0.117196, 0.121224, 0.126647, 0.131416, 0.134887, 0.137141, 0.139999, 0.143811, 0.149259, 0.155078");
-            values ( \
-              "-0.00126607, -0.127622, -0.136161, -0.154661, -0.166669, -0.175695, -0.178762, -0.175185, -0.1514, -0.0894449, -0.0474119, -0.0288619, -0.0200368, -0.0127871, -0.00730747, -0.00277394, -0.00190459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00823482");
-            index_3 ("0.0792555, 0.100536, 0.110466, 0.116336, 0.121916, 0.12738, 0.132841, 0.135387, 0.138968, 0.15022, 0.155389, 0.161322, 0.169662, 0.176325, 0.182086");
-            values ( \
-              "-0.00891088, -0.200541, -0.244514, -0.260729, -0.270436, -0.272734, -0.262367, -0.250512, -0.223419, -0.103762, -0.0661314, -0.0380285, -0.016905, -0.00859842, -0.00546841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0186281");
-            index_3 ("0.0794077, 0.101064, 0.104101, 0.115713, 0.125908, 0.135404, 0.14464, 0.153831, 0.163319, 0.167496, 0.17227, 0.187909, 0.195585, 0.202928, 0.213196, 0.220285, 0.231739, 0.247012, 0.25731");
-            values ( \
-              "-0.000616138, -0.239226, -0.260049, -0.314958, -0.344071, -0.360666, -0.366588, -0.363501, -0.342968, -0.326204, -0.296683, -0.169991, -0.120903, -0.0851969, -0.0508402, -0.0352334, -0.0191975, -0.00811785, -0.00524075" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.042139");
-            index_3 ("0.0792945, 0.10492, 0.111355, 0.127391, 0.136463, 0.15166, 0.159978, 0.171761, 0.189043, 0.20633, 0.216874, 0.22519, 0.241823, 0.270199, 0.285067, 0.303235, 0.321672, 0.336088, 0.351223, 0.362839, 0.38607, 0.418266");
-            values ( \
-              "-0.00739613, -0.288791, -0.326867, -0.388851, -0.41007, -0.43161, -0.437419, -0.440733, -0.437299, -0.423851, -0.409343, -0.393272, -0.338791, -0.20666, -0.150802, -0.0995404, -0.0638818, -0.0445822, -0.0304654, -0.0226371, -0.0122533, -0.00563379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0953233");
-            index_3 ("0.0919816, 0.118821, 0.127443, 0.139235, 0.151681, 0.164498, 0.176423, 0.194357, 0.211773, 0.241096, 0.283551, 0.327906, 0.336942, 0.360982, 0.372919, 0.396793, 0.458301, 0.479472, 0.514226, 0.535878, 0.561156, 0.594861, 0.615749, 0.647784, 0.690498, 0.755634, 0.820769, 0.885905");
-            values ( \
-              "-0.264828, -0.378799, -0.409256, -0.441363, -0.461721, -0.475083, -0.482198, -0.486845, -0.486798, -0.481386, -0.46637, -0.442053, -0.43535, -0.412527, -0.396915, -0.353316, -0.214901, -0.175292, -0.122721, -0.0973061, -0.0736765, -0.0502061, -0.0395185, -0.0272467, -0.0163598, -0.00728904, -0.00321758, -0.00142652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.215632");
-            index_3 ("0.091322, 0.124345, 0.143378, 0.159682, 0.177385, 0.194763, 0.217934, 0.259136, 0.321709, 0.41401, 0.494484, 0.577936, 0.643072, 0.66649, 0.712085, 0.767617, 0.886326, 0.949905, 0.990089, 1.04221, 1.08607, 1.13503, 1.20017, 1.2499, 1.3409, 1.40603, 1.47117, 1.60144, 1.79685");
-            values ( \
-              "-0.258211, -0.410309, -0.462664, -0.487528, -0.502179, -0.50916, -0.512765, -0.511519, -0.504044, -0.489898, -0.474994, -0.454667, -0.431555, -0.420255, -0.390296, -0.337436, -0.215365, -0.162953, -0.135326, -0.105496, -0.0851105, -0.0665729, -0.0477079, -0.0368984, -0.0228987, -0.0162275, -0.0114445, -0.00568745, -0.00200208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.123691, 0.135494, 0.138219, 0.145823, 0.149905, 0.153731, 0.157436, 0.161136, 0.164844, 0.174879, 0.179233, 0.183877, 0.187578, 0.191517");
-            values ( \
-              "-0.00130757, -0.103513, -0.119563, -0.154075, -0.167064, -0.175147, -0.179189, -0.17468, -0.153107, -0.0511044, -0.0267799, -0.0131867, -0.00721109, -0.00443911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00823482");
-            index_3 ("0.123378, 0.144631, 0.154382, 0.160256, 0.165839, 0.171305, 0.176767, 0.179297, 0.182895, 0.193951, 0.199401, 0.206566, 0.216078, 0.224535, 0.224999");
-            values ( \
-              "-0.0121311, -0.201197, -0.244312, -0.260594, -0.270331, -0.272657, -0.262318, -0.250558, -0.223391, -0.105463, -0.0656346, -0.0334672, -0.0131902, -0.00549127, -0.00540192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0186281");
-            index_3 ("0.127889, 0.135238, 0.140922, 0.148069, 0.159686, 0.169879, 0.179379, 0.188614, 0.197806, 0.207294, 0.211467, 0.216237, 0.231894, 0.239548, 0.246902, 0.257202, 0.264262, 0.275678, 0.290898, 0.298667");
-            values ( \
-              "-0.0318522, -0.150846, -0.208558, -0.260103, -0.315038, -0.343926, -0.360756, -0.36648, -0.363583, -0.342934, -0.326221, -0.296736, -0.169918, -0.120972, -0.0851987, -0.0507612, -0.0352286, -0.0192364, -0.00816115, -0.00597843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.042139");
-            index_3 ("0.133406, 0.143308, 0.149009, 0.158919, 0.164511, 0.171967, 0.180515, 0.196363, 0.202715, 0.215827, 0.228853, 0.24145, 0.250681, 0.268431, 0.270832, 0.280434, 0.290307, 0.307111, 0.317687, 0.328396, 0.342676, 0.348248, 0.35876, 0.368161, 0.386523, 0.396895, 0.417639, 0.456473, 0.501617");
-            values ( \
-              "-0.214922, -0.244003, -0.290654, -0.343707, -0.366595, -0.390549, -0.410058, -0.432425, -0.436846, -0.440782, -0.438839, -0.431897, -0.423223, -0.39505, -0.389598, -0.360428, -0.318049, -0.237624, -0.192297, -0.152933, -0.110496, -0.097006, -0.0754734, -0.0599913, -0.0379066, -0.0291338, -0.0169599, -0.00564108, -0.00148049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0953233");
-            index_3 ("0.133029, 0.155408, 0.162708, 0.174612, 0.183483, 0.19909, 0.220314, 0.230941, 0.252197, 0.262569, 0.291142, 0.32063, 0.341016, 0.36499, 0.404856, 0.416813, 0.43217, 0.487135, 0.510004, 0.533362, 0.564506, 0.576653, 0.599621, 0.620138, 0.660231, 0.682885, 0.728193, 0.793329, 0.858465, 0.923601");
-            values ( \
-              "-0.187632, -0.343279, -0.377714, -0.420725, -0.441333, -0.466918, -0.48271, -0.485535, -0.487381, -0.48614, -0.479604, -0.469126, -0.459991, -0.446646, -0.412628, -0.397014, -0.370851, -0.246628, -0.199664, -0.158651, -0.114547, -0.100549, -0.078188, -0.0621402, -0.0392593, -0.0301737, -0.0175662, -0.00782516, -0.00345444, -0.00153918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.215632");
-            index_3 ("0.14189, 0.174527, 0.191597, 0.214061, 0.227232, 0.251116, 0.276721, 0.341108, 0.379796, 0.444932, 0.538528, 0.603663, 0.658746, 0.710493, 0.775629, 0.931875, 0.99238, 1.08591, 1.13104, 1.1797, 1.24484, 1.29379, 1.38317, 1.44831, 1.57858, 1.64905");
-            values ( \
-              "-0.402059, -0.432109, -0.470507, -0.497034, -0.505102, -0.51171, -0.513163, -0.507426, -0.501974, -0.492172, -0.474939, -0.459894, -0.442882, -0.420174, -0.373809, -0.213958, -0.164084, -0.105611, -0.0846627, -0.0664884, -0.0474819, -0.0370298, -0.0232093, -0.0163071, -0.00809551, -0.00643129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.21314, 0.224462, 0.230336, 0.241142, 0.247147, 0.254301, 0.258192, 0.262375, 0.272661, 0.276202, 0.281701, 0.285867, 0.292663, 0.293771");
-            values ( \
-              "-0.00212031, -0.0454525, -0.0858259, -0.137398, -0.156959, -0.16912, -0.167429, -0.146345, -0.0477299, -0.0286532, -0.0124209, -0.00645013, -0.00219314, -0.00208291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00823482");
-            index_3 ("0.215305, 0.224135, 0.227818, 0.235464, 0.24002, 0.243913, 0.248878, 0.257045, 0.262873, 0.268517, 0.274159, 0.278263, 0.291987, 0.296489, 0.302583, 0.306186, 0.312186, 0.320187, 0.331414, 0.345142");
-            values ( \
-              "-0.00166241, -0.065539, -0.0852242, -0.148774, -0.178861, -0.199615, -0.221369, -0.247922, -0.260487, -0.265151, -0.257162, -0.237042, -0.10061, -0.0682052, -0.0387864, -0.0274934, -0.0152995, -0.00673584, -0.00206748, -0.000587966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0186281");
-            index_3 ("0.218595, 0.223739, 0.227659, 0.228675, 0.230707, 0.239635, 0.244017, 0.249176, 0.256416, 0.260422, 0.267028, 0.275067, 0.276812, 0.280302, 0.286191, 0.295495, 0.305063, 0.308784, 0.311977, 0.315671, 0.328199, 0.333532, 0.337126, 0.344777, 0.348203, 0.355055, 0.362155, 0.365978, 0.373625, 0.388384");
-            values ( \
-              "-0.0504112, -0.0758704, -0.103519, -0.108479, -0.124256, -0.205451, -0.236099, -0.26522, -0.297066, -0.312423, -0.33288, -0.350042, -0.352707, -0.357125, -0.361482, -0.359758, -0.34079, -0.326719, -0.309544, -0.283273, -0.180915, -0.143982, -0.122405, -0.0850815, -0.0718967, -0.0507889, -0.0351937, -0.0288191, -0.0191718, -0.00849582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.042139");
-            index_3 ("0.21856, 0.244533, 0.248852, 0.25723, 0.262453, 0.269913, 0.277622, 0.293039, 0.301436, 0.313287, 0.330676, 0.348007, 0.357003, 0.36683, 0.385707, 0.402559, 0.415464, 0.426646, 0.434643, 0.444939, 0.453179, 0.463429, 0.477833, 0.49292, 0.504494, 0.527641, 0.569414, 0.618889");
-            values ( \
-              "-0.0242635, -0.263548, -0.290209, -0.333903, -0.356497, -0.382287, -0.402188, -0.427184, -0.434135, -0.438603, -0.436128, -0.423216, -0.411325, -0.393009, -0.329021, -0.248258, -0.192237, -0.151286, -0.126476, -0.0995876, -0.0818962, -0.0638417, -0.0445599, -0.030486, -0.0226852, -0.0123018, -0.00368068, -0.000796932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0953233");
-            index_3 ("0.218337, 0.248355, 0.259302, 0.27334, 0.280713, 0.295461, 0.31147, 0.317895, 0.330744, 0.353329, 0.381641, 0.403137, 0.425135, 0.461616, 0.469311, 0.478105, 0.495694, 0.502567, 0.516312, 0.543803, 0.575229, 0.605801, 0.625272, 0.638366, 0.664555, 0.682744, 0.712141, 0.744282, 0.776433, 0.801201, 0.850738, 0.915874, 0.981009, 1.04615");
-            values ( \
-              "-0.0266363, -0.298411, -0.359712, -0.414218, -0.433782, -0.460606, -0.476593, -0.480297, -0.484815, -0.4863, -0.481465, -0.474869, -0.466314, -0.447374, -0.442171, -0.435674, -0.420009, -0.412523, -0.394214, -0.341408, -0.268216, -0.203326, -0.168181, -0.147299, -0.111844, -0.0918911, -0.0663293, -0.0459352, -0.0317184, -0.0237302, -0.0130584, -0.00579742, -0.00256567, -0.0011278" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.215632");
-            index_3 ("0.241585, 0.28504, 0.29874, 0.325146, 0.346996, 0.371824, 0.401251, 0.47782, 0.556106, 0.636551, 0.720048, 0.75677, 0.808517, 0.873653, 1.0299, 1.0904, 1.13119, 1.18393, 1.22906, 1.27773, 1.34286, 1.39181, 1.48118, 1.54632, 1.67659, 1.80686, 1.93713");
-            values ( \
-              "-0.438115, -0.455405, -0.479678, -0.50314, -0.510577, -0.512709, -0.511409, -0.50206, -0.489905, -0.475024, -0.454648, -0.4428, -0.420255, -0.373731, -0.214033, -0.16415, -0.136041, -0.105677, -0.0847253, -0.0664267, -0.0475437, -0.0369731, -0.0231543, -0.0163645, -0.00815197, -0.00404271, -0.00199804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.401796, 0.40801, 0.411163, 0.414589, 0.429473, 0.441423, 0.446345, 0.450907, 0.455325, 0.460302, 0.471218, 0.474223, 0.480212, 0.482823, 0.488045, 0.495978, 0.502679");
-            values ( \
-              "-0.0193529, -0.0228749, -0.0291386, -0.0377158, -0.0918113, -0.12701, -0.139241, -0.147622, -0.149814, -0.131885, -0.0433713, -0.0287519, -0.0120996, -0.00824158, -0.00377857, -0.00123375, -0.00105997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00823482");
-            index_3 ("0.401907, 0.407987, 0.411785, 0.417573, 0.419554, 0.423516, 0.427488, 0.434653, 0.438286, 0.445553, 0.446592, 0.448669, 0.452825, 0.453705, 0.455467, 0.458991, 0.460172, 0.462534, 0.466312, 0.472415, 0.478522, 0.478994, 0.479936, 0.48182, 0.485589, 0.488538, 0.49002, 0.492986, 0.495175, 0.495697, 0.496741, 0.498828, 0.503003, 0.505115, 0.507359, 0.510352, 0.516338, 0.523458");
-            values ( \
-              "-0.0224119, -0.0359718, -0.0430447, -0.0639803, -0.0728768, -0.0937437, -0.113267, -0.145338, -0.161262, -0.191436, -0.195575, -0.203491, -0.217904, -0.220652, -0.225845, -0.234598, -0.237042, -0.241078, -0.244989, -0.240775, -0.212551, -0.209785, -0.201659, -0.184192, -0.146078, -0.118601, -0.105988, -0.0835312, -0.0695149, -0.0664494, -0.0607419, -0.0504783, -0.0344372, -0.0282656, -0.0229022, -0.0172666, -0.00960825, -0.00541145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0186281");
-            index_3 ("0.39814, 0.410955, 0.41528, 0.416988, 0.420403, 0.42719, 0.437844, 0.448486, 0.45263, 0.459228, 0.4643, 0.47483, 0.484786, 0.494589, 0.504385, 0.507538, 0.510901, 0.515583, 0.529488, 0.534477, 0.541129, 0.54524, 0.551465, 0.557442, 0.562206, 0.565798, 0.570586, 0.580163, 0.580372");
-            values ( \
-              "-0.0245421, -0.0516123, -0.0664259, -0.0735981, -0.08883, -0.125737, -0.189436, -0.242949, -0.265497, -0.290408, -0.30812, -0.330264, -0.342581, -0.342306, -0.328149, -0.318125, -0.30383, -0.275209, -0.16985, -0.137631, -0.101896, -0.0839833, -0.0619149, -0.0457334, -0.0358997, -0.02991, -0.0233918, -0.0141512, -0.0140486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.042139");
-            index_3 ("0.4107, 0.424506, 0.44804, 0.462594, 0.475016, 0.489409, 0.50353, 0.512429, 0.530437, 0.548447, 0.5622, 0.567713, 0.577443, 0.589049, 0.6166, 0.627315, 0.647205, 0.66716, 0.685516, 0.695871, 0.716583, 0.755335, 0.800417");
-            values ( \
-              "-0.0949006, -0.124998, -0.272379, -0.342043, -0.378644, -0.404349, -0.41756, -0.421436, -0.421321, -0.410438, -0.394509, -0.38552, -0.361359, -0.315792, -0.191789, -0.152712, -0.0969402, -0.059941, -0.0379046, -0.0291221, -0.016999, -0.00565288, -0.00150726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0953233");
-            index_3 ("0.411491, 0.435494, 0.454849, 0.465025, 0.477917, 0.492376, 0.505387, 0.516801, 0.53553, 0.579124, 0.607703, 0.626129, 0.662816, 0.690043, 0.703637, 0.724106, 0.749166, 0.78528, 0.808635, 0.832456, 0.875648, 0.897308, 0.918174, 0.95898, 0.982358, 1.02911, 1.09425, 1.15939, 1.22452");
-            values ( \
-              "-0.084333, -0.201801, -0.325489, -0.371359, -0.409855, -0.438366, -0.453778, -0.462844, -0.469971, -0.475258, -0.470926, -0.464938, -0.44694, -0.42639, -0.412442, -0.383313, -0.331591, -0.248026, -0.199977, -0.158173, -0.100322, -0.07913, -0.0626655, -0.0392731, -0.0299158, -0.0171094, -0.00760962, -0.00336845, -0.00148836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.215632");
-            index_3 ("0.410944, 0.46231, 0.473507, 0.481804, 0.496494, 0.510944, 0.523654, 0.54622, 0.577142, 0.589361, 0.601453, 0.625638, 0.678134, 0.756498, 0.836972, 0.867647, 0.920424, 0.98556, 1.00898, 1.05457, 1.1101, 1.16368, 1.22881, 1.29239, 1.33258, 1.38469, 1.42856, 1.47752, 1.54266, 1.59238, 1.62271, 1.68338, 1.74851, 1.81365, 1.94392, 2.13933");
-            values ( \
-              "-0.0717288, -0.370037, -0.410426, -0.43163, -0.459516, -0.476206, -0.486104, -0.495029, -0.504995, -0.507092, -0.507883, -0.507431, -0.501842, -0.489901, -0.475004, -0.468322, -0.454677, -0.431546, -0.420263, -0.390288, -0.337445, -0.279859, -0.215374, -0.162961, -0.13532, -0.105503, -0.0851173, -0.0665671, -0.0477145, -0.0368932, -0.0315469, -0.0228939, -0.0162339, -0.0114393, -0.00568202, -0.00200776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.785293, 0.802087, 0.810234, 0.814601, 0.828694, 0.839972, 0.853275, 0.859064, 0.864612, 0.870149, 0.871557, 0.879568, 0.885997, 0.890775, 0.895289, 0.900447, 0.908966, 0.932858, 0.975189");
-            values ( \
-              "-0.00055758, -0.0150678, -0.0243551, -0.0321458, -0.0651023, -0.0872041, -0.109329, -0.116614, -0.120216, -0.112679, -0.106659, -0.0574956, -0.0273448, -0.0146928, -0.00810795, -0.00413058, -0.00151378, -0.000382151, -0.00010702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00823482");
-            index_3 ("0.789036, 0.806561, 0.814804, 0.842188, 0.856274, 0.86979, 0.877204, 0.884361, 0.891767, 0.908648, 0.916377, 0.920345, 0.927001, 0.935876, 0.943234");
-            values ( \
-              "-0.00400307, -0.0298319, -0.0458066, -0.126016, -0.162734, -0.193383, -0.205805, -0.209545, -0.189663, -0.0683139, -0.0355582, -0.0249976, -0.0136135, -0.00580863, -0.00339216" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0186281");
-            index_3 ("0.77447, 0.807006, 0.809974, 0.813106, 0.816686, 0.822826, 0.82589, 0.832018, 0.840373, 0.853581, 0.85949, 0.871309, 0.873907, 0.879103, 0.886155, 0.897292, 0.907922, 0.918534, 0.92914, 0.930073, 0.931939, 0.943135, 0.945447, 0.946878, 0.948785, 0.9526, 0.958618, 0.96033, 0.963752, 0.970598, 0.976149, 0.978181, 0.982245, 0.990372, 0.996717");
-            values ( \
-              "-0.00733055, -0.0369174, -0.0430882, -0.0507785, -0.06006, -0.0782229, -0.0890474, -0.111778, -0.142255, -0.188232, -0.208899, -0.247756, -0.25612, -0.271517, -0.290312, -0.311718, -0.320579, -0.311948, -0.272936, -0.267165, -0.254874, -0.174423, -0.159158, -0.150147, -0.138718, -0.117594, -0.0894806, -0.0825607, -0.0702206, -0.0501165, -0.0379763, -0.0342196, -0.0278693, -0.0182048, -0.0140136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.042139");
-            index_3 ("0.775827, 0.806798, 0.815247, 0.833405, 0.886009, 0.903068, 0.91486, 0.926133, 0.944664, 0.963192, 0.981718, 0.986596, 1.00397, 1.04254, 1.06259, 1.08274, 1.10117, 1.13228, 1.14743");
-            values ( \
-              "-0.00964053, -0.0443092, -0.0666791, -0.128175, -0.335351, -0.377994, -0.395666, -0.406395, -0.412785, -0.406452, -0.383058, -0.373021, -0.312664, -0.151573, -0.0962505, -0.0594587, -0.0376446, -0.0169395, -0.0125585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0953233");
-            index_3 ("0.806702, 0.835884, 0.879957, 0.888571, 0.904828, 0.918696, 0.929868, 0.949274, 0.967113, 0.996176, 1.01869, 1.04068, 1.07784, 1.10571, 1.11923, 1.13996, 1.16523, 1.20878, 1.24369, 1.26304, 1.29606, 1.31363, 1.34877, 1.37486, 1.41785, 1.47516, 1.53837");
-            values ( \
-              "-0.0882672, -0.147017, -0.336938, -0.368658, -0.411737, -0.435809, -0.448975, -0.463363, -0.46904, -0.469943, -0.465477, -0.458364, -0.441018, -0.420803, -0.407503, -0.379275, -0.328833, -0.231231, -0.166787, -0.137239, -0.0967165, -0.0797933, -0.0535895, -0.039755, -0.0240832, -0.0119745, -0.00551113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.215632");
-            index_3 ("0.806632, 0.905596, 0.936456, 0.958948, 0.984602, 1.01513, 1.09295, 1.2746, 1.31676, 1.37787, 1.4256, 1.49074, 1.64698, 1.70748, 1.80101, 1.8948, 2.00888, 2.09825, 2.16338, 2.29365, 2.34287");
-            values ( \
-              "-0.0715619, -0.428085, -0.474911, -0.490957, -0.499192, -0.501645, -0.495279, -0.469514, -0.460143, -0.44131, -0.420258, -0.373712, -0.21404, -0.164153, -0.105678, -0.0664277, -0.0369758, -0.0231578, -0.0163639, -0.00815057, -0.00698789" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.00316073, 0.00316154, 0.00316238, 0.00316296, 0.00316329, 0.00316345", \
-            "0.00375046, 0.00375085, 0.00375139, 0.00375192, 0.00375227, 0.00375245", \
-            "0.00410065, 0.00410075, 0.00410096, 0.00410125, 0.00410151, 0.00410167", \
-            "0.00429583, 0.00429585, 0.00429608, 0.00429639, 0.00429654, 0.00429664", \
-            "0.00440187, 0.00440177, 0.00440165, 0.00440172, 0.0044018, 0.00440191", \
-            "0.0044526, 0.00445262, 0.00445268, 0.00445279, 0.00445295, 0.00445309" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0043935, 0.00439486, 0.0043966, 0.00439807, 0.00439898, 0.00439944", \
-            "0.00470142, 0.00470101, 0.00470119, 0.00470173, 0.00470227, 0.00470262", \
-            "0.0048007, 0.00479844, 0.00479604, 0.00479433, 0.00479349, 0.00479315", \
-            "0.00500558, 0.00500226, 0.00499979, 0.00499165, 0.00498876, 0.00498744", \
-            "0.00546725, 0.00548827, 0.00550243, 0.00551089, 0.00551499, 0.00552179", \
-            "0.00692691, 0.00691198, 0.00685959, 0.00691204, 0.00696212, 0.00698705" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.00812456, 0.00808596, 0.00796842, 0.00781647, 0.00769896, 0.00762846", \
-            "0.00796813, 0.00794063, 0.00784184, 0.00769871, 0.00757573, 0.00749702", \
-            "0.0077741, 0.00776225, 0.0076938, 0.00756917, 0.00743918, 0.00734449", \
-            "0.00772238, 0.00771471, 0.00765516, 0.00754009, 0.00741266, 0.00729968", \
-            "0.00807705, 0.00805728, 0.00801661, 0.00792318, 0.00777201, 0.00762876", \
-            "0.00984026, 0.00975711, 0.0096511, 0.00953179, 0.00937181, 0.00917957" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0178472, 0.0179204, 0.0178898, 0.0177198, 0.0175345, 0.0174117", \
-            "0.0176922, 0.0177589, 0.0177396, 0.0175866, 0.0174121, 0.0172928", \
-            "0.0175083, 0.0175924, 0.0175936, 0.0174823, 0.01733, 0.0172195", \
-            "0.0173623, 0.0174234, 0.0174841, 0.0174612, 0.017379, 0.0172875", \
-            "0.0174701, 0.0175024, 0.0175731, 0.0176146, 0.0175936, 0.0175164", \
-            "0.0187277, 0.0187349, 0.0187839, 0.0189, 0.0188795, 0.0187961" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.00599956, 0.00621332, 0.00640376, 0.00651267, 0.00656001, 0.0065778", \
-            "0.00580264, 0.00601652, 0.00620849, 0.00634323, 0.00640212, 0.00642447", \
-            "0.00548794, 0.00565468, 0.00586865, 0.00603503, 0.00612943, 0.00616918", \
-            "0.0053799, 0.00551075, 0.00567092, 0.00587269, 0.00600392, 0.00607714", \
-            "0.00588766, 0.00594005, 0.00607618, 0.00626079, 0.00641267, 0.00651085", \
-            "0.00845109, 0.00833272, 0.00822378, 0.00824085, 0.00840771, 0.00854165" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00823482, 0.0186281, 0.042139, 0.0953233, 0.215632");
-          values ( \
-            "0.0145987, 0.0147244, 0.0148344, 0.0148812, 0.0148884, 0.0148853", \
-            "0.0144197, 0.0145398, 0.0146601, 0.0147197, 0.0147381, 0.0147421", \
-            "0.0143123, 0.0144272, 0.0145581, 0.0146498, 0.0146889, 0.0147006", \
-            "0.0147264, 0.0148113, 0.0149472, 0.0150345, 0.0150742, 0.0150987", \
-            "0.0157911, 0.0158314, 0.0159193, 0.0160007, 0.0160446, 0.0160511", \
-            "0.0187279, 0.018695, 0.0187841, 0.0187972, 0.0187438, 0.0186838" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0057339;
-      rise_capacitance : 0.00572496;
-      rise_capacitance_range (0.00427101, 0.00572496);
-      fall_capacitance : 0.0057339;
-      fall_capacitance_range (0.00385395, 0.0057339);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00333449, 0.00435539, 0.00501921, 0.0053581, 0.00551701, 0.00559356" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516266, 0.00533525, 0.00504076, 0.00479441, 0.00468422, 0.00463965" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00315145, 0.00372238, 0.0040313, 0.00419461, 0.00427804, 0.00432176" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00437492, 0.00481139, 0.00519137, 0.00551731, 0.00568051, 0.00573728" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00466205, -0.00490332, -0.00506366, -0.00510233, -0.00514983, -0.00516108" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516231, 0.00516409, 0.00515524, 0.00520263, 0.00518139, 0.00517085" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00555714;
-      rise_capacitance : 0.00555714;
-      rise_capacitance_range (0.00309276, 0.00555714);
-      fall_capacitance : 0.00549712;
-      fall_capacitance_range (0.00327897, 0.00549712);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00254739, 0.00287044, 0.00303146, 0.00311604, 0.0031548, 0.00317371" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00350443, 0.00363032, 0.00367704, 0.00368895, 0.00369627, 0.00369966" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00304554, 0.00344666, 0.00361998, 0.0037079, 0.00374727, 0.0037669" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00359213, 0.00349071, 0.00342607, 0.0033925, 0.00337708, 0.00337033" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00244601, -0.00244335, -0.00244167, -0.0024527, -0.00243991, -0.002432" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00246548, 0.00248257, 0.00248065, 0.00248541, 0.00247157, 0.00245529" \
-          );
-        }
-      }
-    }
-  }
-  cell (OR2X2) {
-    area : 15.3846;
-    cell_footprint : "OR2";
-    cell_leakage_power : 0.24067;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.017965;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.109071;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.283373;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.552271;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.24067;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A) + (B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.405131;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0687872, 0.0782176, 0.0978341, 0.142847, 0.255813, 0.545332", \
-            "0.0735893, 0.0830187, 0.102682, 0.147712, 0.260683, 0.550192", \
-            "0.0848683, 0.0942577, 0.113925, 0.158977, 0.271965, 0.561471", \
-            "0.104488, 0.114298, 0.134319, 0.179566, 0.29266, 0.582163", \
-            "0.123878, 0.134989, 0.156182, 0.201983, 0.31511, 0.60466", \
-            "0.130506, 0.144004, 0.168129, 0.21545, 0.328575, 0.618244" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0190358, 0.0287011, 0.052942, 0.117074, 0.285914, 0.721033", \
-            "0.0190316, 0.0286797, 0.0529381, 0.11707, 0.285913, 0.721033", \
-            "0.0190462, 0.028706, 0.052956, 0.11707, 0.285912, 0.721033", \
-            "0.0210896, 0.0303696, 0.0539813, 0.117421, 0.28592, 0.721042", \
-            "0.0259747, 0.0348079, 0.057161, 0.118973, 0.286532, 0.721112", \
-            "0.0335418, 0.0430509, 0.0640729, 0.122265, 0.287332, 0.721756" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.11331, 0.124222, 0.145271, 0.186246, 0.275637, 0.495572", \
-            "0.119312, 0.130196, 0.151233, 0.192247, 0.281657, 0.501712", \
-            "0.132726, 0.143621, 0.164625, 0.205678, 0.295127, 0.515123", \
-            "0.161407, 0.172286, 0.19331, 0.234478, 0.324037, 0.543938", \
-            "0.213129, 0.225006, 0.24764, 0.290518, 0.380542, 0.600737", \
-            "0.300188, 0.313761, 0.3394, 0.386296, 0.480192, 0.700668" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0221497, 0.0307368, 0.0488581, 0.0911847, 0.200508, 0.493073", \
-            "0.0221284, 0.030733, 0.0488719, 0.0911872, 0.200508, 0.493058", \
-            "0.022145, 0.0307266, 0.0488647, 0.0911477, 0.200497, 0.493051", \
-            "0.0224313, 0.031024, 0.0490847, 0.0912932, 0.200517, 0.493055", \
-            "0.0256917, 0.034614, 0.0529501, 0.0943158, 0.201855, 0.493062", \
-            "0.0320036, 0.0417506, 0.0610157, 0.102104, 0.207339, 0.494873" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0580207, 0.0693723, 0.0744767, 0.0774464, 0.0803135, 0.083175, 0.0860448, 0.089154, 0.0911448, 0.0942036, 0.0967424, 0.0992412, 0.10121, 0.104308, 0.108282, 0.111164, 0.115415, 0.117593, 0.120836, 0.125159, 0.133805, 0.148029, 0.15399");
-            values ( \
-              "0.00174728, 0.171067, 0.214167, 0.226324, 0.231898, 0.225434, 0.202375, 0.147694, 0.118111, 0.0847191, 0.0649737, 0.0505865, 0.0413487, 0.0302861, 0.0204615, 0.0155618, 0.0104391, 0.0086463, 0.00661, 0.00476617, 0.00252648, 0.00108726, 0.0009486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0093418");
-            index_3 ("0.0580458, 0.0743047, 0.081127, 0.0854618, 0.0897145, 0.0939626, 0.0970431, 0.105193, 0.110871, 0.118032, 0.125021, 0.129189, 0.139046, 0.154264, 0.167223");
-            values ( \
-              "0.00921239, 0.317027, 0.379625, 0.396056, 0.395932, 0.37783, 0.344743, 0.218213, 0.151897, 0.0949057, 0.0590521, 0.0444811, 0.0227469, 0.00843148, 0.00401498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.023973");
-            index_3 ("0.0581273, 0.0773904, 0.0826236, 0.0862001, 0.0933531, 0.0983164, 0.101656, 0.108334, 0.111418, 0.115529, 0.121608, 0.136701, 0.15022, 0.157196, 0.162577, 0.168205, 0.175745, 0.182707, 0.19199, 0.203042, 0.209983, 0.223865, 0.250595, 0.282007");
-            values ( \
-              "0.00992974, 0.438396, 0.502609, 0.53194, 0.564385, 0.569892, 0.567835, 0.552701, 0.540149, 0.51721, 0.468201, 0.314419, 0.206899, 0.164186, 0.13667, 0.112086, 0.0857324, 0.0666439, 0.0471042, 0.0312012, 0.0242283, 0.0141276, 0.00485868, 0.00119205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0615195");
-            index_3 ("0.0651404, 0.0715159, 0.0790643, 0.0849235, 0.0886943, 0.0962358, 0.105215, 0.116186, 0.121069, 0.137287, 0.154367, 0.158589, 0.172956, 0.190429, 0.219076, 0.22907, 0.242394, 0.260959, 0.271676, 0.289873, 0.307611, 0.327037, 0.350685, 0.361541, 0.377915, 0.399746, 0.443409, 0.508823, 0.588734");
-            values ( \
-              "0.333122, 0.362201, 0.514111, 0.590986, 0.628842, 0.67331, 0.698814, 0.699901, 0.696783, 0.669294, 0.626738, 0.614163, 0.562896, 0.483677, 0.34775, 0.305948, 0.255412, 0.195241, 0.166294, 0.125246, 0.0940572, 0.0681811, 0.0455233, 0.037808, 0.0285053, 0.0194165, 0.00870173, 0.00232397, 0.00041769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.157872");
-            index_3 ("0.0651292, 0.0817495, 0.0923978, 0.101668, 0.111543, 0.123486, 0.13579, 0.148077, 0.166402, 0.22373, 0.267329, 0.310161, 0.319632, 0.357514, 0.419139, 0.45788, 0.484933, 0.528827, 0.551216, 0.594018, 0.630607, 0.660932, 0.69666, 0.747176, 0.799913, 0.840338, 0.92025, 1.00016, 1.08007, 1.23989");
-            values ( \
-              "0.304614, 0.581071, 0.697769, 0.748342, 0.77364, 0.781921, 0.779876, 0.7716, 0.756845, 0.700723, 0.651365, 0.59028, 0.574049, 0.502676, 0.381429, 0.312005, 0.268164, 0.206352, 0.179525, 0.136163, 0.106574, 0.0866696, 0.0675979, 0.0472059, 0.0323926, 0.0241688, 0.0133183, 0.00730925, 0.00400297, 0.00119718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.405131");
-            index_3 ("0.0785387, 0.0785587, 0.223411, 0.45043, 0.530342, 0.61002, 0.672857, 0.752768, 0.957257, 1.09681, 1.17672, 1.24233, 1.37052, 1.45043, 1.574, 1.65326, 1.73317, 1.9126, 2.07242, 2.23224, 2.55189, 2.87153");
-            values ( \
-              "1e-22, 0.864057, 0.799342, 0.708867, 0.673854, 0.632928, 0.593885, 0.537004, 0.376951, 0.27974, 0.231548, 0.197129, 0.142201, 0.114619, 0.0817398, 0.0658488, 0.0522606, 0.0312685, 0.0196409, 0.0122762, 0.00471994, 0.00174825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0789623, 0.089988, 0.0932484, 0.0962172, 0.0990836, 0.101945, 0.104814, 0.109026, 0.112957, 0.118034, 0.125371, 0.134153, 0.144075, 0.150257");
-            values ( \
-              "0.0498197, 0.188111, 0.214091, 0.226532, 0.231789, 0.225591, 0.202257, 0.130417, 0.0847802, 0.0503936, 0.0241044, 0.0105395, 0.00464188, 0.0036625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0093418");
-            index_3 ("0.080128, 0.0840714, 0.0884613, 0.0925129, 0.0952538, 0.0999053, 0.104234, 0.108483, 0.112729, 0.114236, 0.115816, 0.123958, 0.129644, 0.134376, 0.139357, 0.143779, 0.147964, 0.153545, 0.157822, 0.164309, 0.172958, 0.188351, 0.195194");
-            values ( \
-              "0.0504055, 0.162402, 0.248773, 0.310907, 0.34304, 0.380353, 0.396258, 0.396267, 0.377862, 0.363839, 0.34473, 0.218235, 0.151826, 0.111419, 0.0798877, 0.0590752, 0.0444438, 0.030289, 0.0227253, 0.0148408, 0.00847111, 0.00317902, 0.00241283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.023973");
-            index_3 ("0.0802794, 0.0863299, 0.0907221, 0.0961964, 0.101044, 0.104991, 0.112844, 0.120452, 0.128393, 0.135123, 0.138774, 0.157976, 0.165584, 0.174521, 0.185885, 0.194261, 0.203237, 0.209558, 0.218353, 0.228784, 0.242692, 0.269301, 0.3004");
-            values ( \
-              "0.0404253, 0.24504, 0.344897, 0.440776, 0.499589, 0.533156, 0.566183, 0.569109, 0.548457, 0.512094, 0.483074, 0.29288, 0.231085, 0.172077, 0.11654, 0.0863622, 0.0623904, 0.0494328, 0.0356088, 0.0241297, 0.014197, 0.0047902, 0.00130967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0615195");
-            index_3 ("0.0803496, 0.0904395, 0.0956424, 0.102469, 0.107528, 0.11613, 0.124046, 0.134864, 0.147823, 0.156107, 0.172482, 0.177416, 0.191776, 0.209218, 0.238082, 0.247519, 0.260101, 0.2795, 0.290966, 0.298645, 0.314004, 0.324297, 0.341023, 0.360139, 0.379749, 0.389679, 0.40954, 0.449262, 0.511032, 0.585659");
-            values ( \
-              "0.0726445, 0.366633, 0.476595, 0.578759, 0.628137, 0.679604, 0.697734, 0.701224, 0.684954, 0.668325, 0.629187, 0.61422, 0.562962, 0.483761, 0.346985, 0.307494, 0.259358, 0.196057, 0.165104, 0.146676, 0.115007, 0.0973724, 0.0739375, 0.0535049, 0.0382636, 0.0322556, 0.0227933, 0.0110705, 0.00326639, 0.000682836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.157872");
-            index_3 ("0.0868978, 0.0976522, 0.105398, 0.111289, 0.121007, 0.130403, 0.142007, 0.154477, 0.166933, 0.185257, 0.244072, 0.286185, 0.329017, 0.338488, 0.37637, 0.437999, 0.476731, 0.503778, 0.54768, 0.570077, 0.612881, 0.649466, 0.679783, 0.715513, 0.766031, 0.818775, 0.859206, 0.939117, 1.01903, 1.09894, 1.25876");
-            values ( \
-              "0.523416, 0.534042, 0.64568, 0.699154, 0.751556, 0.773603, 0.782461, 0.779587, 0.77206, 0.756433, 0.6989, 0.651351, 0.590294, 0.574061, 0.502688, 0.381411, 0.312021, 0.268175, 0.206363, 0.179524, 0.136161, 0.106567, 0.0866678, 0.0676036, 0.0472017, 0.0323873, 0.0241706, 0.0133133, 0.00731246, 0.00399879, 0.00119327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.405131");
-            index_3 ("0.0933871, 0.128594, 0.139204, 0.152206, 0.167932, 0.183524, 0.210957, 0.417531, 0.549159, 0.628837, 0.691674, 0.771585, 0.976074, 1.11562, 1.19554, 1.281, 1.39268, 1.47259, 1.59286, 1.67211, 1.75202, 1.93134, 2.09116, 2.25099, 2.57063, 2.89028");
-            values ( \
-              "0.784555, 0.8003, 0.816898, 0.824476, 0.823858, 0.820364, 0.810686, 0.730614, 0.673833, 0.632903, 0.593911, 0.536977, 0.376978, 0.27971, 0.231578, 0.187882, 0.140914, 0.113627, 0.0817675, 0.0657971, 0.0522966, 0.0313231, 0.0196943, 0.0123292, 0.00477378, 0.00180393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.121163, 0.123317, 0.125631, 0.1273, 0.128204, 0.130013, 0.135381, 0.138353, 0.141222, 0.144085, 0.146956, 0.151156, 0.15355, 0.155342, 0.158433, 0.162033, 0.165137, 0.169068, 0.171783, 0.176208, 0.178493, 0.185903, 0.193312, 0.204241");
-            values ( \
-              "0.0477513, 0.0725419, 0.10519, 0.136097, 0.143783, 0.168306, 0.214271, 0.225899, 0.232017, 0.225168, 0.202479, 0.130443, 0.100167, 0.0826574, 0.0601303, 0.0416884, 0.0305361, 0.0206922, 0.0160201, 0.0105222, 0.00862886, 0.00485386, 0.0027747, 0.00154064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0093418");
-            index_3 ("0.121933, 0.125449, 0.130512, 0.134567, 0.137331, 0.141994, 0.146328, 0.150582, 0.154831, 0.156317, 0.157902, 0.166062, 0.171728, 0.17556, 0.178916, 0.181515, 0.1859, 0.190042, 0.195564, 0.199899, 0.206474, 0.215241, 0.230546, 0.235659");
-            values ( \
-              "0.0460037, 0.145613, 0.247206, 0.309605, 0.341755, 0.379911, 0.395643, 0.396159, 0.377532, 0.36392, 0.344847, 0.218208, 0.152004, 0.118296, 0.094807, 0.0795977, 0.0590132, 0.0445306, 0.0304739, 0.0227728, 0.0147849, 0.0083748, 0.00316781, 0.00281939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.023973");
-            index_3 ("0.121914, 0.128287, 0.132731, 0.138237, 0.142306, 0.147051, 0.154903, 0.162523, 0.170905, 0.173559, 0.178413, 0.181046, 0.19962, 0.205843, 0.211193, 0.218096, 0.223348, 0.229008, 0.238921, 0.243608, 0.252982, 0.260472, 0.268891, 0.280116, 0.302567, 0.331968, 0.368466");
-            values ( \
-              "0.0305468, 0.240571, 0.341809, 0.438999, 0.490473, 0.532281, 0.56601, 0.568753, 0.546558, 0.534116, 0.503009, 0.481305, 0.296859, 0.24492, 0.206207, 0.163859, 0.136986, 0.112384, 0.0787826, 0.0664441, 0.0469488, 0.0355367, 0.0259735, 0.0169884, 0.00697886, 0.002048, 0.000427362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0615195");
-            index_3 ("0.127509, 0.135249, 0.140037, 0.144537, 0.149651, 0.158838, 0.166173, 0.177084, 0.182015, 0.18995, 0.198233, 0.214608, 0.219539, 0.233901, 0.251355, 0.28014, 0.289779, 0.302629, 0.321727, 0.33292, 0.340363, 0.355249, 0.366221, 0.384106, 0.404546, 0.422073, 0.430993, 0.448834, 0.484515, 0.545133, 0.617211");
-            values ( \
-              "0.421907, 0.426043, 0.514644, 0.57718, 0.628657, 0.681254, 0.698315, 0.70065, 0.69622, 0.684507, 0.668757, 0.628772, 0.614188, 0.562928, 0.483741, 0.347253, 0.306926, 0.257932, 0.195754, 0.165536, 0.147626, 0.116645, 0.0977088, 0.0727636, 0.051453, 0.038131, 0.0326994, 0.0239673, 0.0125964, 0.00384093, 0.000855765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.157872");
-            index_3 ("0.132434, 0.153431, 0.16166, 0.171171, 0.17535, 0.18092, 0.192062, 0.209105, 0.227411, 0.246722, 0.284756, 0.328356, 0.371188, 0.380658, 0.418534, 0.480209, 0.518839, 0.545815, 0.589817, 0.612299, 0.655131, 0.691668, 0.721893, 0.757644, 0.808178, 0.860984, 0.901469, 0.981381, 1.06129, 1.1412, 1.30103");
-            values ( \
-              "0.655145, 0.698449, 0.744378, 0.771393, 0.776763, 0.780424, 0.781283, 0.771824, 0.756763, 0.738389, 0.700603, 0.651364, 0.590279, 0.57405, 0.50269, 0.381348, 0.31212, 0.268388, 0.206397, 0.17946, 0.136085, 0.10655, 0.0867088, 0.067618, 0.0472136, 0.0323818, 0.0241504, 0.0133077, 0.00730378, 0.00399962, 0.00119601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.405131");
-            index_3 ("0.139634, 0.139654, 0.51188, 0.591791, 0.671469, 0.814217, 1.01871, 1.15825, 1.23816, 1.32363, 1.43531, 1.51522, 1.63549, 1.71474, 1.79465, 1.97397, 2.13379, 2.29361, 2.61326, 2.9329");
-            values ( \
-              "1e-22, 0.860082, 0.70893, 0.673793, 0.63287, 0.536951, 0.376996, 0.279704, 0.231586, 0.187888, 0.140921, 0.113619, 0.0817583, 0.0658069, 0.0522864, 0.0313095, 0.0196801, 0.0123145, 0.0047581, 0.00178731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.206284, 0.211786, 0.213011, 0.214236, 0.215869, 0.217131, 0.218467, 0.219358, 0.221139, 0.222391, 0.223467, 0.224533, 0.225173, 0.225813, 0.227094, 0.228532, 0.229009, 0.229644, 0.230278, 0.230741, 0.231204, 0.231667, 0.23213, 0.232912, 0.233694, 0.234475, 0.23541, 0.235715, 0.236327, 0.239266, 0.240486, 0.241402, 0.242907, 0.244093, 0.244778, 0.246148, 0.247332, 0.247924, 0.248457, 0.24899, 0.250056, 0.251122, 0.255371, 0.256652, 0.257933, 0.260257, 0.262179, 0.264474, 0.265621, 0.267931");
-            values ( \
-              "0.0885229, 0.0911905, 0.103423, 0.115159, 0.130104, 0.141123, 0.152285, 0.159242, 0.17273, 0.181863, 0.188728, 0.194855, 0.197863, 0.200581, 0.204891, 0.208952, 0.210114, 0.210255, 0.209912, 0.209358, 0.208547, 0.207479, 0.206154, 0.203215, 0.199474, 0.194929, 0.188345, 0.18474, 0.175835, 0.130408, 0.113449, 0.102317, 0.0879496, 0.0781041, 0.0729649, 0.0637641, 0.0567957, 0.0537454, 0.0467585, 0.0415118, 0.0400293, 0.0379288, 0.0260788, 0.0228703, 0.0200892, 0.0163416, 0.0138128, 0.0113233, 0.0102631, 0.00846746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0093418");
-            index_3 ("0.20093, 0.20446, 0.207276, 0.211282, 0.224319, 0.229547, 0.234246, 0.238752, 0.243259, 0.245456, 0.254703, 0.257983, 0.262831, 0.268546, 0.276123, 0.280384, 0.286065, 0.290929, 0.29894, 0.309621, 0.326232, 0.346663");
-            values ( \
-              "0.0269296, 0.042393, 0.0686169, 0.116826, 0.297726, 0.345917, 0.369798, 0.37683, 0.364037, 0.346215, 0.21436, 0.174832, 0.128529, 0.0888405, 0.0535177, 0.0401773, 0.0273269, 0.0198316, 0.0118322, 0.00601796, 0.00216734, 0.000713099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.023973");
-            index_3 ("0.202868, 0.212444, 0.225093, 0.229563, 0.23479, 0.243069, 0.248932, 0.254822, 0.258792, 0.266721, 0.272079, 0.285338, 0.296356, 0.309884, 0.317674, 0.325394, 0.332344, 0.34161, 0.349216, 0.359657, 0.37358, 0.399906, 0.430677");
-            values ( \
-              "0.020237, 0.160062, 0.384082, 0.444735, 0.498645, 0.544141, 0.554736, 0.549017, 0.540107, 0.502169, 0.457156, 0.323161, 0.231415, 0.147823, 0.112788, 0.0855831, 0.0665532, 0.0472316, 0.035601, 0.0241189, 0.014183, 0.00485696, 0.00134929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0615195");
-            index_3 ("0.202923, 0.227047, 0.237503, 0.248952, 0.25741, 0.263027, 0.270656, 0.278793, 0.28695, 0.308093, 0.322659, 0.374109, 0.402512, 0.430406, 0.457732, 0.479632, 0.501884, 0.542637, 0.578369, 0.600585");
-            values ( \
-              "0.0123673, 0.456075, 0.594438, 0.668104, 0.687531, 0.692547, 0.690517, 0.681029, 0.666777, 0.614379, 0.562787, 0.325344, 0.220234, 0.144809, 0.0934082, 0.0649604, 0.0444024, 0.021936, 0.011512, 0.00859968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.157872");
-            index_3 ("0.215003, 0.230055, 0.241457, 0.248814, 0.256944, 0.261054, 0.269276, 0.277027, 0.291799, 0.309755, 0.335432, 0.373351, 0.397699, 0.417094, 0.455884, 0.477355, 0.57654, 0.598903, 0.62872, 0.675992, 0.704322, 0.72335, 0.761404, 0.784541, 0.822022, 0.854985, 0.901334, 0.919617, 0.956184, 1.02932, 1.10923, 1.18914, 1.26905, 1.34896");
-            values ( \
-              "0.445129, 0.529057, 0.669185, 0.718691, 0.749936, 0.761013, 0.773748, 0.777661, 0.774558, 0.761646, 0.738458, 0.700596, 0.674202, 0.651333, 0.596772, 0.559826, 0.367103, 0.326947, 0.277417, 0.209547, 0.175691, 0.155536, 0.121015, 0.103563, 0.0801286, 0.0636509, 0.0457847, 0.0401878, 0.0308973, 0.0179938, 0.00989854, 0.00541983, 0.00297092, 0.00161932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.405131");
-            index_3 ("0.225059, 0.29371, 0.314507, 0.355732, 0.531408, 0.680021, 0.760023, 0.822645, 0.902556, 1.10704, 1.24659, 1.3265, 1.41197, 1.52365, 1.60356, 1.72383, 1.88299, 1.99862, 2.14221, 2.30203, 2.46186, 2.70159, 3.02123");
-            values ( \
-              "0.805098, 0.823298, 0.819799, 0.805633, 0.737336, 0.673705, 0.63261, 0.594076, 0.536811, 0.377139, 0.279557, 0.231732, 0.187744, 0.140778, 0.113762, 0.0818999, 0.052427, 0.0377337, 0.0249662, 0.0157021, 0.00985088, 0.00488839, 0.00191505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.353573, 0.36316, 0.366931, 0.36945, 0.372825, 0.380935, 0.390514, 0.394969, 0.399013, 0.402854, 0.406697, 0.408412, 0.413159, 0.415277, 0.418372, 0.421952, 0.424204, 0.427267, 0.430234, 0.433689, 0.438958, 0.44217, 0.447628, 0.454905, 0.469412, 0.47655");
-            values ( \
-              "0.00424273, 0.01258, 0.020414, 0.0286508, 0.0425369, 0.0916697, 0.138404, 0.156113, 0.16799, 0.173228, 0.165621, 0.150764, 0.0971192, 0.0788138, 0.0589813, 0.04272, 0.0347906, 0.0263209, 0.020196, 0.0148881, 0.00952725, 0.00745257, 0.00507107, 0.00319591, 0.00144325, 0.00122508" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0093418");
-            index_3 ("0.356476, 0.369864, 0.373091, 0.392572, 0.399202, 0.404902, 0.410143, 0.415265, 0.420377, 0.426996, 0.433088, 0.441249, 0.44843, 0.452185, 0.456248, 0.462206, 0.469535, 0.475359, 0.487007, 0.490349");
-            values ( \
-              "0.00412981, 0.0461306, 0.0654734, 0.228557, 0.27887, 0.311782, 0.329194, 0.328823, 0.297178, 0.210642, 0.147008, 0.0887581, 0.0557572, 0.0436461, 0.0334283, 0.0226351, 0.0143013, 0.0100229, 0.00501164, 0.00441962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.023973");
-            index_3 ("0.362783, 0.374404, 0.38071, 0.404717, 0.414199, 0.422779, 0.431168, 0.439541, 0.447282, 0.464406, 0.478487, 0.49053, 0.498642, 0.505977, 0.515757, 0.525587, 0.541694, 0.550061, 0.56507, 0.582012");
-            values ( \
-              "0.0538545, 0.0947563, 0.154719, 0.421486, 0.488963, 0.515766, 0.515221, 0.485754, 0.426015, 0.268467, 0.171496, 0.114001, 0.0855162, 0.0657179, 0.0458659, 0.0319306, 0.0175217, 0.0128373, 0.007205, 0.00426899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0615195");
-            index_3 ("0.365366, 0.382386, 0.403822, 0.407546, 0.414995, 0.426406, 0.439531, 0.443169, 0.450447, 0.459807, 0.476528, 0.485543, 0.49584, 0.543827, 0.561783, 0.578066, 0.592492, 0.609621, 0.6265, 0.641322, 0.661083, 0.677687, 0.700019, 0.729796, 0.786442, 0.852413");
-            values ( \
-              "0.0731698, 0.187163, 0.470144, 0.513565, 0.581613, 0.645274, 0.67073, 0.671834, 0.668224, 0.656966, 0.622293, 0.596462, 0.559926, 0.340075, 0.268511, 0.213744, 0.172665, 0.132525, 0.101059, 0.0792652, 0.056819, 0.0427911, 0.0291156, 0.0171609, 0.00577422, 0.00153158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.157872");
-            index_3 ("0.362904, 0.393767, 0.411533, 0.42232, 0.432701, 0.44652, 0.458677, 0.470278, 0.48865, 0.508155, 0.572381, 0.608159, 0.635952, 0.682887, 0.753189, 0.806917, 0.851154, 0.889711, 0.917644, 0.963521, 0.983099, 1.01905, 1.06974, 1.12312, 1.16412, 1.24403, 1.32394, 1.40385, 1.56368");
-            values ( \
-              "0.014562, 0.353966, 0.593243, 0.682095, 0.731296, 0.759861, 0.766135, 0.763025, 0.751719, 0.734582, 0.671096, 0.627342, 0.584845, 0.497573, 0.360566, 0.269397, 0.206931, 0.162443, 0.135415, 0.0995183, 0.0870503, 0.0677894, 0.0472854, 0.0322972, 0.0239943, 0.0132233, 0.00725508, 0.00397513, 0.0011897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.405131");
-            index_3 ("0.396712, 0.471506, 0.509803, 0.600112, 0.773472, 0.87988, 0.959792, 1.05625, 1.27921, 1.42217, 1.58441, 1.69525, 1.77516, 1.89705, 2.05621, 2.17188, 2.31549, 2.63514, 2.73663");
-            values ( \
-              "0.793001, 0.815608, 0.808899, 0.77805, 0.70898, 0.660835, 0.617081, 0.551496, 0.377924, 0.278101, 0.188143, 0.141404, 0.114254, 0.0818929, 0.0524171, 0.0377442, 0.0249751, 0.00986056, 0.00841712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.667035, 0.690905, 0.695725, 0.702122, 0.705875, 0.72046, 0.727237, 0.733101, 0.738447, 0.743501, 0.748486, 0.753464, 0.757305, 0.763969, 0.768299, 0.777045, 0.787054, 0.796948, 0.820098");
-            values ( \
-              "0.00136552, 0.00884914, 0.0142564, 0.0246393, 0.0345467, 0.0878375, 0.105509, 0.118209, 0.126972, 0.132266, 0.131041, 0.108838, 0.0772317, 0.0441495, 0.0309882, 0.0153004, 0.00718893, 0.00399793, 0.00155185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0093418");
-            index_3 ("0.667878, 0.690986, 0.694776, 0.700008, 0.708046, 0.72601, 0.737874, 0.745322, 0.752026, 0.758356, 0.764689, 0.776769, 0.7851, 0.794798, 0.799328, 0.805367, 0.813407, 0.819015, 0.830231, 0.847633, 0.847966");
-            values ( \
-              "0.00282288, 0.017307, 0.0234338, 0.0355349, 0.0641638, 0.158251, 0.211412, 0.240734, 0.260709, 0.270673, 0.257904, 0.148179, 0.0929778, 0.0519888, 0.0393679, 0.0270158, 0.0166214, 0.0119987, 0.00639919, 0.00266205, 0.00264625" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.023973");
-            index_3 ("0.690858, 0.710266, 0.756299, 0.766514, 0.776016, 0.78546, 0.794897, 0.812242, 0.826607, 0.839517, 0.852447, 0.869499, 0.888752, 0.911893");
-            values ( \
-              "0.0492851, 0.0978335, 0.400671, 0.444917, 0.46327, 0.451604, 0.397396, 0.253593, 0.162554, 0.105487, 0.0669552, 0.0360449, 0.0178728, 0.0103919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0615195");
-            index_3 ("0.690984, 0.713635, 0.730712, 0.756754, 0.769878, 0.78568, 0.793511, 0.803953, 0.81627, 0.823481, 0.837904, 0.851521, 0.886803, 0.91639, 0.944651, 0.973395, 0.988016, 1.00751, 1.02443, 1.04711, 1.07735, 1.12493");
-            values ( \
-              "0.063228, 0.132449, 0.26143, 0.483285, 0.569031, 0.625549, 0.635522, 0.636243, 0.623369, 0.610019, 0.571586, 0.5187, 0.35495, 0.240263, 0.158523, 0.100695, 0.0793225, 0.0572285, 0.042893, 0.0290464, 0.01702, 0.00749769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.157872");
-            index_3 ("0.71505, 0.74729, 0.762162, 0.779523, 0.785194, 0.796535, 0.814091, 0.820231, 0.828418, 0.841271, 0.854394, 0.894213, 0.936475, 0.966709, 0.982517, 1.00639, 1.09106, 1.15983, 1.1997, 1.22734, 1.27254, 1.30148, 1.32627, 1.35933, 1.4143, 1.47638, 1.52555, 1.60546, 1.68537, 1.84519");
-            values ( \
-              "0.306171, 0.434772, 0.570746, 0.679057, 0.701412, 0.730339, 0.748198, 0.748753, 0.747033, 0.740983, 0.731547, 0.695282, 0.648879, 0.608417, 0.583208, 0.541139, 0.376075, 0.25979, 0.204591, 0.172404, 0.128718, 0.106051, 0.0895789, 0.0712295, 0.0481996, 0.0309319, 0.0216223, 0.0119064, 0.00652975, 0.0019543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.405131");
-            index_3 ("0.714997, 0.751813, 0.76557, 0.777709, 0.78636, 0.803661, 0.818937, 0.832805, 0.85412, 0.88044, 1.01936, 1.16027, 1.226, 1.27208, 1.3423, 1.40256, 1.62554, 1.68859, 1.7685, 1.84841, 1.93074, 1.96867, 2.04158, 2.12149, 2.16348, 2.24339, 2.32264, 2.40255, 2.51822, 2.58192, 2.66183, 2.74174, 2.82165, 2.98148, 3.22121, 3.54086");
-            values ( \
-              "0.301724, 0.490937, 0.618018, 0.699425, 0.739267, 0.785918, 0.802689, 0.807575, 0.806762, 0.799646, 0.747947, 0.690226, 0.65997, 0.636702, 0.593926, 0.551399, 0.377945, 0.331836, 0.278073, 0.230431, 0.188131, 0.170868, 0.141394, 0.114262, 0.102011, 0.0819001, 0.065676, 0.0524241, 0.0377384, 0.0314362, 0.0249697, 0.0198065, 0.0157066, 0.00985589, 0.00488215, 0.00190919" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.00336565, 0.00336605, 0.00336671, 0.00336727, 0.0033676, 0.00336774", \
-            "0.00439162, 0.0043917, 0.00439196, 0.00439238, 0.00439272, 0.00439289", \
-            "0.0050438, 0.00504383, 0.00504387, 0.00504402, 0.00504425, 0.00504442", \
-            "0.00543511, 0.00543515, 0.00543524, 0.00543536, 0.0054355, 0.00543564", \
-            "0.0057275, 0.00572756, 0.00572774, 0.00572788, 0.005728, 0.00572813", \
-            "0.0059427, 0.00594267, 0.00594261, 0.00594256, 0.0059426, 0.00594272" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.00527684, 0.00527677, 0.00527712, 0.0052778, 0.00527834, 0.00527862", \
-            "0.00562706, 0.00562715, 0.00562726, 0.00562738, 0.00562786, 0.00562818", \
-            "0.00553398, 0.00553355, 0.00553315, 0.00553293, 0.00553295, 0.00553308", \
-            "0.00581664, 0.00581688, 0.00581426, 0.00581303, 0.00581214, 0.00581175", \
-            "0.00628366, 0.00630892, 0.00646049, 0.00654759, 0.0065885, 0.00660485", \
-            "0.00612937, 0.00612944, 0.00612926, 0.00613951, 0.00627411, 0.0063459" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0930796, 0.0993845, 0.102432, 0.106032, 0.10956, 0.112966, 0.117439, 0.121361, 0.124944, 0.128327, 0.131638, 0.134945, 0.140578, 0.144564, 0.148476, 0.150982, 0.152902, 0.156743, 0.163009, 0.166595");
-            values ( \
-              "-0.0257054, -0.0411275, -0.0499536, -0.0773154, -0.111447, -0.133921, -0.158443, -0.176514, -0.189364, -0.197866, -0.198587, -0.179094, -0.0933976, -0.0474546, -0.0224924, -0.0139262, -0.0096974, -0.00480031, -0.00186887, -0.00147665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0093418");
-            index_3 ("0.094878, 0.103683, 0.106335, 0.115226, 0.125633, 0.130982, 0.13591, 0.140628, 0.14529, 0.150302, 0.15801, 0.162383, 0.167815, 0.173763, 0.178549, 0.182654");
-            values ( \
-              "-0.0528816, -0.0950626, -0.115344, -0.21803, -0.299024, -0.329673, -0.351426, -0.36304, -0.359189, -0.311897, -0.168443, -0.105032, -0.0548057, -0.0261246, -0.0140457, -0.00949241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.023973");
-            index_3 ("0.0980264, 0.109366, 0.117277, 0.131795, 0.141007, 0.149272, 0.157035, 0.164592, 0.172141, 0.175376, 0.195344, 0.210044, 0.221595, 0.233089");
-            values ( \
-              "-0.0922941, -0.185956, -0.292728, -0.435977, -0.501646, -0.542683, -0.568328, -0.575487, -0.552685, -0.527649, -0.226622, -0.0923902, -0.0432427, -0.021312" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0615195");
-            index_3 ("0.098579, 0.111611, 0.127365, 0.146087, 0.153227, 0.167508, 0.172168, 0.183752, 0.198031, 0.204018, 0.212274, 0.228446, 0.235845, 0.258195, 0.272949, 0.292201, 0.308198, 0.320429, 0.333218, 0.343026, 0.362641, 0.375639");
-            values ( \
-              "-0.107068, -0.245711, -0.448898, -0.618405, -0.662976, -0.729315, -0.74421, -0.770353, -0.781935, -0.779543, -0.76768, -0.705239, -0.64785, -0.415685, -0.288483, -0.171486, -0.108777, -0.0759415, -0.0520547, -0.0387982, -0.0211825, -0.0157828" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.157872");
-            index_3 ("0.104237, 0.151389, 0.175536, 0.193864, 0.210455, 0.225245, 0.251127, 0.28706, 0.318228, 0.348086, 0.364559, 0.453651, 0.502663, 0.532442, 0.552916, 0.593186, 0.664263, 0.699074");
-            values ( \
-              "-0.254602, -0.703132, -0.829694, -0.882957, -0.909582, -0.921844, -0.925527, -0.904827, -0.865816, -0.799581, -0.741029, -0.337133, -0.196644, -0.139606, -0.109697, -0.0676675, -0.0280621, -0.0195161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.405131");
-            index_3 ("0.104776, 0.13817, 0.16819, 0.186619, 0.213152, 0.240076, 0.261603, 0.282462, 0.318892, 0.355557, 0.460171, 0.506802, 0.571929, 0.616678, 0.672832, 0.737959, 0.840294, 0.929069, 1.00163, 1.06521, 1.12188, 1.17288, 1.23801, 1.29467, 1.39346, 1.45859, 1.58884, 1.7191, 1.91447");
-            values ( \
-              "-0.262034, -0.611498, -0.829526, -0.903157, -0.963023, -0.990692, -1.00015, -1.00259, -0.999611, -0.990791, -0.954949, -0.934133, -0.897792, -0.863729, -0.801017, -0.687073, -0.482087, -0.337033, -0.246673, -0.185644, -0.143295, -0.112849, -0.083095, -0.0633591, -0.0393674, -0.0288346, -0.0153153, -0.00813031, -0.00301966" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.11312, 0.119034, 0.120168, 0.122527, 0.125323, 0.127276, 0.13118, 0.136691, 0.13909, 0.141395, 0.144973, 0.148363, 0.151673, 0.154979, 0.160611, 0.162339, 0.164599, 0.165985, 0.16851, 0.171014, 0.172931, 0.176766, 0.18301, 0.183661");
-            values ( \
-              "-0.0268127, -0.0410924, -0.0420067, -0.0512931, -0.0702583, -0.0913653, -0.123628, -0.155145, -0.166614, -0.176293, -0.189667, -0.197641, -0.198903, -0.178841, -0.0934079, -0.0705813, -0.0474401, -0.0366894, -0.0224796, -0.0139386, -0.00969852, -0.00481594, -0.00187016, -0.00179954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0093418");
-            index_3 ("0.113492, 0.12084, 0.125996, 0.131604, 0.142633, 0.150638, 0.160284, 0.164947, 0.169606, 0.18249, 0.186714, 0.193737, 0.199151, 0.207583, 0.20776");
-            values ( \
-              "-0.00877198, -0.0828783, -0.114452, -0.185403, -0.280779, -0.329715, -0.363108, -0.358997, -0.31534, -0.0997808, -0.0604268, -0.025055, -0.0124599, -0.00418296, -0.00417244" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.023973");
-            index_3 ("0.117871, 0.129794, 0.13753, 0.151683, 0.1609, 0.169168, 0.176933, 0.184492, 0.192042, 0.195267, 0.215207, 0.22996, 0.241485, 0.25349");
-            values ( \
-              "-0.0906385, -0.19115, -0.296885, -0.435594, -0.501475, -0.542466, -0.568253, -0.575347, -0.552668, -0.527703, -0.22712, -0.0923098, -0.0432795, -0.0203254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0615195");
-            index_3 ("0.112644, 0.154448, 0.165787, 0.17297, 0.187334, 0.191745, 0.203495, 0.215231, 0.217773, 0.222857, 0.23202, 0.246335, 0.248203, 0.255677, 0.27795, 0.29269, 0.303064, 0.311943, 0.327945, 0.340175, 0.352959, 0.362762, 0.382368, 0.417982, 0.460148");
-            values ( \
-              "-0.00552585, -0.524572, -0.618063, -0.663036, -0.729564, -0.743673, -0.77031, -0.781653, -0.781908, -0.780255, -0.767732, -0.715766, -0.705174, -0.647059, -0.415591, -0.288515, -0.219095, -0.171498, -0.10877, -0.0759389, -0.0520606, -0.0388085, -0.0211938, -0.00638991, -0.00143985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.157872");
-            index_3 ("0.128024, 0.148722, 0.158716, 0.171774, 0.179582, 0.195197, 0.214002, 0.230609, 0.245382, 0.270954, 0.286574, 0.307208, 0.338374, 0.352622, 0.367819, 0.38549, 0.44518, 0.479528, 0.509266, 0.530832, 0.569894, 0.599909, 0.631416, 0.655596, 0.703954, 0.769081, 0.769665");
-            values ( \
-              "-0.359901, -0.488675, -0.599636, -0.704301, -0.755418, -0.827394, -0.883627, -0.909141, -0.922375, -0.925127, -0.919364, -0.905141, -0.865468, -0.838902, -0.800741, -0.73768, -0.451322, -0.317406, -0.229415, -0.179627, -0.113974, -0.0795202, -0.0544291, -0.0405264, -0.0220706, -0.00947579, -0.0094411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.405131");
-            index_3 ("0.128584, 0.178299, 0.207676, 0.2289, 0.252716, 0.278361, 0.302675, 0.338747, 0.375758, 0.4828, 0.527001, 0.592127, 0.636873, 0.69303, 0.758157, 0.852843, 0.945891, 1.03953, 1.10277, 1.17134, 1.23646, 1.28259, 1.38197, 1.44709, 1.51222, 1.64247, 1.70453");
-            values ( \
-              "-0.367464, -0.771938, -0.905931, -0.956362, -0.985733, -0.999742, -1.00291, -0.999797, -0.990824, -0.95395, -0.93414, -0.897786, -0.86372, -0.801002, -0.687117, -0.496321, -0.34195, -0.228195, -0.171593, -0.125099, -0.0921885, -0.073965, -0.0460759, -0.0335236, -0.0245189, -0.013006, -0.0110193" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.157258, 0.162085, 0.166569, 0.174017, 0.181544, 0.185458, 0.18904, 0.192422, 0.195733, 0.199039, 0.204672, 0.20866, 0.212571, 0.216991, 0.220825, 0.227084, 0.234805, 0.249038");
-            values ( \
-              "-0.0166509, -0.0376554, -0.0501176, -0.113833, -0.158465, -0.176573, -0.18938, -0.197881, -0.198623, -0.17908, -0.0934172, -0.0474432, -0.0224842, -0.00970535, -0.00481292, -0.00187122, -0.00102679, -0.000847541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0093418");
-            index_3 ("0.158434, 0.165235, 0.169642, 0.175993, 0.183588, 0.189662, 0.195008, 0.199935, 0.204651, 0.209312, 0.214324, 0.22169, 0.226857, 0.23106, 0.234029, 0.238113, 0.243559, 0.252013, 0.262055, 0.264626");
-            values ( \
-              "-0.0156969, -0.0833103, -0.108189, -0.185165, -0.254976, -0.299152, -0.329836, -0.351459, -0.363187, -0.359157, -0.311787, -0.174257, -0.0997076, -0.0605192, -0.0418432, -0.0250378, -0.0123528, -0.00417475, -0.00156024, -0.00150054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.023973");
-            index_3 ("0.158429, 0.181471, 0.195795, 0.205009, 0.213276, 0.22104, 0.228598, 0.236147, 0.239378, 0.244679, 0.259333, 0.266667, 0.274057, 0.279628, 0.285597, 0.299424, 0.302039");
-            values ( \
-              "-0.00422163, -0.29498, -0.435773, -0.501581, -0.542564, -0.568305, -0.575407, -0.552689, -0.527674, -0.459264, -0.226854, -0.147009, -0.0923511, -0.0641344, -0.0432595, -0.0168523, -0.0149645" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0615195");
-            index_3 ("0.158072, 0.192035, 0.198738, 0.210015, 0.217239, 0.233068, 0.241341, 0.247754, 0.260579, 0.264922, 0.270554, 0.276273, 0.28771, 0.291192, 0.298156, 0.322629, 0.334346, 0.346207, 0.355781, 0.364331, 0.375731, 0.385509, 0.398691, 0.416266, 0.449339, 0.487717");
-            values ( \
-              "-0.00172488, -0.456937, -0.525392, -0.61824, -0.663465, -0.734639, -0.757909, -0.770452, -0.78213, -0.78156, -0.777124, -0.767666, -0.730277, -0.712569, -0.662437, -0.411523, -0.308462, -0.225773, -0.173429, -0.13633, -0.0980163, -0.0735403, -0.0497495, -0.0290881, -0.00975864, -0.00261884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.157872");
-            index_3 ("0.172409, 0.19317, 0.203085, 0.216093, 0.223918, 0.239569, 0.258317, 0.274917, 0.289693, 0.315321, 0.330766, 0.351515, 0.38268, 0.396949, 0.41217, 0.429709, 0.489802, 0.523783, 0.553324, 0.57504, 0.614325, 0.644288, 0.675599, 0.699606, 0.74762, 0.812746, 0.814119");
-            values ( \
-              "-0.356754, -0.491371, -0.601118, -0.704982, -0.756177, -0.827964, -0.883933, -0.909243, -0.922538, -0.925117, -0.919412, -0.905216, -0.865429, -0.838866, -0.800613, -0.738048, -0.449933, -0.317586, -0.230055, -0.179828, -0.113806, -0.0794521, -0.0545117, -0.040675, -0.0222547, -0.00955523, -0.00947303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.405131");
-            index_3 ("0.173001, 0.2164, 0.253491, 0.273113, 0.296714, 0.321503, 0.346893, 0.419975, 0.532089, 0.571214, 0.636341, 0.681082, 0.737242, 0.802368, 0.896473, 0.997852, 1.09805, 1.1477, 1.20365, 1.25073, 1.31586, 1.39743, 1.46256, 1.52768, 1.65794, 1.74317");
-            values ( \
-              "-0.371128, -0.732177, -0.912173, -0.955966, -0.98629, -0.998952, -1.00345, -0.991261, -0.951509, -0.934447, -0.897476, -0.863465, -0.801281, -0.686813, -0.497555, -0.33116, -0.214089, -0.171085, -0.13228, -0.106229, -0.0779606, -0.0528016, -0.0386114, -0.0280895, -0.0148668, -0.0117764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.24893, 0.262619, 0.273027, 0.278268, 0.281975, 0.285867, 0.289287, 0.292626, 0.29596, 0.301642, 0.305584, 0.309501, 0.312092, 0.314102, 0.31812, 0.324423, 0.332264, 0.352428");
-            values ( \
-              "-0.00132237, -0.0463173, -0.126291, -0.155674, -0.172836, -0.187353, -0.195858, -0.19731, -0.177901, -0.0926006, -0.0475102, -0.0225406, -0.0137624, -0.00942897, -0.00454994, -0.00180616, -0.00101224, -0.00076559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0093418");
-            index_3 ("0.253415, 0.266258, 0.276722, 0.286258, 0.291672, 0.296642, 0.301392, 0.306078, 0.31111, 0.318847, 0.32322, 0.328639, 0.334633, 0.339456, 0.347771, 0.35759, 0.412045");
-            values ( \
-              "-0.0232536, -0.106204, -0.221259, -0.294879, -0.326428, -0.348756, -0.360957, -0.357585, -0.310889, -0.167872, -0.10476, -0.054875, -0.0259848, -0.0139696, -0.0047502, -0.00172781, -0.000265168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.023973");
-            index_3 ("0.253791, 0.26573, 0.273, 0.278657, 0.292355, 0.301647, 0.309969, 0.317765, 0.325346, 0.332918, 0.335974, 0.34145, 0.351404, 0.358502, 0.364609, 0.372883, 0.378621, 0.388058, 0.400641, 0.417162, 0.437596");
-            values ( \
-              "-0.00151273, -0.143197, -0.216732, -0.298123, -0.430362, -0.498223, -0.53968, -0.566539, -0.573743, -0.551849, -0.528469, -0.458791, -0.292984, -0.19777, -0.136865, -0.0807824, -0.0554658, -0.02947, -0.0120978, -0.00366721, -0.00100896" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0615195");
-            index_3 ("0.260975, 0.275616, 0.289076, 0.295493, 0.306843, 0.314098, 0.328606, 0.332691, 0.344676, 0.358962, 0.373243, 0.387547, 0.389479, 0.397206, 0.419218, 0.43391, 0.444278, 0.453169, 0.469189, 0.481415, 0.494183, 0.503972, 0.523552, 0.55916, 0.601313");
-            values ( \
-              "-0.127129, -0.280691, -0.455092, -0.520872, -0.615342, -0.66112, -0.728799, -0.741959, -0.769573, -0.78179, -0.76802, -0.71577, -0.704899, -0.644317, -0.415256, -0.288612, -0.219213, -0.171534, -0.108738, -0.0759248, -0.0520747, -0.0388357, -0.0212272, -0.00640212, -0.00144298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.157872");
-            index_3 ("0.267295, 0.282984, 0.29999, 0.313142, 0.32088, 0.336358, 0.355314, 0.371933, 0.3867, 0.412118, 0.428206, 0.448528, 0.479699, 0.493896, 0.50904, 0.52701, 0.585787, 0.62097, 0.651165, 0.672381, 0.710933, 0.741067, 0.77303, 0.79761, 0.846769, 0.911896, 0.916061");
-            values ( \
-              "-0.325702, -0.399392, -0.598863, -0.703842, -0.755084, -0.826284, -0.883611, -0.90886, -0.922442, -0.924965, -0.919058, -0.905216, -0.865357, -0.839, -0.801024, -0.736837, -0.454475, -0.316984, -0.227935, -0.179163, -0.114357, -0.079673, -0.0542344, -0.0401811, -0.0216467, -0.00929315, -0.00905057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.405131");
-            index_3 ("0.279661, 0.312324, 0.329821, 0.349059, 0.370315, 0.393071, 0.419045, 0.444091, 0.517174, 0.626809, 0.668415, 0.733541, 0.778285, 0.834444, 0.89957, 1.00192, 1.09068, 1.16321, 1.22681, 1.28351, 1.33451, 1.39963, 1.45628, 1.55506, 1.62019, 1.75044, 1.84262");
-            values ( \
-              "-0.681775, -0.721659, -0.829218, -0.90672, -0.95596, -0.985408, -0.99905, -1.00341, -0.991263, -0.95251, -0.934491, -0.897438, -0.863419, -0.801297, -0.686828, -0.48221, -0.33717, -0.246808, -0.185554, -0.143193, -0.112931, -0.0830035, -0.0634318, -0.0394387, -0.0287669, -0.0152488, -0.0118051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.443255, 0.460413, 0.465301, 0.46968, 0.479318, 0.488086, 0.491978, 0.495749, 0.499515, 0.509775, 0.513598, 0.51969, 0.525007, 0.531985, 0.542703");
-            values ( \
-              "-0.000127552, -0.0378784, -0.0580345, -0.0883149, -0.133566, -0.163638, -0.172745, -0.175424, -0.161824, -0.0449195, -0.0226999, -0.0075831, -0.00309947, -0.00140339, -0.00121773" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0093418");
-            index_3 ("0.44824, 0.464242, 0.478609, 0.485382, 0.494534, 0.500111, 0.505367, 0.510511, 0.51592, 0.524318, 0.529489, 0.533986, 0.540854, 0.546399, 0.550189, 0.560274, 0.566712");
-            values ( \
-              "-0.015069, -0.0832583, -0.198043, -0.241582, -0.290099, -0.312453, -0.327064, -0.32786, -0.290942, -0.157124, -0.0918694, -0.0547987, -0.0239375, -0.0119837, -0.00769105, -0.00291913, -0.00260045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.023973");
-            index_3 ("0.451478, 0.467637, 0.487027, 0.505061, 0.514167, 0.522584, 0.530686, 0.538776, 0.54688, 0.562818, 0.570248, 0.577724, 0.589539, 0.603771, 0.61569");
-            values ( \
-              "-0.0315636, -0.140713, -0.323218, -0.451106, -0.496496, -0.527134, -0.540368, -0.525814, -0.45365, -0.221426, -0.144147, -0.0908737, -0.0423116, -0.0162566, -0.00811133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0615195");
-            index_3 ("0.464041, 0.47866, 0.487042, 0.494238, 0.500651, 0.507064, 0.535881, 0.539541, 0.543201, 0.546861, 0.548691, 0.551158, 0.556248, 0.560066, 0.561544, 0.5645, 0.565978, 0.56855, 0.571121, 0.573693, 0.576265, 0.58061, 0.582447, 0.584285, 0.586122, 0.58796, 0.589913, 0.593819, 0.595773, 0.599624, 0.603475, 0.607326, 0.612768, 0.617544, 0.621672, 0.6258, 0.631066, 0.636332, 0.638833, 0.643781, 0.647291, 0.652423, 0.656833, 0.663645, 0.668452, 0.674976, 0.680219, 0.686356, 0.689424, 0.694277");
-            values ( \
-              "-0.245872, -0.2688, -0.356976, -0.428096, -0.487602, -0.54079, -0.707758, -0.721347, -0.732253, -0.73237, -0.733157, -0.734985, -0.745997, -0.752857, -0.754888, -0.757974, -0.75903, -0.759108, -0.758508, -0.757229, -0.755272, -0.750424, -0.747003, -0.742767, -0.737717, -0.731852, -0.723446, -0.704061, -0.693083, -0.668926, -0.641436, -0.610613, -0.561093, -0.508753, -0.466357, -0.425904, -0.377119, -0.331497, -0.311133, -0.272646, -0.248448, -0.217243, -0.193304, -0.15886, -0.137463, -0.114769, -0.0990018, -0.0829709, -0.0758508, -0.0657486" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.157872");
-            index_3 ("0.460661, 0.481429, 0.501796, 0.524915, 0.540134, 0.550063, 0.561113, 0.568708, 0.581436, 0.593289, 0.61645, 0.624432, 0.639893, 0.655584, 0.686918, 0.699737, 0.710504, 0.72217, 0.739965, 0.780263, 0.797762, 0.820253, 0.837146, 0.854732, 0.878178, 0.899337, 0.927551, 0.951239, 0.983195, 1.0258, 1.09093, 1.15605, 1.22118");
-            values ( \
-              "-0.236622, -0.311238, -0.524802, -0.699948, -0.777391, -0.81792, -0.850658, -0.869839, -0.893558, -0.907566, -0.918335, -0.91788, -0.912697, -0.902054, -0.864543, -0.840963, -0.816185, -0.781936, -0.711223, -0.512823, -0.433556, -0.344577, -0.287856, -0.237272, -0.181962, -0.142546, -0.102067, -0.0768548, -0.0522442, -0.0307659, -0.0132451, -0.00564146, -0.00244154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.405131");
-            index_3 ("0.460308, 0.517135, 0.549772, 0.570609, 0.588267, 0.61181, 0.64116, 0.671053, 0.709796, 0.753165, 0.798853, 0.863979, 0.898855, 0.945356, 0.975511, 1.00702, 1.04157, 1.10669, 1.20878, 1.29768, 1.37074, 1.43398, 1.49031, 1.54139, 1.60652, 1.66339, 1.76234, 1.82746, 1.95772, 2.08797, 2.28335");
-            values ( \
-              "-0.179767, -0.665608, -0.84966, -0.917521, -0.955041, -0.983586, -0.998082, -0.999862, -0.994017, -0.982003, -0.96645, -0.939735, -0.922445, -0.894503, -0.872036, -0.842939, -0.800988, -0.68679, -0.482459, -0.33715, -0.24623, -0.185493, -0.1434, -0.112976, -0.0831065, -0.0633805, -0.039367, -0.0287635, -0.0152591, -0.00808231, -0.00305467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.851189, 0.862437, 0.869539, 0.876871, 0.88681, 0.897196, 0.902992, 0.90819, 0.913012, 0.917652, 0.922285, 0.924328, 0.930303, 0.935392, 0.937714, 0.941031, 0.943406, 0.948155, 0.955346, 0.964207, 0.986786");
-            values ( \
-              "-0.007919, -0.015391, -0.0229541, -0.0365352, -0.0740113, -0.105841, -0.120452, -0.131683, -0.139645, -0.143421, -0.135688, -0.12154, -0.0664211, -0.0320433, -0.0221798, -0.0129913, -0.00886595, -0.004182, -0.00164076, -0.000955143, -0.000530583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0093418");
-            index_3 ("0.851116, 0.866312, 0.876754, 0.882418, 0.895356, 0.903762, 0.914962, 0.92172, 0.928019, 0.93411, 0.940191, 0.950472, 0.957702, 0.963527, 0.970669, 0.978831, 0.989186, 1.00937");
-            values ( \
-              "-0.00819385, -0.0360859, -0.0620091, -0.0849062, -0.1563, -0.194598, -0.238115, -0.259198, -0.274119, -0.27916, -0.257544, -0.134306, -0.0663507, -0.0350196, -0.0155121, -0.00591624, -0.00206456, -0.00165685" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.023973");
-            index_3 ("0.85606, 0.873463, 0.882524, 0.885881, 0.909223, 0.925024, 0.930792, 0.937679, 0.947459, 0.956713, 0.965803, 0.97488, 0.988665, 0.994299, 1.00336, 1.00908, 1.01363, 1.01994, 1.02835, 1.04372, 1.04932");
-            values ( \
-              "-0.0311618, -0.0781092, -0.115721, -0.133393, -0.283748, -0.368873, -0.395757, -0.424481, -0.457481, -0.477079, -0.473845, -0.417637, -0.243879, -0.18239, -0.109038, -0.0770931, -0.0580833, -0.0390075, -0.0225879, -0.00781425, -0.00614748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0615195");
-            index_3 ("0.863825, 0.883959, 0.932622, 0.941812, 0.961087, 0.978227, 0.99428, 1.00996, 1.02563, 1.03074, 1.03619, 1.06738, 1.07662, 1.09369, 1.11081, 1.12671, 1.13573, 1.15377, 1.18529");
-            values ( \
-              "-0.0698424, -0.150213, -0.478559, -0.529991, -0.619096, -0.674185, -0.704687, -0.709545, -0.674457, -0.649714, -0.61203, -0.326916, -0.259459, -0.164315, -0.101599, -0.0640242, -0.0490962, -0.028446, -0.0106023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.157872");
-            index_3 ("0.871884, 0.899129, 0.923092, 0.941133, 0.966544, 0.989485, 1.02377, 1.05614, 1.08819, 1.12022, 1.14156, 1.15567, 1.1839, 1.21589, 1.23811, 1.26043, 1.28092, 1.30917, 1.33214, 1.3487, 1.38182, 1.3927, 1.41743, 1.44569, 1.50221, 1.56734, 1.63246");
-            values ( \
-              "-0.152283, -0.265154, -0.44801, -0.569564, -0.706226, -0.792599, -0.865647, -0.89053, -0.884384, -0.853114, -0.81366, -0.775428, -0.659261, -0.502946, -0.407967, -0.324432, -0.260182, -0.189432, -0.145502, -0.119865, -0.0805721, -0.0707189, -0.0524879, -0.0371293, -0.0180852, -0.00774798, -0.00330443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.405131");
-            index_3 ("0.896694, 0.947978, 0.980445, 1.00476, 1.04546, 1.08243, 1.12019, 1.1567, 1.20645, 1.26438, 1.3087, 1.34758, 1.38872, 1.45384, 1.47485, 1.51685, 1.62335, 1.68423, 1.72546, 1.79909, 1.86422, 1.93948, 1.98766, 2.05279, 2.13497, 2.20009, 2.26522, 2.39547, 2.52573, 2.72111");
-            values ( \
-              "-0.512255, -0.630922, -0.796653, -0.877063, -0.953142, -0.979189, -0.985482, -0.981005, -0.968626, -0.951428, -0.933448, -0.913541, -0.88727, -0.827937, -0.800984, -0.731599, -0.517438, -0.408436, -0.344854, -0.251478, -0.187846, -0.133123, -0.1063, -0.0780698, -0.0527338, -0.0384997, -0.0280708, -0.0148754, -0.0078644, -0.00301373" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.00315618, 0.00315752, 0.00315915, 0.00316035, 0.00316097, 0.00316124", \
-            "0.00378654, 0.00378692, 0.00378777, 0.00378875, 0.00378941, 0.00378974", \
-            "0.00416365, 0.00416379, 0.00416404, 0.0041645, 0.00416497, 0.00416525", \
-            "0.00437904, 0.00437916, 0.00437937, 0.00437963, 0.00437992, 0.00438015", \
-            "0.00449147, 0.00449154, 0.00449168, 0.00449189, 0.00449212, 0.00449231", \
-            "0.00455353, 0.00455356, 0.00455363, 0.00455377, 0.00455396, 0.00455414" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.00454972, 0.00455115, 0.00455376, 0.0045563, 0.00455785, 0.0045586", \
-            "0.00499316, 0.00499462, 0.00499662, 0.00499894, 0.00500071, 0.00500166", \
-            "0.00513226, 0.00513446, 0.00513786, 0.00514132, 0.00514391, 0.00514539", \
-            "0.00541408, 0.00541074, 0.00540639, 0.00540389, 0.00540366, 0.00540417", \
-            "0.0059181, 0.00591735, 0.00591327, 0.00590598, 0.00589989, 0.0058978", \
-            "0.00662885, 0.00662637, 0.00673074, 0.00686779, 0.00693656, 0.00696186" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0607252, 0.0702138, 0.0900565, 0.135305, 0.248434, 0.537966", \
-            "0.0656435, 0.0751201, 0.0949245, 0.140198, 0.253343, 0.542886", \
-            "0.0765068, 0.0858901, 0.105661, 0.150951, 0.264141, 0.553724", \
-            "0.0919478, 0.101935, 0.122193, 0.167844, 0.281212, 0.570947", \
-            "0.104225, 0.115775, 0.137461, 0.183674, 0.297242, 0.586937", \
-            "0.101564, 0.115853, 0.14104, 0.189182, 0.302467, 0.592533" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0182242, 0.0278345, 0.0521722, 0.116548, 0.285605, 0.720807", \
-            "0.0182262, 0.0278429, 0.0521423, 0.116547, 0.285605, 0.720806", \
-            "0.018546, 0.0280779, 0.0523166, 0.116578, 0.285607, 0.720806", \
-            "0.0214233, 0.0304554, 0.0538763, 0.117121, 0.285638, 0.720783", \
-            "0.0269885, 0.0357183, 0.0576808, 0.118923, 0.286223, 0.720824", \
-            "0.0354133, 0.0454129, 0.0660746, 0.123142, 0.287265, 0.721532" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0951847, 0.10606, 0.12717, 0.168403, 0.258054, 0.478112", \
-            "0.100079, 0.110993, 0.132104, 0.173371, 0.263022, 0.483143", \
-            "0.112877, 0.123763, 0.144852, 0.186134, 0.275877, 0.495965", \
-            "0.143805, 0.154631, 0.175029, 0.216655, 0.306182, 0.52618", \
-            "0.198459, 0.210696, 0.233304, 0.276238, 0.366867, 0.587008", \
-            "0.282858, 0.297479, 0.324428, 0.370943, 0.463827, 0.685645" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0221273, 0.0306943, 0.0488028, 0.0911441, 0.200493, 0.493048", \
-            "0.0221228, 0.0306989, 0.0487904, 0.0911342, 0.200483, 0.493037", \
-            "0.0221194, 0.030688, 0.0487965, 0.0910899, 0.200473, 0.493046", \
-            "0.0224673, 0.0310241, 0.0494303, 0.0914354, 0.200743, 0.493067", \
-            "0.0280602, 0.0366212, 0.0540644, 0.0950904, 0.202299, 0.493117", \
-            "0.0365428, 0.0463881, 0.0646692, 0.10317, 0.207483, 0.496055" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.054317, 0.0565505, 0.0581209, 0.0598328, 0.0625059, 0.0660729, 0.06798, 0.0695118, 0.0722442, 0.0749762, 0.0768442, 0.0825882, 0.0864926, 0.090398, 0.0926368, 0.0957438, 0.0973974, 0.100187, 0.103907, 0.107367, 0.112639, 0.119667, 0.132049, 0.144395");
-            values ( \
-              "0.00772905, 0.109692, 0.140048, 0.164998, 0.195411, 0.224288, 0.233922, 0.238737, 0.241189, 0.233572, 0.217811, 0.122456, 0.079462, 0.0531651, 0.0422092, 0.0307222, 0.026077, 0.0198252, 0.0138585, 0.0101269, 0.00650532, 0.00377641, 0.0016305, 0.00114381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0093418");
-            index_3 ("0.0556107, 0.059734, 0.0611889, 0.0654527, 0.0690921, 0.0734647, 0.075587, 0.0776087, 0.0817201, 0.0858328, 0.0867364, 0.0898343, 0.097828, 0.101339, 0.106021, 0.111205, 0.115481, 0.121177, 0.124972, 0.132914, 0.137946, 0.148012, 0.163857, 0.165787");
-            values ( \
-              "0.0108943, 0.226742, 0.257606, 0.326923, 0.368614, 0.3992, 0.407156, 0.410754, 0.407465, 0.385937, 0.377016, 0.335487, 0.207939, 0.165754, 0.121502, 0.0860473, 0.0642232, 0.0435834, 0.0336321, 0.0197145, 0.0141899, 0.00739245, 0.00274288, 0.00263313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.023973");
-            index_3 ("0.0566082, 0.0653229, 0.0707553, 0.0740443, 0.0789982, 0.0865642, 0.0939965, 0.101423, 0.106519, 0.115968, 0.130073, 0.138096, 0.152348, 0.160711, 0.170083, 0.181103, 0.190775, 0.208649, 0.224168, 0.24085");
-            values ( \
-              "0.0438639, 0.396393, 0.482858, 0.519837, 0.557935, 0.582654, 0.579966, 0.557359, 0.530198, 0.448649, 0.303587, 0.236724, 0.147309, 0.110042, 0.0786408, 0.052562, 0.0366579, 0.0188459, 0.010409, 0.00623313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0615195");
-            index_3 ("0.0572513, 0.0667244, 0.0685861, 0.0748005, 0.078122, 0.0820804, 0.0899971, 0.0981045, 0.109249, 0.113735, 0.121583, 0.129822, 0.148282, 0.157061, 0.165358, 0.181954, 0.212085, 0.230848, 0.251126, 0.261469, 0.278012, 0.295365, 0.300471, 0.310683, 0.331106, 0.347069, 0.364726, 0.38827, 0.435356, 0.468954");
-            values ( \
-              "0.00842492, 0.459596, 0.496652, 0.591744, 0.627528, 0.659357, 0.697336, 0.711434, 0.708722, 0.702986, 0.689817, 0.672562, 0.624669, 0.595943, 0.564076, 0.48826, 0.345062, 0.269526, 0.201538, 0.172798, 0.133812, 0.101263, 0.0932025, 0.0787795, 0.0558291, 0.0425165, 0.0313893, 0.0207711, 0.00867728, 0.00539692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.157872");
-            index_3 ("0.0572045, 0.0735103, 0.0812834, 0.0861785, 0.0951635, 0.10481, 0.116795, 0.12885, 0.141032, 0.178528, 0.227057, 0.260037, 0.302838, 0.312295, 0.350124, 0.412046, 0.450133, 0.476733, 0.521274, 0.544225, 0.55911, 0.58722, 0.623509, 0.653251, 0.689135, 0.739782, 0.792962, 0.833773, 0.913107, 0.99244, 1.07177, 1.23044");
-            values ( \
-              "0.0949196, 0.602575, 0.694105, 0.729037, 0.768495, 0.785358, 0.789983, 0.784323, 0.775645, 0.740453, 0.690141, 0.651979, 0.590637, 0.574364, 0.502905, 0.380918, 0.31265, 0.269423, 0.206569, 0.179085, 0.162884, 0.135652, 0.106377, 0.0868601, 0.0676913, 0.0472236, 0.0323063, 0.0240479, 0.0133079, 0.00734752, 0.00403586, 0.00121536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.405131");
-            index_3 ("0.0677464, 0.10467, 0.114064, 0.127912, 0.143018, 0.187107, 0.343579, 0.443549, 0.549909, 0.665974, 0.726209, 0.970199, 1.09273, 1.17206, 1.25435, 1.36514, 1.44447, 1.48717, 1.5665, 1.64584, 1.79394, 1.98629, 2.14495, 2.30362, 2.62095, 2.85895");
-            values ( \
-              "0.749128, 0.815407, 0.8262, 0.8297, 0.827851, 0.812211, 0.750563, 0.709597, 0.661296, 0.594309, 0.551353, 0.362073, 0.277468, 0.23064, 0.187905, 0.141187, 0.114699, 0.101764, 0.0822558, 0.0655309, 0.0430305, 0.0246901, 0.0155019, 0.00967903, 0.0036898, 0.00216239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0737221, 0.0754027, 0.0780288, 0.0810889, 0.0855648, 0.0883644, 0.0910976, 0.0938275, 0.0957225, 0.101457, 0.105479, 0.111437, 0.117215, 0.12296, 0.126293, 0.13575, 0.149856");
-            values ( \
-              "0.0457455, 0.110458, 0.156212, 0.192968, 0.22826, 0.238914, 0.241365, 0.233658, 0.217567, 0.122193, 0.0782923, 0.0424133, 0.0236939, 0.013594, 0.0100539, 0.00465925, 0.0025509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0093418");
-            index_3 ("0.0744619, 0.0784948, 0.0806718, 0.0851363, 0.0879544, 0.0923345, 0.0964831, 0.100594, 0.104703, 0.108713, 0.114655, 0.120428, 0.127811, 0.136905, 0.145935, 0.151494, 0.160591, 0.17272, 0.1775");
-            values ( \
-              "0.00866539, 0.224231, 0.268955, 0.337617, 0.368638, 0.399538, 0.41121, 0.407635, 0.386169, 0.335417, 0.235983, 0.163394, 0.100238, 0.054005, 0.0291275, 0.0201041, 0.0111413, 0.00513755, 0.00457106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.023973");
-            index_3 ("0.0751197, 0.0847618, 0.0896163, 0.0967419, 0.100106, 0.10704, 0.112799, 0.118557, 0.124093, 0.160449, 0.173864, 0.191664, 0.208618, 0.232511, 0.243866");
-            values ( \
-              "0.0403348, 0.407466, 0.483028, 0.553051, 0.569917, 0.583669, 0.579192, 0.563744, 0.537683, 0.211301, 0.134345, 0.0711802, 0.0379526, 0.0155736, 0.0108596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0615195");
-            index_3 ("0.0750378, 0.0894465, 0.0920161, 0.097043, 0.100962, 0.108799, 0.116984, 0.12806, 0.140461, 0.1487, 0.164965, 0.175942, 0.184236, 0.230965, 0.249714, 0.269996, 0.280351, 0.296917, 0.31425, 0.319349, 0.329548, 0.349944, 0.365934, 0.383617, 0.407195, 0.45435, 0.518263, 0.59711");
-            values ( \
-              "0.0404748, 0.532074, 0.569871, 0.628508, 0.659333, 0.697453, 0.711283, 0.709026, 0.690007, 0.672396, 0.631444, 0.595915, 0.564091, 0.345067, 0.269561, 0.201572, 0.172782, 0.13375, 0.101256, 0.0931975, 0.0788015, 0.0558634, 0.0425303, 0.0313862, 0.0207498, 0.00866118, 0.00240942, 0.000449995" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.157872");
-            index_3 ("0.0838168, 0.105152, 0.114433, 0.123792, 0.136, 0.147949, 0.160019, 0.197515, 0.246044, 0.279025, 0.321825, 0.331283, 0.431026, 0.469133, 0.495746, 0.540268, 0.563203, 0.606193, 0.642491, 0.67225, 0.70813, 0.758775, 0.811943, 0.852744, 0.932077, 1.01141, 1.09074, 1.24941");
-            values ( \
-              "0.612007, 0.728146, 0.768846, 0.78518, 0.78983, 0.784269, 0.77558, 0.740401, 0.69014, 0.651979, 0.590636, 0.574361, 0.380935, 0.312628, 0.269386, 0.206559, 0.179095, 0.135664, 0.106383, 0.0868543, 0.0676864, 0.0472233, 0.032309, 0.0240499, 0.0133106, 0.00734745, 0.00403741, 0.00121653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.405131");
-            index_3 ("0.0912975, 0.0913175, 0.249114, 0.462078, 0.568439, 0.684504, 0.81793, 0.988729, 1.11126, 1.19059, 1.27288, 1.38367, 1.463, 1.5057, 1.66437, 1.81247, 2.00481, 2.16348, 2.32214, 2.63947, 2.87747");
-            values ( \
-              "1e-22, 0.872865, 0.79452, 0.709756, 0.661448, 0.594451, 0.495501, 0.361961, 0.277364, 0.230743, 0.187828, 0.141114, 0.114771, 0.101694, 0.065463, 0.0429647, 0.0246337, 0.0154468, 0.00962535, 0.0036388, 0.0022116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.114736, 0.124061, 0.127198, 0.130074, 0.132858, 0.135637, 0.137604, 0.143523, 0.148464, 0.153656, 0.159792, 0.164032, 0.169848, 0.182847, 0.187353");
-            values ( \
-              "0.0857808, 0.196438, 0.221405, 0.23391, 0.237882, 0.231152, 0.215106, 0.118572, 0.0692135, 0.0408191, 0.0220693, 0.014613, 0.00869307, 0.00315327, 0.0028821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0093418");
-            index_3 ("0.116026, 0.120958, 0.127414, 0.133225, 0.135025, 0.139245, 0.143148, 0.146423, 0.149778, 0.158728, 0.166034, 0.173267, 0.181231, 0.191092, 0.198162, 0.207587, 0.218082");
-            values ( \
-              "0.103266, 0.233715, 0.33813, 0.390674, 0.398666, 0.407211, 0.40128, 0.382438, 0.343507, 0.202295, 0.125356, 0.0774309, 0.0449123, 0.0229599, 0.0144355, 0.00791642, 0.00453927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.023973");
-            index_3 ("0.117386, 0.121966, 0.128262, 0.135609, 0.139196, 0.146847, 0.154304, 0.161764, 0.166403, 0.170005, 0.17721, 0.190313, 0.199089, 0.208037, 0.214887, 0.219673, 0.230415, 0.245459, 0.254925, 0.270569, 0.291428, 0.320732, 0.356742");
-            values ( \
-              "0.080118, 0.297511, 0.429376, 0.523849, 0.551052, 0.579297, 0.578005, 0.556413, 0.532411, 0.50703, 0.439135, 0.30438, 0.231857, 0.172402, 0.136618, 0.115591, 0.0786613, 0.045199, 0.0318218, 0.017705, 0.00781883, 0.00231912, 0.000488862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0615195");
-            index_3 ("0.122336, 0.137872, 0.142511, 0.151258, 0.158613, 0.169595, 0.182105, 0.190357, 0.208815, 0.225896, 0.272606, 0.291491, 0.311739, 0.321975, 0.338316, 0.361005, 0.371335, 0.391997, 0.407715, 0.425143, 0.448381, 0.494857, 0.527928");
-            values ( \
-              "0.441177, 0.613159, 0.653986, 0.696965, 0.710024, 0.708129, 0.689487, 0.672496, 0.624659, 0.564065, 0.345129, 0.269144, 0.201316, 0.172886, 0.134312, 0.0932091, 0.078626, 0.0554917, 0.042433, 0.0314515, 0.0209308, 0.00885485, 0.00554374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.157872");
-            index_3 ("0.12293, 0.138098, 0.147928, 0.155878, 0.165291, 0.177526, 0.189488, 0.201546, 0.219778, 0.276976, 0.320554, 0.363354, 0.372813, 0.410646, 0.472533, 0.510697, 0.537351, 0.581816, 0.604702, 0.619533, 0.647676, 0.684002, 0.713813, 0.74968, 0.800314, 0.853443, 0.89421, 0.973543, 1.05288, 1.13221, 1.29088");
-            values ( \
-              "0.466699, 0.647045, 0.731572, 0.765191, 0.783754, 0.788941, 0.784207, 0.775257, 0.758971, 0.701556, 0.65199, 0.590627, 0.574352, 0.502885, 0.380986, 0.312564, 0.269274, 0.206532, 0.179127, 0.162988, 0.135703, 0.1064, 0.0868374, 0.0676743, 0.0472229, 0.0323182, 0.0240588, 0.0133191, 0.00734865, 0.00404158, 0.00121934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.405131");
-            index_3 ("0.132761, 0.132781, 0.289178, 0.483207, 0.545106, 0.610201, 0.689534, 0.7865, 1.03049, 1.15302, 1.23235, 1.31464, 1.42543, 1.50476, 1.62679, 1.70613, 1.78546, 1.90267, 2.04658, 2.20525, 2.36391, 2.60191, 2.91924");
-            values ( \
-              "1e-22, 0.869382, 0.795654, 0.718098, 0.691545, 0.661034, 0.617604, 0.551615, 0.362337, 0.277731, 0.230378, 0.188159, 0.141439, 0.114448, 0.0820071, 0.0657794, 0.0525682, 0.0377018, 0.024929, 0.0157391, 0.00991456, 0.00492165, 0.00193261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.188153, 0.192341, 0.209606, 0.21311, 0.216362, 0.219543, 0.222719, 0.227751, 0.231997, 0.238224, 0.246309, 0.253877, 0.266046, 0.276268");
-            values ( \
-              "0.0218565, 0.0346967, 0.177757, 0.196658, 0.206061, 0.206701, 0.189212, 0.116229, 0.074308, 0.0404533, 0.0187115, 0.00939156, 0.00396421, 0.00267229" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0093418");
-            index_3 ("0.190611, 0.204143, 0.211855, 0.217129, 0.221862, 0.226391, 0.230921, 0.232587, 0.235889, 0.243328, 0.247121, 0.250649, 0.256881, 0.261114, 0.26754, 0.271316, 0.279177, 0.284033, 0.293745, 0.307357");
-            values ( \
-              "0.0117666, 0.201103, 0.294945, 0.343209, 0.367345, 0.375489, 0.363021, 0.350618, 0.310463, 0.201958, 0.159345, 0.127711, 0.0847908, 0.0640054, 0.0418127, 0.0325262, 0.0194023, 0.0142169, 0.00767686, 0.00354492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.023973");
-            index_3 ("0.191537, 0.210814, 0.21775, 0.222735, 0.230984, 0.238813, 0.246642, 0.249981, 0.254931, 0.258878, 0.272461, 0.2779, 0.285582, 0.294031, 0.302137, 0.307215, 0.318378, 0.324414, 0.33537, 0.348355, 0.358814, 0.379733, 0.409975, 0.447208");
-            values ( \
-              "0.00432568, 0.354383, 0.451331, 0.500379, 0.546369, 0.556507, 0.542377, 0.52836, 0.498915, 0.466992, 0.330254, 0.281628, 0.221291, 0.167307, 0.126878, 0.106156, 0.0711002, 0.0570243, 0.0378924, 0.0234095, 0.0157941, 0.00696091, 0.00196693, 0.000383944" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0615195");
-            index_3 ("0.190732, 0.226104, 0.234105, 0.243048, 0.248944, 0.259042, 0.268027, 0.275286, 0.289803, 0.297456, 0.317361, 0.35832, 0.384425, 0.412392, 0.424703, 0.441128, 0.455834, 0.475441, 0.492229, 0.514825, 0.544953, 0.60216");
-            values ( \
-              "0.0233483, 0.603127, 0.658871, 0.688575, 0.694771, 0.691938, 0.681943, 0.6692, 0.635214, 0.612655, 0.535842, 0.342054, 0.240849, 0.159231, 0.131418, 0.100935, 0.0793203, 0.0570129, 0.0428168, 0.02901, 0.0169899, 0.00565549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.157872");
-            index_3 ("0.1916, 0.224895, 0.230706, 0.241585, 0.248885, 0.25263, 0.256505, 0.26315, 0.269179, 0.277896, 0.286677, 0.30424, 0.362132, 0.405716, 0.448519, 0.457968, 0.495766, 0.557945, 0.59546, 0.621656, 0.666762, 0.690198, 0.705489, 0.73335, 0.769361, 0.798577, 0.834584, 0.885323, 0.908014, 0.938853, 0.979973, 1.05931, 1.13864, 1.21797, 1.37664");
-            values ( \
-              "0.00161651, 0.62448, 0.681291, 0.745144, 0.765393, 0.770666, 0.775772, 0.780711, 0.781595, 0.779019, 0.773643, 0.759196, 0.701557, 0.651991, 0.590622, 0.574363, 0.502968, 0.380512, 0.313242, 0.2706, 0.206797, 0.178743, 0.162152, 0.135242, 0.106257, 0.0870747, 0.0677958, 0.0472724, 0.0402266, 0.0322592, 0.0239526, 0.0132596, 0.00731588, 0.00402325, 0.00121369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.405131");
-            index_3 ("0.206909, 0.231094, 0.246515, 0.264179, 0.273282, 0.288509, 0.30362, 0.329617, 0.489091, 0.589019, 0.668352, 0.749638, 0.811378, 0.870918, 1.09403, 1.15895, 1.23828, 1.31761, 1.39979, 1.43753, 1.51055, 1.58988, 1.63264, 1.71197, 1.7913, 1.87064, 1.98784, 2.05242, 2.13175, 2.29042, 2.44908, 2.68708, 3.00441");
-            values ( \
-              "0.501833, 0.704585, 0.788658, 0.819782, 0.825265, 0.82612, 0.82235, 0.813082, 0.75032, 0.709378, 0.674167, 0.632293, 0.594159, 0.552127, 0.3784, 0.330935, 0.277653, 0.230358, 0.188162, 0.170964, 0.141452, 0.114476, 0.102007, 0.0820158, 0.0657723, 0.0525759, 0.0376975, 0.0313102, 0.0249248, 0.0157352, 0.00991082, 0.00492548, 0.00193604" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.339074, 0.347499, 0.349806, 0.353016, 0.3579, 0.363055, 0.369241, 0.372923, 0.375101, 0.379331, 0.38335, 0.387368, 0.395457, 0.399018, 0.404286, 0.408071, 0.411261, 0.413619, 0.418334, 0.422144, 0.426994, 0.43346, 0.446392, 0.463242, 0.484779");
-            values ( \
-              "0.00525903, 0.0258667, 0.0333158, 0.0474585, 0.0765144, 0.102206, 0.128544, 0.142194, 0.149335, 0.160557, 0.165835, 0.159858, 0.0825438, 0.0589196, 0.0370254, 0.0263456, 0.0199654, 0.0162923, 0.0109675, 0.00817101, 0.00580802, 0.00384754, 0.00187882, 0.000845793, 0.000313444" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0093418");
-            index_3 ("0.341223, 0.350832, 0.353277, 0.372677, 0.381492, 0.385418, 0.390837, 0.396094, 0.401342, 0.411309, 0.418538, 0.424869, 0.429512, 0.433909, 0.439771, 0.444413, 0.451562, 0.461095, 0.477329, 0.479057");
-            values ( \
-              "0.0116649, 0.0583644, 0.0729948, 0.221902, 0.280499, 0.301225, 0.31953, 0.321525, 0.292413, 0.172254, 0.111447, 0.0750342, 0.0555893, 0.0418577, 0.0285607, 0.0212579, 0.0136738, 0.00770572, 0.00301979, 0.0028512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.023973");
-            index_3 ("0.340999, 0.349106, 0.353697, 0.361567, 0.385961, 0.395642, 0.40435, 0.412824, 0.421279, 0.424561, 0.443682, 0.454448, 0.46761, 0.482766, 0.492267, 0.503044, 0.51558, 0.525584, 0.537762");
-            values ( \
-              "0.0384564, 0.0624084, 0.0924223, 0.161713, 0.411852, 0.479787, 0.509845, 0.51119, 0.483929, 0.462087, 0.288533, 0.20715, 0.133929, 0.0786397, 0.0558006, 0.0374756, 0.0236511, 0.0163046, 0.0116845" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0615195");
-            index_3 ("0.349003, 0.364921, 0.389067, 0.397094, 0.408017, 0.421681, 0.424822, 0.431106, 0.441451, 0.458745, 0.467125, 0.477423, 0.526921, 0.548394, 0.581523, 0.612829, 0.645496, 0.665829, 0.696647, 0.737737, 0.754747");
-            values ( \
-              "0.0588282, 0.219613, 0.51176, 0.581971, 0.642839, 0.670141, 0.671845, 0.669143, 0.658119, 0.621917, 0.59755, 0.560674, 0.333446, 0.250225, 0.153957, 0.0934741, 0.0541664, 0.0382761, 0.0224041, 0.0105944, 0.00853621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.157872");
-            index_3 ("0.348782, 0.393924, 0.405756, 0.415046, 0.429251, 0.441184, 0.452555, 0.470857, 0.49034, 0.545718, 0.582785, 0.618035, 0.655024, 0.750473, 0.773367, 0.819154, 0.84484, 0.873283, 0.911206, 0.938147, 0.984222, 1.03688, 1.07349, 1.1297, 1.20464, 1.28397, 1.36331, 1.52197");
-            values ( \
-              "0.0266249, 0.596975, 0.689229, 0.732117, 0.761452, 0.768167, 0.76476, 0.753783, 0.735963, 0.680705, 0.637912, 0.585178, 0.517178, 0.332977, 0.294085, 0.225206, 0.192445, 0.160729, 0.125329, 0.104562, 0.0762528, 0.0525323, 0.0404947, 0.0270239, 0.0155178, 0.00855443, 0.00472093, 0.00143105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.405131");
-            index_3 ("0.373415, 0.405992, 0.424883, 0.446606, 0.46438, 0.502497, 0.582678, 0.703942, 0.834973, 0.916258, 0.977998, 1.03754, 1.26065, 1.4049, 1.48423, 1.56641, 1.67717, 1.7565, 1.87859, 1.95792, 2.03726, 2.15446, 2.29837, 2.45703, 2.6157, 2.8537, 3.17103");
-            values ( \
-              "0.648949, 0.714165, 0.792036, 0.816616, 0.817389, 0.807391, 0.778779, 0.730857, 0.674152, 0.63228, 0.594172, 0.552113, 0.378413, 0.277641, 0.23037, 0.188151, 0.141441, 0.114487, 0.0820266, 0.065762, 0.0525864, 0.037688, 0.0249156, 0.0157259, 0.00990162, 0.0049347, 0.00194497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.63912, 0.655108, 0.666732, 0.67139, 0.676236, 0.694592, 0.70379, 0.709453, 0.714835, 0.720142, 0.725443, 0.733128, 0.738492, 0.742607, 0.75106, 0.75773, 0.76223, 0.778371, 0.80123");
-            values ( \
-              "0.00296786, 0.00634206, 0.0175971, 0.0250008, 0.0366756, 0.0942377, 0.111826, 0.119377, 0.124078, 0.123202, 0.104339, 0.0540573, 0.035554, 0.0254687, 0.0131576, 0.00802421, 0.00598078, 0.00271642, 0.00155863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0093418");
-            index_3 ("0.643013, 0.655556, 0.667283, 0.673654, 0.678032, 0.699709, 0.70892, 0.716818, 0.723945, 0.730653, 0.737367, 0.749684, 0.758612, 0.768608, 0.777267, 0.787703, 0.800895, 0.815712");
-            values ( \
-              "0.00740376, 0.01294, 0.0322261, 0.0487424, 0.0646724, 0.165251, 0.199632, 0.226698, 0.24523, 0.256058, 0.246628, 0.145351, 0.0892868, 0.0496924, 0.029484, 0.0158876, 0.00774404, 0.0037225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.023973");
-            index_3 ("0.655775, 0.674339, 0.678729, 0.684284, 0.715992, 0.728466, 0.739128, 0.748997, 0.7587, 0.768391, 0.782095, 0.788287, 0.797817, 0.80819, 0.815642, 0.824856, 0.830115, 0.840634, 0.847976, 0.859449, 0.874746, 0.903155, 0.93667");
-            values ( \
-              "0.0211003, 0.0719156, 0.0909188, 0.119881, 0.310785, 0.380526, 0.426649, 0.448599, 0.442256, 0.39166, 0.279415, 0.233791, 0.174513, 0.124457, 0.0964864, 0.0699394, 0.0580322, 0.0396283, 0.030415, 0.0200818, 0.0114429, 0.0037591, 0.00100766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0615195");
-            index_3 ("0.655899, 0.685115, 0.742711, 0.757404, 0.761588, 0.769955, 0.779276, 0.796885, 0.805445, 0.814282, 0.826865, 0.859831, 0.890974, 0.917824, 0.930382, 0.952087, 0.96217, 0.982336, 0.998647, 1.01658, 1.04049, 1.08831, 1.11079");
-            values ( \
-              "0.00980445, 0.141961, 0.54975, 0.608736, 0.618021, 0.628643, 0.62972, 0.609205, 0.589019, 0.561566, 0.51095, 0.357629, 0.237159, 0.159774, 0.131539, 0.0929104, 0.0787743, 0.0561751, 0.0425833, 0.0313304, 0.0206248, 0.0085111, 0.00634172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.157872");
-            index_3 ("0.655871, 0.7678, 0.789402, 0.795077, 0.801563, 0.814535, 0.828483, 0.86826, 0.910471, 0.953413, 0.962908, 1.00089, 1.06244, 1.10159, 1.12893, 1.17253, 1.2088, 1.24787, 1.28484, 1.31443, 1.3736, 1.41228, 1.43377, 1.47674, 1.55607, 1.6354, 1.71474, 1.8734");
-            values ( \
-              "0.00380913, 0.718772, 0.746785, 0.74774, 0.747025, 0.741713, 0.7323, 0.696158, 0.649522, 0.58899, 0.572851, 0.501735, 0.381119, 0.31124, 0.267151, 0.206016, 0.164153, 0.127477, 0.0995059, 0.0812081, 0.053442, 0.0405973, 0.0348072, 0.0255084, 0.0141282, 0.00779798, 0.0042888, 0.00129419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.405131");
-            index_3 ("0.687699, 0.725564, 0.744935, 0.76086, 0.781105, 0.801677, 0.81915, 0.842448, 0.870185, 1.07318, 1.13571, 1.24968, 1.3167, 1.3692, 1.62052, 1.69985, 1.76951, 1.84884, 1.91617, 2.0246, 2.10394, 2.20623, 2.28556, 2.34793, 2.45758, 2.59754, 2.75621, 2.99421, 3.2322, 3.54954");
-            values ( \
-              "0.285319, 0.485337, 0.643709, 0.731941, 0.787324, 0.807018, 0.808971, 0.804364, 0.795867, 0.716921, 0.690343, 0.634979, 0.594103, 0.557223, 0.36255, 0.306239, 0.261462, 0.216255, 0.182924, 0.138197, 0.111804, 0.0845752, 0.0678294, 0.0569384, 0.0417184, 0.0279249, 0.0176397, 0.00881352, 0.00439042, 0.00172972" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.00333505, 0.00333547, 0.00333608, 0.00333658, 0.00333685, 0.00333697", \
-            "0.00424074, 0.00424067, 0.00424075, 0.00424101, 0.00424125, 0.00424139", \
-            "0.00486517, 0.00486498, 0.0048647, 0.00486449, 0.00486444, 0.00486446", \
-            "0.0053313, 0.00533127, 0.00533192, 0.00533086, 0.00533143, 0.00533052", \
-            "0.00565441, 0.00565436, 0.00565434, 0.00565424, 0.0056541, 0.005654", \
-            "0.00585769, 0.00585765, 0.00585758, 0.00585747, 0.00585734, 0.00585723" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0051716, 0.00517042, 0.00516956, 0.00516935, 0.00516939, 0.00516944", \
-            "0.0056635, 0.00566296, 0.00566132, 0.00566008, 0.00565934, 0.00565901", \
-            "0.00562057, 0.00562023, 0.00561958, 0.0056186, 0.00561769, 0.00561715", \
-            "0.00582317, 0.00583215, 0.0058383, 0.00584262, 0.00584113, 0.00584254", \
-            "0.00608114, 0.00607465, 0.00615489, 0.00623332, 0.00625787, 0.00626825", \
-            "0.00584797, 0.00584813, 0.00584797, 0.00585382, 0.00594745, 0.00598386" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0751402, 0.0799001, 0.0843714, 0.0877667, 0.0917753, 0.0993108, 0.10322, 0.1068, 0.11018, 0.113489, 0.116794, 0.122425, 0.126413, 0.130325, 0.13474, 0.138569, 0.144826, 0.149177");
-            values ( \
-              "-0.0275972, -0.0374641, -0.051601, -0.0756981, -0.114436, -0.158886, -0.176542, -0.189667, -0.19785, -0.198829, -0.179022, -0.0934423, -0.0474443, -0.0224829, -0.00971065, -0.00482101, -0.00187104, -0.00139641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0093418");
-            index_3 ("0.0776804, 0.0828386, 0.0838709, 0.0852472, 0.0878096, 0.0892213, 0.0911036, 0.0938613, 0.0988424, 0.101427, 0.106597, 0.109258, 0.112824, 0.117752, 0.122464, 0.127124, 0.132135, 0.134264, 0.136902, 0.139492, 0.140786, 0.143374, 0.144652, 0.145596, 0.146854, 0.148919, 0.151866, 0.153201, 0.155872, 0.161214, 0.167443");
-            values ( \
-              "-0.0588652, -0.0817198, -0.0886568, -0.0946363, -0.112293, -0.127192, -0.150795, -0.186798, -0.234327, -0.255389, -0.293604, -0.310362, -0.330067, -0.351551, -0.36334, -0.359216, -0.31187, -0.274496, -0.22231, -0.174416, -0.152899, -0.115435, -0.0998929, -0.0895758, -0.0772082, -0.060185, -0.0416895, -0.0353105, -0.0251838, -0.0126332, -0.00641146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.023973");
-            index_3 ("0.0808694, 0.0917425, 0.0997006, 0.113401, 0.12259, 0.130842, 0.138597, 0.146148, 0.153691, 0.156979, 0.160374, 0.17708, 0.184258, 0.19153, 0.197127, 0.203201, 0.207904, 0.217308, 0.233593, 0.25306");
-            values ( \
-              "-0.0775149, -0.196531, -0.305057, -0.437132, -0.502742, -0.543186, -0.568988, -0.575715, -0.553068, -0.527358, -0.487311, -0.224234, -0.146561, -0.0927524, -0.0642978, -0.0430651, -0.0314238, -0.0164403, -0.00499827, -0.00134714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0615195");
-            index_3 ("0.0823611, 0.0949404, 0.104946, 0.116804, 0.126383, 0.135217, 0.151027, 0.165703, 0.179971, 0.194223, 0.208512, 0.210481, 0.218359, 0.240203, 0.254868, 0.265224, 0.274126, 0.281516, 0.290156, 0.302379, 0.315138, 0.32492, 0.344483, 0.380113, 0.422305");
-            values ( \
-              "-0.0839114, -0.268447, -0.400947, -0.529349, -0.608748, -0.66553, -0.736072, -0.771676, -0.783153, -0.768902, -0.716308, -0.705188, -0.642909, -0.415142, -0.288694, -0.219332, -0.17157, -0.13936, -0.108719, -0.0759166, -0.0520821, -0.0388498, -0.0212455, -0.00640121, -0.00143987" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.157872");
-            index_3 ("0.0834193, 0.114404, 0.121258, 0.133712, 0.141954, 0.15844, 0.176263, 0.19854, 0.207635, 0.223094, 0.238386, 0.269101, 0.274304, 0.290481, 0.301528, 0.323623, 0.335914, 0.360495, 0.403997, 0.438295, 0.457642, 0.473344, 0.49832, 0.525493, 0.559595, 0.571307, 0.599226, 0.631135, 0.694951, 0.759817, 0.824684");
-            values ( \
-              "-0.117487, -0.535417, -0.605797, -0.707, -0.758232, -0.834111, -0.884147, -0.916734, -0.922482, -0.926719, -0.92411, -0.905653, -0.90027, -0.880822, -0.863979, -0.818268, -0.782367, -0.679501, -0.466734, -0.329296, -0.267453, -0.224761, -0.169191, -0.123319, -0.0819146, -0.0711828, -0.0508189, -0.0343285, -0.01507, -0.00647005, -0.00277839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.405131");
-            index_3 ("0.101596, 0.134014, 0.15953, 0.191306, 0.216924, 0.241639, 0.265098, 0.30113, 0.338178, 0.44358, 0.48942, 0.554286, 0.599812, 0.655448, 0.720314, 0.823287, 0.911722, 0.983741, 1.04769, 1.10475, 1.15566, 1.22053, 1.27729, 1.37584, 1.44071, 1.57044, 1.70018, 1.89478");
-            values ( \
-              "-0.699935, -0.730583, -0.870969, -0.956886, -0.987675, -1.00015, -1.00304, -0.999821, -0.990871, -0.95461, -0.934154, -0.897942, -0.863252, -0.801026, -0.687581, -0.481388, -0.336984, -0.247234, -0.185744, -0.143111, -0.11277, -0.0831217, -0.0633663, -0.0394231, -0.0288937, -0.0153813, -0.00818115, -0.0030664" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0945634, 0.100831, 0.103123, 0.106589, 0.110357, 0.118042, 0.121957, 0.125536, 0.128916, 0.132225, 0.135529, 0.141158, 0.145149, 0.149061, 0.153468, 0.157289, 0.163537, 0.171258, 0.190031");
-            values ( \
-              "-0.0291208, -0.0428403, -0.0516661, -0.0764967, -0.113242, -0.158811, -0.176646, -0.189648, -0.19791, -0.198826, -0.179047, -0.093467, -0.0474323, -0.0224745, -0.0097207, -0.00483443, -0.00187514, -0.00103081, -0.000794052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0093418");
-            index_3 ("0.0961557, 0.101976, 0.107023, 0.112543, 0.120135, 0.126202, 0.131542, 0.136465, 0.141178, 0.145837, 0.150847, 0.158206, 0.163369, 0.167611, 0.170566, 0.174601, 0.179981, 0.188289, 0.198191");
-            values ( \
-              "-0.0303275, -0.0853729, -0.115989, -0.186704, -0.255636, -0.299414, -0.330246, -0.351635, -0.363447, -0.359261, -0.311905, -0.174383, -0.099828, -0.0603087, -0.0417564, -0.0251379, -0.012524, -0.00430637, -0.00278693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.023973");
-            index_3 ("0.0972592, 0.107057, 0.111301, 0.118365, 0.132334, 0.141519, 0.149768, 0.157522, 0.165072, 0.172613, 0.175909, 0.179311, 0.196029, 0.203186, 0.210442, 0.216042, 0.222131, 0.226846, 0.236275, 0.24735");
-            values ( \
-              "-0.013106, -0.163225, -0.2037, -0.301711, -0.437497, -0.502866, -0.543402, -0.569039, -0.575851, -0.553079, -0.527333, -0.487123, -0.22389, -0.146504, -0.0928059, -0.0643202, -0.0430396, -0.031379, -0.0163864, -0.00863164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0615195");
-            index_3 ("0.0983825, 0.113924, 0.123897, 0.135744, 0.14599, 0.154147, 0.169932, 0.184628, 0.198894, 0.213144, 0.229403, 0.237287, 0.273788, 0.293046, 0.309077, 0.3213, 0.334058, 0.363402, 0.366246");
-            values ( \
-              "-0.0156585, -0.269657, -0.401605, -0.529845, -0.61392, -0.665779, -0.736122, -0.771787, -0.783194, -0.768954, -0.705204, -0.642858, -0.288697, -0.171571, -0.108719, -0.0759155, -0.0520819, -0.021246, -0.0200614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.157872");
-            index_3 ("0.098172, 0.123865, 0.13237, 0.15036, 0.16103, 0.178597, 0.195287, 0.217579, 0.22669, 0.242146, 0.257437, 0.288151, 0.29337, 0.320578, 0.342638, 0.354963, 0.379613, 0.422949, 0.457337, 0.476789, 0.492457, 0.517375, 0.544437, 0.578608, 0.590337, 0.618363, 0.650392, 0.71445, 0.779316, 0.844183");
-            values ( \
-              "-0.0239477, -0.418531, -0.52472, -0.690422, -0.75871, -0.838548, -0.884169, -0.916948, -0.922532, -0.926848, -0.924105, -0.905728, -0.900275, -0.863986, -0.818362, -0.782369, -0.679173, -0.467176, -0.329323, -0.267168, -0.224601, -0.16918, -0.123471, -0.0819497, -0.0711962, -0.0507657, -0.0342374, -0.0149794, -0.00642862, -0.00276289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.405131");
-            index_3 ("0.114368, 0.140381, 0.170026, 0.191118, 0.210353, 0.233718, 0.258557, 0.284126, 0.357201, 0.431718, 0.469314, 0.508439, 0.573306, 0.618825, 0.674465, 0.739331, 0.832842, 0.873127, 0.935903, 1.00515, 1.06645, 1.12451, 1.17519, 1.24006, 1.2962, 1.38182, 1.44669, 1.57642, 1.70615, 1.90075");
-            values ( \
-              "-0.499728, -0.622482, -0.83358, -0.915689, -0.957113, -0.986986, -0.99912, -1.00379, -0.991414, -0.966901, -0.951403, -0.934548, -0.897547, -0.862921, -0.801367, -0.687232, -0.499206, -0.426895, -0.329961, -0.244737, -0.185973, -0.142615, -0.112599, -0.0828944, -0.0634932, -0.0421104, -0.0307426, -0.0163353, -0.00865389, -0.00335023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.138521, 0.144279, 0.146804, 0.155118, 0.161693, 0.169182, 0.172561, 0.17587, 0.179174, 0.184803, 0.188793, 0.192704, 0.197113, 0.200936, 0.207181, 0.214899, 0.231783");
-            values ( \
-              "-0.0299223, -0.0431204, -0.0514159, -0.120958, -0.159007, -0.189806, -0.197803, -0.198964, -0.178948, -0.0934662, -0.04744, -0.0224795, -0.00971855, -0.00483177, -0.0018752, -0.00103122, -0.000818224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0093418");
-            index_3 ("0.138499, 0.145535, 0.150579, 0.156099, 0.164693, 0.17508, 0.180004, 0.184718, 0.189377, 0.194388, 0.206906, 0.211164, 0.21813, 0.223484, 0.226805");
-            values ( \
-              "-0.0100754, -0.0856347, -0.116341, -0.18658, -0.263565, -0.330164, -0.351605, -0.363406, -0.359246, -0.311889, -0.0998733, -0.0602293, -0.0251687, -0.0125973, -0.00930373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.023973");
-            index_3 ("0.138476, 0.170479, 0.175996, 0.185183, 0.193434, 0.201188, 0.208739, 0.216281, 0.219569, 0.222964, 0.23967, 0.246847, 0.254119, 0.259716, 0.26579, 0.270492, 0.279896, 0.286623");
-            values ( \
-              "-0.00668073, -0.390328, -0.437411, -0.502697, -0.543393, -0.568921, -0.575869, -0.552999, -0.527381, -0.487326, -0.224235, -0.146564, -0.0927562, -0.0643006, -0.0430673, -0.0314262, -0.0164416, -0.0117143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0615195");
-            index_3 ("0.138336, 0.179368, 0.190433, 0.19775, 0.213533, 0.228194, 0.242448, 0.256702, 0.272959, 0.280825, 0.317349, 0.336607, 0.352636, 0.36486, 0.37762, 0.406968, 0.411724");
-            values ( \
-              "-0.00946856, -0.530646, -0.620728, -0.666169, -0.736318, -0.771513, -0.783078, -0.768808, -0.705161, -0.643019, -0.288687, -0.171566, -0.108721, -0.0759172, -0.0520805, -0.0212426, -0.0192614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.157872");
-            index_3 ("0.149612, 0.184049, 0.196498, 0.204684, 0.221056, 0.238953, 0.261092, 0.270316, 0.285771, 0.30106, 0.331773, 0.337004, 0.353183, 0.364201, 0.386236, 0.398585, 0.423283, 0.466503, 0.500954, 0.520481, 0.536123, 0.561001, 0.587985, 0.622205, 0.633946, 0.662047, 0.694161, 0.758391, 0.823257, 0.888124");
-            values ( \
-              "-0.20878, -0.608875, -0.708809, -0.759832, -0.834408, -0.884852, -0.916693, -0.922866, -0.926686, -0.924362, -0.905537, -0.900284, -0.880809, -0.863987, -0.818431, -0.782366, -0.678946, -0.467484, -0.329339, -0.266964, -0.22449, -0.169174, -0.123581, -0.0819721, -0.0712076, -0.0507258, -0.034175, -0.0149135, -0.00640141, -0.00274993" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.405131");
-            index_3 ("0.166525, 0.254284, 0.279139, 0.304241, 0.328065, 0.364172, 0.401144, 0.506995, 0.617252, 0.662777, 0.718414, 0.78328, 0.886258, 0.974689, 1.04669, 1.11065, 1.16772, 1.21863, 1.2835, 1.34026, 1.43881, 1.50367, 1.63341, 1.7271");
-            values ( \
-              "-0.707505, -0.956979, -0.987227, -0.999973, -1.00317, -0.999715, -0.990975, -0.954332, -0.897852, -0.863172, -0.801095, -0.687526, -0.481414, -0.337017, -0.247274, -0.18572, -0.143082, -0.112792, -0.0830956, -0.0633881, -0.039445, -0.0288732, -0.0153609, -0.011815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.2325, 0.239269, 0.24109, 0.244584, 0.248741, 0.254365, 0.258647, 0.264593, 0.268218, 0.271632, 0.274967, 0.278297, 0.283973, 0.287915, 0.291828, 0.296414, 0.300417, 0.306712, 0.314532, 0.342637");
-            values ( \
-              "-0.00782789, -0.0309348, -0.0340793, -0.0447218, -0.0709349, -0.118197, -0.144541, -0.173849, -0.187446, -0.196182, -0.197484, -0.178163, -0.092701, -0.0475409, -0.022559, -0.00945899, -0.00457136, -0.00181225, -0.00101238, -0.000667517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0093418");
-            index_3 ("0.232509, 0.2485, 0.258152, 0.268745, 0.274156, 0.279124, 0.283871, 0.288555, 0.293584, 0.305028, 0.310262, 0.315352, 0.319351, 0.324684, 0.326486");
-            values ( \
-              "-0.00474542, -0.103404, -0.210296, -0.294827, -0.326573, -0.34892, -0.361189, -0.357698, -0.310911, -0.112909, -0.0611003, -0.032457, -0.0196381, -0.00991969, -0.00858692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.023973");
-            index_3 ("0.233095, 0.244764, 0.248929, 0.261663, 0.273074, 0.278268, 0.283226, 0.291642, 0.299491, 0.307111, 0.314721, 0.320148, 0.338121, 0.345351, 0.352648, 0.358249, 0.364317, 0.369013, 0.378405, 0.390483");
-            values ( \
-              "-0.0402091, -0.107764, -0.141965, -0.302335, -0.416042, -0.457638, -0.491243, -0.536036, -0.562665, -0.571927, -0.549687, -0.502102, -0.224462, -0.146407, -0.0925816, -0.0641941, -0.0430351, -0.0314279, -0.0164716, -0.00796717" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0615195");
-            index_3 ("0.233323, 0.285892, 0.296178, 0.312115, 0.326857, 0.341168, 0.35546, 0.37169, 0.379379, 0.416133, 0.435392, 0.451409, 0.463636, 0.476405, 0.505776, 0.508794");
-            values ( \
-              "-0.00977121, -0.589236, -0.65825, -0.732077, -0.768771, -0.781575, -0.767641, -0.704794, -0.644661, -0.288591, -0.17153, -0.10874, -0.0759296, -0.0520772, -0.0212279, -0.0199699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.157872");
-            index_3 ("0.248881, 0.264479, 0.27772, 0.290055, 0.302885, 0.321868, 0.337364, 0.35391, 0.36882, 0.396011, 0.418633, 0.430663, 0.454722, 0.478867, 0.497138, 0.532365, 0.561064, 0.585377, 0.606232, 0.633293, 0.654676, 0.693357, 0.72346, 0.755308, 0.779786, 0.828742, 0.893608, 0.905486");
-            values ( \
-              "-0.345903, -0.382955, -0.547809, -0.662838, -0.750805, -0.838242, -0.881362, -0.908739, -0.921192, -0.925126, -0.914308, -0.904634, -0.876531, -0.832647, -0.782265, -0.627457, -0.486508, -0.382297, -0.307372, -0.22854, -0.179255, -0.114286, -0.0796097, -0.0542609, -0.0402924, -0.0217304, -0.00939868, -0.00869832" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.405131");
-            index_3 ("0.263346, 0.30374, 0.328053, 0.348047, 0.378487, 0.402833, 0.42623, 0.462674, 0.499325, 0.604612, 0.650571, 0.715437, 0.760963, 0.816599, 0.881465, 0.984442, 1.07287, 1.14488, 1.20883, 1.2659, 1.31681, 1.38168, 1.43844, 1.53698, 1.60185, 1.73158, 1.83098");
-            values ( \
-              "-0.701137, -0.7817, -0.894416, -0.94704, -0.986631, -0.999274, -1.00269, -0.999487, -0.990895, -0.954569, -0.934236, -0.897862, -0.86318, -0.801087, -0.687535, -0.481412, -0.337014, -0.247273, -0.185723, -0.143086, -0.112792, -0.0830986, -0.0633892, -0.0394458, -0.0288751, -0.0153622, -0.0115998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.428121, 0.438069, 0.443852, 0.448953, 0.454572, 0.462581, 0.469189, 0.477959, 0.479981, 0.482004, 0.486122, 0.492833, 0.496376, 0.498966, 0.50298");
-            values ( \
-              "-0.0202528, -0.0236597, -0.0374133, -0.0544246, -0.0831318, -0.115204, -0.137789, -0.163972, -0.162994, -0.1608, -0.15235, -0.0772762, -0.0446693, -0.028696, -0.0140669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0093418");
-            index_3 ("0.421406, 0.426455, 0.432434, 0.440029, 0.443654, 0.446525, 0.452267, 0.4552, 0.465634, 0.473415, 0.48002, 0.485926, 0.491404, 0.496713, 0.50201, 0.502723, 0.510996, 0.515982, 0.519702, 0.523016, 0.527099, 0.530359, 0.536878, 0.543483");
-            values ( \
-              "-0.01286, -0.0154801, -0.0292131, -0.0482401, -0.0598969, -0.0712391, -0.103607, -0.127341, -0.193946, -0.238104, -0.271735, -0.29773, -0.315335, -0.319761, -0.287945, -0.280404, -0.151884, -0.090895, -0.0597425, -0.0403426, -0.0247814, -0.0167218, -0.00754746, -0.00414831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.023973");
-            index_3 ("0.426557, 0.443457, 0.451753, 0.479586, 0.493992, 0.499786, 0.508352, 0.516545, 0.524727, 0.532897, 0.550139, 0.557654, 0.568642, 0.578188, 0.586084, 0.59535");
-            values ( \
-              "-0.0136817, -0.0885381, -0.133205, -0.35858, -0.456693, -0.487175, -0.520239, -0.535191, -0.521033, -0.45034, -0.205305, -0.133449, -0.0669205, -0.0361193, -0.0213543, -0.0129032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0615195");
-            index_3 ("0.427148, 0.456968, 0.482796, 0.495309, 0.503858, 0.519212, 0.530722, 0.536542, 0.548184, 0.555978, 0.566247, 0.577039, 0.581533, 0.58934, 0.612287, 0.630423, 0.646573, 0.666376, 0.680733, 0.689632, 0.707432, 0.732993");
-            values ( \
-              "-0.000263141, -0.197527, -0.43915, -0.546149, -0.604143, -0.681462, -0.718296, -0.731717, -0.747613, -0.750694, -0.745382, -0.719304, -0.700004, -0.648026, -0.418938, -0.26763, -0.172902, -0.0982977, -0.0645159, -0.0495332, -0.0287735, -0.0140125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.157872");
-            index_3 ("0.427223, 0.529703, 0.547111, 0.565467, 0.575516, 0.587644, 0.610744, 0.624605, 0.64198, 0.67335, 0.696877, 0.708605, 0.726403, 0.766695, 0.806692, 0.841175, 0.86462, 0.885777, 0.913987, 0.937679, 0.96964, 1.01225, 1.07712, 1.14199, 1.20685");
-            values ( \
-              "-0.0396359, -0.792102, -0.844545, -0.882775, -0.897665, -0.90899, -0.915247, -0.911691, -0.900945, -0.86408, -0.816117, -0.781791, -0.711152, -0.512844, -0.344578, -0.237265, -0.181959, -0.142547, -0.102072, -0.0768555, -0.0522414, -0.0307616, -0.0132932, -0.0056843, -0.00246864" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.405131");
-            index_3 ("0.463649, 0.497546, 0.520225, 0.543026, 0.569545, 0.597945, 0.626893, 0.658621, 0.696542, 0.738854, 0.785247, 0.850113, 0.885771, 0.933314, 0.99185, 1.02797, 1.09283, 1.19558, 1.28413, 1.3566, 1.42024, 1.47698, 1.52797, 1.59283, 1.6498, 1.74848, 1.81335, 1.94308, 2.07282, 2.26742");
-            values ( \
-              "-0.584295, -0.623584, -0.778629, -0.873544, -0.939846, -0.979919, -0.996284, -0.999061, -0.99367, -0.982135, -0.966426, -0.939854, -0.922166, -0.89344, -0.844567, -0.800996, -0.687323, -0.481725, -0.337087, -0.24682, -0.185613, -0.143217, -0.112879, -0.0831412, -0.0633788, -0.0394152, -0.0288378, -0.0153387, -0.00814601, -0.00308976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.820498, 0.836103, 0.844302, 0.8487, 0.856821, 0.870322, 0.88487, 0.89631, 0.901582, 0.906845, 0.919967, 0.923153, 0.92606, 0.930514, 0.934231, 0.941667, 0.951329, 0.996143");
-            values ( \
-              "-0.00494969, -0.0115259, -0.018025, -0.0223452, -0.0352716, -0.0742699, -0.10556, -0.122867, -0.126288, -0.12137, -0.0345049, -0.0218217, -0.0140999, -0.00734377, -0.00433645, -0.00171578, -0.000992624, -0.000555318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0093418");
-            index_3 ("0.830908, 0.842693, 0.847399, 0.851184, 0.854046, 0.855477, 0.85834, 0.862052, 0.864332, 0.870463, 0.875062, 0.88181, 0.888559, 0.89245, 0.896518, 0.902473, 0.908732, 0.912521, 0.914187, 0.915852, 0.917518, 0.919183, 0.922514, 0.924179, 0.925958, 0.927543, 0.929356, 0.936449, 0.938914, 0.941042, 0.943517, 0.945727, 0.948379, 0.951597");
-            values ( \
-              "-0.0313711, -0.0317414, -0.0395169, -0.0464628, -0.0529428, -0.0567662, -0.0653673, -0.0784153, -0.0874933, -0.114632, -0.133289, -0.158453, -0.182397, -0.195374, -0.208158, -0.225205, -0.242087, -0.254681, -0.254278, -0.253441, -0.25217, -0.250466, -0.245759, -0.242755, -0.238884, -0.226672, -0.209062, -0.129268, -0.104647, -0.0859504, -0.0665931, -0.0530824, -0.0402755, -0.0281565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.023973");
-            index_3 ("0.822821, 0.848566, 0.861242, 0.86796, 0.904411, 0.921827, 0.932218, 0.94184, 0.951191, 0.961037, 0.974299, 0.981698, 0.98789, 0.998253, 1.00635, 1.01292, 1.02605, 1.04425, 1.06661");
-            values ( \
-              "-0.00295745, -0.0641728, -0.103548, -0.133121, -0.318846, -0.395634, -0.434747, -0.46096, -0.464431, -0.408558, -0.242586, -0.165136, -0.11632, -0.0619546, -0.0373047, -0.0244921, -0.0101486, -0.00300352, -0.000928519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0615195");
-            index_3 ("0.804656, 0.842067, 0.854324, 0.858498, 0.866845, 0.876141, 0.925973, 0.94607, 0.963437, 0.979523, 0.995203, 1.01086, 1.01321, 1.01589, 1.02125, 1.02915, 1.04355, 1.05261, 1.0557, 1.06189, 1.07426, 1.08025, 1.08281, 1.08792, 1.09608, 1.1075, 1.11205, 1.12113, 1.1393, 1.15223");
-            values ( \
-              "-0.015014, -0.0538783, -0.0955032, -0.11227, -0.147668, -0.194839, -0.497808, -0.604844, -0.670903, -0.704599, -0.710482, -0.674791, -0.664651, -0.65035, -0.613296, -0.542566, -0.403996, -0.326634, -0.302792, -0.259021, -0.186495, -0.158357, -0.147566, -0.127941, -0.101505, -0.0728817, -0.0638633, -0.0488961, -0.0282302, -0.0209791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.157872");
-            index_3 ("0.842046, 0.876941, 0.933325, 0.950734, 0.969275, 0.982505, 1.00775, 1.03177, 1.04007, 1.05608, 1.07207, 1.10423, 1.1273, 1.13991, 1.16513, 1.20762, 1.24505, 1.27456, 1.29774, 1.3388, 1.38115, 1.40671, 1.45783, 1.49575");
-            values ( \
-              "-0.105249, -0.220595, -0.596076, -0.69827, -0.778874, -0.81885, -0.86685, -0.886607, -0.889107, -0.888203, -0.881513, -0.849217, -0.806302, -0.772012, -0.671521, -0.468179, -0.323381, -0.235268, -0.18119, -0.112435, -0.0676805, -0.0496277, -0.0260906, -0.017424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.405131");
-            index_3 ("0.841747, 0.903007, 0.943113, 0.964521, 0.994373, 1.02747, 1.06086, 1.09877, 1.14066, 1.24651, 1.29354, 1.34681, 1.41433, 1.45961, 1.52447, 1.62733, 1.71582, 1.78807, 1.85186, 1.90876, 1.95971, 2.02458, 2.08144, 2.18006, 2.24492, 2.37466, 2.50439, 2.69899");
-            values ( \
-              "-0.0757752, -0.400597, -0.686922, -0.799572, -0.896499, -0.951591, -0.975305, -0.980977, -0.976152, -0.948503, -0.932358, -0.904915, -0.853614, -0.801029, -0.687434, -0.481567, -0.337044, -0.247029, -0.185674, -0.143162, -0.11283, -0.0831289, -0.0633765, -0.0394226, -0.0288634, -0.0153575, -0.00816113, -0.00308047" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.00317379, 0.00317465, 0.00317567, 0.00317641, 0.0031768, 0.00317696", \
-            "0.00375869, 0.00375901, 0.00375961, 0.00376027, 0.0037607, 0.00376091", \
-            "0.00410298, 0.00410301, 0.00410313, 0.00410341, 0.0041037, 0.00410388", \
-            "0.00429335, 0.00429335, 0.00429336, 0.00429339, 0.00429348, 0.00429357", \
-            "0.00439702, 0.004397, 0.00439697, 0.00439695, 0.00439696, 0.00439701", \
-            "0.00444788, 0.00444788, 0.00444788, 0.0044479, 0.00444795, 0.00444801" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.00441318, 0.00441247, 0.00441409, 0.00441567, 0.00441663, 0.00441708", \
-            "0.00469378, 0.00469296, 0.00469254, 0.00469282, 0.00469325, 0.00469353", \
-            "0.00473906, 0.00473735, 0.00473502, 0.00473305, 0.00473207, 0.00473172", \
-            "0.00483783, 0.00483593, 0.0048325, 0.00482841, 0.00482536, 0.00482379", \
-            "0.00511973, 0.00512706, 0.00513462, 0.00513832, 0.00513925, 0.00513893", \
-            "0.00593015, 0.00592425, 0.00595852, 0.00611257, 0.00619637, 0.00623415" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0142494, 0.014363, 0.014457, 0.0144321, 0.0143534, 0.0142959", \
-            "0.0140622, 0.0141907, 0.0143015, 0.0142975, 0.0142239, 0.0141612", \
-            "0.0139037, 0.0140052, 0.0141093, 0.0141329, 0.0140728, 0.014004", \
-            "0.0138783, 0.0139236, 0.0140016, 0.0140243, 0.0139749, 0.0139032", \
-            "0.0143895, 0.014378, 0.0144227, 0.014392, 0.0143014, 0.0142082", \
-            "0.016429, 0.0162114, 0.0159711, 0.0158038, 0.0157457, 0.0155675" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0222998, 0.0223589, 0.0225486, 0.0226018, 0.02248, 0.0223551", \
-            "0.0221152, 0.0222275, 0.022409, 0.0224809, 0.022372, 0.0222475", \
-            "0.021984, 0.022047, 0.0222201, 0.0223266, 0.0222541, 0.0221447", \
-            "0.0218226, 0.0218371, 0.0219969, 0.0221737, 0.0221928, 0.0221328", \
-            "0.0219918, 0.0218757, 0.0219564, 0.0222145, 0.0224278, 0.0223553", \
-            "0.0237003, 0.0233093, 0.0231519, 0.0232482, 0.0233557, 0.0233614" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0119812, 0.0123375, 0.0128287, 0.0132107, 0.0133983, 0.0134723", \
-            "0.0118528, 0.0121934, 0.012676, 0.0130777, 0.0132809, 0.0133605", \
-            "0.0116403, 0.0118987, 0.0123133, 0.0127459, 0.0129315, 0.0130457", \
-            "0.0115587, 0.0116982, 0.0119779, 0.0123703, 0.0127308, 0.0129021", \
-            "0.0120891, 0.0120835, 0.0122499, 0.012556, 0.0128988, 0.0131416", \
-            "0.0147769, 0.0145297, 0.0143127, 0.0142503, 0.0145245, 0.0148074" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0093418, 0.023973, 0.0615195, 0.157872, 0.405131");
-          values ( \
-            "0.0189894, 0.019096, 0.0193488, 0.0195985, 0.0197158, 0.0197647", \
-            "0.0188431, 0.0189195, 0.01918, 0.0194411, 0.0195832, 0.0196342", \
-            "0.0187396, 0.0187965, 0.0190253, 0.0193258, 0.0194989, 0.019569", \
-            "0.0190726, 0.0191021, 0.0195084, 0.0196856, 0.0198568, 0.0199273", \
-            "0.0205752, 0.0203438, 0.0202851, 0.0203932, 0.0205417, 0.0206588", \
-            "0.0237319, 0.023212, 0.0229774, 0.0231172, 0.0230811, 0.0230232" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00573796;
-      rise_capacitance : 0.00573796;
-      rise_capacitance_range (0.0042754, 0.00573796);
-      fall_capacitance : 0.00573369;
-      fall_capacitance_range (0.00385779, 0.00573369);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00334068, 0.00435966, 0.00502187, 0.00535944, 0.00551783, 0.00559418" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516552, 0.00533616, 0.00504119, 0.00479456, 0.00468457, 0.00464006" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316002, 0.00372629, 0.00403309, 0.00419563, 0.00427879, 0.0043223" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00437512, 0.00481061, 0.00519154, 0.00551855, 0.00568128, 0.00573787" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00466272, -0.00490503, -0.00506479, -0.00510196, -0.00514951, -0.00516027" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516134, 0.0051628, 0.00519263, 0.00520245, 0.00518074, 0.00517015" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00556765;
-      rise_capacitance : 0.00556765;
-      rise_capacitance_range (0.00309685, 0.00556765);
-      fall_capacitance : 0.00549437;
-      fall_capacitance_range (0.00328242, 0.00549437);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00255471, 0.00287408, 0.00303269, 0.00311643, 0.00315495, 0.00317378" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00350607, 0.00362915, 0.00367636, 0.00368901, 0.00369636, 0.0036997" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00305332, 0.00344997, 0.00362103, 0.00370831, 0.00374744, 0.00376697" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00359254, 0.00348965, 0.00342568, 0.0033923, 0.003377, 0.0033703" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00244679, -0.00244384, -0.00244204, -0.00245349, -0.0024402, -0.00243214" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00246638, 0.00248295, 0.00248097, 0.00248601, 0.00247188, 0.00245529" \
-          );
-        }
-      }
-    }
-  }
-  cell (OR2X4) {
-    area : 21.2454;
-    cell_footprint : "OR2";
-    cell_leakage_power : 0.385974;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0324376;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.123543;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.297845;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 1.09007;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.385974;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A) + (B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.744399;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0881086, 0.0967905, 0.11559, 0.158021, 0.267728, 0.581183", \
-            "0.0929821, 0.101615, 0.120456, 0.162828, 0.272582, 0.586021", \
-            "0.104265, 0.112906, 0.131771, 0.174136, 0.283938, 0.597408", \
-            "0.128066, 0.136871, 0.155735, 0.198219, 0.308057, 0.621538", \
-            "0.157997, 0.168062, 0.188655, 0.232385, 0.34251, 0.655934", \
-            "0.179488, 0.191391, 0.215683, 0.26284, 0.373877, 0.687041" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0217075, 0.0288207, 0.0475712, 0.100226, 0.258281, 0.725976", \
-            "0.0216759, 0.0288136, 0.0475463, 0.100169, 0.258212, 0.725952", \
-            "0.0216775, 0.0287928, 0.0474848, 0.100173, 0.258214, 0.725976", \
-            "0.0230474, 0.0299118, 0.048285, 0.100473, 0.25831, 0.725979", \
-            "0.028821, 0.0357679, 0.053151, 0.103714, 0.259172, 0.72602", \
-            "0.037046, 0.045517, 0.0634747, 0.110579, 0.26165, 0.726659" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.15547, 0.164891, 0.185909, 0.228743, 0.319168, 0.547751", \
-            "0.161609, 0.170997, 0.191994, 0.234824, 0.325348, 0.554018", \
-            "0.175057, 0.184438, 0.205418, 0.24825, 0.338935, 0.567488", \
-            "0.204058, 0.213467, 0.234429, 0.277285, 0.367966, 0.596657", \
-            "0.26514, 0.275145, 0.296522, 0.339417, 0.430536, 0.659316", \
-            "0.371373, 0.382006, 0.405583, 0.452549, 0.547669, 0.777799" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0283999, 0.0353537, 0.0515322, 0.0878323, 0.184694, 0.478154", \
-            "0.0283864, 0.0353933, 0.051564, 0.0878681, 0.184645, 0.478141", \
-            "0.0283908, 0.0353744, 0.0515642, 0.0878628, 0.184624, 0.47813", \
-            "0.0283869, 0.0353619, 0.0515356, 0.0878162, 0.184506, 0.478148", \
-            "0.0303889, 0.0371293, 0.0532308, 0.0892147, 0.185179, 0.47823", \
-            "0.0369393, 0.0441656, 0.0612513, 0.098132, 0.191865, 0.480238" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0730791, 0.0775281, 0.0861357, 0.0928691, 0.0998221, 0.103141, 0.106456, 0.113078, 0.11817, 0.125462, 0.133342, 0.139707, 0.14459, 0.161795");
-            values ( \
-              "0.0279237, 0.0493471, 0.133683, 0.177168, 0.198539, 0.196878, 0.187685, 0.0964389, 0.0547709, 0.0261604, 0.012523, 0.00725689, 0.00517377, 0.00261824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0105505");
-            index_3 ("0.0753917, 0.0799905, 0.0860038, 0.0941995, 0.0993566, 0.10401, 0.108431, 0.112809, 0.117185, 0.125419, 0.133182, 0.142009, 0.149932, 0.160172, 0.167192, 0.176553, 0.180661");
-            values ( \
-              "0.0667474, 0.123858, 0.229423, 0.34242, 0.394235, 0.422606, 0.436465, 0.43196, 0.398556, 0.229132, 0.127385, 0.0649021, 0.0355663, 0.01711, 0.0110437, 0.00661019, 0.00608756" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0305778");
-            index_3 ("0.0854877, 0.0984206, 0.101346, 0.103121, 0.104896, 0.106671, 0.108446, 0.110221, 0.112198, 0.113613, 0.115151, 0.116608, 0.118029, 0.120106, 0.12149, 0.122875, 0.124259, 0.125644, 0.127231, 0.128817, 0.130404, 0.132428, 0.134452, 0.136275, 0.138099, 0.139922, 0.141746, 0.14239, 0.146257, 0.149951, 0.154481, 0.155141, 0.156408, 0.160207, 0.161871, 0.165198, 0.166862, 0.169618, 0.173039, 0.17586, 0.177996, 0.179407, 0.184432, 0.186017, 0.188395, 0.192949, 0.196658, 0.201041, 0.203232, 0.207427");
-            values ( \
-              "0.529293, 0.564348, 0.611854, 0.635493, 0.657436, 0.677438, 0.69591, 0.71285, 0.729917, 0.740522, 0.750405, 0.758506, 0.76478, 0.771067, 0.773115, 0.774427, 0.775003, 0.774843, 0.773754, 0.770047, 0.763721, 0.750832, 0.734731, 0.717475, 0.697611, 0.67514, 0.650062, 0.639392, 0.564954, 0.496661, 0.417235, 0.406102, 0.387315, 0.334666, 0.313376, 0.273982, 0.255878, 0.227849, 0.195726, 0.173654, 0.158508, 0.149406, 0.119125, 0.110361, 0.0983577, 0.0807214, 0.0686556, 0.0565852, 0.0513321, 0.0426056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0886215");
-            index_3 ("0.072193, 0.117071, 0.125693, 0.140517, 0.154924, 0.170092, 0.177219, 0.18513, 0.200951, 0.215103, 0.228832, 0.245176, 0.258918, 0.270092, 0.284991, 0.302563, 0.313103, 0.333433, 0.342879, 0.357462, 0.376906, 0.415794, 0.440682");
-            values ( \
-              "0.0656934, 0.969506, 1.04679, 1.10692, 1.10866, 1.06559, 1.03026, 0.977386, 0.831175, 0.684874, 0.555153, 0.423062, 0.331811, 0.270409, 0.203674, 0.144597, 0.117233, 0.0774248, 0.0639094, 0.047441, 0.0316941, 0.0136272, 0.00921279" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.256846");
-            index_3 ("0.0856278, 0.115783, 0.127645, 0.13576, 0.151887, 0.171098, 0.188676, 0.205714, 0.236848, 0.26994, 0.298541, 0.32066, 0.426035, 0.471351, 0.536852, 0.575114, 0.607404, 0.665997, 0.711854, 0.793171, 0.856953, 0.938741, 0.944959");
-            values ( \
-              "0.504635, 1.04412, 1.1858, 1.24835, 1.31914, 1.34403, 1.33774, 1.31882, 1.26868, 1.20082, 1.12905, 1.06046, 0.650381, 0.49527, 0.319697, 0.243176, 0.191181, 0.122497, 0.0851545, 0.0448282, 0.0263336, 0.0134848, 0.0131053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.744399");
-            index_3 ("0.0965031, 0.130939, 0.145703, 0.158099, 0.174626, 0.196281, 0.222926, 0.254334, 0.335197, 0.466427, 0.592776, 0.674564, 0.770044, 0.995501, 1.13356, 1.21535, 1.30229, 1.41, 1.49179, 1.61711, 1.69644, 1.77822, 1.89189, 2.0363, 2.19987, 2.44523, 2.55827");
-            values ( \
-              "1.1559, 1.27102, 1.37413, 1.42151, 1.4541, 1.46841, 1.46398, 1.44878, 1.39682, 1.3062, 1.20694, 1.12729, 1.00901, 0.688374, 0.513161, 0.423562, 0.342694, 0.260124, 0.209109, 0.148741, 0.119739, 0.0948889, 0.0691476, 0.0458365, 0.0286779, 0.0138233, 0.0113718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0916702, 0.10116, 0.105771, 0.111571, 0.115144, 0.118516, 0.121832, 0.125145, 0.12773, 0.131766, 0.134623, 0.136855, 0.140231, 0.144141, 0.148552, 0.152037, 0.158393, 0.163269, 0.173251, 0.186561, 0.208844");
-            values ( \
-              "0.0045406, 0.100559, 0.140515, 0.176848, 0.190332, 0.198174, 0.197601, 0.187308, 0.157395, 0.0964437, 0.06871, 0.0547867, 0.0387415, 0.0261744, 0.0172417, 0.0125089, 0.00725499, 0.00517458, 0.00297095, 0.00170841, 0.00107767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0105505");
-            index_3 ("0.0916512, 0.109833, 0.118012, 0.12266, 0.127081, 0.131459, 0.135833, 0.144064, 0.151831, 0.160658, 0.168579, 0.17882, 0.195209, 0.206847");
-            values ( \
-              "0.00340873, 0.306746, 0.394749, 0.422417, 0.436832, 0.431716, 0.398861, 0.2292, 0.127382, 0.064897, 0.0355685, 0.0171098, 0.00660662, 0.00511806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0305778");
-            index_3 ("0.0917472, 0.118805, 0.12362, 0.131528, 0.138831, 0.145961, 0.153081, 0.156675, 0.160385, 0.172823, 0.182539, 0.186564, 0.194189, 0.201402, 0.210612, 0.2183, 0.224046, 0.230263, 0.238552, 0.25513, 0.275696");
-            values ( \
-              "0.0021459, 0.590063, 0.657102, 0.735686, 0.770476, 0.77622, 0.745575, 0.708259, 0.651637, 0.426091, 0.289834, 0.245742, 0.177452, 0.129024, 0.0856507, 0.060553, 0.046896, 0.0357313, 0.0249706, 0.0123312, 0.00597159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0886215");
-            index_3 ("0.101686, 0.109197, 0.122817, 0.127882, 0.137565, 0.144381, 0.158014, 0.166251, 0.173554, 0.18794, 0.197197, 0.203792, 0.216982, 0.242571, 0.257162, 0.273836, 0.28395, 0.299473, 0.316287, 0.336175, 0.353851, 0.386554, 0.414951, 0.467384, 0.529628");
-            values ( \
-              "0.384229, 0.465974, 0.780669, 0.867449, 0.990943, 1.04706, 1.10559, 1.1126, 1.107, 1.06837, 1.02218, 0.976983, 0.857661, 0.599275, 0.473757, 0.354426, 0.295346, 0.220787, 0.159335, 0.10726, 0.0748167, 0.0383164, 0.0210352, 0.00632799, 0.00143575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.256846");
-            index_3 ("0.101853, 0.119069, 0.129261, 0.144599, 0.154337, 0.170426, 0.186842, 0.195258, 0.20648, 0.224262, 0.260125, 0.298017, 0.328823, 0.339255, 0.360118, 0.436494, 0.4723, 0.499954, 0.534992, 0.562034, 0.598089, 0.626003, 0.648372, 0.678197, 0.727881, 0.750655, 0.784025, 0.828519, 0.910307, 0.992095, 1.15567");
-            values ( \
-              "0.322894, 0.751678, 0.963314, 1.17085, 1.24981, 1.31894, 1.34385, 1.34445, 1.33802, 1.31927, 1.25995, 1.17852, 1.09475, 1.0599, 0.984728, 0.680084, 0.552165, 0.464448, 0.367774, 0.30489, 0.23519, 0.191037, 0.161408, 0.128554, 0.0868032, 0.0725397, 0.0556424, 0.0389901, 0.0195839, 0.00995496, 0.00255415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.744399");
-            index_3 ("0.113514, 0.16838, 0.188342, 0.214842, 0.245168, 0.307109, 0.504132, 0.61133, 0.688355, 0.727961, 0.788401, 1.014, 1.15211, 1.2339, 1.32078, 1.43353, 1.51532, 1.63104, 1.71198, 1.79377, 1.91163, 2.05886, 2.22243, 2.38601, 2.63137, 2.95852");
-            values ( \
-              "1.04849, 1.39356, 1.44759, 1.46808, 1.46233, 1.42727, 1.29204, 1.20712, 1.13219, 1.08664, 1.00897, 0.688614, 0.512891, 0.423729, 0.342506, 0.256509, 0.206496, 0.150831, 0.120529, 0.0958828, 0.068708, 0.045109, 0.0281481, 0.0175183, 0.00861839, 0.00333687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.134835, 0.138244, 0.143576, 0.149763, 0.153743, 0.157313, 0.160686, 0.164002, 0.167315, 0.169902, 0.173935, 0.17679, 0.179027, 0.182412, 0.186317, 0.190718, 0.194194, 0.200559, 0.205443, 0.215456, 0.228807, 0.242775");
-            values ( \
-              "0.0308467, 0.0478696, 0.103508, 0.1524, 0.17706, 0.19012, 0.198328, 0.197386, 0.187453, 0.157371, 0.0964603, 0.0687453, 0.0547841, 0.0387059, 0.0261616, 0.0172483, 0.0125289, 0.00725554, 0.00517148, 0.00296397, 0.00170642, 0.00131108" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0105505");
-            index_3 ("0.136652, 0.14827, 0.153398, 0.160098, 0.164754, 0.169172, 0.17355, 0.177926, 0.186165, 0.193922, 0.202748, 0.210677, 0.220915, 0.237292, 0.252975");
-            values ( \
-              "0.0481918, 0.256243, 0.32549, 0.393723, 0.423409, 0.435968, 0.432465, 0.39814, 0.229028, 0.127389, 0.0649084, 0.0355545, 0.0171085, 0.00660902, 0.00459381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0305778");
-            index_3 ("0.136793, 0.145933, 0.150322, 0.156454, 0.165829, 0.170318, 0.173684, 0.181003, 0.188113, 0.195229, 0.19888, 0.216896, 0.227818, 0.238736, 0.249327, 0.25537, 0.264114, 0.275216, 0.284095, 0.301852, 0.31638");
-            values ( \
-              "7.92787e-05, 0.282372, 0.383839, 0.51412, 0.660735, 0.708816, 0.735316, 0.771846, 0.775602, 0.746529, 0.70764, 0.395921, 0.254706, 0.159844, 0.0999481, 0.0761801, 0.0513412, 0.0316233, 0.0216259, 0.010265, 0.0066522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0886215");
-            index_3 ("0.136695, 0.15985, 0.170136, 0.179568, 0.186618, 0.200717, 0.205838, 0.210816, 0.215785, 0.225722, 0.237875, 0.246008, 0.26191, 0.285426, 0.306303, 0.319901, 0.330807, 0.345349, 0.363636, 0.37459, 0.394535, 0.417875, 0.436639, 0.474167, 0.497324");
-            values ( \
-              "0.0131038, 0.67593, 0.869649, 0.98843, 1.04831, 1.10615, 1.11231, 1.11223, 1.10695, 1.08392, 1.03108, 0.976899, 0.830404, 0.593894, 0.421365, 0.331225, 0.271274, 0.20583, 0.144073, 0.115866, 0.0771023, 0.0479126, 0.0325001, 0.0144209, 0.0100469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.256846");
-            index_3 ("0.146439, 0.169257, 0.176805, 0.188548, 0.196737, 0.212981, 0.229008, 0.238145, 0.250327, 0.266665, 0.302529, 0.340421, 0.381662, 0.401686, 0.47881, 0.514888, 0.542476, 0.577441, 0.604359, 0.640249, 0.66835, 0.690859, 0.720871, 0.77038, 0.826226, 0.87043, 0.952218, 1.03401, 1.19758");
-            values ( \
-              "0.4674, 0.920545, 1.04872, 1.18679, 1.25032, 1.31978, 1.34376, 1.34437, 1.33693, 1.31919, 1.25992, 1.17853, 1.05991, 0.987915, 0.68042, 0.551559, 0.464104, 0.36767, 0.305068, 0.235621, 0.191126, 0.161311, 0.12829, 0.0867429, 0.055734, 0.0391526, 0.0196629, 0.00999887, 0.0025673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.744399");
-            index_3 ("0.157192, 0.192044, 0.217842, 0.232343, 0.257035, 0.274574, 0.297958, 0.362675, 0.546353, 0.653516, 0.735303, 0.770207, 0.830783, 1.07596, 1.1943, 1.27609, 1.36303, 1.4758, 1.55759, 1.67321, 1.75417, 1.83596, 1.95388, 2.10115, 2.26473, 2.4283, 2.67366, 3.00082");
-            values ( \
-              "1.14518, 1.27674, 1.41882, 1.45065, 1.46833, 1.46668, 1.45736, 1.41876, 1.29213, 1.20728, 1.12695, 1.08671, 1.00869, 0.661878, 0.512874, 0.42385, 0.342431, 0.256428, 0.206533, 0.150913, 0.12049, 0.0959471, 0.0686576, 0.0450547, 0.0280974, 0.0174704, 0.00866027, 0.00337765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.227551, 0.23403, 0.235722, 0.237413, 0.239102, 0.24079, 0.243005, 0.24522, 0.245697, 0.246651, 0.247367, 0.248083, 0.24856, 0.249037, 0.249976, 0.250915, 0.251775, 0.252635, 0.253082, 0.253976, 0.254852, 0.255711, 0.25614, 0.257012, 0.257884, 0.258757, 0.259629, 0.259968, 0.260647, 0.261732, 0.263116, 0.265464, 0.266486, 0.267507, 0.268948, 0.270389, 0.27285, 0.274169, 0.275489, 0.276368, 0.278127, 0.280419, 0.282001, 0.283267, 0.284533, 0.287065, 0.289597, 0.293465, 0.29545, 0.298303");
-            values ( \
-              "0.0827824, 0.0876251, 0.101538, 0.113975, 0.123845, 0.134543, 0.149832, 0.166546, 0.168179, 0.170934, 0.172554, 0.173792, 0.177657, 0.180545, 0.181294, 0.182417, 0.183772, 0.185441, 0.185995, 0.186815, 0.187164, 0.186967, 0.186688, 0.185749, 0.184311, 0.182374, 0.179939, 0.177816, 0.171994, 0.159553, 0.140775, 0.103965, 0.0923043, 0.0820151, 0.0702051, 0.0603904, 0.0466263, 0.040789, 0.0358984, 0.0330033, 0.0278733, 0.0224318, 0.0189819, 0.0167315, 0.0147549, 0.0119042, 0.00958597, 0.00715743, 0.00621605, 0.00511476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0105505");
-            index_3 ("0.220959, 0.225488, 0.228033, 0.231676, 0.246345, 0.251797, 0.25681, 0.259991, 0.261401, 0.263663, 0.265891, 0.270381, 0.278937, 0.282483, 0.286131, 0.290888, 0.295072, 0.30111, 0.306177, 0.313822, 0.319365, 0.330451, 0.347171, 0.363544");
-            values ( \
-              "0.0318192, 0.050994, 0.0719959, 0.113863, 0.314254, 0.368001, 0.404064, 0.418214, 0.421895, 0.424482, 0.421939, 0.391949, 0.222779, 0.17, 0.130029, 0.0907904, 0.0659581, 0.041845, 0.028679, 0.0168784, 0.0118857, 0.00639666, 0.00315544, 0.00199786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0305778");
-            index_3 ("0.223959, 0.233722, 0.248851, 0.257206, 0.265394, 0.272886, 0.280127, 0.287358, 0.292412, 0.309583, 0.320318, 0.329565, 0.342424, 0.357438, 0.367788, 0.381587, 0.404778, 0.410556");
-            values ( \
-              "0.03256, 0.193095, 0.492357, 0.624886, 0.713511, 0.756643, 0.76634, 0.739838, 0.684275, 0.387365, 0.251388, 0.169676, 0.0960317, 0.0489318, 0.0311226, 0.0171564, 0.00655224, 0.00603173" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0886215");
-            index_3 ("0.224146, 0.259269, 0.266411, 0.275934, 0.278589, 0.283901, 0.293511, 0.308013, 0.32251, 0.329153, 0.338298, 0.352023, 0.364265, 0.383619, 0.392554, 0.409374, 0.422708, 0.432027, 0.450764, 0.459361, 0.476555, 0.490116, 0.505276, 0.525489, 0.565916, 0.619165, 0.685074");
-            values ( \
-              "0.0076204, 0.791069, 0.905003, 1.00973, 1.03205, 1.06371, 1.09905, 1.1027, 1.06684, 1.03538, 0.975988, 0.851205, 0.724806, 0.540501, 0.466628, 0.347881, 0.272989, 0.229128, 0.159359, 0.134472, 0.0950735, 0.0721544, 0.0529605, 0.0348288, 0.0144137, 0.00423956, 0.000867729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.256846");
-            index_3 ("0.238458, 0.252395, 0.260577, 0.268423, 0.277678, 0.288726, 0.303976, 0.320297, 0.324166, 0.331904, 0.345275, 0.358843, 0.385978, 0.412169, 0.432607, 0.471109, 0.479534, 0.576902, 0.617611, 0.660388, 0.678412, 0.697821, 0.721938, 0.758557, 0.790235, 0.832474, 0.869212, 0.918671, 0.984617, 1.0664, 1.14819, 1.22998, 1.31177");
-            values ( \
-              "0.636349, 0.691268, 0.877553, 1.01781, 1.14155, 1.23765, 1.31144, 1.33922, 1.34195, 1.34114, 1.33402, 1.31769, 1.27595, 1.2249, 1.17825, 1.07053, 1.04123, 0.658168, 0.517574, 0.390926, 0.345845, 0.302013, 0.254844, 0.193669, 0.152393, 0.110518, 0.0820787, 0.0552888, 0.0328613, 0.0159747, 0.00862154, 0.00372465, 0.00246285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.744399");
-            index_3 ("0.25301, 0.302881, 0.320232, 0.349458, 0.374546, 0.405855, 0.488396, 0.61964, 0.745989, 0.827777, 0.923257, 1.14871, 1.28678, 1.45551, 1.56828, 1.65006, 1.76568, 1.92843, 2.04636, 2.19362, 2.3572, 2.60256, 2.65557");
-            values ( \
-              "1.30355, 1.38391, 1.43931, 1.46653, 1.46394, 1.44945, 1.39671, 1.30609, 1.20704, 1.1272, 1.00893, 0.688435, 0.51312, 0.342668, 0.256662, 0.206301, 0.150684, 0.0957196, 0.068879, 0.0452736, 0.0283146, 0.0136827, 0.0125469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.38178, 0.393265, 0.399183, 0.404512, 0.407165, 0.412305, 0.415741, 0.422291, 0.42625, 0.428591, 0.433187, 0.437573, 0.441928, 0.446279, 0.449635, 0.45321, 0.456011, 0.457819, 0.461436, 0.465385, 0.467667, 0.472077, 0.479241, 0.483207, 0.489566, 0.498045, 0.515003, 0.537433");
-            values ( \
-              "0.00431291, 0.0111744, 0.0212912, 0.0380704, 0.0510728, 0.0806957, 0.0966371, 0.120767, 0.13231, 0.138035, 0.147266, 0.151685, 0.149596, 0.124854, 0.0860468, 0.0584627, 0.0452944, 0.0383437, 0.0274585, 0.0194435, 0.0160156, 0.0110078, 0.00638645, 0.00494894, 0.003555, 0.00252794, 0.00142517, 0.000815435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0105505");
-            index_3 ("0.383377, 0.393281, 0.396838, 0.401452, 0.407925, 0.418385, 0.424386, 0.431517, 0.437666, 0.443266, 0.448598, 0.453932, 0.454729, 0.465042, 0.469237, 0.472131, 0.478119, 0.480956, 0.486615, 0.493082, 0.497607, 0.505846, 0.516831, 0.534567, 0.551472");
-            values ( \
-              "0.0113077, 0.0250033, 0.0358474, 0.055125, 0.0983402, 0.194447, 0.241368, 0.291038, 0.327333, 0.35095, 0.361551, 0.347592, 0.339522, 0.180972, 0.13462, 0.110268, 0.0717677, 0.0585644, 0.039005, 0.0246076, 0.0182606, 0.0111625, 0.00636328, 0.00325407, 0.00199065" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0305778");
-            index_3 ("0.393143, 0.405392, 0.40716, 0.413101, 0.437321, 0.447115, 0.455662, 0.463692, 0.471616, 0.479534, 0.4932, 0.504109, 0.515671, 0.521985, 0.527935, 0.53587, 0.543205, 0.55437, 0.564107, 0.585011, 0.599406");
-            values ( \
-              "0.0588015, 0.121045, 0.137339, 0.203535, 0.509995, 0.612222, 0.672515, 0.699353, 0.691438, 0.62116, 0.399009, 0.261665, 0.162266, 0.123553, 0.0953275, 0.0670568, 0.0486228, 0.0300293, 0.0202104, 0.00914577, 0.00588345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0886215");
-            index_3 ("0.400995, 0.414013, 0.441561, 0.461024, 0.477202, 0.49238, 0.507564, 0.522705, 0.564034, 0.585279, 0.608147, 0.636602, 0.661891, 0.694779, 0.720069, 0.755766");
-            values ( \
-              "0.241381, 0.248926, 0.689613, 0.936035, 1.03701, 1.06524, 1.04092, 0.970266, 0.58418, 0.413245, 0.274494, 0.159212, 0.0960231, 0.0491287, 0.0289243, 0.0147284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.256846");
-            index_3 ("0.401022, 0.428963, 0.448922, 0.462682, 0.471479, 0.488324, 0.506169, 0.51297, 0.522038, 0.537911, 0.550192, 0.570045, 0.593067, 0.617681, 0.65611, 0.664844, 0.767728, 0.799728, 0.8363, 0.86334, 0.892285, 0.930877, 0.953947, 0.981024, 1.01139, 1.05383, 1.10518, 1.17365, 1.25544, 1.33723, 1.5008");
-            values ( \
-              "0.150377, 0.511731, 0.875595, 1.06608, 1.15565, 1.25995, 1.31065, 1.3171, 1.31857, 1.31251, 1.29924, 1.27079, 1.23026, 1.17761, 1.0701, 1.03982, 0.637814, 0.526945, 0.41648, 0.346926, 0.283153, 0.213566, 0.1798, 0.146425, 0.115816, 0.0829217, 0.055142, 0.0314433, 0.0158586, 0.00796064, 0.00199764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.744399");
-            index_3 ("0.423726, 0.452052, 0.466122, 0.482741, 0.493825, 0.508603, 0.527532, 0.534028, 0.549913, 0.567572, 0.591389, 0.646547, 0.823805, 0.930978, 1.01277, 1.04766, 1.10824, 1.3337, 1.47176, 1.55355, 1.64049, 1.75326, 1.83505, 1.95066, 2.03163, 2.11342, 2.23134, 2.3786, 2.54218, 2.70575, 2.95112, 3.27827");
-            values ( \
-              "0.933456, 0.959128, 1.15715, 1.30576, 1.36618, 1.41685, 1.44611, 1.45149, 1.45553, 1.45351, 1.44545, 1.41364, 1.29193, 1.2071, 1.12705, 1.08657, 1.00879, 0.688566, 0.512977, 0.423743, 0.342525, 0.25652, 0.206445, 0.150826, 0.120577, 0.0958619, 0.0687392, 0.0451356, 0.028177, 0.0175487, 0.0085841, 0.00330313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.722871, 0.736139, 0.740377, 0.746433, 0.752771, 0.764915, 0.773556, 0.78148, 0.787427, 0.793152, 0.798826, 0.804497, 0.812957, 0.817923, 0.822076, 0.825821, 0.831499, 0.83907, 0.845824, 0.851889, 0.874999, 0.914542");
-            values ( \
-              "0.00981888, 0.00995466, 0.0134392, 0.019966, 0.0322173, 0.0735717, 0.0947845, 0.10713, 0.11324, 0.115966, 0.11521, 0.103051, 0.0487929, 0.0322241, 0.0227678, 0.0169303, 0.0108062, 0.00615932, 0.0041001, 0.00312674, 0.00166059, 0.000845507" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0105505");
-            index_3 ("0.722942, 0.739088, 0.747084, 0.754946, 0.771254, 0.782362, 0.787681, 0.799379, 0.806288, 0.813072, 0.819847, 0.829536, 0.839956, 0.847285, 0.852314, 0.860198, 0.869821, 0.877428, 0.892643, 0.911109");
-            values ( \
-              "0.0192971, 0.0277561, 0.0454774, 0.0747987, 0.170719, 0.219184, 0.237796, 0.270125, 0.280665, 0.280041, 0.236401, 0.128465, 0.0666054, 0.0412624, 0.0295616, 0.0177538, 0.0103852, 0.00727138, 0.00412673, 0.00309848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0305778");
-            index_3 ("0.71166, 0.744257, 0.747811, 0.760033, 0.77952, 0.802966, 0.813812, 0.82362, 0.832955, 0.842289, 0.863418, 0.874948, 0.887158, 0.899012, 0.913273, 0.930674, 0.950561, 0.963654");
-            values ( \
-              "0.00248524, 0.0651122, 0.0803491, 0.152501, 0.309231, 0.475613, 0.540609, 0.581725, 0.59817, 0.560653, 0.296342, 0.191582, 0.116371, 0.0704853, 0.0384796, 0.0190593, 0.00892618, 0.00738953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0886215");
-            index_3 ("0.712837, 0.750354, 0.754919, 0.766477, 0.775564, 0.818807, 0.837727, 0.854601, 0.870875, 0.887143, 0.892628, 0.89848, 0.920852, 0.936737, 0.946523, 0.96219, 0.976398, 0.986032, 0.99854, 1.00861, 1.02141, 1.03944, 1.05892, 1.07395, 1.10402, 1.15655, 1.21596");
-            values ( \
-              "0.0108767, 0.12268, 0.152079, 0.245487, 0.332084, 0.772244, 0.914894, 0.97707, 0.984826, 0.933613, 0.89973, 0.854946, 0.647973, 0.510461, 0.43518, 0.331854, 0.256696, 0.214335, 0.168618, 0.138604, 0.107551, 0.0747222, 0.0504388, 0.0371069, 0.019765, 0.00603188, 0.00154298" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.256846");
-            index_3 ("0.756835, 0.802431, 0.829973, 0.84445, 0.866401, 0.870545, 0.878835, 0.893148, 0.906984, 0.934657, 0.943602, 0.961493, 0.981858, 1.02067, 1.02879, 1.06127, 1.12645, 1.16835, 1.21241, 1.2361, 1.27039, 1.30836, 1.31916, 1.34076, 1.38396, 1.41974, 1.46785, 1.532, 1.61379, 1.69558, 1.77736, 1.85915");
-            values ( \
-              "0.338105, 0.676069, 0.997062, 1.11904, 1.23209, 1.24357, 1.26249, 1.27772, 1.27941, 1.25571, 1.24343, 1.21254, 1.17129, 1.06544, 1.03783, 0.915102, 0.658234, 0.513273, 0.385357, 0.327845, 0.257243, 0.194357, 0.179284, 0.152212, 0.108868, 0.0821659, 0.0560829, 0.0332071, 0.0167535, 0.00841534, 0.00422039, 0.00211341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.744399");
-            index_3 ("0.756745, 0.805718, 0.836265, 0.846143, 0.865898, 0.888898, 0.896429, 0.911489, 0.93809, 0.972685, 0.990089, 1.08646, 1.16824, 1.2554, 1.3347, 1.39663, 1.44186, 1.48957, 1.69868, 1.7549, 1.82986, 1.91165, 2.0066, 2.05198, 2.12158, 2.20336, 2.30628, 2.38807, 2.45015, 2.55986, 2.69749, 2.77928, 2.86107, 3.02464, 3.27001, 3.59716");
-            values ( \
-              "0.349381, 0.745545, 1.11891, 1.206, 1.32739, 1.40243, 1.41519, 1.43172, 1.43947, 1.42978, 1.42046, 1.35975, 1.3036, 1.23929, 1.17033, 1.10437, 1.04883, 0.984871, 0.687279, 0.612422, 0.519805, 0.429817, 0.34068, 0.303735, 0.253615, 0.204106, 0.154393, 0.123135, 0.103568, 0.076019, 0.0513652, 0.0406056, 0.0320929, 0.019997, 0.0097943, 0.00377179" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.00337711, 0.0033773, 0.00337781, 0.00337839, 0.00337874, 0.00337889", \
-            "0.00440896, 0.00440895, 0.00440906, 0.00440941, 0.00440974, 0.00440991", \
-            "0.00505685, 0.00505682, 0.00505677, 0.00505678, 0.00505694, 0.00505709", \
-            "0.00542456, 0.00542454, 0.00542452, 0.00542448, 0.00542448, 0.00542454", \
-            "0.00568384, 0.00568384, 0.00568382, 0.0056838, 0.00568378, 0.00568378", \
-            "0.00588243, 0.00588241, 0.00588236, 0.00588228, 0.00588221, 0.00588218" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.00528606, 0.00528575, 0.00528549, 0.00528575, 0.00528614, 0.00528636", \
-            "0.00558718, 0.00558707, 0.00558669, 0.0055863, 0.0055863, 0.00558641", \
-            "0.00540077, 0.00540045, 0.00540001, 0.0053994, 0.00539887, 0.00539867", \
-            "0.0055088, 0.00550828, 0.00550714, 0.00550546, 0.00550394, 0.00550306", \
-            "0.0059752, 0.00606276, 0.00615118, 0.00620201, 0.00622238, 0.00622761", \
-            "0.00621088, 0.0062095, 0.00620025, 0.00621472, 0.006442, 0.00652395" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.11746, 0.127077, 0.130071, 0.134899, 0.14274, 0.148142, 0.15719, 0.166869, 0.171182, 0.175366, 0.179545, 0.188103, 0.191337, 0.196526, 0.200747, 0.204192, 0.211082, 0.220575, 0.251345, 0.370196");
-            values ( \
-              "-0.00642705, -0.0208584, -0.0235563, -0.0303229, -0.0521392, -0.0848086, -0.121282, -0.148041, -0.155564, -0.158208, -0.149037, -0.0581706, -0.0345325, -0.0143967, -0.00771633, -0.00493275, -0.00239915, -0.00129196, -0.000481368, -6.29732e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0105505");
-            index_3 ("0.118713, 0.130099, 0.135942, 0.143334, 0.154261, 0.161346, 0.170753, 0.18185, 0.187067, 0.192276, 0.20201, 0.206911, 0.214007, 0.216915, 0.222731, 0.231165, 0.241554, 0.265185");
-            values ( \
-              "-0.00993766, -0.056846, -0.0729545, -0.109333, -0.212814, -0.263767, -0.319166, -0.362308, -0.367139, -0.342157, -0.158659, -0.0883866, -0.0343928, -0.0231792, -0.0105356, -0.0039406, -0.00212042, -0.00173659" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0305778");
-            index_3 ("0.122105, 0.136269, 0.145876, 0.161841, 0.169704, 0.180148, 0.189212, 0.197512, 0.205364, 0.213077, 0.22078, 0.233855, 0.240595, 0.248515, 0.25249, 0.258336, 0.266132, 0.27916, 0.29371");
-            values ( \
-              "-0.0144948, -0.141096, -0.205807, -0.398683, -0.481016, -0.574071, -0.639927, -0.686551, -0.71497, -0.714527, -0.63786, -0.341466, -0.217254, -0.120513, -0.0883211, -0.055469, -0.029174, -0.00963156, -0.003651" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0886215");
-            index_3 ("0.127059, 0.146012, 0.155064, 0.173829, 0.19465, 0.2114, 0.226286, 0.240188, 0.253698, 0.26719, 0.272865, 0.277315, 0.308378, 0.322163, 0.329527, 0.339346, 0.355694, 0.36718, 0.390152, 0.399144");
-            values ( \
-              "-0.0480842, -0.288766, -0.386184, -0.645064, -0.880035, -1.02567, -1.11841, -1.17455, -1.19113, -1.14278, -1.08925, -1.0283, -0.471646, -0.302264, -0.235524, -0.166846, -0.0927771, -0.060747, -0.0248423, -0.0196135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.256846");
-            index_3 ("0.142241, 0.163838, 0.180963, 0.205129, 0.222247, 0.241642, 0.272871, 0.302072, 0.330721, 0.359345, 0.361826, 0.379941, 0.390275, 0.40606, 0.441278, 0.456786, 0.47666, 0.491586, 0.506985, 0.527508, 0.545939, 0.570513, 0.591371, 0.619481, 0.656962, 0.722667, 0.788372, 0.854077");
-            values ( \
-              "-0.508932, -0.556385, -0.803213, -1.10255, -1.26818, -1.40959, -1.55267, -1.6127, -1.6191, -1.57301, -1.5657, -1.49542, -1.43579, -1.30881, -0.950978, -0.804128, -0.639016, -0.533546, -0.44019, -0.337855, -0.265129, -0.190256, -0.143014, -0.0970162, -0.0569623, -0.0210534, -0.00775493, -0.00283875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.744399");
-            index_3 ("0.142238, 0.209943, 0.241827, 0.265416, 0.299956, 0.341403, 0.385568, 0.469203, 0.518075, 0.625299, 0.659555, 0.705231, 0.749049, 0.893466, 0.971533, 1.05469, 1.14951, 1.2024, 1.26811, 1.32887, 1.43167, 1.62879, 1.65247");
-            values ( \
-              "-0.40322, -1.20727, -1.50133, -1.64199, -1.77337, -1.8458, -1.86953, -1.84939, -1.8176, -1.7084, -1.65522, -1.55759, -1.42283, -0.869177, -0.628095, -0.434945, -0.280173, -0.217994, -0.158538, -0.118151, -0.0712413, -0.0266236, -0.0250771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.142176, 0.15063, 0.151816, 0.153001, 0.154187, 0.155373, 0.156897, 0.158422, 0.159946, 0.16147, 0.163402, 0.165333, 0.167265, 0.16951, 0.171755, 0.174732, 0.176221, 0.17898, 0.18025, 0.18279, 0.185089, 0.187387, 0.189543, 0.191699, 0.192745, 0.193791, 0.194837, 0.195882, 0.196927, 0.197971, 0.199015, 0.200059, 0.200149, 0.201404, 0.20248, 0.203957, 0.206578, 0.207079, 0.208581, 0.209747, 0.211004, 0.211871, 0.212373, 0.213879, 0.214672, 0.215465, 0.216258, 0.217051, 0.218275, 0.21954");
-            values ( \
-              "-0.0234411, -0.0239296, -0.0255328, -0.027351, -0.0293842, -0.0316325, -0.0349974, -0.038795, -0.0430253, -0.0476883, -0.0569101, -0.0684675, -0.0790434, -0.0898723, -0.099834, -0.111457, -0.116885, -0.126268, -0.130293, -0.137784, -0.14369, -0.149222, -0.154071, -0.158591, -0.15814, -0.157439, -0.156488, -0.155287, -0.153839, -0.152141, -0.150194, -0.147998, -0.147539, -0.13692, -0.125715, -0.109128, -0.0776737, -0.072477, -0.0582189, -0.0486093, -0.0391121, -0.03339, -0.0307735, -0.0239061, -0.021066, -0.0185119, -0.0162438, -0.0142616, -0.0118339, -0.00959537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0105505");
-            index_3 ("0.144462, 0.153627, 0.156122, 0.157369, 0.158616, 0.160763, 0.162909, 0.165056, 0.167202, 0.167907, 0.169411, 0.170915, 0.173171, 0.175231, 0.17729, 0.179138, 0.180986, 0.182834, 0.184683, 0.186263, 0.189423, 0.191003, 0.193869, 0.196735, 0.199423, 0.20211, 0.203415, 0.204719, 0.206024, 0.207329, 0.208631, 0.209934, 0.211237, 0.21254, 0.212686, 0.213127, 0.21386, 0.215229, 0.217279, 0.220427, 0.221357, 0.222907, 0.225567, 0.22717, 0.227971, 0.229574, 0.230375, 0.231594, 0.232812, 0.234273");
-            values ( \
-              "-0.0617723, -0.0656138, -0.075424, -0.0809242, -0.0868212, -0.0979, -0.110154, -0.123584, -0.138188, -0.148427, -0.165017, -0.179919, -0.200459, -0.217617, -0.233835, -0.247322, -0.260231, -0.272562, -0.284315, -0.293867, -0.31178, -0.320141, -0.334034, -0.34714, -0.358713, -0.369593, -0.368057, -0.365876, -0.363051, -0.359581, -0.355472, -0.350721, -0.345326, -0.339289, -0.338055, -0.332216, -0.320957, -0.297063, -0.257121, -0.191535, -0.174158, -0.147644, -0.106552, -0.0864901, -0.0783559, -0.0637816, -0.0573416, -0.0489758, -0.0412258, -0.0327454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0305778");
-            index_3 ("0.143216, 0.157908, 0.160967, 0.166139, 0.173591, 0.182475, 0.190062, 0.200518, 0.209588, 0.217893, 0.225748, 0.233463, 0.241169, 0.254246, 0.260987, 0.264381, 0.268905, 0.272882, 0.27873, 0.286529, 0.292039");
-            values ( \
-              "-0.0722554, -0.147154, -0.164896, -0.20463, -0.288504, -0.400939, -0.48028, -0.573572, -0.639491, -0.686254, -0.714678, -0.714362, -0.637693, -0.341405, -0.217226, -0.169934, -0.120518, -0.0883146, -0.0554567, -0.029164, -0.0208988" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0886215");
-            index_3 ("0.146836, 0.160465, 0.17045, 0.206714, 0.215263, 0.232002, 0.246923, 0.26083, 0.274343, 0.287839, 0.293479, 0.32903, 0.342815, 0.350178, 0.359996, 0.376347, 0.387835, 0.403688");
-            values ( \
-              "-0.11996, -0.228659, -0.32559, -0.790252, -0.879148, -1.0247, -1.118, -1.17411, -1.19093, -1.14255, -1.08952, -0.471618, -0.302251, -0.235525, -0.166857, -0.0927741, -0.0607402, -0.0359672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.256846");
-            index_3 ("0.163298, 0.18333, 0.210706, 0.225474, 0.247049, 0.26192, 0.29166, 0.295986, 0.312179, 0.322254, 0.342402, 0.35087, 0.365144, 0.379799, 0.40896, 0.419557, 0.431636, 0.476029, 0.494998, 0.514916, 0.529632, 0.54861, 0.56434, 0.582902, 0.60915, 0.636603, 0.657655, 0.69976, 0.765465, 0.83117");
-            values ( \
-              "-0.536533, -0.549182, -0.932038, -1.10556, -1.30794, -1.41072, -1.54905, -1.56172, -1.59809, -1.6116, -1.62125, -1.61756, -1.60219, -1.57038, -1.44575, -1.36824, -1.25729, -0.812262, -0.652819, -0.513116, -0.426556, -0.333866, -0.271518, -0.211707, -0.147807, -0.101335, -0.0755489, -0.041267, -0.0152103, -0.0056008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.744399");
-            index_3 ("0.166416, 0.241091, 0.285892, 0.320912, 0.355595, 0.399482, 0.433824, 0.489899, 0.580044, 0.657167, 0.725679, 0.769502, 0.913901, 0.976619, 1.07515, 1.16995, 1.28855, 1.34932, 1.45213, 1.63968");
-            values ( \
-              "-0.51649, -1.32117, -1.64407, -1.77408, -1.83874, -1.86775, -1.86873, -1.84883, -1.78216, -1.69142, -1.5579, -1.42308, -0.869425, -0.671025, -0.434856, -0.280236, -0.15859, -0.118116, -0.0712074, -0.0288133" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.186579, 0.196102, 0.197867, 0.199633, 0.201123, 0.202614, 0.204104, 0.205594, 0.207657, 0.208688, 0.210751, 0.211782, 0.213901, 0.216019, 0.217508, 0.220487, 0.223246, 0.224517, 0.227058, 0.229357, 0.231655, 0.233812, 0.235968, 0.237014, 0.238059, 0.239105, 0.240151, 0.241195, 0.24224, 0.243284, 0.244328, 0.244418, 0.245673, 0.246748, 0.248226, 0.250847, 0.251348, 0.252851, 0.254017, 0.255273, 0.25614, 0.256642, 0.257145, 0.258149, 0.258942, 0.259735, 0.260528, 0.261321, 0.262545, 0.26381");
-            values ( \
-              "-0.0232903, -0.0251702, -0.0279149, -0.0312279, -0.0345335, -0.0382836, -0.0424782, -0.0471172, -0.0569373, -0.0631436, -0.0747777, -0.0802055, -0.0903829, -0.0997823, -0.105735, -0.116865, -0.126254, -0.130277, -0.137759, -0.143671, -0.149207, -0.154059, -0.15858, -0.158127, -0.157425, -0.156475, -0.155277, -0.153831, -0.152138, -0.150197, -0.148008, -0.147546, -0.136916, -0.125715, -0.109131, -0.0776679, -0.0724703, -0.05821, -0.0486038, -0.0391121, -0.0333903, -0.0307734, -0.0283203, -0.0239054, -0.021066, -0.0185123, -0.0162443, -0.0142621, -0.011833, -0.00959492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0105505");
-            index_3 ("0.189129, 0.19914, 0.200664, 0.201732, 0.202799, 0.203867, 0.204934, 0.206001, 0.207069, 0.210421, 0.213367, 0.217026, 0.218855, 0.225821, 0.227368, 0.228915, 0.230498, 0.23208, 0.233663, 0.235246, 0.238109, 0.240973, 0.243661, 0.246349, 0.247653, 0.248958, 0.250262, 0.251567, 0.252869, 0.254172, 0.255474, 0.256777, 0.256925, 0.257515, 0.2584, 0.258991, 0.259966, 0.262106, 0.264661, 0.265588, 0.267136, 0.269805, 0.270609, 0.271413, 0.272217, 0.273826, 0.27463, 0.275843, 0.277057, 0.278511");
-            values ( \
-              "-0.0665861, -0.0685568, -0.0739497, -0.0782382, -0.0829301, -0.0882953, -0.0942438, -0.100776, -0.107891, -0.136373, -0.162248, -0.195467, -0.212528, -0.263625, -0.274162, -0.284227, -0.293864, -0.303082, -0.311881, -0.320259, -0.334019, -0.347071, -0.358677, -0.36966, -0.368086, -0.365883, -0.36305, -0.359589, -0.355504, -0.350792, -0.345453, -0.339486, -0.338217, -0.33005, -0.316019, -0.305932, -0.287655, -0.245116, -0.191612, -0.174293, -0.147801, -0.106541, -0.0960264, -0.086429, -0.0782728, -0.0636655, -0.0572145, -0.0489002, -0.0411939, -0.0327547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0305778");
-            index_3 ("0.187071, 0.200622, 0.207031, 0.210416, 0.217187, 0.228142, 0.23434, 0.244799, 0.25387, 0.262176, 0.270031, 0.277746, 0.285452, 0.298529, 0.30527, 0.313188, 0.317164, 0.323013, 0.330811, 0.334652");
-            values ( \
-              "-0.0729348, -0.136721, -0.177211, -0.205082, -0.279196, -0.416133, -0.480179, -0.573577, -0.639469, -0.686236, -0.714691, -0.714331, -0.637709, -0.341404, -0.217226, -0.120519, -0.088315, -0.0554571, -0.0291646, -0.0234046" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0886215");
-            index_3 ("0.192013, 0.200418, 0.21447, 0.250873, 0.259421, 0.276158, 0.291077, 0.304983, 0.318497, 0.331992, 0.337625, 0.373183, 0.386968, 0.404147, 0.4205, 0.431989, 0.449444");
-            values ( \
-              "-0.129238, -0.189984, -0.324736, -0.7899, -0.879731, -1.0244, -1.11845, -1.17382, -1.19128, -1.1423, -1.08962, -0.471615, -0.302249, -0.166862, -0.0927713, -0.0607357, -0.033462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.256846");
-            index_3 ("0.19961, 0.224826, 0.24587, 0.269881, 0.287172, 0.306302, 0.337496, 0.366682, 0.395321, 0.423935, 0.426444, 0.444596, 0.454867, 0.470652, 0.505869, 0.541249, 0.571574, 0.592097, 0.610528, 0.635102, 0.655959, 0.68407, 0.721551, 0.787256, 0.802905");
-            values ( \
-              "-0.220546, -0.513168, -0.811964, -1.10752, -1.27284, -1.41199, -1.55354, -1.6136, -1.61925, -1.57343, -1.5658, -1.49524, -1.43587, -1.30886, -0.950988, -0.639022, -0.440192, -0.337857, -0.265129, -0.190255, -0.143014, -0.0970159, -0.0569625, -0.0210533, -0.0178859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.744399");
-            index_3 ("0.22654, 0.276504, 0.301738, 0.3297, 0.365404, 0.400704, 0.415541, 0.435323, 0.477609, 0.549716, 0.623818, 0.700941, 0.723776, 0.769445, 0.81329, 0.957623, 1.02037, 1.07859, 1.11897, 1.1713, 1.21369, 1.2666, 1.3323, 1.3931, 1.49593, 1.56164, 1.69305, 1.82446, 2.02157");
-            values ( \
-              "-1.01779, -1.23484, -1.4695, -1.64543, -1.77652, -1.84083, -1.85462, -1.86523, -1.86937, -1.83961, -1.7824, -1.69162, -1.65473, -1.55806, -1.42314, -0.86968, -0.67101, -0.521163, -0.434726, -0.341695, -0.280317, -0.217936, -0.158643, -0.118079, -0.0711664, -0.0513776, -0.0266764, -0.0138213, -0.0051191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.279843, 0.294365, 0.301313, 0.310902, 0.316482, 0.324042, 0.328637, 0.332951, 0.337135, 0.341313, 0.353124, 0.358306, 0.362511, 0.365941, 0.369513");
-            values ( \
-              "-0.00837085, -0.0266131, -0.0408823, -0.0909166, -0.113107, -0.137397, -0.147582, -0.155946, -0.157837, -0.149357, -0.0344314, -0.0143734, -0.00772355, -0.00494492, -0.00362575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0105505");
-            index_3 ("0.280717, 0.291379, 0.29968, 0.305582, 0.318344, 0.332033, 0.337762, 0.343133, 0.348349, 0.353558, 0.368186, 0.375287, 0.378204, 0.38404, 0.392475, 0.402863, 0.410779");
-            values ( \
-              "-0.0148074, -0.056548, -0.0830418, -0.116014, -0.231845, -0.318387, -0.344754, -0.361737, -0.367761, -0.34168, -0.0884561, -0.0343941, -0.0231562, -0.0104868, -0.00393496, -0.00211303, -0.00198463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0305778");
-            index_3 ("0.282326, 0.297575, 0.303966, 0.307243, 0.325238, 0.341526, 0.350596, 0.358897, 0.366749, 0.374462, 0.382166, 0.395241, 0.401981, 0.4099, 0.413875, 0.419722, 0.427518, 0.440531, 0.455954, 0.475439, 0.544199");
-            values ( \
-              "-0.00498156, -0.139498, -0.179649, -0.206703, -0.420815, -0.574142, -0.63972, -0.686634, -0.714828, -0.714596, -0.637752, -0.341456, -0.217251, -0.120521, -0.088323, -0.0554689, -0.0291758, -0.00964485, -0.00329574, -0.00153235, -0.000452413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0886215");
-            index_3 ("0.291789, 0.306677, 0.31481, 0.344958, 0.356395, 0.373141, 0.388016, 0.401916, 0.415424, 0.428914, 0.434611, 0.439051, 0.470101, 0.483886, 0.49125, 0.50107, 0.517415, 0.528899, 0.548797");
-            values ( \
-              "-0.145766, -0.277487, -0.363989, -0.760243, -0.880017, -1.02653, -1.11822, -1.17511, -1.19089, -1.14321, -1.08906, -1.02816, -0.471666, -0.302275, -0.235525, -0.166837, -0.0927838, -0.0607528, -0.0296496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.256846");
-            index_3 ("0.298368, 0.322472, 0.354711, 0.36723, 0.388823, 0.403591, 0.433127, 0.444437, 0.454317, 0.463877, 0.478279, 0.492488, 0.521036, 0.534052, 0.547487, 0.561179, 0.579435, 0.605692, 0.631181, 0.640935, 0.656768, 0.671253, 0.690218, 0.705799, 0.724395, 0.75067, 0.778226, 0.799368, 0.841653, 0.907358, 0.973063");
-            values ( \
-              "-0.247068, -0.520687, -0.964911, -1.1097, -1.31111, -1.41271, -1.54948, -1.57997, -1.59956, -1.61223, -1.62119, -1.61794, -1.57284, -1.52917, -1.46393, -1.36716, -1.19383, -0.924803, -0.695359, -0.619847, -0.511215, -0.426237, -0.333623, -0.271859, -0.211905, -0.147864, -0.101234, -0.0753893, -0.0410547, -0.0151411, -0.00556596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.744399");
-            index_3 ("0.319271, 0.360022, 0.398748, 0.420733, 0.439207, 0.46384, 0.499861, 0.520766, 0.544658, 0.574824, 0.632629, 0.675428, 0.720978, 0.798103, 0.820941, 0.866619, 0.91043, 1.05487, 1.11757, 1.17565, 1.21606, 1.26844, 1.31091, 1.36379, 1.4295, 1.49025, 1.59305, 1.65875, 1.79016, 1.92157, 2.11869");
-            values ( \
-              "-0.899867, -1.0763, -1.47014, -1.6141, -1.69994, -1.77853, -1.84229, -1.85936, -1.86848, -1.86853, -1.84781, -1.82014, -1.78223, -1.69147, -1.65487, -1.5579, -1.4231, -0.869302, -0.67101, -0.521343, -0.434923, -0.341674, -0.28019, -0.217959, -0.15856, -0.11814, -0.0712351, -0.0513378, -0.0266314, -0.0137739, -0.00517002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.479173, 0.50216, 0.505021, 0.510743, 0.521097, 0.527302, 0.535418, 0.544942, 0.549381, 0.550292, 0.552034, 0.553871, 0.556158, 0.561334, 0.56555, 0.568878, 0.572067, 0.575855, 0.578835, 0.584795, 0.594487, 0.607166, 0.609383");
-            values ( \
-              "-7.84086e-05, -0.0224111, -0.0261595, -0.0365056, -0.0817825, -0.104049, -0.127837, -0.146598, -0.149222, -0.149117, -0.147082, -0.139636, -0.12319, -0.0681121, -0.0359753, -0.0210443, -0.0126523, -0.00751092, -0.00523006, -0.00284613, -0.00142379, -0.000832539, -0.000804366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0105505");
-            index_3 ("0.484794, 0.498891, 0.502051, 0.504186, 0.506797, 0.507911, 0.509026, 0.511254, 0.513483, 0.51451, 0.517594, 0.523161, 0.527194, 0.52999, 0.533471, 0.535449, 0.537902, 0.539584, 0.541266, 0.542948, 0.54463, 0.546079, 0.547528, 0.548977, 0.550426, 0.551342, 0.552808, 0.554274, 0.555687, 0.5571, 0.558042, 0.559926, 0.561195, 0.56229, 0.562963, 0.564308, 0.565717, 0.567127, 0.568184, 0.570297, 0.572473, 0.575301, 0.576693, 0.578993, 0.580753, 0.5819, 0.582665, 0.58496, 0.586985, 0.589093");
-            values ( \
-              "-0.00989657, -0.0440262, -0.0510434, -0.0564265, -0.0638992, -0.0675678, -0.0715021, -0.0807886, -0.0917585, -0.0974756, -0.116979, -0.164303, -0.195223, -0.214829, -0.238276, -0.250692, -0.264085, -0.276233, -0.290262, -0.306173, -0.323965, -0.32134, -0.320432, -0.321243, -0.323772, -0.328232, -0.334051, -0.339367, -0.34391, -0.347714, -0.34976, -0.352747, -0.351755, -0.349834, -0.348165, -0.343713, -0.333595, -0.320024, -0.306558, -0.275864, -0.239035, -0.183944, -0.159639, -0.124238, -0.0993632, -0.0856738, -0.0781136, -0.058516, -0.0452047, -0.0342378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0305778");
-            index_3 ("0.486236, 0.497808, 0.503282, 0.504868, 0.50804, 0.513483, 0.516567, 0.522737, 0.52834, 0.538703, 0.542949, 0.549344, 0.55054, 0.555958, 0.559918, 0.563398, 0.570357, 0.571983, 0.575236, 0.580038, 0.587905, 0.595767, 0.596173, 0.598611, 0.606141, 0.609044, 0.612915, 0.616735, 0.618321, 0.621491, 0.626895, 0.629581, 0.630995, 0.633822, 0.639478, 0.647853");
-            values ( \
-              "-0.0419845, -0.0780305, -0.10098, -0.109801, -0.125222, -0.155943, -0.17764, -0.231794, -0.299669, -0.410643, -0.450369, -0.508533, -0.518264, -0.561701, -0.591371, -0.615646, -0.657908, -0.666454, -0.681595, -0.698438, -0.702175, -0.629494, -0.6239, -0.576463, -0.399922, -0.336434, -0.261741, -0.200762, -0.179115, -0.141616, -0.0931635, -0.0755178, -0.0675629, -0.0539864, -0.0342149, -0.0187376" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0886215");
-            index_3 ("0.483856, 0.511792, 0.518583, 0.530159, 0.561575, 0.575184, 0.585701, 0.600892, 0.613657, 0.623242, 0.628667, 0.639515, 0.64813, 0.684406, 0.69777, 0.711193, 0.721309, 0.731922, 0.740075, 0.75638, 0.758952");
-            values ( \
-              "-0.0575322, -0.202956, -0.260263, -0.383115, -0.769462, -0.907562, -0.997421, -1.09824, -1.15483, -1.17707, -1.1796, -1.15139, -1.08095, -0.459064, -0.298152, -0.188222, -0.131223, -0.0895903, -0.0665103, -0.0360161, -0.0338225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.256846");
-            index_3 ("0.511796, 0.536841, 0.559067, 0.579084, 0.59432, 0.616223, 0.647671, 0.676974, 0.705684, 0.734366, 0.739321, 0.754572, 0.765292, 0.786731, 0.825385, 0.856639, 0.872317, 0.886143, 0.908112, 0.932041, 0.961804, 0.972024, 0.996282, 1.02401, 1.07945, 1.14516, 1.21086");
-            values ( \
-              "-0.448151, -0.524745, -0.826767, -1.07303, -1.22811, -1.39572, -1.54573, -1.60815, -1.61709, -1.57126, -1.55682, -1.49648, -1.43518, -1.25453, -0.863501, -0.602337, -0.497274, -0.417803, -0.314356, -0.228815, -0.15222, -0.13233, -0.0947042, -0.0641425, -0.0283271, -0.0103942, -0.00385286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.744399");
-            index_3 ("0.512263, 0.59496, 0.64027, 0.675307, 0.710216, 0.754345, 0.788543, 0.844578, 0.934786, 1.01191, 1.08043, 1.12423, 1.2687, 1.33138, 1.42985, 1.52473, 1.64331, 1.74114, 1.80684, 2.00396, 2.00706");
-            values ( \
-              "-0.360769, -1.29441, -1.63236, -1.76795, -1.83527, -1.86645, -1.86781, -1.84869, -1.782, -1.69131, -1.55774, -1.42298, -0.869091, -0.671125, -0.435094, -0.280037, -0.158418, -0.0983475, -0.0713748, -0.0264985, -0.0262985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.91192, 0.926745, 0.935, 0.944843, 0.958784, 0.973413, 0.984954, 0.990322, 0.995683, 1.01002, 1.01406, 1.02286, 1.03422, 1.04228");
-            values ( \
-              "-0.0118111, -0.0138404, -0.0194771, -0.0315125, -0.0737434, -0.10572, -0.121349, -0.123245, -0.118888, -0.0275995, -0.0158522, -0.00584791, -0.00247976, -0.00197059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0105505");
-            index_3 ("0.913935, 0.927011, 0.936609, 0.94353, 0.950529, 0.962161, 0.975008, 0.984806, 0.996821, 1.00321, 1.00958, 1.0128, 1.02495, 1.0298, 1.0344");
-            values ( \
-              "-0.0330527, -0.0337098, -0.0495783, -0.0670828, -0.093859, -0.159658, -0.222546, -0.261012, -0.299686, -0.296533, -0.286915, -0.252346, -0.0882958, -0.0509007, -0.029853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0305778");
-            index_3 ("0.879277, 0.919756, 0.929603, 0.938677, 0.944804, 0.95103, 0.95869, 0.980102, 0.993103, 1.00412, 1.01401, 1.02323, 1.03215, 1.04107, 1.04555, 1.05871, 1.06561, 1.06929, 1.07453, 1.08082, 1.08581, 1.09265");
-            values ( \
-              "-0.0108775, -0.0341477, -0.0642312, -0.095959, -0.120957, -0.152279, -0.205034, -0.379044, -0.46808, -0.532666, -0.580166, -0.612771, -0.623068, -0.572511, -0.50229, -0.256877, -0.163344, -0.126088, -0.0856889, -0.0534594, -0.0364588, -0.0228196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0886215");
-            index_3 ("0.919504, 0.942368, 0.95366, 1.00827, 1.028, 1.04506, 1.06062, 1.07549, 1.09027, 1.10504, 1.13975, 1.15413, 1.17163, 1.19049, 1.20586");
-            values ( \
-              "-0.111514, -0.167686, -0.238072, -0.733704, -0.883895, -0.988101, -1.05782, -1.09268, -1.06813, -0.919336, -0.376244, -0.23581, -0.128713, -0.0657412, -0.0376547" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.256846");
-            index_3 ("0.919523, 0.962356, 1.01966, 1.06111, 1.09502, 1.12582, 1.15562, 1.18536, 1.21513, 1.23319, 1.3044, 1.3326, 1.37378, 1.41921, 1.46824, 1.52265");
-            values ( \
-              "-0.0719659, -0.35999, -0.938599, -1.27663, -1.45402, -1.54055, -1.56964, -1.53877, -1.42657, -1.29172, -0.630477, -0.446383, -0.261437, -0.141029, -0.0713197, -0.0323968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.744399");
-            index_3 ("0.968493, 1.02358, 1.06147, 1.08603, 1.12672, 1.16556, 1.20384, 1.25231, 1.27912, 1.31157, 1.3857, 1.44021, 1.5021, 1.54591, 1.61161, 1.70674, 1.79564, 1.86891, 1.92946, 1.98321, 2.03346, 2.09916, 2.15291, 2.24955, 2.31526, 2.44667, 2.57808, 2.77519");
-            values ( \
-              "-0.891636, -1.02767, -1.36891, -1.52971, -1.70817, -1.79676, -1.83697, -1.84915, -1.84565, -1.83294, -1.78042, -1.72204, -1.624, -1.5181, -1.28526, -0.915326, -0.634252, -0.459231, -0.347924, -0.270613, -0.212866, -0.155038, -0.119297, -0.0741322, -0.0536262, -0.0278753, -0.0144687, -0.00528913" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.00316562, 0.00316671, 0.00316858, 0.00317021, 0.00317103, 0.00317136", \
-            "0.00379407, 0.00379431, 0.0037951, 0.00379633, 0.00379722, 0.00379763", \
-            "0.00416795, 0.00416802, 0.00416818, 0.00416865, 0.00416925, 0.00416962", \
-            "0.0043812, 0.00438125, 0.00438138, 0.00438157, 0.00438186, 0.00438212", \
-            "0.00449302, 0.00449305, 0.00449315, 0.00449331, 0.00449349, 0.00449367", \
-            "0.00455529, 0.00455531, 0.00455535, 0.00455547, 0.00455563, 0.00455579" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.00456548, 0.00456618, 0.00456814, 0.00457089, 0.00457272, 0.00457351", \
-            "0.00500965, 0.00501035, 0.00501149, 0.00501336, 0.00501516, 0.00501613", \
-            "0.00515719, 0.0051584, 0.00516072, 0.00516339, 0.0051656, 0.0051669", \
-            "0.00536544, 0.0053634, 0.00535966, 0.00535656, 0.00535575, 0.00535602", \
-            "0.00566463, 0.00566291, 0.00566062, 0.00565537, 0.0056491, 0.00564547", \
-            "0.0060542, 0.00609803, 0.00614297, 0.00617834, 0.00620354, 0.00621696" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.079808, 0.0884426, 0.107354, 0.149942, 0.259823, 0.573314", \
-            "0.0848176, 0.0934552, 0.112369, 0.154928, 0.264846, 0.578318", \
-            "0.0961319, 0.104736, 0.123587, 0.166109, 0.276025, 0.589556", \
-            "0.118173, 0.127028, 0.145921, 0.188543, 0.298642, 0.61226", \
-            "0.142158, 0.152465, 0.173459, 0.217424, 0.32794, 0.641997", \
-            "0.155676, 0.167829, 0.192834, 0.24088, 0.352098, 0.665476" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0211575, 0.0282327, 0.0468952, 0.0995915, 0.257844, 0.725716", \
-            "0.0211372, 0.028222, 0.0468931, 0.0995742, 0.257857, 0.72571", \
-            "0.0211226, 0.028223, 0.0469146, 0.0996058, 0.257836, 0.725716", \
-            "0.0233115, 0.0301135, 0.0483152, 0.100158, 0.258005, 0.725716", \
-            "0.0295609, 0.0366723, 0.0539317, 0.103614, 0.258896, 0.72573", \
-            "0.0382639, 0.0470623, 0.0652464, 0.111681, 0.261736, 0.72633" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.137411, 0.146829, 0.167822, 0.210659, 0.301444, 0.530148", \
-            "0.142453, 0.151884, 0.172876, 0.215715, 0.306526, 0.535215", \
-            "0.1551, 0.164504, 0.185485, 0.228378, 0.319141, 0.547999", \
-            "0.184932, 0.194334, 0.215218, 0.258094, 0.348979, 0.577738", \
-            "0.252826, 0.262524, 0.283856, 0.326908, 0.417411, 0.645148", \
-            "0.359954, 0.37129, 0.396153, 0.444386, 0.539569, 0.769975" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0283887, 0.0353672, 0.051541, 0.0878502, 0.184591, 0.478121", \
-            "0.0283832, 0.0353604, 0.0515042, 0.0878509, 0.184592, 0.478113", \
-            "0.0283926, 0.0353606, 0.0515286, 0.0878033, 0.184535, 0.478109", \
-            "0.0283765, 0.0353599, 0.0515624, 0.0878305, 0.184547, 0.478109", \
-            "0.0314987, 0.0382427, 0.0539541, 0.0894026, 0.185511, 0.478363", \
-            "0.0425608, 0.0499161, 0.0666191, 0.10197, 0.193732, 0.481017" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0671632, 0.0705737, 0.0783181, 0.0846796, 0.09143, 0.0946735, 0.0979147, 0.103534, 0.108312, 0.113086, 0.116935, 0.12415, 0.133929, 0.146828, 0.164416");
-            values ( \
-              "0.04188, 0.0594297, 0.142135, 0.183466, 0.203378, 0.201121, 0.190865, 0.109582, 0.0621487, 0.0382175, 0.0258923, 0.0131673, 0.00592555, 0.0028466, 0.00231991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0105505");
-            index_3 ("0.0698885, 0.0860802, 0.0910545, 0.0955834, 0.0999103, 0.10421, 0.108509, 0.116526, 0.124056, 0.133288, 0.141073, 0.151353, 0.167899, 0.182366");
-            values ( \
-              "0.107949, 0.357398, 0.406339, 0.432932, 0.445053, 0.438956, 0.403381, 0.233491, 0.131443, 0.0647396, 0.0358089, 0.0171899, 0.00658762, 0.00475143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0305778");
-            index_3 ("0.0719225, 0.078003, 0.0857345, 0.0926989, 0.0969929, 0.104678, 0.111838, 0.118858, 0.125872, 0.130198, 0.146542, 0.15709, 0.168518, 0.180422, 0.194859, 0.213473, 0.229934, 0.239879");
-            values ( \
-              "0.0427902, 0.3199, 0.499603, 0.622945, 0.68046, 0.752707, 0.784022, 0.786275, 0.753259, 0.703506, 0.411394, 0.268828, 0.165221, 0.0973917, 0.0508422, 0.0227251, 0.0113726, 0.00969323" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0886215");
-            index_3 ("0.0723125, 0.0895108, 0.0977328, 0.110446, 0.118002, 0.132597, 0.14687, 0.161133, 0.170402, 0.176913, 0.189936, 0.215646, 0.230017, 0.24644, 0.257128, 0.272803, 0.289322, 0.309061, 0.326804, 0.359976, 0.388811, 0.427509");
-            values ( \
-              "0.0362439, 0.669164, 0.836836, 1.0083, 1.06706, 1.12129, 1.11634, 1.07511, 1.02643, 0.980424, 0.860849, 0.599568, 0.475469, 0.357213, 0.294588, 0.219527, 0.15931, 0.107569, 0.0749198, 0.03802, 0.0206653, 0.0100637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.256846");
-            index_3 ("0.0721809, 0.0944171, 0.108818, 0.120298, 0.128273, 0.144224, 0.160519, 0.16867, 0.179539, 0.197709, 0.233487, 0.271322, 0.303037, 0.312525, 0.331501, 0.409512, 0.445963, 0.473455, 0.508317, 0.535066, 0.570731, 0.599092, 0.621799, 0.652075, 0.701351, 0.756802, 0.800618, 0.881922, 0.963225, 1.12583");
-            values ( \
-              "0.00512988, 0.827147, 1.08739, 1.21315, 1.27015, 1.33206, 1.35224, 1.35146, 1.34404, 1.32323, 1.26223, 1.18, 1.09272, 1.06071, 0.992405, 0.680991, 0.550765, 0.463605, 0.367534, 0.305312, 0.236157, 0.191247, 0.16118, 0.12787, 0.0866746, 0.0558858, 0.0393281, 0.0198959, 0.0101091, 0.00259116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.744399");
-            index_3 ("0.0846663, 0.111949, 0.12509, 0.139877, 0.148198, 0.159293, 0.174292, 0.188765, 0.217519, 0.280886, 0.477771, 0.58489, 0.666193, 0.701545, 0.762094, 1.00727, 1.1285, 1.2098, 1.26433, 1.33223, 1.40527, 1.48657, 1.52741, 1.60871, 1.68821, 1.76951, 1.8842, 2.02867, 2.19128, 2.35389, 2.51649, 2.6791, 2.92301");
-            values ( \
-              "0.794402, 1.17156, 1.30891, 1.39899, 1.42779, 1.45168, 1.46883, 1.47259, 1.46698, 1.42931, 1.29293, 1.20786, 1.12757, 1.0871, 1.00871, 0.661764, 0.509375, 0.421531, 0.368801, 0.311431, 0.257538, 0.207927, 0.185979, 0.149274, 0.119459, 0.0954482, 0.0687472, 0.0454496, 0.0283915, 0.0176696, 0.0109576, 0.00676634, 0.00356154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0864829, 0.0896912, 0.0938672, 0.0998951, 0.103717, 0.107178, 0.110463, 0.113704, 0.116944, 0.124318, 0.127346, 0.132077, 0.140034, 0.149665, 0.165611, 0.173745");
-            values ( \
-              "0.0431207, 0.0620729, 0.110129, 0.15992, 0.183011, 0.19601, 0.202907, 0.201812, 0.190403, 0.0879024, 0.0621277, 0.0383599, 0.0175963, 0.00749312, 0.00288088, 0.00263172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0105505");
-            index_3 ("0.0886216, 0.105218, 0.11019, 0.114714, 0.11904, 0.123338, 0.127636, 0.135646, 0.143182, 0.152415, 0.160196, 0.170478, 0.187036, 0.188349");
-            values ( \
-              "0.105294, 0.357459, 0.406926, 0.432841, 0.445447, 0.438821, 0.403657, 0.233626, 0.131451, 0.064731, 0.0358175, 0.0171889, 0.00658395, 0.00641656" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0305778");
-            index_3 ("0.0925767, 0.0967936, 0.104739, 0.111967, 0.116083, 0.123767, 0.130927, 0.137947, 0.14496, 0.149293, 0.160184, 0.165641, 0.169172, 0.176235, 0.182894, 0.187564, 0.193721, 0.199544, 0.20537, 0.21396, 0.224303, 0.232497, 0.248883, 0.27514, 0.307631");
-            values ( \
-              "0.221763, 0.309114, 0.497643, 0.625519, 0.680747, 0.752589, 0.784221, 0.786158, 0.753406, 0.703418, 0.502614, 0.411215, 0.358083, 0.268177, 0.202743, 0.165521, 0.126025, 0.0972362, 0.0748484, 0.0508085, 0.0323541, 0.0227892, 0.0114364, 0.00405435, 0.00138721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0886215");
-            index_3 ("0.096099, 0.105438, 0.111158, 0.120884, 0.130121, 0.136924, 0.150532, 0.157388, 0.165749, 0.180008, 0.189982, 0.195817, 0.207487, 0.234546, 0.248933, 0.265376, 0.276021, 0.291684, 0.308226, 0.327976, 0.345715, 0.36445, 0.37885, 0.40765, 0.460343, 0.523023");
-            values ( \
-              "0.289218, 0.594117, 0.728224, 0.903492, 1.01552, 1.06692, 1.11951, 1.12319, 1.11575, 1.07402, 1.02173, 0.980147, 0.874276, 0.599554, 0.475312, 0.357027, 0.294623, 0.219602, 0.159296, 0.107533, 0.0749237, 0.0511658, 0.0380367, 0.0207025, 0.00618224, 0.00138418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.256846");
-            index_3 ("0.0961338, 0.113427, 0.12773, 0.136274, 0.147195, 0.163021, 0.179814, 0.182137, 0.196078, 0.220455, 0.252369, 0.290219, 0.316524, 0.331394, 0.361135, 0.436407, 0.489794, 0.526313, 0.555722, 0.594934, 0.625837, 0.665184, 0.717646, 0.755397, 0.781062, 0.832392, 0.913696, 0.994999, 1.15761");
-            values ( \
-              "0.23845, 0.831355, 1.08825, 1.18711, 1.27034, 1.33239, 1.35218, 1.35226, 1.34631, 1.31732, 1.26152, 1.18005, 1.10916, 1.06089, 0.95051, 0.65151, 0.471193, 0.369916, 0.301648, 0.226919, 0.180292, 0.133594, 0.0885006, 0.0656196, 0.0535819, 0.0352632, 0.0179567, 0.00898602, 0.00223842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.744399");
-            index_3 ("0.108796, 0.161644, 0.183475, 0.20779, 0.23698, 0.267994, 0.444616, 0.578056, 0.655707, 0.720445, 0.801748, 1.00721, 1.14064, 1.22194, 1.31488, 1.42925, 1.51056, 1.61843, 1.7611, 1.86907, 2.00371, 2.16632, 2.32892, 2.57283, 2.89805");
-            values ( \
-              "1.13699, 1.40877, 1.45955, 1.47351, 1.46598, 1.44935, 1.33018, 1.22963, 1.15886, 1.087, 0.980618, 0.687731, 0.517591, 0.42831, 0.34119, 0.25441, 0.204987, 0.15297, 0.102937, 0.0759209, 0.0517362, 0.0324119, 0.0202474, 0.00998967, 0.00388088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.128842, 0.131767, 0.137354, 0.141961, 0.145779, 0.149234, 0.152516, 0.155757, 0.158995, 0.160537, 0.166393, 0.169404, 0.174081, 0.177979, 0.182118, 0.18538, 0.191891, 0.196882, 0.207354, 0.227132");
-            values ( \
-              "0.0440864, 0.0600702, 0.123913, 0.160845, 0.18268, 0.196627, 0.202621, 0.202256, 0.190136, 0.173991, 0.0876479, 0.062098, 0.0385404, 0.0259685, 0.0175385, 0.0129779, 0.0073859, 0.00522551, 0.00292539, 0.0017346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0105505");
-            index_3 ("0.131089, 0.138218, 0.141245, 0.147187, 0.152159, 0.156684, 0.161009, 0.165308, 0.169606, 0.177621, 0.180332, 0.184953, 0.190673, 0.194384, 0.198108, 0.202168, 0.208446, 0.212447, 0.219539, 0.228994, 0.24654, 0.268518, 0.331176");
-            values ( \
-              "0.0838591, 0.228696, 0.278481, 0.358296, 0.406081, 0.433589, 0.444713, 0.439486, 0.403011, 0.233532, 0.189296, 0.133422, 0.086212, 0.0647384, 0.0487278, 0.0358153, 0.0225244, 0.0171877, 0.0110553, 0.00659033, 0.00308133, 0.00153322, 0.000211417" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0305778");
-            index_3 ("0.131777, 0.141737, 0.14675, 0.153737, 0.158034, 0.165727, 0.172891, 0.179915, 0.186931, 0.191228, 0.207555, 0.21791, 0.229754, 0.241342, 0.24723, 0.255863, 0.266416, 0.274798, 0.291563, 0.305262");
-            values ( \
-              "0.0297109, 0.387083, 0.497925, 0.621959, 0.679447, 0.752241, 0.78354, 0.786122, 0.753001, 0.703814, 0.412122, 0.271544, 0.163987, 0.0980287, 0.0752443, 0.0509754, 0.0321656, 0.0224735, 0.0111067, 0.00737652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0886215");
-            index_3 ("0.137389, 0.14567, 0.153162, 0.158961, 0.170798, 0.17897, 0.193557, 0.207834, 0.222101, 0.231318, 0.23788, 0.251002, 0.276586, 0.291147, 0.307788, 0.31796, 0.333499, 0.350282, 0.370159, 0.387846, 0.406381, 0.420606, 0.449055, 0.501447, 0.563574");
-            values ( \
-              "0.22378, 0.547043, 0.726461, 0.839966, 1.0011, 1.06658, 1.12081, 1.11628, 1.07489, 1.02667, 0.980404, 0.859821, 0.599805, 0.474164, 0.354775, 0.295294, 0.220642, 0.159312, 0.107274, 0.0748053, 0.0512939, 0.0382839, 0.0209918, 0.00633129, 0.00143544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.256846");
-            index_3 ("0.137323, 0.15542, 0.169806, 0.179894, 0.189253, 0.205152, 0.221582, 0.229501, 0.240059, 0.258695, 0.294471, 0.332307, 0.362773, 0.373502, 0.394948, 0.470757, 0.506409, 0.534035, 0.569158, 0.596306, 0.632503, 0.660264, 0.682523, 0.712201, 0.762037, 0.818441, 0.863186, 0.944489, 1.02579, 1.1884");
-            values ( \
-              "0.194025, 0.828233, 1.08764, 1.2008, 1.27001, 1.33198, 1.35218, 1.3514, 1.34457, 1.32313, 1.26209, 1.18009, 1.09649, 1.06083, 0.982852, 0.67986, 0.552594, 0.464752, 0.36791, 0.304774, 0.234741, 0.190991, 0.161509, 0.128694, 0.0868823, 0.0555916, 0.0388077, 0.0196408, 0.00996881, 0.00255104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.744399");
-            index_3 ("0.148637, 0.203691, 0.221477, 0.249847, 0.275909, 0.308467, 0.388527, 0.519242, 0.645972, 0.727275, 0.823176, 1.04815, 1.18958, 1.35451, 1.46635, 1.54765, 1.66979, 1.83059, 1.94529, 2.08977, 2.25237, 2.49628, 2.54761");
-            values ( \
-              "1.03116, 1.40719, 1.45416, 1.47308, 1.46751, 1.45057, 1.39798, 1.30709, 1.20744, 1.12799, 1.00911, 0.689133, 0.509738, 0.343409, 0.25786, 0.207608, 0.148961, 0.0951392, 0.069039, 0.0457369, 0.028676, 0.0139674, 0.012844" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.211737, 0.215559, 0.219944, 0.224973, 0.235045, 0.240673, 0.246143, 0.248908, 0.251343, 0.261484, 0.269748, 0.278406, 0.287476, 0.291654");
-            values ( \
-              "0.0197162, 0.0285299, 0.054301, 0.0994826, 0.159075, 0.180222, 0.187354, 0.181912, 0.164815, 0.0543234, 0.024131, 0.0110397, 0.0055116, 0.00478557" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0105505");
-            index_3 ("0.213473, 0.218061, 0.222848, 0.229279, 0.233551, 0.236376, 0.241991, 0.246946, 0.251574, 0.253867, 0.256101, 0.259971, 0.269927, 0.273578, 0.277436, 0.279651, 0.286264, 0.290845, 0.29608, 0.301138, 0.304163, 0.310213, 0.322314, 0.33932, 0.357583");
-            values ( \
-              "0.0481227, 0.0756012, 0.13193, 0.224656, 0.276569, 0.310739, 0.365606, 0.401646, 0.418138, 0.421123, 0.419669, 0.397598, 0.209972, 0.159223, 0.11982, 0.101482, 0.0614226, 0.0434658, 0.0294865, 0.0205725, 0.0168944, 0.0115169, 0.00598091, 0.00295275, 0.00179728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0305778");
-            index_3 ("0.225806, 0.239042, 0.240697, 0.244007, 0.245786, 0.247566, 0.248318, 0.24907, 0.250065, 0.25106, 0.253509, 0.255785, 0.257758, 0.260621, 0.26329, 0.265101, 0.266911, 0.268722, 0.270532, 0.272342, 0.274152, 0.275963, 0.277839, 0.278759, 0.279549, 0.280864, 0.281916, 0.284795, 0.285755, 0.287675, 0.291514, 0.298237, 0.301351, 0.304464, 0.307578, 0.310457, 0.314091, 0.316765, 0.319439, 0.321222, 0.323005, 0.324788, 0.327827, 0.330226, 0.333423, 0.33775, 0.341255, 0.345378, 0.34744, 0.350834");
-            values ( \
-              "0.462707, 0.487741, 0.516276, 0.569664, 0.595523, 0.619618, 0.636653, 0.655949, 0.661078, 0.6675, 0.688822, 0.71065, 0.726452, 0.747104, 0.763933, 0.763168, 0.761774, 0.759751, 0.757098, 0.753816, 0.749905, 0.745365, 0.739974, 0.732269, 0.724437, 0.70892, 0.694973, 0.64932, 0.633388, 0.596206, 0.526049, 0.41213, 0.36458, 0.323217, 0.285498, 0.2542, 0.216275, 0.193038, 0.172369, 0.159729, 0.147871, 0.136795, 0.119717, 0.106909, 0.0917555, 0.0761194, 0.0653558, 0.0545233, 0.0497736, 0.0428762" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0886215");
-            index_3 ("0.22883, 0.241649, 0.248669, 0.249115, 0.251348, 0.256485, 0.259142, 0.261799, 0.263671, 0.267416, 0.269288, 0.271279, 0.27327, 0.277252, 0.28069, 0.284128, 0.291342, 0.298556, 0.305773, 0.312989, 0.313667, 0.315474, 0.318185, 0.321799, 0.325413, 0.327591, 0.32944, 0.331659, 0.336098, 0.364292, 0.374332, 0.379157, 0.380766, 0.385654, 0.390542, 0.393686, 0.399974, 0.404652, 0.407721, 0.412324, 0.417587, 0.422851, 0.429844, 0.441167, 0.447661, 0.449826, 0.458485, 0.467144, 0.477223, 0.485599");
-            values ( \
-              "0.619696, 0.625263, 0.775473, 0.790499, 0.819277, 0.904894, 0.941704, 0.974133, 0.99321, 1.02712, 1.04196, 1.05561, 1.06804, 1.08925, 1.10364, 1.11439, 1.10736, 1.09753, 1.08488, 1.06942, 1.06719, 1.05913, 1.04515, 1.02439, 1.00157, 0.986739, 0.972536, 0.953774, 0.913132, 0.628762, 0.536467, 0.494558, 0.481196, 0.444139, 0.40901, 0.387841, 0.347082, 0.318123, 0.299919, 0.274419, 0.249484, 0.226262, 0.198801, 0.157588, 0.137993, 0.132016, 0.111826, 0.0941611, 0.0770965, 0.0640712" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.256846");
-            index_3 ("0.223661, 0.247097, 0.255616, 0.264902, 0.273007, 0.279559, 0.292664, 0.308587, 0.314811, 0.327258, 0.34939, 0.378685, 0.385177, 0.398163, 0.423014, 0.461316, 0.470234, 0.482125, 0.569628, 0.591884, 0.61732, 0.657834, 0.679508, 0.693646, 0.718612, 0.75091, 0.776853, 0.809462, 0.855339, 0.87591, 0.903916, 0.941257, 1.01594, 1.09724, 1.17855, 1.25985");
-            values ( \
-              "0.18292, 0.800285, 0.967644, 1.10824, 1.1958, 1.24671, 1.31117, 1.34326, 1.3466, 1.34424, 1.32204, 1.27406, 1.2617, 1.23564, 1.18024, 1.07143, 1.04031, 0.996304, 0.650082, 0.570704, 0.487544, 0.373087, 0.321385, 0.290907, 0.242982, 0.191257, 0.157273, 0.122363, 0.0852898, 0.0725293, 0.0580873, 0.0430395, 0.023193, 0.0117219, 0.00591134, 0.00297183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.744399");
-            index_3 ("0.23615, 0.280153, 0.294499, 0.310671, 0.326204, 0.340853, 0.366122, 0.433006, 0.629892, 0.73701, 0.853666, 0.914215, 1.15939, 1.28062, 1.36192, 1.44555, 1.55739, 1.63869, 1.76083, 1.84032, 1.98894, 2.18079, 2.3434, 2.506, 2.74991, 3.07513");
-            values ( \
-              "0.873325, 1.30786, 1.39482, 1.4444, 1.46509, 1.47097, 1.4674, 1.42908, 1.29273, 1.20768, 1.08693, 1.00888, 0.661903, 0.509503, 0.421404, 0.343183, 0.257636, 0.207832, 0.149182, 0.119552, 0.0787127, 0.0455307, 0.0284707, 0.0177473, 0.00886446, 0.00348841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.371926, 0.383862, 0.404958, 0.412584, 0.421822, 0.426309, 0.430793, 0.434057, 0.440725, 0.446656, 0.455447, 0.467537, 0.47873, 0.500406");
-            values ( \
-              "0.00751968, 0.024932, 0.115428, 0.1352, 0.147679, 0.144709, 0.122809, 0.0858795, 0.0441141, 0.0256924, 0.0122099, 0.00507723, 0.00291993, 0.00173774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0105505");
-            index_3 ("0.371832, 0.383892, 0.387354, 0.391546, 0.402221, 0.415422, 0.421741, 0.427502, 0.432976, 0.438453, 0.443937, 0.448455, 0.452347, 0.456544, 0.463768, 0.468077, 0.475058, 0.481608, 0.485536, 0.492175, 0.501027, 0.518731, 0.541899, 0.558982");
-            values ( \
-              "0.00995151, 0.0530783, 0.0704259, 0.100258, 0.193844, 0.28372, 0.317985, 0.341496, 0.35243, 0.340881, 0.269541, 0.196199, 0.148839, 0.111741, 0.0667476, 0.0491843, 0.0300501, 0.0192791, 0.0151437, 0.0104279, 0.00673098, 0.00340224, 0.00173178, 0.00136923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0305778");
-            index_3 ("0.372755, 0.384825, 0.388643, 0.395946, 0.421861, 0.431918, 0.440653, 0.448795, 0.456812, 0.464819, 0.475284, 0.482741, 0.490351, 0.495321, 0.505656, 0.513822, 0.519735, 0.528405, 0.538539, 0.54651, 0.560938, 0.567227");
-            values ( \
-              "0.0395339, 0.0889452, 0.116011, 0.189435, 0.496662, 0.598986, 0.660535, 0.690765, 0.6845, 0.61767, 0.445861, 0.339378, 0.251474, 0.205748, 0.13265, 0.0931461, 0.0718652, 0.0490831, 0.0319088, 0.0229038, 0.0127181, 0.0109969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0886215");
-            index_3 ("0.374625, 0.393667, 0.445951, 0.460895, 0.470218, 0.477432, 0.492536, 0.503349, 0.516642, 0.553416, 0.574233, 0.596954, 0.625383, 0.649566, 0.692002, 0.726223, 0.729878");
-            values ( \
-              "0.0720649, 0.199365, 0.926215, 1.02612, 1.05334, 1.06001, 1.04014, 0.995021, 0.898181, 0.544057, 0.384781, 0.255211, 0.147617, 0.0909239, 0.0381737, 0.0183604, 0.0178158" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.256846");
-            index_3 ("0.393568, 0.414955, 0.439715, 0.446789, 0.456875, 0.475332, 0.493839, 0.511758, 0.528955, 0.550051, 0.573431, 0.602983, 0.633416, 0.644202, 0.665651, 0.741464, 0.777108, 0.804728, 0.839859, 0.867013, 0.903219, 0.930969, 0.953219, 0.982885, 1.03273, 1.05558, 1.08914, 1.1339, 1.2152, 1.2965, 1.45911");
-            values ( \
-              "0.323388, 0.542052, 0.960209, 1.05229, 1.15371, 1.2681, 1.31388, 1.32128, 1.30878, 1.2802, 1.24085, 1.17888, 1.09626, 1.06072, 0.982709, 0.679794, 0.552633, 0.464768, 0.36794, 0.304788, 0.234704, 0.191011, 0.161539, 0.128697, 0.0869097, 0.0725942, 0.0556113, 0.0387881, 0.0196577, 0.00995046, 0.00253307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.744399");
-            index_3 ("0.393458, 0.438409, 0.455756, 0.472115, 0.494394, 0.516476, 0.528169, 0.543759, 0.570238, 0.612879, 0.759997, 0.891148, 0.968785, 1.03353, 1.11483, 1.3203, 1.45372, 1.53503, 1.62797, 1.74234, 1.82364, 1.93151, 2.07418, 2.18215, 2.31679, 2.47939, 2.642, 2.88591, 3.21112");
-            values ( \
-              "0.230567, 0.961902, 1.19157, 1.32631, 1.41604, 1.45142, 1.45684, 1.45726, 1.44998, 1.42788, 1.32854, 1.2296, 1.15885, 1.08702, 0.9806, 0.68775, 0.517577, 0.42833, 0.341176, 0.254397, 0.205003, 0.152987, 0.102953, 0.0759364, 0.0517512, 0.0324261, 0.0202613, 0.00997674, 0.003868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.672069, 0.709045, 0.719101, 0.72618, 0.730953, 0.74358, 0.751117, 0.7577, 0.763827, 0.76975, 0.775627, 0.781502, 0.790038, 0.795429, 0.803229, 0.808189, 0.813251, 0.822016, 0.827171, 0.847883, 0.871539");
-            values ( \
-              "0.00135468, 0.0065648, 0.0167629, 0.0274144, 0.0394853, 0.0793036, 0.0948971, 0.104067, 0.109561, 0.112086, 0.111084, 0.100065, 0.0484484, 0.0309632, 0.0165597, 0.0112141, 0.00764251, 0.00423107, 0.00331245, 0.00182616, 0.00129565" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0105505");
-            index_3 ("0.672632, 0.710921, 0.718327, 0.724047, 0.727449, 0.734254, 0.746451, 0.750845, 0.760305, 0.768543, 0.776093, 0.783246, 0.790283, 0.79731, 0.806549, 0.811186, 0.81951, 0.824121, 0.832005, 0.839704, 0.844901, 0.854452, 0.867187, 0.879014");
-            values ( \
-              "0.00368603, 0.0179989, 0.0342262, 0.0492061, 0.0607469, 0.0925165, 0.162688, 0.182688, 0.218732, 0.242895, 0.26033, 0.270508, 0.270074, 0.230758, 0.130898, 0.0990059, 0.0581294, 0.0433051, 0.0260068, 0.0160674, 0.0120589, 0.00763591, 0.00472477, 0.00363021" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0305778");
-            index_3 ("0.676651, 0.711065, 0.720302, 0.729248, 0.734143, 0.756462, 0.766684, 0.779844, 0.791068, 0.801205, 0.810811, 0.820419, 0.840806, 0.852222, 0.859835, 0.865893, 0.870956, 0.877554, 0.887114, 0.892178, 0.900015, 0.910465, 0.92879");
-            values ( \
-              "0.01033, 0.0376331, 0.0693575, 0.109643, 0.139597, 0.307698, 0.376963, 0.459914, 0.522008, 0.564008, 0.582281, 0.550521, 0.301919, 0.198519, 0.146775, 0.114445, 0.0927375, 0.0701968, 0.0467608, 0.0379406, 0.0276262, 0.0183029, 0.00958643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0886215");
-            index_3 ("0.710819, 0.732896, 0.751946, 0.796, 0.815332, 0.832501, 0.848949, 0.865391, 0.869931, 0.884173, 0.902972, 0.917769, 0.941519, 0.962133, 0.980268, 0.988681, 1.00551, 1.01974, 1.03913, 1.065, 1.11244");
-            values ( \
-              "0.114567, 0.174567, 0.333708, 0.752806, 0.896648, 0.96467, 0.97646, 0.929293, 0.902344, 0.787201, 0.61145, 0.488073, 0.325875, 0.223412, 0.157798, 0.133893, 0.0957016, 0.0718714, 0.0485484, 0.028396, 0.0100917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.256846");
-            index_3 ("0.710743, 0.752784, 0.763887, 0.807598, 0.823078, 0.84621, 0.853374, 0.862927, 0.882032, 0.891447, 0.904, 0.921764, 0.958646, 0.97921, 1.00142, 1.04384, 1.107, 1.13014, 1.15659, 1.19589, 1.21584, 1.24963, 1.26917, 1.29742, 1.32237, 1.35344, 1.39659, 1.41446, 1.45019, 1.52166, 1.60297, 1.66741");
-            values ( \
-              "0.0681404, 0.371961, 0.486235, 0.982862, 1.11208, 1.23041, 1.24973, 1.26614, 1.27849, 1.27539, 1.26503, 1.24334, 1.17521, 1.12442, 1.05709, 0.898073, 0.649483, 0.56739, 0.481742, 0.371697, 0.324145, 0.255809, 0.221857, 0.179811, 0.148868, 0.117148, 0.0834161, 0.0724515, 0.0544827, 0.0302676, 0.0153294, 0.00930634" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.744399");
-            index_3 ("0.756912, 0.810709, 0.824557, 0.849123, 0.875149, 0.903112, 0.931925, 0.968714, 1.01192, 1.20577, 1.30559, 1.38998, 1.47128, 1.67668, 1.81007, 1.89138, 1.98435, 2.09873, 2.18003, 2.28785, 2.43052, 2.53851, 2.67319, 2.8358, 2.9984, 3.24231, 3.56753");
-            values ( \
-              "0.840964, 1.06841, 1.19589, 1.34225, 1.41484, 1.4394, 1.43874, 1.42221, 1.39622, 1.26094, 1.17802, 1.0869, 0.980509, 0.687743, 0.517609, 0.42835, 0.341176, 0.254393, 0.204987, 0.152995, 0.102954, 0.0759306, 0.0517393, 0.0324157, 0.020252, 0.00998352, 0.00387524" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.00337158, 0.00337191, 0.00337259, 0.00337328, 0.00337367, 0.00337383", \
-            "0.00430262, 0.00430251, 0.00430252, 0.00430284, 0.00430319, 0.00430338", \
-            "0.00491479, 0.00491465, 0.0049144, 0.00491415, 0.00491412, 0.00491417", \
-            "0.00531007, 0.00531, 0.00530981, 0.00530952, 0.00530925, 0.00530912", \
-            "0.00559561, 0.00559558, 0.00559552, 0.00559538, 0.0055952, 0.00559506", \
-            "0.00577098, 0.00577096, 0.00577092, 0.00577085, 0.00577074, 0.00577063" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.00521476, 0.00521369, 0.00521247, 0.00521203, 0.00521205, 0.00521211", \
-            "0.00556387, 0.00556348, 0.00556237, 0.00556074, 0.00555965, 0.0055592", \
-            "0.0053721, 0.00537205, 0.00537177, 0.005371, 0.00536998, 0.00536934", \
-            "0.00541869, 0.00541874, 0.00541869, 0.00541828, 0.00541754, 0.00541691", \
-            "0.00582676, 0.00590792, 0.00599294, 0.00604725, 0.00607077, 0.00606614", \
-            "0.00598274, 0.00598214, 0.00597677, 0.005976, 0.00615288, 0.00619971" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0999631, 0.11291, 0.117016, 0.122878, 0.133387, 0.139343, 0.144424, 0.149021, 0.153334, 0.157517, 0.161694, 0.17022, 0.173504, 0.178685, 0.182889, 0.186318, 0.193176, 0.202674, 0.22388");
-            values ( \
-              "-0.0126793, -0.0238175, -0.0305463, -0.044331, -0.0990986, -0.121035, -0.137205, -0.147884, -0.155754, -0.158108, -0.14916, -0.0584551, -0.0344395, -0.0143804, -0.00771926, -0.00495043, -0.00240672, -0.00129887, -0.000925867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0105505");
-            index_3 ("0.103683, 0.112188, 0.114063, 0.115939, 0.117089, 0.118239, 0.119389, 0.120539, 0.122095, 0.12365, 0.125206, 0.126761, 0.134218, 0.135469, 0.139225, 0.141072, 0.142919, 0.144766, 0.146613, 0.148191, 0.149769, 0.152925, 0.15579, 0.158654, 0.16134, 0.164027, 0.165331, 0.166635, 0.167939, 0.169243, 0.170545, 0.171848, 0.17315, 0.174452, 0.174599, 0.175041, 0.175777, 0.177148, 0.179195, 0.182336, 0.183264, 0.184812, 0.187477, 0.189083, 0.189886, 0.191491, 0.192294, 0.19351, 0.194725, 0.196182");
-            values ( \
-              "-0.0321, -0.0572605, -0.0614559, -0.0667539, -0.0706503, -0.0750253, -0.0798789, -0.0852111, -0.0931935, -0.102056, -0.111798, -0.122421, -0.193813, -0.204373, -0.234184, -0.247676, -0.260584, -0.272909, -0.284651, -0.294175, -0.303304, -0.320377, -0.334245, -0.34733, -0.358889, -0.369759, -0.368206, -0.366013, -0.363179, -0.359705, -0.355596, -0.350849, -0.345462, -0.339437, -0.338182, -0.332278, -0.320968, -0.297016, -0.257111, -0.191611, -0.174266, -0.147758, -0.106564, -0.0864708, -0.0783225, -0.0637268, -0.0572795, -0.0489424, -0.0412161, -0.0327573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0305778");
-            index_3 ("0.106645, 0.122692, 0.12797, 0.135372, 0.144235, 0.151812, 0.162259, 0.171324, 0.179626, 0.187479, 0.195192, 0.202896, 0.215971, 0.222712, 0.226106, 0.230632, 0.234607, 0.240454, 0.24825, 0.259632");
-            values ( \
-              "-0.0797677, -0.165622, -0.206104, -0.289559, -0.401598, -0.480848, -0.573911, -0.639826, -0.68645, -0.714905, -0.714469, -0.63782, -0.34145, -0.217245, -0.169939, -0.12051, -0.0883167, -0.0554636, -0.0291704, -0.0120983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0886215");
-            index_3 ("0.108284, 0.127808, 0.136949, 0.155714, 0.176552, 0.193309, 0.2082, 0.222104, 0.235616, 0.24911, 0.254767, 0.259226, 0.2903, 0.304085, 0.311448, 0.321266, 0.329001, 0.337616, 0.349104, 0.372079, 0.383284");
-            values ( \
-              "-0.0403116, -0.286683, -0.385386, -0.644237, -0.879516, -1.02536, -1.11814, -1.1744, -1.19095, -1.14274, -1.0894, -1.02839, -0.471633, -0.302259, -0.235526, -0.166846, -0.126578, -0.0927765, -0.0607369, -0.0248406, -0.0183237" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.256846");
-            index_3 ("0.108621, 0.163648, 0.187587, 0.204403, 0.223966, 0.255145, 0.284322, 0.312957, 0.344087, 0.362252, 0.372499, 0.388284, 0.458881, 0.489205, 0.509728, 0.528159, 0.552734, 0.573591, 0.601701, 0.639182, 0.690755");
-            values ( \
-              "-0.0243925, -0.814516, -1.10914, -1.27083, -1.41272, -1.55433, -1.61369, -1.61962, -1.56588, -1.49518, -1.43593, -1.30887, -0.639019, -0.440196, -0.337853, -0.265124, -0.190256, -0.143009, -0.097012, -0.0569649, -0.0287584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.744399");
-            index_3 ("0.136493, 0.166965, 0.2029, 0.224477, 0.247589, 0.282481, 0.317276, 0.335954, 0.360858, 0.395448, 0.45312, 0.467581, 0.496503, 0.541665, 0.607247, 0.618787, 0.641868, 0.68803, 0.729673, 0.835474, 0.901056, 0.944306, 0.980991, 1.02567, 1.08472, 1.13981, 1.18975, 1.25533, 1.29255, 1.34014, 1.40361, 1.46919, 1.53477, 1.60035, 1.73152, 1.92827");
-            values ( \
-              "-0.868993, -0.891509, -1.32694, -1.50799, -1.64666, -1.77498, -1.83964, -1.8567, -1.86776, -1.86916, -1.84784, -1.83964, -1.81971, -1.78246, -1.70794, -1.69166, -1.65427, -1.55621, -1.42837, -1.01511, -0.783897, -0.653784, -0.557782, -0.457191, -0.348482, -0.269318, -0.212435, -0.154546, -0.12902, -0.102285, -0.0748817, -0.0539758, -0.0390361, -0.028032, -0.0145083, -0.00548546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.12299, 0.132668, 0.136019, 0.13892, 0.143003, 0.149385, 0.157759, 0.163463, 0.172372, 0.176556, 0.180823, 0.187762, 0.191677, 0.195342, 0.197722, 0.200216");
-            values ( \
-              "-0.0235971, -0.0251217, -0.0305923, -0.0372658, -0.0507775, -0.0855341, -0.119836, -0.137697, -0.158534, -0.15525, -0.147502, -0.0723786, -0.039124, -0.0210832, -0.0142708, -0.00959361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0105505");
-            index_3 ("0.122394, 0.129466, 0.139241, 0.145715, 0.15238, 0.165298, 0.17161, 0.177337, 0.182709, 0.187925, 0.193134, 0.202869, 0.207766, 0.210981, 0.214863, 0.217773, 0.223593, 0.232035, 0.242425, 0.296819");
-            values ( \
-              "-0.0374834, -0.0512079, -0.0822818, -0.120523, -0.187455, -0.282801, -0.318834, -0.3444, -0.362032, -0.367518, -0.341899, -0.158623, -0.0884121, -0.0579439, -0.0343909, -0.0231779, -0.0105202, -0.00393882, -0.00211429, -0.00123188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0305778");
-            index_3 ("0.124627, 0.146661, 0.166171, 0.179067, 0.189943, 0.198239, 0.206109, 0.213822, 0.221526, 0.241342, 0.249261, 0.259083, 0.266879, 0.279913, 0.280213");
-            values ( \
-              "-0.0364194, -0.2075, -0.437886, -0.559433, -0.639687, -0.686483, -0.714849, -0.714554, -0.637769, -0.217248, -0.120514, -0.0554656, -0.0291708, -0.00962555, -0.00955611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0886215");
-            index_3 ("0.129357, 0.135975, 0.151253, 0.187201, 0.19601, 0.212767, 0.227658, 0.241562, 0.255074, 0.268569, 0.27422, 0.309759, 0.323544, 0.340724, 0.357076, 0.368564, 0.387665");
-            values ( \
-              "-0.136878, -0.181446, -0.327372, -0.787715, -0.879749, -1.02512, -1.11832, -1.17423, -1.1911, -1.1426, -1.08946, -0.471627, -0.302254, -0.16685, -0.0927725, -0.0607358, -0.0308918" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.256846");
-            index_3 ("0.135252, 0.196926, 0.226398, 0.243126, 0.274306, 0.303485, 0.33212, 0.363248, 0.381411, 0.391662, 0.407447, 0.478044, 0.508368, 0.528891, 0.547323, 0.571898, 0.592755, 0.620865, 0.658346, 0.70312");
-            values ( \
-              "-0.175971, -0.996729, -1.29464, -1.41247, -1.55447, -1.61352, -1.61976, -1.56588, -1.49519, -1.43593, -1.30887, -0.639019, -0.440194, -0.337853, -0.265124, -0.190253, -0.143009, -0.0970117, -0.0569626, -0.0324771" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.744399");
-            index_3 ("0.162485, 0.204118, 0.222061, 0.236939, 0.266804, 0.301418, 0.337276, 0.353568, 0.375291, 0.414654, 0.470256, 0.486761, 0.519772, 0.560862, 0.637984, 0.661062, 0.70722, 0.748881, 0.854599, 0.920181, 0.963481, 1.00023, 1.04489, 1.10393, 1.15898, 1.20893, 1.27451, 1.31174, 1.35936, 1.42285, 1.48844, 1.55402, 1.6196, 1.75077, 1.94752");
-            values ( \
-              "-1.02905, -1.12958, -1.32641, -1.45687, -1.64749, -1.77437, -1.84091, -1.85581, -1.86638, -1.86946, -1.84886, -1.83972, -1.81661, -1.7825, -1.69172, -1.65421, -1.55627, -1.42836, -1.01536, -0.784146, -0.653822, -0.557665, -0.457153, -0.348449, -0.269333, -0.212461, -0.154544, -0.129011, -0.102266, -0.0748695, -0.0539542, -0.0390329, -0.0280176, -0.0144978, -0.00549004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.164274, 0.171772, 0.174384, 0.176996, 0.178339, 0.179681, 0.181023, 0.182366, 0.183708, 0.18505, 0.187688, 0.190326, 0.192963, 0.194503, 0.196042, 0.197531, 0.20051, 0.203268, 0.204537, 0.207076, 0.209375, 0.211675, 0.21383, 0.215986, 0.217032, 0.218078, 0.219124, 0.22017, 0.221215, 0.222259, 0.223304, 0.224348, 0.224437, 0.225673, 0.226733, 0.228221, 0.230882, 0.231391, 0.232917, 0.234058, 0.235286, 0.236133, 0.236637, 0.238149, 0.238943, 0.239737, 0.240531, 0.241325, 0.242554, 0.243826");
-            values ( \
-              "-0.0144578, -0.0205216, -0.0233453, -0.0265997, -0.0287604, -0.0311661, -0.0340729, -0.0373955, -0.0411338, -0.0452879, -0.0573345, -0.0708305, -0.0857756, -0.092923, -0.0996809, -0.105736, -0.116977, -0.126306, -0.130271, -0.137671, -0.143699, -0.149278, -0.154099, -0.158525, -0.158107, -0.157425, -0.15648, -0.155271, -0.153801, -0.152068, -0.150072, -0.147813, -0.147384, -0.137094, -0.126081, -0.109396, -0.0774933, -0.0722263, -0.0577966, -0.0484389, -0.0391606, -0.0335321, -0.0308946, -0.023975, -0.021124, -0.0185602, -0.0162833, -0.0142936, -0.0118502, -0.00959533" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0105505");
-            index_3 ("0.165217, 0.174618, 0.177787, 0.180957, 0.182014, 0.182968, 0.183922, 0.184876, 0.186784, 0.188692, 0.19467, 0.197659, 0.198659, 0.200659, 0.203503, 0.205348, 0.207192, 0.209037, 0.210615, 0.213771, 0.215349, 0.218214, 0.221078, 0.223763, 0.226449, 0.227753, 0.229057, 0.230361, 0.231665, 0.232967, 0.23427, 0.235572, 0.236874, 0.237021, 0.23746, 0.238193, 0.239561, 0.241611, 0.24476, 0.245691, 0.247241, 0.249898, 0.250699, 0.251499, 0.252299, 0.2539, 0.254701, 0.25592, 0.25714, 0.258602");
-            values ( \
-              "-0.0249091, -0.0559658, -0.0649409, -0.0752599, -0.0790113, -0.0829157, -0.08759, -0.0927546, -0.104555, -0.118315, -0.174867, -0.202393, -0.210635, -0.226544, -0.247796, -0.260764, -0.273116, -0.284851, -0.294274, -0.31202, -0.320343, -0.33434, -0.347468, -0.358987, -0.369741, -0.368226, -0.366053, -0.363223, -0.359736, -0.355598, -0.350804, -0.345355, -0.339251, -0.338034, -0.332287, -0.321079, -0.297193, -0.257216, -0.191557, -0.174161, -0.147636, -0.106578, -0.0960957, -0.0865269, -0.0783973, -0.0638294, -0.0573911, -0.0490095, -0.0412457, -0.0327523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0305778");
-            index_3 ("0.170311, 0.179072, 0.189548, 0.194277, 0.2061, 0.214066, 0.224523, 0.233586, 0.241886, 0.249738, 0.25745, 0.265153, 0.278228, 0.284968, 0.292888, 0.296863, 0.302709, 0.310504, 0.323532, 0.337064");
-            values ( \
-              "-0.0869408, -0.129592, -0.199641, -0.24852, -0.397425, -0.480953, -0.574119, -0.639931, -0.686612, -0.714947, -0.714589, -0.637817, -0.341472, -0.217255, -0.120511, -0.0883196, -0.0554687, -0.0291761, -0.00963129, -0.00407067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0886215");
-            index_3 ("0.172703, 0.19063, 0.199519, 0.218303, 0.239096, 0.255834, 0.270713, 0.284611, 0.298118, 0.311608, 0.317308, 0.321747, 0.352794, 0.366578, 0.373943, 0.383763, 0.400109, 0.411593, 0.434563, 0.443708");
-            values ( \
-              "-0.0642457, -0.291925, -0.387469, -0.646247, -0.880716, -1.02633, -1.11871, -1.17494, -1.19124, -1.14302, -1.08907, -1.02817, -0.471667, -0.302274, -0.235524, -0.166835, -0.0927801, -0.0607484, -0.024848, -0.0195287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.256846");
-            index_3 ("0.172082, 0.205668, 0.238117, 0.250259, 0.272374, 0.286588, 0.315016, 0.333902, 0.34685, 0.372746, 0.38091, 0.391795, 0.404389, 0.429577, 0.435062, 0.446031, 0.490919, 0.52452, 0.551131, 0.572224, 0.590764, 0.615483, 0.636194, 0.664102, 0.701312, 0.766895, 0.832477, 0.89806");
-            values ( \
-              "-0.0259828, -0.524897, -0.971433, -1.11144, -1.31643, -1.41359, -1.54617, -1.59376, -1.61252, -1.62027, -1.61403, -1.59897, -1.57096, -1.46915, -1.43585, -1.35156, -0.903336, -0.615587, -0.443541, -0.338086, -0.26493, -0.18963, -0.142926, -0.0972414, -0.0572538, -0.0213172, -0.00771689, -0.00299951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.744399");
-            index_3 ("0.193749, 0.229804, 0.265636, 0.2889, 0.310261, 0.346986, 0.380751, 0.396974, 0.418604, 0.458104, 0.514055, 0.530213, 0.562529, 0.60431, 0.669893, 0.681432, 0.704511, 0.750669, 0.792329, 0.898054, 0.963636, 1.00693, 1.04367, 1.08834, 1.14738, 1.20243, 1.25238, 1.31796, 1.35519, 1.40281, 1.4663, 1.53188, 1.59746, 1.66304, 1.79421, 1.99096");
-            values ( \
-              "-0.589955, -0.897341, -1.32934, -1.52188, -1.64747, -1.78057, -1.84082, -1.85572, -1.86657, -1.86935, -1.84885, -1.8396, -1.81727, -1.78238, -1.70799, -1.6916, -1.65432, -1.55617, -1.42828, -1.01541, -0.784056, -0.653885, -0.557736, -0.457096, -0.348512, -0.269388, -0.212401, -0.1546, -0.129063, -0.102317, -0.0748216, -0.0540059, -0.0389845, -0.0280679, -0.0145471, -0.00544233" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.261209, 0.264599, 0.269349, 0.270859, 0.272871, 0.27764, 0.282104, 0.28561, 0.291524, 0.298795, 0.302086, 0.309553, 0.313878, 0.318062, 0.322241, 0.330812, 0.334026, 0.336042, 0.339217, 0.343444, 0.346894, 0.353795, 0.363295, 0.379716");
-            values ( \
-              "-0.012588, -0.0165973, -0.0192808, -0.0221538, -0.0236304, -0.0307324, -0.0409394, -0.0554187, -0.0896239, -0.118353, -0.128913, -0.148351, -0.155234, -0.158519, -0.148735, -0.0580498, -0.0345824, -0.0246556, -0.0144141, -0.00770928, -0.00493533, -0.00238916, -0.00129659, -0.00100805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0105505");
-            index_3 ("0.263956, 0.272417, 0.279769, 0.282655, 0.286503, 0.295329, 0.306802, 0.313113, 0.318841, 0.324212, 0.329428, 0.334637, 0.344385, 0.349261, 0.352463, 0.356364, 0.359288, 0.365136, 0.373568, 0.383953, 0.417905, 0.501726");
-            values ( \
-              "-0.035921, -0.0552704, -0.0786123, -0.0918153, -0.116039, -0.201336, -0.283795, -0.318053, -0.34507, -0.361499, -0.367986, -0.341503, -0.158399, -0.0884925, -0.0581119, -0.0344011, -0.0231375, -0.0104626, -0.00392868, -0.0021143, -0.000898128, -0.000204183" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0305778");
-            index_3 ("0.264436, 0.278271, 0.287972, 0.304136, 0.31372, 0.322289, 0.33139, 0.339701, 0.347559, 0.355277, 0.362985, 0.376064, 0.382805, 0.390721, 0.394699, 0.40055, 0.408351, 0.42137, 0.436785, 0.456269, 0.525013");
-            values ( \
-              "-0.0218573, -0.137343, -0.203146, -0.398994, -0.497655, -0.572918, -0.639056, -0.68597, -0.714414, -0.714182, -0.637565, -0.341352, -0.217208, -0.120527, -0.0883176, -0.0554528, -0.0291543, -0.00963784, -0.00329486, -0.00153484, -0.000454494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0886215");
-            index_3 ("0.273114, 0.294625, 0.325301, 0.336973, 0.353725, 0.368602, 0.382504, 0.396014, 0.409505, 0.415194, 0.419638, 0.450693, 0.464478, 0.471843, 0.481662, 0.498008, 0.509492, 0.532461, 0.540209");
-            values ( \
-              "-0.130407, -0.354754, -0.757336, -0.879444, -1.02644, -1.11786, -1.17512, -1.19065, -1.14327, -1.0891, -1.02819, -0.471658, -0.302271, -0.235524, -0.166837, -0.0927832, -0.0607519, -0.0248504, -0.0203423" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.256846");
-            index_3 ("0.287003, 0.312386, 0.348007, 0.365382, 0.384326, 0.415483, 0.444651, 0.473279, 0.501884, 0.522613, 0.532816, 0.548602, 0.619196, 0.649521, 0.670044, 0.688475, 0.71305, 0.733907, 0.762017, 0.799497, 0.859612");
-            values ( \
-              "-0.493962, -0.647991, -1.11262, -1.2773, -1.41447, -1.55464, -1.61446, -1.61952, -1.5738, -1.49504, -1.43598, -1.30891, -0.639026, -0.440195, -0.337857, -0.265127, -0.190252, -0.143012, -0.0970149, -0.0569624, -0.0240891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.744399");
-            index_3 ("0.299031, 0.342748, 0.372163, 0.383516, 0.408223, 0.442451, 0.478411, 0.495525, 0.518343, 0.556058, 0.612153, 0.660207, 0.702267, 0.76785, 0.779389, 0.802469, 0.848627, 0.890283, 0.996027, 1.06161, 1.10489, 1.14162, 1.18629, 1.24533, 1.3004, 1.35034, 1.41592, 1.46902, 1.56424, 1.62982, 1.6954, 1.76099, 1.89215, 2.0889");
-            values ( \
-              "-0.806957, -1.09776, -1.40812, -1.49837, -1.64761, -1.77413, -1.84028, -1.85595, -1.86732, -1.86909, -1.84895, -1.81766, -1.7822, -1.70817, -1.69143, -1.65449, -1.55601, -1.42815, -1.01546, -0.783886, -0.653986, -0.557865, -0.457004, -0.348619, -0.269479, -0.212302, -0.154693, -0.119429, -0.0747476, -0.0540901, -0.0389089, -0.0281485, -0.0146251, -0.00536809" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.471946, 0.483016, 0.486163, 0.490598, 0.496398, 0.498331, 0.500621, 0.507879, 0.510557, 0.515667, 0.523083, 0.532916, 0.535192, 0.537469, 0.539741, 0.542014, 0.544902, 0.550441, 0.553294, 0.555595, 0.557442, 0.55929, 0.563072");
-            values ( \
-              "-0.0158154, -0.0164818, -0.0191751, -0.0244568, -0.0346147, -0.0388198, -0.0461423, -0.0762824, -0.0860406, -0.102591, -0.123449, -0.145064, -0.144649, -0.143425, -0.141398, -0.138566, -0.115013, -0.0582133, -0.0374961, -0.0260276, -0.0196212, -0.014656, -0.0082705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0105505");
-            index_3 ("0.470818, 0.482754, 0.490286, 0.493861, 0.50101, 0.511802, 0.52451, 0.531436, 0.53763, 0.54337, 0.548898, 0.552274, 0.554495, 0.557355, 0.565833, 0.570048, 0.573078, 0.576823, 0.579478, 0.584788, 0.59334, 0.603722, 0.620304");
-            values ( \
-              "-0.0233654, -0.0403184, -0.0543449, -0.0654124, -0.0930426, -0.174744, -0.256008, -0.292522, -0.320703, -0.339928, -0.348747, -0.341796, -0.322737, -0.285065, -0.132027, -0.0799444, -0.0541281, -0.0331306, -0.023365, -0.0116343, -0.00428943, -0.00223775, -0.00158874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0305778");
-            index_3 ("0.473337, 0.49287, 0.501465, 0.505827, 0.535735, 0.55, 0.563006, 0.566907, 0.574822, 0.583127, 0.596134, 0.603757, 0.613805, 0.621145, 0.62721, 0.63934, 0.654623, 0.740294");
-            values ( \
-              "-0.0423457, -0.117733, -0.165479, -0.198015, -0.498019, -0.606839, -0.679318, -0.693065, -0.698317, -0.621899, -0.333587, -0.200099, -0.0937535, -0.0526289, -0.0322605, -0.0116836, -0.00375807, -0.000551358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0886215");
-            index_3 ("0.489465, 0.507051, 0.509283, 0.511108, 0.516583, 0.533163, 0.537887, 0.543587, 0.547489, 0.554235, 0.559533, 0.563655, 0.566436, 0.568045, 0.572872, 0.575675, 0.581279, 0.585091, 0.588121, 0.59548, 0.602258, 0.605673, 0.609088, 0.612503, 0.615918, 0.619333, 0.626162, 0.629713, 0.630806, 0.632172, 0.63381, 0.635996, 0.638181, 0.639865, 0.644919, 0.650972, 0.657024, 0.666918, 0.671819, 0.676721, 0.679171, 0.681622, 0.685888, 0.689325, 0.691617, 0.693909, 0.698493, 0.703077, 0.708451, 0.712689");
-            values ( \
-              "-0.273251, -0.281641, -0.302468, -0.321043, -0.380448, -0.586357, -0.643027, -0.709055, -0.7521, -0.823358, -0.877489, -0.917675, -0.943478, -0.957208, -0.996192, -1.01699, -1.05587, -1.08025, -1.09843, -1.1371, -1.17427, -1.17305, -1.17072, -1.16727, -1.1627, -1.15627, -1.14156, -1.13295, -1.12497, -1.11308, -1.09637, -1.06966, -1.03987, -1.0131, -0.928563, -0.812064, -0.700269, -0.52677, -0.45393, -0.390281, -0.36102, -0.333469, -0.289591, -0.255735, -0.235808, -0.21741, -0.186505, -0.159117, -0.13193, -0.11203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.256846");
-            index_3 ("0.475703, 0.516016, 0.526909, 0.540465, 0.545648, 0.55083, 0.55627, 0.560051, 0.565953, 0.578088, 0.589239, 0.599962, 0.610686, 0.617835, 0.624984, 0.632133, 0.63813, 0.644115, 0.650087, 0.655928, 0.661637, 0.664491, 0.675909, 0.687327, 0.693232, 0.696185, 0.70209, 0.709338, 0.71793, 0.722225, 0.736817, 0.751409, 0.755231, 0.76211, 0.771148, 0.796439, 0.811167, 0.823272, 0.837496, 0.851769, 0.857396, 0.872119, 0.886358, 0.896351, 0.906846, 0.911486, 0.925406, 0.938529, 0.951652, 0.961998");
-            values ( \
-              "-0.0445921, -0.414455, -0.549197, -0.729773, -0.823616, -0.932776, -0.964741, -0.996356, -1.0546, -1.18713, -1.29082, -1.36453, -1.42852, -1.466, -1.49943, -1.5288, -1.55001, -1.56797, -1.58301, -1.59427, -1.60236, -1.60564, -1.61189, -1.61322, -1.61199, -1.60978, -1.60299, -1.58961, -1.56834, -1.55585, -1.50075, -1.43832, -1.418, -1.36375, -1.28174, -1.01996, -0.877681, -0.768737, -0.652659, -0.547515, -0.509034, -0.425207, -0.355189, -0.309685, -0.267201, -0.251848, -0.209911, -0.176463, -0.147649, -0.128091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.744399");
-            index_3 ("0.513254, 0.557187, 0.579422, 0.610829, 0.625311, 0.654274, 0.679688, 0.701839, 0.738636, 0.773979, 0.827601, 0.846119, 0.882537, 0.920242, 0.985825, 0.997367, 1.02045, 1.06662, 1.10823, 1.21419, 1.27978, 1.32293, 1.35949, 1.4042, 1.46328, 1.51843, 1.56835, 1.63394, 1.67113, 1.71868, 1.78209, 1.84767, 1.91325, 1.97884, 2.11, 2.30675");
-            values ( \
-              "-0.906128, -0.995439, -1.26498, -1.53361, -1.61964, -1.74172, -1.80492, -1.83846, -1.86423, -1.86733, -1.84928, -1.839, -1.81388, -1.78219, -1.70797, -1.69154, -1.65431, -1.5561, -1.42838, -1.01465, -0.783382, -0.653738, -0.558049, -0.457226, -0.348587, -0.269333, -0.212338, -0.154594, -0.129088, -0.102373, -0.07485, -0.05407, -0.0389873, -0.0281135, -0.0145829, -0.005421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.889699, 0.907831, 0.916396, 0.921361, 0.926326, 0.930778, 0.944527, 0.953744, 0.963138, 0.974708, 0.980888, 0.987061, 0.990726, 0.9984, 1.00334, 1.00648, 1.00899, 1.01151, 1.01673");
-            values ( \
-              "-0.0107434, -0.0121634, -0.0167214, -0.0207429, -0.0258418, -0.0330055, -0.0634131, -0.0802605, -0.0938889, -0.106577, -0.105871, -0.103274, -0.0881796, -0.0427308, -0.0229967, -0.0152889, -0.0116232, -0.00887297, -0.00565005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0105505");
-            index_3 ("0.894156, 0.913876, 0.915998, 0.91812, 0.920241, 0.923833, 0.927425, 0.931017, 0.934608, 0.940476, 0.943829, 0.947181, 0.949309, 0.953081, 0.958016, 0.962355, 0.964629, 0.969177, 0.971451, 0.973478, 0.979559, 0.985168, 0.987037, 0.988827, 0.990617, 0.992408, 0.994198, 0.995985, 0.997772, 0.999559, 1.00134, 1.00269, 1.00404, 1.00538, 1.00673, 1.0074, 1.00944, 1.01132, 1.01363, 1.01764, 1.01863, 1.01962, 1.0206, 1.02159, 1.02258, 1.02357, 1.0252, 1.02601, 1.02723, 1.02869");
-            values ( \
-              "-0.032951, -0.037223, -0.0403891, -0.0438063, -0.0474748, -0.0543685, -0.062048, -0.0705133, -0.0797643, -0.106488, -0.121463, -0.135928, -0.14477, -0.160001, -0.179133, -0.195266, -0.202989, -0.217641, -0.22457, -0.230393, -0.247122, -0.261571, -0.266178, -0.267579, -0.267937, -0.267251, -0.265523, -0.262756, -0.258949, -0.254103, -0.248217, -0.240443, -0.231238, -0.220602, -0.208534, -0.201604, -0.178211, -0.15292, -0.12552, -0.0845593, -0.0760106, -0.0682453, -0.0616928, -0.0556377, -0.05008, -0.0450196, -0.0379545, -0.0347782, -0.0305128, -0.0259905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0305778");
-            index_3 ("0.877359, 0.893526, 0.907625, 0.918093, 0.92661, 0.929502, 0.935286, 0.946945, 0.961919, 0.975632, 0.993703, 1.00449, 1.01444, 1.02399, 1.03352, 1.03969, 1.04811, 1.05239, 1.0582, 1.06157, 1.06753, 1.07051, 1.07531, 1.08172, 1.09453, 1.09465");
-            values ( \
-              "-0.0189963, -0.032031, -0.0580922, -0.0828619, -0.106897, -0.116666, -0.139299, -0.205852, -0.30764, -0.390095, -0.486057, -0.533419, -0.570338, -0.585784, -0.546667, -0.456357, -0.306181, -0.238878, -0.164873, -0.131039, -0.0854728, -0.068794, -0.0482396, -0.0297478, -0.0109598, -0.0109054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0886215");
-            index_3 ("0.868809, 0.918425, 0.926304, 0.936809, 0.946106, 0.963287, 0.997708, 1.01897, 1.03694, 1.05309, 1.06829, 1.08335, 1.09838, 1.11659, 1.12826, 1.13599, 1.14591, 1.15143, 1.16248, 1.16967, 1.1808, 1.19564, 1.20141");
-            values ( \
-              "-0.0258755, -0.119847, -0.153305, -0.205034, -0.259505, -0.391941, -0.670788, -0.830336, -0.947834, -1.02768, -1.07, -1.05217, -0.90912, -0.60571, -0.435698, -0.343593, -0.249203, -0.20727, -0.141326, -0.10988, -0.0739679, -0.0429707, -0.0365209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.256846");
-            index_3 ("0.876249, 0.918814, 0.945843, 0.977707, 1.02579, 1.05303, 1.07321, 1.08734, 1.11559, 1.13637, 1.14848, 1.17835, 1.20972, 1.30224, 1.34733, 1.37221, 1.42164, 1.47571, 1.4917");
-            values ( \
-              "-0.046783, -0.152453, -0.312585, -0.567813, -1.02822, -1.24722, -1.3692, -1.43377, -1.52183, -1.55136, -1.55724, -1.5286, -1.40959, -0.600938, -0.341403, -0.246187, -0.125683, -0.0587781, -0.0497641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.744399");
-            index_3 ("0.917669, 0.979178, 1.05081, 1.07665, 1.11116, 1.15216, 1.16644, 1.20052, 1.23066, 1.26246, 1.29437, 1.32186, 1.37775, 1.43437, 1.49422, 1.53799, 1.60357, 1.69901, 1.78774, 1.86073, 1.92147, 1.97542, 2.02562, 2.09121, 2.145, 2.24165, 2.30723, 2.4384, 2.56956, 2.76631");
-            values ( \
-              "-0.246013, -0.625933, -1.33059, -1.50832, -1.67177, -1.77742, -1.79857, -1.82716, -1.83685, -1.84081, -1.83349, -1.82034, -1.77956, -1.7191, -1.62383, -1.51808, -1.28572, -0.914666, -0.634202, -0.459766, -0.348028, -0.270442, -0.212782, -0.155065, -0.119297, -0.0741286, -0.0536533, -0.0279232, -0.0145107, -0.00531736" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.00318237, 0.00318313, 0.00318446, 0.00318562, 0.00318619, 0.00318641", \
-            "0.003766, 0.00376625, 0.00376689, 0.00376782, 0.00376847, 0.00376876", \
-            "0.00410634, 0.00410635, 0.00410643, 0.00410677, 0.0041072, 0.00410746", \
-            "0.00429124, 0.00429123, 0.00429121, 0.00429118, 0.00429125, 0.00429137", \
-            "0.00439185, 0.00439184, 0.00439177, 0.0043917, 0.00439164, 0.00439165", \
-            "0.00444109, 0.00444108, 0.00444106, 0.00444103, 0.004441, 0.004441" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.00442672, 0.00442729, 0.00442865, 0.00443072, 0.00443198, 0.00443253", \
-            "0.00469015, 0.00468934, 0.00468852, 0.00468853, 0.00468904, 0.00468943", \
-            "0.00469633, 0.00469517, 0.00469307, 0.00469072, 0.00468947, 0.00468908", \
-            "0.00471285, 0.00471201, 0.00470997, 0.00470663, 0.00470349, 0.00470182", \
-            "0.00488608, 0.00488469, 0.00488439, 0.00488139, 0.00487795, 0.00487498", \
-            "0.00519394, 0.0052223, 0.00525474, 0.00527888, 0.00529521, 0.00530667" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0273825, 0.0276168, 0.0279304, 0.0283366, 0.0285294, 0.0285734", \
-            "0.0272782, 0.0274276, 0.0277723, 0.0282087, 0.0284151, 0.0284574", \
-            "0.0270614, 0.0272256, 0.027584, 0.0280318, 0.0282851, 0.0283424", \
-            "0.0272661, 0.0273086, 0.0274909, 0.0278178, 0.0281062, 0.0280273", \
-            "0.0282923, 0.0281096, 0.0279651, 0.0282956, 0.028281, 0.028349", \
-            "0.0313986, 0.0308924, 0.0303732, 0.0298276, 0.0296017, 0.0295467" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0353803, 0.0344652, 0.033905, 0.0340667, 0.034249, 0.0341571", \
-            "0.0353398, 0.0344179, 0.0338698, 0.0340067, 0.0341258, 0.0340599", \
-            "0.0352003, 0.034293, 0.0337196, 0.0338626, 0.0340072, 0.0339657", \
-            "0.0349072, 0.0339704, 0.0333474, 0.0334824, 0.0337671, 0.0338542", \
-            "0.0354541, 0.0347404, 0.0338117, 0.0337666, 0.0339401, 0.0339874", \
-            "0.0383372, 0.0368265, 0.0359943, 0.0350671, 0.0348674, 0.0349127" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0250875, 0.0253875, 0.0261362, 0.0272399, 0.028017, 0.0283594", \
-            "0.0249769, 0.0252849, 0.0260478, 0.0271511, 0.0279465, 0.0282955", \
-            "0.0248555, 0.0251281, 0.0257932, 0.0268318, 0.0276889, 0.0280988", \
-            "0.0251609, 0.0252362, 0.0254736, 0.0263095, 0.027223, 0.0276667", \
-            "0.0262821, 0.0260996, 0.0260743, 0.0263667, 0.0271926, 0.0278498", \
-            "0.029936, 0.0294159, 0.0287053, 0.0282152, 0.0284428, 0.0288998" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0105505, 0.0305778, 0.0886215, 0.256846, 0.744399");
-          values ( \
-            "0.0321219, 0.0312111, 0.0306708, 0.0309852, 0.0313319, 0.0315248", \
-            "0.0320713, 0.0311067, 0.0305425, 0.0308554, 0.0312073, 0.0314217", \
-            "0.0319129, 0.031001, 0.0304396, 0.0306618, 0.0310924, 0.0313303", \
-            "0.0320909, 0.0311501, 0.0304785, 0.0306213, 0.0311187, 0.0314406", \
-            "0.0340745, 0.0326777, 0.0317044, 0.031487, 0.0318233, 0.0321404", \
-            "0.0393077, 0.0373641, 0.0352351, 0.0346102, 0.0342349, 0.0341749" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00575878;
-      rise_capacitance : 0.00575878;
-      rise_capacitance_range (0.00427909, 0.00575878);
-      fall_capacitance : 0.0057006;
-      fall_capacitance_range (0.00386185, 0.0057006);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00334615, 0.00436366, 0.00502402, 0.00536065, 0.00551878, 0.00559505" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516826, 0.00533616, 0.00504122, 0.00479515, 0.00468538, 0.0046409" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316675, 0.00373127, 0.00403704, 0.00419844, 0.004281, 0.00432325" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00437815, 0.00481005, 0.0051887, 0.00551527, 0.00567854, 0.00573679" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00466524, -0.0049073, -0.00506655, -0.00510323, -0.00515085, -0.00516162" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516046, 0.00516026, 0.00519192, 0.00520214, 0.00518035, 0.00516988" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00558754;
-      rise_capacitance : 0.00558754;
-      rise_capacitance_range (0.00309957, 0.00558754);
-      fall_capacitance : 0.00542074;
-      fall_capacitance_range (0.00328486, 0.00542074);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00255895, 0.00287677, 0.00303386, 0.00311667, 0.003155, 0.00317381" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00350786, 0.00362871, 0.00367521, 0.0036888, 0.00369638, 0.00369972" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0030576, 0.0034523, 0.00362187, 0.00370852, 0.00374751, 0.003767" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.003594, 0.00348946, 0.00342529, 0.00339217, 0.00337695, 0.00337028" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00244644, -0.00244371, -0.00244197, -0.0024538, -0.0024403, -0.00243251" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00246641, 0.00248275, 0.00248085, 0.00248607, 0.00247186, 0.00245604" \
-          );
-        }
-      }
-    }
-  }
-  cell (OR2X8) {
-    area : 32.967;
-    cell_footprint : "OR2";
-    cell_leakage_power : 0.676581;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0613826;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.152488;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.32679;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 2.16566;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.676581;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A) + (B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 1.32253;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.124989, 0.132678, 0.151713, 0.195474, 0.305759, 0.638939", \
-            "0.129879, 0.137541, 0.156609, 0.200395, 0.310618, 0.643812", \
-            "0.141188, 0.148853, 0.167943, 0.2117, 0.321935, 0.655164", \
-            "0.166808, 0.174487, 0.193543, 0.237263, 0.347398, 0.680608", \
-            "0.213036, 0.221171, 0.241167, 0.285662, 0.395895, 0.72924", \
-            "0.261052, 0.269831, 0.292593, 0.341907, 0.454979, 0.787771" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.0288047, 0.0347753, 0.0509794, 0.0959623, 0.240341, 0.729578", \
-            "0.0288339, 0.0348066, 0.0509312, 0.0959293, 0.240335, 0.729579", \
-            "0.0288205, 0.034784, 0.0509134, 0.0959147, 0.240328, 0.729578", \
-            "0.0288455, 0.0348453, 0.0510019, 0.0960112, 0.240356, 0.729588", \
-            "0.0335452, 0.0395869, 0.0554042, 0.0989804, 0.241388, 0.729648", \
-            "0.0434833, 0.0500807, 0.0675317, 0.109933, 0.246684, 0.730405" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.245761, 0.253582, 0.273778, 0.320356, 0.419119, 0.653707", \
-            "0.252032, 0.259824, 0.280012, 0.326627, 0.425573, 0.660293", \
-            "0.265622, 0.273432, 0.293587, 0.340134, 0.439105, 0.673843", \
-            "0.294713, 0.302495, 0.322633, 0.369201, 0.46827, 0.703081", \
-            "0.359528, 0.367176, 0.387431, 0.433938, 0.533034, 0.767883", \
-            "0.489859, 0.49801, 0.51902, 0.567141, 0.667538, 0.903509" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.0433567, 0.0484851, 0.0627442, 0.0979374, 0.184071, 0.453789", \
-            "0.0433265, 0.0484816, 0.0627098, 0.0979188, 0.183946, 0.4536", \
-            "0.0433277, 0.0484848, 0.0627244, 0.0979773, 0.18397, 0.453611", \
-            "0.0433265, 0.0484868, 0.0627387, 0.0979599, 0.183895, 0.453574", \
-            "0.0433122, 0.0484848, 0.0627249, 0.09794, 0.183894, 0.453614", \
-            "0.0492044, 0.0542817, 0.0684379, 0.103431, 0.187594, 0.454866" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0942582, 0.100028, 0.102956, 0.103679, 0.105126, 0.109657, 0.119552, 0.121913, 0.126635, 0.127267, 0.12853, 0.132081, 0.136654, 0.141153, 0.145651, 0.147812, 0.150043, 0.154435, 0.15773, 0.161403, 0.164221, 0.168525, 0.173459, 0.177315, 0.185028, 0.191724, 0.197943, 0.20816, 0.221783, 0.270957");
-            values ( \
-              "0.00953859, 0.0168174, 0.021429, 0.024545, 0.0271369, 0.043112, 0.103718, 0.112719, 0.130052, 0.130364, 0.134522, 0.141147, 0.145879, 0.145592, 0.141425, 0.134416, 0.121786, 0.0779894, 0.0537784, 0.0380353, 0.0293363, 0.0201075, 0.0133381, 0.00974618, 0.00541944, 0.00363814, 0.00274321, 0.00194452, 0.00138609, 0.000679277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0118357");
-            index_3 ("0.0949393, 0.102523, 0.105086, 0.105533, 0.106426, 0.110705, 0.114818, 0.118327, 0.123962, 0.12652, 0.131638, 0.133046, 0.135862, 0.138887, 0.144401, 0.149786, 0.155166, 0.157295, 0.15912, 0.161301, 0.164605, 0.168922, 0.172658, 0.17501, 0.179713, 0.1833, 0.1851, 0.1887, 0.1959, 0.202122, 0.207458, 0.210446, 0.216423, 0.228376, 0.252282, 0.278703");
-            values ( \
-              "0.0278144, 0.0540011, 0.0672195, 0.0719358, 0.0771341, 0.11307, 0.163199, 0.215177, 0.278156, 0.302041, 0.340725, 0.349771, 0.364959, 0.378744, 0.394228, 0.397976, 0.384217, 0.367108, 0.343558, 0.302892, 0.233427, 0.16398, 0.124263, 0.103968, 0.0726733, 0.055758, 0.0487581, 0.0373046, 0.0220121, 0.0146596, 0.010851, 0.00934536, 0.00717812, 0.00476624, 0.00279493, 0.00186142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.038481");
-            index_3 ("0.0957377, 0.109979, 0.115829, 0.126309, 0.133867, 0.137978, 0.147354, 0.154284, 0.158628, 0.163646, 0.171428, 0.179457, 0.193136, 0.200294, 0.206102, 0.216528, 0.221857, 0.231034, 0.23985, 0.245041, 0.253749, 0.26536, 0.288581, 0.292288");
-            values ( \
-              "0.0657201, 0.186068, 0.285231, 0.49906, 0.623218, 0.683936, 0.793158, 0.849255, 0.873372, 0.889769, 0.891526, 0.830634, 0.513592, 0.373594, 0.287306, 0.173618, 0.133626, 0.0845305, 0.0545171, 0.0426845, 0.0288994, 0.0179335, 0.00820181, 0.00773288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.125112");
-            index_3 ("0.118301, 0.138141, 0.141615, 0.146331, 0.14931, 0.155268, 0.158804, 0.16234, 0.165876, 0.169412, 0.172948, 0.176922, 0.179985, 0.183169, 0.187469, 0.190199, 0.19293, 0.19566, 0.198391, 0.201121, 0.203851, 0.207305, 0.210759, 0.214213, 0.218073, 0.221934, 0.225633, 0.229331, 0.23303, 0.238059, 0.248515, 0.259599, 0.263276, 0.268179, 0.276446, 0.283174, 0.286538, 0.291927, 0.295807, 0.29944, 0.303644, 0.307847, 0.313326, 0.321833, 0.327889, 0.336487, 0.343451, 0.351648, 0.355746, 0.362759");
-            values ( \
-              "0.849685, 0.917156, 0.992237, 1.0871, 1.14282, 1.24454, 1.2933, 1.33839, 1.37963, 1.4173, 1.45139, 1.48544, 1.50781, 1.52746, 1.54917, 1.55852, 1.56548, 1.5686, 1.57028, 1.57052, 1.56931, 1.56571, 1.55633, 1.54117, 1.5149, 1.48305, 1.44731, 1.40646, 1.3605, 1.28878, 1.10188, 0.909262, 0.846772, 0.774134, 0.661108, 0.577879, 0.539214, 0.481407, 0.441702, 0.407058, 0.372553, 0.340263, 0.302319, 0.247608, 0.213357, 0.176207, 0.150632, 0.124887, 0.113596, 0.0965834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.406774");
-            index_3 ("0.113461, 0.134086, 0.148722, 0.162431, 0.176393, 0.198373, 0.21378, 0.242122, 0.248081, 0.259998, 0.282113, 0.316252, 0.332211, 0.349234, 0.36675, 0.448669, 0.488488, 0.528458, 0.556866, 0.576382, 0.623561, 0.654574, 0.681584, 0.719116, 0.76219, 0.819623, 0.903918, 0.988214, 1.07251");
-            values ( \
-              "0.519104, 0.901758, 1.27081, 1.55567, 1.77654, 2.00762, 2.09587, 2.16588, 2.16624, 2.16282, 2.12898, 2.03322, 1.96556, 1.87624, 1.7635, 1.14279, 0.879648, 0.660147, 0.533131, 0.458096, 0.313241, 0.242555, 0.19333, 0.140258, 0.0968186, 0.0583078, 0.0269866, 0.0124537, 0.00572775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.32253");
-            index_3 ("0.133473, 0.17447, 0.197724, 0.223176, 0.24872, 0.278169, 0.311488, 0.344086, 0.441339, 0.542994, 0.650527, 0.767464, 0.828194, 1.0744, 1.18161, 1.26591, 1.33024, 1.41716, 1.48529, 1.59885, 1.74169, 1.89092, 1.98733, 2.15592, 2.32451, 2.4931, 2.66169, 2.99888");
-            values ( \
-              "1.67089, 1.85798, 2.164, 2.35782, 2.45545, 2.5032, 2.50974, 2.4946, 2.40203, 2.28426, 2.14022, 1.92814, 1.78761, 1.16822, 0.928814, 0.765662, 0.654673, 0.527954, 0.441852, 0.326948, 0.221458, 0.146084, 0.111307, 0.0687529, 0.0422322, 0.0257962, 0.0156449, 0.00553778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.110017, 0.122132, 0.124724, 0.128181, 0.130287, 0.13677, 0.140857, 0.146223, 0.151048, 0.155624, 0.160123, 0.16462, 0.166789, 0.169028, 0.17338, 0.176684, 0.180394, 0.183247, 0.189535, 0.196158, 0.203727, 0.210535, 0.216885, 0.227549, 0.241767, 0.264784");
-            values ( \
-              "0.00767063, 0.0203874, 0.028343, 0.0411159, 0.0521148, 0.0939319, 0.113282, 0.130911, 0.140781, 0.145581, 0.145997, 0.1411, 0.134411, 0.121675, 0.0782022, 0.053847, 0.0379505, 0.029183, 0.0169697, 0.00984308, 0.00552573, 0.0036679, 0.00274525, 0.00191952, 0.00135638, 0.00103522" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0118357");
-            index_3 ("0.125471, 0.135316, 0.137073, 0.139916, 0.141338, 0.14276, 0.144668, 0.146577, 0.147849, 0.150393, 0.151843, 0.154017, 0.156217, 0.157691, 0.159071, 0.16045, 0.16183, 0.16321, 0.165903, 0.16725, 0.169943, 0.172635, 0.174049, 0.174726, 0.175268, 0.17581, 0.176541, 0.177919, 0.180942, 0.183419, 0.184499, 0.185578, 0.186658, 0.187738, 0.191475, 0.192652, 0.193828, 0.196181, 0.198534, 0.202568, 0.203917, 0.204816, 0.207514, 0.209312, 0.211111, 0.212909, 0.214708, 0.217822, 0.220936, 0.225904");
-            values ( \
-              "0.172149, 0.189963, 0.215187, 0.249143, 0.264535, 0.278869, 0.296366, 0.312261, 0.322136, 0.340376, 0.349867, 0.362612, 0.374214, 0.381266, 0.386807, 0.391501, 0.395348, 0.398347, 0.39646, 0.395081, 0.391453, 0.386666, 0.383579, 0.378772, 0.374229, 0.368894, 0.360494, 0.340835, 0.281646, 0.230022, 0.211149, 0.193835, 0.178082, 0.163888, 0.122692, 0.112104, 0.102402, 0.086285, 0.0724652, 0.0533027, 0.0474906, 0.0442632, 0.0357412, 0.0315649, 0.0278034, 0.0244567, 0.0215247, 0.0176971, 0.0145765, 0.0109497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.038481");
-            index_3 ("0.128598, 0.14122, 0.144023, 0.146822, 0.148687, 0.152416, 0.155546, 0.158677, 0.162851, 0.164939, 0.167472, 0.170456, 0.172041, 0.174319, 0.177356, 0.180852, 0.182231, 0.184069, 0.185907, 0.187949, 0.189991, 0.191931, 0.193871, 0.195811, 0.197751, 0.198154, 0.199631, 0.202854, 0.205002, 0.210494, 0.212762, 0.21503, 0.218071, 0.221112, 0.22426, 0.226143, 0.227397, 0.229479, 0.23156, 0.232951, 0.237563, 0.239744, 0.241489, 0.242362, 0.243882, 0.245402, 0.248441, 0.253061, 0.257681, 0.263091");
-            values ( \
-              "0.391697, 0.431694, 0.486259, 0.537149, 0.569061, 0.62812, 0.67174, 0.71226, 0.761462, 0.783996, 0.808645, 0.834096, 0.845698, 0.859826, 0.87453, 0.888401, 0.89297, 0.894096, 0.893018, 0.889238, 0.882738, 0.873873, 0.862442, 0.848447, 0.831887, 0.827306, 0.800481, 0.72841, 0.676091, 0.536349, 0.487881, 0.442731, 0.387402, 0.338036, 0.292061, 0.266181, 0.250193, 0.227244, 0.205926, 0.193033, 0.153376, 0.136423, 0.124155, 0.118441, 0.110107, 0.102249, 0.0879617, 0.0704719, 0.0562205, 0.0433026" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.125112");
-            index_3 ("0.119904, 0.126687, 0.135707, 0.162176, 0.181151, 0.193467, 0.197015, 0.204112, 0.211714, 0.226105, 0.240494, 0.249124, 0.281574, 0.299367, 0.307409, 0.320082, 0.334565, 0.347734, 0.357365, 0.374537, 0.39435, 0.410189, 0.441868, 0.465077");
-            values ( \
-              "0.15158, 0.221516, 0.402768, 1.02302, 1.33876, 1.46639, 1.49539, 1.53509, 1.56377, 1.56798, 1.50421, 1.40786, 0.860994, 0.609617, 0.516865, 0.394354, 0.285537, 0.211929, 0.169797, 0.113646, 0.0720853, 0.0501591, 0.0242343, 0.0165999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.406774");
-            index_3 ("0.126111, 0.153017, 0.166532, 0.172207, 0.183557, 0.195265, 0.216963, 0.232638, 0.260949, 0.266936, 0.278908, 0.300966, 0.335104, 0.351064, 0.368088, 0.385599, 0.467524, 0.484582, 0.507326, 0.5473, 0.561081, 0.575731, 0.595266, 0.633128, 0.642416, 0.65687, 0.673389, 0.700412, 0.737956, 0.752326, 0.781066, 0.838547, 0.922842, 1.00714, 1.09143");
-            values ( \
-              "0.183358, 0.905404, 1.24682, 1.37586, 1.59677, 1.77898, 2.00485, 2.09744, 2.16482, 2.16752, 2.16175, 2.13013, 2.03215, 1.96561, 1.87619, 1.76348, 1.14273, 1.0249, 0.879773, 0.660161, 0.595826, 0.53305, 0.458009, 0.337826, 0.313259, 0.278238, 0.242647, 0.193354, 0.14029, 0.124056, 0.0968147, 0.0582535, 0.0269849, 0.0124295, 0.00573984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.32253");
-            index_3 ("0.145761, 0.178568, 0.216128, 0.244471, 0.267139, 0.298083, 0.330638, 0.362492, 0.410118, 0.459753, 0.561407, 0.66894, 0.753235, 0.785877, 0.846607, 1.09281, 1.20002, 1.28432, 1.34865, 1.43557, 1.5037, 1.588, 1.67581, 1.7601, 1.82503, 1.94147, 2.09004, 2.25863, 2.51152, 2.68011, 3.01729");
-            values ( \
-              "1.31346, 1.59006, 2.16433, 2.37298, 2.45613, 2.50398, 2.51015, 2.49406, 2.45356, 2.4015, 2.28376, 2.13973, 1.99516, 1.92765, 1.7881, 1.16871, 0.929296, 0.76518, 0.655155, 0.527481, 0.442325, 0.354304, 0.279853, 0.221925, 0.185754, 0.134244, 0.088313, 0.0546909, 0.026253, 0.0160999, 0.00598924" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.15395, 0.159737, 0.162724, 0.168198, 0.170543, 0.182822, 0.188182, 0.193004, 0.197578, 0.202077, 0.206781, 0.208724, 0.210944, 0.215378, 0.218663, 0.222295, 0.225076, 0.229418, 0.232466, 0.238365, 0.24623, 0.252803, 0.258877, 0.281606, 0.341882");
-            values ( \
-              "0.00895252, 0.0138015, 0.0187188, 0.0336102, 0.0438314, 0.114406, 0.130102, 0.141726, 0.144774, 0.146837, 0.140531, 0.134478, 0.12197, 0.0777681, 0.0537282, 0.0381448, 0.0295011, 0.0201536, 0.0156198, 0.00963602, 0.00531662, 0.00359834, 0.00273288, 0.00142766, 0.00052452" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0118357");
-            index_3 ("0.155242, 0.162776, 0.165671, 0.166478, 0.168094, 0.171325, 0.173048, 0.176494, 0.179309, 0.184807, 0.187507, 0.192908, 0.196298, 0.199879, 0.205395, 0.21078, 0.216161, 0.218287, 0.220113, 0.222296, 0.2256, 0.229918, 0.233654, 0.236005, 0.240709, 0.244296, 0.246096, 0.249696, 0.256896, 0.263118, 0.268455, 0.271444, 0.277422, 0.289379, 0.313291, 0.334061");
-            values ( \
-              "0.0277016, 0.049037, 0.0640177, 0.0715669, 0.0817207, 0.110535, 0.127845, 0.173628, 0.214123, 0.277288, 0.301236, 0.343075, 0.362528, 0.378211, 0.394648, 0.397474, 0.384653, 0.367119, 0.343564, 0.302884, 0.233419, 0.163978, 0.124255, 0.103967, 0.0726654, 0.0557523, 0.0487599, 0.0373008, 0.0220161, 0.0146558, 0.0108468, 0.00934797, 0.0071736, 0.00476888, 0.00279077, 0.00205877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.038481");
-            index_3 ("0.158447, 0.166084, 0.169943, 0.177729, 0.187304, 0.198904, 0.206672, 0.211397, 0.216608, 0.224525, 0.232301, 0.240322, 0.25386, 0.261059, 0.267006, 0.277746, 0.285529, 0.291462, 0.300439, 0.305853, 0.315129, 0.327496, 0.35223, 0.372388");
-            values ( \
-              "0.0769247, 0.130571, 0.176843, 0.309648, 0.503984, 0.686738, 0.778273, 0.821938, 0.859473, 0.891275, 0.892215, 0.831174, 0.516818, 0.375184, 0.286731, 0.170512, 0.116148, 0.0863073, 0.055174, 0.0427358, 0.0282268, 0.0170454, 0.00757656, 0.00530957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.125112");
-            index_3 ("0.167551, 0.180253, 0.19743, 0.204204, 0.216035, 0.223137, 0.237342, 0.24235, 0.24816, 0.253696, 0.264766, 0.268064, 0.274661, 0.28269, 0.297474, 0.317527, 0.328726, 0.341526, 0.349346, 0.362215, 0.376922, 0.389228, 0.398226, 0.416085, 0.428675, 0.437258, 0.454424, 0.488755, 0.538528, 0.60057");
-            values ( \
-              "0.24178, 0.46796, 0.880321, 1.02365, 1.23815, 1.33782, 1.48417, 1.51739, 1.54528, 1.56384, 1.57239, 1.56851, 1.54842, 1.49999, 1.31309, 0.958116, 0.781566, 0.606999, 0.517262, 0.392797, 0.283066, 0.214249, 0.174104, 0.11473, 0.0857615, 0.0705543, 0.0475241, 0.0216543, 0.00711782, 0.00227189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.406774");
-            index_3 ("0.183852, 0.209482, 0.224296, 0.237437, 0.259266, 0.274782, 0.302816, 0.309068, 0.321571, 0.343095, 0.377235, 0.393163, 0.410152, 0.427856, 0.507421, 0.558168, 0.593325, 0.624796, 0.648226, 0.686797, 0.733261, 0.775422, 0.819758, 0.853796, 0.921872, 1.00617, 1.0227");
-            values ( \
-              "1.07794, 1.27008, 1.5752, 1.78028, 2.00832, 2.09727, 2.16593, 2.16681, 2.16238, 2.12929, 2.03304, 1.9657, 1.87661, 1.76264, 1.15887, 0.828018, 0.641667, 0.505465, 0.420564, 0.307904, 0.209219, 0.145917, 0.0997738, 0.0742338, 0.0403369, 0.0186558, 0.0172157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.32253");
-            index_3 ("0.201541, 0.258585, 0.284675, 0.309566, 0.339992, 0.372802, 0.452534, 0.586464, 0.711356, 0.795651, 0.889024, 1.11736, 1.24244, 1.32673, 1.42832, 1.54612, 1.63041, 1.71822, 1.86745, 1.98388, 2.13246, 2.30105, 2.46964, 2.68054");
-            values ( \
-              "2.00884, 2.16683, 2.36169, 2.45677, 2.50357, 2.51047, 2.45375, 2.30517, 2.13952, 1.99537, 1.78832, 1.2111, 0.929561, 0.764918, 0.597784, 0.442596, 0.354031, 0.279582, 0.185484, 0.133975, 0.088046, 0.0544253, 0.0335384, 0.0237842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.249043, 0.257981, 0.263315, 0.274871, 0.279607, 0.286805, 0.291382, 0.295887, 0.300593, 0.302498, 0.304676, 0.310226, 0.31702, 0.323676, 0.330286, 0.335322, 0.343902, 0.349093, 0.370787, 0.405314");
-            values ( \
-              "0.00740556, 0.0219233, 0.038473, 0.106505, 0.124768, 0.141436, 0.144644, 0.146592, 0.140387, 0.134547, 0.122525, 0.0683274, 0.0350405, 0.0194179, 0.0112704, 0.00755873, 0.00417248, 0.00317336, 0.00158289, 0.000982803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0118357");
-            index_3 ("0.254237, 0.26341, 0.275886, 0.281157, 0.287713, 0.293575, 0.29909, 0.30448, 0.309866, 0.311965, 0.323625, 0.329716, 0.338007, 0.343404, 0.3506, 0.362172, 0.383133, 0.420989");
-            values ( \
-              "0.0613384, 0.0950416, 0.248746, 0.300868, 0.349036, 0.378187, 0.394025, 0.397506, 0.384168, 0.367217, 0.163943, 0.103929, 0.0557428, 0.037307, 0.0220221, 0.0108485, 0.00475999, 0.00265866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.038481");
-            index_3 ("0.254255, 0.264243, 0.269668, 0.280669, 0.286574, 0.292327, 0.301716, 0.310104, 0.318021, 0.325804, 0.333828, 0.337319, 0.347434, 0.354613, 0.360495, 0.371085, 0.379264, 0.385223, 0.394094, 0.39939, 0.408347, 0.42029, 0.444176, 0.4741, 0.565074");
-            values ( \
-              "0.0883981, 0.189081, 0.276602, 0.499543, 0.598596, 0.683971, 0.792673, 0.858778, 0.89062, 0.891808, 0.830905, 0.76229, 0.515263, 0.374417, 0.286992, 0.171977, 0.114873, 0.0852583, 0.0548186, 0.0427046, 0.0285998, 0.017538, 0.00791626, 0.00431507, 0.000956913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.125112");
-            index_3 ("0.261446, 0.273369, 0.297621, 0.30878, 0.316621, 0.332555, 0.347265, 0.361664, 0.376046, 0.384722, 0.392348, 0.417198, 0.435288, 0.443041, 0.455239, 0.46918, 0.478821, 0.495456, 0.511028, 0.527829, 0.540776, 0.566671, 0.613783, 0.670305");
-            values ( \
-              "0.302994, 0.453003, 1.01886, 1.22215, 1.33526, 1.49446, 1.56389, 1.56924, 1.5038, 1.40736, 1.28848, 0.859851, 0.605022, 0.515992, 0.39773, 0.291682, 0.234674, 0.160103, 0.111337, 0.0756797, 0.0562682, 0.0311081, 0.010525, 0.00340598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.406774");
-            index_3 ("0.268265, 0.288733, 0.307917, 0.318721, 0.330978, 0.35274, 0.368355, 0.396225, 0.402652, 0.415506, 0.436685, 0.470832, 0.486693, 0.503612, 0.521717, 0.596221, 0.629258, 0.652521, 0.687659, 0.716697, 0.739265, 0.78039, 0.798448, 0.827781, 0.869556, 0.912446, 0.945216, 1.01076, 1.09505, 1.26364");
-            values ( \
-              "0.525381, 0.905893, 1.37533, 1.58761, 1.77818, 2.00576, 2.09659, 2.16487, 2.16656, 2.16143, 2.12925, 2.03266, 1.96591, 1.87732, 1.76073, 1.19383, 0.966282, 0.823834, 0.638329, 0.512273, 0.429268, 0.307912, 0.265383, 0.2076, 0.145294, 0.100594, 0.0756622, 0.0421479, 0.0194734, 0.00412979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.32253");
-            index_3 ("0.291157, 0.383441, 0.403455, 0.435269, 0.467417, 0.498846, 0.596111, 0.697767, 0.805301, 0.889596, 0.922238, 0.982969, 1.22917, 1.33638, 1.42068, 1.49743, 1.57194, 1.64006, 1.72436, 1.81217, 1.89646, 1.9614, 2.07783, 2.2264, 2.39499, 2.56358, 2.81647, 3.15365");
-            values ( \
-              "1.74047, 2.38221, 2.45313, 2.5036, 2.50924, 2.49389, 2.4016, 2.28386, 2.13981, 1.99507, 1.92771, 1.78804, 1.1687, 0.929299, 0.76518, 0.635628, 0.52745, 0.442356, 0.35427, 0.279816, 0.221965, 0.185714, 0.1342, 0.088268, 0.0546445, 0.0337549, 0.0161494, 0.0060407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.407265, 0.436734, 0.447332, 0.452355, 0.454096, 0.457578, 0.468057, 0.470794, 0.476268, 0.479242, 0.482963, 0.488321, 0.493527, 0.498729, 0.503952, 0.509984, 0.51242, 0.515587, 0.51805, 0.522975, 0.526547, 0.528967, 0.533806, 0.543485, 0.5496, 0.550375, 0.551924, 0.554889, 0.569748, 0.60066, 0.625154");
-            values ( \
-              "0.00113139, 0.00513134, 0.0161232, 0.0239421, 0.0277424, 0.0373034, 0.0828997, 0.0924941, 0.107605, 0.113619, 0.119581, 0.125107, 0.126929, 0.124458, 0.110525, 0.0615851, 0.0484985, 0.0362804, 0.0290728, 0.0192336, 0.014423, 0.0120317, 0.00818662, 0.00426145, 0.00304883, 0.00308209, 0.00263, 0.00238669, 0.00174134, 0.000941006, 0.000645877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0118357");
-            index_3 ("0.427703, 0.445643, 0.454534, 0.460187, 0.472589, 0.479823, 0.48994, 0.49624, 0.502326, 0.508382, 0.514436, 0.523016, 0.529261, 0.537958, 0.543775, 0.550162, 0.563028, 0.583161, 0.6222");
-            values ( \
-              "0.00786566, 0.0396621, 0.0739882, 0.112435, 0.230824, 0.280545, 0.328326, 0.34635, 0.355109, 0.348974, 0.299561, 0.156106, 0.0999593, 0.0532898, 0.0350992, 0.0226215, 0.0108698, 0.00508568, 0.00269378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.038481");
-            index_3 ("0.42773, 0.450591, 0.455749, 0.462034, 0.478514, 0.487496, 0.498262, 0.507619, 0.516257, 0.524615, 0.53296, 0.533861, 0.536954, 0.55018, 0.558088, 0.56406, 0.570885, 0.576835, 0.586371, 0.595262, 0.600382, 0.60886, 0.620164, 0.642772, 0.672571, 0.677181");
-            values ( \
-              "0.00528908, 0.116033, 0.158113, 0.227491, 0.47413, 0.58678, 0.701451, 0.778113, 0.823398, 0.837612, 0.798073, 0.784936, 0.732291, 0.442942, 0.314869, 0.240322, 0.173511, 0.130233, 0.0815997, 0.0528729, 0.0417325, 0.0287625, 0.0182271, 0.00858749, 0.00458105, 0.00433439" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.125112");
-            index_3 ("0.427783, 0.459725, 0.468506, 0.48757, 0.500541, 0.513443, 0.530569, 0.546009, 0.550209, 0.555103, 0.560813, 0.56645, 0.575755, 0.587404, 0.61075, 0.622859, 0.636697, 0.643199, 0.653837, 0.665994, 0.673882, 0.679915, 0.68796, 0.704049, 0.717648, 0.724953, 0.739563, 0.768782, 0.815339, 0.871845");
-            values ( \
-              "0.00364517, 0.278565, 0.418964, 0.781827, 1.01811, 1.21808, 1.4124, 1.50484, 1.5196, 1.52575, 1.52717, 1.51912, 1.47624, 1.35043, 0.955501, 0.767453, 0.584204, 0.511393, 0.408175, 0.312281, 0.261808, 0.228431, 0.190038, 0.130773, 0.095566, 0.0807766, 0.0578114, 0.0294561, 0.0102536, 0.00332048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.406774");
-            index_3 ("0.460192, 0.483213, 0.519002, 0.527528, 0.54458, 0.566357, 0.577479, 0.589613, 0.601141, 0.624196, 0.635384, 0.65263, 0.670743, 0.706452, 0.735331, 0.807741, 0.852343, 0.886649, 0.91542, 0.937651, 0.979401, 1.027, 1.06869, 1.11126, 1.14374, 1.20872, 1.29302, 1.46161");
-            values ( \
-              "0.766683, 0.782364, 1.53008, 1.66755, 1.88055, 2.04482, 2.09502, 2.1279, 2.14245, 2.13609, 2.11925, 2.08019, 2.02314, 1.85372, 1.65363, 1.10439, 0.819059, 0.638449, 0.513417, 0.431427, 0.307925, 0.207254, 0.145144, 0.100768, 0.0759957, 0.0425498, 0.019671, 0.00417774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.32253");
-            index_3 ("0.45814, 0.519221, 0.549926, 0.569808, 0.580604, 0.601912, 0.62209, 0.657052, 0.693924, 0.705541, 0.752009, 0.795056, 0.89672, 1.00426, 1.08855, 1.12119, 1.18193, 1.41026, 1.46387, 1.53534, 1.61964, 1.69639, 1.77089, 1.83902, 1.92331, 2.01112, 2.09542, 2.16035, 2.27678, 2.42535, 2.59394, 2.76253, 3.01542, 3.3526");
-            values ( \
-              "0.438782, 1.60904, 2.08191, 2.25962, 2.33088, 2.42524, 2.4732, 2.50279, 2.49331, 2.48559, 2.44541, 2.40095, 2.28374, 2.13972, 1.99512, 1.92762, 1.78811, 1.21128, 1.08541, 0.929406, 0.765074, 0.635517, 0.52734, 0.442469, 0.35416, 0.279707, 0.222076, 0.185606, 0.134094, 0.0881626, 0.0545394, 0.0336503, 0.0162535, 0.00614254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.751636, 0.802359, 0.814027, 0.82112, 0.830837, 0.846221, 0.854761, 0.862197, 0.869147, 0.875901, 0.882647, 0.88938, 0.898381, 0.905124, 0.910482, 0.91696, 0.922154, 0.932541, 0.945868, 0.977965, 1.05431, 1.06469");
-            values ( \
-              "0.000496102, 0.00483979, 0.0113574, 0.0164919, 0.030151, 0.0695266, 0.0841929, 0.0919561, 0.096437, 0.0979319, 0.0966814, 0.0888495, 0.0455191, 0.0265168, 0.017555, 0.0110229, 0.0075823, 0.00363681, 0.00200924, 0.00110717, 0.000403259, 0.00037968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0118357");
-            index_3 ("0.752075, 0.80237, 0.813968, 0.821593, 0.824283, 0.829665, 0.836934, 0.847486, 0.851337, 0.857125, 0.861235, 0.869905, 0.877929, 0.885671, 0.893339, 0.901003, 0.911732, 0.917832, 0.922911, 0.926995, 0.932898, 0.940769, 0.948663, 0.95385, 0.961322, 0.971286, 0.991212, 1.02322, 1.06009");
-            values ( \
-              "0.00157858, 0.0146347, 0.0317201, 0.0463067, 0.0533376, 0.0710919, 0.108355, 0.174169, 0.194046, 0.219347, 0.234162, 0.258157, 0.272309, 0.27905, 0.277126, 0.25097, 0.129887, 0.0876479, 0.0626766, 0.048193, 0.0326411, 0.0193627, 0.0120188, 0.00918225, 0.00669208, 0.00486033, 0.00324884, 0.00216009, 0.00135121" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.038481");
-            index_3 ("0.753519, 0.802508, 0.808146, 0.81125, 0.817459, 0.828219, 0.831103, 0.836871, 0.844226, 0.851681, 0.859872, 0.864693, 0.872489, 0.878223, 0.89003, 0.900788, 0.910994, 0.921086, 0.931166, 0.938788, 0.946451, 0.952511, 0.9568, 0.964048, 0.96959, 0.973604, 0.979687, 0.98891, 0.994127, 0.996974, 1.00267, 1.01406, 1.03683, 1.06828, 1.08111");
-            values ( \
-              "0.00486063, 0.038812, 0.0546233, 0.0642701, 0.0856606, 0.136262, 0.154938, 0.199124, 0.272293, 0.35008, 0.425154, 0.463649, 0.520022, 0.556149, 0.621006, 0.665648, 0.691517, 0.68305, 0.570459, 0.431459, 0.317838, 0.248062, 0.206, 0.148744, 0.115507, 0.0958587, 0.072131, 0.0469096, 0.0372617, 0.0329924, 0.0260674, 0.0169074, 0.00847157, 0.00486534, 0.00420172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.125112");
-            index_3 ("0.802262, 0.837641, 0.89459, 0.915287, 0.933164, 0.949791, 0.966148, 0.982482, 1.01011, 1.03244, 1.05076, 1.06507, 1.08127, 1.11255, 1.1489, 1.19291, 1.20099");
-            values ( \
-              "0.145503, 0.308091, 0.986815, 1.19408, 1.32338, 1.38401, 1.3743, 1.23967, 0.820935, 0.53848, 0.368947, 0.26989, 0.188077, 0.0922427, 0.0404832, 0.0149299, 0.0140511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.406774");
-            index_3 ("0.802475, 0.847222, 0.869082, 0.910055, 0.933748, 0.954236, 0.987158, 1.00012, 1.01365, 1.02702, 1.05377, 1.06307, 1.08133, 1.10128, 1.14035, 1.1734, 1.19764, 1.21597, 1.24823, 1.26548, 1.28636, 1.30791, 1.3323, 1.36397, 1.37315, 1.39151, 1.42074, 1.46245, 1.48066, 1.50504, 1.53755, 1.60258, 1.68687, 1.77117, 1.85546");
-            values ( \
-              "0.165118, 0.489614, 0.7861, 1.39753, 1.69575, 1.87754, 2.03619, 2.0599, 2.06798, 2.06293, 2.01839, 1.99292, 1.92612, 1.82518, 1.55541, 1.3022, 1.12494, 1.00052, 0.805072, 0.711674, 0.610191, 0.518079, 0.428082, 0.331724, 0.307829, 0.264674, 0.207231, 0.145134, 0.124222, 0.100747, 0.0759583, 0.0425237, 0.0196529, 0.00905908, 0.00417068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.32253");
-            index_3 ("0.845974, 0.892971, 0.933859, 0.954016, 0.985282, 1.01959, 1.05483, 1.08823, 1.13651, 1.25884, 1.33097, 1.42052, 1.51231, 1.5966, 1.81931, 1.98405, 2.06835, 2.12964, 2.20798, 2.33522, 2.45623, 2.53117, 2.67341, 2.842, 3.01059, 3.17918, 3.43207, 3.76925");
-            values ( \
-              "1.1643, 1.21587, 1.84775, 2.07029, 2.29728, 2.41743, 2.46495, 2.46785, 2.44092, 2.31566, 2.22852, 2.10018, 1.92756, 1.73021, 1.16871, 0.814911, 0.666222, 0.572424, 0.468594, 0.335058, 0.241463, 0.196448, 0.1321, 0.0820141, 0.0507154, 0.0312857, 0.0150903, 0.00569686" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.00338301, 0.00338306, 0.0033833, 0.00338375, 0.00338408, 0.00338421", \
-            "0.00442099, 0.00442097, 0.00442099, 0.00442118, 0.00442145, 0.0044216", \
-            "0.00507099, 0.00507097, 0.00507091, 0.00507087, 0.00507097, 0.00507108", \
-            "0.00541677, 0.00541675, 0.00541672, 0.00541664, 0.00541658, 0.0054166", \
-            "0.0056433, 0.00564367, 0.00564365, 0.0056436, 0.00564147, 0.00564346", \
-            "0.00582009, 0.00582008, 0.00582006, 0.00582002, 0.00581994, 0.00581986" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.00529599, 0.00529583, 0.00529548, 0.0052954, 0.00529562, 0.00529577", \
-            "0.00556223, 0.00556221, 0.00556192, 0.00556153, 0.00556128, 0.00556127", \
-            "0.00530721, 0.00530682, 0.00530634, 0.00530579, 0.00530517, 0.00530488", \
-            "0.00525656, 0.00525646, 0.00525616, 0.00525547, 0.00525448, 0.00525371", \
-            "0.0055987, 0.00559886, 0.0056019, 0.00560412, 0.00560844, 0.00560626", \
-            "0.00600512, 0.00600129, 0.00601382, 0.00635512, 0.00654833, 0.00662203" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.172797, 0.18675, 0.191741, 0.206197, 0.215145, 0.220228, 0.239023, 0.250275, 0.263874, 0.270368, 0.276856, 0.279142, 0.292535, 0.300581, 0.307769, 0.315222, 0.330691, 0.351316, 0.363737");
-            values ( \
-              "-0.00507404, -0.00940857, -0.0102217, -0.0168562, -0.0241849, -0.0323872, -0.0751141, -0.0911494, -0.100983, -0.101077, -0.0963369, -0.089202, -0.0249857, -0.0108307, -0.00615248, -0.00443538, -0.00235908, -0.00093621, -0.000666368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0118357");
-            index_3 ("0.176614, 0.196775, 0.199108, 0.203775, 0.206539, 0.209304, 0.211996, 0.214688, 0.21738, 0.220072, 0.222015, 0.223958, 0.231731, 0.238893, 0.244012, 0.246571, 0.249131, 0.251292, 0.255614, 0.257775, 0.259731, 0.263643, 0.265599, 0.269283, 0.272967, 0.274758, 0.27655, 0.278341, 0.280132, 0.281921, 0.283711, 0.2855, 0.287289, 0.287396, 0.28889, 0.290597, 0.294011, 0.295891, 0.298218, 0.299905, 0.302745, 0.305857, 0.307533, 0.309198, 0.310864, 0.312178, 0.314806, 0.31612, 0.316803, 0.320199");
-            values ( \
-              "-0.0139115, -0.0365505, -0.0393832, -0.045658, -0.0502305, -0.0553037, -0.0611883, -0.0678515, -0.0752933, -0.0835137, -0.0915331, -0.100448, -0.147216, -0.187309, -0.211709, -0.222883, -0.233372, -0.241696, -0.25688, -0.26374, -0.269328, -0.279688, -0.28446, -0.292711, -0.299998, -0.299427, -0.298507, -0.297237, -0.295617, -0.29365, -0.291334, -0.288669, -0.285655, -0.285233, -0.274464, -0.257371, -0.214495, -0.187987, -0.152485, -0.130505, -0.0985476, -0.0702482, -0.0574083, -0.0483511, -0.0405952, -0.035815, -0.0278429, -0.024651, -0.0233308, -0.0176049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.038481");
-            index_3 ("0.171697, 0.184817, 0.19447, 0.210358, 0.216176, 0.22781, 0.250925, 0.264183, 0.275436, 0.285599, 0.295117, 0.304333, 0.313538, 0.315809, 0.333644, 0.341588, 0.348342, 0.35262, 0.361178, 0.374457, 0.378656");
-            values ( \
-              "-0.0355695, -0.0491636, -0.0780119, -0.133817, -0.159858, -0.230623, -0.467375, -0.578043, -0.654561, -0.708578, -0.74559, -0.760228, -0.721208, -0.686322, -0.271857, -0.149309, -0.0863389, -0.0606754, -0.0294778, -0.0100054, -0.00848708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.125112");
-            index_3 ("0.186836, 0.213709, 0.224582, 0.233057, 0.279292, 0.299278, 0.316544, 0.332324, 0.34723, 0.361845, 0.377199, 0.401035, 0.413411, 0.425537, 0.437342, 0.450695, 0.464939, 0.474737");
-            values ( \
-              "-0.114413, -0.272895, -0.355575, -0.433116, -1.02494, -1.231, -1.37616, -1.47875, -1.5418, -1.54487, -1.37585, -0.746015, -0.481573, -0.300455, -0.184271, -0.104194, -0.0551696, -0.0402361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.406774");
-            index_3 ("0.194021, 0.24223, 0.318629, 0.336233, 0.370741, 0.401592, 0.430539, 0.458866, 0.487162, 0.496627, 0.506723, 0.568394, 0.601674, 0.626764, 0.641379, 0.669969, 0.686149, 0.718509, 0.772937");
-            values ( \
-              "-0.15966, -0.681448, -1.75667, -1.95931, -2.28015, -2.47553, -2.58091, -2.59647, -2.46754, -2.37021, -2.22552, -1.1078, -0.68616, -0.467938, -0.371785, -0.234566, -0.180072, -0.104445, -0.0415791" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.32253");
-            index_3 ("0.192727, 0.349241, 0.41175, 0.45263, 0.518482, 0.566925, 0.595363, 0.652238, 0.690791, 0.737053, 0.803718, 0.835479, 0.98762, 1.03725, 1.11404, 1.21706, 1.2827, 1.33504, 1.45964, 1.5263, 1.65963, 1.68199");
-            values ( \
-              "-0.0853767, -2.31658, -2.91848, -3.15739, -3.36692, -3.41996, -3.42611, -3.39387, -3.33935, -3.23559, -2.97556, -2.77747, -1.58347, -1.26587, -0.878142, -0.523109, -0.371686, -0.281976, -0.144997, -0.100929, -0.0487841, -0.0456395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.190604, 0.220411, 0.22652, 0.237097, 0.254, 0.263002, 0.270637, 0.284214, 0.290702, 0.297185, 0.312847, 0.320965, 0.328224, 0.350791, 0.371094, 0.397444");
-            values ( \
-              "-0.00142719, -0.0138828, -0.0171095, -0.0256668, -0.0653179, -0.0803353, -0.0915281, -0.101178, -0.101059, -0.0964388, -0.0250535, -0.0107673, -0.00610639, -0.00238819, -0.00095523, -0.000372714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0118357");
-            index_3 ("0.18948, 0.229133, 0.240033, 0.258709, 0.268944, 0.277588, 0.285411, 0.29278, 0.299944, 0.307101, 0.308706, 0.325677, 0.33069, 0.340012, 0.348065, 0.358803, 0.37109");
-            values ( \
-              "-0.000438737, -0.0538142, -0.0800462, -0.18557, -0.230943, -0.262623, -0.282048, -0.295858, -0.299787, -0.287073, -0.275016, -0.0710939, -0.0417816, -0.0179433, -0.0107386, -0.00623123, -0.00388161" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.038481");
-            index_3 ("0.200283, 0.220577, 0.229591, 0.236458, 0.248196, 0.271027, 0.284271, 0.295516, 0.305675, 0.315189, 0.324403, 0.333605, 0.335883, 0.353667, 0.361742, 0.368409, 0.372593, 0.380961, 0.39421, 0.410151, 0.411484");
-            values ( \
-              "-0.0494048, -0.102877, -0.133479, -0.161737, -0.234242, -0.468093, -0.578485, -0.65492, -0.70885, -0.745807, -0.760412, -0.721339, -0.686319, -0.272698, -0.148274, -0.0863358, -0.0611576, -0.0302133, -0.0102269, -0.00427298, -0.00420138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.125112");
-            index_3 ("0.208564, 0.229995, 0.2341, 0.242311, 0.253306, 0.268282, 0.283624, 0.299469, 0.319457, 0.336721, 0.352498, 0.367402, 0.382016, 0.396611, 0.397369, 0.401912, 0.421203, 0.426552, 0.433579, 0.43955, 0.445705, 0.454497, 0.45751, 0.463741, 0.470862, 0.485104, 0.496179");
-            values ( \
-              "-0.122127, -0.248612, -0.276004, -0.336836, -0.434024, -0.621476, -0.832813, -1.02523, -1.23137, -1.3764, -1.47898, -1.54194, -1.54502, -1.38922, -1.37591, -1.26985, -0.746069, -0.621864, -0.481591, -0.383537, -0.300455, -0.208962, -0.184273, -0.141609, -0.104198, -0.0551762, -0.0382941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.406774");
-            index_3 ("0.208707, 0.26295, 0.313842, 0.356826, 0.391284, 0.422103, 0.451033, 0.479348, 0.507632, 0.51715, 0.527303, 0.588859, 0.622124, 0.647243, 0.661853, 0.690432, 0.706601, 0.738941, 0.788278");
-            values ( \
-              "-0.0343413, -0.689434, -1.42678, -1.96318, -2.28292, -2.47725, -2.58228, -2.59724, -2.46819, -2.37, -2.22405, -1.10786, -0.686322, -0.467837, -0.371728, -0.234566, -0.180105, -0.104499, -0.04749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.32253");
-            index_3 ("0.250228, 0.291069, 0.337698, 0.372871, 0.390987, 0.427219, 0.473446, 0.531817, 0.546107, 0.574687, 0.615977, 0.682642, 0.731215, 0.757787, 0.810933, 0.83486, 0.874848, 0.967643, 1.02133, 1.06006, 1.12942, 1.18667, 1.24095, 1.30762, 1.3573, 1.41174, 1.47841, 1.54507, 1.6784, 1.81173, 1.94506");
-            values ( \
-              "-1.16048, -1.17342, -1.88867, -2.35412, -2.55861, -2.88073, -3.161, -3.35128, -3.37831, -3.4109, -3.4268, -3.38321, -3.30124, -3.23551, -3.0425, -2.91583, -2.63741, -1.88138, -1.49409, -1.25306, -0.900831, -0.677506, -0.514237, -0.363204, -0.279492, -0.209452, -0.146359, -0.101952, -0.0493028, -0.0237609, -0.011426" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.237848, 0.252358, 0.25661, 0.265113, 0.271052, 0.281601, 0.285098, 0.292091, 0.298541, 0.307545, 0.315179, 0.322121, 0.328757, 0.335245, 0.341728, 0.344052, 0.346531, 0.351595, 0.353964, 0.357391, 0.359157, 0.361876, 0.365502, 0.372754, 0.380105, 0.385188, 0.395356, 0.415691, 0.435159");
-            values ( \
-              "-0.00503083, -0.00953105, -0.0104184, -0.0141288, -0.0169481, -0.0257465, -0.032921, -0.0490898, -0.0652302, -0.0804081, -0.091458, -0.0968529, -0.101122, -0.101109, -0.0963905, -0.0891119, -0.0782384, -0.0492583, -0.0381318, -0.0250489, -0.0205574, -0.0152835, -0.0107732, -0.00611129, -0.00443773, -0.00361975, -0.00238566, -0.000952917, -0.000523859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0118357");
-            index_3 ("0.249747, 0.268201, 0.271064, 0.273926, 0.276638, 0.279349, 0.282061, 0.284772, 0.286678, 0.288583, 0.296205, 0.303521, 0.308639, 0.311198, 0.313758, 0.315919, 0.320241, 0.322403, 0.324358, 0.326314, 0.330226, 0.33391, 0.337595, 0.339386, 0.341177, 0.342968, 0.344759, 0.346549, 0.348338, 0.350127, 0.351916, 0.352023, 0.353523, 0.354379, 0.355236, 0.35695, 0.360058, 0.362825, 0.365081, 0.367364, 0.370494, 0.372185, 0.373847, 0.37551, 0.376823, 0.378136, 0.379449, 0.380762, 0.381444, 0.384829");
-            values ( \
-              "-0.0397606, -0.0452634, -0.050004, -0.0552226, -0.061161, -0.0678844, -0.075393, -0.0836867, -0.0915677, -0.100309, -0.146326, -0.187338, -0.211693, -0.222856, -0.233343, -0.241672, -0.256884, -0.263766, -0.269333, -0.274634, -0.284437, -0.292698, -0.300016, -0.299421, -0.29849, -0.297222, -0.295617, -0.293679, -0.291405, -0.288795, -0.285849, -0.285371, -0.274415, -0.266187, -0.257233, -0.236543, -0.19468, -0.152765, -0.12384, -0.0986302, -0.070165, -0.057267, -0.0482476, -0.0405225, -0.0357553, -0.0315159, -0.0278043, -0.0246206, -0.0233055, -0.0176052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.038481");
-            index_3 ("0.24425, 0.275601, 0.28066, 0.290779, 0.30909, 0.328529, 0.339782, 0.349943, 0.359458, 0.368674, 0.377877, 0.380141, 0.397997, 0.405877, 0.412676, 0.417004, 0.42566, 0.43895, 0.455009, 0.482428");
-            values ( \
-              "-0.0344533, -0.138496, -0.161524, -0.220577, -0.409948, -0.579213, -0.654001, -0.709381, -0.745195, -0.760783, -0.720889, -0.686506, -0.271583, -0.14988, -0.0863549, -0.060433, -0.0291007, -0.00989457, -0.00418292, -0.00357473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.125112");
-            index_3 ("0.249314, 0.297162, 0.34353, 0.363532, 0.380806, 0.396592, 0.411501, 0.42612, 0.441476, 0.477692, 0.489816, 0.501623, 0.514979, 0.529226, 0.532461");
-            values ( \
-              "-0.0500968, -0.430526, -1.02408, -1.23008, -1.37575, -1.47813, -1.54158, -1.54445, -1.37571, -0.481533, -0.300451, -0.184262, -0.104176, -0.0551537, -0.0502256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.406774");
-            index_3 ("0.257712, 0.299766, 0.318495, 0.381036, 0.40153, 0.436051, 0.466817, 0.495751, 0.524068, 0.552354, 0.56186, 0.572001, 0.611697, 0.633582, 0.66685, 0.691962, 0.706573, 0.735154, 0.751326, 0.78367, 0.834081");
-            values ( \
-              "-0.176485, -0.593647, -0.830976, -1.72499, -1.96226, -2.28306, -2.47674, -2.58218, -2.59695, -2.46824, -2.37006, -2.22437, -1.47394, -1.10785, -0.686285, -0.46786, -0.371743, -0.234568, -0.180099, -0.104489, -0.0461982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.32253");
-            index_3 ("0.287897, 0.335339, 0.382018, 0.416719, 0.435316, 0.47251, 0.517781, 0.576922, 0.617518, 0.660325, 0.72699, 0.775559, 0.802134, 0.855285, 0.879207, 0.919195, 1.01199, 1.06567, 1.1044, 1.17377, 1.23102, 1.2853, 1.35196, 1.40165, 1.45609, 1.52275, 1.58942, 1.72275, 1.85608, 1.98941");
-            values ( \
-              "-0.836168, -1.17191, -1.8874, -2.34825, -2.55769, -2.88805, -3.16031, -3.35323, -3.40996, -3.42655, -3.38355, -3.30124, -3.23554, -3.04246, -2.91585, -2.6374, -1.88137, -1.49409, -1.25305, -0.900838, -0.677502, -0.514234, -0.363208, -0.279489, -0.20945, -0.146363, -0.10195, -0.0493002, -0.0237583, -0.0114235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.335837, 0.354737, 0.358012, 0.361286, 0.364929, 0.368571, 0.371307, 0.374042, 0.376777, 0.379512, 0.382673, 0.388995, 0.396134, 0.400635, 0.402885, 0.407044, 0.408953, 0.412771, 0.416241, 0.419712, 0.421371, 0.42303, 0.424689, 0.426348, 0.42797, 0.429592, 0.431214, 0.432836, 0.434457, 0.436077, 0.437698, 0.439319, 0.440407, 0.441652, 0.44414, 0.446354, 0.449178, 0.45155, 0.453265, 0.454979, 0.457202, 0.459019, 0.459473, 0.460381, 0.463107, 0.464923, 0.46674, 0.468557, 0.470374, 0.4777");
-            values ( \
-              "-0.00464758, -0.010368, -0.0116792, -0.0131975, -0.0152142, -0.0175637, -0.0196934, -0.0220908, -0.0247559, -0.0276888, -0.0330248, -0.0490106, -0.0657493, -0.0742119, -0.0780424, -0.0844188, -0.0870397, -0.0917047, -0.0951571, -0.0978983, -0.0986575, -0.0992714, -0.0997401, -0.100064, -0.100239, -0.100276, -0.100174, -0.0999337, -0.0992505, -0.0983071, -0.0971036, -0.0956399, -0.0928666, -0.0885924, -0.0771877, -0.0654854, -0.0486803, -0.0374702, -0.0307406, -0.025162, -0.0193998, -0.0154153, -0.0145463, -0.0133127, -0.0101862, -0.0088864, -0.00776531, -0.00682295, -0.00605933, -0.00437018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0118357");
-            index_3 ("0.337067, 0.356942, 0.359161, 0.36249, 0.366797, 0.371105, 0.373967, 0.376829, 0.379692, 0.382554, 0.38583, 0.392383, 0.396585, 0.400788, 0.405905, 0.411023, 0.415347, 0.417508, 0.41967, 0.421626, 0.425537, 0.427493, 0.431178, 0.434862, 0.436653, 0.438444, 0.440236, 0.442027, 0.445605, 0.447394, 0.449184, 0.449291, 0.450796, 0.451656, 0.452516, 0.454236, 0.457335, 0.460071, 0.462335, 0.464624, 0.467773, 0.469477, 0.471137, 0.472797, 0.474109, 0.475421, 0.476734, 0.478046, 0.478726, 0.482099");
-            values ( \
-              "-0.013217, -0.0333454, -0.0358919, -0.0403849, -0.0472916, -0.0554297, -0.0618409, -0.0689569, -0.0767778, -0.0853034, -0.0996927, -0.140164, -0.164427, -0.187371, -0.211644, -0.23327, -0.249478, -0.256873, -0.263796, -0.269335, -0.279638, -0.284401, -0.292673, -0.300028, -0.299426, -0.29849, -0.297219, -0.295614, -0.291406, -0.288801, -0.285863, -0.285393, -0.274361, -0.266091, -0.257092, -0.236301, -0.194537, -0.153054, -0.124004, -0.0987108, -0.0700795, -0.0571211, -0.0481398, -0.040446, -0.0356932, -0.0314663, -0.0277653, -0.0245901, -0.02328, -0.0176052" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.038481");
-            index_3 ("0.339033, 0.361909, 0.366098, 0.370288, 0.374255, 0.378223, 0.381247, 0.38427, 0.387294, 0.390318, 0.39137, 0.394526, 0.398734, 0.402941, 0.41046, 0.412966, 0.416281, 0.419595, 0.422909, 0.426223, 0.429036, 0.431849, 0.437475, 0.442556, 0.447637, 0.452396, 0.457155, 0.459459, 0.461763, 0.464067, 0.466371, 0.468672, 0.470973, 0.473274, 0.475575, 0.475726, 0.47785, 0.480277, 0.485132, 0.488862, 0.491138, 0.494538, 0.497491, 0.49896, 0.500429, 0.502845, 0.50365, 0.505952, 0.508254, 0.510379");
-            values ( \
-              "-0.0222081, -0.0989922, -0.112468, -0.12824, -0.14538, -0.164634, -0.181485, -0.199983, -0.220127, -0.241918, -0.251485, -0.281706, -0.328572, -0.37343, -0.448585, -0.472212, -0.501711, -0.529849, -0.556624, -0.582037, -0.602476, -0.621975, -0.658155, -0.687059, -0.713979, -0.73739, -0.759061, -0.757528, -0.75498, -0.751417, -0.746838, -0.741252, -0.734654, -0.727042, -0.718418, -0.717134, -0.68479, -0.635982, -0.521029, -0.42351, -0.368722, -0.294627, -0.238214, -0.212198, -0.188579, -0.156867, -0.147252, -0.123355, -0.101459, -0.0830153" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.125112");
-            index_3 ("0.3471, 0.359529, 0.371981, 0.394789, 0.441088, 0.46109, 0.478362, 0.494145, 0.509053, 0.52367, 0.539025, 0.57524, 0.587364, 0.59917, 0.612526, 0.621722");
-            values ( \
-              "-0.115734, -0.166841, -0.244984, -0.430753, -1.02413, -1.23066, -1.37576, -1.47856, -1.54153, -1.54477, -1.37574, -0.481545, -0.300456, -0.184267, -0.10418, -0.0725385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.406774");
-            index_3 ("0.357272, 0.408061, 0.475014, 0.498487, 0.532959, 0.563718, 0.592641, 0.62095, 0.649229, 0.65878, 0.668968, 0.730455, 0.763711, 0.788848, 0.803454, 0.832026, 0.848189, 0.880515, 0.934305");
-            values ( \
-              "-0.128832, -0.736221, -1.69182, -1.96346, -2.2858, -2.47672, -2.58401, -2.59649, -2.4695, -2.36977, -2.2231, -1.10789, -0.686432, -0.46777, -0.371686, -0.234562, -0.180128, -0.104531, -0.0423407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.32253");
-            index_3 ("0.389313, 0.433158, 0.4796, 0.514389, 0.532795, 0.569608, 0.615203, 0.673816, 0.715922, 0.757714, 0.824379, 0.872936, 0.89952, 0.952686, 0.976592, 1.01658, 1.10937, 1.16306, 1.20179, 1.27115, 1.3284, 1.38268, 1.44935, 1.49903, 1.55348, 1.62014, 1.68681, 1.82014, 1.95347, 2.0868");
-            values ( \
-              "-0.991118, -1.18178, -1.89346, -2.35373, -2.56112, -2.88714, -3.16189, -3.35242, -3.41087, -3.42702, -3.38335, -3.30133, -3.23557, -3.04242, -2.91585, -2.63741, -1.88138, -1.49409, -1.25305, -0.900832, -0.677503, -0.514234, -0.363203, -0.27949, -0.20945, -0.146359, -0.101951, -0.049302, -0.0237604, -0.0114256" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.550212, 0.572818, 0.583515, 0.591047, 0.597074, 0.611074, 0.620071, 0.6277, 0.634641, 0.641274, 0.647762, 0.654245, 0.65655, 0.669912, 0.677987, 0.685204, 0.707971, 0.72405");
-            values ( \
-              "-0.00395686, -0.0116683, -0.0169478, -0.022524, -0.0311947, -0.0648489, -0.08087, -0.0911318, -0.097195, -0.100858, -0.101376, -0.0961665, -0.0891926, -0.0250286, -0.0108038, -0.00613539, -0.00237364, -0.00125051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0118357");
-            index_3 ("0.557637, 0.571024, 0.579237, 0.586002, 0.597051, 0.615629, 0.625866, 0.634511, 0.642334, 0.649703, 0.656868, 0.664025, 0.665634, 0.677183, 0.682607, 0.687627, 0.692877, 0.696937, 0.704963, 0.715665, 0.73308, 0.756502, 0.895253");
-            values ( \
-              "-0.0301158, -0.0335796, -0.0426413, -0.0538324, -0.0800009, -0.185758, -0.230712, -0.262783, -0.281878, -0.295986, -0.299656, -0.287179, -0.274983, -0.124575, -0.0710446, -0.0417306, -0.0248105, -0.0179437, -0.0107549, -0.00625007, -0.00290653, -0.00114867, -0.000253424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.038481");
-            index_3 ("0.56176, 0.576742, 0.591671, 0.596138, 0.602093, 0.609496, 0.622916, 0.635725, 0.652399, 0.662571, 0.672091, 0.681309, 0.690515, 0.69279, 0.710615, 0.718589, 0.725321, 0.729577, 0.738087, 0.751351, 0.767337, 0.79286");
-            values ( \
-              "-0.0883976, -0.0965606, -0.152593, -0.174699, -0.211731, -0.278697, -0.422883, -0.536698, -0.654992, -0.707801, -0.745984, -0.759641, -0.721568, -0.686193, -0.271976, -0.14904, -0.0863322, -0.0607962, -0.0296583, -0.0100712, -0.00423118, -0.00365457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.125112");
-            index_3 ("0.5622, 0.595443, 0.609587, 0.656011, 0.672061, 0.68379, 0.693235, 0.709014, 0.72396, 0.738581, 0.75394, 0.777787, 0.790159, 0.802281, 0.814088, 0.827448, 0.841698, 0.867253, 0.897513, 0.936247");
-            values ( \
-              "-0.0594758, -0.306682, -0.429797, -1.02367, -1.19259, -1.29935, -1.3749, -1.47772, -1.5411, -1.54435, -1.37552, -0.745746, -0.481496, -0.300462, -0.184264, -0.104166, -0.0551428, -0.0161998, -0.00458587, -0.00184676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.406774");
-            index_3 ("0.578778, 0.62408, 0.696415, 0.713876, 0.748322, 0.779119, 0.808042, 0.836352, 0.864631, 0.874167, 0.884338, 0.945856, 0.979116, 1.00424, 1.01885, 1.04743, 1.06359, 1.09592, 1.14899");
-            values ( \
-              "-0.264771, -0.744612, -1.76437, -1.96517, -2.28373, -2.47831, -2.5825, -2.59787, -2.46817, -2.36998, -2.22357, -1.10788, -0.686368, -0.467806, -0.371719, -0.234574, -0.180116, -0.104523, -0.0431709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.32253");
-            index_3 ("0.621434, 0.678468, 0.726545, 0.748108, 0.791234, 0.830494, 0.896878, 0.941261, 0.973174, 1.03984, 1.08691, 1.11484, 1.17068, 1.19193, 1.232, 1.32465, 1.37825, 1.41709, 1.48668, 1.54379, 1.59792, 1.66459, 1.71433, 1.76886, 1.83552, 1.90219, 2.03551, 2.16884, 2.30217");
-            values ( \
-              "-1.50595, -1.64655, -2.31815, -2.56047, -2.93535, -3.16061, -3.36993, -3.41916, -3.42695, -3.3837, -3.30428, -3.23566, -3.02985, -2.9159, -2.63673, -1.88184, -1.49507, -1.25323, -0.899993, -0.67732, -0.514479, -0.363401, -0.279538, -0.209388, -0.146335, -0.101915, -0.0492786, -0.0237434, -0.011412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.989408, 1.02029, 1.03769, 1.05381, 1.07085, 1.08117, 1.08988, 1.09779, 1.10528, 1.11261, 1.11993, 1.12206, 1.13774, 1.14583, 1.15299, 1.15963, 1.17163, 1.18763, 1.21141");
-            values ( \
-              "-0.00091917, -0.00819888, -0.0134843, -0.0237443, -0.0558517, -0.0707796, -0.0798871, -0.0858085, -0.0890375, -0.0900567, -0.0856267, -0.0809687, -0.0213676, -0.0100928, -0.00625174, -0.00472042, -0.00305084, -0.00162266, -0.000632804" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0118357");
-            index_3 ("0.989026, 1.02321, 1.0341, 1.04051, 1.05335, 1.05844, 1.0759, 1.08754, 1.09727, 1.10603, 1.11423, 1.12218, 1.13012, 1.13254, 1.14409, 1.15091, 1.15818, 1.16327, 1.16609, 1.17339, 1.18173, 1.19842, 1.21597, 1.2635, 1.29004");
-            values ( \
-              "-0.0011304, -0.0265044, -0.0360119, -0.0430598, -0.0643895, -0.0830383, -0.161635, -0.204572, -0.233961, -0.252749, -0.265968, -0.270864, -0.260754, -0.246257, -0.117464, -0.0607092, -0.0302477, -0.0200634, -0.0166415, -0.011195, -0.00765779, -0.00374891, -0.00191646, -0.000487713, -0.000459873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.038481");
-            index_3 ("1.00761, 1.02778, 1.04238, 1.05586, 1.06811, 1.08929, 1.10405, 1.1192, 1.13782, 1.14775, 1.15775, 1.16333, 1.18134, 1.18746, 1.19405");
-            values ( \
-              "-0.0628397, -0.0745968, -0.112479, -0.165569, -0.237701, -0.419777, -0.526264, -0.615653, -0.702376, -0.696118, -0.675154, -0.587195, -0.213758, -0.136467, -0.082136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.125112");
-            index_3 ("0.999595, 1.03457, 1.04472, 1.05865, 1.06578, 1.08003, 1.11862, 1.14025, 1.15875, 1.17545, 1.1911, 1.20634, 1.21129, 1.21624, 1.22209, 1.24668, 1.25902, 1.27115, 1.28408, 1.29688, 1.31151, 1.32674");
-            values ( \
-              "-0.0511383, -0.170069, -0.221644, -0.30558, -0.357192, -0.490944, -0.936639, -1.14482, -1.29417, -1.40277, -1.47342, -1.48824, -1.46434, -1.4235, -1.33599, -0.727571, -0.474019, -0.297824, -0.175291, -0.102089, -0.0533231, -0.031184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.406774");
-            index_3 ("1.02259, 1.06578, 1.07377, 1.08443, 1.0995, 1.13839, 1.15304, 1.1597, 1.16637, 1.17303, 1.1797, 1.18434, 1.18899, 1.19364, 1.20046, 1.20699, 1.21506, 1.21688, 1.22051, 1.22404, 1.23695, 1.24688, 1.27651, 1.28371, 1.2909, 1.29809, 1.30529, 1.31248, 1.31967, 1.33406, 1.33552, 1.33748, 1.34138, 1.34529, 1.34919, 1.35701, 1.36736, 1.38765, 1.40287, 1.4198, 1.42649, 1.43317, 1.44209, 1.45193, 1.46507, 1.47284, 1.48061, 1.49097, 1.49993, 1.5164");
-            values ( \
-              "-0.203741, -0.47475, -0.553354, -0.669147, -0.853853, -1.37259, -1.5445, -1.64461, -1.75495, -1.87551, -2.00631, -2.01256, -2.02384, -2.04015, -2.07318, -2.1149, -2.18281, -2.21767, -2.23346, -2.25491, -2.34619, -2.40945, -2.56742, -2.56057, -2.55122, -2.53935, -2.52498, -2.5081, -2.48872, -2.44243, -2.43304, -2.41512, -2.37347, -2.32248, -2.26795, -2.14749, -1.96841, -1.57325, -1.30621, -1.03692, -0.943244, -0.859008, -0.756628, -0.655541, -0.531711, -0.469933, -0.417354, -0.355886, -0.309718, -0.235215" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.32253");
-            index_3 ("1.05528, 1.10603, 1.15741, 1.19496, 1.23399, 1.26806, 1.29769, 1.35535, 1.37111, 1.40262, 1.44128, 1.50795, 1.53197, 1.55716, 1.5833, 1.63558, 1.6604, 1.70037, 1.7932, 1.84688, 1.88562, 1.91535, 1.955, 2.01224, 2.06651, 2.13317, 2.18286, 2.23731, 2.30398, 2.37064, 2.4373, 2.50397, 2.6373, 2.77063");
-            values ( \
-              "-0.843944, -1.03338, -1.75546, -2.24709, -2.66245, -2.93892, -3.11442, -3.32476, -3.3569, -3.39887, -3.41634, -3.37918, -3.34483, -3.29761, -3.23341, -3.04566, -2.91505, -2.63731, -1.8814, -1.49406, -1.25311, -1.09027, -0.900714, -0.67755, -0.514308, -0.36316, -0.279551, -0.209501, -0.146305, -0.102003, -0.0709513, -0.0493532, -0.0238108, -0.0114753" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.00317178, 0.00317275, 0.00317504, 0.00317739, 0.00317861, 0.00317906", \
-            "0.00379938, 0.00379956, 0.00380031, 0.00380197, 0.00380328, 0.00380385", \
-            "0.00417154, 0.00417157, 0.00417167, 0.00417217, 0.00417304, 0.00417358", \
-            "0.00438295, 0.00438297, 0.00438302, 0.00438313, 0.00438344, 0.0043838", \
-            "0.00449383, 0.00449387, 0.00449388, 0.00449397, 0.0044941, 0.00449428", \
-            "0.00455619, 0.0045562, 0.00455624, 0.00455633, 0.00455646, 0.0045566" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.00457578, 0.00457591, 0.00457705, 0.00458015, 0.00458244, 0.0045834", \
-            "0.00502062, 0.00502077, 0.00502101, 0.00502204, 0.0050239, 0.00502494", \
-            "0.00517374, 0.0051744, 0.00517584, 0.00517753, 0.00517911, 0.00518024", \
-            "0.00533248, 0.00533131, 0.00532843, 0.00532509, 0.00532368, 0.00532363", \
-            "0.00549716, 0.00549634, 0.00549539, 0.00549163, 0.00548581, 0.00548206", \
-            "0.00580416, 0.00580452, 0.005805, 0.00580465, 0.00580105, 0.00579587" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.116485, 0.124161, 0.143239, 0.187083, 0.29753, 0.630851", \
-            "0.121568, 0.129234, 0.148324, 0.192119, 0.302541, 0.635919", \
-            "0.132917, 0.140575, 0.159692, 0.203559, 0.313998, 0.64737", \
-            "0.158586, 0.166205, 0.185185, 0.228795, 0.33908, 0.672438", \
-            "0.201148, 0.2093, 0.229436, 0.274315, 0.384654, 0.718161", \
-            "0.242771, 0.251613, 0.274692, 0.324594, 0.438054, 0.771308" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.0284884, 0.0344458, 0.0505103, 0.0954841, 0.239926, 0.729301", \
-            "0.0284948, 0.0344401, 0.05053, 0.0954754, 0.23993, 0.729301", \
-            "0.0285021, 0.034461, 0.0504932, 0.0954504, 0.239919, 0.7293", \
-            "0.0285832, 0.0345426, 0.050761, 0.0956669, 0.239992, 0.729308", \
-            "0.0340303, 0.0401569, 0.0559691, 0.0991579, 0.241122, 0.72935", \
-            "0.0443895, 0.0510196, 0.0686632, 0.110895, 0.247046, 0.730182" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.227821, 0.235592, 0.25579, 0.302356, 0.401251, 0.635969", \
-            "0.232888, 0.240668, 0.260875, 0.307495, 0.406522, 0.641265", \
-            "0.245702, 0.25342, 0.273598, 0.320255, 0.419216, 0.654031", \
-            "0.274811, 0.282594, 0.302729, 0.349284, 0.448404, 0.683287", \
-            "0.343994, 0.351773, 0.371881, 0.418305, 0.517257, 0.752142", \
-            "0.485799, 0.494178, 0.515608, 0.564349, 0.6656, 0.901071" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.0433265, 0.0485037, 0.0627054, 0.0979183, 0.184016, 0.453619", \
-            "0.0433099, 0.0484947, 0.0627054, 0.0979077, 0.183892, 0.45361", \
-            "0.0433402, 0.048473, 0.0627561, 0.0978888, 0.183937, 0.453608", \
-            "0.0433148, 0.0484678, 0.0627179, 0.0978551, 0.183836, 0.453538", \
-            "0.0433068, 0.048461, 0.0627277, 0.0980841, 0.184008, 0.453616", \
-            "0.0534206, 0.0583225, 0.0722136, 0.106274, 0.189263, 0.455292" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0874037, 0.0967408, 0.100247, 0.111428, 0.117602, 0.121051, 0.128034, 0.132487, 0.136939, 0.13924, 0.141615, 0.147232, 0.152617, 0.159602, 0.165036, 0.169397, 0.178118, 0.189186, 0.199634, 0.249574, 0.260508");
-            values ( \
-              "0.00323643, 0.0281878, 0.0402954, 0.106674, 0.129962, 0.138289, 0.147365, 0.147169, 0.142521, 0.134819, 0.120037, 0.064898, 0.0381679, 0.0202885, 0.0129355, 0.00909672, 0.00474594, 0.00273175, 0.00190912, 0.000711692, 0.000656837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0118357");
-            index_3 ("0.0875435, 0.101552, 0.110087, 0.118102, 0.124548, 0.130326, 0.135778, 0.141113, 0.146444, 0.148741, 0.159859, 0.167281, 0.172314, 0.177803, 0.182103, 0.190629, 0.203728, 0.215068, 0.237126, 0.274085");
-            values ( \
-              "0.000173956, 0.109257, 0.221144, 0.307305, 0.354042, 0.383294, 0.398031, 0.401608, 0.387038, 0.367605, 0.1676, 0.0960004, 0.0654965, 0.0436047, 0.0317108, 0.017236, 0.00843583, 0.00543609, 0.0031212, 0.00245172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.038481");
-            index_3 ("0.0928098, 0.101375, 0.102931, 0.110282, 0.118238, 0.131927, 0.138866, 0.147182, 0.155013, 0.162735, 0.170451, 0.174342, 0.183614, 0.190443, 0.195632, 0.202776, 0.209104, 0.214119, 0.217696, 0.223296, 0.231697, 0.236251, 0.243445, 0.253036, 0.272219, 0.294258");
-            values ( \
-              "0.106528, 0.194644, 0.215585, 0.352701, 0.518383, 0.726517, 0.803312, 0.867094, 0.898674, 0.897535, 0.840089, 0.761678, 0.531665, 0.392256, 0.310812, 0.22142, 0.162305, 0.126692, 0.106011, 0.0801522, 0.0528785, 0.0426895, 0.0308862, 0.0206491, 0.0103358, 0.00634138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.125112");
-            index_3 ("0.0983398, 0.106582, 0.135605, 0.154234, 0.169978, 0.184582, 0.198896, 0.213493, 0.222462, 0.255225, 0.277155, 0.307841, 0.32724, 0.354806, 0.396124, 0.4043");
-            values ( \
-              "0.240567, 0.37142, 1.04656, 1.35311, 1.50909, 1.57336, 1.57807, 1.50642, 1.40274, 0.845843, 0.548156, 0.281238, 0.180823, 0.0953033, 0.036894, 0.0325811" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.406774");
-            index_3 ("0.105847, 0.128088, 0.138895, 0.159956, 0.168825, 0.186563, 0.205822, 0.233308, 0.253282, 0.273904, 0.307969, 0.324089, 0.341284, 0.357707, 0.440878, 0.476719, 0.517657, 0.539745, 0.575533, 0.617331, 0.636189, 0.665237, 0.706789, 0.724821, 0.760884, 0.833012, 0.91693, 1.00085, 1.08477");
-            values ( \
-              "0.334199, 0.983592, 1.25711, 1.6774, 1.80387, 1.99412, 2.10824, 2.17395, 2.16807, 2.13303, 2.03664, 1.96708, 1.87599, 1.76989, 1.13938, 0.900621, 0.672173, 0.569883, 0.431606, 0.307922, 0.263693, 0.206695, 0.14499, 0.124188, 0.0909396, 0.0475746, 0.022145, 0.0101816, 0.00476392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.32253");
-            index_3 ("0.125587, 0.160128, 0.189992, 0.217879, 0.240681, 0.272301, 0.304366, 0.383377, 0.516917, 0.642111, 0.726029, 0.819688, 1.04763, 1.17529, 1.33418, 1.40628, 1.55916, 1.65251, 1.80051, 1.91493, 2.05984, 2.39551, 2.56286");
-            values ( \
-              "1.65958, 1.78012, 2.18706, 2.38457, 2.46571, 2.51009, 2.51461, 2.45623, 2.30705, 2.13988, 1.99692, 1.7891, 1.21169, 0.925476, 0.634831, 0.529906, 0.355345, 0.276341, 0.183769, 0.13336, 0.0884098, 0.0335568, 0.0259386" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.106491, 0.10995, 0.112902, 0.119387, 0.130558, 0.132568, 0.137836, 0.142592, 0.147116, 0.151575, 0.156101, 0.158302, 0.160649, 0.16709, 0.171088, 0.174001, 0.17852, 0.184343, 0.189009, 0.197972, 0.203515, 0.214837, 0.229933, 0.279299");
-            values ( \
-              "0.0120976, 0.0150735, 0.0193492, 0.0389792, 0.105866, 0.115934, 0.13309, 0.142703, 0.146799, 0.147657, 0.141976, 0.134914, 0.120494, 0.0592138, 0.0404577, 0.0307468, 0.0206714, 0.0127206, 0.00870676, 0.00453269, 0.0033438, 0.00214466, 0.00145402, 0.000698929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0118357");
-            index_3 ("0.108712, 0.110683, 0.114744, 0.121643, 0.129152, 0.137174, 0.143611, 0.149384, 0.154843, 0.160181, 0.165587, 0.167784, 0.179007, 0.186178, 0.191326, 0.197019, 0.201517, 0.209895, 0.214451, 0.222658, 0.2336, 0.255352, 0.293691");
-            values ( \
-              "0.0380973, 0.0428271, 0.0609422, 0.116747, 0.222636, 0.307942, 0.354867, 0.38333, 0.397493, 0.40175, 0.386362, 0.367799, 0.166687, 0.0972848, 0.0657483, 0.0431335, 0.0309148, 0.0170479, 0.0129219, 0.00849625, 0.00554359, 0.00316737, 0.00194941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.038481");
-            index_3 ("0.112834, 0.148534, 0.157773, 0.166062, 0.173904, 0.181624, 0.189597, 0.209251, 0.217043, 0.23121, 0.242147, 0.250559, 0.272018, 0.291316, 0.301006");
-            values ( \
-              "0.0834168, 0.697218, 0.803102, 0.868202, 0.898142, 0.898519, 0.835164, 0.393714, 0.276185, 0.138488, 0.0802825, 0.0529386, 0.0205629, 0.0102683, 0.00971377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.125112");
-            index_3 ("0.115334, 0.131455, 0.142009, 0.154356, 0.165846, 0.172992, 0.187284, 0.197329, 0.203306, 0.21526, 0.22229, 0.232171, 0.246869, 0.266989, 0.277863, 0.290291, 0.298591, 0.313848, 0.327405, 0.343475, 0.353665, 0.373972, 0.385706, 0.409176, 0.452779, 0.504481, 0.57053");
-            values ( \
-              "0.0487821, 0.510502, 0.771503, 1.04653, 1.25354, 1.35337, 1.49763, 1.55349, 1.57278, 1.57911, 1.56219, 1.50523, 1.3167, 0.958723, 0.786257, 0.615405, 0.519105, 0.374162, 0.276288, 0.191692, 0.151493, 0.0945294, 0.0721185, 0.0418969, 0.0150687, 0.00512723, 0.00150569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.406774");
-            index_3 ("0.115742, 0.159478, 0.176774, 0.187805, 0.209075, 0.224825, 0.252975, 0.270943, 0.292902, 0.326955, 0.343227, 0.376098, 0.47463, 0.532432, 0.575267, 0.636407, 0.68264, 0.724886, 0.803903, 0.87258, 0.927502");
-            values ( \
-              "0.0246716, 1.29301, 1.63999, 1.80382, 2.02144, 2.10887, 2.17385, 2.16887, 2.13377, 2.03617, 1.96649, 1.77406, 1.03701, 0.693549, 0.502236, 0.307904, 0.209603, 0.146138, 0.0738766, 0.0399581, 0.0259156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.32253");
-            index_3 ("0.133952, 0.172497, 0.194739, 0.20934, 0.237477, 0.260016, 0.291196, 0.323479, 0.355138, 0.402706, 0.536247, 0.66144, 0.745358, 0.839017, 1.06696, 1.19462, 1.27854, 1.37754, 1.42561, 1.49457, 1.57849, 1.67185, 1.75576, 1.81984, 1.93426, 2.07917, 2.247, 2.41484, 2.66659, 3.00226");
-            values ( \
-              "0.827035, 1.64441, 2.01943, 2.18843, 2.38617, 2.46616, 2.50981, 2.51477, 2.49666, 2.45621, 2.30699, 2.14004, 1.99672, 1.78886, 1.212, 0.925092, 0.761531, 0.598951, 0.530328, 0.44438, 0.355767, 0.27676, 0.220226, 0.184189, 0.133779, 0.0888279, 0.0550208, 0.0339705, 0.0166706, 0.00640937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.149197, 0.157214, 0.161547, 0.165464, 0.172745, 0.178748, 0.182521, 0.184775, 0.189306, 0.19376, 0.198213, 0.200501, 0.202863, 0.208867, 0.213535, 0.216492, 0.220861, 0.226211, 0.230435, 0.238885, 0.244961, 0.250443, 0.261407, 0.281433, 0.310339, 0.333165");
-            values ( \
-              "0.0133076, 0.0248771, 0.0397534, 0.0608682, 0.106627, 0.129387, 0.138533, 0.142179, 0.147241, 0.147193, 0.142425, 0.134847, 0.120238, 0.062152, 0.0394503, 0.0299318, 0.0204109, 0.0130611, 0.00925497, 0.00493241, 0.0034872, 0.00274807, 0.00186642, 0.00119481, 0.000704332, 0.000592263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0118357");
-            index_3 ("0.150303, 0.15917, 0.161301, 0.163935, 0.165359, 0.168208, 0.171391, 0.176813, 0.179436, 0.184682, 0.188278, 0.191659, 0.197121, 0.202461, 0.207796, 0.210066, 0.212408, 0.21691, 0.221294, 0.225562, 0.228456, 0.233609, 0.237057, 0.23931, 0.243817, 0.25219, 0.256745, 0.259477, 0.264941, 0.275869, 0.297509, 0.324922");
-            values ( \
-              "0.0399553, 0.0753274, 0.0929834, 0.118796, 0.135303, 0.173309, 0.219882, 0.282083, 0.305926, 0.346528, 0.367294, 0.382185, 0.398133, 0.400812, 0.387286, 0.367737, 0.334022, 0.240028, 0.16664, 0.121398, 0.0973331, 0.0657437, 0.0509472, 0.0431035, 0.0308869, 0.0170318, 0.0129229, 0.0111495, 0.00850435, 0.00554102, 0.00318334, 0.00203421" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.038481");
-            index_3 ("0.154062, 0.16045, 0.163804, 0.171598, 0.179528, 0.193062, 0.200133, 0.208427, 0.216275, 0.223995, 0.231709, 0.235608, 0.244841, 0.251608, 0.256889, 0.264062, 0.270375, 0.275353, 0.278898, 0.284512, 0.292927, 0.297504, 0.304749, 0.31441, 0.333731, 0.35329");
-            values ( \
-              "0.111612, 0.165814, 0.208835, 0.354764, 0.51926, 0.725362, 0.803765, 0.867336, 0.898912, 0.897725, 0.840192, 0.761585, 0.53241, 0.393944, 0.310831, 0.221124, 0.162197, 0.126843, 0.106302, 0.0803132, 0.0529429, 0.0426944, 0.0308187, 0.0205507, 0.0102499, 0.00673341" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.125112");
-            index_3 ("0.162061, 0.191369, 0.207226, 0.215246, 0.230962, 0.245573, 0.259883, 0.274476, 0.278684, 0.283492, 0.316278, 0.323688, 0.338293, 0.347917, 0.354559, 0.367842, 0.381267, 0.390864, 0.408058, 0.416002, 0.427913, 0.443795, 0.475558, 0.524716, 0.585245");
-            values ( \
-              "0.237922, 0.940941, 1.24282, 1.35449, 1.51022, 1.57377, 1.57868, 1.5066, 1.46417, 1.40219, 0.844721, 0.733884, 0.546374, 0.446429, 0.386706, 0.287578, 0.212178, 0.170131, 0.113784, 0.0947517, 0.0720956, 0.0501264, 0.0241549, 0.0080185, 0.00248014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.406774");
-            index_3 ("0.161525, 0.189431, 0.207603, 0.21917, 0.230131, 0.251372, 0.267132, 0.295122, 0.301263, 0.313545, 0.335198, 0.369252, 0.385501, 0.418481, 0.516921, 0.536179, 0.574696, 0.617595, 0.640497, 0.678702, 0.724925, 0.767176, 0.811872, 0.846226, 0.914933, 0.998851, 1.00962");
-            values ( \
-              "0.0646011, 0.985223, 1.42299, 1.64243, 1.8062, 2.02151, 2.11016, 2.17333, 2.17465, 2.16814, 2.13441, 2.03562, 1.96664, 1.77342, 1.03702, 0.912011, 0.693687, 0.502089, 0.419389, 0.307918, 0.209635, 0.14613, 0.0996126, 0.0738705, 0.0399247, 0.0185038, 0.0175696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.32253");
-            index_3 ("0.181247, 0.251552, 0.279233, 0.302209, 0.332756, 0.365356, 0.397327, 0.494517, 0.596111, 0.703628, 0.787545, 0.820499, 0.881205, 1.10915, 1.23681, 1.32073, 1.41973, 1.53676, 1.62068, 1.71403, 1.79795, 1.86203, 1.97645, 2.12136, 2.28919, 2.54095, 2.67926");
-            values ( \
-              "1.193, 2.18884, 2.38536, 2.46571, 2.51019, 2.51422, 2.49734, 2.40331, 2.28499, 2.14055, 1.99623, 1.92819, 1.7884, 1.21242, 0.924736, 0.761891, 0.598642, 0.444078, 0.356063, 0.277048, 0.219942, 0.184471, 0.134053, 0.0890968, 0.0552865, 0.0266792, 0.0210422" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.235469, 0.249825, 0.255717, 0.268657, 0.273967, 0.278744, 0.283279, 0.28774, 0.292198, 0.294436, 0.306738, 0.312607, 0.317078, 0.324945, 0.33374, 0.354476, 0.359134");
-            values ( \
-              "0.00660909, 0.0179524, 0.039536, 0.114481, 0.132321, 0.142032, 0.146951, 0.147136, 0.142252, 0.134989, 0.0425189, 0.0247491, 0.01694, 0.00887351, 0.00463549, 0.00193627, 0.00182145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0118357");
-            index_3 ("0.237303, 0.249205, 0.250952, 0.254261, 0.258846, 0.270642, 0.278299, 0.282415, 0.285468, 0.290943, 0.29629, 0.301634, 0.303844, 0.315343, 0.321426, 0.326127, 0.329707, 0.335103, 0.342298, 0.348521, 0.353841, 0.362757, 0.374644, 0.39842, 0.407023");
-            values ( \
-              "0.0214302, 0.0477059, 0.0548524, 0.0806802, 0.128186, 0.281217, 0.344481, 0.368279, 0.380756, 0.397792, 0.399866, 0.387264, 0.368093, 0.164356, 0.104072, 0.0726782, 0.0557646, 0.0373102, 0.0220437, 0.0146819, 0.0108848, 0.0072181, 0.00480741, 0.0028051, 0.00249959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.038481");
-            index_3 ("0.242834, 0.249105, 0.256068, 0.270609, 0.28428, 0.293613, 0.301953, 0.309828, 0.317572, 0.325309, 0.346084, 0.356079, 0.370006, 0.384878, 0.402175, 0.4172, 0.426658");
-            values ( \
-              "0.069211, 0.098607, 0.177372, 0.462813, 0.6867, 0.798562, 0.862364, 0.896368, 0.894909, 0.839271, 0.378382, 0.238723, 0.120327, 0.0570851, 0.0260436, 0.0143741, 0.0126205" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.125112");
-            index_3 ("0.255347, 0.265713, 0.282457, 0.289664, 0.301518, 0.308521, 0.322529, 0.327943, 0.333587, 0.338968, 0.349728, 0.353291, 0.360417, 0.367879, 0.382638, 0.402635, 0.414032, 0.427057, 0.434553, 0.446886, 0.460982, 0.475564, 0.486234, 0.502258, 0.51989, 0.533628, 0.561105, 0.608768, 0.666351");
-            values ( \
-              "0.409113, 0.460128, 0.872122, 1.02805, 1.24378, 1.34363, 1.48806, 1.52409, 1.55134, 1.56864, 1.57747, 1.57272, 1.55034, 1.50311, 1.31519, 0.959601, 0.7794, 0.602353, 0.516432, 0.396804, 0.289928, 0.208382, 0.162897, 0.112094, 0.0747626, 0.0545554, 0.0291045, 0.00975847, 0.00314142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.406774");
-            index_3 ("0.265466, 0.281739, 0.300388, 0.313962, 0.323149, 0.341523, 0.360272, 0.387596, 0.394455, 0.408174, 0.42841, 0.462492, 0.478473, 0.495519, 0.512786, 0.594944, 0.633904, 0.674072, 0.703665, 0.724089, 0.77177, 0.79367, 0.83747, 0.877328, 0.942563, 1.02648, 1.1104, 1.11546");
-            values ( \
-              "0.856675, 0.943839, 1.39999, 1.65904, 1.79429, 1.99178, 2.1052, 2.17116, 2.17217, 2.1658, 2.13306, 2.0356, 1.96757, 1.87749, 1.76588, 1.14235, 0.884286, 0.662807, 0.530477, 0.452489, 0.308016, 0.257132, 0.177441, 0.12626, 0.0716235, 0.033363, 0.0154278, 0.0150611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.32253");
-            index_3 ("0.288328, 0.342467, 0.374197, 0.395287, 0.426973, 0.459057, 0.490476, 0.587667, 0.689263, 0.796779, 0.880697, 0.913651, 0.974356, 1.2023, 1.32996, 1.41388, 1.51288, 1.62991, 1.71383, 1.80718, 1.8911, 1.95518, 2.06959, 2.21451, 2.38234, 2.63409, 2.77944");
-            values ( \
-              "2.06901, 2.16068, 2.38725, 2.46094, 2.509, 2.51301, 2.49695, 2.40334, 2.28505, 2.1406, 1.99619, 1.92824, 1.78837, 1.21245, 0.92472, 0.761914, 0.59863, 0.444068, 0.356076, 0.277061, 0.219934, 0.184483, 0.134064, 0.0891072, 0.055296, 0.0266714, 0.0207474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.405161, 0.428197, 0.430858, 0.431643, 0.433213, 0.436353, 0.440499, 0.441922, 0.444767, 0.448686, 0.450017, 0.452681, 0.458007, 0.458764, 0.460279, 0.463024, 0.4653, 0.469853, 0.471096, 0.473582, 0.476531, 0.481819, 0.487107, 0.492414, 0.492813, 0.49361, 0.498395, 0.500915, 0.501967, 0.502959, 0.504283, 0.506929, 0.511736, 0.513443, 0.51513, 0.51738, 0.521881, 0.530881, 0.537788, 0.544148, 0.550469, 0.556487, 0.583659, 0.598111, 0.603088");
-            values ( \
-              "0.00254942, 0.00862122, 0.0112838, 0.0124587, 0.013914, 0.0180986, 0.0245669, 0.027958, 0.035107, 0.0501647, 0.0565165, 0.0685495, 0.0893571, 0.0910733, 0.0961456, 0.10288, 0.108347, 0.11593, 0.117903, 0.120489, 0.123172, 0.1248, 0.122552, 0.108994, 0.105329, 0.100022, 0.0617877, 0.04826, 0.0436769, 0.0398654, 0.0354854, 0.0280651, 0.0188319, 0.0164401, 0.0143648, 0.0121322, 0.00851604, 0.0045956, 0.00314476, 0.00254022, 0.00201083, 0.00169393, 0.00108125, 0.000837492, 0.000781115" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0118357");
-            index_3 ("0.403797, 0.432131, 0.436757, 0.444005, 0.467807, 0.478085, 0.484484, 0.490665, 0.49681, 0.502952, 0.511355, 0.518158, 0.526688, 0.536709, 0.54516, 0.552954, 0.573406, 0.605802");
-            values ( \
-              "0.0071236, 0.0308639, 0.0475012, 0.0826048, 0.276917, 0.323637, 0.340667, 0.350007, 0.343927, 0.296849, 0.157852, 0.0971932, 0.0524969, 0.0259668, 0.0147387, 0.00925638, 0.00494903, 0.00279853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.038481");
-            index_3 ("0.438843, 0.455219, 0.461528, 0.466485, 0.472498, 0.475667, 0.479892, 0.486567, 0.490242, 0.494904, 0.496049, 0.498239, 0.500428, 0.502618, 0.504807, 0.506917, 0.509026, 0.511136, 0.513245, 0.515353, 0.51746, 0.519568, 0.521675, 0.521955, 0.523355, 0.524251, 0.526594, 0.52804, 0.530742, 0.533005, 0.537094, 0.54059, 0.542338, 0.545449, 0.548817, 0.549637, 0.550456, 0.552096, 0.553736, 0.557189, 0.560994, 0.564023, 0.567052, 0.570081, 0.572807, 0.575532, 0.578258, 0.580984, 0.582295, 0.588971");
-            values ( \
-              "0.271525, 0.305296, 0.400669, 0.467844, 0.54249, 0.578952, 0.624759, 0.69237, 0.725941, 0.765766, 0.775081, 0.790764, 0.80473, 0.816979, 0.827511, 0.826173, 0.823925, 0.820766, 0.816697, 0.811723, 0.80584, 0.799048, 0.791347, 0.788427, 0.767875, 0.752626, 0.707743, 0.676707, 0.609921, 0.558019, 0.472884, 0.409028, 0.37973, 0.332241, 0.286118, 0.259208, 0.238766, 0.23133, 0.221689, 0.194183, 0.161592, 0.137082, 0.118599, 0.102218, 0.0900409, 0.079054, 0.0692571, 0.0606501, 0.057195, 0.0411826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.125112");
-            index_3 ("0.432235, 0.449262, 0.489984, 0.502014, 0.51922, 0.534712, 0.549592, 0.557304, 0.5623, 0.568916, 0.577739, 0.599002, 0.614597, 0.632755, 0.644725, 0.657494, 0.673177, 0.684253, 0.699838, 0.716588, 0.729486, 0.755281, 0.791404");
-            values ( \
-              "0.217711, 0.311374, 1.02952, 1.21241, 1.40642, 1.50083, 1.52776, 1.51149, 1.48936, 1.43772, 1.32579, 0.963094, 0.724904, 0.502731, 0.389593, 0.293628, 0.20606, 0.15969, 0.111114, 0.0756814, 0.056378, 0.0312751, 0.0154207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.406774");
-            index_3 ("0.43203, 0.468007, 0.49105, 0.516457, 0.536942, 0.550049, 0.564824, 0.574316, 0.590164, 0.62068, 0.631954, 0.659691, 0.688031, 0.716385, 0.796701, 0.844515, 0.876515, 0.901273, 0.934283, 0.981012, 1.00878, 1.05438, 1.10599, 1.14685, 1.22857, 1.30712");
-            values ( \
-              "0.105171, 0.709315, 1.20225, 1.66488, 1.9104, 2.01478, 2.08947, 2.11992, 2.14498, 2.12984, 2.1076, 2.02578, 1.89708, 1.71268, 1.1035, 0.79985, 0.633491, 0.525167, 0.405013, 0.277042, 0.219783, 0.14884, 0.0955895, 0.0669064, 0.0318097, 0.0158308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.32253");
-            index_3 ("0.473455, 0.519464, 0.544247, 0.57962, 0.613267, 0.646685, 0.686612, 0.733512, 0.783777, 0.885373, 0.992894, 1.07681, 1.10975, 1.17046, 1.3984, 1.52606, 1.60998, 1.70898, 1.82601, 1.90993, 2.00328, 2.15128, 2.26569, 2.41061, 2.57844, 2.74628, 2.99803, 3.3337");
-            values ( \
-              "1.71852, 1.8139, 2.14051, 2.38571, 2.48028, 2.50583, 2.49386, 2.45523, 2.40296, 2.28488, 2.14041, 1.99631, 1.92809, 1.78851, 1.2123, 0.92487, 0.761768, 0.598774, 0.44421, 0.355936, 0.276925, 0.184347, 0.13393, 0.0889747, 0.0551644, 0.0341111, 0.0165343, 0.00627719" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.734352, 0.774523, 0.791386, 0.799822, 0.808475, 0.814449, 0.827476, 0.836187, 0.843774, 0.850872, 0.857773, 0.864667, 0.871549, 0.880668, 0.88751, 0.892901, 0.899362, 0.904529, 0.914862, 0.928501, 0.963786, 1.0438, 1.05244");
-            values ( \
-              "0.00091751, 0.00333227, 0.00979087, 0.014767, 0.0239683, 0.0356041, 0.0682686, 0.0825716, 0.0900536, 0.0944117, 0.0957954, 0.0946242, 0.0870792, 0.0450781, 0.0262131, 0.0174001, 0.0110001, 0.00760789, 0.00364914, 0.00195109, 0.00105192, 0.000374533, 0.000348556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0118357");
-            index_3 ("0.735483, 0.774484, 0.794091, 0.802417, 0.805319, 0.811125, 0.817976, 0.829366, 0.838528, 0.842762, 0.851604, 0.859767, 0.867663, 0.875485, 0.883305, 0.894118, 0.900451, 0.905747, 0.909577, 0.915114, 0.920728, 0.9302, 0.935675, 0.945751, 0.959186, 0.985603, 1.03588");
-            values ( \
-              "0.00294688, 0.0101064, 0.0315608, 0.0464748, 0.0532673, 0.0723666, 0.106396, 0.174381, 0.215387, 0.230166, 0.253411, 0.267148, 0.273469, 0.271661, 0.246694, 0.128799, 0.0859811, 0.0607813, 0.0476421, 0.0331603, 0.022967, 0.0126015, 0.00944332, 0.00618926, 0.00421444, 0.00276281, 0.001658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.038481");
-            index_3 ("0.739143, 0.774321, 0.789981, 0.793522, 0.800603, 0.809357, 0.812427, 0.818565, 0.825667, 0.832874, 0.841296, 0.84627, 0.854178, 0.860007, 0.87202, 0.88298, 0.893378, 0.903648, 0.913905, 0.914964, 0.921323, 0.929118, 0.933308, 0.935628, 0.940268, 0.947195, 0.9505, 0.952311, 0.955935, 0.962267, 0.971767, 0.977388, 0.980548, 0.986869, 0.999509, 1.02479, 1.05661");
-            values ( \
-              "0.00938561, 0.0267544, 0.0627101, 0.0734808, 0.0963336, 0.13808, 0.156103, 0.202788, 0.270861, 0.343808, 0.419195, 0.457073, 0.512308, 0.546944, 0.610005, 0.652996, 0.678958, 0.671917, 0.564361, 0.542632, 0.431527, 0.317251, 0.268344, 0.243643, 0.199422, 0.146487, 0.126121, 0.116161, 0.0982761, 0.0732482, 0.0470878, 0.0367902, 0.0322064, 0.0248512, 0.0155798, 0.00756548, 0.00458688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.125112");
-            index_3 ("0.773784, 0.80533, 0.811503, 0.823622, 0.868026, 0.893574, 0.905305, 0.915576, 0.932405, 0.948894, 0.965361, 1.00095, 1.01985, 1.03216, 1.04528, 1.06092, 1.0721, 1.08821, 1.10588, 1.11958, 1.14698, 1.19525, 1.2711");
-            values ( \
-              "0.0860818, 0.199717, 0.24475, 0.35943, 0.878104, 1.14091, 1.23916, 1.30695, 1.37082, 1.36508, 1.23407, 0.710357, 0.49181, 0.381046, 0.286743, 0.202795, 0.157448, 0.108881, 0.0731292, 0.0538094, 0.02921, 0.0101, 0.00289761" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.406774");
-            index_3 ("0.773406, 0.827987, 0.846057, 0.911007, 0.936695, 0.969947, 0.982575, 0.996275, 1.0098, 1.03687, 1.04588, 1.06391, 1.0841, 1.12317, 1.19093, 1.21571, 1.24079, 1.27255, 1.30126, 1.34096, 1.36161, 1.39656, 1.44316, 1.46321, 1.49272, 1.53207, 1.61077, 1.69469, 1.77861, 1.86253");
-            values ( \
-              "0.0535554, 0.483399, 0.715786, 1.61998, 1.8617, 2.02834, 2.05327, 2.06269, 2.05977, 2.01585, 1.99221, 1.92645, 1.82551, 1.55533, 1.05255, 0.893054, 0.751766, 0.595503, 0.477711, 0.347655, 0.293853, 0.219851, 0.147628, 0.124388, 0.0965064, 0.068452, 0.0335583, 0.0155383, 0.00719665, 0.00330979" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.32253");
-            index_3 ("0.821845, 0.86918, 0.917336, 0.946302, 0.973863, 1.01161, 1.04285, 1.07197, 1.16968, 1.26453, 1.34533, 1.43415, 1.57976, 1.8367, 1.96902, 2.11097, 2.18833, 2.31795, 2.449, 2.52098, 2.65709, 2.82492, 3.16059, 3.2872");
-            values ( \
-              "0.907742, 1.10523, 1.83865, 2.14188, 2.3159, 2.43648, 2.46717, 2.46996, 2.39673, 2.2913, 2.18874, 2.05004, 1.7316, 1.08981, 0.812198, 0.575586, 0.472449, 0.335754, 0.235382, 0.193051, 0.132045, 0.0821592, 0.0314823, 0.0259406" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.00339, 0.00339012, 0.00339053, 0.00339112, 0.00339151, 0.00339167", \
-            "0.00434592, 0.00434586, 0.00434582, 0.00434606, 0.00434637, 0.00434654", \
-            "0.00495761, 0.00495754, 0.00495738, 0.00495718, 0.00495715, 0.00495722", \
-            "0.00531082, 0.00531079, 0.00531068, 0.00531047, 0.00531023, 0.00531012", \
-            "0.00554583, 0.00554582, 0.00554577, 0.00554567, 0.00554549, 0.00554533", \
-            "0.00570117, 0.00570116, 0.00570115, 0.00570111, 0.00570103, 0.00570093" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.00524962, 0.00524908, 0.00524811, 0.00524752, 0.00524748, 0.00524753", \
-            "0.00550882, 0.00550865, 0.00550799, 0.00550671, 0.00550575, 0.00550538", \
-            "0.00520285, 0.00520286, 0.0052028, 0.00520237, 0.00520149, 0.00520088", \
-            "0.00510532, 0.00510531, 0.00510528, 0.00510511, 0.00510459, 0.00510396", \
-            "0.00536528, 0.00536853, 0.00537452, 0.00538166, 0.00538673, 0.00538821", \
-            "0.00585821, 0.00585496, 0.00584812, 0.00610775, 0.00629238, 0.00635027" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.157267, 0.174287, 0.178254, 0.182221, 0.185509, 0.188797, 0.191465, 0.194132, 0.1968, 0.199468, 0.202854, 0.209625, 0.216301, 0.220802, 0.223053, 0.227212, 0.229121, 0.232938, 0.236409, 0.23988, 0.241539, 0.243198, 0.244856, 0.246515, 0.248137, 0.249759, 0.251382, 0.253004, 0.254624, 0.256245, 0.257866, 0.259487, 0.260571, 0.26181, 0.264289, 0.266514, 0.269353, 0.271723, 0.273436, 0.275149, 0.277369, 0.279182, 0.279635, 0.280541, 0.28326, 0.285073, 0.286886, 0.288699, 0.290512, 0.297863");
-            values ( \
-              "-0.00479002, -0.0106209, -0.0121228, -0.0138617, -0.0156342, -0.0176585, -0.0196889, -0.0220022, -0.0245983, -0.0274772, -0.0331749, -0.0501067, -0.0657306, -0.0742241, -0.0780619, -0.0844366, -0.0870502, -0.0916892, -0.0951491, -0.0979123, -0.098667, -0.0992772, -0.099743, -0.100064, -0.100239, -0.100275, -0.100173, -0.0999334, -0.0992448, -0.0982939, -0.0970809, -0.0956056, -0.0928792, -0.0886266, -0.0772815, -0.0655281, -0.0486429, -0.0374509, -0.0307275, -0.0251532, -0.0194027, -0.0154254, -0.0145574, -0.0133239, -0.0101977, -0.00889878, -0.00777795, -0.00683526, -0.00607071, -0.00437073" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0118357");
-            index_3 ("0.157219, 0.176414, 0.181631, 0.186847, 0.191277, 0.193491, 0.195706, 0.19792, 0.200135, 0.201581, 0.205919, 0.211632, 0.218215, 0.225379, 0.227934, 0.231048, 0.23321, 0.237534, 0.239695, 0.241655, 0.243614, 0.247533, 0.25122, 0.254907, 0.256699, 0.258491, 0.260283, 0.262076, 0.265656, 0.267447, 0.269237, 0.269343, 0.270824, 0.27167, 0.272517, 0.274209, 0.277348, 0.280216, 0.283205, 0.285471, 0.288197, 0.289431, 0.290253, 0.292721, 0.294046, 0.295371, 0.296695, 0.29802, 0.300084, 0.302146");
-            values ( \
-              "-0.0104212, -0.0339925, -0.0401731, -0.0472695, -0.0548459, -0.0595635, -0.0649006, -0.0708573, -0.0774337, -0.0830768, -0.102082, -0.135704, -0.171583, -0.207476, -0.219442, -0.233015, -0.241333, -0.256519, -0.263387, -0.269014, -0.274365, -0.284236, -0.292512, -0.299808, -0.299235, -0.29832, -0.297065, -0.295468, -0.291257, -0.288641, -0.285685, -0.285222, -0.274474, -0.266403, -0.257611, -0.237266, -0.195059, -0.151775, -0.114506, -0.090907, -0.0670611, -0.0577404, -0.0530682, -0.0409742, -0.0361035, -0.0317757, -0.0279909, -0.0247489, -0.0209134, -0.0175991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.038481");
-            index_3 ("0.155818, 0.193571, 0.198162, 0.207343, 0.22797, 0.240538, 0.245979, 0.257244, 0.267393, 0.276911, 0.286128, 0.295332, 0.297608, 0.315427, 0.323415, 0.330137, 0.334382, 0.34287, 0.356148, 0.372136, 0.393955");
-            values ( \
-              "-0.00352714, -0.141414, -0.161674, -0.214356, -0.42486, -0.536519, -0.577518, -0.655079, -0.708174, -0.745906, -0.759927, -0.721468, -0.68623, -0.272054, -0.148921, -0.0863311, -0.0608488, -0.0297409, -0.0100782, -0.00423284, -0.00308054" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.125112");
-            index_3 ("0.168498, 0.188169, 0.19541, 0.20916, 0.214884, 0.226332, 0.235087, 0.261194, 0.278387, 0.286677, 0.298446, 0.314178, 0.329125, 0.343743, 0.359099, 0.382941, 0.395315, 0.407439, 0.419246, 0.425479, 0.432603, 0.44685, 0.462172");
-            values ( \
-              "-0.107553, -0.221499, -0.270216, -0.376862, -0.432228, -0.568416, -0.695658, -1.02452, -1.20419, -1.28014, -1.37574, -1.47803, -1.54148, -1.54453, -1.37566, -0.745872, -0.481532, -0.300454, -0.184263, -0.141592, -0.104175, -0.0551534, -0.0318043" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.406774");
-            index_3 ("0.171285, 0.217018, 0.238453, 0.301626, 0.319167, 0.353658, 0.38449, 0.413431, 0.441752, 0.470043, 0.479532, 0.489653, 0.529384, 0.551273, 0.584546, 0.609649, 0.624262, 0.652846, 0.669021, 0.701372, 0.736526");
-            values ( \
-              "-0.158561, -0.586725, -0.863673, -1.7595, -1.9609, -2.28149, -2.47618, -2.58161, -2.5967, -2.46791, -2.37011, -2.22486, -1.47398, -1.10783, -0.686238, -0.467896, -0.371758, -0.234565, -0.180091, -0.104469, -0.0638601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("1.32253");
-            index_3 ("0.218501, 0.269401, 0.299069, 0.352458, 0.387313, 0.434976, 0.492592, 0.507645, 0.537751, 0.577521, 0.644131, 0.692945, 0.719336, 0.772117, 0.796407, 0.836384, 0.929196, 1.02161, 1.09095, 1.14821, 1.20251, 1.26912, 1.31884, 1.3733, 1.43991, 1.50652, 1.63974, 1.70477");
-            values ( \
-              "-1.31959, -1.4285, -1.88356, -2.5559, -2.86813, -3.16008, -3.34908, -3.3777, -3.41181, -3.42641, -3.38322, -3.30086, -3.23537, -3.04423, -2.91575, -2.63752, -1.88138, -1.25308, -0.900858, -0.677575, -0.514267, -0.363239, -0.279565, -0.209498, -0.146339, -0.102067, -0.0494194, -0.0401679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.173543, 0.182508, 0.190978, 0.193185, 0.197601, 0.207539, 0.209753, 0.21418, 0.219704, 0.221597, 0.225383, 0.232355, 0.235022, 0.240322, 0.243994, 0.25164, 0.258576, 0.265213, 0.271704, 0.278189, 0.278649, 0.279261, 0.280487, 0.282937, 0.288077, 0.29044, 0.293862, 0.29562, 0.298323, 0.301926, 0.309133, 0.313988, 0.316555, 0.321689, 0.331958, 0.352496, 0.367875");
-            values ( \
-              "-0.00523651, -0.00755909, -0.00922364, -0.0111521, -0.0121238, -0.0170624, -0.0185707, -0.0219449, -0.028901, -0.032742, -0.0412048, -0.0593821, -0.0643084, -0.0751619, -0.080594, -0.0912304, -0.0969467, -0.100991, -0.10116, -0.0963176, -0.0944125, -0.0931079, -0.0891871, -0.0785166, -0.0491242, -0.0380811, -0.0250083, -0.020548, -0.0153047, -0.0108147, -0.00613978, -0.00494973, -0.00443569, -0.00361922, -0.00236658, -0.000941709, -0.00060563" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0118357");
-            index_3 ("0.187555, 0.20607, 0.213567, 0.220407, 0.223826, 0.236748, 0.249899, 0.260504, 0.273754, 0.280923, 0.288189, 0.291346, 0.30076, 0.30647, 0.312229, 0.316338, 0.320891");
-            values ( \
-              "-0.043805, -0.0491013, -0.0644508, -0.0823831, -0.0927212, -0.16976, -0.233112, -0.269043, -0.299803, -0.295486, -0.285101, -0.257822, -0.129653, -0.0706038, -0.0384381, -0.0258005, -0.0176001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.038481");
-            index_3 ("0.178756, 0.21713, 0.228946, 0.251676, 0.264918, 0.276162, 0.286321, 0.295835, 0.305048, 0.31425, 0.31653, 0.334267, 0.342467, 0.349056, 0.353156, 0.361357, 0.374577, 0.390445, 0.432188");
-            values ( \
-              "-0.0187767, -0.162125, -0.235099, -0.468308, -0.578426, -0.655069, -0.708793, -0.745916, -0.760363, -0.721418, -0.686294, -0.273574, -0.147338, -0.086327, -0.0615846, -0.0308819, -0.010431, -0.00433039, -0.00335445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.125112");
-            index_3 ("0.183772, 0.233761, 0.279896, 0.299875, 0.317136, 0.332911, 0.347814, 0.362427, 0.377779, 0.413988, 0.426115, 0.437919, 0.45127, 0.465511, 0.475355");
-            values ( \
-              "-0.014646, -0.434702, -1.02557, -1.23161, -1.37655, -1.47913, -1.54204, -1.5451, -1.37596, -0.481604, -0.300455, -0.184275, -0.104204, -0.0551815, -0.0401747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.406774");
-            index_3 ("0.193793, 0.244459, 0.294885, 0.337811, 0.372243, 0.403052, 0.431975, 0.460285, 0.488564, 0.498104, 0.50828, 0.569789, 0.603048, 0.628178, 0.642786, 0.67136, 0.687526, 0.719857, 0.769564");
-            values ( \
-              "-0.0822466, -0.698794, -1.42981, -1.96499, -2.28393, -2.47812, -2.58273, -2.5977, -2.46835, -2.36993, -2.22343, -1.10788, -0.686381, -0.467792, -0.371706, -0.234567, -0.180114, -0.104521, -0.0470737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("1.32253");
-            index_3 ("0.239532, 0.303714, 0.348493, 0.371844, 0.418548, 0.454279, 0.520888, 0.563804, 0.596842, 0.663451, 0.712217, 0.73864, 0.791487, 0.815709, 0.855687, 0.948499, 1.04091, 1.11024, 1.16751, 1.22181, 1.28842, 1.33814, 1.3926, 1.45921, 1.52582, 1.65904, 1.79226, 1.92548");
-            values ( \
-              "-1.21724, -1.66734, -2.29434, -2.55782, -2.95964, -3.15931, -3.37055, -3.41783, -3.42724, -3.3835, -3.30088, -3.23578, -3.04376, -2.91601, -2.63736, -1.88121, -1.25293, -0.901026, -0.677447, -0.514126, -0.363358, -0.279433, -0.209374, -0.146463, -0.101944, -0.049297, -0.0237409, -0.0113921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.216213, 0.220933, 0.243299, 0.250962, 0.2642, 0.278417, 0.28742, 0.295054, 0.302017, 0.308634, 0.315124, 0.321609, 0.323903, 0.337281, 0.345338, 0.352539, 0.375397, 0.394915");
-            values ( \
-              "-0.00418988, -0.00475085, -0.0130631, -0.0172267, -0.0290538, -0.0646822, -0.0808223, -0.0910693, -0.097161, -0.10085, -0.101328, -0.0961827, -0.0892178, -0.0250114, -0.0108178, -0.00614768, -0.00236695, -0.00100976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0118357");
-            index_3 ("0.21441, 0.25344, 0.26747, 0.281934, 0.293192, 0.300958, 0.306768, 0.315479, 0.320196, 0.324206, 0.331336, 0.333445, 0.345093, 0.350755, 0.359588, 0.36419, 0.373926, 0.386906, 0.405243, 0.430395, 0.577493");
-            values ( \
-              "-0.00120992, -0.0536679, -0.0918104, -0.179734, -0.231298, -0.258765, -0.275373, -0.292988, -0.298413, -0.299445, -0.286851, -0.270967, -0.118048, -0.0651671, -0.0261264, -0.0180132, -0.00979469, -0.00523466, -0.00236339, -0.00098818, -0.000200392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.038481");
-            index_3 ("0.223632, 0.251418, 0.260699, 0.276339, 0.28716, 0.295198, 0.308446, 0.319702, 0.329867, 0.339385, 0.348603, 0.357808, 0.360074, 0.377925, 0.385826, 0.392612, 0.396924, 0.40555, 0.418841, 0.434886, 0.484709, 0.602902");
-            values ( \
-              "-0.0263264, -0.12488, -0.16177, -0.269154, -0.389616, -0.467094, -0.578095, -0.65422, -0.70871, -0.7453, -0.760373, -0.720975, -0.686361, -0.271645, -0.149685, -0.0863414, -0.0605033, -0.0292168, -0.00992617, -0.00419289, -0.00160933, -0.000452035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.125112");
-            index_3 ("0.230004, 0.277469, 0.290044, 0.31275, 0.323639, 0.343603, 0.360873, 0.376646, 0.391547, 0.406159, 0.42151, 0.445341, 0.457718, 0.469845, 0.481648, 0.494999, 0.50924, 0.534821, 0.565095, 0.603863");
-            values ( \
-              "-0.0419056, -0.435505, -0.589304, -0.896899, -1.02547, -1.23228, -1.37638, -1.4797, -1.54187, -1.54551, -1.37594, -0.746128, -0.481614, -0.300463, -0.184279, -0.104206, -0.0551828, -0.016182, -0.00457758, -0.00184431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.406774");
-            index_3 ("0.244833, 0.279826, 0.298199, 0.327598, 0.359898, 0.381357, 0.415862, 0.44662, 0.475549, 0.503863, 0.532146, 0.54167, 0.551829, 0.591492, 0.613373, 0.646636, 0.671758, 0.686367, 0.714946, 0.731114, 0.763452, 0.823625, 0.890234");
-            values ( \
-              "-0.238302, -0.59906, -0.830336, -1.27418, -1.71427, -1.96324, -2.28389, -2.47711, -2.58264, -2.59707, -2.46847, -2.36996, -2.22387, -1.4739, -1.10786, -0.686336, -0.467821, -0.371719, -0.234564, -0.180105, -0.104503, -0.0349286, -0.010156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("1.32253");
-            index_3 ("0.281494, 0.348977, 0.388823, 0.415473, 0.46398, 0.497914, 0.564524, 0.607434, 0.640476, 0.707085, 0.75585, 0.782274, 0.835122, 0.859342, 0.89932, 0.992133, 1.08454, 1.15388, 1.21114, 1.26545, 1.33206, 1.38178, 1.43624, 1.50284, 1.56945, 1.70267, 1.83589, 1.96911");
-            values ( \
-              "-1.13282, -1.6923, -2.25307, -2.55772, -2.97203, -3.15935, -3.37063, -3.41785, -3.42726, -3.3835, -3.3009, -3.23577, -3.04377, -2.91601, -2.63737, -1.88123, -1.25295, -0.901011, -0.677461, -0.51414, -0.363344, -0.279447, -0.209389, -0.146448, -0.101959, -0.0493119, -0.0237559, -0.0114072" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.314908, 0.333766, 0.339332, 0.348351, 0.3552, 0.361737, 0.375864, 0.384852, 0.392484, 0.399427, 0.40606, 0.412548, 0.419032, 0.421325, 0.434702, 0.439159, 0.442758, 0.449957, 0.45739, 0.472819, 0.489637");
-            values ( \
-              "-0.00518591, -0.0104577, -0.0124494, -0.0170466, -0.0219937, -0.0308483, -0.0646098, -0.0810783, -0.0909365, -0.0973602, -0.100706, -0.101512, -0.0960376, -0.0892346, -0.0250163, -0.0153157, -0.0108181, -0.00614933, -0.00443979, -0.00236768, -0.00119682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0118357");
-            index_3 ("0.316472, 0.334267, 0.336106, 0.339785, 0.34694, 0.351028, 0.359205, 0.364056, 0.365707, 0.369009, 0.375613, 0.380644, 0.390866, 0.399503, 0.407326, 0.414691, 0.421856, 0.429013, 0.430599, 0.432291, 0.435675, 0.439988, 0.44222, 0.44524, 0.447554, 0.449198, 0.452486, 0.457783, 0.460533, 0.461908, 0.464657, 0.470154, 0.481149, 0.498703, 0.508746");
-            values ( \
-              "-0.016375, -0.0322142, -0.0333651, -0.037728, -0.0468989, -0.0539311, -0.0716901, -0.0911284, -0.0991509, -0.117819, -0.158276, -0.18475, -0.231976, -0.261927, -0.282765, -0.295344, -0.300311, -0.286662, -0.275179, -0.259883, -0.216323, -0.153239, -0.123946, -0.0909731, -0.0713425, -0.0598891, -0.0422812, -0.0249699, -0.0198742, -0.0179549, -0.0148124, -0.0106261, -0.00610282, -0.00283032, -0.0021038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.038481");
-            index_3 ("0.329846, 0.345166, 0.350565, 0.353264, 0.354559, 0.358444, 0.361033, 0.363623, 0.366213, 0.368803, 0.37172, 0.374638, 0.383392, 0.387994, 0.393043, 0.396353, 0.399663, 0.402974, 0.406284, 0.409094, 0.411904, 0.417525, 0.422605, 0.427686, 0.432443, 0.4372, 0.439504, 0.441808, 0.444111, 0.446415, 0.448716, 0.451017, 0.453317, 0.455618, 0.455845, 0.456675, 0.457883, 0.460298, 0.46513, 0.46894, 0.471265, 0.474618, 0.477519, 0.478947, 0.480374, 0.482811, 0.483624, 0.48594, 0.488256, 0.490416");
-            values ( \
-              "-0.107191, -0.111663, -0.130462, -0.140776, -0.146352, -0.164452, -0.178623, -0.194349, -0.211631, -0.230468, -0.256402, -0.283693, -0.376711, -0.423289, -0.472574, -0.502183, -0.530383, -0.557173, -0.582553, -0.602813, -0.622178, -0.658219, -0.687305, -0.714278, -0.737621, -0.759111, -0.757634, -0.755114, -0.751551, -0.746944, -0.741302, -0.73462, -0.726897, -0.718134, -0.715971, -0.704347, -0.685035, -0.636521, -0.522191, -0.422594, -0.366764, -0.293891, -0.238476, -0.213118, -0.189952, -0.157767, -0.148016, -0.123854, -0.101737, -0.0829463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.125112");
-            index_3 ("0.329634, 0.340771, 0.352902, 0.355842, 0.361721, 0.37348, 0.375042, 0.378167, 0.384416, 0.395171, 0.413301, 0.421221, 0.437059, 0.441146, 0.449319, 0.458393, 0.474159, 0.489096, 0.503711, 0.518307, 0.519064, 0.520578, 0.523606, 0.529662, 0.537578, 0.539352, 0.5429, 0.548249, 0.555276, 0.557266, 0.561246, 0.567401, 0.576193, 0.579206, 0.580096, 0.581877, 0.585437, 0.592559, 0.606803, 0.61781");
-            values ( \
-              "-0.125316, -0.177917, -0.253382, -0.276639, -0.319184, -0.418721, -0.435276, -0.468834, -0.545461, -0.697437, -0.933209, -1.02538, -1.19295, -1.23123, -1.30409, -1.37589, -1.47891, -1.54167, -1.54513, -1.38901, -1.37583, -1.34351, -1.26985, -1.10426, -0.883141, -0.836257, -0.746018, -0.621838, -0.481577, -0.446943, -0.383545, -0.30046, -0.208963, -0.184274, -0.177511, -0.1647, -0.141606, -0.104194, -0.0551707, -0.0383928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.406774");
-            index_3 ("0.3402, 0.385931, 0.435882, 0.478748, 0.513161, 0.543952, 0.572867, 0.601171, 0.629445, 0.639008, 0.649209, 0.710668, 0.743921, 0.769063, 0.783668, 0.812238, 0.828399, 0.860721, 0.90937");
-            values ( \
-              "-0.167449, -0.708305, -1.43287, -1.96678, -2.28515, -2.47894, -2.5833, -2.59808, -2.46861, -2.36984, -2.2228, -1.1079, -0.686452, -0.46775, -0.371683, -0.234568, -0.18013, -0.104545, -0.0483091" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("1.32253");
-            index_3 ("0.373335, 0.41378, 0.46001, 0.494998, 0.513123, 0.549372, 0.595482, 0.653741, 0.668111, 0.69685, 0.737967, 0.804576, 0.853343, 0.879766, 0.93261, 0.956834, 0.996812, 1.08963, 1.14332, 1.18203, 1.25137, 1.30864, 1.36294, 1.42955, 1.47927, 1.53373, 1.60034, 1.66695, 1.80017, 1.93338, 2.0666");
-            values ( \
-              "-1.16678, -1.18991, -1.89831, -2.35996, -2.56381, -2.88473, -3.16304, -3.35216, -3.37924, -3.41169, -3.42725, -3.38345, -3.30093, -3.23571, -3.04383, -2.91595, -2.63741, -1.88127, -1.494, -1.25299, -0.900969, -0.677499, -0.514178, -0.363306, -0.279484, -0.209424, -0.146412, -0.101994, -0.0493472, -0.0237909, -0.0114418" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.528008, 0.558827, 0.568063, 0.575055, 0.581434, 0.595507, 0.604498, 0.612118, 0.619077, 0.625692, 0.63218, 0.638663, 0.64096, 0.654331, 0.662393, 0.669598, 0.677018, 0.692421, 0.712959, 0.718965");
-            values ( \
-              "-2.90806e-05, -0.0123621, -0.0171016, -0.0223155, -0.0309666, -0.0647819, -0.0809963, -0.0910671, -0.097276, -0.100819, -0.101417, -0.0961411, -0.0892192, -0.0250208, -0.0108159, -0.00614316, -0.0044383, -0.00236879, -0.000940038, -0.00080949" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0118357");
-            index_3 ("0.533322, 0.550319, 0.554838, 0.567355, 0.577595, 0.584754, 0.600343, 0.610555, 0.619192, 0.627014, 0.634379, 0.641543, 0.648699, 0.650292, 0.667251, 0.672231, 0.6775, 0.681597, 0.689741, 0.7006, 0.714345");
-            values ( \
-              "-0.00917438, -0.0274234, -0.0303199, -0.0482515, -0.0683207, -0.0951876, -0.185066, -0.231857, -0.262086, -0.282704, -0.295426, -0.300287, -0.286704, -0.275145, -0.0712613, -0.0420211, -0.0249096, -0.0179534, -0.0106861, -0.0061718, -0.00357196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.038481");
-            index_3 ("0.537007, 0.550322, 0.560593, 0.572276, 0.577812, 0.588883, 0.612471, 0.625741, 0.63697, 0.647131, 0.656647, 0.665863, 0.675066, 0.677335, 0.695175, 0.703096, 0.709865, 0.71416, 0.72275, 0.736019, 0.752018, 0.757425");
-            values ( \
-              "-0.030955, -0.0640542, -0.0927773, -0.13688, -0.160887, -0.227492, -0.467381, -0.578695, -0.654516, -0.708868, -0.745585, -0.76039, -0.721227, -0.686406, -0.271775, -0.149527, -0.0863597, -0.0606098, -0.0293549, -0.00998516, -0.00421069, -0.00392765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.125112");
-            index_3 ("0.550183, 0.573346, 0.593574, 0.640284, 0.660331, 0.67763, 0.693431, 0.708351, 0.722978, 0.738341, 0.774566, 0.786685, 0.798495, 0.811859, 0.826114, 0.832666");
-            values ( \
-              "-0.135009, -0.254111, -0.422321, -1.02121, -1.22808, -1.37421, -1.47691, -1.5406, -1.54372, -1.37527, -0.48143, -0.300467, -0.18426, -0.104148, -0.0551234, -0.0451409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.406774");
-            index_3 ("0.561241, 0.595984, 0.606817, 0.672642, 0.698031, 0.732526, 0.763335, 0.792275, 0.820595, 0.848884, 0.858389, 0.868528, 0.930114, 0.963382, 0.988495, 1.00311, 1.03169, 1.04786, 1.0802, 1.13256");
-            values ( \
-              "-0.255313, -0.588324, -0.720867, -1.66354, -1.95962, -2.28346, -2.47486, -2.58306, -2.59552, -2.46917, -2.36992, -2.22437, -1.10786, -0.686308, -0.467866, -0.371737, -0.234566, -0.180111, -0.10449, -0.0439642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("1.32253");
-            index_3 ("0.590088, 0.63223, 0.706447, 0.732043, 0.780363, 0.814497, 0.881106, 0.924046, 0.957064, 1.02367, 1.07244, 1.09886, 1.15171, 1.17593, 1.21591, 1.30872, 1.40113, 1.47047, 1.52774, 1.58203, 1.64864, 1.69836, 1.75282, 1.81943, 1.88604, 2.01926, 2.2857");
-            values ( \
-              "-1.08426, -1.176, -2.26489, -2.55765, -2.96974, -3.15962, -3.36997, -3.41812, -3.42688, -3.3838, -3.30107, -3.23557, -3.04397, -2.91584, -2.63752, -1.88134, -1.25305, -0.900913, -0.677545, -0.514225, -0.363271, -0.279527, -0.209461, -0.146379, -0.102029, -0.0493797, -0.0114714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.988023, 1.00937, 1.01296, 1.01661, 1.02026, 1.02392, 1.02757, 1.0319, 1.03624, 1.04057, 1.0449, 1.04727, 1.05082, 1.05673, 1.06147, 1.06495, 1.07174, 1.07624, 1.07861, 1.08335, 1.08572, 1.08787, 1.09002, 1.09432, 1.09838, 1.10244, 1.10442, 1.10837, 1.11035, 1.11431, 1.11826, 1.11938, 1.12013, 1.12162, 1.12411, 1.12745, 1.1305, 1.13326, 1.13525, 1.13725, 1.13961, 1.14149, 1.14256, 1.1447, 1.14684, 1.14899, 1.15113, 1.15327, 1.15542, 1.1644");
-            values ( \
-              "-0.00723391, -0.00741115, -0.00817696, -0.00910715, -0.0101554, -0.0113218, -0.0126063, -0.0144526, -0.0165424, -0.0188758, -0.0214528, -0.0238036, -0.0288948, -0.0380237, -0.0459062, -0.0509889, -0.060103, -0.0654276, -0.0678241, -0.0721227, -0.0740249, -0.0755296, -0.0769508, -0.0795428, -0.0816843, -0.083528, -0.083348, -0.0827052, -0.0822424, -0.0810355, -0.0794524, -0.0776399, -0.0761917, -0.0722725, -0.0641841, -0.0515542, -0.0384269, -0.0293235, -0.0240614, -0.0197574, -0.0157236, -0.0130063, -0.0117108, -0.00997568, -0.00851959, -0.00758737, -0.00677092, -0.00607024, -0.00548531, -0.00401485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0118357");
-            index_3 ("0.982397, 1.00767, 1.01493, 1.02997, 1.04067, 1.04946, 1.06971, 1.08234, 1.09283, 1.10224, 1.11103, 1.11952, 1.128, 1.12938, 1.148, 1.15295, 1.1586, 1.16372, 1.17495, 1.18918, 1.20981, 1.25332, 1.26333");
-            values ( \
-              "-0.0126328, -0.0211064, -0.0256247, -0.0374443, -0.0503932, -0.0702559, -0.147901, -0.18962, -0.216878, -0.235821, -0.24839, -0.25452, -0.245787, -0.239111, -0.0678279, -0.0428486, -0.0261456, -0.0182332, -0.0102544, -0.00565114, -0.00243915, -0.000643828, -0.000617802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.038481");
-            index_3 ("0.982141, 1.01401, 1.0226, 1.04529, 1.05965, 1.09234, 1.1118, 1.13433, 1.14468, 1.15502, 1.17811, 1.18594, 1.19262, 1.20689, 1.21585, 1.25026, 1.43108");
-            values ( \
-              "-0.0225339, -0.0645039, -0.0800769, -0.138314, -0.209579, -0.451375, -0.56527, -0.660579, -0.680188, -0.656653, -0.229139, -0.130013, -0.0783626, -0.0259188, -0.0142396, -0.00312839, -0.00015606" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.125112");
-            index_3 ("0.982135, 1.02871, 1.03994, 1.05612, 1.06793, 1.12659, 1.15505, 1.17217, 1.18813, 1.20362, 1.21575, 1.21968, 1.24749, 1.2587, 1.26661, 1.27564, 1.28488, 1.29105, 1.30338, 1.32795, 1.35674, 1.44106");
-            values ( \
-              "-0.0132395, -0.180307, -0.231675, -0.321998, -0.411123, -1.0172, -1.2573, -1.37118, -1.44751, -1.46732, -1.3843, -1.32313, -0.654021, -0.439616, -0.325371, -0.226203, -0.154582, -0.119135, -0.0697016, -0.0218421, -0.00632323, -0.0013166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.406774");
-            index_3 ("1.02342, 1.0565, 1.05862, 1.07345, 1.08193, 1.08725, 1.09256, 1.10319, 1.13566, 1.1575, 1.1713, 1.18507, 1.19425, 1.20342, 1.21259, 1.21657, 1.22055, 1.22452, 1.23483, 1.24454, 1.27427, 1.28148, 1.28869, 1.2959, 1.30311, 1.31032, 1.31753, 1.33195, 1.33335, 1.33521, 1.33894, 1.34267, 1.34639, 1.35385, 1.36426, 1.38086, 1.39833, 1.40809, 1.4182, 1.42458, 1.43094, 1.4373, 1.44955, 1.45809, 1.46701, 1.47889, 1.48844, 1.49961, 1.5052, 1.51398");
-            values ( \
-              "-0.44019, -0.44563, -0.463405, -0.597501, -0.681723, -0.73994, -0.800546, -0.932005, -1.35021, -1.6227, -1.77497, -1.91641, -2.00477, -2.08846, -2.16749, -2.24477, -2.25904, -2.27653, -2.33696, -2.39871, -2.56009, -2.55379, -2.54497, -2.53363, -2.51978, -2.50342, -2.48454, -2.43924, -2.43038, -2.41349, -2.37464, -2.3271, -2.27614, -2.16313, -1.98497, -1.66066, -1.34866, -1.18709, -1.03231, -0.940093, -0.861035, -0.786721, -0.658174, -0.574802, -0.496899, -0.415368, -0.358542, -0.300658, -0.274925, -0.238594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("1.32253");
-            index_3 ("1.03664, 1.09947, 1.19113, 1.21021, 1.23838, 1.27148, 1.29494, 1.33422, 1.36846, 1.4389, 1.46189, 1.48527, 1.50878, 1.55579, 1.58088, 1.63107, 1.65797, 1.71178, 1.81638, 1.87656, 1.93002, 1.96714, 2.01523, 2.05401, 2.10269, 2.1693, 2.24635, 2.30541, 2.37202, 2.43863, 2.50524, 2.63846, 2.77168");
-            values ( \
-              "-0.535065, -0.981039, -2.20871, -2.42959, -2.70596, -2.96364, -3.10082, -3.26319, -3.34878, -3.41498, -3.41067, -3.39658, -3.37255, -3.29447, -3.23265, -3.05485, -2.91477, -2.52747, -1.69002, -1.29216, -1.00493, -0.838981, -0.659828, -0.542053, -0.421592, -0.29673, -0.197358, -0.143732, -0.100149, -0.0697597, -0.0484577, -0.0233693, -0.0112472" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.00318844, 0.00318919, 0.00319103, 0.00319289, 0.00319386, 0.00319422", \
-            "0.00377207, 0.00377227, 0.00377294, 0.00377431, 0.00377536, 0.00377581", \
-            "0.0041101, 0.0041101, 0.00411016, 0.00411056, 0.00411125, 0.00411168", \
-            "0.00429016, 0.00429016, 0.00429011, 0.00429004, 0.00429014, 0.00429035", \
-            "0.00438698, 0.00438696, 0.00438689, 0.00438676, 0.00438665, 0.00438666", \
-            "0.00443359, 0.00443358, 0.00443355, 0.00443348, 0.00443338, 0.00443332" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.00443892, 0.00443915, 0.00444016, 0.0044428, 0.0044446, 0.00444535", \
-            "0.00469093, 0.00469037, 0.00468944, 0.00468921, 0.00469001, 0.00469057", \
-            "0.00466824, 0.00466745, 0.00466559, 0.00466292, 0.00466133, 0.00466095", \
-            "0.00463657, 0.00463604, 0.00463485, 0.00463206, 0.00462876, 0.00462695", \
-            "0.00469342, 0.00469316, 0.00469247, 0.00469038, 0.00468644, 0.00468307", \
-            "0.0049348, 0.00493566, 0.00493746, 0.00493981, 0.00493998, 0.00493768" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.0558426, 0.055483, 0.0551912, 0.0556181, 0.0565458, 0.0571011", \
-            "0.0557776, 0.0554088, 0.0551475, 0.0555706, 0.0564527, 0.0570061", \
-            "0.0555362, 0.0551486, 0.0548835, 0.0553264, 0.0563013, 0.0568967", \
-            "0.0556407, 0.0552225, 0.0548359, 0.0550403, 0.0558825, 0.0565283", \
-            "0.0593561, 0.0583162, 0.0572817, 0.056365, 0.0562583, 0.0564381", \
-            "0.0663003, 0.0646556, 0.0622906, 0.0598048, 0.0583285, 0.0577821" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.0702233, 0.0673095, 0.0625566, 0.058323, 0.0583751, 0.0582203", \
-            "0.070109, 0.0671555, 0.0617465, 0.0581183, 0.0579112, 0.0580736", \
-            "0.0700816, 0.0675999, 0.062081, 0.0584439, 0.0579486, 0.0580233", \
-            "0.0700609, 0.0671187, 0.061692, 0.0579217, 0.057536, 0.0578294", \
-            "0.0699603, 0.0671696, 0.0615238, 0.0576086, 0.0571906, 0.0576977", \
-            "0.0748968, 0.0715647, 0.0651689, 0.0597613, 0.0583966, 0.0584023" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.0535113, 0.0531872, 0.0530879, 0.0541264, 0.056275, 0.0576893", \
-            "0.0534222, 0.0531133, 0.0530802, 0.0541578, 0.0562745, 0.0576809", \
-            "0.0531851, 0.0528562, 0.0527974, 0.0538867, 0.0560176, 0.0575366", \
-            "0.0539705, 0.0535909, 0.0531722, 0.0536138, 0.0553385, 0.0568846", \
-            "0.0572628, 0.0566254, 0.0555593, 0.0547984, 0.0554145, 0.0568391", \
-            "0.0645217, 0.0627935, 0.0603695, 0.058011, 0.0570403, 0.0577595" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.0118357, 0.038481, 0.125112, 0.406774, 1.32253");
-          values ( \
-            "0.0670564, 0.0641085, 0.0589782, 0.0553634, 0.0550296, 0.0553802", \
-            "0.066975, 0.0640068, 0.058487, 0.0548429, 0.054776, 0.0552844", \
-            "0.067145, 0.0638126, 0.0583555, 0.0547898, 0.0548401, 0.0552377", \
-            "0.0668357, 0.0638848, 0.0584377, 0.0547338, 0.0545159, 0.0551564", \
-            "0.0681825, 0.065204, 0.0596328, 0.0555669, 0.0549588, 0.0555337", \
-            "0.0765079, 0.0729932, 0.0659037, 0.0594795, 0.0573267, 0.0572171" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00580965;
-      rise_capacitance : 0.00580965;
-      rise_capacitance_range (0.00428137, 0.00580965);
-      fall_capacitance : 0.00544424;
-      fall_capacitance_range (0.00386311, 0.00544424);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0033493, 0.00436619, 0.00502536, 0.00536106, 0.00551887, 0.00559508" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00517025, 0.00533624, 0.00504058, 0.00479477, 0.00468534, 0.0046409" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316896, 0.00373163, 0.0040358, 0.00419707, 0.00427987, 0.00432319" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00437865, 0.00481118, 0.00519157, 0.00551947, 0.0056821, 0.00573869" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00466671, -0.00490851, -0.00506688, -0.00510326, -0.00515091, -0.00516422" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00516189, 0.00516314, 0.00519345, 0.00520343, 0.00518171, 0.00517495" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00563799;
-      rise_capacitance : 0.00563799;
-      rise_capacitance_range (0.00310196, 0.00563799);
-      fall_capacitance : 0.00492932;
-      fall_capacitance_range (0.00328698, 0.00492932);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00256214, 0.00287911, 0.00303516, 0.00311708, 0.00315507, 0.00317382" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00351001, 0.00362892, 0.00367444, 0.00368833, 0.00369633, 0.00369973" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00306093, 0.00345437, 0.00362287, 0.00370882, 0.00374759, 0.00376703" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00359563, 0.00348958, 0.00342504, 0.00339196, 0.00337687, 0.00337025" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00244522, -0.002443, -0.00244151, -0.00245355, -0.00244002, -0.00243504" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00246586, 0.0024817, 0.00248009, 0.00248554, 0.00247128, 0.00246089" \
-          );
-        }
-      }
-    }
-  }
-  cell (OR2XL) {
-    area : 12.4542;
-    cell_footprint : "OR2";
-    cell_leakage_power : 0.113907;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0101931;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0816724;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.178166;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.185596;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.113907;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A) + (B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.145092;
-      timing () {
-        related_pin : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0702113, 0.0838427, 0.11115, 0.167959, 0.287028, 0.536147", \
-            "0.0751315, 0.0888224, 0.11618, 0.173005, 0.292062, 0.541159", \
-            "0.0863865, 0.100135, 0.127595, 0.18446, 0.303492, 0.552541", \
-            "0.103117, 0.117232, 0.145076, 0.202022, 0.321015, 0.570014", \
-            "0.11845, 0.133146, 0.161199, 0.218337, 0.337576, 0.586544", \
-            "0.122197, 0.138178, 0.166976, 0.224305, 0.343285, 0.592731" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0309325, 0.0495774, 0.089376, 0.173902, 0.351358, 0.722282", \
-            "0.0308955, 0.049537, 0.0893417, 0.1739, 0.351358, 0.722282", \
-            "0.031004, 0.049583, 0.089353, 0.173895, 0.351356, 0.722282", \
-            "0.0322893, 0.0505711, 0.0899422, 0.174071, 0.351379, 0.722269", \
-            "0.0353227, 0.0526126, 0.0910226, 0.17494, 0.351865, 0.722378", \
-            "0.0411812, 0.0571681, 0.0936665, 0.175701, 0.352509, 0.723204" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.108052, 0.122006, 0.146377, 0.191308, 0.280364, 0.465061", \
-            "0.113882, 0.127805, 0.15221, 0.197186, 0.28628, 0.471007", \
-            "0.127036, 0.140972, 0.165422, 0.210439, 0.299643, 0.484295", \
-            "0.15425, 0.168234, 0.192987, 0.238201, 0.327409, 0.512105", \
-            "0.199894, 0.215471, 0.241958, 0.288967, 0.378753, 0.5637", \
-            "0.276766, 0.294613, 0.323991, 0.373739, 0.465481, 0.649854" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0293346, 0.0424118, 0.0681122, 0.121253, 0.235392, 0.479928", \
-            "0.0293257, 0.0424124, 0.0681024, 0.121239, 0.235446, 0.479926", \
-            "0.0293547, 0.0424258, 0.0680946, 0.121202, 0.235391, 0.479779", \
-            "0.0301682, 0.0430926, 0.0684773, 0.121421, 0.23544, 0.479782", \
-            "0.0341451, 0.0473674, 0.0726185, 0.124612, 0.236684, 0.480071", \
-            "0.0411145, 0.0549579, 0.0800809, 0.130731, 0.240975, 0.482161" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0517517, 0.0610951, 0.0627465, 0.0680472, 0.0727266, 0.0772137, 0.0820791, 0.0864535, 0.0897146, 0.0985091, 0.105307, 0.113315, 0.116151, 0.122449, 0.131178, 0.135753, 0.147967, 0.161925, 0.163528");
-            values ( \
-              "0.00453337, 0.098791, 0.110691, 0.135202, 0.144979, 0.147267, 0.142842, 0.132656, 0.119761, 0.0774741, 0.0532162, 0.0336173, 0.0284427, 0.0195072, 0.0114684, 0.00868593, 0.00412489, 0.0016999, 0.00159567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760747");
-            index_3 ("0.0504993, 0.0611581, 0.0655458, 0.0704788, 0.0740212, 0.0811323, 0.0821537, 0.0858058, 0.0916426, 0.0953437, 0.102648, 0.108536, 0.122884, 0.133357, 0.144088, 0.150958, 0.158212, 0.164461, 0.172793, 0.180185, 0.190208, 0.203572, 0.228719");
-            values ( \
-              "0.000335993, 0.121472, 0.155302, 0.178837, 0.189041, 0.196692, 0.196737, 0.195577, 0.190213, 0.184926, 0.169699, 0.150903, 0.100373, 0.071177, 0.0488979, 0.038037, 0.0289274, 0.0227761, 0.0164313, 0.0122582, 0.00821535, 0.0047465, 0.00155105" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158979");
-            index_3 ("0.0556667, 0.063387, 0.0682464, 0.0723965, 0.0787447, 0.0849979, 0.0911429, 0.0971281, 0.109579, 0.119466, 0.129127, 0.136884, 0.151436, 0.164495, 0.174462, 0.182036, 0.191861, 0.204404, 0.214428, 0.227793, 0.236519, 0.243541, 0.252904, 0.271039, 0.279337, 0.292034, 0.308963, 0.342823, 0.391909, 0.453");
-            values ( \
-              "0.145138, 0.156978, 0.193076, 0.212894, 0.22985, 0.236162, 0.236793, 0.234513, 0.225162, 0.215067, 0.202993, 0.190679, 0.161992, 0.133616, 0.113711, 0.099827, 0.0838255, 0.0657039, 0.0537703, 0.0409604, 0.0337327, 0.028943, 0.0238228, 0.0155293, 0.0128312, 0.00954818, 0.00669908, 0.00268346, 0.000925914, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332232");
-            index_3 ("0.0556776, 0.0660874, 0.0715406, 0.0777804, 0.0837368, 0.0912112, 0.098911, 0.106438, 0.117773, 0.129595, 0.152961, 0.179533, 0.205646, 0.211202, 0.233423, 0.276355, 0.305469, 0.33445, 0.354328, 0.367874, 0.402394, 0.427409, 0.45409, 0.480153, 0.518338, 0.569251, 0.648709, 0.728166");
-            values ( \
-              "0.165363, 0.190911, 0.226081, 0.248165, 0.259068, 0.26421, 0.265014, 0.263182, 0.258937, 0.253209, 0.24094, 0.224838, 0.204731, 0.199475, 0.176046, 0.127909, 0.0989879, 0.0745633, 0.0607534, 0.052551, 0.0357816, 0.0268559, 0.0196177, 0.0143822, 0.00906282, 0.00477206, 0.00165021, 0.000560853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694291");
-            index_3 ("0.0602613, 0.0833527, 0.0909745, 0.0985239, 0.10574, 0.118855, 0.150611, 0.221074, 0.296158, 0.331086, 0.355106, 0.403145, 0.515926, 0.597691, 0.66399, 0.710252, 0.748655, 0.793706, 0.853774, 0.901592, 0.974651, 1.05411, 1.13357, 1.21452");
-            values ( \
-              "0.270099, 0.271328, 0.279036, 0.282123, 0.282339, 0.281013, 0.273705, 0.254932, 0.232492, 0.219771, 0.209389, 0.184825, 0.121703, 0.0830474, 0.0589461, 0.0457758, 0.0368888, 0.0284768, 0.0199486, 0.0149981, 0.00963764, 0.00590088, 0.00358222, 0.00242825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145092");
-            index_3 ("0.0650551, 0.0650751, 0.235372, 0.418833, 0.483735, 0.627206, 0.724599, 1.00595, 1.16487, 1.2813, 1.35272, 1.43218, 1.55105, 1.62765, 1.70711, 1.78583, 1.87543, 2.03434, 2.19326, 2.51109, 2.82892");
-            values ( \
-              "1e-22, 0.309101, 0.27892, 0.254687, 0.245321, 0.22072, 0.198207, 0.121106, 0.084063, 0.0626402, 0.0521108, 0.0417307, 0.0298891, 0.0242603, 0.0190289, 0.0150953, 0.0115663, 0.00715685, 0.00439235, 0.00159931, 0.000526088" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0720997, 0.0758608, 0.0784514, 0.0817178, 0.084449, 0.0869774, 0.0916341, 0.0961196, 0.101034, 0.105352, 0.107782, 0.116372, 0.12154, 0.125708, 0.131412, 0.13477, 0.139701, 0.145438, 0.149278, 0.154661, 0.161064, 0.169602, 0.185989, 0.205226");
-            values ( \
-              "0.00496807, 0.064771, 0.0882058, 0.111787, 0.126004, 0.135714, 0.145408, 0.147386, 0.142854, 0.132705, 0.123453, 0.0812711, 0.0617713, 0.0488331, 0.0352141, 0.0289046, 0.021506, 0.0152432, 0.0120674, 0.00868989, 0.00589384, 0.00347875, 0.00119879, 0.000336104" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760747");
-            index_3 ("0.0707811, 0.0766743, 0.0805344, 0.0852731, 0.0897402, 0.0930089, 0.100104, 0.103449, 0.107085, 0.114103, 0.117144, 0.120388, 0.125329, 0.138376, 0.14912, 0.157463, 0.162672, 0.170622, 0.179234, 0.189408, 0.19844, 0.214975, 0.229314, 0.247699");
-            values ( \
-              "0.00622108, 0.0867251, 0.12676, 0.161129, 0.180689, 0.189825, 0.196996, 0.196423, 0.194016, 0.185466, 0.179909, 0.17268, 0.158312, 0.111594, 0.0791491, 0.0594927, 0.0495005, 0.0370037, 0.0267272, 0.01802, 0.0125926, 0.00650256, 0.00359345, 0.00186172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158979");
-            index_3 ("0.0748205, 0.0827759, 0.0867613, 0.0914208, 0.0972968, 0.103981, 0.110124, 0.116101, 0.128548, 0.144861, 0.155855, 0.167367, 0.19157, 0.204447, 0.219164, 0.229335, 0.243564, 0.258123, 0.275576, 0.291407, 0.307952, 0.320637, 0.346005, 0.392321, 0.447801");
-            values ( \
-              "0.141616, 0.162146, 0.191589, 0.214455, 0.229462, 0.236706, 0.236799, 0.234763, 0.225251, 0.207319, 0.19077, 0.168302, 0.117333, 0.0939949, 0.071375, 0.0585014, 0.0436927, 0.0320211, 0.0218033, 0.0151948, 0.0104052, 0.00779854, 0.00421568, 0.00128618, 0.000242192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332232");
-            index_3 ("0.0747185, 0.0858772, 0.0905767, 0.0969921, 0.102736, 0.110389, 0.117987, 0.136756, 0.171945, 0.198518, 0.224631, 0.230186, 0.252404, 0.295358, 0.324343, 0.353356, 0.373411, 0.387091, 0.421476, 0.445086, 0.464016, 0.490403, 0.514086, 0.545663, 0.608816, 0.688273, 0.767731");
-            values ( \
-              "0.15799, 0.198773, 0.227441, 0.249192, 0.259504, 0.264325, 0.265188, 0.259063, 0.241054, 0.224837, 0.204733, 0.199478, 0.176052, 0.127887, 0.0990925, 0.0746197, 0.0606879, 0.0524204, 0.0357416, 0.0272666, 0.0218562, 0.015955, 0.0120113, 0.00817148, 0.00362756, 0.00124769, 0.000427561" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694291");
-            index_3 ("0.0793231, 0.102397, 0.117561, 0.137676, 0.16964, 0.265448, 0.331486, 0.374134, 0.422176, 0.534956, 0.616729, 0.683008, 0.729257, 0.767682, 0.81276, 0.872863, 0.920625, 0.993601, 1.07306, 1.15252, 1.23337");
-            values ( \
-              "0.269971, 0.271885, 0.282389, 0.281241, 0.273887, 0.24806, 0.226858, 0.209389, 0.184824, 0.121702, 0.083043, 0.0589489, 0.0457821, 0.0368892, 0.0284727, 0.0199412, 0.0149975, 0.00964216, 0.00590382, 0.00358388, 0.0024308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145092");
-            index_3 ("0.0842309, 0.0842509, 0.254186, 0.358436, 0.502794, 0.566808, 0.646266, 0.743659, 1.02501, 1.10447, 1.18393, 1.26372, 1.37178, 1.49087, 1.57011, 1.64671, 1.72617, 1.83476, 1.97394, 2.13286, 2.29177, 2.6096, 2.84797");
-            values ( \
-              "1e-22, 0.30932, 0.279144, 0.265469, 0.245135, 0.235208, 0.220535, 0.198023, 0.121288, 0.101621, 0.0842455, 0.0689505, 0.0519319, 0.0374833, 0.0300678, 0.0240818, 0.0192073, 0.0139156, 0.0092045, 0.00571018, 0.00352671, 0.00132656, 0.00070174" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.112699, 0.120082, 0.123603, 0.126648, 0.129025, 0.133746, 0.138252, 0.143256, 0.147506, 0.151361, 0.159345, 0.164323, 0.169704, 0.174324, 0.18212, 0.186243, 0.19174, 0.196637, 0.203496, 0.212641, 0.224681");
-            values ( \
-              "0.0117577, 0.0792426, 0.106699, 0.123363, 0.133548, 0.144111, 0.146947, 0.142463, 0.132556, 0.116779, 0.0781837, 0.0596188, 0.0438902, 0.0336782, 0.0211809, 0.0165355, 0.0118138, 0.00877666, 0.00578908, 0.00328274, 0.00170517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760747");
-            index_3 ("0.115129, 0.119748, 0.124384, 0.127287, 0.131937, 0.135113, 0.141466, 0.143733, 0.146462, 0.149221, 0.154739, 0.159852, 0.164169, 0.172067, 0.179212, 0.184749, 0.194596, 0.201575, 0.207253, 0.214825, 0.223938, 0.229398, 0.239727, 0.251785, 0.261504, 0.280941, 0.308742, 0.343304");
-            values ( \
-              "0.0859604, 0.0908976, 0.137024, 0.157575, 0.179634, 0.188588, 0.196316, 0.196565, 0.195786, 0.19386, 0.187633, 0.178745, 0.168334, 0.142342, 0.11607, 0.0978995, 0.0708724, 0.055564, 0.0453438, 0.0342723, 0.0242185, 0.019637, 0.0129907, 0.00803421, 0.00545059, 0.00235477, 0.000683413, 0.000100286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158979");
-            index_3 ("0.115171, 0.122161, 0.126287, 0.130852, 0.133685, 0.139351, 0.146285, 0.152438, 0.1584, 0.170845, 0.183947, 0.198186, 0.20411, 0.229885, 0.235016, 0.24508, 0.254319, 0.266097, 0.274849, 0.284224, 0.29668, 0.307901, 0.322861, 0.335267, 0.351923, 0.37413, 0.416858, 0.466885");
-            values ( \
-              "0.102878, 0.129458, 0.170713, 0.200044, 0.213385, 0.228464, 0.236644, 0.236694, 0.234837, 0.22533, 0.211463, 0.190835, 0.179758, 0.125257, 0.115173, 0.0968391, 0.0818728, 0.0652746, 0.05483, 0.0452413, 0.0346749, 0.0271702, 0.0194765, 0.0146693, 0.0100109, 0.00595572, 0.00197249, 0.000536665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332232");
-            index_3 ("0.115132, 0.123582, 0.128621, 0.132822, 0.139258, 0.145016, 0.152609, 0.160244, 0.167706, 0.190862, 0.216784, 0.240798, 0.26691, 0.272466, 0.294689, 0.33761, 0.366786, 0.395751, 0.408803, 0.429026, 0.455991, 0.475597, 0.489296, 0.516693, 0.541527, 0.577932, 0.626472, 0.705929, 0.785387");
-            values ( \
-              "0.128574, 0.154669, 0.200721, 0.225554, 0.249079, 0.258908, 0.264619, 0.264875, 0.26344, 0.253399, 0.239463, 0.22482, 0.204755, 0.199494, 0.176062, 0.127904, 0.0989524, 0.0745222, 0.0652307, 0.0526236, 0.0390108, 0.0312357, 0.026669, 0.0193001, 0.0143565, 0.00924471, 0.00503542, 0.00173463, 0.000597123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694291");
-            index_3 ("0.122764, 0.159848, 0.179771, 0.211949, 0.307756, 0.373796, 0.416443, 0.464477, 0.57726, 0.659011, 0.725344, 0.77163, 0.809993, 0.854997, 0.915002, 0.962921, 1.03613, 1.11559, 1.19504, 1.27186");
-            values ( \
-              "0.281818, 0.282345, 0.281266, 0.273867, 0.248039, 0.22686, 0.209391, 0.184827, 0.121704, 0.0830547, 0.0589405, 0.0457651, 0.0368885, 0.0284844, 0.0199616, 0.0149987, 0.0096292, 0.00589599, 0.00357892, 0.00248489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145092");
-            index_3 ("0.126929, 0.126949, 0.273531, 0.400663, 0.501757, 0.609038, 0.688495, 0.725554, 0.785889, 1.02745, 1.1467, 1.22616, 1.30595, 1.41401, 1.49347, 1.5331, 1.61234, 1.68894, 1.7684, 1.83219, 1.93671, 2.01617, 2.17509, 2.334, 2.65183, 2.8902");
-            values ( \
-              "1e-22, 0.312688, 0.281943, 0.265461, 0.251546, 0.235201, 0.220542, 0.212658, 0.198029, 0.131685, 0.101626, 0.0842405, 0.0689542, 0.0519354, 0.041906, 0.0374871, 0.030064, 0.0240855, 0.0192036, 0.015874, 0.0117397, 0.00920824, 0.00571399, 0.00353056, 0.00133045, 0.000697808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.189236, 0.196701, 0.199998, 0.206626, 0.212875, 0.218044, 0.222837, 0.227569, 0.232297, 0.234751, 0.244666, 0.251665, 0.259266, 0.262792, 0.268996, 0.277978, 0.284169, 0.294423, 0.308094, 0.313237");
-            values ( \
-              "0.00204292, 0.0255811, 0.0434644, 0.088734, 0.119639, 0.133987, 0.139401, 0.137874, 0.130103, 0.121802, 0.0767751, 0.0520435, 0.0340655, 0.0278156, 0.0193308, 0.011268, 0.00776392, 0.00417087, 0.00176151, 0.00141303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760747");
-            index_3 ("0.000184718, 0.00249192, 0.029278, 0.0901351, 0.124725, 0.142409, 0.159114, 0.188881, 0.197159, 0.214528, 0.219861, 0.226651, 0.231357, 0.234532, 0.240883, 0.248095, 0.269808, 0.279076, 0.29141, 0.307182, 0.317055, 0.326215, 0.343657, 0.358844, 0.363113");
-            values ( \
-              "1e-22, 0.000738367, 4.34703e-05, 0.000247145, 0.00185986, 0.00159105, 1e-22, 2e-22, 0.0322648, 0.157585, 0.176959, 0.18909, 0.190261, 0.189236, 0.183371, 0.170564, 0.0996188, 0.0738332, 0.0480396, 0.0267103, 0.0182243, 0.0126777, 0.0063083, 0.00336183, 0.00298471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158979");
-            index_3 ("0.188712, 0.202317, 0.208451, 0.21297, 0.218187, 0.224863, 0.231388, 0.237762, 0.248982, 0.252278, 0.263176, 0.269612, 0.283004, 0.285629, 0.319509, 0.332888, 0.348177, 0.357004, 0.370885, 0.386123, 0.392894, 0.403925, 0.419612, 0.435618, 0.447831, 0.472258, 0.518294, 0.572549");
-            values ( \
-              "0.00685631, 0.0773308, 0.134767, 0.169376, 0.197459, 0.220308, 0.229169, 0.23249, 0.228506, 0.225321, 0.217083, 0.210546, 0.192189, 0.187489, 0.117433, 0.0932693, 0.0699877, 0.0588825, 0.044306, 0.0320038, 0.027615, 0.0216163, 0.0151469, 0.0105147, 0.00792081, 0.00443001, 0.00132736, 0.000298379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332232");
-            index_3 ("0.188513, 0.206762, 0.217225, 0.22413, 0.230019, 0.237962, 0.245708, 0.250973, 0.261805, 0.27644, 0.30069, 0.325248, 0.333174, 0.354314, 0.378477, 0.434549, 0.448864, 0.477493, 0.505744, 0.522751, 0.544911, 0.563362, 0.584597, 0.614649, 0.645731, 0.669512, 0.717074, 0.796531, 0.875989");
-            values ( \
-              "0.00290278, 0.128227, 0.209966, 0.237834, 0.251754, 0.259091, 0.261619, 0.25997, 0.258339, 0.252806, 0.240304, 0.22558, 0.220144, 0.202969, 0.17813, 0.116211, 0.102236, 0.0774997, 0.0578964, 0.0481725, 0.0376156, 0.0304901, 0.0238197, 0.016654, 0.0114796, 0.00859848, 0.00473924, 0.00163364, 0.000560317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694291");
-            index_3 ("0.204443, 0.237614, 0.248939, 0.251467, 0.259541, 0.264923, 0.272561, 0.297656, 0.344385, 0.404262, 0.445595, 0.498576, 0.554109, 0.641564, 0.696126, 0.75503, 0.784287, 0.830544, 0.881449, 0.926285, 0.986067, 1.04684, 1.07965, 1.14526, 1.22472, 1.30418, 1.38364, 1.54255");
-            values ( \
-              "0.230092, 0.273848, 0.278926, 0.278382, 0.279535, 0.279629, 0.278847, 0.273522, 0.26143, 0.244678, 0.231719, 0.211123, 0.182635, 0.133144, 0.104997, 0.078852, 0.0679045, 0.0530524, 0.0399447, 0.0309283, 0.0217658, 0.0151528, 0.0124334, 0.00832484, 0.00507657, 0.00309363, 0.00187486, 0.000688338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145092");
-            index_3 ("0.209971, 0.209991, 0.587386, 0.666843, 0.749765, 0.811126, 0.870826, 1.15294, 1.2324, 1.31186, 1.42815, 1.4996, 1.61873, 1.69807, 1.77464, 1.85409, 1.96259, 2.10172, 2.26064, 2.41955, 2.65792, 2.97575");
-            values ( \
-              "1e-22, 0.302332, 0.251496, 0.239656, 0.225385, 0.212633, 0.198247, 0.12122, 0.101654, 0.0841811, 0.0627844, 0.051986, 0.0375302, 0.0300128, 0.0241239, 0.0191576, 0.0139613, 0.00925096, 0.00575545, 0.0035711, 0.0017329, 0.000659627" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.000140687, 0.00114069, 0.0285598, 0.180872, 0.269193, 0.287746, 0.329421, 0.352341, 0.353771, 0.361049, 0.380368, 0.382988, 0.388492, 0.393702, 0.398955, 0.401176, 0.413724, 0.422345, 0.429117, 0.434432, 0.444268, 0.449679, 0.455971, 0.464361, 0.470392");
-            values ( \
-              "1e-22, 0.000249589, 1e-22, 3.65794e-05, 0.000400974, 2.87757e-05, 1e-22, 0.0101839, 0.0126721, 0.033672, 0.107258, 0.114622, 0.124173, 0.127171, 0.122402, 0.116984, 0.0672418, 0.0422161, 0.0288424, 0.0211393, 0.0118266, 0.00854618, 0.00588331, 0.00356483, 0.00271641" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760747");
-            index_3 ("7.33256e-05, 0.18064, 0.269051, 0.287827, 0.329573, 0.352473, 0.361984, 0.384592, 0.392899, 0.40065, 0.408339, 0.416027, 0.444062, 0.457557, 0.474088, 0.484177, 0.493236, 0.509698, 0.523958, 0.525242");
-            values ( \
-              "1e-22, 6.76581e-05, 0.00083998, 0.000226495, 1e-22, 0.0135738, 0.0458741, 0.154134, 0.173891, 0.17984, 0.176515, 0.164715, 0.0781048, 0.0491409, 0.0266868, 0.0181051, 0.0126819, 0.00659553, 0.0036807, 0.00355621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158979");
-            index_3 ("0.35257, 0.3635, 0.37968, 0.388748, 0.397251, 0.407278, 0.41016, 0.415923, 0.422972, 0.435849, 0.442552, 0.449703, 0.45613, 0.486313, 0.500606, 0.515973, 0.523674, 0.535895, 0.55316, 0.565253, 0.574309, 0.588199, 0.605158, 0.627771, 0.670667, 0.720477");
-            values ( \
-              "0.0545093, 0.0600139, 0.155971, 0.195888, 0.216405, 0.225154, 0.225372, 0.224239, 0.220449, 0.209337, 0.201311, 0.190891, 0.179499, 0.117313, 0.0918265, 0.0688338, 0.0592415, 0.0462185, 0.031614, 0.0244441, 0.0200739, 0.014695, 0.00997638, 0.0058522, 0.001952, 0.000513865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332232");
-            index_3 ("0.352654, 0.368374, 0.38153, 0.389778, 0.395761, 0.406088, 0.416168, 0.419644, 0.426598, 0.440085, 0.461139, 0.493398, 0.519675, 0.617767, 0.646274, 0.66265, 0.688502, 0.711692, 0.731772, 0.752627, 0.782386, 0.821009, 0.872505, 0.951962, 1.03142");
-            values ( \
-              "0.0595014, 0.0927695, 0.178831, 0.217511, 0.235731, 0.252272, 0.257348, 0.257381, 0.256625, 0.25215, 0.242228, 0.223586, 0.20393, 0.100714, 0.07639, 0.0646193, 0.0490081, 0.0378501, 0.0301142, 0.0236217, 0.0165787, 0.0104112, 0.00545375, 0.00188374, 0.000645443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694291");
-            index_3 ("0.352677, 0.395339, 0.411702, 0.418911, 0.430976, 0.464284, 0.577588, 0.634978, 0.669324, 0.698635, 0.820701, 0.880154, 0.955615, 1.00235, 1.06367, 1.1322, 1.21729, 1.26863, 1.34809, 1.507, 1.54098");
-            values ( \
-              "0.0473803, 0.246376, 0.2728, 0.275715, 0.277823, 0.272166, 0.24291, 0.223673, 0.209289, 0.194913, 0.126621, 0.0970817, 0.0663258, 0.0516073, 0.0365804, 0.0245621, 0.0147353, 0.0110219, 0.00653357, 0.00233829, 0.00210228" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145092");
-            index_3 ("0.374276, 0.374296, 0.584186, 0.754489, 0.833946, 0.916878, 0.978241, 1.03794, 1.32007, 1.39952, 1.47898, 1.59527, 1.66672, 1.78585, 1.86519, 1.94176, 2.02122, 2.12971, 2.26884, 2.42776, 2.58667, 2.82505, 3.14288");
-            values ( \
-              "1e-22, 0.294624, 0.274413, 0.251462, 0.239652, 0.225381, 0.212623, 0.198245, 0.121219, 0.101653, 0.0841814, 0.0627865, 0.0519835, 0.0375275, 0.0300153, 0.0241212, 0.0191601, 0.0139583, 0.00924795, 0.00575241, 0.00356803, 0.00173602, 0.000662802" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.660051, 0.679788, 0.682534, 0.689837, 0.717082, 0.723879, 0.73007, 0.736093, 0.742101, 0.753126, 0.761649, 0.76905, 0.778196, 0.788873, 0.80103, 0.811636, 0.821473");
-            values ( \
-              "0.00131367, 0.0119706, 0.0155631, 0.0287013, 0.0905498, 0.102481, 0.108836, 0.109349, 0.0985071, 0.0605424, 0.0391696, 0.0262216, 0.0156101, 0.00840775, 0.00420533, 0.0022887, 0.00148103" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760747");
-            index_3 ("0.660203, 0.683757, 0.685175, 0.693359, 0.718962, 0.728947, 0.737795, 0.746201, 0.754615, 0.758353, 0.778603, 0.79215, 0.803002, 0.818278, 0.834214, 0.850741, 0.869629, 0.873257");
-            values ( \
-              "0.00112391, 0.022134, 0.0247655, 0.0445352, 0.124051, 0.149082, 0.161396, 0.164219, 0.156754, 0.149139, 0.0886898, 0.0568734, 0.0388056, 0.021938, 0.0118218, 0.00616032, 0.0028267, 0.00256184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158979");
-            index_3 ("0.660095, 0.689047, 0.700719, 0.727166, 0.733583, 0.746416, 0.750518, 0.755902, 0.761222, 0.771861, 0.780915, 0.789396, 0.804201, 0.827331, 0.844985, 0.8575, 0.867377, 0.880548, 0.896821, 0.906468, 0.924374, 0.944746, 0.961062, 0.993694, 1.02069");
-            values ( \
-              "0.000864836, 0.0386749, 0.0756069, 0.173687, 0.190948, 0.210274, 0.212682, 0.213927, 0.213123, 0.207734, 0.199189, 0.187719, 0.16032, 0.113126, 0.0830336, 0.065432, 0.0538088, 0.0410248, 0.0289534, 0.0234689, 0.0156401, 0.00984159, 0.0067648, 0.00303196, 0.00181783" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332232");
-            index_3 ("0.684525, 0.703571, 0.727498, 0.73193, 0.740794, 0.757405, 0.762951, 0.768867, 0.78172, 0.800344, 0.806309, 0.83222, 0.85853, 0.871295, 0.885883, 0.929706, 0.95711, 0.986597, 1.00889, 1.02426, 1.04984, 1.05732, 1.07229, 1.10223, 1.13241, 1.14864, 1.1811, 1.21583, 1.26107, 1.33172, 1.39435");
-            values ( \
-              "0.0829092, 0.092629, 0.19213, 0.206919, 0.228737, 0.248518, 0.250097, 0.250518, 0.24831, 0.24098, 0.238006, 0.223266, 0.20369, 0.191458, 0.175986, 0.127315, 0.100211, 0.0752643, 0.0598604, 0.0507804, 0.0382314, 0.035146, 0.0296168, 0.0208496, 0.0145661, 0.0119854, 0.00806391, 0.00525147, 0.00304911, 0.00125046, 0.000569038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694291");
-            index_3 ("0.684356, 0.70879, 0.726452, 0.739949, 0.756461, 0.770306, 0.785772, 0.802462, 0.823926, 0.909548, 0.951142, 1.0043, 1.05961, 1.17071, 1.22898, 1.28337, 1.32027, 1.39795, 1.46879, 1.53043, 1.59223, 1.63983, 1.71928, 1.79874, 1.86612");
-            values ( \
-              "0.0852606, 0.119421, 0.198788, 0.24133, 0.266438, 0.27309, 0.273503, 0.271222, 0.26634, 0.243743, 0.230956, 0.210598, 0.182495, 0.120681, 0.0925272, 0.0706027, 0.0581275, 0.0377606, 0.0250884, 0.0173745, 0.0119985, 0.00898243, 0.0054861, 0.00333959, 0.0026068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145092");
-            index_3 ("0.704452, 0.74135, 0.755258, 0.766552, 0.779459, 0.797005, 0.837476, 0.883729, 1.0667, 1.14485, 1.26462, 1.31728, 1.37154, 1.6596, 1.73905, 1.81851, 1.93365, 2.00532, 2.12483, 2.20428, 2.28081, 2.36027, 2.46867, 2.60774, 2.76666, 2.92557, 3.16394, 3.48177");
-            values ( \
-              "0.235989, 0.250427, 0.274064, 0.282355, 0.286372, 0.2873, 0.283831, 0.278372, 0.25459, 0.243422, 0.223651, 0.212585, 0.199569, 0.121079, 0.101516, 0.0840725, 0.0628861, 0.0520346, 0.0375262, 0.0300077, 0.0241148, 0.0191577, 0.0139586, 0.00924988, 0.00575354, 0.00356868, 0.00173671, 0.000663006" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.00272596, 0.00272688, 0.00272784, 0.00272854, 0.00272897, 0.00272921", \
-            "0.00340885, 0.00340924, 0.00340986, 0.00341048, 0.00341093, 0.00341121", \
-            "0.00382114, 0.00382136, 0.00382167, 0.00382207, 0.00382247, 0.00382276", \
-            "0.00409578, 0.00409611, 0.00409654, 0.00409699, 0.00409742, 0.00409776", \
-            "0.0043328, 0.00433306, 0.0043335, 0.00433407, 0.00433465, 0.00433512", \
-            "0.00453, 0.00453004, 0.00453017, 0.00453051, 0.00453104, 0.00453162" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.00404089, 0.00404204, 0.00404356, 0.00404495, 0.00404591, 0.00404646", \
-            "0.00427076, 0.00427221, 0.00427369, 0.00427539, 0.00427678, 0.00427764", \
-            "0.00440591, 0.00440634, 0.00440746, 0.00440899, 0.00441041, 0.00441143", \
-            "0.00500496, 0.0050078, 0.00500576, 0.00500753, 0.00500903, 0.00501015", \
-            "0.00518863, 0.00522799, 0.00531323, 0.00536672, 0.00539649, 0.00541209", \
-            "0.00493503, 0.00493513, 0.00493597, 0.00496539, 0.00504867, 0.0051003" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0816137, 0.102575, 0.107382, 0.114886, 0.119581, 0.124133, 0.128709, 0.131973, 0.143284, 0.148633, 0.154234, 0.160531, 0.167729, 0.174339");
-            values ( \
-              "-0.00186443, -0.105089, -0.11963, -0.136297, -0.14256, -0.145773, -0.140875, -0.12917, -0.0536961, -0.0300718, -0.0156242, -0.00733257, -0.00299149, -0.0015825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760747");
-            index_3 ("0.0837431, 0.0981324, 0.107348, 0.111936, 0.119707, 0.126808, 0.133555, 0.14017, 0.146912, 0.150871, 0.167332, 0.174699, 0.182739, 0.187147, 0.193957, 0.203036, 0.211633");
-            values ( \
-              "-0.00674355, -0.10777, -0.150568, -0.166314, -0.186323, -0.199472, -0.206413, -0.208098, -0.198157, -0.184454, -0.0816274, -0.0496482, -0.0277163, -0.0199474, -0.0118937, -0.00581168, -0.0033692" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158979");
-            index_3 ("0.0817012, 0.106595, 0.118161, 0.124166, 0.136065, 0.147146, 0.157895, 0.168632, 0.179331, 0.182051, 0.18516, 0.191378, 0.205508, 0.21258, 0.223766, 0.229455, 0.238455, 0.249874, 0.256532, 0.269849, 0.293114, 0.320434");
-            values ( \
-              "-0.0057715, -0.169292, -0.212896, -0.228841, -0.252171, -0.264165, -0.269143, -0.265436, -0.249801, -0.242959, -0.232797, -0.205267, -0.135123, -0.105666, -0.069332, -0.0554072, -0.0384341, -0.0238212, -0.0179499, -0.0100094, -0.00333629, -0.000876927" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332232");
-            index_3 ("0.0818275, 0.0988458, 0.102774, 0.109607, 0.115178, 0.123039, 0.135869, 0.145061, 0.161421, 0.164875, 0.171782, 0.18391, 0.202883, 0.221848, 0.233609, 0.242636, 0.260691, 0.285445, 0.301827, 0.308398, 0.321538, 0.334498, 0.350795, 0.368882, 0.375131, 0.389234, 0.405351, 0.437586, 0.46784");
-            values ( \
-              "-0.00607032, -0.134543, -0.15925, -0.196369, -0.219826, -0.246472, -0.277221, -0.292406, -0.308777, -0.311103, -0.313958, -0.316739, -0.314151, -0.304272, -0.292729, -0.279996, -0.237688, -0.163668, -0.12215, -0.107923, -0.0834346, -0.0641603, -0.0456564, -0.0308987, -0.0269942, -0.0198494, -0.0138855, -0.00658374, -0.00372829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694291");
-            index_3 ("0.0943143, 0.111619, 0.122677, 0.131638, 0.144658, 0.160347, 0.18275, 0.205452, 0.21894, 0.245917, 0.273165, 0.291924, 0.329041, 0.345352, 0.362749, 0.370799, 0.386898, 0.467431, 0.497263, 0.513209, 0.5451, 0.569409, 0.586838, 0.621694, 0.641798, 0.671313, 0.710665, 0.773721, 0.836776, 0.962888");
-            values ( \
-              "-0.206698, -0.213846, -0.256403, -0.281903, -0.30787, -0.328053, -0.342446, -0.347359, -0.347356, -0.345202, -0.339637, -0.334112, -0.320479, -0.311631, -0.299751, -0.29285, -0.275391, -0.154529, -0.117848, -0.101263, -0.0737791, -0.0576065, -0.048073, -0.0331637, -0.026747, -0.0194449, -0.0125961, -0.00612987, -0.00296815, -0.000691669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145092");
-            index_3 ("0.0947222, 0.117594, 0.137806, 0.158647, 0.171812, 0.183472, 0.205385, 0.228903, 0.255466, 0.327471, 0.387537, 0.476358, 0.539414, 0.585227, 0.638044, 0.7011, 0.784024, 0.871431, 0.947007, 1.00264, 1.05426, 1.11731, 1.17235, 1.24496, 1.30801, 1.37107, 1.49718, 1.7494");
-            values ( \
-              "-0.213456, -0.243712, -0.303136, -0.336194, -0.348607, -0.35519, -0.362679, -0.365299, -0.365398, -0.359808, -0.353174, -0.340853, -0.329351, -0.317912, -0.298381, -0.260142, -0.194805, -0.134826, -0.0954737, -0.0725356, -0.0560706, -0.0409608, -0.0304388, -0.0207429, -0.0151819, -0.0104888, -0.00522063, -0.00117973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.105729, 0.109431, 0.121096, 0.129698, 0.134699, 0.139392, 0.143942, 0.148487, 0.151806, 0.163085, 0.168437, 0.174037, 0.180328, 0.187518, 0.191885");
-            values ( \
-              "-0.023789, -0.0470046, -0.100851, -0.126114, -0.136024, -0.143007, -0.145478, -0.141179, -0.129074, -0.0537223, -0.0300651, -0.0156175, -0.00734555, -0.00298913, -0.00206078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760747");
-            index_3 ("0.100959, 0.126801, 0.139405, 0.146505, 0.153252, 0.159867, 0.166477, 0.170576, 0.187028, 0.194396, 0.202439, 0.213651, 0.222726, 0.23228");
-            values ( \
-              "-0.00289048, -0.15011, -0.186738, -0.199064, -0.206806, -0.207711, -0.198652, -0.184413, -0.0816369, -0.0496418, -0.0277186, -0.0119029, -0.00580954, -0.00310008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158979");
-            index_3 ("0.103501, 0.114611, 0.121675, 0.130278, 0.13841, 0.143985, 0.155884, 0.166958, 0.177706, 0.188442, 0.199529, 0.201864, 0.204976, 0.211202, 0.225121, 0.232451, 0.244049, 0.251909, 0.257538, 0.269658, 0.276852, 0.29124, 0.313221");
-            values ( \
-              "-0.00375064, -0.0993684, -0.144899, -0.186096, -0.214115, -0.229172, -0.252004, -0.26445, -0.268923, -0.265706, -0.248954, -0.242955, -0.232784, -0.205198, -0.136013, -0.105435, -0.0680544, -0.0498245, -0.0395944, -0.0238309, -0.0175473, -0.0093012, -0.0034566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332232");
-            index_3 ("0.108447, 0.118736, 0.129459, 0.140488, 0.147652, 0.157078, 0.164865, 0.18044, 0.19311, 0.203698, 0.222656, 0.241629, 0.253619, 0.262426, 0.280039, 0.30578, 0.327855, 0.35078, 0.362448, 0.378004, 0.397096, 0.408895, 0.432495, 0.477287, 0.529329");
-            values ( \
-              "-0.0974166, -0.135291, -0.197484, -0.239337, -0.25959, -0.280083, -0.292253, -0.30843, -0.314677, -0.316504, -0.314358, -0.304058, -0.292465, -0.279993, -0.238952, -0.162131, -0.1086, -0.0689236, -0.0541775, -0.0389262, -0.0257682, -0.0199021, -0.0117062, -0.0039292, -0.00104141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694291");
-            index_3 ("0.11663, 0.142505, 0.155255, 0.164466, 0.181098, 0.202537, 0.211781, 0.238711, 0.274835, 0.320532, 0.349908, 0.388524, 0.403111, 0.418846, 0.476439, 0.516424, 0.544346, 0.569367, 0.590161, 0.613778, 0.647237, 0.681737, 0.708119, 0.760881, 0.823937, 0.950048");
-            values ( \
-              "-0.226343, -0.256916, -0.290932, -0.308131, -0.32892, -0.342274, -0.345126, -0.347595, -0.343395, -0.331508, -0.319703, -0.29479, -0.279859, -0.258956, -0.169562, -0.118526, -0.0906465, -0.0705805, -0.0570766, -0.0446067, -0.0312065, -0.0215425, -0.0161568, -0.00893551, -0.00433624, -0.00101189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145092");
-            index_3 ("0.111746, 0.148413, 0.178391, 0.191686, 0.225472, 0.248955, 0.275455, 0.347458, 0.420912, 0.496345, 0.559401, 0.605213, 0.658032, 0.721088, 0.803731, 0.89136, 0.967062, 1.02266, 1.07419, 1.13724, 1.19231, 1.26499, 1.32805, 1.3911, 1.51721, 1.76944");
-            values ( \
-              "-0.159789, -0.282458, -0.335953, -0.348668, -0.36279, -0.365256, -0.365466, -0.359864, -0.351506, -0.340902, -0.329304, -0.317872, -0.298414, -0.260121, -0.195017, -0.134857, -0.0954484, -0.0725184, -0.0560789, -0.0409813, -0.0304343, -0.0207279, -0.0151876, -0.0104761, -0.00520881, -0.00116808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.147865, 0.15326, 0.155521, 0.15995, 0.164441, 0.173631, 0.178637, 0.183334, 0.187888, 0.192437, 0.195726, 0.207041, 0.21239, 0.217991, 0.224289, 0.231486, 0.240616");
-            values ( \
-              "-0.00226406, -0.0463924, -0.0517879, -0.0793885, -0.0984025, -0.125892, -0.135904, -0.142871, -0.145403, -0.141104, -0.12917, -0.0537003, -0.0300633, -0.0156164, -0.00734068, -0.00298383, -0.0010469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760747");
-            index_3 ("0.149159, 0.155737, 0.16374, 0.171403, 0.183451, 0.190555, 0.197303, 0.20392, 0.210663, 0.21462, 0.231085, 0.238452, 0.246493, 0.25771, 0.266789, 0.273056");
-            values ( \
-              "-0.0116448, -0.0716535, -0.118698, -0.151804, -0.186403, -0.199236, -0.206513, -0.207915, -0.198165, -0.184449, -0.0816238, -0.0496467, -0.0277146, -0.0118937, -0.00581237, -0.00474035" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158979");
-            index_3 ("0.150208, 0.158721, 0.165767, 0.171061, 0.180942, 0.188053, 0.19995, 0.21102, 0.221767, 0.232502, 0.243199, 0.245926, 0.249043, 0.255276, 0.26897, 0.276576, 0.288614, 0.300245, 0.309644, 0.31952, 0.327324, 0.342931, 0.355928");
-            values ( \
-              "-0.0344774, -0.100005, -0.145248, -0.172422, -0.209676, -0.229071, -0.25225, -0.264295, -0.269135, -0.265534, -0.249759, -0.242958, -0.232761, -0.20513, -0.136975, -0.105186, -0.0667079, -0.0418544, -0.0282491, -0.0186302, -0.013325, -0.00664954, -0.00424741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332232");
-            index_3 ("0.150465, 0.169136, 0.173576, 0.186948, 0.197637, 0.20895, 0.225842, 0.228737, 0.234526, 0.246105, 0.251099, 0.257757, 0.266665, 0.285666, 0.297754, 0.306467, 0.323893, 0.349982, 0.365653, 0.381676, 0.39479, 0.406545, 0.422217, 0.435291, 0.452827, 0.476209, 0.520963, 0.57293");
-            values ( \
-              "-0.0552735, -0.174677, -0.197902, -0.247084, -0.273364, -0.292658, -0.30938, -0.311171, -0.313784, -0.316573, -0.316753, -0.316218, -0.314172, -0.304134, -0.292328, -0.279976, -0.239482, -0.161679, -0.122143, -0.0898107, -0.0689634, -0.0541109, -0.0387808, -0.0292444, -0.0199481, -0.0117958, -0.00396277, -0.00105431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694291");
-            index_3 ("0.1505, 0.170143, 0.181202, 0.198162, 0.208773, 0.225746, 0.246827, 0.254882, 0.269046, 0.28296, 0.310789, 0.335636, 0.355989, 0.393084, 0.409723, 0.427472, 0.434866, 0.449652, 0.478751, 0.504244, 0.533379, 0.560738, 0.57535, 0.604574, 0.626462, 0.638729, 0.661566, 0.693273, 0.706352, 0.732509, 0.784824, 0.847879, 0.910935, 0.973991");
-            values ( \
-              "-0.0683381, -0.186244, -0.238701, -0.288535, -0.308169, -0.329677, -0.342521, -0.344803, -0.347282, -0.34752, -0.344979, -0.339886, -0.334278, -0.320333, -0.311445, -0.299232, -0.292847, -0.277012, -0.234749, -0.194233, -0.151995, -0.118508, -0.103164, -0.0773066, -0.0619467, -0.0545939, -0.042995, -0.0306378, -0.0266361, -0.0200573, -0.0111797, -0.00543279, -0.00263092, -0.00126831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145092");
-            index_3 ("0.164545, 0.200127, 0.209453, 0.225028, 0.243219, 0.257262, 0.275986, 0.298138, 0.319913, 0.355641, 0.391871, 0.454927, 0.540603, 0.619049, 0.682104, 0.702518, 0.743345, 0.880316, 0.933479, 0.973135, 1.01384, 1.06801, 1.11628, 1.16361, 1.22667, 1.2751, 1.36272, 1.42578, 1.48883, 1.61495, 1.86717");
-            values ( \
-              "-0.272784, -0.299374, -0.318279, -0.338667, -0.353433, -0.359384, -0.363595, -0.365574, -0.365266, -0.363227, -0.359754, -0.352854, -0.340964, -0.325836, -0.307002, -0.298491, -0.275514, -0.171373, -0.1363, -0.113909, -0.0941041, -0.0723228, -0.0568989, -0.0447416, -0.0322782, -0.0250743, -0.0157771, -0.0112843, -0.00802238, -0.00405689, -0.00102356" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.241401, 0.248132, 0.249084, 0.250766, 0.254371, 0.258962, 0.263047, 0.26887, 0.274047, 0.278861, 0.283505, 0.288142, 0.293227, 0.300197, 0.302726, 0.305616, 0.309008, 0.311804, 0.314841, 0.319546, 0.325819, 0.328551");
-            values ( \
-              "-0.0239526, -0.0412942, -0.0444732, -0.0505356, -0.07133, -0.0906223, -0.104131, -0.121126, -0.131989, -0.139873, -0.142918, -0.139422, -0.118874, -0.0692887, -0.0543321, -0.0399196, -0.02753, -0.0198264, -0.0138581, -0.00788292, -0.00370909, -0.00294521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760747");
-            index_3 ("0.242167, 0.250941, 0.260776, 0.270458, 0.27849, 0.285744, 0.292591, 0.299279, 0.305961, 0.30949, 0.313546, 0.323253, 0.330123, 0.335475, 0.344035, 0.350379, 0.355462, 0.365628, 0.380474, 0.398671");
-            values ( \
-              "-0.0305706, -0.066661, -0.121677, -0.159344, -0.181879, -0.195761, -0.204072, -0.20597, -0.197182, -0.185923, -0.164353, -0.0999489, -0.0644632, -0.0445272, -0.0237603, -0.0147671, -0.0100109, -0.00446079, -0.00131282, -0.00034591" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158979");
-            index_3 ("0.242407, 0.260326, 0.266105, 0.275992, 0.28347, 0.295529, 0.306685, 0.317489, 0.328236, 0.338981, 0.341718, 0.344846, 0.351102, 0.364396, 0.372863, 0.385229, 0.393471, 0.398997, 0.409589, 0.415447, 0.427162, 0.450211, 0.476896");
-            values ( \
-              "-0.0259366, -0.134516, -0.164781, -0.203561, -0.225149, -0.249719, -0.262725, -0.267975, -0.264918, -0.24925, -0.242575, -0.232411, -0.204806, -0.138655, -0.103353, -0.0646421, -0.0465268, -0.0370946, -0.0238008, -0.0185655, -0.0111508, -0.00376726, -0.0010287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332232");
-            index_3 ("0.248841, 0.261925, 0.268703, 0.276907, 0.293504, 0.304683, 0.320864, 0.331933, 0.343625, 0.362626, 0.381606, 0.392977, 0.402387, 0.421208, 0.442886, 0.465135, 0.491358, 0.513068, 0.529673, 0.546938, 0.560162, 0.586611, 0.6205");
-            values ( \
-              "-0.127477, -0.152066, -0.191035, -0.223592, -0.270759, -0.29103, -0.307562, -0.313523, -0.316244, -0.313996, -0.304064, -0.2931, -0.279942, -0.235472, -0.170221, -0.114299, -0.0681139, -0.0432952, -0.0302594, -0.0207954, -0.0155278, -0.00851339, -0.00433087" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694291");
-            index_3 ("0.25294, 0.269902, 0.282399, 0.292311, 0.304535, 0.321388, 0.338782, 0.342725, 0.35061, 0.364885, 0.378891, 0.406902, 0.415015, 0.431239, 0.451933, 0.490138, 0.505706, 0.523499, 0.530811, 0.545435, 0.574681, 0.60029, 0.629558, 0.656609, 0.671056, 0.699949, 0.722612, 0.735319, 0.757951, 0.789457, 0.802318, 0.828042, 0.879489, 0.942544, 1.0056, 1.06866");
-            values ( \
-              "-0.196598, -0.202767, -0.253376, -0.281738, -0.306588, -0.328196, -0.340233, -0.341881, -0.344469, -0.346935, -0.347441, -0.344817, -0.343399, -0.339889, -0.33431, -0.319742, -0.311428, -0.299158, -0.292852, -0.277204, -0.23478, -0.194076, -0.151687, -0.118588, -0.103404, -0.0777526, -0.0618212, -0.0542326, -0.0428008, -0.0305627, -0.0266345, -0.0201506, -0.0113499, -0.00551493, -0.00267213, -0.001287" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145092");
-            index_3 ("0.262803, 0.305211, 0.322592, 0.343745, 0.37271, 0.394441, 0.41579, 0.487754, 0.56109, 0.622773, 0.663913, 0.714931, 0.777987, 0.7984, 0.839227, 0.9762, 1.02936, 1.06902, 1.10972, 1.16389, 1.21217, 1.2595, 1.32255, 1.37098, 1.45858, 1.52164, 1.64775, 1.7108, 1.96303");
-            values ( \
-              "-0.300044, -0.316074, -0.340248, -0.35527, -0.363715, -0.365359, -0.365337, -0.359846, -0.351539, -0.343083, -0.336296, -0.32582, -0.307018, -0.298481, -0.275523, -0.171397, -0.136273, -0.113873, -0.0941428, -0.0722826, -0.0568511, -0.0447901, -0.0322278, -0.0251397, -0.0158467, -0.0112175, -0.00566482, -0.00412808, -0.00109721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.42965, 0.433594, 0.436583, 0.44231, 0.44787, 0.448474, 0.452095, 0.45695, 0.46005, 0.463709, 0.469686, 0.475168, 0.480405, 0.48557, 0.490732, 0.491872, 0.493392, 0.499218, 0.503407, 0.505213, 0.507323, 0.509541, 0.512694, 0.516793, 0.522257, 0.52715");
-            values ( \
-              "-0.0163243, -0.0226507, -0.0280676, -0.0405832, -0.063399, -0.0648818, -0.075977, -0.088985, -0.0964291, -0.10431, -0.115431, -0.123147, -0.127688, -0.126326, -0.11135, -0.105972, -0.0971757, -0.0618814, -0.041491, -0.0344836, -0.0275726, -0.0217503, -0.015144, -0.00946155, -0.00506785, -0.00321139" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760747");
-            index_3 ("0.431644, 0.444773, 0.454761, 0.465943, 0.475057, 0.483172, 0.490726, 0.498021, 0.505307, 0.512629, 0.528292, 0.535016, 0.543423, 0.552897, 0.561686");
-            values ( \
-              "-0.0255032, -0.062071, -0.104333, -0.139282, -0.161422, -0.176152, -0.185977, -0.190133, -0.184709, -0.160316, -0.0721373, -0.0463103, -0.0255581, -0.0126669, -0.00732824" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158979");
-            index_3 ("0.430603, 0.460631, 0.471556, 0.480155, 0.493431, 0.505502, 0.516983, 0.528286, 0.539582, 0.5439, 0.5501, 0.570538, 0.578122, 0.588893, 0.603264, 0.61076, 0.621746, 0.636395, 0.660781, 0.69018");
-            values ( \
-              "-0.00939609, -0.143482, -0.179689, -0.202108, -0.229246, -0.24499, -0.25351, -0.253393, -0.240875, -0.230953, -0.20864, -0.115189, -0.088301, -0.0582336, -0.032522, -0.0237765, -0.0148867, -0.00805586, -0.00233432, -0.000738349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332232");
-            index_3 ("0.434759, 0.464013, 0.479494, 0.490038, 0.503746, 0.524842, 0.54471, 0.555946, 0.567363, 0.579944, 0.591651, 0.604487, 0.625409, 0.645657, 0.667433, 0.693669, 0.71538, 0.73199, 0.74926, 0.788944, 0.807043");
-            values ( \
-              "-0.0409527, -0.16667, -0.21857, -0.245053, -0.271327, -0.295886, -0.306042, -0.307047, -0.306539, -0.302231, -0.293914, -0.278264, -0.229121, -0.16852, -0.11424, -0.0680766, -0.0432981, -0.0302451, -0.0207844, -0.00850336, -0.00627618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694291");
-            index_3 ("0.445978, 0.46801, 0.478933, 0.493923, 0.503201, 0.521756, 0.543369, 0.550141, 0.571931, 0.59432, 0.616864, 0.653366, 0.669387, 0.70417, 0.728497, 0.740922, 0.757489, 0.818202, 0.858653, 0.887098, 0.911634, 0.931943, 0.955675, 0.989222, 1.02405, 1.05072, 1.10406, 1.16711, 1.29322");
-            values ( \
-              "-0.174236, -0.187834, -0.226421, -0.266372, -0.285385, -0.312471, -0.330487, -0.333677, -0.341065, -0.343464, -0.341848, -0.334122, -0.328916, -0.313355, -0.2966, -0.284637, -0.263905, -0.170054, -0.118377, -0.0900617, -0.0704654, -0.0572684, -0.0447, -0.0312472, -0.0214944, -0.0160659, -0.00882685, -0.00428036, -0.000997344" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145092");
-            index_3 ("0.445618, 0.473404, 0.503845, 0.525867, 0.544351, 0.569219, 0.582091, 0.595835, 0.617482, 0.652297, 0.689624, 0.763087, 0.83852, 0.901576, 0.94738, 1.0002, 1.06326, 1.15153, 1.21303, 1.25512, 1.31634, 1.36765, 1.41092, 1.45942, 1.52248, 1.57401, 1.66807, 1.73112, 1.79418, 1.92029, 2.17251");
-            values ( \
-              "-0.163544, -0.212467, -0.294752, -0.327601, -0.343529, -0.355873, -0.35982, -0.362296, -0.363708, -0.362769, -0.359665, -0.351482, -0.340906, -0.329291, -0.317829, -0.298462, -0.260072, -0.190895, -0.14778, -0.122492, -0.0920309, -0.071757, -0.0578828, -0.0452048, -0.0326632, -0.0249329, -0.0151495, -0.0108541, -0.0076955, -0.00388646, -0.00097519" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.820692, 0.834824, 0.843056, 0.858335, 0.870723, 0.878048, 0.884706, 0.890954, 0.897042, 0.903943, 0.913294, 0.919936, 0.92597, 0.932765, 0.938415, 0.948553, 0.96056, 1.03003");
-            values ( \
-              "-0.000341223, -0.0182171, -0.0300148, -0.0635193, -0.0845078, -0.0946417, -0.102246, -0.107325, -0.108353, -0.0952499, -0.0526325, -0.0288768, -0.0155931, -0.00755664, -0.00405334, -0.00129589, -0.000481134, -8.66155e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760747");
-            index_3 ("0.823981, 0.845592, 0.859069, 0.873047, 0.884113, 0.893764, 0.902604, 0.910967, 0.919213, 0.927449, 0.943556, 0.952537, 0.960991, 0.968464, 0.974453, 0.986431, 0.99218");
-            values ( \
-              "-0.00263001, -0.0453979, -0.08175, -0.113429, -0.134298, -0.149431, -0.16045, -0.166923, -0.165702, -0.146617, -0.0701132, -0.0399228, -0.0223719, -0.0131847, -0.00860494, -0.00341848, -0.00258055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158979");
-            index_3 ("0.829857, 0.851819, 0.866714, 0.890112, 0.905554, 0.919224, 0.931942, 0.944255, 0.95655, 0.962944, 0.969439, 0.987758, 1.00027, 1.00979, 1.02513, 1.03618, 1.04498, 1.06258, 1.0733");
-            values ( \
-              "-0.0180565, -0.0707506, -0.115763, -0.170971, -0.199935, -0.218708, -0.230831, -0.234872, -0.227015, -0.214431, -0.192528, -0.11538, -0.0744375, -0.0518865, -0.0280107, -0.0177787, -0.0122783, -0.00566441, -0.00402886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332232");
-            index_3 ("0.827357, 0.842257, 0.88878, 0.917048, 0.940287, 0.961527, 0.982046, 1.00247, 1.02524, 1.03466, 1.09209, 1.11506, 1.13755, 1.15932, 1.19678, 1.2028");
-            values ( \
-              "-0.0217795, -0.0515143, -0.184072, -0.242052, -0.273072, -0.289153, -0.295033, -0.291032, -0.270093, -0.252341, -0.103519, -0.065681, -0.0411656, -0.0257822, -0.0112055, -0.010334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694291");
-            index_3 ("0.825457, 0.888485, 0.916278, 0.938596, 0.959871, 0.996099, 1.0136, 1.0336, 1.06926, 1.09682, 1.11219, 1.14292, 1.15324, 1.17388, 1.2378, 1.27865, 1.30902, 1.33335, 1.377, 1.41064, 1.44582, 1.47279, 1.52674, 1.58349");
-            values ( \
-              "-0.00826746, -0.191886, -0.255168, -0.290503, -0.312484, -0.331901, -0.334819, -0.335319, -0.330457, -0.322589, -0.316506, -0.299074, -0.29078, -0.268165, -0.172158, -0.119966, -0.0896825, -0.0703255, -0.0448031, -0.031288, -0.0214425, -0.0159732, -0.00871134, -0.00467248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145092");
-            index_3 ("0.848433, 0.885411, 0.914429, 0.939041, 0.958812, 0.99637, 1.03474, 1.06996, 1.10771, 1.16371, 1.29132, 1.33607, 1.37461, 1.41951, 1.48257, 1.57075, 1.67444, 1.7357, 1.78696, 1.87866, 1.94172, 1.99331, 2.08748, 2.15054, 2.3262");
-            values ( \
-              "-0.166185, -0.187648, -0.25891, -0.300977, -0.323497, -0.348271, -0.356916, -0.358059, -0.35613, -0.351092, -0.334847, -0.325753, -0.315406, -0.298473, -0.260016, -0.190954, -0.122484, -0.0920093, -0.071734, -0.0452252, -0.032659, -0.0249401, -0.0151474, -0.010837, -0.00438807" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.00256359, 0.00256488, 0.00256604, 0.00256682, 0.00256728, 0.00256752", \
-            "0.00300348, 0.00300403, 0.00300477, 0.00300541, 0.00300583, 0.00300608", \
-            "0.00325742, 0.00325759, 0.00325788, 0.00325822, 0.00325852, 0.00325872", \
-            "0.00340173, 0.00340187, 0.00340205, 0.00340226, 0.00340247, 0.00340263", \
-            "0.0034797, 0.00347978, 0.00347991, 0.00348008, 0.00348025, 0.00348039", \
-            "0.00352619, 0.00352623, 0.00352632, 0.00352644, 0.00352659, 0.00352671" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0035289, 0.0035309, 0.00353301, 0.00353468, 0.00353573, 0.00353631", \
-            "0.00378722, 0.0037889, 0.00379075, 0.00379247, 0.0037937, 0.00379444", \
-            "0.00386851, 0.00387116, 0.00387412, 0.00387675, 0.00387867, 0.00387987", \
-            "0.00422039, 0.00421741, 0.0042152, 0.00421451, 0.00421481, 0.00421535", \
-            "0.00492089, 0.0049283, 0.00493249, 0.00493312, 0.00492788, 0.00492802", \
-            "0.00574869, 0.00573651, 0.00580414, 0.00592317, 0.00598549, 0.00601652" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0632503, 0.0774398, 0.105435, 0.162832, 0.282254, 0.531557", \
-            "0.0681227, 0.0822958, 0.110319, 0.16776, 0.287198, 0.53651", \
-            "0.0779189, 0.0921239, 0.120218, 0.177766, 0.297316, 0.546653", \
-            "0.0895038, 0.103979, 0.132459, 0.190123, 0.309752, 0.559093", \
-            "0.0976998, 0.112832, 0.141354, 0.199228, 0.319521, 0.569204", \
-            "0.0920978, 0.108929, 0.138495, 0.196176, 0.316021, 0.566125" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.029861, 0.0486446, 0.0887524, 0.173527, 0.351102, 0.722057", \
-            "0.0298718, 0.0486645, 0.088755, 0.17353, 0.351102, 0.722057", \
-            "0.0302934, 0.0488611, 0.0888076, 0.173538, 0.351097, 0.722057", \
-            "0.0320785, 0.0503815, 0.089618, 0.173828, 0.351098, 0.722057", \
-            "0.0358374, 0.052775, 0.0909128, 0.174881, 0.351686, 0.72218", \
-            "0.0434434, 0.0590597, 0.0945572, 0.175926, 0.352329, 0.723099" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0901261, 0.104167, 0.128824, 0.17415, 0.263539, 0.448455", \
-            "0.0950029, 0.109075, 0.133747, 0.179106, 0.268594, 0.453492", \
-            "0.108124, 0.122181, 0.146886, 0.192243, 0.281744, 0.46664", \
-            "0.137992, 0.152193, 0.176995, 0.222622, 0.312155, 0.496999", \
-            "0.186769, 0.202075, 0.228134, 0.27473, 0.365081, 0.549903", \
-            "0.265187, 0.283155, 0.311229, 0.359614, 0.45088, 0.637564" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0292714, 0.0423162, 0.0679633, 0.121094, 0.235335, 0.47977", \
-            "0.0292687, 0.042296, 0.0679392, 0.121052, 0.23533, 0.479917", \
-            "0.0292858, 0.0423159, 0.06794, 0.121132, 0.235368, 0.479771", \
-            "0.0307222, 0.0434085, 0.0686568, 0.121483, 0.235445, 0.479919", \
-            "0.035396, 0.0475816, 0.0726065, 0.125184, 0.237448, 0.480166", \
-            "0.0438349, 0.0562771, 0.0796842, 0.129289, 0.240941, 0.483087" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0480512, 0.0571036, 0.0618847, 0.065203, 0.0681253, 0.0704074, 0.0746571, 0.07771, 0.0826799, 0.0886479, 0.0950176, 0.103571, 0.108946, 0.11365, 0.1183, 0.124499, 0.130386, 0.140246, 0.153393, 0.161727");
-            values ( \
-              "0.00472191, 0.133983, 0.149871, 0.154501, 0.155093, 0.153739, 0.148229, 0.140879, 0.120951, 0.0896679, 0.0633444, 0.0388267, 0.0282792, 0.0213201, 0.0161201, 0.0110395, 0.00773731, 0.00426013, 0.00186606, 0.00151438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760747");
-            index_3 ("0.0487843, 0.0596393, 0.0649098, 0.0683773, 0.075101, 0.0820222, 0.0860166, 0.0948546, 0.100102, 0.11464, 0.127621, 0.139104, 0.144451, 0.153772, 0.166896, 0.173932, 0.192177, 0.213029, 0.218877");
-            values ( \
-              "0.0134715, 0.179623, 0.197655, 0.20288, 0.204756, 0.198675, 0.192978, 0.174844, 0.158645, 0.105439, 0.0691453, 0.0460825, 0.0378663, 0.0266385, 0.0159882, 0.0121071, 0.00583032, 0.00239228, 0.00203273" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158979");
-            index_3 ("0.0495323, 0.0615536, 0.0679222, 0.0703006, 0.0750574, 0.0797851, 0.0892229, 0.0964759, 0.103936, 0.120514, 0.131111, 0.142793, 0.166779, 0.179693, 0.194452, 0.204565, 0.218801, 0.233422, 0.250934, 0.266798, 0.28338, 0.296093, 0.32152, 0.357201");
-            values ( \
-              "0.0246151, 0.213592, 0.235057, 0.238895, 0.243457, 0.243998, 0.240178, 0.23425, 0.226947, 0.207748, 0.191291, 0.168174, 0.117317, 0.093894, 0.0712086, 0.0584181, 0.0436248, 0.0319317, 0.0217196, 0.015169, 0.0104008, 0.00774838, 0.0042308, 0.00196653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332232");
-            index_3 ("0.0496743, 0.0604424, 0.0649217, 0.0739597, 0.0793204, 0.0881656, 0.101568, 0.124543, 0.150626, 0.174342, 0.198344, 0.210044, 0.282082, 0.323009, 0.356202, 0.379391, 0.394854, 0.421168, 0.451241, 0.475244, 0.511916, 0.560814, 0.639679, 0.718544");
-            values ( \
-              "0.000957116, 0.221363, 0.243941, 0.265119, 0.269251, 0.270431, 0.265997, 0.254475, 0.239937, 0.225221, 0.206794, 0.195625, 0.116536, 0.0793368, 0.0563084, 0.043693, 0.0367521, 0.0271905, 0.0190698, 0.0143318, 0.00920411, 0.0049882, 0.00174174, 0.000601973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694291");
-            index_3 ("0.0554033, 0.0719331, 0.0795544, 0.0868303, 0.0941588, 0.115997, 0.192678, 0.253048, 0.293773, 0.357756, 0.401751, 0.512852, 0.543567, 0.602693, 0.648553, 0.680306, 0.73009, 0.773768, 0.832005, 0.894937, 0.928777, 0.996458, 1.07532, 1.15419, 1.23305, 1.39078");
-            values ( \
-              "0.224946, 0.273734, 0.283072, 0.285989, 0.286331, 0.282323, 0.261879, 0.244755, 0.231886, 0.206002, 0.182931, 0.120772, 0.105308, 0.0790217, 0.0623604, 0.0525747, 0.0398323, 0.0310504, 0.0220617, 0.0151654, 0.0123683, 0.00817474, 0.00500575, 0.00306232, 0.00186434, 0.000690524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145092");
-            index_3 ("0.0616174, 0.0616374, 0.177855, 0.317821, 0.436037, 0.514903, 0.598361, 0.659724, 0.719413, 0.792792, 0.941147, 1.00274, 1.08161, 1.16047, 1.24014, 1.27661, 1.34814, 1.42701, 1.46742, 1.54629, 1.62305, 1.70192, 1.78152, 1.8721, 2.02983, 2.18756, 2.34529, 2.50302, 2.81848");
-            values ( \
-              "1e-22, 0.315936, 0.286423, 0.267963, 0.251554, 0.239882, 0.225493, 0.212609, 0.198318, 0.178547, 0.137182, 0.120844, 0.101551, 0.0841176, 0.0690476, 0.0627527, 0.052039, 0.041894, 0.0375689, 0.0299999, 0.0241876, 0.0191568, 0.0151771, 0.011617, 0.00724829, 0.00449948, 0.00278006, 0.00170846, 0.000628462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0701382, 0.0718042, 0.0735438, 0.0796777, 0.0827888, 0.0850072, 0.0892645, 0.0937751, 0.0962592, 0.114949, 0.123181, 0.134039, 0.142593, 0.147336, 0.159964, 0.170484");
-            values ( \
-              "0.0522315, 0.105397, 0.120853, 0.148064, 0.153497, 0.154488, 0.154119, 0.14758, 0.141713, 0.0595541, 0.0370895, 0.0194259, 0.0115524, 0.00867684, 0.00402811, 0.0022754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760747");
-            index_3 ("0.0690112, 0.0760224, 0.0801835, 0.0839536, 0.087181, 0.093909, 0.100638, 0.104684, 0.113962, 0.118336, 0.133974, 0.139722, 0.147387, 0.157909, 0.163258, 0.172583, 0.185717, 0.192745, 0.200559, 0.210976, 0.231812, 0.243617");
-            values ( \
-              "0.016089, 0.165269, 0.186423, 0.197691, 0.202702, 0.204558, 0.198886, 0.193178, 0.17407, 0.160615, 0.104094, 0.0866059, 0.0668345, 0.0460965, 0.037865, 0.0266342, 0.0159785, 0.0121097, 0.00888334, 0.00582982, 0.00239885, 0.00166695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158979");
-            index_3 ("0.0722173, 0.0760702, 0.0803907, 0.0867638, 0.0937043, 0.0986313, 0.107806, 0.115849, 0.135214, 0.139287, 0.149958, 0.161989, 0.185611, 0.198643, 0.213537, 0.223332, 0.237485, 0.252267, 0.269861, 0.285691, 0.302144, 0.314745, 0.339946, 0.374569");
-            values ( \
-              "0.112919, 0.184395, 0.213582, 0.235096, 0.243436, 0.243814, 0.240469, 0.233882, 0.213322, 0.207856, 0.191302, 0.167424, 0.117353, 0.093719, 0.0708846, 0.0585092, 0.0437709, 0.0319304, 0.021679, 0.0151551, 0.0104215, 0.00778292, 0.00427556, 0.00204567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332232");
-            index_3 ("0.0696449, 0.0793738, 0.0838185, 0.0868774, 0.0928964, 0.0982552, 0.107003, 0.11659, 0.120503, 0.143483, 0.173407, 0.193278, 0.21756, 0.228426, 0.294085, 0.321833, 0.350194, 0.365629, 0.391894, 0.417257, 0.444827, 0.479007, 0.494669, 0.518395, 0.550029, 0.613297, 0.692163, 0.771028");
-            values ( \
-              "0.0250319, 0.2212, 0.243621, 0.253547, 0.265023, 0.269272, 0.270382, 0.267634, 0.265954, 0.254436, 0.237679, 0.225223, 0.206547, 0.196181, 0.123678, 0.0964964, 0.072999, 0.062268, 0.0469395, 0.0353426, 0.0257394, 0.0171417, 0.0142248, 0.010696, 0.00726379, 0.00322133, 0.00111707, 0.000389392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694291");
-            index_3 ("0.077726, 0.077746, 0.258754, 0.312756, 0.37674, 0.420742, 0.531839, 0.562563, 0.621685, 0.667524, 0.69926, 0.749066, 0.792765, 0.851032, 0.913924, 0.947746, 1.01539, 1.09425, 1.17312, 1.40972");
-            values ( \
-              "1e-22, 0.298411, 0.248768, 0.231885, 0.206002, 0.182926, 0.120769, 0.105302, 0.0790173, 0.0623638, 0.0525837, 0.0398333, 0.0310471, 0.0220566, 0.0151656, 0.0123687, 0.00817799, 0.00500671, 0.00306394, 0.00069012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145092");
-            index_3 ("0.0827304, 0.0827504, 0.19672, 0.454705, 0.533571, 0.617029, 0.678392, 0.73808, 1.02141, 1.10028, 1.17914, 1.29527, 1.36681, 1.44567, 1.56495, 1.64172, 1.72059, 1.80019, 1.89076, 2.04849, 2.20623, 2.52169, 2.83715");
-            values ( \
-              "1e-22, 0.328781, 0.286452, 0.251491, 0.239946, 0.225559, 0.212543, 0.198386, 0.120774, 0.101622, 0.0840464, 0.0626816, 0.0521103, 0.041823, 0.0299283, 0.0242592, 0.0190852, 0.0151049, 0.0115444, 0.00717569, 0.00442683, 0.00163542, 0.00055505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.106293, 0.11365, 0.117029, 0.121015, 0.125503, 0.128143, 0.133241, 0.136243, 0.138886, 0.14362, 0.147897, 0.15432, 0.163141, 0.167818, 0.174292, 0.180832, 0.189486, 0.200242, 0.216552");
-            values ( \
-              "0.000282553, 0.104874, 0.125599, 0.141859, 0.15051, 0.151727, 0.148189, 0.142595, 0.134505, 0.113779, 0.0909408, 0.064034, 0.0386467, 0.0293452, 0.0198769, 0.0133591, 0.00783268, 0.00403289, 0.00203537" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760747");
-            index_3 ("0.106299, 0.11843, 0.124248, 0.12765, 0.134493, 0.14132, 0.148421, 0.156063, 0.175767, 0.186623, 0.198932, 0.213054, 0.231852, 0.25257, 0.270948, 0.271653");
-            values ( \
-              "0.00746694, 0.164011, 0.190173, 0.198404, 0.202783, 0.198013, 0.187709, 0.169869, 0.10059, 0.0702893, 0.0455246, 0.026837, 0.0128741, 0.00562238, 0.00260407, 0.00257624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158979");
-            index_3 ("0.106368, 0.120638, 0.12441, 0.127269, 0.132987, 0.139316, 0.147455, 0.157195, 0.163516, 0.179905, 0.190694, 0.203276, 0.226199, 0.24027, 0.255656, 0.263562, 0.276204, 0.29297, 0.300567, 0.315762, 0.327996, 0.341479, 0.359457, 0.395412, 0.419949");
-            values ( \
-              "0.000540498, 0.200152, 0.219097, 0.228651, 0.239364, 0.242708, 0.240359, 0.232943, 0.226893, 0.207989, 0.191292, 0.166261, 0.1176, 0.0922357, 0.0689933, 0.0590996, 0.0456527, 0.0319414, 0.0270687, 0.0192763, 0.0146178, 0.0107493, 0.00706872, 0.00291497, 0.0018413" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332232");
-            index_3 ("0.116109, 0.1338, 0.139014, 0.146937, 0.154107, 0.17251, 0.208426, 0.23409, 0.26017, 0.265707, 0.34308, 0.359217, 0.388432, 0.409564, 0.424066, 0.458679, 0.474501, 0.506144, 0.534311, 0.580329, 0.641687, 0.720552, 0.799417");
-            values ( \
-              "0.257613, 0.26252, 0.26769, 0.269841, 0.268447, 0.260534, 0.241066, 0.225225, 0.204956, 0.199675, 0.115245, 0.0996485, 0.074906, 0.0602487, 0.0515812, 0.0350674, 0.0292558, 0.0201615, 0.014421, 0.0082522, 0.00376688, 0.00130748, 0.000456151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694291");
-            index_3 ("0.118085, 0.118105, 0.189003, 0.239883, 0.3016, 0.367668, 0.410211, 0.45784, 0.57083, 0.651453, 0.720581, 0.797125, 0.845196, 0.900134, 0.956146, 1.04116, 1.12002, 1.19889, 1.25117");
-            values ( \
-              "1e-22, 0.294087, 0.278958, 0.265355, 0.248093, 0.226976, 0.209474, 0.185061, 0.121786, 0.0835901, 0.0584721, 0.0382819, 0.0290758, 0.0210347, 0.0150649, 0.00899674, 0.00552081, 0.00337158, 0.00279585" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145092");
-            index_3 ("0.124787, 0.124807, 0.23881, 0.377667, 0.495883, 0.574748, 0.658207, 0.71957, 0.779258, 1.02152, 1.14145, 1.22032, 1.29999, 1.33645, 1.40798, 1.48685, 1.52727, 1.60613, 1.6829, 1.76176, 1.87155, 2.0108, 2.16853, 2.32626, 2.56285, 2.87832");
-            values ( \
-              "1e-22, 0.333414, 0.286217, 0.267903, 0.251612, 0.239824, 0.225436, 0.212666, 0.198262, 0.131715, 0.101498, 0.0841709, 0.068996, 0.0628052, 0.0519878, 0.0419459, 0.0375179, 0.0300513, 0.0241367, 0.019208, 0.0139408, 0.00923406, 0.00576494, 0.00358909, 0.00175676, 0.000676061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.182548, 0.186419, 0.191895, 0.19662, 0.199447, 0.204526, 0.209272, 0.21397, 0.218665, 0.221219, 0.231307, 0.238101, 0.245791, 0.254331, 0.261694, 0.268235, 0.281683, 0.293547, 0.293886");
-            values ( \
-              "0.0158816, 0.0519429, 0.0865754, 0.111157, 0.122434, 0.135734, 0.140391, 0.138858, 0.130594, 0.12178, 0.0756314, 0.052158, 0.0336865, 0.0202269, 0.0132011, 0.00898399, 0.0040647, 0.00196746, 0.00194227" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760747");
-            index_3 ("0.182209, 0.19112, 0.198278, 0.206526, 0.213877, 0.221043, 0.2282, 0.232955, 0.242512, 0.255283, 0.265739, 0.277932, 0.293779, 0.303709, 0.312896, 0.33037, 0.345582, 0.357959");
-            values ( \
-              "0.00647724, 0.0998398, 0.148231, 0.180793, 0.191651, 0.191123, 0.183569, 0.174948, 0.147199, 0.102081, 0.0732021, 0.0479508, 0.0266245, 0.0181482, 0.01261, 0.00629857, 0.00334996, 0.0022722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158979");
-            index_3 ("0.191532, 0.203246, 0.206292, 0.207816, 0.209339, 0.210862, 0.212385, 0.214073, 0.215761, 0.21745, 0.219138, 0.221373, 0.223608, 0.225844, 0.228079, 0.231388, 0.235981, 0.240705, 0.243898, 0.246492, 0.249086, 0.251623, 0.253543, 0.255692, 0.257766, 0.261097, 0.265202, 0.267591, 0.271318, 0.273802, 0.278772, 0.281256, 0.30051, 0.310549, 0.315904, 0.323043, 0.328713, 0.332003, 0.338582, 0.348583, 0.351799, 0.356087, 0.358231, 0.364253, 0.373641, 0.380374, 0.388678, 0.395381, 0.40325, 0.4133");
-            values ( \
-              "0.188576, 0.195807, 0.209935, 0.215085, 0.219612, 0.223516, 0.226796, 0.229128, 0.231078, 0.232647, 0.233835, 0.234151, 0.234246, 0.234119, 0.233771, 0.232848, 0.230009, 0.226496, 0.223782, 0.220407, 0.216292, 0.214837, 0.213472, 0.211677, 0.209647, 0.205741, 0.200209, 0.196565, 0.190337, 0.185844, 0.17632, 0.17129, 0.130277, 0.110422, 0.100177, 0.0884091, 0.079732, 0.0749682, 0.0660375, 0.0537731, 0.0503591, 0.0461938, 0.0442086, 0.0390727, 0.0317202, 0.0271605, 0.0227445, 0.019656, 0.0165019, 0.0131408" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332232");
-            index_3 ("0.18249, 0.202302, 0.206542, 0.213481, 0.21879, 0.227947, 0.234851, 0.241586, 0.264833, 0.272794, 0.289022, 0.314664, 0.340704, 0.346368, 0.369027, 0.415575, 0.430209, 0.459477, 0.479363, 0.505219, 0.531136, 0.539257, 0.5555, 0.587985, 0.614996, 0.629768, 0.659312, 0.718399, 0.797264, 0.876129");
-            values ( \
-              "0.0049049, 0.204865, 0.22626, 0.248527, 0.257456, 0.263737, 0.263862, 0.262277, 0.253323, 0.249666, 0.240974, 0.225232, 0.204988, 0.199588, 0.175529, 0.123625, 0.108722, 0.0824358, 0.0674438, 0.0512572, 0.038428, 0.0350706, 0.0291137, 0.0198582, 0.0144006, 0.0120598, 0.0084164, 0.00396658, 0.00137887, 0.000479623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694291");
-            index_3 ("0.190145, 0.204326, 0.212501, 0.219199, 0.228019, 0.234956, 0.248818, 0.253188, 0.263183, 0.286472, 0.369687, 0.43421, 0.498311, 0.542992, 0.653692, 0.685208, 0.74398, 0.787884, 0.81813, 0.869828, 0.915498, 0.976392, 1.03575, 1.06789, 1.13217, 1.21104, 1.2899, 1.36877, 1.5265");
-            values ( \
-              "0.127368, 0.225352, 0.257694, 0.271845, 0.279403, 0.281739, 0.281001, 0.279966, 0.279204, 0.274368, 0.251687, 0.231888, 0.205946, 0.182484, 0.120562, 0.104727, 0.0786857, 0.0627296, 0.0533304, 0.0399863, 0.030816, 0.0215411, 0.0151252, 0.0124644, 0.0084166, 0.00515602, 0.00315365, 0.00192114, 0.000712018" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145092");
-            index_3 ("0.201123, 0.201143, 0.334563, 0.475489, 0.576483, 0.655349, 0.738807, 0.80017, 0.859858, 1.10212, 1.22205, 1.30092, 1.38058, 1.41705, 1.48858, 1.56745, 1.60786, 1.68673, 1.7635, 1.84236, 1.95215, 2.09139, 2.24912, 2.40685, 2.64344, 2.95891");
-            values ( \
-              "1e-22, 0.310006, 0.284231, 0.265589, 0.251617, 0.23982, 0.225433, 0.21267, 0.198259, 0.131713, 0.101497, 0.0841723, 0.0689968, 0.0628048, 0.0519889, 0.0419452, 0.0375192, 0.0300503, 0.0241381, 0.0192069, 0.0139429, 0.00923637, 0.00576727, 0.00359143, 0.00175445, 0.000673556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.326028, 0.334325, 0.335902, 0.36203, 0.367624, 0.372927, 0.378231, 0.383557, 0.390901, 0.400478, 0.407495, 0.415049, 0.423579, 0.436419, 0.445893, 0.448072");
-            values ( \
-              "0.0110658, 0.0220557, 0.0259982, 0.11229, 0.121887, 0.125327, 0.121206, 0.106001, 0.0747346, 0.0450856, 0.0305218, 0.0197019, 0.0119304, 0.00561111, 0.00318337, 0.00291588" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760747");
-            index_3 ("0.330497, 0.334428, 0.338101, 0.364128, 0.37252, 0.380294, 0.388005, 0.395712, 0.423171, 0.437748, 0.453056, 0.465082, 0.4846, 0.49905, 0.505688");
-            values ( \
-              "0.0215136, 0.0283618, 0.0405158, 0.153538, 0.172979, 0.179289, 0.176221, 0.164714, 0.0794381, 0.0481569, 0.0273777, 0.0172741, 0.00801135, 0.00445157, 0.0036644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158979");
-            index_3 ("0.334469, 0.353727, 0.363134, 0.370878, 0.377542, 0.388596, 0.390435, 0.394114, 0.401471, 0.406638, 0.413527, 0.422418, 0.430795, 0.445416, 0.458542, 0.467276, 0.475435, 0.484759, 0.498274, 0.505325, 0.518788, 0.530324, 0.539867, 0.550201, 0.557382, 0.564695, 0.574778, 0.594945, 0.61389, 0.651779, 0.701218");
-            values ( \
-              "0.0219855, 0.127573, 0.175352, 0.202843, 0.216912, 0.225891, 0.225995, 0.225492, 0.222266, 0.21859, 0.21238, 0.202152, 0.189679, 0.161224, 0.133185, 0.115786, 0.100804, 0.0852944, 0.065983, 0.0574395, 0.0436192, 0.034161, 0.0277982, 0.0221326, 0.0188712, 0.0160377, 0.0128875, 0.00809994, 0.0052083, 0.00201105, 0.000546725" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332232");
-            index_3 ("0.334274, 0.359984, 0.369524, 0.376946, 0.387848, 0.398919, 0.400665, 0.411143, 0.424028, 0.444792, 0.469923, 0.482709, 0.499757, 0.507071, 0.56955, 0.60472, 0.632031, 0.646573, 0.672546, 0.693838, 0.710771, 0.73239, 0.762753, 0.794924, 0.819657, 0.869122, 0.947987, 1.02685");
-            values ( \
-              "0.0113734, 0.173728, 0.217746, 0.238861, 0.254883, 0.258733, 0.258908, 0.256844, 0.251725, 0.241475, 0.226679, 0.218089, 0.204596, 0.197779, 0.129754, 0.0952747, 0.0727292, 0.0626423, 0.0473851, 0.0373564, 0.0308137, 0.0239764, 0.0167055, 0.0113688, 0.00842281, 0.00452459, 0.00157971, 0.000544521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694291");
-            index_3 ("0.334446, 0.360901, 0.370302, 0.377939, 0.38904, 0.399911, 0.409206, 0.418698, 0.432393, 0.493261, 0.576904, 0.626668, 0.651211, 0.700297, 0.812575, 0.840929, 0.897638, 0.956088, 0.989722, 1.03364, 1.06979, 1.11241, 1.17264, 1.23532, 1.28334, 1.36221, 1.44107, 1.51994, 1.67767");
-            values ( \
-              "0.00800315, 0.181043, 0.227494, 0.252189, 0.270923, 0.277924, 0.278965, 0.2784, 0.275905, 0.260614, 0.237585, 0.220096, 0.209474, 0.184263, 0.121393, 0.107031, 0.0814398, 0.0602081, 0.0501923, 0.0392639, 0.0319564, 0.0249441, 0.0174199, 0.0119685, 0.00894179, 0.0054799, 0.00335324, 0.00204265, 0.000756942" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145092");
-            index_3 ("0.357351, 0.427135, 0.577157, 0.680179, 0.815967, 0.899418, 0.96078, 1.02047, 1.3038, 1.38266, 1.46153, 1.57766, 1.64919, 1.76847, 1.84734, 1.9241, 2.00297, 2.11275, 2.252, 2.40973, 2.56746, 2.80405, 3.11951");
-            values ( \
-              "0.288514, 0.290495, 0.273336, 0.259595, 0.239827, 0.22544, 0.212663, 0.198265, 0.120897, 0.1015, 0.0841691, 0.0628046, 0.051989, 0.037519, 0.0300509, 0.0241376, 0.0192077, 0.0139415, 0.00923474, 0.00576547, 0.00358951, 0.00175658, 0.000675852" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.635055, 0.649651, 0.653353, 0.658051, 0.677586, 0.686115, 0.693399, 0.7, 0.706366, 0.712713, 0.72346, 0.727377, 0.73255, 0.737452, 0.74093, 0.745475, 0.749364, 0.75399, 0.760636, 0.768357, 0.774413, 0.786527, 0.80624, 0.830281");
-            values ( \
-              "0.00114936, 0.0168149, 0.0222769, 0.0307066, 0.069174, 0.0845461, 0.0955815, 0.10249, 0.104045, 0.0952186, 0.0604231, 0.0499731, 0.0384489, 0.0297445, 0.0246285, 0.019145, 0.01541, 0.0118654, 0.00812796, 0.00529059, 0.00378511, 0.00192897, 0.000635694, 0.000177209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760747");
-            index_3 ("0.638441, 0.653262, 0.655785, 0.664198, 0.688457, 0.699109, 0.708363, 0.71709, 0.725818, 0.729753, 0.750949, 0.762581, 0.776479, 0.789173, 0.795716, 0.805037, 0.822342, 0.837371, 0.841808");
-            values ( \
-              "0.00970175, 0.0277405, 0.0329088, 0.0526657, 0.116524, 0.141104, 0.15462, 0.159309, 0.153511, 0.146255, 0.085394, 0.0585246, 0.0359436, 0.0224434, 0.0174989, 0.0122017, 0.00624031, 0.00342463, 0.00303996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158979");
-            index_3 ("0.662202, 0.681956, 0.686656, 0.689611, 0.695522, 0.698477, 0.701569, 0.703114, 0.70466, 0.707752, 0.710843, 0.713935, 0.717027, 0.71926, 0.721494, 0.723728, 0.72603, 0.730635, 0.736346, 0.739754, 0.743163, 0.746571, 0.749087, 0.75177, 0.755222, 0.757608, 0.761314, 0.765069, 0.768824, 0.782238, 0.795254, 0.807831, 0.812654, 0.817478, 0.823599, 0.832867, 0.836014, 0.839161, 0.845456, 0.85175, 0.856648, 0.861546, 0.865456, 0.869366, 0.877187, 0.884124, 0.888454, 0.892785, 0.897115, 0.904529");
-            values ( \
-              "0.11485, 0.116373, 0.132638, 0.14242, 0.161209, 0.170215, 0.177938, 0.181504, 0.184874, 0.190787, 0.196071, 0.200726, 0.204754, 0.207272, 0.209364, 0.211029, 0.210605, 0.209578, 0.207976, 0.206845, 0.205585, 0.204194, 0.202016, 0.199275, 0.195265, 0.192142, 0.18671, 0.180416, 0.173695, 0.146971, 0.120359, 0.0970025, 0.0892168, 0.0818377, 0.0732171, 0.0608126, 0.0570162, 0.0534543, 0.0472263, 0.0415512, 0.0376056, 0.0338197, 0.0309214, 0.0281846, 0.0238778, 0.0205637, 0.0187053, 0.0169906, 0.0154196, 0.0130351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332232");
-            index_3 ("0.672668, 0.701289, 0.703725, 0.706915, 0.710105, 0.713296, 0.716486, 0.719859, 0.723232, 0.726605, 0.729978, 0.734174, 0.738371, 0.742567, 0.748619, 0.754184, 0.763201, 0.766207, 0.772478, 0.78496, 0.791172, 0.803595, 0.806756, 0.813078, 0.819401, 0.832728, 0.839391, 0.852718, 0.863584, 0.876188, 0.906067, 0.913538, 0.921009, 0.93595, 0.943421, 0.950891, 0.96213, 0.977113, 0.984604, 0.992095, 0.999267, 1.00644, 1.01362, 1.02079, 1.04033, 1.04729, 1.05424, 1.06815, 1.08205, 1.10623");
-            values ( \
-              "0.186926, 0.197993, 0.205729, 0.214353, 0.221968, 0.228573, 0.234168, 0.238237, 0.241676, 0.244487, 0.246668, 0.247453, 0.247965, 0.248203, 0.248065, 0.247436, 0.244478, 0.243318, 0.240531, 0.234372, 0.231004, 0.223665, 0.222039, 0.217637, 0.212845, 0.201206, 0.194963, 0.181656, 0.169991, 0.155673, 0.12266, 0.114916, 0.107527, 0.0939567, 0.0875967, 0.0815201, 0.0729676, 0.062004, 0.0574208, 0.0530893, 0.049179, 0.0454984, 0.0420476, 0.0388266, 0.0308411, 0.0284253, 0.0261905, 0.0224014, 0.0190623, 0.0142326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694291");
-            index_3 ("0.665201, 0.688769, 0.703647, 0.71426, 0.72934, 0.745233, 0.760555, 0.775389, 0.796662, 0.871713, 0.923967, 0.966287, 1.00952, 1.15804, 1.2158, 1.28294, 1.3142, 1.3762, 1.44507, 1.50439, 1.58099, 1.65986, 1.73872, 1.81759, 1.82");
-            values ( \
-              "0.134109, 0.159352, 0.214703, 0.242625, 0.264643, 0.272707, 0.273338, 0.271306, 0.266739, 0.247031, 0.231089, 0.215348, 0.19484, 0.113153, 0.0862856, 0.0614268, 0.051894, 0.0366876, 0.0246323, 0.0173032, 0.0109066, 0.00669848, 0.00410086, 0.00250218, 0.00248241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145092");
-            index_3 ("0.665535, 0.715166, 0.728583, 0.745081, 0.759237, 0.776851, 0.828668, 1.01469, 1.1458, 1.23784, 1.34611, 1.63457, 1.7923, 1.90743, 1.97915, 2.09876, 2.17762, 2.33326, 2.44304, 2.58228, 2.74001, 2.89775, 3.03638");
-            values ( \
-              "0.112132, 0.25095, 0.272851, 0.284092, 0.287167, 0.287379, 0.282226, 0.258281, 0.239377, 0.223669, 0.199423, 0.120785, 0.0840811, 0.0628942, 0.0520319, 0.0375166, 0.0300546, 0.0192108, 0.0139402, 0.00923361, 0.00576451, 0.0035887, 0.00251551" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.002631, 0.0026314, 0.00263181, 0.00263211, 0.00263229, 0.00263238", \
-            "0.00321884, 0.00321881, 0.00321891, 0.00321907, 0.00321921, 0.00321929", \
-            "0.00363933, 0.00363916, 0.00363897, 0.00363885, 0.00363882, 0.00363882", \
-            "0.00398898, 0.00398764, 0.00398871, 0.00398733, 0.00398721, 0.00398795", \
-            "0.00425163, 0.00425157, 0.00425147, 0.00425135, 0.00425124, 0.00425116", \
-            "0.00445238, 0.0044523, 0.00445218, 0.00445204, 0.00445191, 0.0044518" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.00388986, 0.00388909, 0.00388868, 0.00388855, 0.00388854, 0.00388855", \
-            "0.00430832, 0.00430723, 0.00430579, 0.00430425, 0.00430356, 0.00430322", \
-            "0.0045081, 0.00450723, 0.00450622, 0.00450524, 0.0045045, 0.00450405", \
-            "0.00498728, 0.00499859, 0.00499761, 0.00500609, 0.00500701, 0.00501011", \
-            "0.00483423, 0.00483666, 0.00487433, 0.00489289, 0.0049006, 0.0049048", \
-            "0.00454322, 0.00454328, 0.0045435, 0.00455437, 0.00458734, 0.00459772" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0682843, 0.0741637, 0.078502, 0.0865642, 0.0920854, 0.0970738, 0.101758, 0.106302, 0.110842, 0.112759, 0.126043, 0.132709, 0.138188, 0.142583, 0.148444, 0.155047");
-            values ( \
-              "-0.0351167, -0.0534978, -0.0807693, -0.111009, -0.126515, -0.136254, -0.143274, -0.145596, -0.141356, -0.135035, -0.0504529, -0.024131, -0.0126307, -0.00745931, -0.00363137, -0.0018974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00760747");
-            index_3 ("0.0667129, 0.080606, 0.0890284, 0.0941587, 0.101893, 0.108973, 0.115705, 0.12231, 0.129044, 0.13308, 0.149451, 0.156818, 0.164872, 0.176053, 0.185104, 0.19173");
-            values ( \
-              "-0.0085358, -0.110786, -0.149703, -0.167284, -0.187013, -0.199941, -0.206803, -0.208343, -0.198337, -0.184227, -0.0816618, -0.0496622, -0.0276895, -0.0119118, -0.00583516, -0.00394432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0158979");
-            index_3 ("0.0667041, 0.0804377, 0.0847834, 0.093187, 0.100204, 0.106752, 0.118592, 0.129632, 0.140358, 0.151073, 0.161761, 0.164539, 0.167714, 0.174703, 0.191058, 0.197555, 0.209402, 0.221125, 0.232284, 0.238675, 0.251458, 0.272875");
-            values ( \
-              "-0.00170869, -0.12105, -0.150178, -0.188863, -0.213144, -0.230532, -0.253128, -0.264895, -0.269532, -0.265792, -0.249939, -0.242968, -0.232475, -0.200887, -0.121506, -0.0963024, -0.0610749, -0.0380114, -0.023815, -0.0181522, -0.0103776, -0.00400546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0332232");
-            index_3 ("0.0666476, 0.082752, 0.0920333, 0.106101, 0.118687, 0.127923, 0.147664, 0.166664, 0.185609, 0.20456, 0.216736, 0.225357, 0.242598, 0.269025, 0.290555, 0.313643, 0.34127, 0.368462, 0.387439, 0.425395, 0.439999");
-            values ( \
-              "-0.00106004, -0.147523, -0.199254, -0.250008, -0.279108, -0.293928, -0.311842, -0.317107, -0.31441, -0.304344, -0.292321, -0.280041, -0.240027, -0.161241, -0.109058, -0.069002, -0.0386362, -0.0214271, -0.0140509, -0.00573945, -0.00453296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0694291");
-            index_3 ("0.0796578, 0.128341, 0.144376, 0.166274, 0.188239, 0.202428, 0.230805, 0.275391, 0.312467, 0.347378, 0.368044, 0.480327, 0.533282, 0.577164, 0.64572, 0.720986");
-            values ( \
-              "-0.207873, -0.310174, -0.329739, -0.343048, -0.347578, -0.347613, -0.345028, -0.334192, -0.320451, -0.298821, -0.27823, -0.118274, -0.0704092, -0.0447451, -0.0214694, -0.00958406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.145092");
-            index_3 ("0.0801583, 0.101944, 0.113371, 0.128927, 0.142472, 0.16028, 0.18107, 0.203082, 0.232557, 0.311131, 0.373993, 0.459878, 0.538278, 0.60114, 0.62178, 0.663059, 0.799407, 0.85268, 0.892517, 0.933176, 0.987301, 1.03549, 1.08284, 1.1457, 1.17976, 1.22383, 1.34362, 1.46934, 1.59507, 1.78366");
-            values ( \
-              "-0.22851, -0.25144, -0.289148, -0.320639, -0.337879, -0.352867, -0.361139, -0.364803, -0.365707, -0.359736, -0.352888, -0.341039, -0.325931, -0.307006, -0.298575, -0.275138, -0.171588, -0.13624, -0.113742, -0.0941751, -0.0722047, -0.0568037, -0.0448624, -0.0322033, -0.0269569, -0.0213778, -0.0112438, -0.00566146, -0.00281229, -0.00117206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0859865, 0.0907832, 0.0921044, 0.0969964, 0.102245, 0.110831, 0.115819, 0.120504, 0.125047, 0.129586, 0.131509, 0.134592, 0.144798, 0.151448, 0.156933, 0.16134, 0.167217, 0.167631");
-            values ( \
-              "-0.0061577, -0.0485607, -0.0508186, -0.079432, -0.101388, -0.126477, -0.136325, -0.143225, -0.145663, -0.141302, -0.135023, -0.119727, -0.0503983, -0.0241472, -0.0126288, -0.00744738, -0.00361848, -0.00351017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00760747");
-            index_3 ("0.0866248, 0.0936058, 0.101856, 0.108317, 0.120779, 0.127854, 0.134584, 0.141186, 0.147784, 0.151975, 0.168322, 0.175689, 0.183748, 0.194917, 0.203959, 0.209717");
-            values ( \
-              "-0.00163979, -0.0769355, -0.124611, -0.151789, -0.187481, -0.199731, -0.207188, -0.2081, -0.198824, -0.184147, -0.0816811, -0.0496566, -0.0276903, -0.0119265, -0.0058349, -0.00419535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0158979");
-            index_3 ("0.0874668, 0.097124, 0.103761, 0.112136, 0.119144, 0.125678, 0.137508, 0.148542, 0.159265, 0.169976, 0.180662, 0.183448, 0.186633, 0.193608, 0.20996, 0.216454, 0.228301, 0.24003, 0.251185, 0.257572, 0.270346, 0.289995");
-            values ( \
-              "-0.00890935, -0.109805, -0.151069, -0.189427, -0.213419, -0.230861, -0.253212, -0.265069, -0.269535, -0.265901, -0.249914, -0.242964, -0.232438, -0.200879, -0.121501, -0.0963073, -0.0610759, -0.0380035, -0.0238133, -0.0181568, -0.0103815, -0.0045379" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0332232");
-            index_3 ("0.0882991, 0.101866, 0.111988, 0.119644, 0.125098, 0.136006, 0.146923, 0.166645, 0.181662, 0.185653, 0.193636, 0.204515, 0.223498, 0.236117, 0.244311, 0.2607, 0.292027, 0.307876, 0.316653, 0.331758, 0.341689, 0.359059, 0.373614, 0.386683, 0.404108, 0.438958, 0.48789, 0.54762");
-            values ( \
-              "-0.0280518, -0.148392, -0.203688, -0.233307, -0.250237, -0.27615, -0.294037, -0.311928, -0.316752, -0.316954, -0.31684, -0.314305, -0.304333, -0.291771, -0.280025, -0.242431, -0.150251, -0.112477, -0.094988, -0.0701476, -0.0572029, -0.0396638, -0.0289605, -0.0217955, -0.0148093, -0.00657305, -0.00192415, -0.000390599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0694291");
-            index_3 ("0.0889945, 0.109169, 0.117739, 0.137871, 0.146994, 0.164228, 0.18493, 0.20692, 0.22108, 0.249401, 0.272588, 0.294042, 0.332245, 0.347999, 0.366004, 0.386746, 0.414402, 0.454968, 0.49907, 0.52768, 0.551988, 0.571929, 0.595748, 0.629362, 0.664442, 0.691331, 0.745109, 0.807972, 0.933697");
-            values ( \
-              "-0.0517622, -0.196324, -0.236856, -0.294567, -0.310136, -0.331149, -0.343088, -0.34753, -0.347724, -0.344942, -0.340155, -0.33433, -0.319772, -0.311312, -0.298847, -0.278174, -0.238555, -0.17511, -0.118172, -0.089753, -0.0703707, -0.0574071, -0.0447767, -0.0312715, -0.0214531, -0.0160004, -0.00874015, -0.00425226, -0.000997665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.145092");
-            index_3 ("0.10541, 0.145583, 0.161779, 0.186149, 0.198427, 0.221888, 0.25115, 0.330065, 0.403376, 0.478952, 0.557282, 0.588217, 0.640642, 0.703504, 0.792219, 0.895367, 0.956404, 1.00793, 1.10004, 1.1629, 1.21443, 1.30834, 1.3712, 1.54286");
-            values ( \
-              "-0.296948, -0.316776, -0.338622, -0.356164, -0.36067, -0.364854, -0.365718, -0.359827, -0.351549, -0.340953, -0.325822, -0.317671, -0.298504, -0.260168, -0.190743, -0.122637, -0.0922369, -0.0717638, -0.0452058, -0.0326198, -0.0249802, -0.0152074, -0.0108294, -0.00456916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.131147, 0.138358, 0.142512, 0.152342, 0.159828, 0.164516, 0.169063, 0.173635, 0.176939, 0.188199, 0.19355, 0.199149, 0.205437, 0.212623, 0.214262");
-            values ( \
-              "-0.0343045, -0.0593794, -0.0887706, -0.119955, -0.136511, -0.142788, -0.145893, -0.140988, -0.129053, -0.0537335, -0.0300747, -0.0156252, -0.0073439, -0.00299693, -0.0026472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00760747");
-            index_3 ("0.133091, 0.145451, 0.15696, 0.164695, 0.171774, 0.178507, 0.185111, 0.191711, 0.195889, 0.212252, 0.219619, 0.227675, 0.23885, 0.247896, 0.254247");
-            values ( \
-              "-0.0506651, -0.122687, -0.166921, -0.187351, -0.199624, -0.207114, -0.208045, -0.198782, -0.184184, -0.0816733, -0.0496555, -0.0276932, -0.0119228, -0.00583266, -0.00474067" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0158979");
-            index_3 ("0.133022, 0.141086, 0.147732, 0.156108, 0.162939, 0.169652, 0.181482, 0.192516, 0.203239, 0.21395, 0.224637, 0.227423, 0.230607, 0.237582, 0.253934, 0.260428, 0.272275, 0.284004, 0.295159, 0.301546, 0.31432, 0.334112");
-            values ( \
-              "-0.0326866, -0.109439, -0.150982, -0.189387, -0.212875, -0.230845, -0.253214, -0.26506, -0.269538, -0.265895, -0.249917, -0.242966, -0.232438, -0.20088, -0.121503, -0.0963084, -0.061077, -0.0380045, -0.0238141, -0.0181567, -0.0103822, -0.00449363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0332232");
-            index_3 ("0.13881, 0.149442, 0.156005, 0.169101, 0.180046, 0.190914, 0.210629, 0.227671, 0.233543, 0.248509, 0.263493, 0.276632, 0.288282, 0.309076, 0.329352, 0.351071, 0.377296, 0.399013, 0.426269, 0.446104, 0.472551, 0.50107");
-            values ( \
-              "-0.160987, -0.170252, -0.204674, -0.250726, -0.276146, -0.294319, -0.312039, -0.317064, -0.317166, -0.314443, -0.306976, -0.295651, -0.280048, -0.22973, -0.168697, -0.114255, -0.0681735, -0.0432298, -0.0239503, -0.0154619, -0.00857787, -0.00498106" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0694291");
-            index_3 ("0.138676, 0.169336, 0.190961, 0.207879, 0.225779, 0.247578, 0.264991, 0.301108, 0.344253, 0.376186, 0.414801, 0.429398, 0.44513, 0.502709, 0.535724, 0.552808, 0.586978, 0.621744, 0.644217, 0.688252, 0.713634, 0.764397, 0.82726, 0.952985");
-            values ( \
-              "-0.146755, -0.262614, -0.310587, -0.330559, -0.341908, -0.347091, -0.347881, -0.34361, -0.332444, -0.319793, -0.294697, -0.279849, -0.258948, -0.169573, -0.126467, -0.107703, -0.0768972, -0.0539525, -0.0426379, -0.0266257, -0.0202288, -0.0114788, -0.00559941, -0.00131734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.145092");
-            index_3 ("0.150435, 0.191939, 0.208932, 0.226608, 0.251846, 0.27725, 0.337861, 0.374118, 0.43698, 0.522863, 0.601264, 0.664126, 0.684765, 0.726043, 0.862389, 0.955506, 0.996163, 1.05029, 1.09847, 1.14582, 1.20869, 1.25744, 1.34561, 1.40847, 1.59706, 1.61376");
-            values ( \
-              "-0.308686, -0.320686, -0.341964, -0.354697, -0.362851, -0.365653, -0.363254, -0.359767, -0.352875, -0.340985, -0.325872, -0.307061, -0.298519, -0.275189, -0.171531, -0.113804, -0.0941092, -0.0722699, -0.0568727, -0.044793, -0.0322734, -0.0251115, -0.0157733, -0.0112075, -0.00411109, -0.00390978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.222253, 0.22835, 0.229209, 0.230068, 0.231799, 0.233531, 0.237561, 0.238951, 0.239646, 0.241037, 0.24291, 0.244088, 0.246445, 0.247791, 0.249137, 0.25003, 0.250477, 0.250923, 0.251672, 0.252421, 0.254953, 0.257043, 0.258971, 0.260203, 0.261434, 0.262665, 0.263841, 0.265016, 0.266191, 0.267367, 0.268543, 0.269719, 0.270896, 0.272072, 0.273244, 0.274416, 0.275588, 0.27676, 0.277178, 0.278012, 0.283009, 0.285378, 0.286976, 0.288364, 0.290573, 0.292212, 0.293852, 0.295492, 0.29727, 0.298808");
-            values ( \
-              "-0.0123472, -0.0306827, -0.0327704, -0.0351778, -0.0413164, -0.0482604, -0.0675334, -0.0739276, -0.0768137, -0.0823121, -0.0891433, -0.0931, -0.100226, -0.105741, -0.111764, -0.112648, -0.113246, -0.113948, -0.115438, -0.117058, -0.123501, -0.128494, -0.132674, -0.135052, -0.137228, -0.139201, -0.1398, -0.140053, -0.13996, -0.13952, -0.138734, -0.137602, -0.136123, -0.134298, -0.131535, -0.12819, -0.124262, -0.119751, -0.117692, -0.112337, -0.0767898, -0.0612733, -0.0524259, -0.045636, -0.0355494, -0.0294631, -0.0246323, -0.0204373, -0.0166777, -0.0136862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00760747");
-            index_3 ("0.222874, 0.229643, 0.235612, 0.244617, 0.249184, 0.257786, 0.262698, 0.270032, 0.276926, 0.283648, 0.290363, 0.293611, 0.296965, 0.310815, 0.31836, 0.322426, 0.327846, 0.335856, 0.342375, 0.343887");
-            values ( \
-              "-0.024322, -0.0457999, -0.0688149, -0.118213, -0.137436, -0.166149, -0.179374, -0.194255, -0.202831, -0.205201, -0.196542, -0.186593, -0.170096, -0.0822592, -0.049588, -0.0371462, -0.0248747, -0.0136405, -0.00824941, -0.00762048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0158979");
-            index_3 ("0.223857, 0.232914, 0.236613, 0.249235, 0.262937, 0.267613, 0.279747, 0.285313, 0.290954, 0.301778, 0.312548, 0.323316, 0.326002, 0.329072, 0.335211, 0.351645, 0.358112, 0.369826, 0.376178, 0.384647, 0.393409, 0.398136, 0.40759, 0.422485");
-            values ( \
-              "-0.0322834, -0.0682152, -0.0862714, -0.157441, -0.209618, -0.222944, -0.248228, -0.255949, -0.261731, -0.26728, -0.264483, -0.248964, -0.24247, -0.232613, -0.205805, -0.12562, -0.0999461, -0.0639852, -0.0496877, -0.035057, -0.0242963, -0.0198944, -0.0132411, -0.00722891" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0332232");
-            index_3 ("0.217108, 0.276054, 0.289157, 0.304884, 0.317397, 0.328141, 0.347145, 0.366137, 0.377465, 0.38692, 0.40583, 0.427145, 0.449775, 0.475956, 0.497527, 0.514165, 0.531554, 0.571553, 0.59382");
-            values ( \
-              "-0.0166166, -0.265835, -0.290108, -0.307077, -0.313692, -0.315985, -0.313958, -0.303964, -0.293115, -0.279922, -0.235203, -0.171006, -0.114072, -0.0680262, -0.0433672, -0.0302867, -0.0207577, -0.00843172, -0.00571203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0694291");
-            index_3 ("0.217203, 0.2743, 0.287781, 0.298579, 0.308631, 0.327487, 0.346099, 0.363675, 0.391331, 0.416713, 0.436712, 0.473813, 0.490367, 0.508024, 0.515589, 0.530718, 0.613612, 0.641617, 0.656577, 0.686497, 0.718094, 0.74078, 0.773339, 0.78702, 0.81438, 0.869102, 0.931964, 0.994827, 1.05769");
-            values ( \
-              "-0.0106382, -0.274295, -0.30405, -0.319624, -0.330209, -0.341718, -0.346689, -0.347391, -0.345001, -0.339837, -0.33421, -0.320387, -0.311494, -0.299366, -0.292848, -0.27659, -0.152652, -0.118334, -0.102663, -0.0763716, -0.0553605, -0.0436768, -0.030844, -0.0266412, -0.0197982, -0.0107202, -0.00522118, -0.00253322, -0.00122497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.145092");
-            index_3 ("0.239578, 0.262058, 0.279113, 0.304875, 0.322642, 0.339668, 0.362316, 0.391398, 0.472336, 0.545789, 0.621223, 0.684085, 0.730467, 0.782906, 0.845768, 0.934484, 1.03763, 1.09867, 1.15019, 1.2423, 1.30516, 1.35669, 1.45059, 1.51346, 1.70204, 1.70461");
-            values ( \
-              "-0.224577, -0.24037, -0.294179, -0.337252, -0.351961, -0.359517, -0.364323, -0.365557, -0.359764, -0.351478, -0.340896, -0.329343, -0.317728, -0.29845, -0.260224, -0.190687, -0.122583, -0.0921832, -0.0718194, -0.0451513, -0.0326747, -0.0249262, -0.0151538, -0.0108846, -0.00389754, -0.00386769" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.41262, 0.420245, 0.423693, 0.432923, 0.449893, 0.456182, 0.461867, 0.467176, 0.472382, 0.47758, 0.486572, 0.49128, 0.496909, 0.499612, 0.503608, 0.508935, 0.517809, 0.528501, 0.53369");
-            values ( \
-              "-0.0225838, -0.0236346, -0.0297556, -0.0559441, -0.0974671, -0.110598, -0.120372, -0.126321, -0.125902, -0.111114, -0.0589859, -0.0372887, -0.020357, -0.0150024, -0.009512, -0.00511253, -0.00176487, -0.000637074, -0.000578912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00760747");
-            index_3 ("0.41208, 0.428218, 0.445446, 0.461383, 0.469557, 0.477093, 0.484359, 0.491614, 0.495454, 0.499547, 0.510652, 0.517672, 0.524416, 0.532466, 0.539896, 0.553557, 0.562426");
-            values ( \
-              "-0.0101644, -0.0530414, -0.112389, -0.158772, -0.17611, -0.186741, -0.190983, -0.185189, -0.17519, -0.156905, -0.0912394, -0.058836, -0.0372028, -0.0208399, -0.0120494, -0.00422178, -0.00267094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0158979");
-            index_3 ("0.412651, 0.427641, 0.466685, 0.479882, 0.49192, 0.50337, 0.514687, 0.525997, 0.530775, 0.563727, 0.585759, 0.598176, 0.617557");
-            values ( \
-              "-0.0245965, -0.060271, -0.202211, -0.23057, -0.245803, -0.253694, -0.252549, -0.239792, -0.228487, -0.0919398, -0.0393068, -0.0235412, -0.010857" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0332232");
-            index_3 ("0.417343, 0.43338, 0.459101, 0.475851, 0.489005, 0.505081, 0.520044, 0.530027, 0.549749, 0.56067, 0.568643, 0.575652, 0.582797, 0.590378, 0.605538, 0.626948, 0.652495, 0.667452, 0.679569, 0.701259, 0.717877, 0.735169, 0.748415, 0.774908, 0.823239, 0.880333");
-            values ( \
-              "-0.0618372, -0.0873717, -0.195155, -0.246297, -0.27164, -0.290881, -0.300407, -0.3035, -0.303281, -0.30079, -0.297719, -0.293289, -0.286805, -0.277051, -0.244825, -0.181531, -0.115942, -0.0868777, -0.068052, -0.0432979, -0.030253, -0.0207816, -0.0155162, -0.00849476, -0.00254988, -0.000564582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0694291");
-            index_3 ("0.417518, 0.444196, 0.456395, 0.464745, 0.475415, 0.488908, 0.506253, 0.523747, 0.529088, 0.539769, 0.569435, 0.581215, 0.596923, 0.615702, 0.640243, 0.677435, 0.693545, 0.710729, 0.719192, 0.736118, 0.814618, 0.833438, 0.847459, 0.866154, 0.895593, 0.914624, 0.927697, 0.949324, 0.979314, 0.990776, 1.0137, 1.05955, 1.12241, 1.18527, 1.24813, 1.311");
-            values ( \
-              "-0.0505016, -0.136994, -0.192477, -0.225006, -0.257857, -0.286767, -0.311352, -0.325392, -0.328433, -0.332438, -0.339553, -0.341107, -0.341131, -0.338912, -0.333489, -0.320062, -0.311623, -0.300007, -0.292802, -0.274331, -0.156172, -0.131962, -0.115872, -0.0968894, -0.072258, -0.0595416, -0.0520234, -0.0414634, -0.030097, -0.0266155, -0.0207739, -0.012491, -0.00609711, -0.00295477, -0.00143514, -0.000689855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.145092");
-            index_3 ("0.417345, 0.461556, 0.474857, 0.489736, 0.506649, 0.530239, 0.541709, 0.572926, 0.588519, 0.603936, 0.634769, 0.671412, 0.704628, 0.763598, 0.825076, 0.887938, 0.934315, 0.986757, 1.04962, 1.13834, 1.20015, 1.24148, 1.30251, 1.35404, 1.39775, 1.44615, 1.50901, 1.56054, 1.59184, 1.65444, 1.7173, 1.78017, 1.90589, 2.03162, 2.15734");
-            values ( \
-              "-0.0403473, -0.217765, -0.262655, -0.296559, -0.321654, -0.34141, -0.346804, -0.357673, -0.361009, -0.362496, -0.362531, -0.360002, -0.356679, -0.34971, -0.340917, -0.329319, -0.317707, -0.298471, -0.260204, -0.190701, -0.147412, -0.122596, -0.0921963, -0.0718076, -0.0577964, -0.0451628, -0.0326632, -0.024937, -0.0212037, -0.0151646, -0.0108744, -0.00772013, -0.00390785, -0.00196867, -0.000985682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.809789, 0.820674, 0.822435, 0.824196, 0.82557, 0.826944, 0.828318, 0.829692, 0.831066, 0.83244, 0.83456, 0.83668, 0.8388, 0.84092, 0.84304, 0.845161, 0.847064, 0.852775, 0.856583, 0.859122, 0.862797, 0.866203, 0.873336, 0.879922, 0.881508, 0.883094, 0.88468, 0.886266, 0.887849, 0.889431, 0.891014, 0.892596, 0.892806, 0.893226, 0.894066, 0.895747, 0.898267, 0.901851, 0.903143, 0.905226, 0.907923, 0.909014, 0.910106, 0.911435, 0.912764, 0.913715, 0.914667, 0.915618, 0.91657, 0.918356");
-            values ( \
-              "-0.0164422, -0.0187005, -0.0204821, -0.0223907, -0.0240709, -0.025862, -0.0278909, -0.0300517, -0.0323445, -0.0347692, -0.0390167, -0.0430851, -0.0468708, -0.0505465, -0.0541123, -0.0575681, -0.0605534, -0.0692654, -0.0748701, -0.0785133, -0.0836527, -0.0882773, -0.097171, -0.105229, -0.104705, -0.103973, -0.103035, -0.101889, -0.10054, -0.0989845, -0.097223, -0.0952553, -0.0948667, -0.093718, -0.0907136, -0.0838141, -0.0725274, -0.0561313, -0.0504333, -0.0424067, -0.0332322, -0.029769, -0.0265821, -0.023414, -0.0205441, -0.0187056, -0.0169977, -0.0154204, -0.0139736, -0.0115807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00760747");
-            index_3 ("0.802278, 0.821593, 0.828964, 0.860112, 0.882034, 0.89107, 0.899473, 0.907677, 0.916363, 0.928105, 0.93739, 0.945934, 0.950167, 0.956063, 0.963923, 0.977919, 0.994328, 1.01501");
-            values ( \
-              "-0.00116617, -0.0272085, -0.0383537, -0.104339, -0.144716, -0.15839, -0.16719, -0.167403, -0.146625, -0.0870672, -0.0499231, -0.0281079, -0.0209121, -0.0137394, -0.00768055, -0.00262053, -0.000772094, -0.000302883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0158979");
-            index_3 ("0.787194, 0.818491, 0.835855, 0.893321, 0.906971, 0.919478, 0.931568, 0.943636, 0.947513, 0.980588, 0.993872, 1.0082, 1.02812, 1.04415, 1.05287");
-            values ( \
-              "-0.00669266, -0.0263803, -0.0591435, -0.198089, -0.22163, -0.235232, -0.239256, -0.230348, -0.223193, -0.094419, -0.0576538, -0.0326205, -0.0142878, -0.00709915, -0.00606313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0332232");
-            index_3 ("0.789907, 0.818805, 0.831209, 0.845491, 0.896306, 0.904235, 0.91687, 0.926933, 0.947848, 0.96804, 0.988245, 1.0112, 1.02192, 1.05282, 1.07787, 1.10092, 1.12368, 1.14544, 1.1579, 1.18282, 1.20867");
-            values ( \
-              "-0.00901604, -0.0305665, -0.0553995, -0.0896316, -0.227921, -0.245565, -0.266514, -0.27889, -0.293971, -0.298263, -0.292608, -0.270075, -0.24875, -0.161882, -0.103332, -0.0655006, -0.0408557, -0.025613, -0.0195318, -0.0111746, -0.006526" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0694291");
-            index_3 ("0.818488, 0.849332, 0.892846, 0.903204, 0.919925, 0.939654, 0.958062, 0.983957, 1.01392, 1.03589, 1.05887, 1.09667, 1.11227, 1.12513, 1.13892, 1.16014, 1.21588, 1.25928, 1.29202, 1.31835, 1.3417, 1.37283, 1.39985, 1.43615, 1.48454, 1.5474, 1.61026, 1.67313");
-            values ( \
-              "-0.0553814, -0.106763, -0.234375, -0.260367, -0.290404, -0.313629, -0.326143, -0.334467, -0.336023, -0.33337, -0.328327, -0.315657, -0.307838, -0.300003, -0.289323, -0.266386, -0.182463, -0.12609, -0.0924971, -0.071162, -0.0560754, -0.0404295, -0.030303, -0.0204871, -0.0119571, -0.00583407, -0.00282693, -0.00137257" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.145092");
-            index_3 ("0.818452, 0.867858, 0.895576, 0.91957, 0.942355, 0.954683, 0.97112, 0.997052, 1.0214, 1.05757, 1.09472, 1.2764, 1.31199, 1.34748, 1.39479, 1.43258, 1.48297, 1.61125, 1.66555, 1.69497, 1.75382, 1.80183, 1.87499, 1.93785, 2.02107, 2.08393, 2.14679, 2.20965, 2.33538, 2.52397");
-            values ( \
-              "-0.0459304, -0.165615, -0.249424, -0.300164, -0.327968, -0.337724, -0.346849, -0.354318, -0.357098, -0.356892, -0.354595, -0.334874, -0.328309, -0.319729, -0.303927, -0.285267, -0.250255, -0.153391, -0.120573, -0.105237, -0.079359, -0.0626497, -0.0432684, -0.0312326, -0.0201844, -0.0144416, -0.0103223, -0.00735734, -0.00373182, -0.00134856" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.00254192, 0.00254245, 0.00254291, 0.00254322, 0.0025434, 0.00254349", \
-            "0.00293654, 0.00293681, 0.00293713, 0.00293739, 0.00293757, 0.00293767", \
-            "0.00316561, 0.00316566, 0.00316577, 0.00316591, 0.00316603, 0.0031661", \
-            "0.00329613, 0.00329614, 0.00329618, 0.00329623, 0.00329629, 0.00329634", \
-            "0.00336716, 0.0033671, 0.00336709, 0.0033671, 0.00336714, 0.00336717", \
-            "0.00340381, 0.00340382, 0.00340385, 0.00340389, 0.00340394, 0.00340398" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0033665, 0.00336734, 0.00336821, 0.00336893, 0.00336938, 0.00336962", \
-            "0.00355669, 0.00355648, 0.00355659, 0.00355689, 0.00355715, 0.00355733", \
-            "0.00364906, 0.00364779, 0.00364659, 0.00364579, 0.00364538, 0.0036452", \
-            "0.0038352, 0.00383306, 0.0038305, 0.00382822, 0.00382669, 0.00382583", \
-            "0.00424177, 0.00425325, 0.00426015, 0.00426332, 0.00426685, 0.00426991", \
-            "0.00533463, 0.00531115, 0.00529514, 0.00536853, 0.00540469, 0.00542314" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0059839, 0.00590403, 0.0057793, 0.00564815, 0.00555047, 0.00549029", \
-            "0.00585652, 0.00579399, 0.00568517, 0.00555903, 0.00545687, 0.00539028", \
-            "0.00567562, 0.00563058, 0.00554469, 0.00542882, 0.00532017, 0.00524101", \
-            "0.00561704, 0.00559433, 0.0055197, 0.00542559, 0.00531527, 0.00522629", \
-            "0.0058442, 0.00582394, 0.00578856, 0.0056959, 0.00557768, 0.00545924", \
-            "0.00693862, 0.00689666, 0.00682676, 0.0067459, 0.00664532, 0.00647938" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0135423, 0.013589, 0.0135617, 0.0134688, 0.0133696, 0.0132992", \
-            "0.0133963, 0.0134403, 0.0134235, 0.0133422, 0.0132475, 0.0131798", \
-            "0.013238, 0.0132968, 0.0132968, 0.0132365, 0.0131547, 0.0130934", \
-            "0.013084, 0.013148, 0.0131947, 0.0131912, 0.013144, 0.0130952", \
-            "0.0131063, 0.0131483, 0.0132081, 0.013259, 0.0132502, 0.013213", \
-            "0.0139038, 0.0139282, 0.0139784, 0.0140244, 0.0140267, 0.0140476" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.00463613, 0.00478141, 0.00489473, 0.00495778, 0.00498713, 0.00499935", \
-            "0.00450206, 0.00464706, 0.00477126, 0.00484762, 0.00486892, 0.00488293", \
-            "0.00424377, 0.00437995, 0.00452024, 0.00462939, 0.00469049, 0.00471761", \
-            "0.00410743, 0.00420773, 0.00433524, 0.00446437, 0.004554, 0.00460369", \
-            "0.0043692, 0.00443105, 0.00455405, 0.00469887, 0.00478447, 0.0048513", \
-            "0.00586181, 0.0058051, 0.00580201, 0.00587049, 0.00601884, 0.0061002" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00760747, 0.0158979, 0.0332232, 0.0694291, 0.145092");
-          values ( \
-            "0.0112789, 0.0113733, 0.0114371, 0.0114701, 0.0114812, 0.0114835", \
-            "0.011137, 0.0112252, 0.0112996, 0.0113369, 0.0113522, 0.0113577", \
-            "0.0110455, 0.0111302, 0.011216, 0.0112748, 0.0113031, 0.0113147", \
-            "0.0113061, 0.0113539, 0.0114263, 0.0114752, 0.0115063, 0.0115386", \
-            "0.0119516, 0.0118803, 0.011964, 0.0120572, 0.0120985, 0.0121038", \
-            "0.0136236, 0.0136536, 0.0137319, 0.0137463, 0.0137343, 0.0137165" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00448075;
-      rise_capacitance : 0.00447767;
-      rise_capacitance_range (0.00336583, 0.00447767);
-      fall_capacitance : 0.00448075;
-      fall_capacitance_range (0.00309243, 0.00448075);
-      receiver_capacitance () {
-        when : "B";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00272997, 0.00342484, 0.00384708, 0.00406184, 0.00416354, 0.00421301" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00398814, 0.00404236, 0.00386416, 0.0037205, 0.00365837, 0.00363404" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00258297, 0.00299003, 0.00320106, 0.00331854, 0.00337579, 0.00340823" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00347912, 0.00376231, 0.00400933, 0.00420769, 0.00430185, 0.00433071" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(B * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00334947, -0.0035097, -0.00361126, -0.0036318, -0.00366114, -0.00366821" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00367094, 0.00366892, 0.00366989, 0.00369749, 0.00368008, 0.00366928" \
-          );
-        }
-      }
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00426063;
-      rise_capacitance : 0.00426063;
-      rise_capacitance_range (0.0025182, 0.00426063);
-      fall_capacitance : 0.00422302;
-      fall_capacitance_range (0.00263227, 0.00422302);
-      receiver_capacitance () {
-        when : "A";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00212661, 0.00236103, 0.00247674, 0.00253721, 0.00256523, 0.00257896" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00281659, 0.00289078, 0.0029145, 0.00292237, 0.00292611, 0.0029279" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00246844, 0.00274356, 0.00286317, 0.00292565, 0.00295388, 0.00296808" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00284352, 0.00278062, 0.00274864, 0.00272943, 0.00272045, 0.00271651" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00184649, -0.00184198, -0.00184127, -0.00185012, -0.00183973, -0.00183389" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00185556, 0.00186781, 0.00186704, 0.00187211, 0.00186024, 0.00184795" \
-          );
-        }
-      }
-    }
-  }
-  cell (TBUFIX1) {
-    area : 12.4542;
-    cell_footprint : "TBUFI";
-    cell_leakage_power : 0.141102;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.537801;
-      when : "(A * OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00845718;
-      when : "(A * !OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00845718;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.276139;
-      when : "(!A * OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00787848;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00787833;
-      when : "(!A * !OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.141102;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      three_state : "!OE";
-      max_capacitance : 0.109934;
-      capacitance : 0.00378695;
-      rise_capacitance : 0.00360347;
-      rise_capacitance_range (0.00360347, 0.00360347);
-      fall_capacitance : 0.00378695;
-      fall_capacitance_range (0.00378695, 0.00378695);
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.0436885, 0.0600447, 0.0912722, 0.15214, 0.271347, 0.506469", \
-            "0.0480972, 0.0643898, 0.0959597, 0.157094, 0.276607, 0.511868", \
-            "0.0613462, 0.0768715, 0.107686, 0.168861, 0.288613, 0.523937", \
-            "0.0848961, 0.10577, 0.138109, 0.198047, 0.317316, 0.552787", \
-            "0.120946, 0.150224, 0.196567, 0.266835, 0.382071, 0.617787", \
-            "0.1838, 0.222158, 0.285566, 0.385466, 0.534758, 0.768805" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.0412452, 0.0637086, 0.107393, 0.192778, 0.360852, 0.692775", \
-            "0.0411076, 0.0635432, 0.107328, 0.19277, 0.360755, 0.692641", \
-            "0.0453459, 0.0647499, 0.107058, 0.192725, 0.360752, 0.692637", \
-            "0.0636389, 0.0807046, 0.115113, 0.193346, 0.360737, 0.692802", \
-            "0.0910324, 0.115574, 0.154616, 0.219011, 0.364598, 0.692773", \
-            "0.136816, 0.16981, 0.223163, 0.305296, 0.43355, 0.714497" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.030029, 0.039756, 0.0583703, 0.0945439, 0.165535, 0.305567", \
-            "0.0341468, 0.0439757, 0.0627612, 0.0991213, 0.170279, 0.310427", \
-            "0.0421394, 0.0537703, 0.072868, 0.109357, 0.180668, 0.320948", \
-            "0.0489136, 0.0658072, 0.0925285, 0.132781, 0.204041, 0.344363", \
-            "0.0488077, 0.0732671, 0.112024, 0.170653, 0.256868, 0.397495", \
-            "0.030449, 0.0647115, 0.119367, 0.203552, 0.329031, 0.511591" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.0221798, 0.0345329, 0.0590177, 0.107425, 0.203047, 0.392093", \
-            "0.0225093, 0.0345956, 0.0590294, 0.107373, 0.203072, 0.392124", \
-            "0.0295264, 0.0391344, 0.060552, 0.10748, 0.203065, 0.392124", \
-            "0.0437359, 0.0566416, 0.0771889, 0.115669, 0.203844, 0.392183", \
-            "0.0681102, 0.0856917, 0.113563, 0.156939, 0.230392, 0.397592", \
-            "0.111654, 0.13523, 0.173085, 0.232612, 0.322924, 0.46802" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0298546, 0.0310318, 0.0324416, 0.0347639, 0.0382496, 0.0552173, 0.060097, 0.0706616, 0.0736303, 0.0794776, 0.0885228, 0.0976952, 0.105591, 0.119491, 0.12706, 0.137151, 0.154714, 0.167089, 0.191839, 0.200548");
-            values ( \
-              "0.0853737, 0.137843, 0.141265, 0.141067, 0.13872, 0.112622, 0.102043, 0.06669, 0.0588267, 0.0465044, 0.0338168, 0.0246182, 0.0190192, 0.0119388, 0.00926411, 0.00659739, 0.00360397, 0.00237226, 0.00093992, 0.000830315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00719678");
-            index_3 ("0.0298285, 0.032611, 0.0348093, 0.0384771, 0.0527469, 0.0711613, 0.0775333, 0.100167, 0.113405, 0.13296, 0.149277, 0.171513, 0.185645, 0.206016, 0.247807");
-            values ( \
-              "0.0114136, 0.17562, 0.177418, 0.175227, 0.158234, 0.139897, 0.130997, 0.0800947, 0.0584728, 0.0366487, 0.0246466, 0.0140054, 0.00967024, 0.00565818, 0.00184314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0142278");
-            index_3 ("0.0301837, 0.0344147, 0.0377724, 0.0510285, 0.0581452, 0.102222, 0.111248, 0.119638, 0.145392, 0.157356, 0.179679, 0.19577, 0.207389, 0.219962, 0.236726, 0.251367, 0.272871, 0.291456, 0.31374, 0.343453, 0.397842, 0.461345");
-            values ( \
-              "0.0398406, 0.202688, 0.20274, 0.189642, 0.184089, 0.160199, 0.152956, 0.143918, 0.106734, 0.0910257, 0.0667331, 0.052397, 0.0437667, 0.0357519, 0.0270165, 0.0210629, 0.0144662, 0.0103731, 0.00694239, 0.004, 0.00134059, 0.00035611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0281277");
-            index_3 ("0.0300712, 0.0371575, 0.0562665, 0.0670737, 0.135173, 0.177882, 0.194234, 0.271945, 0.30829, 0.351895, 0.404184, 0.447551, 0.48073, 0.541791, 0.59477, 0.639783");
-            values ( \
-              "0.0666811, 0.219471, 0.204902, 0.200147, 0.182052, 0.166881, 0.157618, 0.096789, 0.0721132, 0.0485364, 0.0288772, 0.0183443, 0.0128137, 0.00656746, 0.00359278, 0.00274815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0556075");
-            index_3 ("0.032964, 0.032984, 0.0975615, 0.175952, 0.22897, 0.263924, 0.283002, 0.321159, 0.340631, 0.370296, 0.473898, 0.549629, 0.601545, 0.643162, 0.675496, 0.733071, 0.758061, 0.799599, 0.85853, 0.918818, 0.964843, 1.04759, 1.13034, 1.21309, 1.37858");
-            values ( \
-              "1e-22, 0.223823, 0.20749, 0.19731, 0.189739, 0.184138, 0.180625, 0.171872, 0.165798, 0.154917, 0.109641, 0.079099, 0.0612528, 0.0492795, 0.0413125, 0.0298293, 0.0258106, 0.0201966, 0.0141461, 0.00980312, 0.00737483, 0.0043718, 0.00257899, 0.00152086, 0.00052665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.109934");
-            index_3 ("0.039492, 0.039512, 0.168381, 0.313554, 0.446252, 0.518061, 0.600809, 0.629337, 0.686394, 0.754097, 0.934479, 1.04697, 1.18621, 1.25373, 1.36959, 1.50686, 1.58961, 1.67818, 1.81115, 1.97664, 2.14214, 2.23711");
-            values ( \
-              "1e-22, 0.245716, 0.211196, 0.20137, 0.191328, 0.184855, 0.175046, 0.170455, 0.160033, 0.145254, 0.10303, 0.0787588, 0.0542064, 0.0445525, 0.0314418, 0.0204537, 0.0157382, 0.0117671, 0.00759452, 0.00437129, 0.00250275, 0.00207688" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0422029, 0.0509303, 0.0516982, 0.0526931, 0.0535346, 0.0544962, 0.0562508, 0.0578729, 0.0603368, 0.062828, 0.0673199, 0.0721576, 0.0735572, 0.0763564, 0.0797055, 0.0860725, 0.0903639, 0.0936923, 0.0981938, 0.100717, 0.104082, 0.109766, 0.117369, 0.121722, 0.124513, 0.130094, 0.137959, 0.140268, 0.144885, 0.152215, 0.162734, 0.167403, 0.176742, 0.195418, 0.224566, 0.259344");
-            values ( \
-              "0.000955339, 0.0999706, 0.111427, 0.120317, 0.124911, 0.128668, 0.132478, 0.1336, 0.132736, 0.13014, 0.123838, 0.115953, 0.113414, 0.107655, 0.0984488, 0.0757838, 0.062917, 0.0547411, 0.0459681, 0.0419405, 0.0371837, 0.0305104, 0.0235873, 0.0204419, 0.0186319, 0.0154682, 0.0118761, 0.0110036, 0.00941857, 0.00735356, 0.00512723, 0.00438108, 0.00317438, 0.00164185, 0.000543847, 0.000141291" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00719678");
-            index_3 ("0.043295, 0.0555093, 0.0569207, 0.0597435, 0.0632681, 0.0863935, 0.0970313, 0.11657, 0.123205, 0.131838, 0.146603, 0.155901, 0.1674, 0.179014, 0.190278, 0.205297, 0.223833, 0.263418, 0.277679");
-            values ( \
-              "0.00783984, 0.165742, 0.169008, 0.170905, 0.168752, 0.143528, 0.129276, 0.0839749, 0.0714008, 0.0583677, 0.0410831, 0.0328821, 0.0247687, 0.0184795, 0.0138602, 0.00932651, 0.00574257, 0.00194176, 0.00145365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0142278");
-            index_3 ("0.0442914, 0.0569712, 0.0597897, 0.0634707, 0.0768845, 0.083732, 0.112646, 0.120348, 0.128335, 0.13829, 0.163293, 0.17217, 0.182741, 0.192948, 0.204613, 0.225496, 0.236375, 0.247596, 0.262086, 0.274097, 0.288329, 0.308457, 0.329562, 0.345753, 0.378136, 0.436681, 0.506089");
-            values ( \
-              "0.00746684, 0.194667, 0.198148, 0.197093, 0.185184, 0.180569, 0.165089, 0.160423, 0.154367, 0.14401, 0.107815, 0.0959613, 0.0831154, 0.0721411, 0.0608342, 0.0441383, 0.0370854, 0.0308305, 0.0241274, 0.0196327, 0.0153075, 0.0106762, 0.00731145, 0.00545093, 0.00296512, 0.000898668, 0.000195195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0281277");
-            index_3 ("0.0446159, 0.0591864, 0.061269, 0.0807184, 0.0903927, 0.154143, 0.181654, 0.196632, 0.213203, 0.290923, 0.327155, 0.370901, 0.399119, 0.423172, 0.466482, 0.499671, 0.560798, 0.613839, 0.666909");
-            values ( \
-              "0.0122623, 0.214743, 0.215526, 0.203027, 0.199107, 0.182024, 0.173377, 0.166987, 0.157624, 0.0967837, 0.0721789, 0.0485204, 0.0368459, 0.0288717, 0.0183526, 0.0128176, 0.00656519, 0.00358822, 0.00213674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0556075");
-            index_3 ("0.0550133, 0.0550333, 0.128539, 0.221578, 0.300304, 0.35531, 0.385459, 0.567892, 0.647048, 0.697832, 0.740535, 0.817357, 0.876803, 0.986704, 1.15019");
-            values ( \
-              "1e-22, 0.23979, 0.205983, 0.193621, 0.181048, 0.167319, 0.156413, 0.0793732, 0.0533913, 0.0405493, 0.0318651, 0.0203451, 0.0142061, 0.00724421, 0.00259047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.109934");
-            index_3 ("0.0598878, 0.0599078, 0.180568, 0.360824, 0.465537, 0.550933, 0.578067, 0.632335, 0.68102, 0.745932, 0.965361, 1.03735, 1.12009, 1.18107, 1.26608, 1.34883, 1.38212, 1.48073, 1.5472, 1.62995, 1.75612, 1.83886, 2.00436, 2.16986, 2.33535, 2.5836");
-            values ( \
-              "1e-22, 0.251331, 0.211712, 0.199349, 0.191288, 0.183489, 0.18045, 0.173154, 0.164789, 0.151415, 0.100421, 0.0846628, 0.0684764, 0.0579809, 0.0454854, 0.0355582, 0.0321192, 0.0236561, 0.0191747, 0.0146757, 0.00972224, 0.00741181, 0.00427687, 0.0024599, 0.00141295, 0.0006028" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0681257, 0.0822357, 0.0883098, 0.0975275, 0.105027, 0.112597, 0.113638, 0.115302, 0.116485, 0.117633, 0.119931, 0.123772, 0.133305, 0.135826, 0.14087, 0.14666, 0.150944, 0.159512, 0.167868, 0.173788, 0.181686, 0.188895, 0.196155, 0.211215, 0.22041, 0.238799, 0.267882, 0.302542");
-            values ( \
-              "9.91946e-06, 0.0464706, 0.0612752, 0.0806951, 0.0941674, 0.104285, 0.108929, 0.111929, 0.112177, 0.111729, 0.109011, 0.0997844, 0.0663307, 0.0594314, 0.0482759, 0.0390807, 0.0335477, 0.0249542, 0.0189514, 0.0155311, 0.0119316, 0.00937393, 0.00731574, 0.00437316, 0.00320367, 0.00165836, 0.000565751, 0.000133905" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00719678");
-            index_3 ("0.0698911, 0.0872248, 0.0948541, 0.100758, 0.106487, 0.112601, 0.114197, 0.115837, 0.117831, 0.121069, 0.124304, 0.128558, 0.133169, 0.141798, 0.157886, 0.162138, 0.169951, 0.174004, 0.179409, 0.190219, 0.199014, 0.210197, 0.222038, 0.227261, 0.235594, 0.244269, 0.256376, 0.270166, 0.288551, 0.325213, 0.367751");
-            values ( \
-              "0.00110207, 0.0737011, 0.0961076, 0.111887, 0.126338, 0.139909, 0.147634, 0.152129, 0.15395, 0.153656, 0.151062, 0.146562, 0.140842, 0.126961, 0.0878911, 0.0791914, 0.0655204, 0.0596662, 0.0525861, 0.0405615, 0.0328769, 0.0249633, 0.0185261, 0.0162078, 0.0130842, 0.0104597, 0.00758406, 0.00526429, 0.00321566, 0.00108725, 0.000311962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0142278");
-            index_3 ("0.0833451, 0.096824, 0.0993848, 0.104506, 0.108691, 0.112875, 0.114777, 0.116402, 0.119377, 0.121026, 0.123225, 0.127158, 0.132239, 0.135708, 0.165174, 0.167914, 0.171567, 0.172785, 0.174857, 0.179, 0.185215, 0.189359, 0.193502, 0.202436, 0.207227, 0.210421, 0.216809, 0.220003, 0.227043, 0.23217, 0.237296, 0.239005, 0.244772, 0.250539, 0.254231, 0.261617, 0.267158, 0.270853, 0.276396, 0.28529, 0.288254, 0.296148, 0.305236, 0.311209, 0.318376, 0.323154, 0.332711, 0.337489, 0.345339, 0.358962");
-            values ( \
-              "0.115459, 0.117657, 0.125873, 0.141032, 0.157686, 0.176416, 0.178661, 0.180924, 0.185886, 0.185732, 0.18498, 0.182751, 0.179177, 0.176933, 0.159073, 0.156969, 0.15373, 0.152548, 0.15026, 0.145453, 0.137606, 0.13201, 0.126146, 0.112721, 0.105847, 0.101561, 0.0933998, 0.0895248, 0.0814234, 0.0756362, 0.0701342, 0.0683712, 0.0629886, 0.0578966, 0.0548434, 0.0489851, 0.0448074, 0.0421449, 0.0384196, 0.0333599, 0.0317886, 0.0279859, 0.0238667, 0.0213824, 0.0187995, 0.0173658, 0.0147489, 0.0135656, 0.011865, 0.00915255" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0281277");
-            index_3 ("0.0793962, 0.0927706, 0.114776, 0.117559, 0.121831, 0.143213, 0.196732, 0.239977, 0.255793, 0.33348, 0.370103, 0.413349, 0.465655, 0.509186, 0.542318, 0.603049, 0.65572, 0.698782");
-            values ( \
-              "0.0391178, 0.112445, 0.195379, 0.203966, 0.206125, 0.196863, 0.182041, 0.166622, 0.15761, 0.0968075, 0.0719567, 0.0485864, 0.0289036, 0.0183299, 0.0128102, 0.00658978, 0.00361853, 0.00280471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0556075");
-            index_3 ("0.079423, 0.101291, 0.114886, 0.117645, 0.120816, 0.126442, 0.140899, 0.156075, 0.290994, 0.343242, 0.369863, 0.398259, 0.428335, 0.566515, 0.598844, 0.656816, 0.697328, 0.724924, 0.778807, 0.812057, 0.873317, 0.926262, 0.986904, 1.06776, 1.15051, 1.23326, 1.316, 1.4815");
-            values ( \
-              "0.0316297, 0.151597, 0.206258, 0.215214, 0.217773, 0.217138, 0.211308, 0.208221, 0.18974, 0.181042, 0.175241, 0.167316, 0.156438, 0.0968449, 0.0839315, 0.0633116, 0.0513443, 0.0442488, 0.0326838, 0.0270079, 0.0188187, 0.0136616, 0.00943268, 0.00567396, 0.00335522, 0.00197795, 0.00116406, 0.000402112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.109934");
-            index_3 ("0.0795515, 0.115334, 0.123869, 0.13967, 0.167876, 0.375987, 0.508656, 0.621332, 0.675785, 0.723502, 0.787125, 1.00084, 1.14024, 1.20933, 1.27876, 1.36151, 1.45694, 1.57946, 1.66221, 1.71603, 1.88153, 2.04702, 2.21252, 2.37802, 2.62626");
-            values ( \
-              "0.0388257, 0.215958, 0.224501, 0.218816, 0.215287, 0.201432, 0.191396, 0.180539, 0.172999, 0.164805, 0.151945, 0.102063, 0.0726554, 0.0603411, 0.0496091, 0.0390468, 0.0290615, 0.0197492, 0.0152937, 0.012684, 0.0073243, 0.0041857, 0.0023666, 0.00131844, 0.000699671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124185, 0.135151, 0.139616, 0.148469, 0.156496, 0.162258, 0.177326, 0.1875, 0.189314, 0.192943, 0.19979, 0.209386, 0.218531, 0.227658, 0.228522, 0.235549, 0.240067, 0.242915, 0.248964, 0.251367, 0.254234, 0.256992, 0.267409, 0.273712, 0.282052, 0.284752, 0.290153, 0.300954, 0.311319, 0.317017, 0.328413, 0.351205, 0.382615, 0.421186");
-            values ( \
-              "0.00541339, 0.00970485, 0.0125163, 0.0192739, 0.0290491, 0.036641, 0.0503605, 0.0576348, 0.0592575, 0.061383, 0.0659743, 0.0705352, 0.0731305, 0.0661484, 0.0635123, 0.0472182, 0.0390876, 0.0350168, 0.0280104, 0.0287444, 0.0278434, 0.0263343, 0.0196003, 0.0159635, 0.0120664, 0.0110302, 0.00918832, 0.00635011, 0.00446436, 0.00367386, 0.00247773, 0.00108697, 0.000324117, 6.75288e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00719678");
-            index_3 ("0.124547, 0.148094, 0.171126, 0.179429, 0.20524, 0.218325, 0.230285, 0.241811, 0.248962, 0.251476, 0.263075, 0.270906, 0.285523, 0.29572, 0.304397, 0.311074, 0.325445, 0.344936, 0.355632, 0.367502, 0.383328, 0.41498, 0.428453");
-            values ( \
-              "0.00347455, 0.0257986, 0.0573084, 0.0671115, 0.0934832, 0.104441, 0.112222, 0.112889, 0.101154, 0.0995346, 0.0766581, 0.0637868, 0.0450965, 0.0352113, 0.0285547, 0.0241656, 0.0167891, 0.0101147, 0.00765982, 0.00560235, 0.0036384, 0.00149836, 0.00114219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0142278");
-            index_3 ("0.124512, 0.203306, 0.221246, 0.229705, 0.246885, 0.248928, 0.251216, 0.255035, 0.257799, 0.262599, 0.269142, 0.279291, 0.302461, 0.314903, 0.327401, 0.340686, 0.358399, 0.370175, 0.387828, 0.402752, 0.415527, 0.429576, 0.449558, 0.470122, 0.485839, 0.517272, 0.57553, 0.644375");
-            values ( \
-              "8.55697e-05, 0.11039, 0.132122, 0.141184, 0.157732, 0.158967, 0.163135, 0.165266, 0.164225, 0.161256, 0.155612, 0.144399, 0.110433, 0.0936939, 0.0790448, 0.0653964, 0.0499926, 0.0416147, 0.0311966, 0.0242394, 0.0194664, 0.0152248, 0.0106469, 0.00736368, 0.00553629, 0.00307148, 0.00093634, 0.000208671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0281277");
-            index_3 ("0.137502, 0.162972, 0.183159, 0.197004, 0.224801, 0.239843, 0.253662, 0.259863, 0.275448, 0.312907, 0.322496, 0.337003, 0.353025, 0.384269, 0.411966, 0.430726, 0.447746, 0.467197, 0.495873, 0.510634, 0.520057, 0.538805, 0.562935, 0.582888, 0.606351, 0.639505, 0.65418, 0.673989, 0.7004, 0.753223, 0.835971, 0.918719");
-            values ( \
-              "0.0206731, 0.0588815, 0.0918446, 0.11318, 0.153721, 0.173866, 0.191295, 0.193569, 0.187839, 0.176296, 0.172911, 0.166768, 0.1576, 0.133448, 0.111082, 0.0968048, 0.0847769, 0.072044, 0.055741, 0.0485651, 0.0443664, 0.0368987, 0.0288895, 0.0235048, 0.0183453, 0.0128156, 0.0109329, 0.00880827, 0.00658238, 0.00360617, 0.00133264, 0.000488325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0556075");
-            index_3 ("0.137455, 0.179906, 0.224582, 0.253901, 0.26063, 0.285854, 0.387557, 0.439784, 0.466707, 0.495425, 0.521406, 0.5511, 0.661937, 0.691986, 0.750973, 0.796981, 0.828776, 0.891421, 0.919614, 0.975999, 1.02223, 1.07298, 1.14063, 1.22338, 1.30613, 1.38888, 1.55437");
-            values ( \
-              "0.0135791, 0.091009, 0.164239, 0.206882, 0.209808, 0.203914, 0.189761, 0.181026, 0.175175, 0.167123, 0.157801, 0.145605, 0.0973223, 0.0852557, 0.0640839, 0.0505043, 0.0425115, 0.0298431, 0.0253319, 0.0181168, 0.0136945, 0.0100509, 0.0065984, 0.00390155, 0.00230629, 0.00135342, 0.000466116" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.109934");
-            index_3 ("0.164197, 0.19692, 0.252723, 0.259392, 0.277477, 0.291289, 0.510759, 0.572736, 0.655484, 0.720716, 0.788286, 0.871034, 0.913319, 1.09323, 1.20544, 1.28797, 1.34566, 1.41303, 1.49577, 1.52865, 1.59439, 1.66559, 1.74834, 1.83734, 1.88784, 1.97059, 2.05334, 2.13609, 2.30158, 2.46708, 2.71532");
-            values ( \
-              "0.118956, 0.123087, 0.214759, 0.218915, 0.215157, 0.213763, 0.19861, 0.193901, 0.186967, 0.180151, 0.170549, 0.154656, 0.145298, 0.10314, 0.0789122, 0.0635017, 0.0541189, 0.0445506, 0.0347967, 0.0314689, 0.0256796, 0.0205001, 0.015731, 0.0117831, 0.0100018, 0.00760349, 0.00578774, 0.00438354, 0.00251669, 0.00144089, 0.000631012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.211701, 0.268483, 0.28723, 0.30165, 0.321201, 0.337874, 0.347954, 0.366122, 0.381935, 0.396478, 0.410366, 0.423991, 0.437599, 0.447538, 0.45809, 0.472956, 0.48429, 0.491298, 0.498209, 0.507423, 0.525852, 0.550628, 0.554186, 0.561301, 0.56836, 0.576984, 0.590375, 0.590657");
-            values ( \
-              "0.000860118, 0.00375483, 0.00670689, 0.0100677, 0.0187085, 0.0283738, 0.0326734, 0.0395154, 0.0433668, 0.0465536, 0.0480135, 0.0483125, 0.0398896, 0.0283065, 0.0200862, 0.0129733, 0.00928179, 0.0074675, 0.00605789, 0.00461581, 0.00251498, 0.00114446, 0.00327816, 0.00297232, 0.00326849, 0.00182796, 0.00171379, 0.00169333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00719678");
-            index_3 ("0.213451, 0.275782, 0.28586, 0.299297, 0.309725, 0.32986, 0.34419, 0.364524, 0.387544, 0.407581, 0.425917, 0.443198, 0.460274, 0.486288, 0.493284, 0.507275, 0.520802, 0.53051, 0.549575, 0.550743, 0.553078, 0.555848, 0.558922, 0.565072, 0.584077, 0.592066, 0.602717, 0.624018, 0.6388");
-            values ( \
-              "0.00157406, 0.00730742, 0.00987202, 0.0139318, 0.0182641, 0.0306939, 0.0404686, 0.0517377, 0.0610515, 0.0682208, 0.073116, 0.076731, 0.0752851, 0.0475769, 0.0409395, 0.0300622, 0.0224013, 0.0179343, 0.0113402, 0.0110454, 0.0121298, 0.0126082, 0.0125089, 0.01157, 0.00732977, 0.00593296, 0.00446309, 0.0024917, 0.00184126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0142278");
-            index_3 ("0.218207, 0.274911, 0.295996, 0.322401, 0.390881, 0.447562, 0.471403, 0.493866, 0.516341, 0.549872, 0.588309, 0.609899, 0.636244, 0.670645, 0.708056, 0.740544, 0.799125, 0.868569");
-            values ( \
-              "0.00306879, 0.0110429, 0.0182607, 0.0321583, 0.0769254, 0.103193, 0.111676, 0.116367, 0.106621, 0.0702784, 0.0437818, 0.0308056, 0.0196725, 0.0106919, 0.00544281, 0.00295941, 0.000891845, 0.000197465" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0281277");
-            index_3 ("0.227825, 0.275532, 0.306332, 0.33083, 0.38188, 0.475111, 0.510318, 0.54223, 0.557334, 0.573213, 0.650845, 0.686678, 0.740659, 0.789656, 0.826248, 0.859473, 0.920865, 0.974153, 0.999191");
-            values ( \
-              "0.00576553, 0.0148517, 0.0280726, 0.0431776, 0.0804523, 0.135425, 0.152511, 0.164015, 0.166043, 0.157958, 0.0967616, 0.0724048, 0.0441338, 0.0269925, 0.0183827, 0.0128262, 0.0065562, 0.00356708, 0.00288776" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0556075");
-            index_3 ("0.310697, 0.370367, 0.377701, 0.402956, 0.428211, 0.446057, 0.463902, 0.481747, 0.499593, 0.513783, 0.527973, 0.533979, 0.539984, 0.545989, 0.551994, 0.556239, 0.561898, 0.569545, 0.579025, 0.611632, 0.631318, 0.645206, 0.659095, 0.686441, 0.71671, 0.721094, 0.734246, 0.756443, 0.794928, 0.833412, 0.888969, 0.90542, 0.935506, 0.962776, 0.97641, 0.995564, 1.00945, 1.02333, 1.03963, 1.05594, 1.07699, 1.1092, 1.13152, 1.14214, 1.16338, 1.17399, 1.1889, 1.21872, 1.23363, 1.25729");
-            values ( \
-              "0.0719296, 0.0765838, 0.0822877, 0.0985875, 0.116189, 0.129412, 0.143284, 0.157806, 0.172979, 0.182455, 0.191329, 0.193243, 0.194469, 0.195006, 0.194856, 0.197159, 0.196685, 0.195594, 0.193825, 0.188686, 0.185464, 0.182853, 0.179998, 0.173067, 0.165658, 0.164362, 0.159511, 0.150609, 0.134035, 0.117004, 0.0930022, 0.0865442, 0.0753976, 0.0660361, 0.0616197, 0.0558093, 0.0517037, 0.047855, 0.0439172, 0.0402149, 0.0358696, 0.0296469, 0.025803, 0.0243103, 0.0215255, 0.0202334, 0.0185621, 0.0155543, 0.0142176, 0.0123147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.109934");
-            index_3 ("0.274793, 0.359382, 0.467807, 0.536457, 0.549754, 0.557865, 0.570252, 0.580104, 0.77081, 0.823069, 0.892678, 0.975426, 1.00386, 1.06072, 1.12861, 1.309, 1.42149, 1.50363, 1.56071, 1.62824, 1.71098, 1.74409, 1.81031, 1.88135, 1.9641, 2.05265, 2.10285, 2.1856, 2.26835, 2.3511, 2.51659, 2.68209, 2.93033");
-            values ( \
-              "0.0214587, 0.0721567, 0.151833, 0.198277, 0.20671, 0.210136, 0.21067, 0.208936, 0.195282, 0.191126, 0.184857, 0.175021, 0.170468, 0.16006, 0.145267, 0.103042, 0.0787706, 0.0634895, 0.054198, 0.0445651, 0.0348394, 0.0314539, 0.0256568, 0.0204658, 0.0157297, 0.0117783, 0.0100262, 0.00760513, 0.00580561, 0.00438099, 0.00251183, 0.00143486, 0.00063956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.523085, 0.608364, 0.624819, 0.641711, 0.664234, 0.717223, 0.745791, 0.769946, 0.791917, 0.81274, 0.833126, 0.853495, 0.880138, 0.896555, 0.923272, 0.933827, 0.955716, 0.972458, 0.991591, 1.02986, 1.08039, 1.21604, 1.24132, 1.25726");
-            values ( \
-              "0.00217462, 0.00342178, 0.00432208, 0.00566526, 0.00857222, 0.0203644, 0.0255338, 0.0287883, 0.0308632, 0.0320545, 0.0323146, 0.0302703, 0.0166601, 0.0111234, 0.005635, 0.00425357, 0.00233144, 0.00145855, 0.000843868, 0.000258752, 6.74846e-05, 2.05355e-05, 0.000730774, 0.000561373" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719678");
-            index_3 ("0.522458, 0.592, 0.640012, 0.684493, 0.737872, 0.772816, 0.802713, 0.830049, 0.855967, 0.881298, 0.906604, 0.939122, 0.960603, 0.987718, 1.00802, 1.02625, 1.05073, 1.07063, 1.11043, 1.2158, 1.23907, 1.26884, 1.29622");
-            values ( \
-              "0.00469659, 0.00480373, 0.00873257, 0.0174223, 0.0331011, 0.0410207, 0.0457328, 0.0490625, 0.0508637, 0.0515614, 0.0467815, 0.0270863, 0.0183913, 0.0106721, 0.00690108, 0.00458448, 0.00262809, 0.00164234, 0.00060884, 5.57301e-05, 0.0012573, 0.0010172, 0.000268076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0142278");
-            index_3 ("0.52213, 0.603711, 0.634789, 0.657572, 0.702664, 0.730431, 0.767455, 0.818166, 0.857504, 0.89349, 0.927441, 0.960507, 0.99352, 1.03464, 1.06274, 1.09413, 1.11593, 1.14083, 1.15911, 1.18917, 1.21582, 1.23409, 1.25452, 1.27499, 1.31594, 1.35799");
-            values ( \
-              "0.00675173, 0.00874903, 0.0123257, 0.0160945, 0.0283803, 0.0382495, 0.0499262, 0.061726, 0.0686568, 0.0737404, 0.0771281, 0.0780352, 0.0662773, 0.0420627, 0.0294505, 0.01875, 0.0134744, 0.00893114, 0.00666276, 0.00401373, 0.00246591, 0.00372453, 0.00342212, 0.00217521, 0.00101283, 0.00044355" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0281277");
-            index_3 ("0.52197, 0.610323, 0.639733, 0.671597, 0.685998, 0.7148, 0.750223, 0.807176, 0.83009, 0.891585, 0.94513, 0.993512, 1.03881, 1.08381, 1.18819, 1.21589, 1.23205, 1.27912, 1.32213, 1.36436, 1.38814, 1.4357, 1.51845, 1.53373");
-            values ( \
-              "0.00946111, 0.01348, 0.0179761, 0.0245783, 0.0284322, 0.0378523, 0.0511207, 0.0689502, 0.0749423, 0.0892994, 0.100356, 0.108864, 0.114507, 0.110988, 0.0585721, 0.0463212, 0.042938, 0.0271111, 0.0172489, 0.0109199, 0.00841568, 0.00492483, 0.0018244, 0.00161123" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0556075");
-            index_3 ("0.521541, 0.644704, 0.67473, 0.716038, 0.810438, 0.884684, 1.00398, 1.07664, 1.14254, 1.20517, 1.22701, 1.24454, 1.36796, 1.45675, 1.53646, 1.58394, 1.62514, 1.68007, 1.72778, 1.79176, 1.87451, 1.95726, 2.04001, 2.0687");
-            values ( \
-              "0.00839849, 0.024131, 0.0309661, 0.0431734, 0.0762577, 0.0977111, 0.129194, 0.146014, 0.158276, 0.161791, 0.157758, 0.151181, 0.0973665, 0.0641904, 0.0421887, 0.0322553, 0.0254299, 0.0183684, 0.0137448, 0.00929374, 0.00553829, 0.00325664, 0.00193692, 0.00175715" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.109934");
-            index_3 ("0.615537, 0.762654, 0.796911, 0.865423, 0.980873, 1.05385, 1.12278, 1.17007, 1.21583, 1.23666, 1.26475, 1.3767, 1.40852, 1.47216, 1.48804, 1.5198, 1.58332, 1.79658, 1.85202, 1.93477, 2.00561, 2.07729, 2.16003, 2.21453, 2.25238, 2.30283, 2.37383, 2.45657, 2.51227, 2.59502, 2.67777, 2.76051, 2.84326, 2.92601, 3.00876, 3.17425, 3.4225");
-            values ( \
-              "0.039121, 0.0632056, 0.075263, 0.0975311, 0.132898, 0.154216, 0.173227, 0.185318, 0.19616, 0.196692, 0.194751, 0.184868, 0.181509, 0.173098, 0.170492, 0.164908, 0.151886, 0.102294, 0.0899471, 0.0730935, 0.0604449, 0.0493894, 0.0387031, 0.0328317, 0.029234, 0.0249858, 0.019965, 0.0153009, 0.0127785, 0.00974342, 0.00741703, 0.00563415, 0.00427735, 0.00324192, 0.0024576, 0.00140905, 0.000609804" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.00309362, 0.00315173, 0.00320159, 0.00323758, 0.0032602, 0.00327307", \
-            "0.00405014, 0.00412395, 0.00420316, 0.00426767, 0.00431107, 0.00433698", \
-            "0.00481808, 0.0048441, 0.00489097, 0.00494503, 0.00498951, 0.00501932", \
-            "0.00539746, 0.00536853, 0.00534008, 0.00533229, 0.00534053, 0.00535248", \
-            "0.00578143, 0.00572473, 0.0056598, 0.00559591, 0.00554975, 0.00552592", \
-            "0.00602608, 0.00593208, 0.00585053, 0.00578263, 0.00571423, 0.00565335" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.00485147, 0.00490333, 0.00496516, 0.00501595, 0.00504909, 0.00506796", \
-            "0.00565395, 0.00553366, 0.00543592, 0.00536256, 0.005319, 0.00530498", \
-            "0.00581637, 0.00555034, 0.00531752, 0.00513599, 0.00501097, 0.00494649", \
-            "0.00633337, 0.00569725, 0.00527183, 0.00497617, 0.00478553, 0.00467151", \
-            "0.00640177, 0.00643728, 0.00586336, 0.00518928, 0.0048369, 0.00463403", \
-            "0.00606358, 0.00621983, 0.00635153, 0.00614658, 0.00523742, 0.00481088" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0249951, 0.0258296, 0.0285563, 0.0305582, 0.0343534, 0.0364295, 0.041505, 0.0432758, 0.0485415, 0.0580655, 0.0637785, 0.0696156, 0.0739811, 0.0828744, 0.0896307, 0.0913791");
-            values ( \
-              "-0.129673, -0.184601, -0.198068, -0.201962, -0.204471, -0.203874, -0.196839, -0.189609, -0.155233, -0.0807215, -0.0504939, -0.0300925, -0.0201604, -0.0087586, -0.0044908, -0.00400616" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00719678");
-            index_3 ("0.0249742, 0.026838, 0.0296173, 0.0334479, 0.0359874, 0.043518, 0.0511738, 0.0567339, 0.0616103, 0.0749789, 0.0805099, 0.0876576, 0.0967621, 0.106692, 0.117688, 0.130254, 0.132189");
-            values ( \
-              "-0.033943, -0.244671, -0.254009, -0.258511, -0.258676, -0.25583, -0.245971, -0.228062, -0.202675, -0.11539, -0.0865209, -0.0579631, -0.0336214, -0.0180903, -0.00899169, -0.00383342, -0.00365247" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0142278");
-            index_3 ("0.0261534, 0.0281514, 0.0307644, 0.0337617, 0.0376258, 0.0435768, 0.0521957, 0.0609464, 0.0697391, 0.0752895, 0.0793099, 0.0873505, 0.104904, 0.118412, 0.124157, 0.132591, 0.145421, 0.152104, 0.165762, 0.174132, 0.190874, 0.212699");
-            values ( \
-              "-0.22286, -0.290736, -0.296881, -0.300015, -0.30077, -0.299443, -0.295557, -0.289945, -0.281258, -0.272124, -0.263337, -0.237492, -0.158474, -0.105676, -0.0875553, -0.0655972, -0.041405, -0.032311, -0.0192633, -0.0139813, -0.00715802, -0.00317211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0281277");
-            index_3 ("0.0267802, 0.027714, 0.0299807, 0.0321867, 0.0346506, 0.0378005, 0.0439135, 0.0521616, 0.0693932, 0.0815724, 0.0896811, 0.10579, 0.115957, 0.12327, 0.137898, 0.142125, 0.177382, 0.19333, 0.199776, 0.209867, 0.219325, 0.231682, 0.241857, 0.253878, 0.270866, 0.278404, 0.288597, 0.302188, 0.32937, 0.379921, 0.438913");
-            values ( \
-              "-0.309657, -0.315537, -0.322338, -0.325744, -0.327554, -0.328231, -0.327658, -0.325695, -0.320632, -0.31626, -0.312679, -0.303719, -0.294628, -0.285965, -0.259519, -0.249224, -0.154023, -0.117319, -0.104434, -0.0865534, -0.0721247, -0.0564438, -0.0459378, -0.0358255, -0.0250244, -0.0213323, -0.0171677, -0.0128065, -0.00700065, -0.00203436, -0.000441431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0556075");
-            index_3 ("0.0270124, 0.0292473, 0.0311412, 0.0329671, 0.0359173, 0.0388181, 0.0466735, 0.0856759, 0.115537, 0.145948, 0.16126, 0.177126, 0.209639, 0.225015, 0.242587, 0.308063, 0.339953, 0.352869, 0.374474, 0.399165, 0.419031, 0.442351, 0.473445, 0.493097, 0.513215, 0.540039, 0.593687, 0.652679, 0.711671, 0.770664");
-            values ( \
-              "-0.326271, -0.336195, -0.340169, -0.342404, -0.344164, -0.344676, -0.344142, -0.338107, -0.33264, -0.325775, -0.321505, -0.316074, -0.299193, -0.286179, -0.265335, -0.166924, -0.125168, -0.110588, -0.0892109, -0.0690311, -0.0558658, -0.0433473, -0.0306195, -0.0245285, -0.0195246, -0.0143478, -0.00757887, -0.00372144, -0.00181566, -0.000889545" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.109934");
-            index_3 ("0.0270422, 0.0314976, 0.0369336, 0.0413281, 0.140193, 0.202077, 0.256784, 0.317118, 0.37611, 0.387496, 0.402677, 0.433039, 0.448381, 0.575232, 0.656309, 0.694226, 0.727863, 0.783806, 0.851147, 0.910139, 0.953132, 1.03283, 1.09182, 1.15081, 1.2688, 1.44578");
-            values ( \
-              "-0.335281, -0.349516, -0.353456, -0.353925, -0.345698, -0.339465, -0.332799, -0.322991, -0.307688, -0.303427, -0.296808, -0.279528, -0.268772, -0.167256, -0.113353, -0.0932015, -0.0778625, -0.0571746, -0.038959, -0.0275845, -0.021417, -0.0133057, -0.00932002, -0.0065079, -0.00316676, -0.00107551" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0383331, 0.0430105, 0.0463669, 0.0509291, 0.0517646, 0.0527907, 0.0544198, 0.0562902, 0.0592603, 0.0630771, 0.0660192, 0.0748359, 0.080599, 0.0839373, 0.0876591, 0.0920183, 0.0958466, 0.100921, 0.107688, 0.115327");
-            values ( \
-              "-0.015851, -0.12427, -0.152423, -0.182322, -0.192911, -0.199083, -0.200772, -0.2004, -0.196308, -0.180326, -0.159889, -0.0889676, -0.0559829, -0.0419942, -0.0301173, -0.0201977, -0.0140992, -0.00873332, -0.00450948, -0.00234999" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00719678");
-            index_3 ("0.0391482, 0.045361, 0.0530379, 0.0576329, 0.0642134, 0.0693164, 0.0748726, 0.0800384, 0.0952245, 0.102943, 0.113827, 0.123829, 0.136405, 0.146514");
-            values ( \
-              "-0.0255766, -0.182954, -0.253156, -0.256608, -0.252897, -0.245699, -0.228061, -0.200802, -0.103781, -0.0683002, -0.0359439, -0.0192821, -0.00867664, -0.00454564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0142278");
-            index_3 ("0.0400027, 0.0479065, 0.0525129, 0.0549453, 0.0592716, 0.0705363, 0.0825234, 0.0882581, 0.0972795, 0.102909, 0.108028, 0.126353, 0.139225, 0.150205, 0.164311, 0.173202, 0.180754, 0.200119, 0.22225, 0.224763");
-            values ( \
-              "-0.0384359, -0.241379, -0.291315, -0.29753, -0.299021, -0.295426, -0.28717, -0.280909, -0.264516, -0.247937, -0.227603, -0.145191, -0.0975984, -0.0673284, -0.0405792, -0.0291245, -0.0218908, -0.0103792, -0.00416361, -0.00390211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0281277");
-            index_3 ("0.0412952, 0.0478724, 0.0509208, 0.0519176, 0.0530651, 0.0544544, 0.0569692, 0.0608486, 0.0645771, 0.0694143, 0.0841838, 0.0921385, 0.111271, 0.121908, 0.129905, 0.141732, 0.148716, 0.158028, 0.165648, 0.190226, 0.198825, 0.215075, 0.221294, 0.230739, 0.243332, 0.253314, 0.264564, 0.279563, 0.291952, 0.304516, 0.321267, 0.354769, 0.404935, 0.463927");
-            values ( \
-              "-0.0383112, -0.269786, -0.288447, -0.308975, -0.320857, -0.32412, -0.326303, -0.327077, -0.326845, -0.325877, -0.32174, -0.319131, -0.31124, -0.305151, -0.298977, -0.286055, -0.275201, -0.255467, -0.235742, -0.168351, -0.146627, -0.110548, -0.0986737, -0.0826084, -0.0646108, -0.0529258, -0.0420852, -0.030765, -0.0236814, -0.0181294, -0.0126162, -0.00591912, -0.00173265, -0.000380854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0556075");
-            index_3 ("0.0427251, 0.0515592, 0.0527543, 0.0538856, 0.0554886, 0.0577807, 0.0603994, 0.0641742, 0.0685775, 0.0749666, 0.0831914, 0.097789, 0.104383, 0.117572, 0.134239, 0.164204, 0.164653, 0.192516, 0.215018, 0.228313, 0.254904, 0.263854, 0.328427, 0.356162, 0.371277, 0.395001, 0.422114, 0.43796, 0.456514, 0.477808, 0.507524, 0.519571, 0.543665, 0.591853, 0.650845, 0.709837, 0.76883");
-            values ( \
-              "-0.125864, -0.327769, -0.338754, -0.33623, -0.344758, -0.339887, -0.346939, -0.340817, -0.346737, -0.339565, -0.34461, -0.336023, -0.341214, -0.332656, -0.335768, -0.322787, -0.325778, -0.317339, -0.307277, -0.299126, -0.273673, -0.261877, -0.164615, -0.12826, -0.110974, -0.0876629, -0.0660131, -0.0557408, -0.0455711, -0.0360521, -0.0257443, -0.0225102, -0.0170498, -0.00970683, -0.00473621, -0.00235091, -0.0011141" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.109934");
-            index_3 ("0.0424935, 0.0570392, 0.0641255, 0.203125, 0.275447, 0.343956, 0.376225, 0.398592, 0.443325, 0.467041, 0.590236, 0.642136, 0.680172, 0.721993, 0.777755, 0.830559, 0.86848, 0.927473, 0.972438, 1.05628, 1.11527, 1.17427, 1.29225, 1.46923");
-            values ( \
-              "-0.19278, -0.351806, -0.353414, -0.341376, -0.332773, -0.321313, -0.313424, -0.306248, -0.28489, -0.268781, -0.170038, -0.133464, -0.110445, -0.0888502, -0.0655735, -0.0487946, -0.0392644, -0.0278013, -0.0213397, -0.0129272, -0.00905093, -0.00632173, -0.00307646, -0.00104295" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0601639, 0.0833467, 0.0938528, 0.0983818, 0.102739, 0.107277, 0.112565, 0.112627, 0.122535, 0.128698, 0.134471, 0.138371, 0.144752, 0.153258, 0.179144");
-            values ( \
-              "-0.0029392, -0.109481, -0.13936, -0.149359, -0.152443, -0.135954, -0.0996154, -0.100818, -0.0516689, -0.0300507, -0.0176425, -0.0122357, -0.00665256, -0.00290367, -0.00021841" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00719678");
-            index_3 ("0.0621788, 0.0837707, 0.0904767, 0.100513, 0.104137, 0.110077, 0.112622, 0.11415, 0.117665, 0.121938, 0.133083, 0.140852, 0.146521, 0.151441, 0.156113, 0.162343, 0.166025, 0.175813, 0.186998, 0.195701");
-            values ( \
-              "-0.00454777, -0.140129, -0.166161, -0.200914, -0.211251, -0.224905, -0.225275, -0.228801, -0.218809, -0.194449, -0.121234, -0.0809436, -0.05875, -0.043944, -0.0331306, -0.0224447, -0.0178357, -0.00955865, -0.00454617, -0.00289599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0142278");
-            index_3 ("0.0636033, 0.0893375, 0.113833, 0.115212, 0.116636, 0.1215, 0.129137, 0.136891, 0.141827, 0.148895, 0.166524, 0.179826, 0.191233, 0.204937, 0.211519, 0.224997, 0.233168, 0.249509, 0.27201");
-            values ( \
-              "-0.0093971, -0.189756, -0.286713, -0.2902, -0.290388, -0.288222, -0.28085, -0.267385, -0.254341, -0.227601, -0.148198, -0.0984932, -0.0670065, -0.0409709, -0.032112, -0.0192792, -0.0140822, -0.00735365, -0.00309544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0281277");
-            index_3 ("0.063761, 0.098677, 0.114419, 0.116881, 0.125631, 0.137077, 0.161563, 0.173955, 0.182845, 0.200248, 0.238553, 0.255045, 0.275333, 0.290219, 0.303449, 0.321089, 0.332817, 0.34597, 0.363508, 0.398585, 0.427787");
-            values ( \
-              "-0.0157634, -0.251718, -0.318244, -0.322904, -0.321465, -0.317761, -0.306153, -0.296293, -0.285919, -0.253009, -0.150146, -0.113002, -0.0773396, -0.0576415, -0.0440865, -0.0304563, -0.0238012, -0.0179951, -0.0122832, -0.00555726, -0.00325967" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0556075");
-            index_3 ("0.0638737, 0.0997815, 0.114208, 0.11613, 0.122187, 0.138582, 0.175414, 0.219147, 0.244217, 0.269487, 0.295401, 0.305018, 0.369021, 0.395991, 0.412159, 0.437566, 0.466602, 0.493922, 0.513543, 0.546532, 0.579938, 0.605798, 0.657517, 0.716509, 0.834493");
-            values ( \
-              "-0.00981252, -0.269143, -0.335778, -0.34065, -0.341343, -0.339243, -0.332627, -0.322097, -0.313111, -0.299107, -0.274517, -0.261862, -0.165445, -0.129868, -0.111306, -0.0864058, -0.063727, -0.0474919, -0.0382649, -0.0263719, -0.0180584, -0.0134108, -0.00725727, -0.00356032, -0.000849774" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.109934");
-            index_3 ("0.076096, 0.0958588, 0.11394, 0.11539, 0.116951, 0.123247, 0.134288, 0.178849, 0.243537, 0.287213, 0.316998, 0.37599, 0.385506, 0.417774, 0.440143, 0.48488, 0.508592, 0.631787, 0.683687, 0.714753, 0.735664, 0.763545, 0.819307, 0.843669, 0.872109, 0.910029, 0.969021, 1.00001, 1.04194, 1.09784, 1.15683, 1.21582, 1.27481, 1.3928, 1.51078");
-            values ( \
-              "-0.145591, -0.255305, -0.345039, -0.349893, -0.350912, -0.351937, -0.351389, -0.347617, -0.341466, -0.336604, -0.332799, -0.32331, -0.321313, -0.313424, -0.306249, -0.284887, -0.268781, -0.170038, -0.133464, -0.11442, -0.102829, -0.0888506, -0.0655729, -0.0572708, -0.0487947, -0.0392654, -0.0278014, -0.0231755, -0.0180851, -0.0129271, -0.00905036, -0.00632184, -0.00441478, -0.00214681, -0.00104262" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.113218, 0.123523, 0.130788, 0.138621, 0.149708, 0.158609, 0.173477, 0.180063, 0.186478, 0.193462, 0.201171, 0.205993, 0.212181, 0.219138, 0.224697, 0.234918, 0.249021, 0.25276, 0.257392, 0.260473");
-            values ( \
-              "-0.00322886, -0.0222556, -0.0330789, -0.0506605, -0.0678309, -0.0796, -0.0967901, -0.101964, -0.102846, -0.0852484, -0.0543969, -0.0392707, -0.0244964, -0.0140376, -0.00861758, -0.00355702, -0.000989712, -0.00345209, -0.00354539, -0.00257983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00719678");
-            index_3 ("0.115985, 0.125172, 0.127969, 0.133563, 0.14263, 0.146238, 0.153454, 0.160452, 0.171923, 0.181899, 0.190942, 0.199392, 0.207735, 0.216059, 0.217116, 0.21923, 0.229265, 0.232511, 0.238199, 0.242887, 0.247061, 0.249587, 0.252096, 0.254991, 0.260743, 0.266542, 0.272099");
-            values ( \
-              "-0.0237596, -0.0325066, -0.0363119, -0.0473373, -0.0704836, -0.077961, -0.0917685, -0.103704, -0.122435, -0.13726, -0.149284, -0.157095, -0.154132, -0.125511, -0.122765, -0.112808, -0.0727044, -0.0622139, -0.0460087, -0.0354022, -0.0278329, -0.0239659, -0.0243506, -0.0224248, -0.0159764, -0.0111558, -0.00804297" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0142278");
-            index_3 ("0.123847, 0.132112, 0.154319, 0.176385, 0.191681, 0.204969, 0.217048, 0.228454, 0.240473, 0.248964, 0.253558, 0.268299, 0.278577, 0.290657, 0.302992, 0.312505, 0.322497, 0.330166, 0.345502, 0.360825");
-            values ( \
-              "-0.040971, -0.0542766, -0.109985, -0.153705, -0.181757, -0.203595, -0.220529, -0.228643, -0.21163, -0.180671, -0.1676, -0.10952, -0.0780627, -0.0510455, -0.0324568, -0.022658, -0.0154967, -0.0115247, -0.00626875, -0.00384447" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0281277");
-            index_3 ("0.124515, 0.143215, 0.157287, 0.174585, 0.200813, 0.221947, 0.240375, 0.24893, 0.252797, 0.257269, 0.272392, 0.285355, 0.293226, 0.330609, 0.346733, 0.366177, 0.381555, 0.391133, 0.408701, 0.423844, 0.441182, 0.464301, 0.510127, 0.563243");
-            values ( \
-              "-0.0189193, -0.089495, -0.126876, -0.165962, -0.220152, -0.259528, -0.289855, -0.300878, -0.304237, -0.303167, -0.28875, -0.267628, -0.249154, -0.148843, -0.112657, -0.0783685, -0.0578429, -0.0476802, -0.0331715, -0.0240725, -0.016631, -0.0100279, -0.00336581, -0.000903921" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0556075");
-            index_3 ("0.124468, 0.154016, 0.174179, 0.198962, 0.221138, 0.236292, 0.248883, 0.254115, 0.26053, 0.266929, 0.279727, 0.297289, 0.312637, 0.328525, 0.35994, 0.367623, 0.376402, 0.393962, 0.45885, 0.490946, 0.504148, 0.526467, 0.551974, 0.570482, 0.59219, 0.621133, 0.643347, 0.665923, 0.696024, 0.755016, 0.814008, 0.873001, 0.931993");
-            values ( \
-              "-0.0119412, -0.123651, -0.173937, -0.229655, -0.276082, -0.305586, -0.328447, -0.333351, -0.334181, -0.332411, -0.330143, -0.325875, -0.321445, -0.316129, -0.299945, -0.294136, -0.286191, -0.265367, -0.167798, -0.125648, -0.110717, -0.0886729, -0.0680047, -0.0558303, -0.0440954, -0.0319357, -0.0248561, -0.0192396, -0.0136016, -0.00670324, -0.00328741, -0.00160481, -0.00078448" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.109934");
-            index_3 ("0.124378, 0.16828, 0.197986, 0.234052, 0.248794, 0.254489, 0.262235, 0.269267, 0.31462, 0.407579, 0.465146, 0.490736, 0.519983, 0.554879, 0.599957, 0.704468, 0.731432, 0.785361, 0.823505, 0.877919, 0.923028, 0.960779, 1.00384, 1.06283, 1.0917, 1.1302, 1.18154, 1.24053, 1.29952, 1.35852, 1.4765, 1.59448");
-            values ( \
-              "-0.015651, -0.164678, -0.235229, -0.313029, -0.341693, -0.347366, -0.348726, -0.347486, -0.343651, -0.333048, -0.323711, -0.318219, -0.310209, -0.296543, -0.268845, -0.184587, -0.163991, -0.127004, -0.104809, -0.0786154, -0.0613362, -0.0496228, -0.0387663, -0.0274403, -0.0231607, -0.0184452, -0.0135619, -0.0094954, -0.00663595, -0.00463272, -0.0022525, -0.00109338" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.208118, 0.21693, 0.23155, 0.233817, 0.238353, 0.246604, 0.250886, 0.259451, 0.275469, 0.286081, 0.300603, 0.313031, 0.32417, 0.334453, 0.344284, 0.354096, 0.372155, 0.380886, 0.386523, 0.391148, 0.399, 0.409469, 0.425009, 0.443925, 0.463947");
-            values ( \
-              "-0.0039884, -0.00470407, -0.00785784, -0.00877085, -0.00992543, -0.0129857, -0.0149566, -0.0203923, -0.0336616, -0.0408751, -0.0494072, -0.0561817, -0.0614732, -0.0657155, -0.0679246, -0.0641856, -0.0292295, -0.0168185, -0.0113725, -0.00818541, -0.004624, -0.00208419, -0.000637896, -0.000224221, -0.00018581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00719678");
-            index_3 ("0.2162, 0.221479, 0.238397, 0.245045, 0.253909, 0.259137, 0.267282, 0.277403, 0.289261, 0.300933, 0.319088, 0.334625, 0.348595, 0.361508, 0.373896, 0.386257, 0.405609, 0.410247, 0.418164, 0.421929, 0.429459, 0.438338, 0.444476, 0.456751, 0.476976, 0.501095, 0.550388, 0.559606");
-            values ( \
-              "-0.00809309, -0.00896009, -0.0148159, -0.01808, -0.0231112, -0.0268434, -0.0341102, -0.0446974, -0.0554889, -0.0647765, -0.0781578, -0.0885111, -0.0969619, -0.103303, -0.106399, -0.0972379, -0.0533053, -0.0438335, -0.0304564, -0.025348, -0.0172624, -0.0108159, -0.00775627, -0.00388669, -0.00113642, -0.000297041, -6.32751e-05, -0.00144412" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0142278");
-            index_3 ("0.221292, 0.251058, 0.263122, 0.275186, 0.29417, 0.314436, 0.344765, 0.35536, 0.368703, 0.387122, 0.40415, 0.420621, 0.438151, 0.462156, 0.47261, 0.484003, 0.489349, 0.500043, 0.513353, 0.522364, 0.540388, 0.550147, 0.557642, 0.566992, 0.575503, 0.592525, 0.609171");
-            values ( \
-              "-0.00588089, -0.0285595, -0.036984, -0.0490505, -0.0702931, -0.0892382, -0.11475, -0.123075, -0.133082, -0.145592, -0.154755, -0.157113, -0.134984, -0.0788337, -0.0584097, -0.0408725, -0.03449, -0.0237746, -0.0148557, -0.0108586, -0.0053681, -0.00384848, -0.00520667, -0.00447842, -0.00293009, -0.00159777, -0.000723023" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0281277");
-            index_3 ("0.232747, 0.260076, 0.266144, 0.275765, 0.291943, 0.320462, 0.361423, 0.393648, 0.421385, 0.446349, 0.469616, 0.492623, 0.515577, 0.518449, 0.524192, 0.535678, 0.550726, 0.555248, 0.556146, 0.557943, 0.561536, 0.568723, 0.576845, 0.587512, 0.589649, 0.593921, 0.602467, 0.619558, 0.629472, 0.63287, 0.639664, 0.653254, 0.680434, 0.699327");
-            values ( \
-              "-0.0225035, -0.0406577, -0.046098, -0.0548978, -0.0727853, -0.104753, -0.142556, -0.171919, -0.193604, -0.212073, -0.222785, -0.218314, -0.178583, -0.174139, -0.160984, -0.137346, -0.106984, -0.101919, -0.100658, -0.0978345, -0.0917609, -0.0801839, -0.0684668, -0.0553801, -0.0530334, -0.048657, -0.0408277, -0.0285327, -0.0231246, -0.0215333, -0.0186207, -0.0139106, -0.00760046, -0.00555777" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0556075");
-            index_3 ("0.238991, 0.272427, 0.358032, 0.414653, 0.459263, 0.497843, 0.532775, 0.550913, 0.557691, 0.565948, 0.588358, 0.676997, 0.711327, 0.746619, 0.788302, 0.810902, 0.842786, 0.876181, 0.901797, 0.95303, 0.984057");
-            values ( \
-              "-0.0299953, -0.0565603, -0.148988, -0.204228, -0.244388, -0.275351, -0.297788, -0.304327, -0.303776, -0.299203, -0.27858, -0.149527, -0.108322, -0.0758091, -0.0485729, -0.0378597, -0.0264306, -0.0181009, -0.0134773, -0.00734179, -0.00537326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.109934");
-            index_3 ("0.269419, 0.34404, 0.410416, 0.490077, 0.547187, 0.553316, 0.558027, 0.612052, 0.64175, 0.672474, 0.731466, 0.758035, 0.788399, 0.803738, 0.930593, 0.987428, 1.02791, 1.08321, 1.12726, 1.16296, 1.2065, 1.26549, 1.33503, 1.38814, 1.44713, 1.50613, 1.56512, 1.6831, 1.80109");
-            values ( \
-              "-0.0791414, -0.140096, -0.20948, -0.286182, -0.334765, -0.338853, -0.339435, -0.332783, -0.328465, -0.322969, -0.307707, -0.296806, -0.279527, -0.268773, -0.167253, -0.127923, -0.104331, -0.0778668, -0.0611051, -0.0500115, -0.0389596, -0.0275854, -0.0183075, -0.0133093, -0.00932258, -0.00650969, -0.00454932, -0.00221327, -0.00107575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.413052, 0.4569, 0.47281, 0.485225, 0.501378, 0.509512, 0.52578, 0.5416, 0.565745, 0.590031, 0.608443, 0.638619, 0.655458, 0.671466, 0.687311, 0.69918, 0.713333, 0.721768, 0.733523, 0.741964, 0.746909, 0.755235, 0.766337, 0.788541, 0.817268, 0.856187, 0.878544");
-            values ( \
-              "-0.00127885, -0.00281778, -0.00382972, -0.00475091, -0.00623743, -0.00723735, -0.00984266, -0.0140972, -0.0214668, -0.0275662, -0.031706, -0.0375805, -0.0401997, -0.0418125, -0.0410214, -0.0338994, -0.0206114, -0.0140226, -0.00780203, -0.00501005, -0.00391421, -0.00262646, -0.00162089, -0.000724191, -0.000391811, -0.000174458, -0.000145553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719678");
-            index_3 ("0.418736, 0.454494, 0.474929, 0.495254, 0.520489, 0.55261, 0.596278, 0.638066, 0.672754, 0.693076, 0.712409, 0.731569, 0.773476, 0.787244, 0.798741, 0.822252, 0.847239, 0.877672, 1.20588, 1.21596, 1.22723, 1.24439");
-            values ( \
-              "-0.00246534, -0.00449939, -0.00645566, -0.00894421, -0.013142, -0.0226249, -0.0392946, -0.0522238, -0.0614207, -0.065768, -0.0685797, -0.0668441, -0.0239731, -0.013953, -0.00865319, -0.00310177, -0.00112882, -0.000294767, -1e-22, -6.23347e-05, -0.000702524, -0.000407033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0142278");
-            index_3 ("0.452673, 0.496212, 0.51709, 0.539034, 0.570376, 0.579784, 0.608207, 0.66736, 0.699078, 0.727349, 0.753359, 0.778165, 0.802924, 0.811355, 0.846104, 0.856579, 0.865574, 0.877566, 0.887802, 0.90223, 0.921468, 0.955587, 0.995382, 1.04541, 1.21588, 1.23753");
-            values ( \
-              "-0.0103413, -0.0132039, -0.0175475, -0.0233476, -0.0356581, -0.0403177, -0.0527458, -0.0755016, -0.0862143, -0.0949624, -0.101518, -0.105499, -0.100446, -0.0927091, -0.0493769, -0.0381398, -0.0300884, -0.0214919, -0.0159297, -0.0103075, -0.00559271, -0.00168264, -0.000425344, -0.000100864, -2.91329e-05, -0.000844191" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0281277");
-            index_3 ("0.45184, 0.518376, 0.556158, 0.681899, 0.731417, 0.773704, 0.811526, 0.846428, 0.879921, 0.914493, 0.980862, 1.00746, 1.02143, 1.04118, 1.06275, 1.07949, 1.11298, 1.1702, 1.21584, 1.23682, 1.26578");
-            values ( \
-              "-0.00745887, -0.023006, -0.0350908, -0.0923018, -0.112133, -0.12765, -0.140087, -0.149474, -0.153713, -0.138354, -0.0616971, -0.0395272, -0.0307923, -0.0212937, -0.0141008, -0.010142, -0.00506691, -0.00133602, -0.000488495, -0.00149346, -0.00100415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0556075");
-            index_3 ("0.451395, 0.570254, 0.607999, 0.705114, 0.759644, 0.844274, 0.898068, 0.936845, 0.97377, 0.98498, 1.0074, 1.03153, 1.07809, 1.14364, 1.18058, 1.21567, 1.26312, 1.29196, 1.31616, 1.34843, 1.40743, 1.46642, 1.52541, 1.5844");
-            values ( \
-              "-0.00255826, -0.045902, -0.0628957, -0.110267, -0.134135, -0.168825, -0.188806, -0.201639, -0.211668, -0.213841, -0.216465, -0.213685, -0.181341, -0.112705, -0.0803769, -0.0564831, -0.0348516, -0.0251994, -0.0191411, -0.0131769, -0.00650092, -0.00317883, -0.00155987, -0.000754763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.109934");
-            index_3 ("0.510444, 0.591464, 0.721649, 0.811745, 0.937968, 1.01064, 1.04702, 1.10601, 1.11965, 1.14692, 1.18779, 1.21586, 1.23267, 1.26843, 1.34107, 1.38743, 1.44564, 1.46599, 1.49711, 1.53266, 1.57897, 1.61902, 1.66154, 1.72053, 1.74846, 1.78547, 1.83481, 1.8938, 1.9528, 2.01179, 2.12977, 2.24776");
-            values ( \
-              "-0.0387741, -0.0589311, -0.1228, -0.164437, -0.219059, -0.247827, -0.261075, -0.280218, -0.283916, -0.290391, -0.295172, -0.292438, -0.285161, -0.260167, -0.200763, -0.164592, -0.124801, -0.112731, -0.0960215, -0.0794765, -0.0615947, -0.0491898, -0.0385571, -0.0272767, -0.0231496, -0.0186001, -0.0138548, -0.00969093, -0.0067838, -0.00472573, -0.00229483, -0.00111097" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.00304885, 0.00308044, 0.00310997, 0.00313201, 0.00314596, 0.00315393", \
-            "0.00371957, 0.00373861, 0.00376173, 0.00378274, 0.0037978, 0.00380718", \
-            "0.00416453, 0.00416752, 0.0041747, 0.00418534, 0.00419576, 0.00420339", \
-            "0.00443312, 0.00442957, 0.00442589, 0.00442315, 0.00442457, 0.00442743", \
-            "0.00457309, 0.00456573, 0.00455588, 0.00454588, 0.00453824, 0.00453422", \
-            "0.00464567, 0.00463803, 0.00462744, 0.00461505, 0.00460192, 0.00459149" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.00434809, 0.00437164, 0.00437667, 0.00440447, 0.00441295, 0.00441995", \
-            "0.00482838, 0.00480612, 0.00479021, 0.00478037, 0.00477399, 0.00477003", \
-            "0.00501109, 0.00493195, 0.0048698, 0.00482005, 0.00479381, 0.00477537", \
-            "0.0052776, 0.00506304, 0.0048982, 0.00479006, 0.00472125, 0.00467901", \
-            "0.00597792, 0.00551535, 0.00516236, 0.0049152, 0.00476141, 0.00467528", \
-            "0.00725395, 0.00684254, 0.00578163, 0.00527381, 0.00495614, 0.00476892" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : negative_unate;
-        timing_type : three_state_disable;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.0448432, 0.0448388, 0.0448347, 0.0448316, 0.0448295, 0.0448283", \
-            "0.0510683, 0.0510649, 0.0510606, 0.0510568, 0.0510539, 0.0510521", \
-            "0.0662979, 0.0663358, 0.0663196, 0.0662769, 0.0662205, 0.0661784", \
-            "0.092746, 0.0927558, 0.0927611, 0.0927588, 0.0927539, 0.0927501", \
-            "0.169949, 0.16995, 0.16995, 0.169951, 0.169951, 0.169951", \
-            "0.372904, 0.372905, 0.372905, 0.372906, 0.372906, 0.372906" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.0448432, 0.0448388, 0.0448347, 0.0448316, 0.0448295, 0.0448283", \
-            "0.0510683, 0.0510649, 0.0510606, 0.0510568, 0.0510539, 0.0510521", \
-            "0.0662979, 0.0663358, 0.0663196, 0.0662769, 0.0662205, 0.0661784", \
-            "0.092746, 0.0927558, 0.0927611, 0.0927588, 0.0927539, 0.0927501", \
-            "0.169949, 0.16995, 0.16995, 0.169951, 0.169951, 0.169951", \
-            "0.372904, 0.372905, 0.372905, 0.372906, 0.372906, 0.372906" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.0453534, 0.0453963, 0.0454417, 0.0454766, 0.0455016, 0.0455167", \
-            "0.0515189, 0.0515202, 0.0515186, 0.0515138, 0.05151, 0.0515074", \
-            "0.0665989, 0.0665953, 0.0666525, 0.0666591, 0.0666338, 0.0666445", \
-            "0.0933341, 0.0933604, 0.0933857, 0.0934067, 0.093416, 0.0934232", \
-            "0.169946, 0.169947, 0.169948, 0.169949, 0.169949, 0.169949", \
-            "0.372932, 0.372932, 0.372932, 0.372932, 0.372932, 0.372932" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.0453534, 0.0453963, 0.0454417, 0.0454766, 0.0455016, 0.0455167", \
-            "0.0515189, 0.0515202, 0.0515186, 0.0515138, 0.05151, 0.0515074", \
-            "0.0665989, 0.0665953, 0.0666525, 0.0666591, 0.0666338, 0.0666445", \
-            "0.0933341, 0.0933604, 0.0933857, 0.0934067, 0.093416, 0.0934232", \
-            "0.169946, 0.169947, 0.169948, 0.169949, 0.169949, 0.169949", \
-            "0.372932, 0.372932, 0.372932, 0.372932, 0.372932, 0.372932" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00412658", \
-            "0.00521316", \
-            "0.00599964", \
-            "0.00653922", \
-            "0.006909", \
-            "0.00721859" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0065722", \
-            "0.00738967", \
-            "0.00775269", \
-            "0.0082019", \
-            "0.00784667", \
-            "0.00739671" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.00439887, 0.00440475, 0.00441041, 0.00441473, 0.00441751, 0.00441911", \
-            "0.00512527, 0.00512888, 0.00513339, 0.00513762, 0.00514072, 0.00514266", \
-            "0.0055744, 0.00557553, 0.0055776, 0.00558034, 0.00558293, 0.0055848", \
-            "0.0058474, 0.00584763, 0.00584806, 0.0058489, 0.00585018, 0.00585147", \
-            "0.00599232, 0.00599227, 0.00599226, 0.0059923, 0.00599253, 0.00599301", \
-            "0.00607218, 0.00607207, 0.0060719, 0.00607168, 0.00607146, 0.00607134" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.00575596, 0.00576242, 0.00576876, 0.00577361, 0.00577667, 0.00577839", \
-            "0.00635234, 0.00635733, 0.00636169, 0.00636473, 0.00636644, 0.00636727", \
-            "0.00678865, 0.00679334, 0.00679683, 0.00679871, 0.00679938, 0.00679945", \
-            "0.00734961, 0.00735406, 0.00735773, 0.00735998, 0.00736087, 0.00736097", \
-            "0.00840011, 0.00840538, 0.0084097, 0.0084126, 0.00841414, 0.00841469", \
-            "0.00871289, 0.0087173, 0.00872118, 0.008724, 0.00872573, 0.00872662" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : positive_unate;
-        timing_type : three_state_enable;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.0755668, 0.0912195, 0.121783, 0.181956, 0.300847, 0.535737", \
-            "0.080325, 0.0959694, 0.126546, 0.186718, 0.305582, 0.540497", \
-            "0.0885594, 0.10423, 0.134802, 0.194978, 0.313843, 0.548759", \
-            "0.0963248, 0.112149, 0.142901, 0.203187, 0.322035, 0.556949", \
-            "0.0997578, 0.115849, 0.146692, 0.207064, 0.326122, 0.561052", \
-            "0.08889, 0.105861, 0.137445, 0.198185, 0.317336, 0.552483" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.0428375, 0.064564, 0.107657, 0.19279, 0.360912, 0.692753", \
-            "0.0428416, 0.0645654, 0.107657, 0.192797, 0.36082, 0.692754", \
-            "0.0430666, 0.0646905, 0.107694, 0.192799, 0.360851, 0.692753", \
-            "0.0435871, 0.065266, 0.108069, 0.192998, 0.360911, 0.69275", \
-            "0.0447114, 0.065939, 0.108449, 0.193335, 0.361173, 0.692845", \
-            "0.0481532, 0.0687367, 0.110264, 0.194042, 0.361427, 0.692995" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.0284879, 0.0381832, 0.0565801, 0.0924677, 0.163242, 0.303153", \
-            "0.0326529, 0.0424163, 0.060845, 0.0967495, 0.167548, 0.307454", \
-            "0.0395958, 0.050967, 0.0699987, 0.106016, 0.17688, 0.316823", \
-            "0.0462356, 0.0621565, 0.0867052, 0.126081, 0.1973, 0.337421", \
-            "0.0437935, 0.0678873, 0.104011, 0.15757, 0.240195, 0.382388", \
-            "0.00968956, 0.0475855, 0.103911, 0.184113, 0.300489, 0.473652" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.0239198, 0.0359894, 0.0598277, 0.107681, 0.203069, 0.392164", \
-            "0.0247415, 0.0362295, 0.0598544, 0.107689, 0.203088, 0.392167", \
-            "0.0303629, 0.0402343, 0.0616354, 0.107764, 0.203093, 0.392196", \
-            "0.0434159, 0.054265, 0.0738576, 0.11408, 0.204056, 0.392169", \
-            "0.0672369, 0.0812903, 0.104189, 0.144406, 0.222856, 0.39675", \
-            "0.109412, 0.129098, 0.159668, 0.209349, 0.293448, 0.446652" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0540635, 0.0609911, 0.0643014, 0.0676128, 0.0701104, 0.0751058, 0.0756733, 0.0768081, 0.0812755, 0.08711, 0.0897369, 0.0934459, 0.099965, 0.104123, 0.107319, 0.113001, 0.118371, 0.12397, 0.131472, 0.138225, 0.143465, 0.15427, 0.169129, 0.181433, 0.204575, 0.23356");
-            values ( \
-              "0.00294317, 0.0916998, 0.106307, 0.114803, 0.116988, 0.118756, 0.11813, 0.118061, 0.115331, 0.10921, 0.104922, 0.0963689, 0.0745983, 0.0627235, 0.0549976, 0.0443741, 0.0366634, 0.030275, 0.0234781, 0.0188335, 0.0157835, 0.0109875, 0.00663324, 0.00435946, 0.00194072, 0.000678603" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00719678");
-            index_3 ("0.0540494, 0.0626487, 0.0655684, 0.0678024, 0.0722706, 0.076448, 0.0849558, 0.0934685, 0.102752, 0.109513, 0.129437, 0.141047, 0.149773, 0.159746, 0.168802, 0.179959, 0.191818, 0.205408, 0.214072, 0.226167, 0.23992, 0.258257, 0.294932, 0.338627");
-            values ( \
-              "0.0137278, 0.124786, 0.138015, 0.144486, 0.151236, 0.153091, 0.151472, 0.146489, 0.13838, 0.129349, 0.0839699, 0.0636361, 0.051863, 0.0408494, 0.0328884, 0.0249879, 0.0185246, 0.0130803, 0.0104523, 0.00758812, 0.0052734, 0.00321937, 0.00109324, 0.000294919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0142278");
-            index_3 ("0.0570224, 0.0642884, 0.0666155, 0.0706907, 0.0740998, 0.0809179, 0.0883743, 0.102804, 0.117709, 0.133309, 0.13677, 0.141386, 0.150124, 0.174954, 0.183896, 0.194532, 0.205787, 0.218057, 0.238045, 0.246534, 0.263511, 0.277486, 0.295323, 0.318391, 0.328991, 0.345254, 0.366938, 0.410306, 0.47375, 0.55013");
-            values ( \
-              "0.0986568, 0.151914, 0.162451, 0.172987, 0.177476, 0.180305, 0.179884, 0.175242, 0.168565, 0.159649, 0.157115, 0.153265, 0.143925, 0.108051, 0.0960645, 0.0831561, 0.0710919, 0.0593766, 0.043643, 0.0380956, 0.0287477, 0.0226933, 0.0166555, 0.0110239, 0.0091251, 0.00680743, 0.00457116, 0.00198238, 0.000519017, 9.46708e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0281277");
-            index_3 ("0.0600567, 0.0858046, 0.0957086, 0.109268, 0.137755, 0.164287, 0.184246, 0.208061, 0.224078, 0.301778, 0.338252, 0.366926, 0.391105, 0.409853, 0.433984, 0.453938, 0.4774, 0.510552, 0.545028, 0.571432, 0.624242, 0.700622, 0.710305");
-            values ( \
-              "0.194847, 0.198869, 0.198364, 0.196018, 0.189265, 0.182289, 0.176174, 0.166765, 0.1576, 0.0968081, 0.0720455, 0.0557387, 0.0443706, 0.0368989, 0.0288924, 0.023507, 0.0183447, 0.012818, 0.00881082, 0.00658278, 0.00360877, 0.00145811, 0.00138082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0556075");
-            index_3 ("0.0628303, 0.0628503, 0.136026, 0.258301, 0.310405, 0.339166, 0.369964, 0.428775, 0.51284, 0.584981, 0.668484, 0.716861, 0.761139, 0.816876, 0.882977, 0.95816, 1.01817, 1.17093, 1.21047");
-            values ( \
-              "1e-22, 0.225923, 0.206306, 0.189733, 0.18108, 0.174755, 0.165893, 0.142627, 0.105722, 0.0768877, 0.0503696, 0.0386177, 0.0300472, 0.021699, 0.0145591, 0.00920961, 0.00633768, 0.00240717, 0.00208808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.109934");
-            index_3 ("0.0660997, 0.0661197, 0.187051, 0.342621, 0.519147, 0.600417, 0.658311, 0.783265, 1.08241, 1.21409, 1.39859, 1.4867, 1.63078, 1.83578, 2.10435");
-            values ( \
-              "1e-22, 0.238646, 0.211858, 0.201392, 0.187479, 0.17891, 0.170587, 0.145349, 0.0775697, 0.0543208, 0.0314608, 0.023987, 0.0151517, 0.0077065, 0.00336505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0726882, 0.079686, 0.0829999, 0.0863147, 0.0888122, 0.0938073, 0.09998, 0.105815, 0.10845, 0.112151, 0.118671, 0.122827, 0.126021, 0.131707, 0.137081, 0.142679, 0.150179, 0.156927, 0.162162, 0.172976, 0.187848, 0.200139, 0.223255, 0.251406, 0.285416");
-            values ( \
-              "0.00216544, 0.0915258, 0.106276, 0.114696, 0.116981, 0.118688, 0.115294, 0.109181, 0.104923, 0.0963443, 0.0746124, 0.0627274, 0.0550046, 0.0443712, 0.0366543, 0.0302756, 0.0234735, 0.0188445, 0.0157795, 0.0109786, 0.00662103, 0.00435015, 0.0019332, 0.000711546, 0.00015325" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00719678");
-            index_3 ("0.0726648, 0.0813205, 0.0844145, 0.0865009, 0.0906739, 0.0951481, 0.103655, 0.11217, 0.121453, 0.128191, 0.148139, 0.159749, 0.168473, 0.178443, 0.187504, 0.198668, 0.210521, 0.224101, 0.232768, 0.244866, 0.258627, 0.276976, 0.313673, 0.357059");
-            values ( \
-              "0.0123981, 0.124505, 0.138477, 0.144445, 0.150901, 0.153077, 0.151455, 0.146493, 0.138379, 0.129387, 0.083968, 0.0636367, 0.0518653, 0.0408552, 0.0328891, 0.024984, 0.0185231, 0.0130838, 0.0104533, 0.00758933, 0.0052732, 0.00321725, 0.00109242, 0.000297605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0142278");
-            index_3 ("0.0726247, 0.0801576, 0.0819459, 0.0852995, 0.0883473, 0.0927876, 0.100146, 0.107064, 0.121494, 0.136399, 0.15537, 0.168814, 0.193667, 0.213226, 0.236735, 0.252486, 0.265233, 0.28223, 0.296177, 0.313981, 0.33707, 0.36397, 0.385691, 0.429132, 0.459002");
-            values ( \
-              "0.00310479, 0.132957, 0.145974, 0.162274, 0.170904, 0.177359, 0.180482, 0.179785, 0.175142, 0.16847, 0.157189, 0.143938, 0.108014, 0.0831482, 0.0593854, 0.0466444, 0.0380918, 0.0287313, 0.0226907, 0.0166631, 0.0110277, 0.00680565, 0.00456428, 0.00197841, 0.00128813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0281277");
-            index_3 ("0.0768514, 0.0915873, 0.0983404, 0.104491, 0.128318, 0.156433, 0.194583, 0.222703, 0.242765, 0.320542, 0.356124, 0.410549, 0.472264, 0.529109, 0.590698, 0.644169, 0.669349");
-            values ( \
-              "0.153894, 0.191705, 0.197559, 0.198811, 0.195938, 0.1893, 0.178831, 0.168632, 0.157686, 0.0967478, 0.0725555, 0.0440471, 0.0235971, 0.0128355, 0.00654202, 0.00355572, 0.00285765" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0556075");
-            index_3 ("0.0797138, 0.0797338, 0.175316, 0.277042, 0.331075, 0.368018, 0.43006, 0.563192, 0.64965, 0.721851, 0.806897, 0.906824, 0.983233, 1.05961, 1.19287");
-            values ( \
-              "1e-22, 0.215249, 0.203763, 0.189742, 0.180627, 0.172198, 0.15014, 0.0925352, 0.0612339, 0.0417036, 0.0256879, 0.0141224, 0.0088445, 0.00547714, 0.00251217" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.109934");
-            index_3 ("0.0864066, 0.0864266, 0.217175, 0.402331, 0.538166, 0.619436, 0.67733, 0.75371, 0.868058, 0.985962, 1.10143, 1.19624, 1.2331, 1.30108, 1.41131, 1.50219, 1.57118, 1.64756, 1.77833, 1.85471, 1.93109, 2.08386, 2.23662, 2.38938, 2.61852");
-            values ( \
-              "1e-22, 0.2413, 0.211311, 0.198528, 0.187647, 0.179072, 0.170428, 0.156109, 0.130071, 0.102203, 0.0774447, 0.0601609, 0.054437, 0.044607, 0.0320255, 0.0241626, 0.0195336, 0.0151708, 0.00988869, 0.00779716, 0.00594657, 0.00355094, 0.00210559, 0.00123739, 0.000655602" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.113547, 0.117323, 0.120229, 0.124656, 0.127666, 0.133323, 0.138978, 0.144648, 0.147711, 0.161859, 0.172613, 0.18183, 0.189293, 0.201056, 0.217118, 0.227238, 0.244688, 0.28156, 0.282179");
-            values ( \
-              "0.0466963, 0.0819848, 0.0978752, 0.111001, 0.114781, 0.117077, 0.114378, 0.109225, 0.10423, 0.0627674, 0.0415625, 0.0301864, 0.0234658, 0.0159026, 0.00928853, 0.00657376, 0.00363644, 0.000972848, 0.000965005" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00719678");
-            index_3 ("0.114839, 0.120437, 0.125316, 0.129558, 0.13411, 0.142687, 0.151272, 0.16053, 0.169762, 0.187666, 0.196865, 0.202873, 0.210884, 0.222797, 0.235621, 0.245987, 0.256165, 0.270778, 0.283433, 0.298699, 0.319053, 0.356514, 0.4004");
-            values ( \
-              "0.109024, 0.12252, 0.141127, 0.148408, 0.15134, 0.150714, 0.146149, 0.138279, 0.125003, 0.0829655, 0.0664239, 0.0578185, 0.0479196, 0.03595, 0.0263632, 0.0202977, 0.0156966, 0.0107549, 0.00769186, 0.00513351, 0.00296021, 0.000975105, 0.000262792" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0142278");
-            index_3 ("0.116018, 0.124145, 0.128399, 0.131773, 0.13852, 0.146162, 0.160622, 0.175526, 0.194722, 0.199507, 0.20795, 0.233493, 0.245034, 0.269083, 0.286437, 0.299096, 0.316975, 0.331635, 0.34388, 0.358052, 0.378129, 0.399047, 0.415074, 0.447127, 0.491969");
-            values ( \
-              "0.156759, 0.158554, 0.169929, 0.175107, 0.178849, 0.179302, 0.175139, 0.168569, 0.157011, 0.152998, 0.143908, 0.107045, 0.0918182, 0.0656853, 0.0505126, 0.0414698, 0.0309666, 0.0241679, 0.0195879, 0.0152843, 0.0106739, 0.00733665, 0.0054799, 0.0030066, 0.00140449" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0281277");
-            index_3 ("0.118264, 0.118284, 0.167367, 0.18024, 0.227414, 0.245841, 0.262324, 0.281861, 0.359631, 0.395294, 0.424532, 0.449574, 0.468082, 0.491946, 0.511393, 0.534974, 0.568217, 0.603035, 0.62974, 0.68315, 0.75953, 0.769213");
-            values ( \
-              "1e-22, 0.202228, 0.195826, 0.193034, 0.180712, 0.174892, 0.168399, 0.157673, 0.0967555, 0.0725098, 0.0558298, 0.0440781, 0.0367378, 0.0288416, 0.0235897, 0.0183871, 0.0128349, 0.00878944, 0.00654491, 0.00356149, 0.00143914, 0.00136286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0556075");
-            index_3 ("0.12111, 0.12113, 0.184934, 0.263212, 0.316225, 0.370257, 0.408283, 0.427885, 0.457546, 0.561149, 0.636876, 0.688792, 0.730405, 0.762736, 0.820305, 0.845289, 0.88682, 0.945739, 1.00599, 1.05198, 1.12836, 1.20474, 1.3575, 1.51026");
-            values ( \
-              "1e-22, 0.229829, 0.207469, 0.197351, 0.189735, 0.180621, 0.171913, 0.165796, 0.154924, 0.109648, 0.0791064, 0.0612539, 0.0492857, 0.0413148, 0.0298317, 0.0258172, 0.0201992, 0.0141522, 0.00981006, 0.00737885, 0.00456458, 0.00280723, 0.00105774, 0.000396219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.109934");
-            index_3 ("0.125211, 0.125231, 0.253814, 0.441172, 0.577006, 0.658276, 0.71617, 0.792551, 1.14027, 1.27194, 1.33992, 1.45645, 1.54455, 1.68864, 1.89364, 2.19692");
-            values ( \
-              "1e-22, 0.241688, 0.211312, 0.198394, 0.187516, 0.178944, 0.170553, 0.155986, 0.0775533, 0.0543327, 0.0447089, 0.0314647, 0.0239858, 0.0151508, 0.00770687, 0.00280378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.187038, 0.192586, 0.195617, 0.200177, 0.203278, 0.209069, 0.214841, 0.220611, 0.223284, 0.22722, 0.238035, 0.243774, 0.247843, 0.253139, 0.259718, 0.272768, 0.282586, 0.296106, 0.306367, 0.319977, 0.347685, 0.358965");
-            values ( \
-              "0.00992854, 0.0784564, 0.0943893, 0.107719, 0.111825, 0.114655, 0.112553, 0.107845, 0.103806, 0.0949754, 0.0619274, 0.0493884, 0.0427213, 0.0352835, 0.0283906, 0.0185499, 0.0133596, 0.00852342, 0.00601444, 0.00378368, 0.00142971, 0.00106838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00719678");
-            index_3 ("0.187015, 0.192169, 0.194398, 0.198652, 0.205243, 0.209858, 0.218589, 0.227635, 0.230588, 0.236599, 0.241268, 0.246505, 0.258516, 0.266207, 0.273829, 0.283395, 0.294327, 0.302945, 0.313849, 0.325895, 0.339858, 0.351353, 0.364304, 0.38338, 0.408816, 0.448652, 0.49665");
-            values ( \
-              "0.00760435, 0.092999, 0.110354, 0.130942, 0.145058, 0.148166, 0.14878, 0.144534, 0.142517, 0.137355, 0.131778, 0.122697, 0.0940507, 0.0781433, 0.0653657, 0.0523755, 0.0403897, 0.032894, 0.0251632, 0.0185359, 0.0129934, 0.00960212, 0.00682952, 0.00410512, 0.00204282, 0.000607642, 0.000145008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0142278");
-            index_3 ("0.187031, 0.194112, 0.197676, 0.203454, 0.20742, 0.215046, 0.222053, 0.234645, 0.248887, 0.249561, 0.267349, 0.275339, 0.284199, 0.317971, 0.328619, 0.339899, 0.352161, 0.372135, 0.380614, 0.39757, 0.411575, 0.429447, 0.452493, 0.479317, 0.500963, 0.544254, 0.572981");
-            values ( \
-              "0.021295, 0.123405, 0.145957, 0.16492, 0.171111, 0.176358, 0.176965, 0.174558, 0.168935, 0.16815, 0.158805, 0.153006, 0.14374, 0.0960638, 0.0831736, 0.0710619, 0.0593724, 0.04365, 0.038095, 0.0287697, 0.0227002, 0.0166515, 0.0110157, 0.00680558, 0.00458212, 0.0019829, 0.00131785" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0281277");
-            index_3 ("0.190918, 0.206187, 0.213261, 0.219443, 0.230574, 0.248896, 0.299141, 0.326672, 0.341384, 0.358186, 0.435931, 0.472012, 0.525555, 0.544187, 0.587899, 0.644614, 0.705805, 0.758905, 0.800883");
-            values ( \
-              "0.146501, 0.184505, 0.192607, 0.195188, 0.196285, 0.193736, 0.182018, 0.17335, 0.16711, 0.15764, 0.0967795, 0.0722693, 0.0442288, 0.0368216, 0.0235465, 0.0128257, 0.00656488, 0.00358541, 0.00241145" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0556075");
-            index_3 ("0.195227, 0.195247, 0.309729, 0.391126, 0.436624, 0.466702, 0.504246, 0.562781, 0.647374, 0.678103, 0.713222, 0.764899, 0.807522, 0.840635, 0.884627, 0.921014, 0.962801, 1.02192, 1.04792, 1.08289, 1.12952, 1.2059, 1.28228, 1.35866, 1.43504, 1.5878");
-            values ( \
-              "1e-22, 0.215403, 0.201275, 0.189996, 0.182525, 0.176388, 0.165932, 0.142739, 0.105629, 0.0928526, 0.0791266, 0.061369, 0.0491022, 0.0409853, 0.0319755, 0.0259226, 0.0202585, 0.014171, 0.0121054, 0.00977894, 0.00733142, 0.00452996, 0.00279082, 0.00171501, 0.00105342, 0.000396479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.109934");
-            index_3 ("0.198751, 0.198771, 0.347755, 0.525763, 0.653398, 0.734665, 0.792559, 0.868939, 1.21666, 1.34834, 1.41631, 1.54542, 1.62094, 1.76503, 1.97003, 2.26617");
-            values ( \
-              "1e-22, 0.230455, 0.210162, 0.197802, 0.187528, 0.178959, 0.170538, 0.156003, 0.0775288, 0.054359, 0.0446812, 0.0302945, 0.0239549, 0.0151198, 0.0077381, 0.00295056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.336966, 0.349957, 0.353912, 0.356598, 0.361968, 0.364236, 0.368729, 0.374862, 0.381046, 0.3895, 0.394025, 0.402499, 0.412694, 0.420082, 0.426301, 0.434592, 0.446034, 0.452967, 0.468473, 0.487985, 0.508798, 0.517296");
-            values ( \
-              "0.0100728, 0.0908597, 0.100923, 0.104641, 0.10896, 0.109356, 0.108745, 0.10481, 0.0948418, 0.0691031, 0.0574033, 0.0421661, 0.0297949, 0.0233371, 0.0190456, 0.0144419, 0.00989915, 0.00782208, 0.00461375, 0.00236225, 0.00113898, 0.00095649" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00719678");
-            index_3 ("0.336875, 0.348416, 0.352917, 0.359972, 0.363506, 0.37256, 0.38159, 0.390651, 0.397419, 0.422692, 0.440823, 0.457237, 0.478489, 0.49883, 0.538299, 0.560329");
-            values ( \
-              "0.00585536, 0.106466, 0.12428, 0.138026, 0.141416, 0.144354, 0.14222, 0.136467, 0.128217, 0.0743386, 0.0486694, 0.0328735, 0.0194058, 0.0115435, 0.00407536, 0.00259445" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0142278");
-            index_3 ("0.34137, 0.357333, 0.368036, 0.37609, 0.388252, 0.396211, 0.405984, 0.42114, 0.429149, 0.438525, 0.472428, 0.494231, 0.522325, 0.535089, 0.556374, 0.583151, 0.604232, 0.638877, 0.666723, 0.705046");
-            values ( \
-              "0.0929133, 0.155478, 0.169607, 0.173008, 0.172944, 0.170883, 0.16724, 0.159397, 0.153325, 0.143593, 0.0958649, 0.0711013, 0.0466475, 0.0381042, 0.0266975, 0.0170179, 0.0116982, 0.00627685, 0.00374874, 0.0020051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0281277");
-            index_3 ("0.341324, 0.350056, 0.359565, 0.367061, 0.373378, 0.385294, 0.398112, 0.402671, 0.420908, 0.436521, 0.481023, 0.496227, 0.51264, 0.593113, 0.615896, 0.648187, 0.66872, 0.698414, 0.722662, 0.742686, 0.766131, 0.799271, 0.8337, 0.860065, 0.912794, 0.989175, 1.00978");
-            values ( \
-              "0.0908109, 0.141344, 0.174123, 0.185224, 0.190057, 0.193838, 0.193788, 0.193218, 0.189791, 0.186093, 0.173075, 0.166614, 0.157377, 0.0948991, 0.079118, 0.0596324, 0.0493481, 0.0369558, 0.0288954, 0.0234937, 0.0183409, 0.0128141, 0.00881393, 0.00658769, 0.00361535, 0.00146042, 0.00129564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0556075");
-            index_3 ("0.347276, 0.380568, 0.3964, 0.422055, 0.520536, 0.587538, 0.628387, 0.658753, 0.717582, 0.836599, 0.921009, 1.00557, 1.06854, 1.10576, 1.1718, 1.24689, 1.3068, 1.45956, 1.47584");
-            values ( \
-              "0.201869, 0.204039, 0.206957, 0.205751, 0.193266, 0.183102, 0.174697, 0.165863, 0.14265, 0.0912295, 0.0608767, 0.0386372, 0.0269934, 0.0216884, 0.0145564, 0.00921418, 0.00634367, 0.00240906, 0.00227767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.109934");
-            index_3 ("0.352955, 0.352975, 0.52474, 0.66201, 0.785787, 0.836323, 0.912704, 1.00462, 1.37491, 1.46632, 1.56141, 1.70385, 1.86156, 1.96702, 2.10157, 2.25433, 2.40709, 2.47859");
-            values ( \
-              "1e-22, 0.228112, 0.208359, 0.199171, 0.189507, 0.184854, 0.175912, 0.159988, 0.0768367, 0.060222, 0.0459629, 0.0299576, 0.0182586, 0.0129932, 0.00836463, 0.00504332, 0.00303043, 0.00265959" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.649044, 0.673223, 0.676516, 0.683556, 0.690233, 0.696883, 0.70353, 0.711985, 0.714592, 0.717572, 0.723531, 0.727097, 0.731852, 0.741362, 0.747831, 0.751515, 0.757318, 0.76718, 0.771538, 0.779152, 0.789992, 0.801562, 0.810468, 0.828279, 0.860026, 0.89786");
-            values ( \
-              "0.0012787, 0.0836292, 0.0893067, 0.0969288, 0.0994029, 0.0978356, 0.0895677, 0.0668435, 0.0606439, 0.0543387, 0.0440405, 0.0392409, 0.0336327, 0.0246767, 0.0201604, 0.0179148, 0.0148515, 0.0107665, 0.00932976, 0.00725312, 0.00504435, 0.00343514, 0.00254971, 0.00138169, 0.000428354, 9.83804e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719678");
-            index_3 ("0.6563, 0.666613, 0.675841, 0.6806, 0.6845, 0.6923, 0.698798, 0.704101, 0.713715, 0.720646, 0.724123, 0.738, 0.746325, 0.7549, 0.764842, 0.781331, 0.791077, 0.802214, 0.815452, 0.824823, 0.838107, 0.854925, 0.877348, 0.914991, 0.959441");
-            values ( \
-              "0.0428315, 0.0840218, 0.111473, 0.120602, 0.125922, 0.13263, 0.134797, 0.13481, 0.131471, 0.124426, 0.118837, 0.0889733, 0.0733449, 0.0603623, 0.0480512, 0.032565, 0.0257408, 0.0195005, 0.0139768, 0.0109907, 0.0077699, 0.00500033, 0.00272763, 0.000908782, 0.000236098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0142278");
-            index_3 ("0.656268, 0.667366, 0.671761, 0.680551, 0.68387, 0.688295, 0.697145, 0.700808, 0.705691, 0.713948, 0.729437, 0.73463, 0.739863, 0.745413, 0.756511, 0.762442, 0.793467, 0.806209, 0.823891, 0.843289, 0.853412, 0.869693, 0.885883, 0.890628, 0.900117, 0.919095, 0.934884, 0.952188, 0.975261, 1.02141, 1.08147, 1.15574");
-            values ( \
-              "0.0449545, 0.0993418, 0.116071, 0.13993, 0.145977, 0.152459, 0.16086, 0.162919, 0.164832, 0.166005, 0.163962, 0.162136, 0.159928, 0.157038, 0.148892, 0.142356, 0.0993511, 0.0837586, 0.0654658, 0.0488389, 0.0417567, 0.0320764, 0.0244431, 0.0225476, 0.0191616, 0.0137144, 0.0103646, 0.00760093, 0.00497301, 0.00203822, 0.000576621, 0.000117375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0281277");
-            index_3 ("0.656382, 0.668687, 0.680094, 0.687856, 0.695452, 0.709502, 0.723003, 0.736536, 0.749936, 0.772626, 0.787208, 0.806148, 0.835058, 0.858534, 0.898896, 0.932213, 0.952422, 0.980113, 1.00275, 1.02019, 1.05343, 1.06796, 1.09095, 1.12369, 1.16615, 1.21587, 1.26669, 1.34972, 1.41728");
-            values ( \
-              "0.0513921, 0.11323, 0.15099, 0.166135, 0.176019, 0.185646, 0.188688, 0.188464, 0.186731, 0.182038, 0.178223, 0.172258, 0.158637, 0.141008, 0.10832, 0.0842888, 0.0712116, 0.0555496, 0.0449085, 0.037855, 0.0270237, 0.0232424, 0.0182283, 0.0127989, 0.0080451, 0.00458635, 0.00266262, 0.00102541, 0.000470367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0556075");
-            index_3 ("0.66361, 0.683241, 0.693859, 0.708118, 0.71968, 0.735841, 0.752525, 0.793793, 0.869882, 0.925303, 0.961983, 0.98298, 1.02497, 1.13978, 1.21581, 1.25083, 1.29814, 1.33749, 1.38923, 1.41669, 1.47161, 1.52543, 1.60712, 1.6835, 1.75988, 1.82564");
-            values ( \
-              "0.155816, 0.165491, 0.183593, 0.196375, 0.201043, 0.203371, 0.203231, 0.199543, 0.189641, 0.180429, 0.172114, 0.165672, 0.14978, 0.0998526, 0.0705946, 0.0593357, 0.0462391, 0.0371818, 0.0276889, 0.0235804, 0.0169885, 0.0122677, 0.00741784, 0.00457983, 0.00282561, 0.00206897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.109934");
-            index_3 ("0.672021, 0.672041, 0.825045, 0.926219, 1.13226, 1.21364, 1.26324, 1.32093, 1.39653, 1.59519, 1.74556, 1.87853, 1.95491, 2.06918, 2.14556, 2.22194, 2.32386, 2.45796, 2.61072, 2.76348, 2.99262, 3.22176");
-            values ( \
-              "1e-22, 0.215929, 0.209688, 0.203215, 0.187451, 0.178895, 0.171867, 0.161493, 0.1453, 0.0988383, 0.0680004, 0.0469262, 0.0374128, 0.0263708, 0.0207321, 0.0162281, 0.0116723, 0.00751393, 0.00452452, 0.00271562, 0.00125542, 0.000582536" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.00407055, 0.00407061, 0.00407066, 0.00407069, 0.00407071, 0.00407072", \
-            "0.00514677, 0.0051468, 0.00514682, 0.00514683, 0.00514684, 0.00514685", \
-            "0.0059594, 0.00595943, 0.00595945, 0.00595946, 0.00595947, 0.00595947", \
-            "0.00655129, 0.00655132, 0.00655135, 0.00655136, 0.00655137, 0.00655138", \
-            "0.0069102, 0.00691023, 0.00691025, 0.00691027, 0.00691028, 0.00691028", \
-            "0.00721511, 0.00721515, 0.00721518, 0.00721519, 0.0072152, 0.00721521" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.00659892, 0.0065989, 0.00659889, 0.00659888, 0.00659887, 0.00659887", \
-            "0.00751402, 0.00751402, 0.00751402, 0.00751401, 0.00751401, 0.00751401", \
-            "0.00779839, 0.00779844, 0.00779847, 0.00779849, 0.0077985, 0.00779851", \
-            "0.00824193, 0.00825036, 0.00825692, 0.00826042, 0.00826274, 0.00826379", \
-            "0.0077707, 0.00779068, 0.007839, 0.0078719, 0.0078903, 0.00790037", \
-            "0.00732555, 0.0073254, 0.00732685, 0.00734767, 0.00740094, 0.0074303" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.020606, 0.0223515, 0.0242874, 0.0267052, 0.0292507, 0.0328083, 0.0363623, 0.0399695, 0.0431144, 0.0473575, 0.054796, 0.0605185, 0.065902, 0.0709371, 0.0778926, 0.0858, 0.092701, 0.101225");
-            values ( \
-              "-0.108291, -0.113526, -0.152013, -0.175103, -0.183154, -0.184793, -0.183784, -0.179792, -0.171516, -0.147471, -0.0935918, -0.0609007, -0.0391256, -0.0253193, -0.013594, -0.00665629, -0.0035063, -0.00177124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00719678");
-            index_3 ("0.0207164, 0.0248146, 0.0278214, 0.0297103, 0.0315842, 0.0470794, 0.04977, 0.0553845, 0.0615831, 0.072237, 0.0802886, 0.0871808, 0.0955383, 0.107712, 0.122596, 0.127577");
-            values ( \
-              "-0.125797, -0.198667, -0.227303, -0.232589, -0.234105, -0.239727, -0.237649, -0.223474, -0.191578, -0.123697, -0.0821344, -0.0559205, -0.0341058, -0.0160305, -0.00611382, -0.00483665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0142278");
-            index_3 ("0.0219041, 0.0269251, 0.0302683, 0.0398487, 0.0463964, 0.0523856, 0.0590318, 0.0659553, 0.0723811, 0.0775349, 0.0871099, 0.106525, 0.119564, 0.129493, 0.144733, 0.161148, 0.178342, 0.197993, 0.205218");
-            values ( \
-              "-0.252167, -0.258091, -0.27061, -0.275735, -0.284642, -0.287557, -0.286157, -0.281392, -0.272924, -0.262375, -0.230817, -0.144234, -0.0964165, -0.0688852, -0.0399191, -0.0215511, -0.0110901, -0.00502827, -0.00411254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0281277");
-            index_3 ("0.0230242, 0.045632, 0.0521843, 0.0608664, 0.0794363, 0.0875534, 0.100788, 0.110428, 0.121157, 0.138511, 0.173406, 0.196757, 0.214311, 0.228515, 0.241857, 0.259648, 0.27121, 0.284197, 0.301513, 0.336146, 0.366265");
-            values ( \
-              "-0.30653, -0.311575, -0.317602, -0.31909, -0.31534, -0.312329, -0.305421, -0.297934, -0.285955, -0.253095, -0.158846, -0.106312, -0.0763902, -0.0576903, -0.044023, -0.0303214, -0.0237701, -0.0180363, -0.0123774, -0.00565815, -0.00324686" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0556075");
-            index_3 ("0.0198815, 0.0240181, 0.0257371, 0.0286498, 0.0305531, 0.0382797, 0.0408608, 0.0473457, 0.050517, 0.0535812, 0.0583783, 0.0641918, 0.0717308, 0.0879545, 0.113099, 0.134096, 0.158827, 0.17469, 0.206105, 0.213792, 0.222577, 0.240148, 0.257279, 0.305559, 0.33747, 0.350416, 0.372094, 0.396869, 0.416589, 0.439734, 0.470594, 0.490513, 0.510883, 0.538043, 0.592364, 0.64899, 0.705616, 0.762243");
-            values ( \
-              "-0.0679408, -0.247153, -0.281262, -0.306171, -0.310325, -0.315285, -0.318791, -0.330659, -0.334046, -0.335962, -0.337634, -0.338312, -0.338382, -0.33684, -0.332587, -0.328096, -0.321515, -0.316066, -0.300002, -0.294135, -0.286183, -0.265343, -0.240355, -0.167026, -0.125227, -0.11061, -0.0891623, -0.0689311, -0.0558709, -0.0434352, -0.030765, -0.0245717, -0.019503, -0.014275, -0.00747597, -0.00378502, -0.00190616, -0.000962471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.109934");
-            index_3 ("0.0197848, 0.0239157, 0.0285393, 0.0523733, 0.0624639, 0.0782175, 0.111823, 0.171605, 0.242946, 0.276808, 0.314568, 0.371195, 0.389445, 0.41378, 0.445777, 0.551769, 0.637503, 0.682136, 0.712377, 0.765467, 0.812507, 0.869133, 0.933849, 0.96805, 1.02468, 1.0813, 1.13793, 1.25118, 1.47769");
-            values ( \
-              "-0.0694182, -0.252939, -0.313942, -0.345233, -0.348897, -0.349887, -0.34798, -0.342434, -0.334337, -0.329577, -0.322968, -0.308512, -0.301625, -0.289697, -0.268842, -0.183322, -0.122989, -0.0979898, -0.0835484, -0.0623991, -0.0478968, -0.0345656, -0.0236557, -0.019279, -0.0137678, -0.0097427, -0.00694949, -0.00349679, -0.000891042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0340637, 0.0380011, 0.0418323, 0.0465995, 0.0508944, 0.0512987, 0.0530685, 0.0544247, 0.0553227, 0.0580625, 0.0611477, 0.061845, 0.0632396, 0.0660237, 0.0726359, 0.0777764, 0.083918, 0.0892687, 0.0921127, 0.0961571, 0.100488, 0.103826, 0.110502, 0.122558, 0.136862");
-            values ( \
-              "-0.0346158, -0.089297, -0.121922, -0.15155, -0.168953, -0.172208, -0.177521, -0.179896, -0.18035, -0.178431, -0.170903, -0.168003, -0.161441, -0.143022, -0.0955314, -0.0653738, -0.0396743, -0.0249686, -0.0194468, -0.0134898, -0.0091461, -0.00678816, -0.00363157, -0.00110691, -0.000235541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00719678");
-            index_3 ("0.0358545, 0.040711, 0.0447413, 0.0531246, 0.0555945, 0.0569675, 0.0593743, 0.0651882, 0.0717553, 0.0763684, 0.0907302, 0.0996574, 0.106891, 0.115134, 0.129939, 0.148373, 0.187835");
-            values ( \
-              "-0.124743, -0.142154, -0.177295, -0.227351, -0.233624, -0.232359, -0.237197, -0.240344, -0.230453, -0.212244, -0.120294, -0.0756149, -0.0498453, -0.0300256, -0.0112098, -0.00250819, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0142278");
-            index_3 ("0.0359165, 0.0472243, 0.0540269, 0.0560425, 0.0655054, 0.0722783, 0.0818053, 0.0863942, 0.097659, 0.106238, 0.125376, 0.137639, 0.148228, 0.162723, 0.179152, 0.197698, 0.218894, 0.220435");
-            values ( \
-              "-0.137488, -0.223963, -0.26547, -0.270934, -0.284626, -0.287306, -0.283347, -0.278903, -0.257881, -0.227215, -0.141864, -0.0970601, -0.0678004, -0.0403639, -0.0217978, -0.0106241, -0.00450129, -0.00432475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0281277");
-            index_3 ("0.0378905, 0.0481062, 0.0516577, 0.0556233, 0.0670146, 0.0737692, 0.0788696, 0.0896636, 0.0976343, 0.11869, 0.129218, 0.139354, 0.156725, 0.192221, 0.21527, 0.229148, 0.245009, 0.2582, 0.26767, 0.286387, 0.306146, 0.321795, 0.353092, 0.404726, 0.461353");
-            values ( \
-              "-0.224301, -0.251118, -0.277751, -0.295396, -0.314651, -0.318417, -0.318921, -0.3175, -0.315339, -0.305633, -0.297428, -0.285903, -0.2531, -0.157237, -0.105653, -0.08155, -0.059618, -0.0456838, -0.0376366, -0.0252887, -0.0166069, -0.0118831, -0.00583849, -0.00167121, -0.000361672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0556075");
-            index_3 ("0.0397184, 0.0530517, 0.0563468, 0.0669111, 0.074499, 0.086862, 0.126322, 0.161791, 0.192308, 0.213128, 0.225466, 0.250142, 0.260979, 0.325024, 0.368141, 0.393429, 0.422329, 0.450136, 0.470109, 0.502691, 0.535403, 0.560606, 0.611011, 0.667637, 0.78089");
-            values ( \
-              "-0.292929, -0.299802, -0.311953, -0.331452, -0.336792, -0.338391, -0.333583, -0.325755, -0.316449, -0.306788, -0.299094, -0.276015, -0.261861, -0.165398, -0.111294, -0.0864969, -0.0638946, -0.0473669, -0.0380109, -0.0263198, -0.0181657, -0.0135938, -0.00748155, -0.00378509, -0.000961222" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.109934");
-            index_3 ("0.0339916, 0.0395869, 0.0445285, 0.0538752, 0.0560243, 0.0644523, 0.070624, 0.075067, 0.0803225, 0.0870804, 0.0953459, 0.106363, 0.135245, 0.183857, 0.213649, 0.270275, 0.303379, 0.332837, 0.389463, 0.407705, 0.432027, 0.464043, 0.570034, 0.599142, 0.655769, 0.677721, 0.700401, 0.73064, 0.783731, 0.799412, 0.830773, 0.887399, 0.935013, 0.952113, 0.986314, 1.04294, 1.09957, 1.15619, 1.21282, 1.26945, 1.32607, 1.3827, 1.49595");
-            values ( \
-              "-0.0498728, -0.180554, -0.240221, -0.312779, -0.319886, -0.337467, -0.344778, -0.347219, -0.348648, -0.34952, -0.349799, -0.349543, -0.347505, -0.342998, -0.339926, -0.333107, -0.328232, -0.322994, -0.308483, -0.301599, -0.289736, -0.268813, -0.18335, -0.161254, -0.123015, -0.110165, -0.0980152, -0.0835253, -0.0624234, -0.0572035, -0.04792, -0.0345421, -0.0261297, -0.0236327, -0.0193018, -0.0137452, -0.00976531, -0.00692703, -0.00490752, -0.00347446, -0.00245798, -0.00173864, -0.000869034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0631111, 0.0671133, 0.0719689, 0.0772193, 0.0874976, 0.0913123, 0.0947052, 0.0959017, 0.098158, 0.100417, 0.104395, 0.106942, 0.112619, 0.113426, 0.119302, 0.121651, 0.126839, 0.129319, 0.132172, 0.136229, 0.140552, 0.14388, 0.150538, 0.162432, 0.176673");
-            values ( \
-              "-0.044327, -0.0556116, -0.0752505, -0.0942577, -0.128674, -0.138804, -0.144882, -0.145685, -0.145958, -0.143162, -0.131211, -0.119149, -0.0883128, -0.0861091, -0.0573407, -0.0475478, -0.0307656, -0.0248378, -0.0193262, -0.0134491, -0.0091438, -0.00677065, -0.00366358, -0.00112251, -0.000263485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00719678");
-            index_3 ("0.063078, 0.0826708, 0.0913941, 0.0981367, 0.105726, 0.107263, 0.110246, 0.112605, 0.113217, 0.11596, 0.119527, 0.130807, 0.139517, 0.144506, 0.149407, 0.154038, 0.160212, 0.164016, 0.174059, 0.185538, 0.195263");
-            values ( \
-              "-0.0537602, -0.142112, -0.176271, -0.197318, -0.216441, -0.218034, -0.21866, -0.215365, -0.215882, -0.208764, -0.190558, -0.119934, -0.0766458, -0.0579818, -0.0435352, -0.0329985, -0.0225365, -0.0177874, -0.00943328, -0.00439888, -0.0026306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0142278");
-            index_3 ("0.065662, 0.0754135, 0.0900469, 0.101349, 0.107887, 0.113824, 0.115708, 0.117214, 0.120226, 0.126294, 0.130869, 0.13574, 0.14533, 0.1648, 0.172326, 0.177809, 0.187499, 0.195504, 0.203044, 0.213096, 0.219453, 0.226618, 0.236171, 0.255277, 0.283313, 0.317456");
-            values ( \
-              "-0.110344, -0.127769, -0.197236, -0.241413, -0.264512, -0.2789, -0.281982, -0.283303, -0.283346, -0.278563, -0.272182, -0.262275, -0.230792, -0.143915, -0.114708, -0.096271, -0.0694036, -0.0522588, -0.0397437, -0.0272641, -0.0214441, -0.0163272, -0.0112814, -0.0052049, -0.00152903, -0.000310101" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0281277");
-            index_3 ("0.0682252, 0.0833401, 0.105153, 0.113751, 0.117895, 0.120283, 0.123769, 0.128832, 0.129759, 0.131612, 0.135318, 0.140541, 0.145807, 0.162284, 0.179454, 0.18307, 0.187892, 0.198252, 0.229264, 0.253444, 0.266547, 0.275911, 0.288006, 0.297766, 0.30988, 0.326935, 0.344922, 0.358738, 0.38637, 0.436396, 0.493022");
-            values ( \
-              "-0.154398, -0.180871, -0.2799, -0.309772, -0.317389, -0.317175, -0.318635, -0.316907, -0.317886, -0.316343, -0.316535, -0.313722, -0.312823, -0.303712, -0.286111, -0.280779, -0.27249, -0.249229, -0.165006, -0.109341, -0.0857587, -0.0715806, -0.0562998, -0.0462112, -0.0359717, -0.0250926, -0.017124, -0.0127045, -0.0068764, -0.0020258, -0.000483716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0556075");
-            index_3 ("0.0720268, 0.0910534, 0.107202, 0.114038, 0.116789, 0.119766, 0.128457, 0.153052, 0.182183, 0.202052, 0.232575, 0.253233, 0.265727, 0.290715, 0.301245, 0.365278, 0.392286, 0.4084, 0.433718, 0.462652, 0.490334, 0.510215, 0.5429, 0.575784, 0.601149, 0.651878, 0.708505, 0.821758");
-            values ( \
-              "-0.217578, -0.229337, -0.302462, -0.32695, -0.333581, -0.336631, -0.338103, -0.335858, -0.330427, -0.325779, -0.316422, -0.306873, -0.299095, -0.275643, -0.261861, -0.165413, -0.129788, -0.1113, -0.0864749, -0.0638547, -0.0474014, -0.0380775, -0.0263355, -0.0181411, -0.0135494, -0.00742647, -0.00375695, -0.000953983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.109934");
-            index_3 ("0.0755742, 0.101011, 0.110027, 0.117863, 0.124854, 0.136914, 0.168711, 0.213827, 0.263389, 0.312773, 0.38045, 0.42465, 0.435919, 0.458458, 0.504263, 0.610426, 0.63964, 0.696267, 0.725524, 0.770443, 0.823906, 0.871338, 0.927964, 0.975431, 1.02651, 1.08313, 1.13976, 1.19639, 1.25301, 1.36626, 1.53614");
-            values ( \
-              "-0.265407, -0.281841, -0.32238, -0.345332, -0.348813, -0.349722, -0.348069, -0.344019, -0.338872, -0.332801, -0.321509, -0.310111, -0.306229, -0.296906, -0.268835, -0.183301, -0.161105, -0.122914, -0.10602, -0.0837447, -0.0624786, -0.0478581, -0.0344817, -0.0261214, -0.0193234, -0.0137468, -0.00978016, -0.00692408, -0.00491881, -0.00246737, -0.00086224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.117018, 0.125051, 0.159125, 0.163915, 0.167455, 0.170636, 0.177207, 0.177627, 0.18015, 0.183514, 0.186482, 0.191393, 0.20143, 0.207018, 0.210488, 0.213356, 0.216803, 0.221759, 0.224267, 0.228068, 0.233137, 0.243274, 0.248862, 0.252686, 0.257512, 0.261854, 0.270538");
-            values ( \
-              "-0.00301261, -0.0322172, -0.0873339, -0.0941458, -0.0981552, -0.100073, -0.099498, -0.0992944, -0.0977861, -0.0950659, -0.0910862, -0.079172, -0.047501, -0.0329212, -0.0256849, -0.0207552, -0.0159143, -0.0106943, -0.00872907, -0.00638772, -0.00416729, -0.0016773, -0.00103884, -0.0019484, -0.00175713, -0.00110024, -0.000553846" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00719678");
-            index_3 ("0.122102, 0.130464, 0.164279, 0.182763, 0.186654, 0.194437, 0.197514, 0.202729, 0.206879, 0.228308, 0.237193, 0.246591, 0.248958, 0.251442, 0.262593, 0.270079, 0.277719");
-            values ( \
-              "-0.0501635, -0.0521336, -0.119727, -0.15454, -0.160065, -0.164569, -0.162316, -0.153481, -0.141403, -0.061181, -0.0381905, -0.0222714, -0.0193508, -0.0183717, -0.00976281, -0.00607411, -0.00387188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0142278");
-            index_3 ("0.124543, 0.141337, 0.173035, 0.194473, 0.200016, 0.208452, 0.211213, 0.21667, 0.22201, 0.2328, 0.23672, 0.261183, 0.268729, 0.27724, 0.284158, 0.289872, 0.296585, 0.306078, 0.315977, 0.323563, 0.338736, 0.35688");
-            values ( \
-              "-0.0676733, -0.0848946, -0.15751, -0.209423, -0.220682, -0.233501, -0.236061, -0.238578, -0.236329, -0.215081, -0.202085, -0.111558, -0.0873934, -0.0653427, -0.051092, -0.0415375, -0.0324057, -0.0226312, -0.0155381, -0.011598, -0.00634964, -0.00344081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0281277");
-            index_3 ("0.12941, 0.152151, 0.176537, 0.195744, 0.203325, 0.215702, 0.225146, 0.233514, 0.251759, 0.257552, 0.262549, 0.276532, 0.286565, 0.326223, 0.345143, 0.364287, 0.376341, 0.386024, 0.398157, 0.415225, 0.433264, 0.447126, 0.474849, 0.506");
-            values ( \
-              "-0.103922, -0.118938, -0.179786, -0.231889, -0.249797, -0.273236, -0.285959, -0.293687, -0.300066, -0.2971, -0.292178, -0.27183, -0.249225, -0.143427, -0.102799, -0.0714894, -0.0562681, -0.0462566, -0.0359984, -0.0251001, -0.0171097, -0.0126845, -0.00684758, -0.0038364" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0556075");
-            index_3 ("0.133071, 0.163697, 0.201356, 0.218583, 0.229365, 0.242196, 0.252906, 0.258266, 0.290638, 0.321817, 0.353232, 0.36092, 0.369706, 0.387278, 0.452784, 0.497562, 0.519125, 0.543769, 0.563706, 0.58711, 0.618314, 0.63782, 0.684416, 0.737669, 0.794295, 0.907548");
-            values ( \
-              "-0.12391, -0.154524, -0.257814, -0.294005, -0.310278, -0.323894, -0.331382, -0.332709, -0.325748, -0.316064, -0.300001, -0.294135, -0.286181, -0.265338, -0.166885, -0.110589, -0.0892497, -0.069097, -0.0558769, -0.0433165, -0.0305586, -0.02452, -0.0144006, -0.00764528, -0.00387198, -0.000985047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.109934");
-            index_3 ("0.139894, 0.177123, 0.201131, 0.219295, 0.23938, 0.253653, 0.25982, 0.342619, 0.399245, 0.432442, 0.461813, 0.51844, 0.536681, 0.561004, 0.593019, 0.699011, 0.728119, 0.784745, 0.829377, 0.859617, 0.912708, 0.959749, 1.01638, 1.06399, 1.11529, 1.17192, 1.22854, 1.3418, 1.45505, 1.62493");
-            values ( \
-              "-0.168846, -0.194801, -0.264451, -0.30441, -0.333598, -0.345995, -0.347798, -0.339944, -0.333093, -0.32822, -0.322992, -0.308485, -0.301599, -0.289736, -0.268813, -0.183349, -0.161254, -0.123014, -0.0980146, -0.0835258, -0.0624228, -0.0479195, -0.0345427, -0.0261292, -0.0193013, -0.0137456, -0.00976488, -0.00490707, -0.00245753, -0.000869488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.233648, 0.244665, 0.265833, 0.296897, 0.308431, 0.319, 0.327936, 0.329448, 0.338523, 0.342545, 0.347908, 0.350594, 0.355967, 0.368109, 0.372538, 0.379347, 0.383118, 0.390572, 0.39492, 0.403614, 0.418794, 0.424842");
-            values ( \
-              "-0.00553041, -0.0182701, -0.0314819, -0.0531465, -0.0606197, -0.0633058, -0.0622441, -0.0627623, -0.0631813, -0.0625934, -0.0600444, -0.0578542, -0.0507728, -0.0308219, -0.0243897, -0.0164417, -0.0130275, -0.00801339, -0.00595864, -0.00321543, -0.000949477, -0.000703593" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00719678");
-            index_3 ("0.240301, 0.256937, 0.283187, 0.323805, 0.330144, 0.337089, 0.343067, 0.355321, 0.359912, 0.367304, 0.378079, 0.38376, 0.398596, 0.409456, 0.417113, 0.423422, 0.433447, 0.440112, 0.453443, 0.474131, 0.475197");
-            values ( \
-              "-0.028505, -0.0325362, -0.0544231, -0.090703, -0.096087, -0.101046, -0.103866, -0.107744, -0.10858, -0.108154, -0.0981334, -0.0874904, -0.0542263, -0.0343744, -0.024157, -0.017681, -0.0106161, -0.0074136, -0.00351265, -0.000922152, -0.000891157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0142278");
-            index_3 ("0.240308, 0.254945, 0.278157, 0.315947, 0.344513, 0.362968, 0.375412, 0.386847, 0.394435, 0.409513, 0.415176, 0.426492, 0.455884, 0.473909, 0.485249, 0.495265, 0.507792, 0.524495, 0.548816");
-            values ( \
-              "-0.034233, -0.0357812, -0.0576447, -0.0961859, -0.127559, -0.149827, -0.162297, -0.169149, -0.170705, -0.165083, -0.158482, -0.135907, -0.0683884, -0.0396118, -0.0273028, -0.0193509, -0.0124366, -0.00667754, -0.00259643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0281277");
-            index_3 ("0.246904, 0.275208, 0.305473, 0.326154, 0.341743, 0.378095, 0.399035, 0.410366, 0.429969, 0.438147, 0.449052, 0.453902, 0.463604, 0.475566, 0.497671, 0.51983, 0.534803, 0.542062, 0.55017, 0.565149, 0.573013, 0.58395, 0.605089, 0.615992, 0.634249, 0.658592, 0.706268, 0.76185");
-            values ( \
-              "-0.0504197, -0.0595752, -0.092607, -0.116233, -0.135061, -0.183173, -0.206787, -0.218023, -0.233926, -0.238225, -0.240925, -0.240737, -0.236113, -0.22239, -0.180785, -0.134123, -0.10538, -0.0930193, -0.0804313, -0.0617021, -0.0527791, -0.0422077, -0.0270351, -0.0214638, -0.0145195, -0.00848893, -0.00270178, -0.000659919" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0556075");
-            index_3 ("0.260591, 0.307797, 0.341293, 0.366576, 0.392024, 0.420924, 0.445785, 0.455081, 0.481627, 0.497537, 0.515333, 0.548767, 0.556652, 0.567225, 0.584313, 0.648872, 0.676595, 0.691724, 0.715467, 0.742602, 0.758404, 0.776905, 0.798212, 0.827935, 0.839992, 0.864105, 0.912331, 0.968958, 1.02558, 1.13884");
-            values ( \
-              "-0.0809641, -0.0996237, -0.140657, -0.176539, -0.209396, -0.241903, -0.265869, -0.273627, -0.291135, -0.297727, -0.301323, -0.296598, -0.292796, -0.283046, -0.261846, -0.164652, -0.12827, -0.111005, -0.0876334, -0.0660138, -0.0557711, -0.0456266, -0.0360508, -0.0257803, -0.0224964, -0.0170758, -0.00968128, -0.0049062, -0.00247683, -0.000628469" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.109934");
-            index_3 ("0.278358, 0.341006, 0.375265, 0.401843, 0.435936, 0.4583, 0.476055, 0.509744, 0.538144, 0.550101, 0.558339, 0.56928, 0.597105, 0.651601, 0.692741, 0.720321, 0.77548, 0.815793, 0.918516, 0.975142, 1.01207, 1.06634, 1.11161, 1.14968, 1.19266, 1.24929, 1.28034, 1.32125, 1.3758, 1.43243, 1.54568, 1.65893, 1.77219");
-            values ( \
-              "-0.125668, -0.143794, -0.193088, -0.226899, -0.265014, -0.286631, -0.301276, -0.322092, -0.332833, -0.335969, -0.336964, -0.336564, -0.332856, -0.324168, -0.314855, -0.306264, -0.278278, -0.247855, -0.165232, -0.126311, -0.104901, -0.0787548, -0.0613611, -0.0495498, -0.0387552, -0.0277973, -0.0231621, -0.0181811, -0.0131312, -0.0093029, -0.00466688, -0.00233031, -0.00115835" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.4741, 0.49145, 0.508561, 0.529522, 0.559286, 0.581668, 0.600387, 0.608303, 0.617732, 0.631659, 0.635041, 0.651872, 0.663498, 0.66872, 0.677244, 0.708701, 0.723378, 0.728887, 0.739905, 0.759719, 0.760265");
-            values ( \
-              "-0.0053233, -0.00979137, -0.0132078, -0.0180827, -0.0260433, -0.0325775, -0.037421, -0.0379271, -0.0377816, -0.0379698, -0.0384274, -0.0393215, -0.0389051, -0.0380114, -0.0346504, -0.0125441, -0.00623733, -0.00470218, -0.00259946, -0.000782825, -0.000769098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719678");
-            index_3 ("0.485402, 0.521217, 0.54774, 0.566607, 0.619555, 0.631976, 0.641114, 0.651707, 0.663644, 0.694735, 0.699474, 0.708954, 0.713713, 0.723231, 0.751798, 0.759699, 0.774677, 0.783817, 0.790855, 0.804933, 0.826033");
-            values ( \
-              "-0.0159096, -0.020287, -0.0286885, -0.035256, -0.0551473, -0.059541, -0.0621353, -0.0639217, -0.0650006, -0.0687961, -0.0689464, -0.0678331, -0.0660134, -0.0593202, -0.0283343, -0.0214905, -0.0120597, -0.00829376, -0.00617356, -0.00327399, -0.00132981" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0142278");
-            index_3 ("0.485286, 0.5245, 0.540838, 0.575256, 0.608266, 0.636826, 0.700535, 0.711925, 0.73561, 0.741051, 0.748306, 0.758426, 0.778667, 0.788848, 0.815571, 0.834822, 0.847858, 0.85854, 0.867471, 0.875973, 0.88731, 0.909983, 0.946157, 0.988971, 1.04284");
-            values ( \
-              "-0.0177839, -0.0245999, -0.030483, -0.0441711, -0.0585203, -0.0715068, -0.101527, -0.105627, -0.111095, -0.112022, -0.112753, -0.112745, -0.105237, -0.095336, -0.0605139, -0.0388371, -0.0277598, -0.0207301, -0.0160793, -0.0125628, -0.00895765, -0.00433444, -0.00117717, -0.000210558, -3.0587e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0281277");
-            index_3 ("0.501677, 0.551557, 0.608383, 0.65043, 0.676775, 0.721976, 0.759241, 0.777196, 0.792259, 0.823127, 0.853397, 0.883643, 0.929517, 0.952043, 0.979008, 0.992868, 1.01233, 1.03208, 1.04714, 1.07728, 1.12312");
-            values ( \
-              "-0.0333439, -0.0375003, -0.0633849, -0.0844946, -0.0984484, -0.12577, -0.145827, -0.15425, -0.160216, -0.168234, -0.166531, -0.144288, -0.0861364, -0.0617966, -0.0396969, -0.0311253, -0.021837, -0.0150896, -0.011275, -0.00615032, -0.00249308" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0556075");
-            index_3 ("0.513031, 0.573937, 0.626694, 0.67087, 0.7512, 0.793481, 0.839648, 0.8626, 0.908506, 0.951636, 0.994748, 1.00062, 1.01941, 1.10924, 1.13323, 1.16521, 1.17896, 1.20426, 1.2159, 1.25098, 1.2642, 1.2897, 1.33272, 1.38934, 1.44597, 1.5026, 1.55922");
-            values ( \
-              "-0.0439476, -0.0495189, -0.0757153, -0.0997163, -0.149245, -0.172924, -0.197298, -0.208572, -0.22786, -0.236737, -0.223706, -0.219529, -0.203143, -0.109174, -0.0880883, -0.06464, -0.056305, -0.0432875, -0.0382608, -0.0266451, -0.0229872, -0.0171523, -0.0103865, -0.00525395, -0.00266387, -0.0013327, -0.000679184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.109934");
-            index_3 ("0.550375, 0.643648, 0.788035, 0.904034, 0.939739, 0.996365, 1.04749, 1.08146, 1.13809, 1.14809, 1.1681, 1.20811, 1.22969, 1.33102, 1.4221, 1.48824, 1.53769, 1.58391, 1.64054, 1.68845, 1.74022, 1.79685, 1.85348, 1.96673, 2.07998, 2.24986");
-            values ( \
-              "-0.078318, -0.0869686, -0.174778, -0.237405, -0.254404, -0.277194, -0.291761, -0.297263, -0.297052, -0.295543, -0.290925, -0.27374, -0.259771, -0.177658, -0.115473, -0.081755, -0.0623302, -0.04807, -0.0346458, -0.0261684, -0.0192773, -0.0137219, -0.00975428, -0.00490345, -0.00245739, -0.000864833" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00438726", \
-            "0.00512051", \
-            "0.00557331", \
-            "0.00584723", \
-            "0.00599186", \
-            "0.00607198" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00574249", \
-            "0.00633901", \
-            "0.00677543", \
-            "0.00733679", \
-            "0.00838906", \
-            "0.00870007" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.007754, 0.00793431, 0.00807591, 0.00819156, 0.00825411, 0.0082889", \
-            "0.00746519, 0.00766274, 0.00788281, 0.00806568, 0.00818694, 0.00825546", \
-            "0.00737083, 0.00748453, 0.00764906, 0.00786793, 0.00805595, 0.00814216", \
-            "0.00762674, 0.00761589, 0.00767873, 0.00780554, 0.00795054, 0.00809818", \
-            "0.00876628, 0.00861057, 0.00838809, 0.00820192, 0.00773075, 0.00808582", \
-            "0.0115841, 0.0111185, 0.0105609, 0.00995431, 0.00932098, 0.00880761" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "-0.00131422, -0.00114844, -0.0010024, -0.000895879, -0.000830501, -0.000794019", \
-            "-0.00152323, -0.00132161, -0.00110604, -0.000937399, -0.000816486, -0.000751019", \
-            "-0.00174508, -0.00156852, -0.0013201, -0.0010833, -0.000901007, -0.000784934", \
-            "-0.00185774, -0.00174294, -0.0015502, -0.00130594, -0.00106942, -0.000891406", \
-            "-0.00128671, -0.00144708, -0.00149229, -0.00139263, -0.00121497, -0.000994566", \
-            "0.00106181, 0.000365148, -0.000334329, -0.000781637, -0.000955544, -0.00095418" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00724379, 0.0108002, 0.0178312, 0.0317312, 0.059211, 0.113537");
-          values ( \
-            "0.00788935, 0.00789021, 0.00789021, 0.00788966, 0.00788991, 0.00788915", \
-            "0.00768649, 0.00768622, 0.00768754, 0.00768691, 0.00768695, 0.00768625", \
-            "0.00753387, 0.00753313, 0.00753274, 0.00753226, 0.00753215, 0.00753173", \
-            "0.00768831, 0.00768785, 0.00768893, 0.00768141, 0.00767556, 0.00767081", \
-            "0.00852871, 0.0085281, 0.00853936, 0.00853902, 0.00853456, 0.00852116", \
-            "0.01155, 0.0114895, 0.0114293, 0.0114111, 0.0114341, 0.011407" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00742727, 0.0109837, 0.0180147, 0.0319147, 0.0593945, 0.113721");
-          values ( \
-            "0.00527767, 0.00527403, 0.00527313, 0.0052707, 0.00526988, 0.00527095", \
-            "0.00507633, 0.00507767, 0.00506997, 0.00507216, 0.00506923, 0.00507034", \
-            "0.00491305, 0.0049117, 0.00491236, 0.00491317, 0.00491339, 0.00491186", \
-            "0.00498933, 0.00499487, 0.00499608, 0.00500038, 0.00498557, 0.00498145", \
-            "0.00591873, 0.00591083, 0.00590928, 0.00592538, 0.00592906, 0.00591341", \
-            "0.00916955, 0.00914736, 0.00915095, 0.0091321, 0.00913996, 0.00915126" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00573308;
-      rise_capacitance : 0.00573308;
-      rise_capacitance_range (0.00309261, 0.00573308);
-      fall_capacitance : 0.00568216;
-      fall_capacitance_range (0.00287197, 0.00568216);
-      receiver_capacitance () {
-        when : "!OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00229737, 0.00261018, 0.00276009, 0.00283721, 0.00287276, 0.00289012" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0030036, 0.00308921, 0.00312698, 0.00314497, 0.00315369, 0.00315772" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00257135, 0.00295204, 0.00312154, 0.00320383, 0.00324096, 0.0032591" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00316901, 0.00312519, 0.00309218, 0.00307967, 0.0030743, 0.00307215" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00256256, 0.00311647, 0.00340758, 0.0035496, 0.00361439, 0.00364519" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00353363, 0.00366786, 0.0036748, 0.00367302, 0.00367201, 0.00367228" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00237676, 0.0027676, 0.00296178, 0.00305651, 0.00310247, 0.00312472" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00311395, 0.00324971, 0.00332118, 0.00336171, 0.00337624, 0.00338249" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00402082, -0.00402989, -0.00402706, -0.00404746, -0.00403201, -0.00402496" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00402082, 0.00402989, 0.00402706, 0.00404746, 0.00403201, 0.00402496" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0035339, -0.00353919, -0.00356678, -0.0035626, -0.00354719, -0.00353573" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00357157, 0.00357349, 0.00359074, 0.0035955, 0.0035816, 0.0035736" \
-          );
-        }
-      }
-    }
-    pin (OE) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00724285;
-      rise_capacitance : 0.00724285;
-      rise_capacitance_range (0.00548393, 0.00724285);
-      fall_capacitance : 0.00697241;
-      fall_capacitance_range (0.00512306, 0.00724285);
-      receiver_capacitance () {
-        when : "A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00412658, 0.00521316, 0.00599964, 0.00653922, 0.006909, 0.00721859" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0065722, 0.00738967, 0.00775269, 0.0082019, 0.00784667, 0.00739671" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00438726, 0.00512051, 0.00557331, 0.00584723, 0.00599186, 0.00607198" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00574249, 0.00633901, 0.00677543, 0.00733679, 0.00838906, 0.00870007" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00408596, 0.00516201, 0.00594534, 0.00649353, 0.00685951, 0.00716288" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0065231, 0.00739621, 0.00774843, 0.00820586, 0.00784665, 0.00740706" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00437609, 0.00511812, 0.0055732, 0.00584749, 0.00599216, 0.00607209" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00570622, 0.00628727, 0.00671643, 0.00727372, 0.00835438, 0.00867267" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00318686, 0.00298106, 0.00282892, 0.00296577, 0.00387701, 0.00718466" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00871247, 0.00848672, 0.00845678, 0.00868783, 0.00998058, 0.0135393" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00283291, 0.00263879, 0.00247757, 0.00260496, 0.00353665, 0.00684117" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00884836, 0.00865281, 0.0086668, 0.00894067, 0.0102133, 0.0138033" \
-          );
-        }
-      }
-    }
-  }
-  cell (TBUFIXL) {
-    area : 12.4542;
-    cell_footprint : "TBUFI";
-    cell_leakage_power : 0.0924598;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.34147;
-      when : "(A * OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00921724;
-      when : "(A * !OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00921711;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.178166;
-      when : "(!A * OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00834439;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.00834434;
-      when : "(!A * !OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0924598;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      three_state : "!OE";
-      max_capacitance : 0.0754706;
-      capacitance : 0.00290399;
-      rise_capacitance : 0.00276482;
-      rise_capacitance_range (0.00276482, 0.00276482);
-      fall_capacitance : 0.00290399;
-      fall_capacitance_range (0.00290399, 0.00290399);
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.0537877, 0.0740625, 0.110276, 0.17568, 0.294906, 0.513042", \
-            "0.0582596, 0.0786585, 0.115174, 0.180911, 0.300426, 0.518704", \
-            "0.0709909, 0.0909548, 0.127032, 0.192992, 0.312777, 0.531347", \
-            "0.0989893, 0.121878, 0.15722, 0.220372, 0.341896, 0.560516", \
-            "0.141026, 0.173816, 0.222189, 0.291888, 0.409376, 0.627021", \
-            "0.210346, 0.254849, 0.323005, 0.422667, 0.564345, 0.780211" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.0548099, 0.0826552, 0.133139, 0.225083, 0.393505, 0.701777", \
-            "0.0546316, 0.0825637, 0.133117, 0.225142, 0.393359, 0.701777", \
-            "0.056294, 0.0824008, 0.132948, 0.225046, 0.393418, 0.701902", \
-            "0.0738345, 0.0942867, 0.137216, 0.224886, 0.393498, 0.701774", \
-            "0.104761, 0.132105, 0.172246, 0.244523, 0.39682, 0.701911", \
-            "0.152791, 0.190741, 0.247201, 0.328874, 0.455992, 0.721396" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.034425, 0.0457367, 0.0660138, 0.102745, 0.169739, 0.292376", \
-            "0.0386955, 0.0501551, 0.070501, 0.107489, 0.174583, 0.297319", \
-            "0.0480016, 0.0603655, 0.0809015, 0.117951, 0.185243, 0.308088", \
-            "0.0576868, 0.0758542, 0.102809, 0.141524, 0.209094, 0.332026", \
-            "0.0628591, 0.0890547, 0.12801, 0.183895, 0.26304, 0.386088", \
-            "0.0527756, 0.0895812, 0.144712, 0.225292, 0.340009, 0.50038" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.028043, 0.042814, 0.0698617, 0.119473, 0.210388, 0.377112", \
-            "0.028143, 0.0428195, 0.0698919, 0.119473, 0.210425, 0.377134", \
-            "0.033961, 0.0460427, 0.0706528, 0.119475, 0.210419, 0.377152", \
-            "0.0496254, 0.0635262, 0.0848927, 0.125778, 0.211052, 0.377087", \
-            "0.0748804, 0.0938431, 0.122329, 0.165113, 0.23573, 0.383446", \
-            "0.118474, 0.144008, 0.182941, 0.240701, 0.325421, 0.454279" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0305529, 0.0324478, 0.0342976, 0.0405037, 0.0438219, 0.0505989, 0.0577475, 0.0653303, 0.068852, 0.0736607, 0.0822585, 0.0854487, 0.0912159, 0.0987024, 0.105149, 0.111985, 0.12098, 0.128382, 0.138251, 0.145369, 0.159086, 0.172697, 0.188499, 0.201125, 0.226378, 0.26389, 0.309458");
-            values ( \
-              "0.0327405, 0.105957, 0.10675, 0.102412, 0.0991549, 0.0940179, 0.0893676, 0.0835345, 0.0797259, 0.0729103, 0.0570618, 0.0522394, 0.0443075, 0.0361111, 0.0303949, 0.0253672, 0.0198077, 0.0161795, 0.0123339, 0.0100247, 0.00682429, 0.00451446, 0.00283018, 0.00200004, 0.000862214, 0.000287994, 1.54925e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00667524");
-            index_3 ("0.0305366, 0.0340615, 0.0369268, 0.0438696, 0.0519586, 0.0602835, 0.0738573, 0.0851609, 0.0884088, 0.0984284, 0.111044, 0.123631, 0.137616, 0.15294, 0.165277, 0.175063, 0.188111, 0.200586, 0.216543, 0.240801, 0.255133, 0.271513, 0.304272, 0.326461");
-            values ( \
-              "0.0105565, 0.126121, 0.125606, 0.120144, 0.114924, 0.110839, 0.104972, 0.0993755, 0.0971832, 0.0878174, 0.0718136, 0.0578916, 0.0452319, 0.0341042, 0.026881, 0.0221482, 0.0169466, 0.0130609, 0.00928744, 0.00545814, 0.0039811, 0.002759, 0.00128505, 0.000874236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0122404");
-            index_3 ("0.0307761, 0.0360672, 0.0395222, 0.0489998, 0.0572335, 0.0661318, 0.102494, 0.117703, 0.130189, 0.14274, 0.164102, 0.184644, 0.199268, 0.22312, 0.244246, 0.255572, 0.276227, 0.298082, 0.324639, 0.348955, 0.364799, 0.394468, 0.434027, 0.504386, 0.58787");
-            values ( \
-              "0.0265668, 0.140295, 0.138546, 0.132156, 0.128542, 0.125755, 0.116693, 0.112206, 0.107044, 0.0994603, 0.0827143, 0.0675223, 0.0577052, 0.0438133, 0.0335275, 0.0289201, 0.0218474, 0.0160598, 0.0109244, 0.00769595, 0.00599389, 0.00381403, 0.00213361, 0.000580176, 0.000188871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0224452");
-            index_3 ("0.0331396, 0.0388379, 0.0541597, 0.0615639, 0.0760941, 0.122184, 0.16329, 0.198021, 0.219491, 0.229881, 0.304422, 0.36352, 0.411924, 0.435188, 0.465945, 0.494037, 0.531494, 0.560996, 0.59359, 0.637049, 0.720533, 0.773489");
-            values ( \
-              "0.135103, 0.148546, 0.140053, 0.138145, 0.135327, 0.129254, 0.123014, 0.115729, 0.108863, 0.104605, 0.0701761, 0.046751, 0.031847, 0.0262285, 0.0200391, 0.015604, 0.0111139, 0.00841304, 0.00619624, 0.0041366, 0.00175273, 0.00129224" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411576");
-            index_3 ("0.0361062, 0.0361261, 0.108928, 0.191536, 0.247848, 0.288369, 0.336381, 0.369194, 0.440789, 0.540419, 0.599618, 0.655144, 0.70062, 0.735781, 0.80006, 0.873732, 0.938628, 1.02357, 1.10706, 1.19054, 1.35751, 1.52448");
-            values ( \
-              "1e-22, 0.159272, 0.140245, 0.134017, 0.129231, 0.125252, 0.118861, 0.11256, 0.0945653, 0.0674853, 0.0529457, 0.041232, 0.033187, 0.027869, 0.0200217, 0.0135256, 0.00947855, 0.00591541, 0.00367715, 0.00228191, 0.000872465, 0.000333085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0754706");
-            index_3 ("0.0392227, 0.0392427, 0.170968, 0.346949, 0.507888, 0.625309, 0.706319, 1.06319, 1.20704, 1.27603, 1.3947, 1.53752, 1.62101, 1.84811, 2.16664");
-            values ( \
-              "1e-22, 0.16296, 0.14314, 0.13554, 0.127069, 0.116909, 0.106552, 0.0526368, 0.0361047, 0.0296362, 0.0209221, 0.0135307, 0.0105244, 0.0050487, 0.00183701" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0423795, 0.0587938, 0.0623885, 0.0837479, 0.0914307, 0.101417, 0.104386, 0.108344, 0.11569, 0.125473, 0.136653, 0.147718, 0.154503, 0.163426, 0.171089, 0.17971, 0.191992, 0.197468, 0.20842, 0.230324, 0.264183, 0.304582");
-            values ( \
-              "0.0132952, 0.102108, 0.100346, 0.083694, 0.0742704, 0.0560404, 0.0514741, 0.0459623, 0.0374436, 0.0289005, 0.0213006, 0.0157899, 0.01308, 0.010148, 0.00815658, 0.00636984, 0.00442979, 0.00378913, 0.0027268, 0.0014062, 0.000455272, 0.000123782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00667524");
-            index_3 ("0.0431897, 0.060497, 0.0744957, 0.0812249, 0.0981978, 0.104163, 0.116103, 0.129562, 0.137957, 0.149149, 0.156267, 0.168461, 0.182999, 0.19643, 0.205149, 0.219079, 0.234555, 0.252873, 0.274063, 0.291021, 0.324936, 0.350543");
-            values ( \
-              "0.0166363, 0.122316, 0.113579, 0.110105, 0.102326, 0.099051, 0.0891121, 0.0719698, 0.0622524, 0.0511008, 0.0451394, 0.0360999, 0.0272772, 0.0209152, 0.0174964, 0.0130754, 0.00939563, 0.00628606, 0.00394732, 0.00269831, 0.00122053, 0.000770618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0122404");
-            index_3 ("0.0524826, 0.0525026, 0.0786369, 0.0850002, 0.0977266, 0.121336, 0.140028, 0.150105, 0.161582, 0.183004, 0.203423, 0.221545, 0.242152, 0.263135, 0.274337, 0.29495, 0.312221, 0.326312, 0.343528, 0.367815, 0.393441, 0.413125, 0.452493, 0.507639");
-            values ( \
-              "1e-22, 0.147297, 0.128205, 0.126064, 0.122625, 0.116708, 0.110996, 0.106452, 0.0994808, 0.0826416, 0.0676003, 0.0555717, 0.043676, 0.033549, 0.0289982, 0.0219316, 0.0172172, 0.014086, 0.0109652, 0.00764689, 0.0052195, 0.00387395, 0.0020977, 0.000950605" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0224452");
-            index_3 ("0.0546331, 0.0546531, 0.105609, 0.141356, 0.178635, 0.200326, 0.218766, 0.242109, 0.333004, 0.373736, 0.408303, 0.426516, 0.459659, 0.487193, 0.509382, 0.536993, 0.575858, 0.616882, 0.648396, 0.711425, 0.79491, 0.807192");
-            values ( \
-              "1e-22, 0.157228, 0.134023, 0.129205, 0.123625, 0.119693, 0.115332, 0.107525, 0.0662785, 0.0499767, 0.0383235, 0.033099, 0.0250592, 0.0197136, 0.0161891, 0.0126014, 0.00878794, 0.00599435, 0.00444614, 0.00240282, 0.00103407, 0.000971911" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411576");
-            index_3 ("0.0574968, 0.0575168, 0.152835, 0.267209, 0.32395, 0.353055, 0.384101, 0.449851, 0.568999, 0.644901, 0.734399, 0.814472, 0.881891, 0.953805, 1.03258, 1.09505, 1.26202, 1.30167");
-            values ( \
-              "1e-22, 0.162052, 0.13846, 0.129232, 0.123417, 0.119269, 0.113535, 0.0972749, 0.06508, 0.0471915, 0.0308957, 0.0205206, 0.0143576, 0.00967895, 0.00626625, 0.00440835, 0.0016927, 0.00148781" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0754706");
-            index_3 ("0.0600018, 0.0600218, 0.190744, 0.36664, 0.468423, 0.527579, 0.577902, 0.644999, 0.679719, 0.726013, 0.783294, 0.967529, 1.08288, 1.16636, 1.22673, 1.29572, 1.3792, 1.41438, 1.48474, 1.5572, 1.64069, 1.73255, 1.86778, 1.95126, 2.03475, 2.20172, 2.45217, 2.70262");
-            values ( \
-              "1e-22, 0.165542, 0.14309, 0.135494, 0.130433, 0.127023, 0.123413, 0.116956, 0.112775, 0.106505, 0.0979787, 0.0691061, 0.0526853, 0.0424671, 0.0360567, 0.0296849, 0.0232833, 0.020971, 0.0169677, 0.0135797, 0.0104762, 0.00784427, 0.00509775, 0.00390393, 0.0029758, 0.00173046, 0.000770013, 0.000335583" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0821248, 0.0951122, 0.0990291, 0.101669, 0.10431, 0.106571, 0.107702, 0.109328, 0.110814, 0.11176, 0.112737, 0.112796, 0.113539, 0.114208, 0.11501, 0.115404, 0.116585, 0.117171, 0.118344, 0.12002, 0.122199, 0.124377, 0.126556, 0.128874, 0.131579, 0.134024, 0.135722, 0.139118, 0.142515, 0.148286, 0.150591, 0.15418, 0.159417, 0.16315, 0.164395, 0.168128, 0.170617, 0.173106, 0.178083, 0.188066, 0.19369, 0.199314, 0.202125, 0.206753, 0.213974, 0.216675, 0.222079, 0.228671, 0.235263, 0.240283");
-            values ( \
-              "0.0558137, 0.0562382, 0.0623817, 0.0681985, 0.0750889, 0.0774395, 0.0783381, 0.079307, 0.079859, 0.0806357, 0.0817788, 0.0836908, 0.0865335, 0.0883284, 0.0897121, 0.0900811, 0.0908227, 0.0908494, 0.0907732, 0.0903638, 0.0890273, 0.0874356, 0.0855885, 0.0831441, 0.0796531, 0.0758741, 0.0730505, 0.0666526, 0.0605946, 0.0509371, 0.0476737, 0.043141, 0.0373492, 0.033608, 0.0324918, 0.0293592, 0.0275219, 0.0257808, 0.0225874, 0.0171132, 0.0146826, 0.0125787, 0.0116281, 0.0102214, 0.00822614, 0.00760251, 0.00652489, 0.00541097, 0.00446688, 0.00385698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00667524");
-            index_3 ("0.0713673, 0.100745, 0.112653, 0.113855, 0.116329, 0.118101, 0.121322, 0.124547, 0.12989, 0.135596, 0.145539, 0.147315, 0.150867, 0.157971, 0.160099, 0.164356, 0.17287, 0.175469, 0.180668, 0.191066, 0.195655, 0.196314, 0.197633, 0.20027, 0.204577, 0.212856, 0.226513, 0.229587, 0.230155, 0.231291, 0.233563, 0.237454, 0.245031, 0.258683, 0.262573, 0.270352, 0.285912, 0.298795, 0.303542, 0.313035, 0.332022, 0.365643, 0.405808");
-            values ( \
-              "0.0027352, 0.0791277, 0.101059, 0.109769, 0.114018, 0.111958, 0.114773, 0.110223, 0.110429, 0.104227, 0.101963, 0.0976581, 0.0983558, 0.0887048, 0.0893759, 0.0810415, 0.0732447, 0.0671196, 0.0642484, 0.0506534, 0.0498151, 0.0461144, 0.0481222, 0.0428867, 0.0426382, 0.0337045, 0.0286134, 0.0239832, 0.0267299, 0.0231869, 0.0250602, 0.0203488, 0.0201711, 0.0125977, 0.0144274, 0.00953065, 0.00930038, 0.00446065, 0.00678535, 0.00287531, 0.00427392, 1e-22, 0.00150459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0122404");
-            index_3 ("0.0792454, 0.0900921, 0.0983615, 0.112529, 0.116126, 0.117969, 0.121653, 0.133854, 0.14304, 0.172157, 0.183282, 0.202871, 0.239611, 0.257625, 0.279928, 0.303095, 0.314364, 0.332191, 0.35315, 0.371864, 0.396816, 0.42004, 0.432679, 0.457958, 0.508515, 0.57977, 0.663254");
-            values ( \
-              "0.0181449, 0.0631941, 0.0840062, 0.115146, 0.127977, 0.129549, 0.130044, 0.125342, 0.122365, 0.114414, 0.110674, 0.100623, 0.0721086, 0.0596116, 0.0462809, 0.0346564, 0.0299818, 0.0235948, 0.0175996, 0.0134774, 0.00933794, 0.00661683, 0.00547739, 0.00372916, 0.00166639, 0.000490482, 0.000110874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0224452");
-            index_3 ("0.0796178, 0.0968854, 0.114834, 0.119738, 0.124086, 0.142863, 0.216315, 0.248462, 0.264927, 0.290486, 0.375284, 0.423899, 0.475393, 0.498183, 0.53755, 0.591791, 0.622598, 0.664021, 0.719251, 0.802735, 0.808502");
-            values ( \
-              "0.00872691, 0.084937, 0.133648, 0.140902, 0.140774, 0.135326, 0.124498, 0.118695, 0.114449, 0.105299, 0.0665926, 0.0473924, 0.0315477, 0.0260402, 0.0184738, 0.011294, 0.00848996, 0.00575948, 0.00337942, 0.00146046, 0.00141936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411576");
-            index_3 ("0.0794034, 0.104472, 0.115248, 0.121268, 0.146839, 0.310445, 0.367248, 0.395433, 0.425498, 0.468633, 0.593372, 0.672825, 0.75533, 0.794716, 0.862527, 0.894071, 0.957158, 1.00727, 1.0625, 1.13615, 1.21963, 1.30312, 1.55357");
-            values ( \
-              "0.00872268, 0.109569, 0.140894, 0.147734, 0.14247, 0.129238, 0.123402, 0.119407, 0.113916, 0.103731, 0.0699889, 0.0505388, 0.0344822, 0.0283725, 0.0200234, 0.0169634, 0.0120546, 0.00916646, 0.00675586, 0.00445376, 0.00276855, 0.00170902, 0.000404917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0754706");
-            index_3 ("0.099085, 0.099105, 0.273691, 0.283592, 0.303393, 0.341866, 0.381508, 0.460794, 0.482627, 0.526293, 0.58793, 0.671414, 0.700213, 0.757811, 0.82689, 0.892948, 0.973621, 0.986213, 1.0114, 1.06177, 1.12689, 1.21037, 1.2302, 1.26984, 1.33906, 1.42255, 1.45808, 1.52915, 1.60136, 1.68485, 1.73757, 1.75039, 1.77603, 1.82731, 1.90463, 1.98811, 2.0716, 2.15508, 2.23857, 2.32205, 2.40553, 2.41508");
-            values ( \
-              "1e-22, 0.152215, 0.143019, 0.139466, 0.141777, 0.136971, 0.138368, 0.131498, 0.133557, 0.128087, 0.127485, 0.11727, 0.11717, 0.106621, 0.099548, 0.0860866, 0.0764458, 0.0713798, 0.0706589, 0.0600561, 0.0542256, 0.0408532, 0.0418187, 0.0345315, 0.0313024, 0.0217221, 0.0225601, 0.0153425, 0.0151505, 0.00887103, 0.0104516, 0.00692275, 0.00944644, 0.00508212, 0.00681007, 0.00239354, 0.00464201, 0.000729042, 0.00336957, 1e-22, 0.00262721, 0.0023269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124562, 0.145326, 0.149761, 0.169666, 0.187023, 0.200752, 0.210775, 0.216422, 0.223512, 0.233951, 0.244373, 0.248977, 0.251518, 0.267383, 0.276864, 0.289457, 0.296808, 0.309703, 0.315969, 0.328502, 0.340567, 0.347163, 0.360355, 0.386741, 0.404062");
-            values ( \
-              "0.000435758, 0.0121947, 0.0150472, 0.0318318, 0.0435973, 0.0518923, 0.0572413, 0.0598342, 0.0624245, 0.0633083, 0.0516011, 0.0445776, 0.0443331, 0.030506, 0.0235566, 0.0167303, 0.0136213, 0.00945597, 0.00790108, 0.00548114, 0.00385469, 0.00317275, 0.00214349, 0.000938379, 0.000625172" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00667524");
-            index_3 ("0.131836, 0.147254, 0.158046, 0.179786, 0.201368, 0.218372, 0.233084, 0.246569, 0.249154, 0.251728, 0.255585, 0.258615, 0.273202, 0.28498, 0.294198, 0.304848, 0.314203, 0.329539, 0.345372, 0.358109, 0.36962, 0.384968, 0.398058, 0.41577, 0.439387, 0.474579");
-            values ( \
-              "0.00930097, 0.0168149, 0.0259995, 0.0467766, 0.064423, 0.0770773, 0.086182, 0.0917881, 0.0918103, 0.0939554, 0.0926375, 0.0896902, 0.0708568, 0.0576393, 0.0489313, 0.0404337, 0.0339478, 0.0252298, 0.0183288, 0.0140539, 0.0110179, 0.0078964, 0.00593414, 0.00401592, 0.00234768, 0.00111544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0122404");
-            index_3 ("0.135023, 0.163129, 0.184237, 0.212002, 0.221821, 0.24146, 0.248873, 0.251353, 0.253946, 0.256359, 0.261185, 0.279283, 0.28629, 0.294011, 0.302527, 0.340268, 0.359155, 0.376325, 0.399219, 0.413555, 0.421297, 0.434957, 0.452774, 0.467812, 0.484801, 0.508909, 0.519542, 0.533863, 0.552957, 0.591146, 0.661394, 0.744419");
-            values ( \
-              "0.00695179, 0.0352469, 0.057515, 0.0840689, 0.0926698, 0.108826, 0.113902, 0.117681, 0.119366, 0.119369, 0.118306, 0.111793, 0.108861, 0.104904, 0.099441, 0.0703696, 0.0576243, 0.0473506, 0.0356701, 0.0296503, 0.0267488, 0.0222014, 0.0172997, 0.0139633, 0.0109038, 0.00762522, 0.00651228, 0.00525781, 0.00393646, 0.00217539, 0.000656807, 0.000149241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0224452");
-            index_3 ("0.158837, 0.185114, 0.191206, 0.197373, 0.209707, 0.215874, 0.222593, 0.229313, 0.234348, 0.239383, 0.244419, 0.249454, 0.251145, 0.252594, 0.253077, 0.254852, 0.256497, 0.259655, 0.274635, 0.28033, 0.295517, 0.312161, 0.328228, 0.346515, 0.350955, 0.356505, 0.369825, 0.381014, 0.390251, 0.404107, 0.435384, 0.454815, 0.484679, 0.494573, 0.513929, 0.532853, 0.542315, 0.560171, 0.571553, 0.58167, 0.591787, 0.607845, 0.623904, 0.633321, 0.647446, 0.652154, 0.670988, 0.689822, 0.711773, 0.729876");
-            values ( \
-              "0.061551, 0.0620259, 0.0685181, 0.075906, 0.0920726, 0.100851, 0.112541, 0.125465, 0.123108, 0.122814, 0.124581, 0.12841, 0.13175, 0.133145, 0.133438, 0.133594, 0.133638, 0.133471, 0.130432, 0.129457, 0.127091, 0.124363, 0.121535, 0.118126, 0.117063, 0.11552, 0.11139, 0.107458, 0.103535, 0.0973304, 0.0826356, 0.0737833, 0.0606048, 0.0566248, 0.0493247, 0.0428094, 0.0397823, 0.0344577, 0.0311935, 0.0287359, 0.026421, 0.0231261, 0.0200184, 0.0182832, 0.016044, 0.0153596, 0.0130384, 0.0110008, 0.00901951, 0.00751342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411576");
-            index_3 ("0.150371, 0.252518, 0.257469, 0.277338, 0.397518, 0.466524, 0.525728, 0.573489, 0.719093, 0.757022, 0.81451, 0.862869, 0.899686, 0.947086, 0.986008, 1.03238, 1.09782, 1.16606, 1.21835, 1.30184, 1.38532, 1.48274");
-            values ( \
-              "0.027437, 0.140439, 0.143037, 0.13999, 0.130158, 0.123147, 0.113449, 0.101825, 0.0626994, 0.0535624, 0.0413295, 0.0328207, 0.0273234, 0.0214222, 0.0174694, 0.0136263, 0.0095187, 0.00653274, 0.00487427, 0.00302677, 0.00187431, 0.00119796" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0754706");
-            index_3 ("0.174335, 0.21388, 0.253883, 0.261041, 0.266948, 0.285801, 0.478675, 0.564789, 0.609189, 0.668389, 0.718512, 0.785342, 0.821258, 0.868826, 0.924046, 1.10824, 1.22356, 1.30705, 1.36756, 1.43651, 1.52, 1.55511, 1.62535, 1.69784, 1.78133, 1.87329, 1.9251, 2.00858, 2.09207, 2.17555, 2.34252, 2.59297, 2.84343");
-            values ( \
-              "0.0989987, 0.101197, 0.14582, 0.148121, 0.146794, 0.145044, 0.136811, 0.132753, 0.130458, 0.126993, 0.123401, 0.117022, 0.112692, 0.106192, 0.0979963, 0.0691246, 0.0527055, 0.0424609, 0.0360367, 0.0296916, 0.0232693, 0.0209818, 0.0169651, 0.0135934, 0.0104701, 0.00785185, 0.00665637, 0.0051038, 0.00389626, 0.00298198, 0.00173659, 0.000763792, 0.000341197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.217873, 0.275834, 0.286948, 0.293216, 0.305573, 0.31078, 0.321195, 0.340322, 0.35313, 0.361109, 0.377068, 0.391727, 0.400128, 0.416759, 0.432419, 0.447874, 0.463296, 0.471689, 0.478284, 0.491474, 0.502628, 0.510664, 0.524562, 0.528903, 0.537661, 0.54767, 0.550795, 0.555004, 0.560524, 0.56552, 0.584159, 0.604302, 0.613774");
-            values ( \
-              "0.000918085, 0.00357434, 0.00513735, 0.00610251, 0.00857927, 0.00995801, 0.013442, 0.021627, 0.0262525, 0.0286617, 0.0329443, 0.0362735, 0.0379477, 0.0409147, 0.0427605, 0.0422086, 0.0321111, 0.0261956, 0.0223216, 0.0162138, 0.0124447, 0.0101575, 0.00706503, 0.00630441, 0.0049995, 0.00379811, 0.00349623, 0.00459621, 0.00492177, 0.00454442, 0.00271151, 0.00147174, 0.00119682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00667524");
-            index_3 ("0.222959, 0.274944, 0.296377, 0.31927, 0.379273, 0.428126, 0.46798, 0.487304, 0.516716, 0.544758, 0.549905, 0.552195, 0.558641, 0.601682, 0.636436, 0.67752, 0.686541");
-            values ( \
-              "0.00171043, 0.00548688, 0.00959723, 0.0166678, 0.0418797, 0.0561347, 0.0636411, 0.0597777, 0.0380314, 0.0233592, 0.0213399, 0.0221069, 0.0211594, 0.00892527, 0.00417227, 0.00164401, 0.00151306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0122404");
-            index_3 ("0.232899, 0.275894, 0.289774, 0.301429, 0.324738, 0.366362, 0.409461, 0.443063, 0.471905, 0.498014, 0.522865, 0.550855, 0.553812, 0.557113, 0.5904, 0.606132, 0.626549, 0.645139, 0.658487, 0.675747, 0.689816, 0.707031, 0.73131, 0.756914, 0.776579, 0.815908, 0.836935");
-            values ( \
-              "0.00316692, 0.00768152, 0.0107278, 0.0137758, 0.0221302, 0.0424772, 0.0596422, 0.0716767, 0.0810701, 0.0877213, 0.0898227, 0.0750736, 0.0750231, 0.0736446, 0.0523501, 0.0434355, 0.0336082, 0.0263201, 0.0219325, 0.0172202, 0.014093, 0.0109713, 0.00765173, 0.00522474, 0.0038789, 0.00210191, 0.00166366" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0224452");
-            index_3 ("0.275019, 0.316678, 0.339432, 0.382846, 0.451527, 0.495063, 0.532611, 0.54998, 0.55808, 0.566818, 0.598263, 0.610888, 0.696066, 0.729895, 0.766989, 0.803787, 0.833426, 0.854446, 0.878053, 0.903602, 0.939388, 0.982275, 1.03946, 1.12294, 1.20643, 1.28991");
-            values ( \
-              "0.0163367, 0.0224727, 0.0326581, 0.0541381, 0.0841239, 0.101387, 0.114049, 0.118549, 0.119988, 0.118959, 0.109131, 0.103965, 0.0651143, 0.05153, 0.03877, 0.0287422, 0.022279, 0.0185299, 0.015006, 0.0118958, 0.00852995, 0.00570695, 0.00328604, 0.0014165, 0.000608883, 0.000258696" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411576");
-            index_3 ("0.275018, 0.337675, 0.416937, 0.479996, 0.530836, 0.549979, 0.558621, 0.569475, 0.580713, 0.645148, 0.684405, 0.711066, 0.747058, 0.818658, 0.937436, 0.976101, 1.03267, 1.07968, 1.11623, 1.16457, 1.20465, 1.25075, 1.31598, 1.38344, 1.43504, 1.51853, 1.60201, 1.76898, 1.93595");
-            values ( \
-              "0.0130043, 0.0343238, 0.0735816, 0.103171, 0.125047, 0.132446, 0.134806, 0.134756, 0.133156, 0.127409, 0.123118, 0.119362, 0.112585, 0.0945784, 0.0626115, 0.0532591, 0.0413027, 0.0329901, 0.0275124, 0.0214632, 0.0173959, 0.0135923, 0.00950209, 0.00655023, 0.00490826, 0.00304654, 0.00188807, 0.000720856, 0.000274768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0754706");
-            index_3 ("0.274888, 0.3664, 0.490119, 0.537727, 0.558136, 0.569006, 0.578455, 0.695583, 0.779067, 0.831982, 0.902009, 0.985494, 1.07191, 1.14098, 1.32548, 1.44097, 1.52446, 1.58392, 1.65314, 1.73663, 1.77216, 1.84323, 1.91543, 1.99892, 2.0901, 2.22486, 2.30835, 2.39183, 2.5588, 2.72577, 3.05971");
-            values ( \
-              "0.00918941, 0.0502203, 0.112585, 0.134698, 0.142685, 0.14305, 0.141957, 0.136791, 0.132869, 0.130128, 0.125909, 0.118849, 0.108203, 0.0979644, 0.0690693, 0.0526349, 0.0424453, 0.0361263, 0.0297084, 0.0233176, 0.0209649, 0.0169393, 0.0135545, 0.0104685, 0.00784609, 0.00510544, 0.00391662, 0.002979, 0.00173108, 0.00100289, 0.00033363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.527802, 0.608447, 0.644887, 0.654039, 0.672344, 0.735512, 0.767039, 0.793956, 0.818553, 0.841835, 0.864565, 0.887272, 0.917603, 0.935451, 0.950089, 0.964214, 0.974512, 0.994852, 1.01474, 1.03006, 1.0607, 1.11162, 1.21612, 1.23902, 1.25183");
-            values ( \
-              "0.00193434, 0.00283237, 0.00470636, 0.00544636, 0.00744537, 0.0185898, 0.022997, 0.0257055, 0.027583, 0.028681, 0.0290599, 0.0262519, 0.0147111, 0.01035, 0.00753858, 0.00550924, 0.00433263, 0.00263463, 0.00161739, 0.001099, 0.000488453, 0.000119229, 2.7989e-05, 0.00073445, 0.000644219" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00667524");
-            index_3 ("0.5274, 0.608253, 0.640335, 0.656555, 0.688993, 0.740843, 0.759558, 0.798482, 0.832131, 0.862878, 0.891856, 0.920083, 0.948266, 0.979711, 1.01267, 1.03693, 1.06737, 1.09937, 1.11655, 1.13946, 1.18528, 1.21592, 1.23551, 1.25967, 1.26398");
-            values ( \
-              "0.00312303, 0.00443491, 0.00655078, 0.00815262, 0.0129965, 0.0244271, 0.0278994, 0.0338246, 0.037675, 0.0404995, 0.0423703, 0.0429255, 0.0369461, 0.0242226, 0.014702, 0.0096927, 0.00552669, 0.00296119, 0.00210386, 0.00131545, 0.000485202, 0.000258407, 0.00128319, 0.00117325, 0.00105195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0122404");
-            index_3 ("0.527325, 0.607024, 0.626932, 0.648167, 0.668702, 0.689765, 0.759928, 0.797809, 0.848124, 0.891608, 0.931157, 0.968167, 1.00447, 1.04513, 1.09805, 1.11216, 1.1366, 1.15653, 1.17357, 1.19481, 1.21602, 1.22648, 1.23852, 1.25445, 1.28632, 1.31746, 1.36102");
-            values ( \
-              "0.00462205, 0.00644546, 0.00796417, 0.0100572, 0.0127169, 0.016333, 0.0327095, 0.0399849, 0.0477742, 0.0535131, 0.0579259, 0.0610267, 0.0606795, 0.0476003, 0.028701, 0.0246147, 0.0183667, 0.0142303, 0.0113596, 0.0084921, 0.00629046, 0.00686794, 0.00651677, 0.00514707, 0.00314008, 0.00195565, 0.00106825" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0224452");
-            index_3 ("0.52694, 0.628501, 0.669342, 0.709597, 0.770263, 0.855812, 0.92209, 0.979154, 1.03058, 1.07874, 1.12695, 1.21575, 1.23285, 1.28071, 1.32484, 1.37041, 1.3958, 1.44555, 1.47381, 1.53032, 1.61381, 1.64074");
-            values ( \
-              "0.00511208, 0.0108056, 0.0160425, 0.0237565, 0.0386097, 0.0553762, 0.0665514, 0.0752198, 0.0819162, 0.0858316, 0.080169, 0.0504015, 0.0467719, 0.0325387, 0.0223708, 0.0149422, 0.0118569, 0.00746963, 0.00572798, 0.00331661, 0.00143364, 0.00116815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411576");
-            index_3 ("0.526912, 0.661187, 0.677509, 0.710153, 0.806474, 0.82925, 0.942302, 1.02579, 1.08068, 1.14056, 1.17231, 1.19405, 1.20492, 1.22633, 1.24957, 1.30349, 1.3985, 1.44704, 1.50991, 1.54695, 1.60446, 1.65359, 1.6956, 1.74124, 1.80612, 1.83443, 1.87228, 1.92276, 2.00624, 2.08972, 2.17321, 2.25669, 2.42366");
-            values ( \
-              "0.00419459, 0.0178877, 0.0205358, 0.026794, 0.0493784, 0.0542298, 0.0766534, 0.0920687, 0.101299, 0.110004, 0.113544, 0.115019, 0.115229, 0.114609, 0.109779, 0.0958868, 0.0700056, 0.0576723, 0.0437494, 0.0367691, 0.0277084, 0.0215417, 0.0172837, 0.013528, 0.00948297, 0.00811732, 0.00658413, 0.00495997, 0.00308415, 0.0019063, 0.00118153, 0.000725941, 0.000274831" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0754706");
-            index_3 ("0.608132, 0.705069, 0.85515, 0.998366, 1.06464, 1.13292, 1.2158, 1.23664, 1.25962, 1.28298, 1.32971, 1.38826, 1.47174, 1.55822, 1.62725, 1.81175, 1.92723, 2.01072, 2.07021, 2.13942, 2.2229, 2.25843, 2.32948, 2.40169, 2.48517, 2.57636, 2.62766, 2.71114, 2.79462, 2.87811, 3.04508, 3.21205, 3.37902, 3.54598");
-            values ( \
-              "0.0278226, 0.0282723, 0.0621452, 0.0927172, 0.106262, 0.119397, 0.133757, 0.134296, 0.133304, 0.131891, 0.12956, 0.125859, 0.118888, 0.108206, 0.0979606, 0.0690737, 0.0526391, 0.0424435, 0.0361233, 0.0297097, 0.0233156, 0.0209664, 0.0169392, 0.0135563, 0.0104681, 0.00784643, 0.0066838, 0.00510543, 0.00391657, 0.00297892, 0.00173092, 0.00100266, 0.000579103, 0.000333263" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.00255223, 0.00259455, 0.00262984, 0.00265556, 0.0026723, 0.00268242", \
-            "0.00320487, 0.00325914, 0.00331184, 0.00335423, 0.00338368, 0.00340216", \
-            "0.00367988, 0.0037102, 0.00374409, 0.00377863, 0.00380682, 0.00382679", \
-            "0.0040517, 0.00403554, 0.0040174, 0.0040176, 0.00402541, 0.00403415", \
-            "0.00432039, 0.00427433, 0.00422573, 0.00418622, 0.00415923, 0.00414566", \
-            "0.00450929, 0.00444193, 0.00438255, 0.00432833, 0.0042758, 0.00423355" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.0037638, 0.0038011, 0.00384093, 0.00387261, 0.0038935, 0.003906", \
-            "0.00421193, 0.00412419, 0.00407068, 0.00402055, 0.00401676, 0.00400317", \
-            "0.00436839, 0.00417149, 0.00401261, 0.00389169, 0.00381081, 0.00375933", \
-            "0.00477578, 0.00436048, 0.00406717, 0.00385459, 0.00371481, 0.00362769", \
-            "0.00523583, 0.00514006, 0.00453083, 0.0040845, 0.00381496, 0.00365108", \
-            "0.00498034, 0.00509252, 0.00517118, 0.00486272, 0.00419004, 0.0038473" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0249263, 0.0263795, 0.0296897, 0.0337233, 0.037737, 0.0417901, 0.0458567, 0.0485552, 0.0539284, 0.0629042, 0.06957, 0.0771396, 0.0834908, 0.0918855, 0.101223, 0.112288");
-            values ( \
-              "-0.0262225, -0.154623, -0.161211, -0.163401, -0.162884, -0.160459, -0.155215, -0.148045, -0.12614, -0.0806772, -0.0538165, -0.0324356, -0.0206962, -0.011184, -0.0055906, -0.00330016" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00667524");
-            index_3 ("0.0259877, 0.027339, 0.0302226, 0.0350121, 0.0415117, 0.0507807, 0.0551213, 0.060506, 0.0640242, 0.0709159, 0.0851624, 0.0949467, 0.10483, 0.112827, 0.1254, 0.141317, 0.155388, 0.157776");
-            values ( \
-              "-0.16673, -0.189632, -0.194217, -0.196313, -0.195295, -0.190751, -0.18669, -0.17847, -0.170482, -0.147152, -0.0912547, -0.060824, -0.0388402, -0.0265326, -0.0142315, -0.00637867, -0.00301542, -0.00287648" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0122404");
-            index_3 ("0.0260272, 0.0285397, 0.0315717, 0.0361183, 0.0538623, 0.0669773, 0.07731, 0.0841532, 0.0886112, 0.0975272, 0.119017, 0.134103, 0.147641, 0.157129, 0.170565, 0.178076, 0.192821, 0.217917, 0.248009");
-            values ( \
-              "-0.134925, -0.216415, -0.219704, -0.220977, -0.217355, -0.21213, -0.205636, -0.198038, -0.191568, -0.172771, -0.113799, -0.0781678, -0.0538856, -0.0408826, -0.0273333, -0.0217003, -0.0136789, -0.00609639, -0.00220176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0224452");
-            index_3 ("0.0268176, 0.0290174, 0.0326138, 0.0388824, 0.0439567, 0.06111, 0.0784915, 0.0961736, 0.113944, 0.125495, 0.133304, 0.148922, 0.184959, 0.193502, 0.210589, 0.221307, 0.233153, 0.248949, 0.259738, 0.272425, 0.289341, 0.303723, 0.318304, 0.337745, 0.376628, 0.43439, 0.49215");
-            values ( \
-              "-0.22749, -0.233233, -0.236617, -0.237586, -0.237064, -0.234288, -0.230839, -0.226264, -0.21933, -0.212157, -0.205563, -0.185626, -0.123282, -0.109433, -0.0846336, -0.0713652, -0.0586788, -0.0447277, -0.0370051, -0.029493, -0.0216358, -0.0165781, -0.0126347, -0.00873871, -0.00404586, -0.00116999, -0.000342813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411576");
-            index_3 ("0.0269901, 0.0311292, 0.0330796, 0.0390724, 0.0702659, 0.117691, 0.149283, 0.170404, 0.181328, 0.203178, 0.214813, 0.238082, 0.251757, 0.312601, 0.332426, 0.361443, 0.386019, 0.405124, 0.429739, 0.450202, 0.474147, 0.508021, 0.543345, 0.570416, 0.62456, 0.682321, 0.740082, 0.797843");
-            values ( \
-              "-0.237786, -0.245437, -0.246942, -0.247966, -0.245134, -0.239674, -0.234696, -0.230354, -0.227444, -0.219851, -0.214137, -0.197694, -0.18479, -0.122055, -0.103647, -0.0801852, -0.0637279, -0.0529632, -0.0414232, -0.0336458, -0.0262541, -0.0183311, -0.0125858, -0.00939942, -0.00514344, -0.00268935, -0.00139722, -0.000730058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0754706");
-            index_3 ("0.0270225, 0.0312815, 0.0378467, 0.107418, 0.189655, 0.246396, 0.303946, 0.343235, 0.364001, 0.405532, 0.430121, 0.538584, 0.573644, 0.625934, 0.670488, 0.70442, 0.748167, 0.784298, 0.827144, 0.884905, 0.95417, 1.00698, 1.06475, 1.18027, 1.29579, 1.41131");
-            values ( \
-              "-0.243772, -0.251498, -0.25403, -0.250305, -0.244715, -0.239599, -0.232338, -0.224804, -0.219165, -0.202683, -0.189512, -0.125444, -0.106717, -0.0823979, -0.0652513, -0.0542925, -0.0425038, -0.0346042, -0.0269972, -0.0191581, -0.0126746, -0.00921384, -0.00646423, -0.00317575, -0.0015537, -0.00075745" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0384953, 0.044447, 0.051949, 0.0537522, 0.0560274, 0.0600961, 0.0641769, 0.0666585, 0.0885888, 0.0943468, 0.102448, 0.110926, 0.119807, 0.124602");
-            values ( \
-              "-0.00121024, -0.10894, -0.156691, -0.161183, -0.161699, -0.160059, -0.155059, -0.148609, -0.0514392, -0.0350284, -0.0197451, -0.01059, -0.00546477, -0.0040488" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00667524");
-            index_3 ("0.0361731, 0.052757, 0.0567842, 0.0629508, 0.0723549, 0.0756196, 0.0820855, 0.0865944, 0.102063, 0.111839, 0.121659, 0.132267, 0.144757, 0.163314, 0.176115");
-            values ( \
-              "-0.00378259, -0.192065, -0.194867, -0.193873, -0.187904, -0.183953, -0.171404, -0.157457, -0.0964339, -0.0647404, -0.0416943, -0.025159, -0.0135462, -0.00524301, -0.00297443" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0122404");
-            index_3 ("0.0398903, 0.0484884, 0.0526614, 0.0549722, 0.0595586, 0.0751314, 0.0894204, 0.0959652, 0.101303, 0.107045, 0.118317, 0.141408, 0.156906, 0.170211, 0.179187, 0.187242, 0.197981, 0.207142, 0.219501, 0.23598, 0.267373, 0.303972");
-            values ( \
-              "-0.0244655, -0.179892, -0.215478, -0.218854, -0.219828, -0.216347, -0.20998, -0.205159, -0.199647, -0.191562, -0.166649, -0.103734, -0.0695952, -0.0478943, -0.0367604, -0.0288566, -0.0207219, -0.0155583, -0.010536, -0.00617654, -0.00204268, -0.000533726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0224452");
-            index_3 ("0.0413467, 0.0484871, 0.0509253, 0.0519672, 0.0536444, 0.0564374, 0.0593462, 0.0626108, 0.072073, 0.0922713, 0.105883, 0.114827, 0.132598, 0.14415, 0.151958, 0.167574, 0.173019, 0.203612, 0.212153, 0.229235, 0.23996, 0.251814, 0.26762, 0.278392, 0.291057, 0.307945, 0.322358, 0.336969, 0.35645, 0.395412, 0.452847, 0.510607");
-            values ( \
-              "-0.0281483, -0.19867, -0.208431, -0.224725, -0.235085, -0.236062, -0.236663, -0.236713, -0.235525, -0.231843, -0.228722, -0.226209, -0.219385, -0.212158, -0.205562, -0.185631, -0.176747, -0.123284, -0.109439, -0.0846438, -0.0713663, -0.0586723, -0.0447144, -0.0370055, -0.0295047, -0.0216565, -0.0165836, -0.0126318, -0.00873045, -0.00403461, -0.00117751, -0.000344653" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411576");
-            index_3 ("0.0387772, 0.0531727, 0.054451, 0.0558755, 0.0645399, 0.0694229, 0.0758639, 0.0864573, 0.101941, 0.10612, 0.114479, 0.131196, 0.136805, 0.148022, 0.168085, 0.194774, 0.200141, 0.210873, 0.233605, 0.260504, 0.270627, 0.316744, 0.324064, 0.338705, 0.356818, 0.382171, 0.388145, 0.400092, 0.420357, 0.4472, 0.455366, 0.471699, 0.504364, 0.530363, 0.539938, 0.55909, 0.597392, 0.645518, 0.703279, 0.721645");
-            values ( \
-              "-0.0115187, -0.240539, -0.248631, -0.242631, -0.250861, -0.243393, -0.249938, -0.24173, -0.247296, -0.239656, -0.245915, -0.236673, -0.243138, -0.234344, -0.238315, -0.225373, -0.231045, -0.220489, -0.217787, -0.190881, -0.188413, -0.133073, -0.132934, -0.111471, -0.102484, -0.0751891, -0.0782475, -0.0630603, -0.0585139, -0.0383572, -0.0423247, -0.0290906, -0.0269083, -0.0140174, -0.0196044, -0.00935684, -0.0122185, -0.00138656, -0.00627474, -0.00427964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0754706");
-            index_3 ("0.0455393, 0.0567023, 0.0605075, 0.0634802, 0.16034, 0.230733, 0.26527, 0.321618, 0.330167, 0.359476, 0.382881, 0.429692, 0.449068, 0.544542, 0.570653, 0.622876, 0.658371, 0.697585, 0.74987, 0.778607, 0.812308, 0.853274, 0.910263, 0.933717, 0.980624, 1.03839, 1.09615, 1.15391, 1.21167, 1.32719, 1.44271");
-            values ( \
-              "-0.234906, -0.25223, -0.253617, -0.253622, -0.248103, -0.242837, -0.239584, -0.232614, -0.231158, -0.225397, -0.21918, -0.200037, -0.189518, -0.132729, -0.118213, -0.0920301, -0.076837, -0.0624432, -0.0468163, -0.0398232, -0.0328458, -0.0258594, -0.0184543, -0.0160341, -0.0121098, -0.00850841, -0.00599262, -0.00418784, -0.00295005, -0.00145024, -0.000714117" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0671852, 0.0714412, 0.0759987, 0.0829115, 0.0935013, 0.0991062, 0.104276, 0.109336, 0.112594, 0.113611, 0.130049, 0.137647, 0.144605, 0.153374, 0.16712, 0.168323");
-            values ( \
-              "-0.0138537, -0.0477963, -0.066157, -0.0859248, -0.1112, -0.122638, -0.130452, -0.129334, -0.119934, -0.119809, -0.050134, -0.0300002, -0.0182795, -0.00957922, -0.00339336, -0.00319321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00667524");
-            index_3 ("0.0637336, 0.0848829, 0.0946233, 0.102768, 0.110007, 0.112613, 0.114275, 0.116674, 0.123434, 0.127762, 0.14328, 0.153611, 0.160925, 0.166855, 0.17114, 0.178137, 0.185363, 0.194587, 0.206886, 0.22682, 0.250519");
-            values ( \
-              "-0.00451831, -0.109193, -0.137215, -0.158122, -0.173887, -0.178063, -0.183039, -0.183165, -0.170554, -0.157096, -0.0958726, -0.062767, -0.045295, -0.0343019, -0.0279645, -0.0198805, -0.0138525, -0.00870442, -0.0045788, -0.00149319, -0.000370922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0122404");
-            index_3 ("0.0687225, 0.0803881, 0.084105, 0.0955102, 0.10544, 0.112586, 0.114326, 0.116352, 0.122579, 0.126641, 0.131816, 0.137191, 0.14828, 0.154608, 0.160616, 0.183162, 0.189796, 0.198242, 0.204419, 0.21125, 0.220316, 0.228576, 0.23959, 0.24847, 0.258284, 0.271369, 0.297539, 0.332174, 0.374949");
-            values ( \
-              "-0.0113331, -0.105737, -0.120208, -0.157829, -0.18706, -0.206062, -0.212727, -0.215402, -0.213846, -0.212128, -0.209281, -0.205205, -0.191717, -0.179094, -0.16368, -0.102361, -0.0867425, -0.069348, -0.058474, -0.0481203, -0.0368409, -0.028741, -0.0204565, -0.0154968, -0.0113806, -0.00748072, -0.00307408, -0.000873394, -0.000163742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0224452");
-            index_3 ("0.0705005, 0.0822452, 0.0910077, 0.10261, 0.115311, 0.119346, 0.121012, 0.128742, 0.143311, 0.161805, 0.1742, 0.193213, 0.204093, 0.214229, 0.252472, 0.263676, 0.277931, 0.288306, 0.299859, 0.315179, 0.329129, 0.347729, 0.362685, 0.379201, 0.401223, 0.445265, 0.503026, 0.560787");
-            values ( \
-              "-0.0165134, -0.121115, -0.154617, -0.193404, -0.231714, -0.234682, -0.233801, -0.232773, -0.229654, -0.224342, -0.2191, -0.205651, -0.192691, -0.176738, -0.110893, -0.0940446, -0.0752462, -0.0635311, -0.0522863, -0.0400347, -0.0312348, -0.0222297, -0.0168499, -0.0123842, -0.00814738, -0.00335505, -0.000969118, -0.00028365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411576");
-            index_3 ("0.0712099, 0.0877689, 0.114581, 0.117243, 0.120005, 0.125527, 0.168336, 0.193661, 0.209477, 0.240884, 0.261061, 0.275012, 0.302913, 0.312019, 0.365787, 0.381103, 0.411734, 0.429242, 0.448557, 0.474311, 0.491967, 0.504898, 0.52214, 0.556622, 0.582518, 0.595747, 0.622205, 0.675121, 0.732882, 0.790643, 0.848404");
-            values ( \
-              "-0.0294054, -0.149828, -0.242369, -0.245882, -0.245423, -0.245576, -0.240832, -0.237326, -0.234691, -0.22775, -0.220782, -0.214159, -0.193548, -0.184798, -0.128955, -0.114167, -0.0876925, -0.0747761, -0.0623282, -0.0484556, -0.04059, -0.0356014, -0.029828, -0.0207342, -0.0157565, -0.0136926, -0.0102881, -0.00572796, -0.00298581, -0.00156259, -0.000805713" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0754706");
-            index_3 ("0.0715958, 0.0954786, 0.114437, 0.118142, 0.124943, 0.174107, 0.238894, 0.306945, 0.363316, 0.371706, 0.400471, 0.424557, 0.472727, 0.490763, 0.586215, 0.612293, 0.664448, 0.700057, 0.739399, 0.791855, 0.820304, 0.853664, 0.894736, 0.951828, 0.975395, 1.02253, 1.08029, 1.13805, 1.19581, 1.25357, 1.36909, 1.48461");
-            values ( \
-              "-0.0465765, -0.181095, -0.250213, -0.252306, -0.252615, -0.249866, -0.245508, -0.23959, -0.232605, -0.231181, -0.225552, -0.219181, -0.199345, -0.189515, -0.132737, -0.118243, -0.0920824, -0.0768369, -0.0623999, -0.0467407, -0.0398227, -0.0329102, -0.0258919, -0.0184689, -0.0160332, -0.0120951, -0.0084955, -0.00598597, -0.00418073, -0.00294746, -0.00144966, -0.000714512" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.116743, 0.125133, 0.127399, 0.13193, 0.135115, 0.140866, 0.149345, 0.156112, 0.16613, 0.174858, 0.18278, 0.190185, 0.1975, 0.204798, 0.205755, 0.20767, 0.216525, 0.221227, 0.224419, 0.228644, 0.232554, 0.237108, 0.244055, 0.249548, 0.251076, 0.252828, 0.259769");
-            values ( \
-              "-0.014049, -0.0189461, -0.0207717, -0.0260166, -0.0309521, -0.0408446, -0.0524029, -0.0601121, -0.0708504, -0.0792801, -0.0861828, -0.0906435, -0.0889182, -0.0719309, -0.0704529, -0.0644505, -0.0413458, -0.0316937, -0.0260593, -0.0198597, -0.0153464, -0.011269, -0.00689808, -0.00470189, -0.00644894, -0.00697776, -0.00473192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00667524");
-            index_3 ("0.122204, 0.12469, 0.130825, 0.13683, 0.147446, 0.158572, 0.166815, 0.17948, 0.190501, 0.200509, 0.209918, 0.219406, 0.220402, 0.223817, 0.234356, 0.242338, 0.246024, 0.249105, 0.249556, 0.250457, 0.251326, 0.260769, 0.263459, 0.267045, 0.274217, 0.280028, 0.283003, 0.288952, 0.300851, 0.312381");
-            values ( \
-              "-0.0227597, -0.025098, -0.0313621, -0.0408398, -0.0604609, -0.0765459, -0.0870905, -0.102869, -0.115151, -0.125009, -0.130369, -0.12311, -0.121194, -0.112969, -0.0832677, -0.0620025, -0.0534539, -0.0469507, -0.0476707, -0.0474124, -0.0464996, -0.0309436, -0.0272338, -0.0228981, -0.0160434, -0.0120072, -0.0103389, -0.00765098, -0.00410475, -0.00252678" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0122404");
-            index_3 ("0.124466, 0.138524, 0.157874, 0.172911, 0.199779, 0.214148, 0.227248, 0.239766, 0.248881, 0.252689, 0.268188, 0.292273, 0.312573, 0.315102, 0.320159, 0.339492, 0.344442, 0.354344, 0.401837");
-            values ( \
-              "-0.0126285, -0.0501509, -0.085372, -0.108077, -0.144723, -0.161905, -0.174529, -0.17783, -0.167019, -0.164796, -0.119801, -0.064941, -0.0358586, -0.0346786, -0.0283964, -0.0152544, -0.0144416, -0.00926266, -0.0014883" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0224452");
-            index_3 ("0.124084, 0.146037, 0.160622, 0.206149, 0.228319, 0.250918, 0.255252, 0.26559, 0.284578, 0.303835, 0.354472, 0.380136, 0.391532, 0.411254, 0.438511, 0.453901, 0.474633, 0.502274, 0.554811, 0.612572");
-            values ( \
-              "-0.00437047, -0.0693269, -0.0971411, -0.167576, -0.197301, -0.224059, -0.223299, -0.219051, -0.205569, -0.179818, -0.0949624, -0.0630899, -0.0520752, -0.0368935, -0.0225067, -0.0169085, -0.0114755, -0.00675622, -0.00225003, -0.000662703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411576");
-            index_3 ("0.12441, 0.155969, 0.168714, 0.20124, 0.22115, 0.238984, 0.248825, 0.253884, 0.260547, 0.265377, 0.270216, 0.289885, 0.324656, 0.349732, 0.367, 0.400984, 0.470129, 0.496696, 0.516562, 0.531691, 0.551863, 0.579968, 0.606429, 0.641711, 0.66503, 0.691217, 0.726133, 0.783894, 0.841655, 0.899416, 0.957177");
-            values ( \
-              "-0.00300689, -0.0909742, -0.114859, -0.168178, -0.198525, -0.223473, -0.236582, -0.240469, -0.241052, -0.24002, -0.239626, -0.236702, -0.229896, -0.222145, -0.214122, -0.187893, -0.116982, -0.0934844, -0.0781116, -0.0678494, -0.0559558, -0.0422528, -0.0322733, -0.0223363, -0.0173989, -0.0131578, -0.00905139, -0.00470788, -0.00249447, -0.00126183, -0.000692871" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0754706");
-            index_3 ("0.12436, 0.16843, 0.175786, 0.214144, 0.248775, 0.253252, 0.261185, 0.268371, 0.277983, 0.306963, 0.374025, 0.398903, 0.448658, 0.47174, 0.502517, 0.516508, 0.544491, 0.582517, 0.685143, 0.712122, 0.766081, 0.801464, 0.854619, 0.899787, 0.938439, 0.980694, 1.03846, 1.10504, 1.15566, 1.21342, 1.32894, 1.44446, 1.55998");
-            values ( \
-              "-0.00657329, -0.116732, -0.130272, -0.193947, -0.245142, -0.249403, -0.250552, -0.249499, -0.249297, -0.247318, -0.242006, -0.239569, -0.233606, -0.229798, -0.223076, -0.219213, -0.208873, -0.189584, -0.128751, -0.114, -0.0877052, -0.0731358, -0.0549724, -0.0427166, -0.0342805, -0.0268223, -0.0190433, -0.0128032, -0.00942564, -0.00662296, -0.00325687, -0.00159611, -0.000780695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.220456, 0.246913, 0.254598, 0.267012, 0.27958, 0.291269, 0.312171, 0.325759, 0.337953, 0.349217, 0.36003, 0.37082, 0.389421, 0.399318, 0.406864, 0.413912, 0.423915, 0.432138, 0.448584, 0.469952, 0.550158, 0.559932, 0.584156");
-            values ( \
-              "-0.00318745, -0.0103324, -0.0130458, -0.0192356, -0.0278264, -0.0346711, -0.0451952, -0.0513138, -0.0562132, -0.0599188, -0.0615976, -0.0558876, -0.0283575, -0.0170787, -0.0111627, -0.00733803, -0.00400897, -0.00240115, -0.000812384, -0.000212433, -2.89091e-05, -0.00104817, -0.000111554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00667524");
-            index_3 ("0.223179, 0.262322, 0.275185, 0.286999, 0.304548, 0.312366, 0.332023, 0.348911, 0.364143, 0.378233, 0.39184, 0.406186, 0.425818, 0.434503, 0.441498, 0.447861, 0.456345, 0.463893, 0.467907, 0.475937, 0.491996, 0.516417, 0.550146, 0.55501, 0.562761, 0.568626, 0.593243");
-            values ( \
-              "-0.00300102, -0.0209581, -0.0294583, -0.0390571, -0.0506723, -0.0555458, -0.0667328, -0.0755076, -0.082522, -0.0877719, -0.0893349, -0.077457, -0.0455115, -0.0334709, -0.025628, -0.0198121, -0.0137676, -0.00994219, -0.00828103, -0.00579874, -0.00267119, -0.000783715, -0.000157843, -0.00166687, -0.00181626, -0.0011376, -0.000297162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0122404");
-            index_3 ("0.23435, 0.24907, 0.260298, 0.265939, 0.275505, 0.281579, 0.304533, 0.325312, 0.336709, 0.362003, 0.375939, 0.383784, 0.399475, 0.411323, 0.42168, 0.439506, 0.459087, 0.482192, 0.49144, 0.497625, 0.509011, 0.512475, 0.516281, 0.521356, 0.529892, 0.54203, 0.550466, 0.554603, 0.559536, 0.564399, 0.574126, 0.585092, 0.601518");
-            values ( \
-              "-0.0128832, -0.0187184, -0.0244683, -0.02772, -0.0338816, -0.0385488, -0.0573599, -0.0718541, -0.0793138, -0.094919, -0.102956, -0.107271, -0.115291, -0.120487, -0.12394, -0.123522, -0.101629, -0.0646526, -0.0520378, -0.0445795, -0.0328053, -0.0298444, -0.0268356, -0.0232317, -0.0181131, -0.0125823, -0.00974109, -0.0104868, -0.00983051, -0.0083379, -0.00618191, -0.00430974, -0.00264543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0224452");
-            index_3 ("0.238433, 0.271976, 0.280624, 0.289709, 0.319211, 0.349441, 0.371649, 0.405107, 0.433902, 0.459909, 0.484281, 0.508771, 0.509341, 0.514664, 0.520747, 0.532913, 0.551042, 0.556818, 0.569988, 0.578428, 0.591107, 0.609839, 0.615398, 0.62511, 0.636209, 0.657252, 0.664096, 0.670607, 0.679288, 0.696651, 0.728901");
-            values ( \
-              "-0.0174066, -0.0351213, -0.0413058, -0.0482517, -0.0730175, -0.0950271, -0.110003, -0.131834, -0.148683, -0.162042, -0.169437, -0.160845, -0.160429, -0.154843, -0.147401, -0.130671, -0.104741, -0.0989281, -0.0814552, -0.0712598, -0.0576972, -0.0417486, -0.0378344, -0.0318321, -0.0260435, -0.0176281, -0.0155293, -0.0137583, -0.0116953, -0.00841991, -0.00455529" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411576");
-            index_3 ("0.244533, 0.275703, 0.362942, 0.464652, 0.50343, 0.538699, 0.550665, 0.558694, 0.570079, 0.587281, 0.672618, 0.72005, 0.76845, 0.804067, 0.863079, 0.941085, 1.0057");
-            values ( \
-              "-0.0226698, -0.0412361, -0.110185, -0.179817, -0.202131, -0.218029, -0.220633, -0.220385, -0.215604, -0.204633, -0.119815, -0.0795369, -0.0500423, -0.0349967, -0.0188414, -0.00812714, -0.00481902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0754706");
-            index_3 ("0.251064, 0.27576, 0.356891, 0.451588, 0.544572, 0.5559, 0.560793, 0.604215, 0.661853, 0.719614, 0.736015, 0.788029, 0.890562, 0.971317, 1.007, 1.06024, 1.14378, 1.18608, 1.24384, 1.31061, 1.36138, 1.41914, 1.53466, 1.53891");
-            values ( \
-              "-0.0275739, -0.0433179, -0.10881, -0.178844, -0.238361, -0.243451, -0.243615, -0.239633, -0.232377, -0.219922, -0.214487, -0.189541, -0.128788, -0.0878181, -0.0730992, -0.0549194, -0.034312, -0.0268277, -0.0190595, -0.0128014, -0.00940518, -0.00661861, -0.00325761, -0.00321212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.429191, 0.453175, 0.480155, 0.504369, 0.524419, 0.534601, 0.5502, 0.590069, 0.619757, 0.660915, 0.678644, 0.695504, 0.712262, 0.74987, 0.762515, 0.773625, 0.78155, 0.797399, 0.820852, 0.849645, 0.940613, 1.17166, 1.21606, 1.2368");
-            values ( \
-              "-0.00135615, -0.00199465, -0.0033628, -0.00513222, -0.00721061, -0.00863201, -0.0115614, -0.0216113, -0.0279669, -0.0355794, -0.0381514, -0.0397837, -0.0385268, -0.0132043, -0.0075256, -0.00448514, -0.00317724, -0.0014296, -0.000567633, -0.000169624, -8.28104e-07, -1e-22, -4.75609e-05, -0.000342925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00667524");
-            index_3 ("0.450812, 0.498084, 0.508897, 0.527871, 0.536956, 0.555125, 0.605388, 0.647716, 0.674162, 0.69766, 0.71923, 0.739781, 0.760291, 0.772572, 0.796453, 0.812033, 0.819453, 0.830126, 0.842405, 0.852071, 0.871404, 0.902535, 0.940191, 1.21599, 1.23767");
-            values ( \
-              "-0.00337086, -0.00680486, -0.00798579, -0.0106659, -0.0121906, -0.0160904, -0.0313075, -0.0423623, -0.048621, -0.0536614, -0.0574823, -0.0597656, -0.0569578, -0.0483262, -0.0276104, -0.0170026, -0.0132299, -0.00903378, -0.00576932, -0.00401172, -0.00186885, -0.000505417, -0.000136199, -8.56647e-06, -0.00051897" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0122404");
-            index_3 ("0.449071, 0.513021, 0.545912, 0.576392, 0.608365, 0.650429, 0.68977, 0.723093, 0.752856, 0.780285, 0.806545, 0.832746, 0.8821, 0.904437, 0.918274, 0.930083, 0.946774, 0.96903, 1.00842, 1.05446, 1.11222, 1.21604, 1.23694, 1.26602");
-            values ( \
-              "-0.00242776, -0.0113659, -0.0175665, -0.0257824, -0.0369454, -0.0503654, -0.0617487, -0.070545, -0.0775071, -0.0828148, -0.0854551, -0.0788034, -0.0369652, -0.0224426, -0.0160086, -0.011867, -0.00767547, -0.00416065, -0.00124916, -0.000297659, -5.85223e-05, -1.74939e-05, -0.00075399, -0.000406303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0224452");
-            index_3 ("0.465101, 0.506171, 0.535428, 0.543836, 0.560652, 0.584391, 0.608705, 0.634226, 0.67918, 0.700573, 0.751391, 0.767456, 0.794754, 0.833799, 0.869824, 0.904601, 0.939285, 0.941217, 0.952806, 0.968067, 0.99097, 1.01275, 1.03019, 1.03522, 1.04527, 1.06046, 1.08227, 1.0898, 1.09656, 1.10556, 1.12358, 1.15961, 1.21638, 1.22458");
-            values ( \
-              "-0.00687308, -0.0126317, -0.0185813, -0.0205134, -0.0247115, -0.0316199, -0.0401953, -0.0497294, -0.0650209, -0.0718419, -0.087089, -0.0916099, -0.0989557, -0.108471, -0.115456, -0.117574, -0.103109, -0.102117, -0.0929071, -0.0797185, -0.0607978, -0.0451915, -0.0350266, -0.032301, -0.0277194, -0.0215793, -0.0150618, -0.0131464, -0.0117207, -0.0100965, -0.00728905, -0.00379706, -0.00116871, -0.00140321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411576");
-            index_3 ("0.505115, 0.563389, 0.603964, 0.684208, 0.732062, 0.828656, 0.896031, 0.947981, 0.996256, 1.04373, 1.09643, 1.1627, 1.19348, 1.21581, 1.25829, 1.28041, 1.32796, 1.3561, 1.41238, 1.47014, 1.5279, 1.64342");
-            values ( \
-              "-0.0220804, -0.0288085, -0.0414314, -0.0709545, -0.0872354, -0.117377, -0.136287, -0.149067, -0.157564, -0.155319, -0.125517, -0.0775183, -0.05945, -0.0482936, -0.0325798, -0.0258613, -0.0156193, -0.0115579, -0.00617209, -0.00323655, -0.00167739, -0.000450888" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0754706");
-            index_3 ("0.504789, 0.599324, 0.753994, 0.869516, 0.960178, 1.01794, 1.07527, 1.10827, 1.16603, 1.19189, 1.21572, 1.22821, 1.3786, 1.45164, 1.48864, 1.53092, 1.57099, 1.61642, 1.67419, 1.72467, 1.80097, 1.85873, 1.91649, 2.03201, 2.04045");
-            values ( \
-              "-0.0150481, -0.0424634, -0.098162, -0.136174, -0.163774, -0.179954, -0.194279, -0.201218, -0.208416, -0.207204, -0.201683, -0.196904, -0.110193, -0.0766388, -0.0630278, -0.0499932, -0.0399194, -0.0307633, -0.0218966, -0.0162264, -0.0102505, -0.00720743, -0.0050596, -0.00248485, -0.00241585" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.00250139, 0.00252661, 0.00254865, 0.00256514, 0.002576, 0.0025826", \
-            "0.00295982, 0.00297694, 0.00299538, 0.00301134, 0.00302289, 0.0030305", \
-            "0.00325369, 0.00325794, 0.00326534, 0.00327452, 0.003283, 0.0032893", \
-            "0.00343258, 0.00343077, 0.00342855, 0.00342682, 0.00342865, 0.00343128", \
-            "0.0035289, 0.00352301, 0.00351635, 0.00351018, 0.00350581, 0.00350374", \
-            "0.00358018, 0.00357412, 0.00356626, 0.00355781, 0.00354923, 0.00354241" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.003401, 0.00341975, 0.0034378, 0.00344845, 0.00345518, 0.00345993", \
-            "0.00369445, 0.00368255, 0.00367511, 0.00367091, 0.00366801, 0.00366581", \
-            "0.00382357, 0.00376874, 0.00372484, 0.00369108, 0.00367192, 0.00365862", \
-            "0.00402777, 0.00388114, 0.00377169, 0.00369931, 0.00364884, 0.00361585", \
-            "0.00453984, 0.0042286, 0.00398123, 0.00380791, 0.00369807, 0.00362991", \
-            "0.00567567, 0.00507205, 0.00445767, 0.00410473, 0.00386963, 0.00372363" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : negative_unate;
-        timing_type : three_state_disable;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.0481588, 0.048154, 0.0481503, 0.0481476, 0.0481457, 0.0481445", \
-            "0.0542772, 0.0542731, 0.0542683, 0.0542641, 0.054261, 0.0542589", \
-            "0.0695314, 0.0695409, 0.0695204, 0.0694918, 0.0694808, 0.0694897", \
-            "0.0984899, 0.0984931, 0.0984981, 0.0984994, 0.0984974, 0.0984945", \
-            "0.169821, 0.169821, 0.169822, 0.169822, 0.169822, 0.169822", \
-            "0.372719, 0.372719, 0.37272, 0.37272, 0.37272, 0.37272" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.0481588, 0.048154, 0.0481503, 0.0481476, 0.0481457, 0.0481445", \
-            "0.0542772, 0.0542731, 0.0542683, 0.0542641, 0.054261, 0.0542589", \
-            "0.0695314, 0.0695409, 0.0695204, 0.0694918, 0.0694808, 0.0694897", \
-            "0.0984899, 0.0984931, 0.0984981, 0.0984994, 0.0984974, 0.0984945", \
-            "0.169821, 0.169821, 0.169822, 0.169822, 0.169822, 0.169822", \
-            "0.372719, 0.372719, 0.37272, 0.37272, 0.37272, 0.37272" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.0487468, 0.0488431, 0.0488677, 0.0488884, 0.0489031, 0.0489127", \
-            "0.0547855, 0.0547984, 0.0548095, 0.0548175, 0.0548237, 0.0548268", \
-            "0.069975, 0.0699849, 0.0699751, 0.0699809, 0.0699845, 0.0699868", \
-            "0.0984242, 0.0984492, 0.0984749, 0.0985309, 0.0985622, 0.0985518", \
-            "0.169817, 0.169804, 0.169814, 0.169813, 0.169813, 0.169812", \
-            "0.372713, 0.372714, 0.372714, 0.372715, 0.372715, 0.372715" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.0487468, 0.0488431, 0.0488677, 0.0488884, 0.0489031, 0.0489127", \
-            "0.0547855, 0.0547984, 0.0548095, 0.0548175, 0.0548237, 0.0548268", \
-            "0.069975, 0.0699849, 0.0699751, 0.0699809, 0.0699845, 0.0699868", \
-            "0.0984242, 0.0984492, 0.0984749, 0.0985309, 0.0985622, 0.0985518", \
-            "0.169817, 0.169804, 0.169814, 0.169813, 0.169813, 0.169812", \
-            "0.372713, 0.372714, 0.372714, 0.372715, 0.372715, 0.372715" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00321242", \
-            "0.0039697", \
-            "0.00450958", \
-            "0.00489977", \
-            "0.00520655", \
-            "0.00549623" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00491587", \
-            "0.00555418", \
-            "0.00604748", \
-            "0.00669458", \
-            "0.00644411", \
-            "0.00601057" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.00341208, 0.00341629, 0.00342004, 0.00342285, 0.00342471, 0.00342585", \
-            "0.00393706, 0.00394015, 0.00394353, 0.0039465, 0.00394869, 0.00395011", \
-            "0.0042605, 0.00426168, 0.00426347, 0.00426557, 0.00426746, 0.00426887", \
-            "0.00445747, 0.00445772, 0.00445812, 0.00445887, 0.0044599, 0.00446089", \
-            "0.00456623, 0.00456622, 0.00456623, 0.00456629, 0.00456651, 0.0045669", \
-            "0.00462804, 0.00462794, 0.00462781, 0.00462766, 0.00462752, 0.00462745" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.0043773, 0.00438188, 0.00438608, 0.00438925, 0.00439132, 0.00439256", \
-            "0.00479929, 0.0048026, 0.00480541, 0.00480739, 0.00480857, 0.00480921", \
-            "0.00517758, 0.00518055, 0.00518263, 0.00518376, 0.0051842, 0.0051843", \
-            "0.00574875, 0.00575178, 0.00575417, 0.00575559, 0.00575606, 0.00575616", \
-            "0.00674862, 0.00675197, 0.00675464, 0.00675644, 0.00675744, 0.00675782", \
-            "0.00710058, 0.0071036, 0.00710613, 0.00710796, 0.00710912, 0.00710974" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : positive_unate;
-        timing_type : three_state_enable;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.0850081, 0.104447, 0.139883, 0.204757, 0.323647, 0.541602", \
-            "0.0898707, 0.109312, 0.144744, 0.209644, 0.328511, 0.546465", \
-            "0.0987054, 0.118161, 0.153614, 0.218474, 0.337364, 0.55532", \
-            "0.107575, 0.127193, 0.162827, 0.227704, 0.346586, 0.564544", \
-            "0.11281, 0.132648, 0.168286, 0.233346, 0.352418, 0.570398", \
-            "0.10537, 0.125743, 0.161781, 0.226968, 0.346131, 0.564313" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.0558043, 0.0831043, 0.133234, 0.225136, 0.393508, 0.701877", \
-            "0.0558142, 0.0831056, 0.133241, 0.225153, 0.393508, 0.701876", \
-            "0.0559547, 0.0831679, 0.133267, 0.225142, 0.393427, 0.701876", \
-            "0.0566156, 0.0836894, 0.133603, 0.225287, 0.393507, 0.701875", \
-            "0.0573427, 0.0841574, 0.133854, 0.22557, 0.393705, 0.701979", \
-            "0.0600118, 0.0861767, 0.135037, 0.226043, 0.393996, 0.702301" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.0333721, 0.0446915, 0.0648031, 0.101304, 0.16813, 0.290665", \
-            "0.0375994, 0.0489809, 0.069111, 0.105621, 0.172463, 0.294996", \
-            "0.0455075, 0.057961, 0.0783136, 0.1149, 0.181794, 0.30434", \
-            "0.054857, 0.0716458, 0.0964381, 0.13507, 0.202197, 0.324906", \
-            "0.0579298, 0.0829231, 0.118286, 0.169232, 0.245588, 0.36969", \
-            "0.0349838, 0.0737336, 0.127821, 0.202622, 0.308072, 0.459512" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.0300929, 0.0443704, 0.0706619, 0.119733, 0.210441, 0.377108", \
-            "0.03057, 0.0444899, 0.0707072, 0.119745, 0.210442, 0.377108", \
-            "0.0353212, 0.0475334, 0.0718061, 0.119804, 0.210421, 0.37707", \
-            "0.0487003, 0.0609853, 0.0823204, 0.12479, 0.211234, 0.377243", \
-            "0.073146, 0.0882212, 0.112359, 0.153376, 0.228906, 0.382169", \
-            "0.116279, 0.136551, 0.167586, 0.216798, 0.29709, 0.433354" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.055259, 0.0595149, 0.0624826, 0.0656994, 0.0685114, 0.0741047, 0.0794782, 0.0887793, 0.0964907, 0.100115, 0.117905, 0.132148, 0.143308, 0.152271, 0.169382, 0.178574, 0.190466, 0.208689, 0.231964, 0.25679, 0.269792");
-            values ( \
-              "0.00318201, 0.058463, 0.0729555, 0.0823541, 0.0866696, 0.0901136, 0.0901334, 0.0872604, 0.0825989, 0.0790712, 0.0503856, 0.0341031, 0.025298, 0.019841, 0.0123501, 0.009527, 0.0067689, 0.00396751, 0.00199162, 0.000923032, 0.000789532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00667524");
-            index_3 ("0.0552753, 0.0607696, 0.0632552, 0.0681547, 0.0708211, 0.0761539, 0.0818603, 0.0928058, 0.0967893, 0.109876, 0.115965, 0.127933, 0.141207, 0.150126, 0.162018, 0.170305, 0.176316, 0.188339, 0.196828, 0.209895, 0.224829, 0.238743, 0.24879, 0.265894, 0.283423, 0.297036, 0.324262, 0.37377, 0.432733");
-            values ( \
-              "0.0104575, 0.0770742, 0.0898544, 0.103013, 0.106242, 0.109637, 0.110021, 0.108375, 0.107084, 0.102059, 0.0988654, 0.089065, 0.0721867, 0.0618735, 0.0501429, 0.0433775, 0.0388683, 0.0309618, 0.02628, 0.0202382, 0.014834, 0.0110668, 0.00891916, 0.00612558, 0.00416919, 0.0030808, 0.00164736, 0.00047439, 9.57728e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0122404");
-            index_3 ("0.0579923, 0.0626516, 0.0687883, 0.0778933, 0.0863503, 0.0955618, 0.11349, 0.135228, 0.151384, 0.172331, 0.208894, 0.247038, 0.28276, 0.302481, 0.327509, 0.361556, 0.389369, 0.441085, 0.50549, 0.508491");
-            values ( \
-              "0.0924604, 0.0968368, 0.116385, 0.124384, 0.125152, 0.124671, 0.121322, 0.115955, 0.110802, 0.0995838, 0.071118, 0.0467235, 0.0297702, 0.0228007, 0.0160252, 0.00973078, 0.00640966, 0.00285839, 0.00110793, 0.00107147" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0224452");
-            index_3 ("0.0609454, 0.0609654, 0.103184, 0.120492, 0.146472, 0.183112, 0.200349, 0.216393, 0.248481, 0.259395, 0.331505, 0.357989, 0.406908, 0.427324, 0.448912, 0.477697, 0.498748, 0.514129, 0.534637, 0.575654, 0.602487, 0.643689, 0.698626, 0.775942, 0.930574");
-            values ( \
-              "1e-22, 0.137707, 0.135181, 0.133229, 0.129916, 0.124587, 0.121678, 0.118297, 0.109131, 0.104588, 0.0710001, 0.0598879, 0.0417751, 0.0355473, 0.0297576, 0.0234613, 0.0193344, 0.0168585, 0.0141999, 0.0095525, 0.00742566, 0.00500971, 0.00310204, 0.0012891, 0.000193992" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411576");
-            index_3 ("0.063751, 0.063771, 0.135585, 0.220247, 0.276558, 0.316222, 0.365079, 0.397903, 0.469497, 0.570386, 0.63291, 0.685059, 0.725651, 0.779773, 0.827367, 0.887496, 0.961254, 1.04582, 1.11361, 1.26824, 1.34527");
-            values ( \
-              "1e-22, 0.155258, 0.140332, 0.13402, 0.129231, 0.125344, 0.118863, 0.112562, 0.0945679, 0.0671585, 0.0519021, 0.0410096, 0.0337907, 0.0257684, 0.0201611, 0.0146574, 0.00978648, 0.00613461, 0.00418372, 0.0017278, 0.0012203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0754706");
-            index_3 ("0.0674666, 0.0674866, 0.199927, 0.347062, 0.448163, 0.553499, 0.607095, 0.665654, 0.792542, 0.951725, 1.04152, 1.10128, 1.1786, 1.23009, 1.37607, 1.42343, 1.46916, 1.5949, 1.71718, 1.87181, 2.02644, 2.18107, 2.33571, 2.64497");
-            values ( \
-              "1e-22, 0.161808, 0.142921, 0.136945, 0.132137, 0.126039, 0.121558, 0.115775, 0.0981381, 0.0728071, 0.0594549, 0.0516369, 0.0419877, 0.0365084, 0.0240149, 0.0208628, 0.0181761, 0.0123233, 0.00836337, 0.0050637, 0.00303011, 0.00178752, 0.00103221, 0.000297894" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0742573, 0.0783713, 0.081342, 0.0850264, 0.0864585, 0.0883679, 0.0921868, 0.0945381, 0.0973895, 0.100235, 0.105925, 0.111083, 0.115353, 0.123161, 0.132553, 0.137313, 0.14366, 0.153099, 0.158834, 0.169946, 0.182744, 0.193249, 0.199343, 0.209353, 0.222698, 0.232278, 0.251256, 0.27656, 0.295297");
-            values ( \
-              "0.00530399, 0.0584629, 0.0729785, 0.0832568, 0.0855073, 0.0875891, 0.0898996, 0.0903152, 0.0902273, 0.0898022, 0.0879452, 0.0854075, 0.0825568, 0.0737046, 0.0568792, 0.0496096, 0.0415212, 0.032289, 0.0276673, 0.0204653, 0.0144146, 0.0107341, 0.0090096, 0.006754, 0.0045866, 0.0034435, 0.00195412, 0.000909674, 0.000577823" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00667524");
-            index_3 ("0.0742457, 0.0795732, 0.0820158, 0.0869659, 0.0896299, 0.0949578, 0.100672, 0.111619, 0.115532, 0.128689, 0.134778, 0.146745, 0.16002, 0.16894, 0.180833, 0.189114, 0.19512, 0.207134, 0.215637, 0.228727, 0.243687, 0.257504, 0.267478, 0.284662, 0.302329, 0.316073, 0.343562, 0.392764, 0.451417");
-            values ( \
-              "0.0132633, 0.0770536, 0.0895402, 0.102904, 0.106262, 0.109548, 0.110069, 0.108309, 0.107108, 0.102054, 0.0988691, 0.0890608, 0.0721836, 0.0618692, 0.0501442, 0.0433781, 0.0388771, 0.0309702, 0.0262846, 0.0202279, 0.014822, 0.0110813, 0.00894088, 0.00613327, 0.00416238, 0.00306307, 0.00163042, 0.000471478, 9.88191e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0122404");
-            index_3 ("0.0769937, 0.0876778, 0.0925651, 0.0967858, 0.105227, 0.114457, 0.132385, 0.155829, 0.170279, 0.17937, 0.191155, 0.212557, 0.233005, 0.251103, 0.271666, 0.300275, 0.324534, 0.341784, 0.355836, 0.373054, 0.397337, 0.422953, 0.442629, 0.48198, 0.535886");
-            values ( \
-              "0.0897709, 0.11628, 0.121997, 0.124311, 0.125193, 0.124615, 0.121285, 0.11543, 0.110729, 0.106548, 0.0995577, 0.0825823, 0.0676658, 0.0555118, 0.0436397, 0.0303621, 0.0219853, 0.0171619, 0.0140393, 0.0110286, 0.00759642, 0.0051701, 0.00393181, 0.00204657, 0.00101313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0224452");
-            index_3 ("0.0797051, 0.0797251, 0.121666, 0.139221, 0.201876, 0.233948, 0.242152, 0.270785, 0.361611, 0.403034, 0.437116, 0.466403, 0.487924, 0.52323, 0.565814, 0.60459, 0.645278, 0.676498, 0.738937, 0.816253, 0.970886");
-            values ( \
-              "1e-22, 0.137945, 0.135153, 0.133287, 0.124497, 0.118717, 0.116718, 0.107487, 0.0663092, 0.0497451, 0.038279, 0.0301715, 0.0251451, 0.0184724, 0.012583, 0.00878336, 0.00601012, 0.00446992, 0.00243128, 0.0011204, 0.000235358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411576");
-            index_3 ("0.0827225, 0.0827425, 0.155922, 0.239092, 0.295403, 0.335345, 0.383928, 0.416748, 0.488342, 0.589232, 0.651756, 0.703905, 0.744496, 0.798617, 0.846212, 0.906342, 0.980099, 1.06466, 1.13245, 1.20977, 1.28708, 1.44171, 1.59635");
-            values ( \
-              "1e-22, 0.155501, 0.140295, 0.134022, 0.12923, 0.125316, 0.118863, 0.112561, 0.0945668, 0.0671594, 0.051903, 0.0410086, 0.0337898, 0.0257695, 0.020162, 0.0146582, 0.00978555, 0.00613377, 0.00418463, 0.00269235, 0.00172869, 0.000709962, 0.000291082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0754706");
-            index_3 ("0.0863892, 0.0864092, 0.213589, 0.365775, 0.466876, 0.544193, 0.572212, 0.625808, 0.684367, 0.811255, 0.970438, 1.12, 1.2488, 1.31745, 1.39477, 1.44215, 1.48788, 1.61363, 1.73589, 1.89052, 2.04516, 2.19979, 2.35442, 2.66369");
-            values ( \
-              "1e-22, 0.162766, 0.143195, 0.136874, 0.132066, 0.127635, 0.125969, 0.121627, 0.115706, 0.0980707, 0.0728704, 0.0515752, 0.0365679, 0.0303013, 0.0240743, 0.0209188, 0.0182302, 0.0123769, 0.00841737, 0.00511744, 0.0030836, 0.00184077, 0.00108523, 0.000350481" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.115722, 0.120621, 0.123238, 0.124903, 0.128233, 0.13253, 0.139891, 0.14725, 0.152031, 0.155048, 0.161082, 0.173051, 0.178566, 0.184868, 0.191262, 0.194907, 0.201832, 0.210814, 0.218247, 0.228157, 0.235219, 0.24105, 0.248824, 0.262471, 0.268808, 0.278303, 0.290962, 0.316281, 0.354275, 0.400738");
-            values ( \
-              "0.0633262, 0.0705167, 0.0781049, 0.0818172, 0.0860929, 0.0889383, 0.0892361, 0.0871115, 0.0845209, 0.0824182, 0.0761234, 0.0554838, 0.0475042, 0.0398245, 0.0336271, 0.0305122, 0.0253323, 0.0198596, 0.0162144, 0.0122809, 0.0100647, 0.00852478, 0.00681308, 0.00456137, 0.00379216, 0.00286881, 0.00196761, 0.000894543, 0.000248548, 4.58121e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00667524");
-            index_3 ("0.115713, 0.126443, 0.129153, 0.134572, 0.140331, 0.15138, 0.163209, 0.174509, 0.182936, 0.208731, 0.215053, 0.229277, 0.236964, 0.252337, 0.269944, 0.28433, 0.303877, 0.32002, 0.348432, 0.371003, 0.410797");
-            values ( \
-              "0.0720059, 0.100508, 0.104131, 0.108344, 0.10926, 0.10816, 0.10425, 0.0988445, 0.0925003, 0.0618395, 0.0553687, 0.043043, 0.0373702, 0.0278083, 0.0196087, 0.0145349, 0.00960285, 0.00675078, 0.00361297, 0.00216447, 0.000886741" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0122404");
-            index_3 ("0.117297, 0.127084, 0.132125, 0.136333, 0.14475, 0.154079, 0.172031, 0.190559, 0.214076, 0.230818, 0.261608, 0.285611, 0.311445, 0.343525, 0.36412, 0.381414, 0.412749, 0.437017, 0.482197, 0.521444, 0.56265");
-            values ( \
-              "0.111656, 0.113619, 0.120349, 0.123022, 0.124746, 0.124353, 0.121166, 0.116715, 0.10897, 0.0994693, 0.075552, 0.058789, 0.0436429, 0.029014, 0.0219497, 0.0172263, 0.0109664, 0.0076504, 0.0038831, 0.00210724, 0.00125011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0224452");
-            index_3 ("0.117317, 0.12847, 0.133822, 0.141688, 0.148934, 0.161214, 0.176486, 0.209755, 0.241604, 0.274861, 0.291772, 0.310501, 0.401325, 0.442823, 0.476853, 0.506059, 0.527602, 0.56295, 0.605554, 0.644321, 0.684978, 0.716169, 0.778553, 0.855869, 0.871355");
-            values ( \
-              "0.115796, 0.124206, 0.130857, 0.134416, 0.135511, 0.134992, 0.133639, 0.12928, 0.124508, 0.118378, 0.114021, 0.107473, 0.0663144, 0.0497192, 0.0382748, 0.0301864, 0.0251549, 0.0184735, 0.0125817, 0.00878153, 0.00601052, 0.00447275, 0.00243306, 0.00112233, 0.0010336" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411576");
-            index_3 ("0.120586, 0.120606, 0.219267, 0.27885, 0.335154, 0.385623, 0.409825, 0.442094, 0.456511, 0.485346, 0.527741, 0.601842, 0.650431, 0.687348, 0.74283, 0.786738, 0.820633, 0.872635, 0.887239, 0.916447, 0.961667, 1.02622, 1.1099, 1.18722, 1.26453, 1.41917, 1.5738");
-            values ( \
-              "1e-22, 0.147498, 0.138604, 0.13404, 0.129262, 0.124153, 0.121005, 0.115514, 0.11256, 0.105751, 0.0945623, 0.07418, 0.0617176, 0.0528103, 0.0411689, 0.0333346, 0.02824, 0.0215735, 0.0200606, 0.0171387, 0.0135085, 0.00942462, 0.00591067, 0.00386844, 0.00243262, 0.000980689, 0.000382034" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0754706");
-            index_3 ("0.123865, 0.123885, 0.255816, 0.405424, 0.506525, 0.611861, 0.665457, 0.724016, 0.801332, 0.850903, 1.01009, 1.09988, 1.15965, 1.23696, 1.28846, 1.35711, 1.43443, 1.48179, 1.52752, 1.65326, 1.77554, 1.93017, 2.0848, 2.23944, 2.39407, 2.70333");
-            values ( \
-              "1e-22, 0.157432, 0.143108, 0.136856, 0.132048, 0.12595, 0.121647, 0.115686, 0.105329, 0.0980489, 0.072895, 0.0595422, 0.0515497, 0.0420746, 0.0365946, 0.0302731, 0.0241009, 0.0209473, 0.0182597, 0.0124065, 0.00844638, 0.0051465, 0.0031127, 0.0018699, 0.00111439, 0.000379667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.189041, 0.193686, 0.196906, 0.201326, 0.205153, 0.209161, 0.216674, 0.224185, 0.228694, 0.232024, 0.238683, 0.248908, 0.254351, 0.263804, 0.269904, 0.277487, 0.287525, 0.293687, 0.304181, 0.31451, 0.326028, 0.339791, 0.355861, 0.36875, 0.394528, 0.413028");
-            values ( \
-              "0.00353686, 0.052954, 0.0679376, 0.0793318, 0.0841789, 0.0869002, 0.087668, 0.0860748, 0.0838381, 0.0816868, 0.0748347, 0.0572228, 0.0489646, 0.0378383, 0.032274, 0.0264204, 0.0201715, 0.0170859, 0.0127704, 0.00953467, 0.00684931, 0.00457162, 0.00285617, 0.00194256, 0.000871476, 0.000563598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00667524");
-            index_3 ("0.189061, 0.195036, 0.198822, 0.203471, 0.205734, 0.210261, 0.217155, 0.228363, 0.242572, 0.25338, 0.264581, 0.279047, 0.292353, 0.306658, 0.314393, 0.334706, 0.347103, 0.366009, 0.382304, 0.399686, 0.422658, 0.440909, 0.47741, 0.493558");
-            values ( \
-              "0.0100733, 0.0703829, 0.0880229, 0.0987035, 0.101407, 0.105548, 0.107335, 0.107115, 0.102674, 0.097033, 0.0871633, 0.0695651, 0.0553714, 0.0430085, 0.0373101, 0.025241, 0.0196853, 0.0132801, 0.00938298, 0.00641189, 0.00387073, 0.0025679, 0.00108495, 0.000834305" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0122404");
-            index_3 ("0.192693, 0.200415, 0.203615, 0.213091, 0.221709, 0.231098, 0.248893, 0.249814, 0.277115, 0.287288, 0.30694, 0.345106, 0.36185, 0.3827, 0.406223, 0.418752, 0.438967, 0.457901, 0.474488, 0.491113, 0.514938, 0.545764, 0.586866, 0.653238, 0.730554");
-            values ( \
-              "0.0945189, 0.102586, 0.110682, 0.120726, 0.122786, 0.12333, 0.120493, 0.119857, 0.113815, 0.110552, 0.1006, 0.0710227, 0.0595043, 0.0469773, 0.0350455, 0.0298429, 0.0227222, 0.0174197, 0.0137508, 0.0108077, 0.00757619, 0.0047698, 0.00252263, 0.000821464, 0.000219821" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0224452");
-            index_3 ("0.19289, 0.204748, 0.2107, 0.21846, 0.226067, 0.238388, 0.243737, 0.249087, 0.252397, 0.287282, 0.309994, 0.335289, 0.352391, 0.386054, 0.46076, 0.498938, 0.521984, 0.55479, 0.582128, 0.603337, 0.640471, 0.683761, 0.722243, 0.761832, 0.792088, 0.8526, 0.929916, 0.952175");
-            values ( \
-              "0.0989535, 0.120219, 0.127833, 0.132305, 0.13366, 0.134174, 0.133565, 0.133406, 0.132551, 0.129076, 0.125942, 0.121795, 0.118382, 0.108471, 0.0741976, 0.0579753, 0.0491404, 0.0381414, 0.0305576, 0.0255483, 0.0184759, 0.0125056, 0.00874896, 0.00604807, 0.00454378, 0.00251859, 0.00116386, 0.00103175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411576");
-            index_3 ("0.195856, 0.248594, 0.249436, 0.277923, 0.301693, 0.356142, 0.412428, 0.471129, 0.500958, 0.533787, 0.605381, 0.70627, 0.768794, 0.820943, 0.861534, 0.915656, 0.953229, 0.983294, 1.02338, 1.09714, 1.13086, 1.1817, 1.24949, 1.3268, 1.40412, 1.55875, 1.71338");
-            values ( \
-              "0.139931, 0.14118, 0.140516, 0.139632, 0.138183, 0.13402, 0.129244, 0.123119, 0.118856, 0.112568, 0.0945724, 0.0671555, 0.0518993, 0.0410124, 0.0337932, 0.025766, 0.0212523, 0.0181569, 0.0146553, 0.00978853, 0.00813319, 0.00613637, 0.00418214, 0.00269491, 0.0017262, 0.000707494, 0.000288643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0754706");
-            index_3 ("0.203658, 0.203678, 0.347943, 0.482824, 0.530292, 0.583927, 0.661243, 0.68926, 0.742856, 0.801415, 0.878732, 1.11742, 1.17728, 1.23705, 1.31436, 1.36585, 1.4345, 1.51182, 1.55919, 1.60493, 1.66591, 1.73067, 1.80799, 1.85294, 1.93025, 2.00757, 2.08489, 2.1622, 2.31684, 2.54879, 2.78073");
-            values ( \
-              "1e-22, 0.161454, 0.142558, 0.136803, 0.134592, 0.131994, 0.127707, 0.125896, 0.121701, 0.115633, 0.105382, 0.0683755, 0.0595901, 0.0515018, 0.0421218, 0.036641, 0.030228, 0.0241472, 0.0209916, 0.018303, 0.0152048, 0.0124496, 0.00977915, 0.00848964, 0.0066447, 0.00518963, 0.00405024, 0.00315571, 0.0019128, 0.000900259, 0.000422275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.340581, 0.351145, 0.353359, 0.360635, 0.364332, 0.372172, 0.379927, 0.387683, 0.390507, 0.396431, 0.406366, 0.414341, 0.428166, 0.444088, 0.461386, 0.470432, 0.482099, 0.495682, 0.511199, 0.549878");
-            values ( \
-              "0.000768147, 0.0612641, 0.0677457, 0.0797093, 0.0824127, 0.084946, 0.0840959, 0.0809311, 0.0786192, 0.0712793, 0.0547376, 0.0438265, 0.0303554, 0.0198162, 0.0123026, 0.00954087, 0.00683271, 0.00458909, 0.00291775, 0.000854618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00667524");
-            index_3 ("0.342439, 0.349197, 0.352304, 0.357748, 0.360829, 0.36699, 0.372788, 0.384279, 0.39594, 0.403129, 0.407756, 0.41701, 0.43511, 0.448451, 0.470495, 0.492619, 0.50325, 0.517425, 0.538238, 0.556024, 0.58247, 0.603337, 0.6372");
-            values ( \
-              "0.00822422, 0.0629329, 0.076579, 0.0908182, 0.095398, 0.101524, 0.103963, 0.105326, 0.102951, 0.100333, 0.0980718, 0.091296, 0.0694361, 0.0552563, 0.0372758, 0.0243685, 0.0196931, 0.0146805, 0.00944961, 0.00630092, 0.00362406, 0.00226882, 0.00107916" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0122404");
-            index_3 ("0.34718, 0.357664, 0.368476, 0.378221, 0.386954, 0.400455, 0.41432, 0.423828, 0.447095, 0.462405, 0.514445, 0.551958, 0.585526, 0.617397, 0.649253, 0.672122, 0.700547, 0.738447, 0.794896");
-            values ( \
-              "0.0929615, 0.101443, 0.115611, 0.120239, 0.121508, 0.120745, 0.11831, 0.116187, 0.108816, 0.10046, 0.0614741, 0.0398221, 0.0259327, 0.0166572, 0.0105173, 0.00749004, 0.00489252, 0.00271873, 0.00116451" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0224452");
-            index_3 ("0.347292, 0.355241, 0.359898, 0.365939, 0.374542, 0.381813, 0.39436, 0.412306, 0.438563, 0.475145, 0.495277, 0.50851, 0.534978, 0.556007, 0.616922, 0.642214, 0.667779, 0.701865, 0.738358, 0.760249, 0.796731, 0.812659, 0.839864, 0.878412, 0.918245, 0.948715, 1.00965, 1.08697, 1.16429, 1.2416");
-            values ( \
-              "0.101324, 0.102044, 0.11318, 0.121821, 0.128559, 0.131216, 0.133075, 0.132406, 0.129467, 0.124265, 0.120865, 0.118087, 0.11089, 0.102365, 0.0743576, 0.0633366, 0.0530418, 0.0410036, 0.030565, 0.0254031, 0.0184739, 0.0160291, 0.0125215, 0.00875801, 0.00604144, 0.00452548, 0.00250075, 0.00115229, 0.000530746, 0.0002419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411576");
-            index_3 ("0.347135, 0.360779, 0.372018, 0.389811, 0.404602, 0.428858, 0.457744, 0.533006, 0.579395, 0.627402, 0.665185, 0.690145, 0.740066, 0.866195, 0.952486, 0.990132, 1.03131, 1.08621, 1.13357, 1.20336, 1.26387, 1.33634, 1.41366, 1.49097, 1.56829, 1.72292, 1.87755");
-            values ( \
-              "0.097318, 0.11994, 0.132859, 0.139658, 0.140604, 0.139715, 0.137816, 0.132069, 0.128081, 0.123118, 0.117485, 0.112558, 0.100309, 0.0662275, 0.0459334, 0.0385914, 0.0316227, 0.0239552, 0.0187365, 0.0129035, 0.00925757, 0.00619611, 0.00399928, 0.0025723, 0.00165115, 0.000677724, 0.000277479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0754706");
-            index_3 ("0.354208, 0.354228, 0.477244, 0.594474, 0.740378, 0.817694, 0.899307, 1.03518, 1.3935, 1.48798, 1.59094, 1.73089, 1.82238, 1.96445, 2.16402, 2.47315");
-            values ( \
-              "1e-22, 0.150754, 0.143202, 0.13877, 0.131979, 0.127722, 0.121715, 0.105395, 0.0514935, 0.0402362, 0.0302229, 0.0200584, 0.0152007, 0.009776, 0.00519183, 0.00191608" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.662115, 0.66789, 0.672493, 0.678378, 0.683321, 0.687997, 0.696625, 0.704956, 0.713275, 0.718719, 0.735789, 0.750559, 0.765488, 0.781831, 0.793565, 0.802181, 0.820882, 0.833094, 0.857225, 0.882366");
-            values ( \
-              "0.00964303, 0.0357699, 0.0490618, 0.0615772, 0.0689623, 0.0734394, 0.0783328, 0.0792687, 0.0775213, 0.0733102, 0.0488493, 0.0332457, 0.022497, 0.014527, 0.0104603, 0.00821957, 0.00479713, 0.00337317, 0.00165424, 0.000824794" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00667524");
-            index_3 ("0.662154, 0.669881, 0.671896, 0.675999, 0.683957, 0.691912, 0.697251, 0.707929, 0.712656, 0.718958, 0.721502, 0.726591, 0.733745, 0.746078, 0.762455, 0.771002, 0.781469, 0.795809, 0.811425, 0.817449, 0.833062, 0.846148, 0.857731, 0.873175, 0.886177, 0.903641, 0.926926, 0.97125, 1.02286");
-            values ( \
-              "0.0128099, 0.0494418, 0.0561736, 0.0677, 0.083402, 0.0922939, 0.0959877, 0.0997992, 0.100277, 0.100143, 0.0997699, 0.0987656, 0.0962048, 0.08746, 0.0678797, 0.0586542, 0.048997, 0.0379796, 0.0282145, 0.0251399, 0.018414, 0.0140193, 0.0109874, 0.00789235, 0.00593023, 0.00403889, 0.00240308, 0.000802169, 0.000226684" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0122404");
-            index_3 ("0.662093, 0.672747, 0.680629, 0.685459, 0.69263, 0.702537, 0.712395, 0.727238, 0.739265, 0.750147, 0.769163, 0.777724, 0.790761, 0.830059, 0.863034, 0.883659, 0.903401, 0.914091, 0.944029, 0.975167, 0.998243, 1.02722, 1.06585, 1.12363");
-            values ( \
-              "0.0174357, 0.0652894, 0.0871865, 0.0957048, 0.104739, 0.112269, 0.116177, 0.117746, 0.116803, 0.115043, 0.110054, 0.106505, 0.0988697, 0.0692589, 0.0482612, 0.0375069, 0.0291191, 0.0252378, 0.0166486, 0.010629, 0.0075482, 0.00489181, 0.00268842, 0.00111284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0224452");
-            index_3 ("0.668728, 0.681215, 0.689596, 0.696966, 0.706812, 0.721116, 0.736398, 0.750031, 0.765611, 0.80159, 0.82621, 0.851937, 0.870664, 0.961599, 1.00313, 1.03717, 1.06631, 1.08786, 1.1232, 1.16573, 1.20441, 1.2325, 1.28987, 1.33747, 1.41478, 1.43793");
-            values ( \
-              "0.0925708, 0.094202, 0.108828, 0.117118, 0.124087, 0.128963, 0.130367, 0.12989, 0.128614, 0.124007, 0.119875, 0.113767, 0.107297, 0.0662514, 0.049686, 0.0382854, 0.0301993, 0.0251919, 0.0185121, 0.0126242, 0.00880161, 0.00671707, 0.00395128, 0.00249012, 0.0011547, 0.00101878" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411576");
-            index_3 ("0.668854, 0.703108, 0.717651, 0.730847, 0.747645, 0.766068, 0.811364, 0.894173, 0.954317, 0.994739, 1.06173, 1.1882, 1.27519, 1.34597, 1.40148, 1.46631, 1.58006, 1.66727, 1.73767, 1.8923, 1.90337");
-            values ( \
-              "0.0901827, 0.127505, 0.13482, 0.137708, 0.13865, 0.138374, 0.13573, 0.129203, 0.122977, 0.11695, 0.101661, 0.0675082, 0.0469378, 0.0336719, 0.0254921, 0.0182214, 0.00982707, 0.00607113, 0.00407535, 0.00168172, 0.00163159" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0754706");
-            index_3 ("0.678469, 0.67849, 0.833387, 0.965799, 1.06701, 1.14433, 1.23726, 1.28482, 1.33333, 1.4117, 1.63371, 1.70401, 1.78133, 1.87624, 1.93949, 2.01681, 2.0952, 2.17252, 2.24983, 2.31692, 2.43651, 2.51382, 2.66846, 2.82309, 3.05504, 3.28699");
-            values ( \
-              "1e-22, 0.146041, 0.142067, 0.136632, 0.131863, 0.127608, 0.12062, 0.115639, 0.109413, 0.0979747, 0.0634649, 0.0536237, 0.0439953, 0.0339929, 0.0284076, 0.0226557, 0.0179191, 0.0141468, 0.0111262, 0.00901721, 0.0061749, 0.00482286, 0.00293128, 0.00177637, 0.000834803, 0.000392199" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.00316355, 0.00316361, 0.00316365, 0.00316368, 0.0031637, 0.0031637", \
-            "0.00391255, 0.00391259, 0.00391261, 0.00391263, 0.00391264, 0.00391265", \
-            "0.00447276, 0.00447279, 0.00447281, 0.00447283, 0.00447284, 0.00447284", \
-            "0.00490116, 0.0049012, 0.00490123, 0.00490125, 0.00490126, 0.00490126", \
-            "0.00521216, 0.00521114, 0.00521116, 0.00521118, 0.00521119, 0.0052112", \
-            "0.0054996, 0.00549964, 0.00549967, 0.00549968, 0.0054997, 0.0054997" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.00493792, 0.00493792, 0.00493793, 0.00493793, 0.00493794, 0.00493794", \
-            "0.00564991, 0.00564992, 0.00564994, 0.00564995, 0.00564995, 0.00564995", \
-            "0.00610238, 0.00610242, 0.00610244, 0.00610246, 0.0061025, 0.0061025", \
-            "0.00673997, 0.00674427, 0.00674677, 0.00674883, 0.00675012, 0.00675078", \
-            "0.00636707, 0.00639535, 0.00642831, 0.00644848, 0.00646103, 0.00646807", \
-            "0.00593137, 0.00593137, 0.00593443, 0.00595569, 0.0059923, 0.00601422" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0207502, 0.0238834, 0.0259335, 0.0285357, 0.0299999, 0.0336353, 0.0424517, 0.0449097, 0.0495955, 0.0528536, 0.0637116, 0.0707936, 0.0769284, 0.0839204, 0.0923619, 0.101419, 0.109271, 0.114889");
-            values ( \
-              "-0.0693697, -0.113911, -0.133073, -0.143338, -0.145014, -0.145981, -0.144916, -0.143773, -0.135042, -0.123053, -0.0738614, -0.0483682, -0.0324182, -0.0200192, -0.0109347, -0.00566819, -0.00313636, -0.00230406" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00667524");
-            index_3 ("0.0207897, 0.023716, 0.0270392, 0.0288267, 0.0293041, 0.030259, 0.0321686, 0.0341806, 0.0361776, 0.0393279, 0.0418351, 0.042984, 0.0452818, 0.0482159, 0.0496306, 0.05246, 0.0562494, 0.061696, 0.0631514, 0.0660623, 0.0708306, 0.0787837, 0.0803142, 0.0805749, 0.0810962, 0.082139, 0.0837153, 0.0866631, 0.0918031, 0.095035, 0.0956652, 0.0969255, 0.0994461, 0.103303, 0.10858, 0.110018, 0.112895, 0.118001, 0.125224, 0.127715, 0.128443, 0.129898, 0.132808, 0.137311, 0.145767, 0.160656, 0.172796");
-            values ( \
-              "-0.0744439, -0.136753, -0.169749, -0.169411, -0.176334, -0.171058, -0.178239, -0.172364, -0.178904, -0.173146, -0.180752, -0.175473, -0.183598, -0.178927, -0.185467, -0.178968, -0.182673, -0.168107, -0.171098, -0.156825, -0.145889, -0.108315, -0.108892, -0.101716, -0.105984, -0.0959839, -0.0965188, -0.0802486, -0.0705652, -0.0556411, -0.060228, -0.0509619, -0.0513741, -0.0373741, -0.0346503, -0.0263838, -0.0287563, -0.0169141, -0.0170447, -0.00923873, -0.0149761, -0.00796679, -0.0126402, -0.00452219, -0.00801743, -1e-22, -0.00186395" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0122404");
-            index_3 ("0.0219794, 0.0267742, 0.0299454, 0.0396449, 0.0514727, 0.0600337, 0.0656549, 0.0731188, 0.0816644, 0.0874128, 0.0987133, 0.121842, 0.137311, 0.150593, 0.167638, 0.17839, 0.187527, 0.199843, 0.216265, 0.246785");
-            values ( \
-              "-0.179872, -0.18575, -0.195711, -0.199218, -0.209932, -0.2111, -0.209975, -0.20656, -0.199042, -0.191169, -0.166421, -0.103655, -0.0696099, -0.0478987, -0.0288824, -0.0206976, -0.0155866, -0.0105752, -0.00618184, -0.00219595" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0224452");
-            index_3 ("0.023462, 0.0495269, 0.0594107, 0.0688711, 0.0857224, 0.108429, 0.121603, 0.131808, 0.151087, 0.190377, 0.216398, 0.238726, 0.267584, 0.301137, 0.321783, 0.349311, 0.393238");
-            values ( \
-              "-0.221229, -0.225875, -0.230256, -0.230644, -0.228296, -0.221195, -0.213917, -0.205487, -0.179848, -0.112027, -0.0754152, -0.0521477, -0.0313019, -0.0168697, -0.0114563, -0.00681903, -0.00300582" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411576");
-            index_3 ("0.0246496, 0.0735699, 0.0916821, 0.116373, 0.163369, 0.179668, 0.213182, 0.23026, 0.250094, 0.311956, 0.359772, 0.403782, 0.448332, 0.472353, 0.506281, 0.56906, 0.623562, 0.677582");
-            values ( \
-              "-0.24279, -0.243212, -0.2423, -0.239508, -0.231576, -0.227474, -0.214227, -0.202801, -0.184813, -0.121086, -0.0801978, -0.0528085, -0.0337381, -0.0262934, -0.0183604, -0.00936579, -0.00511248, -0.002799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0754706");
-            index_3 ("0.02028, 0.023915, 0.0285362, 0.0304443, 0.0402156, 0.047368, 0.0538709, 0.0582393, 0.0644765, 0.0717509, 0.0789571, 0.0890598, 0.116403, 0.1606, 0.188428, 0.255696, 0.29388, 0.318324, 0.350916, 0.36215, 0.384618, 0.428144, 0.532578, 0.561966, 0.617308, 0.645126, 0.687669, 0.741942, 0.763979, 0.799056, 0.838102, 0.892258, 0.954661, 1.01, 1.06535, 1.17603, 1.28671, 1.3974");
-            values ( \
-              "-0.0669845, -0.177993, -0.222058, -0.225447, -0.230428, -0.24059, -0.246269, -0.248312, -0.249784, -0.250654, -0.250892, -0.250874, -0.249572, -0.246679, -0.244558, -0.238416, -0.233608, -0.229593, -0.222386, -0.219191, -0.211204, -0.189582, -0.127761, -0.1118, -0.0852716, -0.073894, -0.0588905, -0.0435429, -0.0384375, -0.0314339, -0.025035, -0.0181485, -0.0124928, -0.00893168, -0.00636855, -0.00322735, -0.00163037, -0.000822053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0357742, 0.0388093, 0.0435023, 0.0462961, 0.0518848, 0.0539195, 0.055921, 0.0579066, 0.0630361, 0.0651919, 0.0677466, 0.0717595, 0.0808732, 0.0880092, 0.0952218, 0.102111, 0.110572, 0.119669, 0.127557, 0.135991");
-            values ( \
-              "-0.0719796, -0.0762741, -0.105325, -0.117999, -0.13731, -0.141694, -0.143952, -0.14441, -0.142874, -0.140253, -0.134427, -0.119564, -0.0779998, -0.0513318, -0.0322051, -0.0199965, -0.010903, -0.00567817, -0.00309571, -0.00187875" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00667524");
-            index_3 ("0.0356167, 0.0385563, 0.0404809, 0.0445989, 0.0519228, 0.0542774, 0.0559102, 0.0653217, 0.0678191, 0.0711338, 0.0744591, 0.0813667, 0.0848335, 0.102352, 0.112375, 0.120897, 0.12661, 0.131474, 0.136452, 0.146669, 0.152854, 0.165223, 0.185327, 0.209257");
-            values ( \
-              "-0.0757756, -0.0878919, -0.10564, -0.132104, -0.164786, -0.171537, -0.173993, -0.180858, -0.181816, -0.181534, -0.179285, -0.167843, -0.158061, -0.091959, -0.0610016, -0.0416564, -0.0318262, -0.0252087, -0.0197832, -0.0118918, -0.00868549, -0.00456652, -0.00146235, -0.000366749" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0122404");
-            index_3 ("0.037326, 0.0436924, 0.0544847, 0.069613, 0.0734866, 0.0781672, 0.0839538, 0.0911247, 0.0999521, 0.116848, 0.133648, 0.157321, 0.157764, 0.171874, 0.188911, 0.217566, 0.232719, 0.25196, 0.327717");
-            values ( \
-              "-0.139229, -0.142382, -0.195114, -0.210769, -0.209331, -0.212335, -0.208457, -0.20807, -0.200418, -0.16831, -0.119013, -0.0649539, -0.0670127, -0.0425106, -0.0249598, -0.00935771, -0.00801989, -0.00208435, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0224452");
-            index_3 ("0.0372143, 0.0449483, 0.0516446, 0.0552304, 0.0696169, 0.0735345, 0.0833499, 0.0951762, 0.103965, 0.127093, 0.138995, 0.150048, 0.169302, 0.208492, 0.234611, 0.257001, 0.272176, 0.285796, 0.303956, 0.31935, 0.340078, 0.367716, 0.421128, 0.47647");
-            values ( \
-              "-0.139246, -0.161641, -0.198045, -0.209961, -0.226826, -0.228919, -0.230573, -0.22979, -0.228217, -0.220959, -0.214424, -0.205545, -0.179837, -0.112289, -0.0755113, -0.0520548, -0.0399769, -0.0313808, -0.0224933, -0.0169412, -0.011507, -0.00673441, -0.0022274, -0.000665874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411576");
-            index_3 ("0.0414122, 0.0643157, 0.0733296, 0.0782014, 0.0913865, 0.111357, 0.134618, 0.18161, 0.197913, 0.230207, 0.248505, 0.268338, 0.330201, 0.378016, 0.422027, 0.466577, 0.490598, 0.524525, 0.587305, 0.641807, 0.697149, 0.698642");
-            values ( \
-              "-0.22964, -0.23153, -0.239924, -0.241741, -0.243158, -0.242135, -0.23948, -0.231602, -0.227449, -0.21491, -0.202802, -0.184813, -0.121086, -0.0801978, -0.0528086, -0.0337381, -0.0262936, -0.0183604, -0.00936596, -0.00511239, -0.00274251, -0.00271611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0754706");
-            index_3 ("0.0346938, 0.0371602, 0.041407, 0.0467459, 0.0542014, 0.0559597, 0.0700735, 0.0739902, 0.0788349, 0.0849154, 0.097368, 0.119487, 0.179019, 0.206846, 0.274114, 0.312294, 0.336751, 0.369359, 0.380569, 0.402988, 0.446562, 0.550998, 0.580385, 0.635728, 0.663545, 0.706085, 0.76036, 0.782398, 0.817478, 0.856522, 0.910678, 0.931478, 0.973078, 1.02842, 1.08376, 1.1391, 1.19445, 1.30513, 1.41581");
-            values ( \
-              "-0.0420919, -0.0957671, -0.144952, -0.184316, -0.221964, -0.22606, -0.244295, -0.246915, -0.248708, -0.250032, -0.2509, -0.250405, -0.246627, -0.244609, -0.238418, -0.23361, -0.229592, -0.222378, -0.219192, -0.211222, -0.189583, -0.12776, -0.1118, -0.0852703, -0.0738949, -0.0588921, -0.0435423, -0.0384381, -0.031434, -0.0250342, -0.0181491, -0.0160395, -0.0124936, -0.00893119, -0.00636925, -0.00453842, -0.00322803, -0.001631, -0.000822671" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.063254, 0.0674522, 0.069886, 0.0808336, 0.0904405, 0.0923341, 0.0964426, 0.0992298, 0.101806, 0.106375, 0.107097, 0.108541, 0.111429, 0.112609, 0.112765, 0.113697, 0.116472, 0.123252, 0.129694, 0.134947, 0.13884, 0.142261, 0.145709, 0.150654, 0.1528, 0.157093, 0.165678, 0.179587, 0.196038");
-            values ( \
-              "-0.0180787, -0.04438, -0.0534805, -0.0845685, -0.10842, -0.112265, -0.119263, -0.122709, -0.124529, -0.123281, -0.122533, -0.119791, -0.111918, -0.107852, -0.108127, -0.105745, -0.0954544, -0.0676235, -0.045891, -0.0326105, -0.02503, -0.0197559, -0.0154943, -0.0108597, -0.00930935, -0.00681273, -0.00357599, -0.00116567, -0.000292885" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00667524");
-            index_3 ("0.0656587, 0.0724207, 0.0870951, 0.095904, 0.107178, 0.110865, 0.112568, 0.113735, 0.115196, 0.117143, 0.119139, 0.121212, 0.12477, 0.143404, 0.152854, 0.159747, 0.168843, 0.177791, 0.184192, 0.191477, 0.201189, 0.220614, 0.243287");
-            values ( \
-              "-0.0669979, -0.0731817, -0.120107, -0.143029, -0.166352, -0.171308, -0.172209, -0.173885, -0.17426, -0.173397, -0.170971, -0.166959, -0.157357, -0.0878511, -0.0594577, -0.0436909, -0.028474, -0.0184236, -0.0133936, -0.00929547, -0.00563879, -0.00191381, -0.000510836" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0122404");
-            index_3 ("0.0656853, 0.074314, 0.0877216, 0.0936942, 0.106512, 0.112602, 0.11338, 0.114936, 0.116554, 0.118517, 0.121254, 0.12397, 0.12903, 0.134618, 0.144271, 0.145758, 0.148731, 0.158112, 0.173652, 0.181177, 0.196331, 0.197651, 0.200289, 0.215482, 0.219526, 0.220489, 0.222414, 0.226263, 0.245105, 0.249897, 0.259482, 0.309173, 0.346828");
-            values ( \
-              "-0.0706812, -0.0888607, -0.136342, -0.154338, -0.188161, -0.200841, -0.203943, -0.205218, -0.208657, -0.208728, -0.210215, -0.208647, -0.208119, -0.203431, -0.19406, -0.190581, -0.186499, -0.164146, -0.119796, -0.100402, -0.0675868, -0.0664545, -0.0605372, -0.0388198, -0.0356478, -0.0333586, -0.0327522, -0.0279249, -0.0152728, -0.0143565, -0.00946089, -0.00120007, -0.00110813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0224452");
-            index_3 ("0.0684755, 0.0843048, 0.096565, 0.109409, 0.114402, 0.11676, 0.11963, 0.122918, 0.12782, 0.142993, 0.153041, 0.166945, 0.179684, 0.190179, 0.20945, 0.248706, 0.260115, 0.27476, 0.285702, 0.297109, 0.312297, 0.325946, 0.344145, 0.359499, 0.380173, 0.407737, 0.46039, 0.515732");
-            values ( \
-              "-0.104419, -0.133931, -0.174005, -0.210877, -0.222365, -0.22635, -0.228861, -0.229794, -0.230113, -0.228364, -0.225973, -0.221077, -0.214066, -0.205527, -0.179823, -0.112131, -0.0949113, -0.0754625, -0.0631243, -0.0521027, -0.0399561, -0.0313414, -0.0224976, -0.0169065, -0.0114857, -0.00677827, -0.00224632, -0.000710033" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411576");
-            index_3 ("0.0712796, 0.091514, 0.113414, 0.11698, 0.119036, 0.122658, 0.127421, 0.133227, 0.142038, 0.144123, 0.148294, 0.156635, 0.1692, 0.174824, 0.186071, 0.206099, 0.237464, 0.238156, 0.242306, 0.258906, 0.271633, 0.297087, 0.308604, 0.362063, 0.376739, 0.406091, 0.425768, 0.447512, 0.476503, 0.493076, 0.512521, 0.535284, 0.567022, 0.579966, 0.605853, 0.657628, 0.71297, 0.768312, 0.878997");
-            values ( \
-              "-0.136741, -0.16515, -0.231935, -0.239021, -0.23971, -0.24239, -0.24198, -0.243564, -0.242177, -0.243313, -0.241745, -0.242278, -0.23957, -0.240142, -0.237287, -0.235353, -0.2271, -0.22751, -0.226239, -0.220281, -0.214157, -0.195758, -0.184806, -0.129282, -0.115066, -0.0894777, -0.0748366, -0.0609412, -0.0458139, -0.0387834, -0.031799, -0.0250897, -0.0179296, -0.0156197, -0.0118371, -0.00667415, -0.00359937, -0.00192591, -0.000549971" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0754706");
-            index_3 ("0.0783136, 0.109565, 0.119156, 0.127052, 0.147359, 0.191764, 0.246882, 0.327192, 0.375504, 0.420597, 0.450721, 0.486616, 0.590944, 0.675605, 0.746409, 0.800447, 0.857102, 0.896264, 0.950547, 1.01332, 1.06866, 1.124, 1.23469, 1.45606");
-            values ( \
-              "-0.204754, -0.227002, -0.247306, -0.249838, -0.250773, -0.248494, -0.244583, -0.236926, -0.229826, -0.21919, -0.207961, -0.189562, -0.127788, -0.0853224, -0.0587873, -0.0435215, -0.0315024, -0.0250721, -0.0181628, -0.0124749, -0.00891794, -0.00635958, -0.00322306, -0.000821334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.12451, 0.131925, 0.163419, 0.169115, 0.177076, 0.183021, 0.186648, 0.1939, 0.195678, 0.201771, 0.21347, 0.218291, 0.225301, 0.232655, 0.240979, 0.248925, 0.25136, 0.254196, 0.262556, 0.268776, 0.27818, 0.29268");
-            values ( \
-              "-0.0333094, -0.0341492, -0.0744234, -0.0810218, -0.0882354, -0.0899727, -0.0895426, -0.0848779, -0.0826129, -0.0702867, -0.0421705, -0.0324695, -0.0213801, -0.0133419, -0.00758727, -0.00436845, -0.00471741, -0.0043858, -0.00250184, -0.0016052, -0.000805269, -0.000250863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00667524");
-            index_3 ("0.124539, 0.134453, 0.164211, 0.186803, 0.193571, 0.196145, 0.200672, 0.205018, 0.213711, 0.221003, 0.233602, 0.243228, 0.248955, 0.264595, 0.27192, 0.279662, 0.285616, 0.297525, 0.311711");
-            values ( \
-              "-0.0372966, -0.044417, -0.0898767, -0.122962, -0.131579, -0.133993, -0.136169, -0.135672, -0.124312, -0.107031, -0.0724866, -0.0498459, -0.0389941, -0.0200174, -0.0139419, -0.00948631, -0.00702545, -0.0037758, -0.00200865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0122404");
-            index_3 ("0.128491, 0.145408, 0.179412, 0.195181, 0.202496, 0.208588, 0.21825, 0.220937, 0.226313, 0.233057, 0.245225, 0.253526, 0.264468, 0.269809, 0.280491, 0.285863, 0.291793, 0.298138, 0.306445, 0.313276, 0.321414, 0.332916, 0.338036, 0.344974, 0.354225, 0.372727, 0.406352, 0.446238");
-            values ( \
-              "-0.061823, -0.0685418, -0.125665, -0.154151, -0.165811, -0.17353, -0.181719, -0.182501, -0.182982, -0.179367, -0.160672, -0.142483, -0.113246, -0.0998066, -0.075918, -0.065676, -0.0556739, -0.0464138, -0.0363219, -0.0295882, -0.0230642, -0.0160939, -0.0137118, -0.0110215, -0.0082099, -0.00447327, -0.00133975, -0.00029208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0224452");
-            index_3 ("0.132226, 0.15556, 0.17975, 0.20101, 0.215099, 0.222049, 0.232091, 0.241115, 0.252934, 0.258257, 0.26728, 0.281856, 0.288546, 0.299537, 0.334927, 0.362215, 0.376395, 0.387267, 0.401285, 0.412883, 0.426599, 0.445987, 0.466252, 0.48179, 0.512866, 0.568208, 0.62355");
-            values ( \
-              "-0.0814686, -0.0918486, -0.135232, -0.176618, -0.197482, -0.205166, -0.213105, -0.217491, -0.220255, -0.219359, -0.214427, -0.202113, -0.193896, -0.176717, -0.115462, -0.0764682, -0.0606581, -0.0504317, -0.0394928, -0.0321364, -0.0250511, -0.0174992, -0.0120022, -0.00894223, -0.00489327, -0.00151166, -0.000473391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411576");
-            index_3 ("0.1361, 0.165501, 0.210834, 0.220125, 0.230678, 0.250848, 0.256419, 0.274175, 0.294467, 0.326552, 0.358781, 0.362632, 0.378038, 0.39698, 0.459555, 0.478334, 0.507034, 0.53034, 0.548432, 0.574479, 0.596407, 0.620003, 0.653604, 0.687916, 0.714101, 0.766472, 0.821814, 0.877156, 0.98784");
-            values ( \
-              "-0.100864, -0.114369, -0.201143, -0.213853, -0.224883, -0.238315, -0.23992, -0.23793, -0.234683, -0.227496, -0.214902, -0.212741, -0.202091, -0.184811, -0.120394, -0.103098, -0.0799271, -0.0643112, -0.053983, -0.0416448, -0.0333242, -0.0260828, -0.0182752, -0.0126849, -0.00955649, -0.00534975, -0.00287128, -0.00154541, -0.000445731" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0754706");
-            index_3 ("0.142248, 0.176471, 0.199485, 0.217002, 0.236233, 0.253767, 0.260549, 0.304389, 0.369214, 0.436836, 0.47427, 0.509356, 0.564698, 0.690827, 0.746169, 0.802088, 0.835185, 0.888585, 0.923573, 0.986371, 1.04005, 1.10109, 1.15643, 1.26711, 1.32245, 1.3778, 1.54382");
-            values ( \
-              "-0.133022, -0.1379, -0.18622, -0.215679, -0.236916, -0.248275, -0.249673, -0.246893, -0.241766, -0.234246, -0.227934, -0.219163, -0.195653, -0.121615, -0.0936125, -0.070186, -0.0588821, -0.0436445, -0.0357976, -0.0248533, -0.0181394, -0.0126013, -0.00894155, -0.0045255, -0.00328383, -0.00227229, -0.000863691" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.244841, 0.258811, 0.279577, 0.308766, 0.321465, 0.327557, 0.333059, 0.349966, 0.355465, 0.366286, 0.385387, 0.394544, 0.403579, 0.410174, 0.417275, 0.422781, 0.433791, 0.452938, 0.475661, 0.504713, 0.550199, 0.555844, 0.564992");
-            values ( \
-              "-0.0171244, -0.0201528, -0.0315606, -0.0484923, -0.05492, -0.0569106, -0.0576375, -0.0592116, -0.0591082, -0.0532086, -0.0286499, -0.0186891, -0.0116884, -0.00808369, -0.00537854, -0.00388833, -0.00194831, -0.000507174, -9.23462e-05, -2.37912e-05, -1.32783e-05, -0.000483383, -0.000451732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00667524");
-            index_3 ("0.245439, 0.261888, 0.281415, 0.335952, 0.351685, 0.358076, 0.368964, 0.375614, 0.384079, 0.395145, 0.417062, 0.42704, 0.438904, 0.445072, 0.453818, 0.46346, 0.470964, 0.485972, 0.511428, 0.541695, 0.550223, 0.55721, 0.575106");
-            values ( \
-              "-0.0207251, -0.0260893, -0.0388205, -0.0766116, -0.0864986, -0.0897001, -0.0931769, -0.0938802, -0.0919201, -0.0815764, -0.0467633, -0.0332278, -0.021127, -0.0164461, -0.0113517, -0.00746676, -0.00534191, -0.00262349, -0.000676438, -0.000117118, -8.76819e-05, -0.000704524, -0.000391459" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0122404");
-            index_3 ("0.251396, 0.275346, 0.333116, 0.350059, 0.367491, 0.376199, 0.385566, 0.393532, 0.407436, 0.409973, 0.415049, 0.425199, 0.429118, 0.434343, 0.465927, 0.475396, 0.489257, 0.498128, 0.508418, 0.522138, 0.528345, 0.535051, 0.550307, 0.55632, 0.570202, 0.583869, 0.597738, 0.625475");
-            values ( \
-              "-0.0351662, -0.0388332, -0.0835569, -0.0977591, -0.114079, -0.121525, -0.128073, -0.132355, -0.135867, -0.135802, -0.134884, -0.129255, -0.125459, -0.119026, -0.0691686, -0.0557433, -0.0394148, -0.0311139, -0.023382, -0.0156735, -0.0130403, -0.010667, -0.00668497, -0.00642281, -0.00435726, -0.00285219, -0.00179812, -0.000703163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0224452");
-            index_3 ("0.25827, 0.29655, 0.322638, 0.34826, 0.37988, 0.395254, 0.416538, 0.429698, 0.444342, 0.466987, 0.489613, 0.492537, 0.504234, 0.541122, 0.550158, 0.566398, 0.577802, 0.589789, 0.60207, 0.619985, 0.640091, 0.660514, 0.676333, 0.707972, 0.763314, 0.818656");
-            values ( \
-              "-0.0467586, -0.0588194, -0.0804525, -0.103066, -0.134792, -0.147956, -0.163622, -0.171552, -0.177929, -0.179309, -0.16311, -0.159804, -0.14498, -0.0937042, -0.0823094, -0.0647565, -0.0535313, -0.0435077, -0.0350472, -0.0253707, -0.0174778, -0.0119513, -0.00886277, -0.00478715, -0.00148354, -0.000459601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411576");
-            index_3 ("0.2643, 0.30257, 0.341742, 0.393666, 0.431275, 0.449747, 0.48617, 0.520606, 0.550035, 0.5644, 0.591795, 0.662033, 0.694184, 0.744624, 0.790493, 0.814323, 0.848104, 0.90985, 0.963387, 1.01873, 1.02786");
-            values ( \
-              "-0.0638348, -0.0665771, -0.10113, -0.153214, -0.182922, -0.195002, -0.212172, -0.218432, -0.214859, -0.208401, -0.184812, -0.113114, -0.0856072, -0.0532308, -0.0335372, -0.0262116, -0.0183086, -0.00946239, -0.00520797, -0.0028108, -0.00264598" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0754706");
-            index_3 ("0.274917, 0.332444, 0.405407, 0.44012, 0.47075, 0.488527, 0.510528, 0.530533, 0.549878, 0.560293, 0.572848, 0.601002, 0.644612, 0.694667, 0.724824, 0.770866, 0.887572, 0.923374, 0.969282, 1.03923, 1.08662, 1.12912, 1.18446, 1.23352, 1.2993, 1.35464, 1.40998, 1.52067, 1.74203");
-            values ( \
-              "-0.0788215, -0.0948592, -0.167467, -0.194755, -0.214362, -0.223323, -0.23178, -0.237297, -0.241019, -0.2417, -0.241107, -0.238107, -0.232357, -0.222092, -0.212163, -0.189521, -0.120828, -0.102189, -0.0812772, -0.0560492, -0.0430406, -0.0337901, -0.0244401, -0.0182783, -0.0123302, -0.00880354, -0.00628785, -0.00318939, -0.000817061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.486137, 0.506508, 0.525316, 0.548061, 0.580143, 0.604207, 0.616566, 0.624405, 0.638175, 0.643053, 0.652255, 0.670127, 0.678699, 0.695843, 0.699933, 0.704608, 0.732254, 0.741553, 0.749028, 0.756074, 0.766364, 0.780086, 0.803447, 0.830895, 0.865492, 1.02307");
-            values ( \
-              "-0.00402501, -0.00885341, -0.012096, -0.0167481, -0.0242086, -0.0302213, -0.0330768, -0.0344235, -0.0355938, -0.0356464, -0.0361891, -0.0374377, -0.0377382, -0.0362208, -0.0348508, -0.0326825, -0.0152057, -0.0106188, -0.0077563, -0.00569302, -0.0035512, -0.00181276, -0.000496638, -0.000108294, -2.3289e-05, -6.86506e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00667524");
-            index_3 ("0.486048, 0.53097, 0.552822, 0.58742, 0.635111, 0.656749, 0.665483, 0.677129, 0.690908, 0.702518, 0.717884, 0.722305, 0.731148, 0.742376, 0.757733, 0.778789, 0.789715, 0.801736, 0.812102, 0.825357, 0.843029, 0.871617, 0.905094, 0.946672, 1.05736");
-            values ( \
-              "-0.00546196, -0.0157669, -0.0212141, -0.0308981, -0.0454585, -0.0517371, -0.0537141, -0.055591, -0.0575079, -0.0595904, -0.0614911, -0.0616283, -0.0607796, -0.0567243, -0.0453285, -0.0274739, -0.019979, -0.0136261, -0.00958278, -0.00599001, -0.00305098, -0.000900122, -0.000188401, -3.16419e-05, -1.19484e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0122404");
-            index_3 ("0.501357, 0.537345, 0.557506, 0.579956, 0.642525, 0.679209, 0.709551, 0.724054, 0.735957, 0.76064, 0.782615, 0.788998, 0.797508, 0.810127, 0.850915, 0.872103, 0.886755, 0.9035, 0.911572, 0.923964, 0.940487, 0.973532, 1.01836, 1.07326, 1.1286");
-            values ( \
-              "-0.0170065, -0.0192967, -0.025221, -0.0320122, -0.0533059, -0.0667953, -0.0790135, -0.0840747, -0.0871852, -0.0912808, -0.0916532, -0.0905164, -0.0876246, -0.079658, -0.0430581, -0.0277668, -0.0199406, -0.0132577, -0.0108504, -0.00791661, -0.00512752, -0.00196902, -0.000463383, -6.15828e-05, -2.02972e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0224452");
-            index_3 ("0.516105, 0.574605, 0.630131, 0.679199, 0.692725, 0.722182, 0.738547, 0.774777, 0.788663, 0.804532, 0.810738, 0.823148, 0.842662, 0.87433, 0.906092, 0.953488, 0.971522, 0.983847, 0.999589, 1.00992, 1.02369, 1.04805, 1.06459, 1.07557, 1.09754, 1.14148, 1.19682, 1.21601, 1.23155, 1.25249");
-            values ( \
-              "-0.0251815, -0.0325674, -0.0523731, -0.0715173, -0.0773279, -0.0914319, -0.0985826, -0.112624, -0.117303, -0.122082, -0.123612, -0.126373, -0.128917, -0.125637, -0.106072, -0.0651149, -0.0515785, -0.0434406, -0.0345271, -0.0295273, -0.0237874, -0.0159804, -0.0121048, -0.0100575, -0.00683589, -0.00302032, -0.000980896, -0.000706022, -0.000968865, -0.000766318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411576");
-            index_3 ("0.534761, 0.608363, 0.67991, 0.728585, 0.759138, 0.800995, 0.847056, 0.870094, 0.916171, 0.959919, 1.00357, 1.00646, 1.03342, 1.09808, 1.1326, 1.14952, 1.18337, 1.21603, 1.24616, 1.28439, 1.31767, 1.3557, 1.41105, 1.46639, 1.52173, 1.57707");
-            values ( \
-              "-0.0382605, -0.0461571, -0.0746745, -0.0982533, -0.111648, -0.128629, -0.146059, -0.154101, -0.167356, -0.172166, -0.159975, -0.15844, -0.141499, -0.0940738, -0.0713953, -0.0616774, -0.0451838, -0.0329296, -0.0247337, -0.016526, -0.0115859, -0.00765436, -0.00412377, -0.00221604, -0.00118627, -0.000636842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0754706");
-            index_3 ("0.55615, 0.6444, 0.681692, 0.762671, 0.844856, 0.904255, 0.930464, 1.00151, 1.03569, 1.06722, 1.12256, 1.13165, 1.14983, 1.18618, 1.22926, 1.31714, 1.40222, 1.45162, 1.50696, 1.55617, 1.59143, 1.64677, 1.67553, 1.73492, 1.79027, 1.84561, 1.95629, 2.17766");
-            values ( \
-              "-0.0554052, -0.0616717, -0.0774044, -0.1161, -0.149812, -0.172039, -0.180943, -0.20107, -0.207702, -0.211289, -0.210637, -0.2093, -0.205752, -0.193361, -0.172225, -0.120651, -0.0797319, -0.0614363, -0.0452186, -0.0342046, -0.0278785, -0.0201062, -0.0169454, -0.0118813, -0.00848402, -0.00605535, -0.00306983, -0.000784874" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00340051", \
-            "0.00393154", \
-            "0.00425908", \
-            "0.00445746", \
-            "0.00456563", \
-            "0.00462791" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00436514", \
-            "0.00478795", \
-            "0.00516557", \
-            "0.00573723", \
-            "0.00672848", \
-            "0.00709079" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.00593523, 0.00605319, 0.00615037, 0.00621592, 0.00625676, 0.0062809", \
-            "0.00572659, 0.0058722, 0.00602088, 0.00613303, 0.00621324, 0.00625754", \
-            "0.00557433, 0.00569768, 0.00583209, 0.00598571, 0.0061138, 0.0061953", \
-            "0.00568903, 0.00570838, 0.00579695, 0.00564755, 0.00602462, 0.00613258", \
-            "0.00635866, 0.00623413, 0.00611741, 0.00605043, 0.0060208, 0.00606647", \
-            "0.00799363, 0.00772327, 0.00739722, 0.00709343, 0.00671757, 0.00646294" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "-0.000877555, -0.000777535, -0.000695794, -0.000637671, -0.000600829, -0.000578857", \
-            "-0.00101205, -0.000874901, -0.000759945, -0.000656781, -0.000588703, -0.000558628", \
-            "-0.00120524, -0.00104735, -0.000889006, -0.000753878, -0.000643114, -0.000568395", \
-            "-0.00130635, -0.00115891, -0.00106158, -0.000898737, -0.000761905, -0.000645378", \
-            "-0.00106215, -0.00110547, -0.00108724, -0.00100318, -0.000871679, -0.000731474", \
-            "0.000198403, -0.000158606, -0.00046638, -0.000669507, -0.000727626, -0.000704329" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00640514, 0.00944007, 0.0150052, 0.02521, 0.0439224, 0.0782354");
-          values ( \
-            "0.00562487, 0.00562478, 0.00562413, 0.00562398, 0.00562369, 0.00562376", \
-            "0.00547243, 0.00547176, 0.00547098, 0.00547094, 0.00547065, 0.00547043", \
-            "0.0053348, 0.0053343, 0.00533346, 0.00533277, 0.00533316, 0.00533259", \
-            "0.00540472, 0.00540083, 0.00540745, 0.00539883, 0.00540579, 0.00539372", \
-            "0.00592495, 0.00592899, 0.00593386, 0.00593147, 0.00592167, 0.00592257", \
-            "0.00776547, 0.00773359, 0.00772858, 0.00772375, 0.00772736, 0.00771869" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00654431, 0.00957924, 0.0151444, 0.0253491, 0.0440616, 0.0783746");
-          values ( \
-            "0.00369116, 0.00369627, 0.00368911, 0.00369016, 0.00368973, 0.00369004", \
-            "0.00353183, 0.0035388, 0.00353922, 0.00353414, 0.0035371, 0.00353531", \
-            "0.00340463, 0.00340262, 0.00340478, 0.00340125, 0.00340452, 0.00340299", \
-            "0.00342835, 0.00342715, 0.00342877, 0.00342169, 0.00342921, 0.00341764", \
-            "0.00397696, 0.00397212, 0.0039741, 0.00397679, 0.00397609, 0.00396466", \
-            "0.00591772, 0.00590806, 0.00590204, 0.00590552, 0.0059103, 0.00590533" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00445053;
-      rise_capacitance : 0.00445053;
-      rise_capacitance_range (0.00248087, 0.00445053);
-      fall_capacitance : 0.00441794;
-      fall_capacitance_range (0.00233838, 0.00441794);
-      receiver_capacitance () {
-        when : "!OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00193274, 0.00216169, 0.00227016, 0.00232695, 0.00235313, 0.00236591" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00244436, 0.00249572, 0.0025177, 0.00252768, 0.00253242, 0.00253492" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00211708, 0.0023829, 0.0025017, 0.00256106, 0.00258815, 0.00260146" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0025469, 0.00252381, 0.00250657, 0.00250017, 0.0024973, 0.00249622" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00211603, 0.0024911, 0.00267898, 0.00277233, 0.00281491, 0.00283532" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00278564, 0.00285031, 0.00285519, 0.00285512, 0.00285549, 0.00285632" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00197989, 0.00225485, 0.00238903, 0.00245595, 0.00248815, 0.00250381" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00250901, 0.00259252, 0.00263588, 0.00265887, 0.00266812, 0.00267213" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00308067, -0.00308735, -0.00310811, -0.00310136, -0.00308899, -0.00308128" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00308067, 0.00308735, 0.00310811, 0.00310136, 0.00308899, 0.00308128" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!OE * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00276357, -0.00276584, -0.00279075, -0.00278592, -0.00277341, -0.00276365" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00278452, 0.00278357, 0.00279568, 0.0028012, 0.00278948, 0.00278082" \
-          );
-        }
-      }
-    }
-    pin (OE) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00564023;
-      rise_capacitance : 0.00564023;
-      rise_capacitance_range (0.00416813, 0.00564023);
-      fall_capacitance : 0.00544043;
-      fall_capacitance_range (0.00392705, 0.00564023);
-      receiver_capacitance () {
-        when : "A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00321242, 0.0039697, 0.00450958, 0.00489977, 0.00520655, 0.00549623" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00491587, 0.00555418, 0.00604748, 0.00669458, 0.00644411, 0.00601057" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00340051, 0.00393154, 0.00425908, 0.00445746, 0.00456563, 0.00462791" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00436514, 0.00478795, 0.00516557, 0.00573723, 0.00672848, 0.00709079" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00317702, 0.00392677, 0.00446473, 0.00486428, 0.00517022, 0.0054565" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0048802, 0.00556342, 0.00605281, 0.00670645, 0.00644507, 0.00601804" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00339063, 0.00392948, 0.00425908, 0.00445776, 0.00456593, 0.00462801" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00433746, 0.0047485, 0.00512001, 0.00568983, 0.00671382, 0.00707046" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00217331, 0.00202095, 0.00189847, 0.00194847, 0.00251054, 0.00448639" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00687518, 0.00667764, 0.00659837, 0.00671314, 0.00746966, 0.00967111" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00192987, 0.00178289, 0.00164509, 0.00170412, 0.00224986, 0.00424095" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00700627, 0.00682055, 0.00676497, 0.006903, 0.00765001, 0.0098372" \
-          );
-        }
-      }
-    }
-  }
-  cell (TIEHI) {
-    area : 6.5934;
-    cell_footprint : "TIEHI";
-    cell_leakage_power : 0;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "Y";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      when : "Y";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      driver_type : open_drain;
-      function : "1";
-      related_power_pin : VDD;
-      max_capacitance : 0.51657;
-    }
-  }
-  cell (TIELO) {
-    area : 6.5934;
-    cell_footprint : "TIELO";
-    cell_leakage_power : 0;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "!Y";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      when : "!Y";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      driver_type : open_source;
-      function : "0";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.831461;
-    }
-  }
-  cell (TNBUFIX1) {
-    area : 12.4542;
-    cell_footprint : "TNBUFI";
-    cell_leakage_power : 0.228323;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.270121;
-      when : "(A * OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.270121;
-      when : "(A * OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.276137;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.269543;
-      when : "(!A * OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.269542;
-      when : "(!A * OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0144747;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.228323;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      three_state : "OE";
-      max_capacitance : 0.109967;
-      capacitance : 0.00376556;
-      rise_capacitance : 0.00358245;
-      rise_capacitance_range (0.00358245, 0.00358245);
-      fall_capacitance : 0.00376556;
-      fall_capacitance_range (0.00376556, 0.00376556);
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.0438676, 0.0602918, 0.0916763, 0.152539, 0.271814, 0.506992", \
-            "0.0482259, 0.0646509, 0.09626, 0.157381, 0.27691, 0.512282", \
-            "0.061317, 0.0769543, 0.107878, 0.169043, 0.288804, 0.524219", \
-            "0.084754, 0.105701, 0.137871, 0.198151, 0.317439, 0.552978", \
-            "0.120811, 0.150085, 0.196467, 0.266842, 0.382172, 0.61795", \
-            "0.183457, 0.221867, 0.285558, 0.385315, 0.534699, 0.768961" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.0415427, 0.0638543, 0.107332, 0.192577, 0.360628, 0.692761", \
-            "0.0413598, 0.0637153, 0.107279, 0.192557, 0.360771, 0.692761", \
-            "0.0455065, 0.0648833, 0.107111, 0.192591, 0.360769, 0.69262", \
-            "0.0636461, 0.0807984, 0.1149, 0.193301, 0.360782, 0.692787", \
-            "0.0908214, 0.115506, 0.154657, 0.219045, 0.364592, 0.692763", \
-            "0.136656, 0.1697, 0.223216, 0.30547, 0.433713, 0.714623" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.0296878, 0.0393951, 0.0579955, 0.0941743, 0.165195, 0.305277", \
-            "0.0339186, 0.0437178, 0.0624917, 0.0988553, 0.170029, 0.310201", \
-            "0.0419965, 0.05363, 0.0726871, 0.109185, 0.180506, 0.320835", \
-            "0.0487674, 0.0656746, 0.0924047, 0.132649, 0.203921, 0.344293", \
-            "0.0486216, 0.0731073, 0.111891, 0.170548, 0.256767, 0.397435", \
-            "0.0302174, 0.0645182, 0.11921, 0.203436, 0.328957, 0.511563" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.0220184, 0.0344029, 0.0589313, 0.10734, 0.203031, 0.392147", \
-            "0.0223652, 0.0344447, 0.0589342, 0.10734, 0.20308, 0.392147", \
-            "0.0294142, 0.0390252, 0.0604271, 0.107352, 0.203049, 0.392179", \
-            "0.0436256, 0.0565375, 0.0770802, 0.115579, 0.203799, 0.392225", \
-            "0.0679765, 0.0855769, 0.113465, 0.156845, 0.230305, 0.397622", \
-            "0.111466, 0.135094, 0.172974, 0.232526, 0.322858, 0.46801" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.028954, 0.0311365, 0.0323102, 0.0334877, 0.0346551, 0.0369899, 0.0394315, 0.0551371, 0.056997, 0.0591226, 0.0616392, 0.0690216, 0.0717288, 0.0748228, 0.0799972, 0.0872319, 0.0955, 0.101472, 0.108775, 0.118513, 0.126427, 0.132196, 0.143733, 0.15714, 0.167826, 0.189199, 0.205909");
-            values ( \
-              "0.0134404, 0.137928, 0.13957, 0.14001, 0.139623, 0.137551, 0.134322, 0.112162, 0.108814, 0.104328, 0.0974928, 0.0723686, 0.0643943, 0.0565782, 0.0462188, 0.0357398, 0.0268406, 0.0220543, 0.0172957, 0.0124451, 0.00955533, 0.0078564, 0.00528253, 0.00334383, 0.00230523, 0.00106919, 0.000671036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00719721");
-            index_3 ("0.0302475, 0.0324248, 0.0346536, 0.0383763, 0.051443, 0.0718419, 0.0782487, 0.0984554, 0.109395, 0.118583, 0.135774, 0.149208, 0.160761, 0.17197, 0.186916, 0.205523, 0.245264, 0.26166");
-            values ( \
-              "0.0646448, 0.17369, 0.175487, 0.172536, 0.157529, 0.139273, 0.13042, 0.0840725, 0.0647198, 0.0521021, 0.0345164, 0.0248537, 0.0184653, 0.0138447, 0.00940939, 0.00569432, 0.00187886, 0.00154431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0142295");
-            index_3 ("0.0302191, 0.0343955, 0.0377272, 0.0447977, 0.0513039, 0.0585084, 0.0876517, 0.0952878, 0.10324, 0.119026, 0.125914, 0.139729, 0.154822, 0.165827, 0.175841, 0.18799, 0.203616, 0.207797, 0.216159, 0.232882, 0.244138, 0.253259, 0.26542, 0.288222, 0.298716, 0.314678, 0.335961, 0.378526, 0.439918, 0.515223");
-            values ( \
-              "0.0455596, 0.200187, 0.199508, 0.192053, 0.186751, 0.182473, 0.168935, 0.164852, 0.159845, 0.145829, 0.136247, 0.115543, 0.0945191, 0.0816483, 0.0709427, 0.0593637, 0.0466526, 0.043718, 0.0382099, 0.0289575, 0.0239253, 0.0204576, 0.0165508, 0.0109947, 0.00911611, 0.00683527, 0.00462955, 0.0020367, 0.000569173, 0.000102665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0281329");
-            index_3 ("0.0300501, 0.0371725, 0.0501184, 0.0566163, 0.067708, 0.109632, 0.135608, 0.1641, 0.183972, 0.194619, 0.215913, 0.257197, 0.274668, 0.308887, 0.337352, 0.351956, 0.379847, 0.404227, 0.424473, 0.447867, 0.480975, 0.515309, 0.541592, 0.594159, 0.676908, 0.759656");
-            values ( \
-              "0.0687237, 0.216033, 0.205501, 0.202684, 0.199235, 0.189169, 0.182215, 0.173081, 0.164242, 0.157668, 0.141808, 0.108115, 0.0951533, 0.0719721, 0.0557472, 0.0486414, 0.0370485, 0.0289274, 0.0234604, 0.018321, 0.012803, 0.00881337, 0.00659526, 0.00362487, 0.00133959, 0.000491234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.055621");
-            index_3 ("0.032816, 0.032836, 0.109083, 0.229295, 0.283301, 0.308126, 0.336497, 0.366762, 0.515816, 0.570387, 0.634174, 0.670564, 0.73304, 0.821182, 0.865019, 0.978268, 1.06102, 1.14377, 1.19507");
-            values ( \
-              "1e-22, 0.219805, 0.206104, 0.189898, 0.180774, 0.175344, 0.167421, 0.156471, 0.092335, 0.0716446, 0.0517233, 0.0424834, 0.0298507, 0.0177324, 0.013593, 0.00677125, 0.00400045, 0.00236998, 0.00197854" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.109967");
-            index_3 ("0.0394286, 0.0394486, 0.169607, 0.314058, 0.446746, 0.518568, 0.601316, 0.629844, 0.686899, 0.754596, 0.934966, 1.04746, 1.18671, 1.25424, 1.37012, 1.50743, 1.59018, 1.6788, 1.81179, 1.97728, 2.14278, 2.2334");
-            values ( \
-              "1e-22, 0.243639, 0.211237, 0.2014, 0.19136, 0.184932, 0.175078, 0.170533, 0.16007, 0.145331, 0.103081, 0.0787951, 0.0542023, 0.0445709, 0.0314559, 0.0204644, 0.0157279, 0.0117755, 0.0076029, 0.00438001, 0.00251124, 0.00210167" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.042182, 0.0526926, 0.0543671, 0.0560956, 0.057824, 0.0612808, 0.0628207, 0.0733753, 0.0753454, 0.0798669, 0.0901235, 0.0934663, 0.0982921, 0.104912, 0.112477, 0.123104, 0.129323, 0.137627, 0.145751, 0.156584, 0.172492, 0.183779, 0.206355, 0.224671");
-            values ( \
-              "0.0024228, 0.120393, 0.128032, 0.131809, 0.13282, 0.130808, 0.128841, 0.112976, 0.109201, 0.0977484, 0.063941, 0.0556122, 0.0461291, 0.0364145, 0.0280104, 0.0196934, 0.0159889, 0.0120917, 0.00920089, 0.00634776, 0.00368696, 0.00249206, 0.00109931, 0.000647575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00719721");
-            index_3 ("0.043227, 0.0553624, 0.0568512, 0.0598289, 0.0901577, 0.0977408, 0.117215, 0.12443, 0.135008, 0.153074, 0.16911, 0.182828, 0.198376, 0.229521, 0.253069, 0.269903");
-            values ( \
-              "0.00798871, 0.164825, 0.168077, 0.169425, 0.139295, 0.128483, 0.0832296, 0.0697754, 0.0545429, 0.0353849, 0.0238608, 0.0168445, 0.0112644, 0.00492053, 0.00258475, 0.00180268" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0142295");
-            index_3 ("0.0442575, 0.0568983, 0.0598086, 0.0770524, 0.112248, 0.126905, 0.137198, 0.167774, 0.19235, 0.226264, 0.262062, 0.288316, 0.308418, 0.345654, 0.384025");
-            values ( \
-              "0.00934759, 0.193435, 0.196307, 0.183291, 0.165672, 0.156047, 0.145875, 0.1021, 0.0729409, 0.0437189, 0.0241666, 0.0153143, 0.0106853, 0.00545413, 0.00352974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0281329");
-            index_3 ("0.044602, 0.0590545, 0.0611795, 0.0754406, 0.0836977, 0.153576, 0.178663, 0.191143, 0.207783, 0.213408, 0.293565, 0.312422, 0.34598, 0.363457, 0.38401, 0.411414, 0.429357, 0.450368, 0.47204, 0.502484, 0.538503, 0.586529, 0.669277, 0.752026, 0.834775");
-            values ( \
-              "0.0146495, 0.212755, 0.21307, 0.203625, 0.200335, 0.182473, 0.174628, 0.169766, 0.161319, 0.157659, 0.0950846, 0.0817797, 0.0611303, 0.0520854, 0.042779, 0.0325255, 0.027083, 0.0217597, 0.01729, 0.0124337, 0.00838883, 0.00488501, 0.00180697, 0.000667019, 0.000242136" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.055621");
-            index_3 ("0.0549982, 0.0550182, 0.124291, 0.227267, 0.29066, 0.325953, 0.360002, 0.418658, 0.502944, 0.570289, 0.620884, 0.661978, 0.693712, 0.752391, 0.819286, 0.878068, 0.954814, 1.03756, 1.20306, 1.21632");
-            values ( \
-              "1e-22, 0.238928, 0.206661, 0.192948, 0.182957, 0.175672, 0.166, 0.142786, 0.105749, 0.0786463, 0.0612932, 0.0494338, 0.0415835, 0.0298383, 0.0201582, 0.0141291, 0.008831, 0.00524365, 0.00182702, 0.00174925" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.109967");
-            index_3 ("0.0598972, 0.0599172, 0.188839, 0.36128, 0.466005, 0.537826, 0.620575, 0.649102, 0.706157, 0.773855, 0.954224, 1.06671, 1.20596, 1.27349, 1.38937, 1.52669, 1.60943, 1.69804, 1.83103, 1.99653, 2.16202, 2.24435");
-            values ( \
-              "1e-22, 0.247888, 0.211261, 0.199409, 0.19134, 0.184952, 0.175059, 0.170552, 0.160051, 0.145349, 0.103097, 0.078811, 0.0541883, 0.0445857, 0.0314702, 0.0204785, 0.0157149, 0.0117883, 0.00761537, 0.00439215, 0.00252312, 0.00214642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0681278, 0.0821164, 0.0881981, 0.0974264, 0.104939, 0.112569, 0.113635, 0.115323, 0.116465, 0.117575, 0.119795, 0.123737, 0.133417, 0.136076, 0.141395, 0.14904, 0.154443, 0.16157, 0.167439, 0.173427, 0.181528, 0.189138, 0.199285, 0.207414, 0.216532, 0.228688, 0.253, 0.284625, 0.323864");
-            values ( \
-              "9.51355e-05, 0.0463781, 0.0612156, 0.0805796, 0.0939833, 0.104064, 0.108753, 0.111728, 0.111904, 0.111438, 0.108798, 0.0993044, 0.0656852, 0.0585982, 0.047261, 0.0359598, 0.0298418, 0.0234356, 0.0192911, 0.0158048, 0.0120164, 0.00930415, 0.0065874, 0.00497991, 0.00364363, 0.00239689, 0.000976894, 0.000292461, 5.25114e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00719721");
-            index_3 ("0.0699102, 0.0871399, 0.0947854, 0.1007, 0.106448, 0.112599, 0.114196, 0.115832, 0.117829, 0.121081, 0.124337, 0.128612, 0.13325, 0.141795, 0.145509, 0.154819, 0.165216, 0.170252, 0.171321, 0.177735, 0.185698, 0.196082, 0.201679, 0.205212, 0.212279, 0.222825, 0.225869, 0.231956, 0.24137, 0.254867, 0.260772, 0.272582, 0.296202, 0.333647, 0.378166");
-            values ( \
-              "0.00174039, 0.0735182, 0.0958863, 0.11161, 0.125939, 0.139434, 0.147152, 0.15161, 0.153355, 0.152935, 0.15023, 0.145753, 0.140188, 0.126919, 0.118395, 0.0951412, 0.0732437, 0.0656561, 0.0637682, 0.0548834, 0.0454979, 0.0353749, 0.0309132, 0.0283779, 0.0237626, 0.0182077, 0.016823, 0.0144163, 0.0112558, 0.00790499, 0.00673778, 0.00494326, 0.00255391, 0.000867757, 0.000199936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0142295");
-            index_3 ("0.0757559, 0.118964, 0.123043, 0.163454, 0.172081, 0.180816, 0.214536, 0.236377, 0.26859, 0.305014, 0.325789, 0.348849, 0.397456, 0.43754");
-            values ( \
-              "0.0455242, 0.184901, 0.183902, 0.160387, 0.15355, 0.144182, 0.0962426, 0.0712397, 0.0437132, 0.023903, 0.0166722, 0.0110321, 0.00456519, 0.0021764" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0281329");
-            index_3 ("0.079065, 0.092592, 0.102258, 0.112547, 0.114643, 0.117388, 0.119485, 0.122281, 0.133109, 0.140728, 0.196844, 0.207584, 0.225332, 0.254109, 0.277572, 0.317702, 0.351127, 0.371416, 0.398917, 0.421385, 0.438687, 0.464849, 0.472202, 0.486908, 0.509872, 0.542643, 0.556841, 0.585236, 0.642027, 0.724776, 0.807525, 0.890273");
-            values ( \
-              "0.0377271, 0.112153, 0.148128, 0.182297, 0.194275, 0.202697, 0.204327, 0.204595, 0.199774, 0.196947, 0.182214, 0.179046, 0.173117, 0.159186, 0.141387, 0.10865, 0.0843902, 0.0711998, 0.0555856, 0.0449789, 0.0379536, 0.0291152, 0.0270001, 0.023173, 0.0181727, 0.012749, 0.0109293, 0.00799879, 0.00418618, 0.00154739, 0.000569463, 0.000207969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.055621");
-            index_3 ("0.0792538, 0.101308, 0.114896, 0.117748, 0.120795, 0.126421, 0.138245, 0.147039, 0.233946, 0.29129, 0.332775, 0.370395, 0.402893, 0.461613, 0.545779, 0.580242, 0.617601, 0.664952, 0.701491, 0.75021, 0.784806, 0.812393, 0.849176, 0.915649, 0.946007, 0.991505, 1.05217, 1.13492, 1.21767, 1.30041, 1.46591");
-            values ( \
-              "0.0307025, 0.151029, 0.204905, 0.213983, 0.216145, 0.215378, 0.210974, 0.209332, 0.19799, 0.189847, 0.183096, 0.175303, 0.165987, 0.142766, 0.105772, 0.0914602, 0.0770133, 0.0609365, 0.0503395, 0.0385132, 0.0316819, 0.0270261, 0.0217687, 0.0145742, 0.0121266, 0.00918236, 0.00629072, 0.0037271, 0.00219482, 0.00129612, 0.000449555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.109967");
-            index_3 ("0.0794237, 0.11515, 0.122947, 0.139988, 0.150955, 0.37638, 0.509037, 0.621971, 0.67675, 0.784114, 1.10915, 1.24921, 1.31661, 1.43229, 1.56935, 1.6521, 1.74106, 1.87429, 2.03979, 2.20529, 2.24007");
-            values ( \
-              "0.0384344, 0.213579, 0.222788, 0.217633, 0.216631, 0.201488, 0.19145, 0.180563, 0.172969, 0.152743, 0.0788432, 0.0542104, 0.0444882, 0.0313963, 0.0204202, 0.015804, 0.0117044, 0.00752578, 0.0043056, 0.00243818, 0.0022931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.123684, 0.124566, 0.135167, 0.139471, 0.14808, 0.156961, 0.162158, 0.177219, 0.187593, 0.189204, 0.192427, 0.198874, 0.199688, 0.201318, 0.20928, 0.218409, 0.227538, 0.228405, 0.235442, 0.239963, 0.242825, 0.248981, 0.251432, 0.254345, 0.271503, 0.281434, 0.288625, 0.293841, 0.304271, 0.311592, 0.322462, 0.336954, 0.365939, 0.400231");
-            values ( \
-              "0.00534096, 0.00551305, 0.00974866, 0.012475, 0.0189609, 0.0297601, 0.0366339, 0.0503796, 0.0578033, 0.0592674, 0.0611372, 0.0655004, 0.065702, 0.0668393, 0.0707251, 0.0729134, 0.0663221, 0.063456, 0.0471381, 0.0389651, 0.0348932, 0.0278203, 0.0285632, 0.0276433, 0.0171663, 0.0123246, 0.0096972, 0.00812728, 0.00568119, 0.00442799, 0.00304888, 0.00183329, 0.000612954, 0.000157982" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00719721");
-            index_3 ("0.124554, 0.147662, 0.151771, 0.166998, 0.179075, 0.20516, 0.218255, 0.230224, 0.241759, 0.245364, 0.248969, 0.251463, 0.263059, 0.270909, 0.277735, 0.285522, 0.295709, 0.304329, 0.310956, 0.325354, 0.331869, 0.344897, 0.355504, 0.367292, 0.383009, 0.414444, 0.432359");
-            values ( \
-              "0.00376405, 0.0253951, 0.0303951, 0.0524046, 0.0668132, 0.0934367, 0.10435, 0.112158, 0.112786, 0.107884, 0.100888, 0.0992906, 0.0764831, 0.0637212, 0.0542877, 0.0451619, 0.0352833, 0.0286459, 0.0242656, 0.0168309, 0.0142562, 0.0101116, 0.00766948, 0.00561736, 0.00365627, 0.00151294, 0.00103404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0142295");
-            index_3 ("0.124229, 0.15277, 0.180397, 0.184739, 0.193424, 0.209734, 0.229477, 0.239061, 0.246308, 0.248645, 0.25089, 0.252988, 0.255213, 0.258613, 0.26204, 0.268893, 0.278794, 0.307824, 0.322055, 0.330044, 0.340696, 0.359727, 0.368913, 0.373761, 0.383456, 0.401022, 0.406278, 0.416789, 0.437811, 0.451525, 0.456673, 0.466969, 0.487561, 0.528743, 0.587741, 0.659561");
-            values ( \
-              "0.000258871, 0.0386241, 0.0805101, 0.0860361, 0.097985, 0.118391, 0.141448, 0.150752, 0.156946, 0.1587, 0.162707, 0.164448, 0.164492, 0.163173, 0.160871, 0.155159, 0.144326, 0.102301, 0.0844761, 0.0756941, 0.0649494, 0.0485755, 0.0420951, 0.0389433, 0.0332293, 0.0246908, 0.0225825, 0.0188246, 0.0129641, 0.0101487, 0.00925573, 0.00768842, 0.00527508, 0.0023979, 0.000710888, 0.000148146" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0281329");
-            index_3 ("0.137409, 0.162775, 0.18302, 0.197099, 0.224341, 0.253616, 0.259843, 0.275934, 0.294068, 0.312546, 0.322559, 0.342586, 0.353078, 0.368826, 0.424762, 0.450915, 0.471093, 0.496899, 0.516636, 0.542953, 0.568632, 0.579428, 0.601021, 0.637232, 0.653806, 0.678118, 0.710533, 0.775364, 0.858112, 0.940861");
-            values ( \
-              "0.0212084, 0.0586852, 0.0916596, 0.113271, 0.15285, 0.190836, 0.193071, 0.187417, 0.182233, 0.176606, 0.173086, 0.164158, 0.157673, 0.146221, 0.100962, 0.082661, 0.0696768, 0.0552235, 0.0458364, 0.0353419, 0.0272158, 0.0243368, 0.0193829, 0.0131024, 0.0109504, 0.00839644, 0.00585766, 0.00276454, 0.00101614, 0.000375837" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.055621");
-            index_3 ("0.137426, 0.179743, 0.224914, 0.25391, 0.260612, 0.266911, 0.279507, 0.335961, 0.3877, 0.439866, 0.46745, 0.499285, 0.558048, 0.676713, 0.714054, 0.761386, 0.797893, 0.846569, 0.881212, 0.908833, 0.945661, 1.01209, 1.04242, 1.08785, 1.14843, 1.23118, 1.31393, 1.39668, 1.56217");
-            values ( \
-              "0.0141438, 0.0906659, 0.164363, 0.206265, 0.209139, 0.207261, 0.204753, 0.197249, 0.189867, 0.181132, 0.175121, 0.166011, 0.14275, 0.0914445, 0.0770021, 0.0609359, 0.0503473, 0.038527, 0.0316866, 0.0270245, 0.021761, 0.0145737, 0.0121277, 0.00918676, 0.00629764, 0.00373087, 0.00219743, 0.00129728, 0.00044978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.109967");
-            index_3 ("0.164078, 0.19681, 0.252709, 0.259388, 0.265723, 0.282242, 0.450922, 0.516463, 0.572917, 0.655665, 0.720893, 0.788465, 0.871214, 0.913491, 1.09339, 1.2056, 1.28813, 1.34583, 1.41321, 1.49595, 1.52885, 1.59463, 1.66584, 1.74859, 1.83763, 1.88815, 1.9709, 2.05365, 2.1364, 2.30189, 2.46739, 2.71564");
-            values ( \
-              "0.119314, 0.122737, 0.214052, 0.218142, 0.216483, 0.214239, 0.202957, 0.198262, 0.193954, 0.187022, 0.180206, 0.170605, 0.154713, 0.145355, 0.103176, 0.0789343, 0.0635155, 0.0541269, 0.044557, 0.0348008, 0.031472, 0.0256784, 0.0205004, 0.015731, 0.0117835, 0.0100008, 0.00760442, 0.00578785, 0.0043851, 0.00251832, 0.00144236, 0.000630986" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.211657, 0.268028, 0.288125, 0.298113, 0.308461, 0.347924, 0.366025, 0.381783, 0.396295, 0.410159, 0.423762, 0.437347, 0.447294, 0.458486, 0.472035, 0.493737, 0.512457, 0.545449, 0.550579, 0.554518, 0.558791, 0.563318, 0.585928");
-            values ( \
-              "0.000855859, 0.00374153, 0.00691206, 0.00914712, 0.0125785, 0.0330436, 0.0394416, 0.0436644, 0.0464539, 0.0482791, 0.0482023, 0.0401227, 0.0281559, 0.0197648, 0.0133156, 0.00688318, 0.0038421, 0.00132071, 0.00112824, 0.0025383, 0.00315469, 0.00312537, 0.00182728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00719721");
-            index_3 ("0.213301, 0.275789, 0.285209, 0.29777, 0.306609, 0.31565, 0.348577, 0.364477, 0.387439, 0.407459, 0.425785, 0.443057, 0.460125, 0.486159, 0.493182, 0.507228, 0.520555, 0.530116, 0.548329, 0.55075, 0.553366, 0.556334, 0.559629, 0.566219, 0.584364, 0.591699, 0.60148, 0.621042, 0.637367");
-            values ( \
-              "0.00155587, 0.00733896, 0.00973623, 0.0134358, 0.0168923, 0.0214714, 0.0433485, 0.0516843, 0.0612439, 0.0681359, 0.0732855, 0.0766483, 0.0754486, 0.0475668, 0.0408489, 0.0300182, 0.0224732, 0.0180224, 0.0116574, 0.010992, 0.0121098, 0.0125447, 0.0123676, 0.0112817, 0.00727748, 0.00599554, 0.0046178, 0.00271004, 0.00192546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0142295");
-            index_3 ("0.217977, 0.274893, 0.295546, 0.322229, 0.390734, 0.447439, 0.471286, 0.493753, 0.516233, 0.549855, 0.591545, 0.636389, 0.670572, 0.707409, 0.739365, 0.780385");
-            values ( \
-              "0.00303434, 0.0110838, 0.0181275, 0.0321111, 0.0769071, 0.103174, 0.111668, 0.116358, 0.106611, 0.0702099, 0.0415776, 0.0195923, 0.0106804, 0.0054902, 0.00301699, 0.00153858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0281329");
-            index_3 ("0.22747, 0.275526, 0.306138, 0.33048, 0.381775, 0.47507, 0.5103, 0.542231, 0.557325, 0.573232, 0.650826, 0.686455, 0.74071, 0.789526, 0.826061, 0.859301, 0.920858, 0.974302, 1.00067");
-            values ( \
-              "0.0056995, 0.0148956, 0.0280218, 0.0429839, 0.0804132, 0.135361, 0.152443, 0.163954, 0.165976, 0.157904, 0.0968911, 0.0725886, 0.0441024, 0.0270021, 0.0183949, 0.0128362, 0.00654414, 0.00355829, 0.00284354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.055621");
-            index_3 ("0.310544, 0.370242, 0.377586, 0.402867, 0.428147, 0.446011, 0.463875, 0.481739, 0.499603, 0.513807, 0.528012, 0.534004, 0.539997, 0.545989, 0.551981, 0.556466, 0.560952, 0.564432, 0.580049, 0.611542, 0.630649, 0.644916, 0.659182, 0.68651, 0.716778, 0.721186, 0.734412, 0.756553, 0.782143, 0.820526, 0.889039, 0.905535, 0.935627, 0.96282, 0.976416, 0.995509, 1.00932, 1.02314, 1.04763, 1.06633, 1.08741, 1.10355, 1.11475, 1.13155, 1.15277, 1.16338, 1.1889, 1.21872, 1.23362, 1.25726");
-            values ( \
-              "0.0719658, 0.0765116, 0.0822168, 0.0985053, 0.116097, 0.129313, 0.143179, 0.157696, 0.172864, 0.182294, 0.19111, 0.193024, 0.194263, 0.194829, 0.19472, 0.197021, 0.196602, 0.19614, 0.193585, 0.188809, 0.185689, 0.183016, 0.180084, 0.173175, 0.165754, 0.164449, 0.15957, 0.150684, 0.13971, 0.122702, 0.0930292, 0.0865466, 0.0753871, 0.0660433, 0.0616349, 0.0558392, 0.0517453, 0.0479072, 0.042072, 0.0380416, 0.0337983, 0.0306975, 0.0286427, 0.0257957, 0.0228792, 0.0215212, 0.0185598, 0.0155532, 0.0142166, 0.0123143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.109967");
-            index_3 ("0.275068, 0.359506, 0.421855, 0.483673, 0.534933, 0.550029, 0.559276, 0.571271, 0.581471, 0.688497, 0.771245, 0.823507, 0.893115, 0.975864, 1.00429, 1.06115, 1.12904, 1.30942, 1.35793, 1.42191, 1.50404, 1.56115, 1.62867, 1.71142, 1.74455, 1.81081, 1.88186, 1.96461, 2.01553, 2.0532, 2.10342, 2.18617, 2.26892, 2.35166, 2.51716, 2.68266, 2.9309");
-            values ( \
-              "0.0217671, 0.0720438, 0.118485, 0.162663, 0.196982, 0.206339, 0.20988, 0.210299, 0.208716, 0.201385, 0.195336, 0.191179, 0.184913, 0.175074, 0.170525, 0.160117, 0.145325, 0.103078, 0.0922721, 0.0787927, 0.0635033, 0.0542065, 0.0445709, 0.0348441, 0.0314562, 0.0256564, 0.0204652, 0.0157306, 0.0133062, 0.0117774, 0.0100265, 0.00760475, 0.005807, 0.00438121, 0.0025121, 0.00143495, 0.000640941" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.523057, 0.608372, 0.62467, 0.641392, 0.663689, 0.716979, 0.74551, 0.769636, 0.791582, 0.812381, 0.832744, 0.853089, 0.8797, 0.896194, 0.922776, 0.933359, 0.955308, 0.972178, 0.991458, 1.03002, 1.08065, 1.21604, 1.24129, 1.25753");
-            values ( \
-              "0.00218284, 0.00342941, 0.00432485, 0.00565362, 0.00851487, 0.0203832, 0.0255708, 0.0288174, 0.030904, 0.0320865, 0.0323548, 0.0303025, 0.0166648, 0.0111005, 0.00564398, 0.00425751, 0.00232975, 0.00145177, 0.000835804, 0.000253184, 6.6238e-05, 2.05374e-05, 0.000730076, 0.000557142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719721");
-            index_3 ("0.522436, 0.591872, 0.639769, 0.684258, 0.737648, 0.772561, 0.802438, 0.829756, 0.855659, 0.880974, 0.906265, 0.938733, 0.960363, 0.987318, 1.00759, 1.02586, 1.05057, 1.07069, 1.11093, 1.21579, 1.23901, 1.26221");
-            values ( \
-              "0.00472558, 0.00480525, 0.00872606, 0.0174149, 0.0331286, 0.0410521, 0.0457653, 0.0490964, 0.0508974, 0.0515951, 0.0468162, 0.0271063, 0.0183519, 0.0106825, 0.00691237, 0.00458661, 0.00261438, 0.00162427, 0.000594388, 5.54999e-05, 0.0012558, 0.00107617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0142295");
-            index_3 ("0.522226, 0.603717, 0.635525, 0.657501, 0.701453, 0.732802, 0.773006, 0.8183, 0.857629, 0.893609, 0.927505, 0.960558, 0.993547, 1.01277, 1.05302, 1.08197, 1.11618, 1.15936, 1.18298, 1.21593, 1.2247, 1.22978, 1.28086, 1.29188");
-            values ( \
-              "0.00679183, 0.00875397, 0.0124375, 0.0160937, 0.0279931, 0.0391061, 0.0514683, 0.0616204, 0.0687571, 0.073652, 0.0773665, 0.0779295, 0.0664825, 0.0539779, 0.0335138, 0.0224004, 0.013326, 0.00653914, 0.00437234, 0.00248717, 0.00429489, 0.0043846, 0.00190573, 0.00162718" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0281329");
-            index_3 ("0.522187, 0.610311, 0.636265, 0.665927, 0.686037, 0.75021, 0.798115, 0.830034, 0.891734, 0.945176, 0.993597, 1.03891, 1.08391, 1.18826, 1.21613, 1.23275, 1.27927, 1.32174, 1.36445, 1.38873, 1.43728, 1.52003, 1.52034");
-            values ( \
-              "0.00953787, 0.0134656, 0.017364, 0.0232141, 0.0284131, 0.0511064, 0.0663565, 0.0749264, 0.0892935, 0.100354, 0.108843, 0.114528, 0.110979, 0.0585845, 0.0462871, 0.0427256, 0.0271165, 0.0173464, 0.010923, 0.00837083, 0.00484128, 0.00179285, 0.00178867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.055621");
-            index_3 ("0.521561, 0.644522, 0.673801, 0.843634, 0.970134, 1.07666, 1.14253, 1.20518, 1.22707, 1.24463, 1.368, 1.45684, 1.53595, 1.62529, 1.72794, 1.79131, 1.87405, 2.00755");
-            values ( \
-              "0.00848025, 0.0241099, 0.0307508, 0.0861637, 0.120624, 0.146009, 0.158235, 0.161791, 0.15774, 0.151181, 0.0974002, 0.0641731, 0.0423039, 0.0253995, 0.0137266, 0.00931692, 0.00555374, 0.0026415" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.109967");
-            index_3 ("0.615298, 0.761008, 0.867521, 0.975685, 1.05358, 1.12292, 1.21554, 1.23637, 1.26441, 1.37659, 1.4054, 1.46302, 1.48788, 1.5376, 1.61258, 1.79309, 1.90566, 1.98768, 2.04462, 2.11219, 2.19494, 2.22814, 2.29453, 2.36553, 2.44827, 2.53674, 2.58688, 2.66963, 2.75238, 2.83512, 3.00062, 3.16612, 3.41436");
-            values ( \
-              "0.0395348, 0.0627505, 0.0982746, 0.131404, 0.154175, 0.173289, 0.196101, 0.19665, 0.194773, 0.18492, 0.181897, 0.174505, 0.170551, 0.161515, 0.145354, 0.103072, 0.078773, 0.063481, 0.0542119, 0.0445917, 0.03484, 0.0314678, 0.0256351, 0.0204709, 0.0157158, 0.0117887, 0.0100213, 0.00761725, 0.00580026, 0.0043922, 0.00252214, 0.00144437, 0.000632631" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.00310662, 0.00316399, 0.00321364, 0.00324915, 0.00327147, 0.00328417", \
-            "0.004057, 0.00412996, 0.00420833, 0.00427228, 0.0043153, 0.00434097", \
-            "0.00481546, 0.00484149, 0.00488838, 0.00494237, 0.00498683, 0.00501662", \
-            "0.00539332, 0.00536401, 0.00533547, 0.00532777, 0.00533607, 0.00534808", \
-            "0.00577791, 0.00572084, 0.00565562, 0.00559144, 0.0055451, 0.00552125", \
-            "0.00602313, 0.00592847, 0.00584649, 0.00577829, 0.00570968, 0.00564865" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.00485981, 0.00491035, 0.00497097, 0.00502133, 0.00505432, 0.00507313", \
-            "0.00565237, 0.00553134, 0.00543326, 0.00536013, 0.00531907, 0.00530479", \
-            "0.00582357, 0.00555537, 0.00532064, 0.00513845, 0.005013, 0.00494821", \
-            "0.00634795, 0.00570736, 0.00527922, 0.00498189, 0.00479042, 0.0046761", \
-            "0.00639338, 0.00643251, 0.00587091, 0.00519543, 0.00484141, 0.00463879", \
-            "0.00605314, 0.00621046, 0.00634312, 0.00614575, 0.00524293, 0.00481592" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0249474, 0.025696, 0.0267242, 0.0283782, 0.0303636, 0.0340571, 0.0362192, 0.041183, 0.0430537, 0.047968, 0.0534922, 0.057742, 0.0631663, 0.0701702, 0.0767938, 0.0859613, 0.0923797");
-            values ( \
-              "-0.163125, -0.187298, -0.195617, -0.201532, -0.205108, -0.206733, -0.205723, -0.198176, -0.190239, -0.15791, -0.110497, -0.0805917, -0.0516151, -0.0276748, -0.0148701, -0.00614991, -0.00344556" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00719721");
-            index_3 ("0.0250444, 0.0266927, 0.0295755, 0.0331063, 0.039265, 0.0432032, 0.050829, 0.0540586, 0.0610146, 0.0715122, 0.0796428, 0.0880129, 0.0957696, 0.107911, 0.122874, 0.12985");
-            values ( \
-              "-0.066565, -0.248518, -0.258333, -0.261416, -0.260013, -0.257121, -0.246841, -0.237335, -0.204625, -0.134233, -0.0890132, -0.0556035, -0.0349065, -0.0162915, -0.00608826, -0.00426499" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0142295");
-            index_3 ("0.0250461, 0.0279423, 0.0305158, 0.0347535, 0.0458944, 0.0605549, 0.0695164, 0.0785454, 0.0839166, 0.0892645, 0.106702, 0.120049, 0.132059, 0.144846, 0.151499, 0.165081, 0.173399, 0.190035, 0.208425");
-            values ( \
-              "-0.0589385, -0.295438, -0.301198, -0.303179, -0.299464, -0.290431, -0.281103, -0.264918, -0.249036, -0.227883, -0.149119, -0.0990288, -0.0659436, -0.0416231, -0.032506, -0.0193928, -0.0140646, -0.00721615, -0.00379775" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0281329");
-            index_3 ("0.0266003, 0.0297526, 0.0325672, 0.0343742, 0.0379684, 0.0575449, 0.0732811, 0.092437, 0.103179, 0.110752, 0.122832, 0.133779, 0.141662, 0.170939, 0.194996, 0.21483, 0.229963, 0.243446, 0.261423, 0.272728, 0.285464, 0.302444, 0.336406, 0.36607");
-            values ( \
-              "-0.314269, -0.32708, -0.329982, -0.330333, -0.330321, -0.324564, -0.319433, -0.311402, -0.305231, -0.299391, -0.286229, -0.267762, -0.249345, -0.169581, -0.113089, -0.078098, -0.057881, -0.0440189, -0.0301848, -0.0237622, -0.0181117, -0.0125259, -0.00579552, -0.00337462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.055621");
-            index_3 ("0.0268833, 0.0293753, 0.0310904, 0.0327586, 0.0356624, 0.0414298, 0.11523, 0.145639, 0.16095, 0.176816, 0.209327, 0.224705, 0.242279, 0.307887, 0.339714, 0.352548, 0.373941, 0.39839, 0.4186, 0.442322, 0.473951, 0.492893, 0.512316, 0.538214, 0.59001, 0.64902, 0.70803, 0.767039");
-            values ( \
-              "-0.331062, -0.341924, -0.344722, -0.345974, -0.346765, -0.346107, -0.332743, -0.325875, -0.321601, -0.316171, -0.29928, -0.286258, -0.265405, -0.166823, -0.125158, -0.110664, -0.089458, -0.0693973, -0.0559503, -0.0432122, -0.0303231, -0.0244804, -0.0196358, -0.0145818, -0.00788051, -0.00386569, -0.00188372, -0.000921856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.109967");
-            index_3 ("0.0269401, 0.0300356, 0.0322804, 0.0381719, 0.0674205, 0.139932, 0.201805, 0.286178, 0.316884, 0.375893, 0.387256, 0.432708, 0.448167, 0.574846, 0.656007, 0.694432, 0.727905, 0.783557, 0.850733, 0.909743, 0.952856, 1.03283, 1.09184, 1.15085, 1.26887, 1.4459");
-            values ( \
-              "-0.340701, -0.352053, -0.354777, -0.355828, -0.351921, -0.345859, -0.339518, -0.32849, -0.323038, -0.307733, -0.303481, -0.279645, -0.268809, -0.167455, -0.113462, -0.093042, -0.077796, -0.0572143, -0.0390193, -0.0276185, -0.021429, -0.0132884, -0.00930264, -0.00649639, -0.00316002, -0.00107032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0382849, 0.0418595, 0.0462076, 0.0509154, 0.0517475, 0.0528093, 0.0548206, 0.058591, 0.0608915, 0.062811, 0.076041, 0.0812048, 0.0883824, 0.0950179, 0.103524, 0.111054, 0.111258");
-            values ( \
-              "-0.000432754, -0.115347, -0.152945, -0.184166, -0.194877, -0.201355, -0.202887, -0.198832, -0.191365, -0.181357, -0.0795191, -0.0519904, -0.0274445, -0.0147248, -0.00652448, -0.00305481, -0.00303211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00719721");
-            index_3 ("0.0391458, 0.0438547, 0.0529452, 0.0558042, 0.0614204, 0.0690472, 0.0745927, 0.079398, 0.0926964, 0.0982361, 0.105578, 0.114342, 0.124181, 0.135447, 0.148322, 0.152519");
-            values ( \
-              "-0.00258697, -0.169836, -0.255298, -0.258827, -0.256545, -0.246552, -0.22868, -0.203555, -0.11631, -0.0872325, -0.0577452, -0.0341472, -0.0184197, -0.00891338, -0.00368591, -0.00330589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0142295");
-            index_3 ("0.0400272, 0.0459722, 0.0525722, 0.0544405, 0.0590004, 0.0703143, 0.0826501, 0.0880079, 0.0970239, 0.107756, 0.12584, 0.138851, 0.149894, 0.163826, 0.180185, 0.204109, 0.221217");
-            values ( \
-              "-0.00912457, -0.221598, -0.29479, -0.299855, -0.301079, -0.296127, -0.287268, -0.281216, -0.264844, -0.227886, -0.146391, -0.0980523, -0.0674756, -0.040871, -0.0220648, -0.00867112, -0.00482359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0281329");
-            index_3 ("0.0412717, 0.047754, 0.0529322, 0.0543345, 0.0586985, 0.0918994, 0.111056, 0.129365, 0.14145, 0.152416, 0.16028, 0.189582, 0.213743, 0.23313, 0.248493, 0.264703, 0.296443, 0.331003, 0.372824");
-            values ( \
-              "-0.0423633, -0.270314, -0.322816, -0.326902, -0.328999, -0.319356, -0.311404, -0.299398, -0.286231, -0.267726, -0.249345, -0.169515, -0.112825, -0.0785792, -0.0579775, -0.0417013, -0.0213641, -0.0100574, -0.00396186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.055621");
-            index_3 ("0.0423389, 0.0513366, 0.0596336, 0.060117, 0.0610839, 0.0630176, 0.0655968, 0.0701124, 0.0746449, 0.0837098, 0.097669, 0.104031, 0.116755, 0.133917, 0.163875, 0.227985, 0.256586, 0.362403, 0.405168, 0.452438, 0.507484, 0.588121, 0.621661");
-            values ( \
-              "-0.117679, -0.327412, -0.348693, -0.342607, -0.348517, -0.342315, -0.347793, -0.341035, -0.346071, -0.338614, -0.342185, -0.335257, -0.338914, -0.329805, -0.328949, -0.299176, -0.271294, -0.120533, -0.0787193, -0.047471, -0.0256263, -0.0100873, -0.00873853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.109967");
-            index_3 ("0.0423108, 0.0569947, 0.059542, 0.21652, 0.305016, 0.335716, 0.394725, 0.406088, 0.421239, 0.45154, 0.466999, 0.593678, 0.674839, 0.713264, 0.746737, 0.802388, 0.869565, 0.928574, 0.971687, 1.05166, 1.11067, 1.16968, 1.2877, 1.46473");
-            values ( \
-              "-0.185432, -0.354333, -0.354839, -0.339971, -0.328488, -0.323039, -0.307732, -0.303479, -0.296872, -0.279644, -0.26881, -0.167454, -0.113461, -0.093043, -0.0777951, -0.0572135, -0.0390186, -0.0276196, -0.0214282, -0.0132877, -0.00930373, -0.00649561, -0.00315918, -0.00107124" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0603218, 0.0832518, 0.0937288, 0.0982442, 0.102586, 0.107104, 0.112571, 0.112688, 0.114124, 0.121944, 0.130324, 0.136908, 0.143824, 0.151729, 0.155586");
-            values ( \
-              "-0.00390846, -0.109806, -0.139751, -0.149832, -0.152998, -0.136702, -0.0990424, -0.1001, -0.0957447, -0.0534618, -0.0254215, -0.0136783, -0.00708556, -0.00314332, -0.00268607" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00719721");
-            index_3 ("0.0623239, 0.081714, 0.0903648, 0.103999, 0.109914, 0.112602, 0.114128, 0.11751, 0.121733, 0.132703, 0.140747, 0.144769, 0.149366, 0.156364, 0.161406, 0.165522, 0.175278, 0.186427, 0.196436");
-            values ( \
-              "-0.00126292, -0.132464, -0.166823, -0.21219, -0.225225, -0.226346, -0.229499, -0.219754, -0.19527, -0.122356, -0.080465, -0.0642692, -0.0490697, -0.0321387, -0.0234647, -0.018076, -0.00967341, -0.0045487, -0.00263589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0142295");
-            index_3 ("0.0635944, 0.0892391, 0.11389, 0.115326, 0.116815, 0.122833, 0.128951, 0.13515, 0.138332, 0.143126, 0.148684, 0.166055, 0.179434, 0.191666, 0.204161, 0.21085, 0.2203, 0.230166, 0.237728, 0.252852, 0.27281");
-            values ( \
-              "-0.0098411, -0.19003, -0.287992, -0.291517, -0.291547, -0.287911, -0.28128, -0.271006, -0.263635, -0.249848, -0.227889, -0.149426, -0.0991529, -0.0655215, -0.0417886, -0.0325961, -0.0227613, -0.0156143, -0.0116478, -0.00636252, -0.00314546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0281329");
-            index_3 ("0.0638566, 0.0985678, 0.11442, 0.116839, 0.124907, 0.138508, 0.149127, 0.165201, 0.1762, 0.182702, 0.195705, 0.201525, 0.235696, 0.250201, 0.258612, 0.271819, 0.286913, 0.302797, 0.314209, 0.330671, 0.337598, 0.351452, 0.379161, 0.425681, 0.480628");
-            values ( \
-              "-0.0165455, -0.251823, -0.319432, -0.324159, -0.322242, -0.317446, -0.312957, -0.303847, -0.293949, -0.286125, -0.263314, -0.249385, -0.156904, -0.122851, -0.105646, -0.0825359, -0.0613326, -0.0444875, -0.0351192, -0.02477, -0.0213882, -0.01587, -0.00857685, -0.00280385, -0.000704285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.055621");
-            index_3 ("0.0639235, 0.0996916, 0.114208, 0.116012, 0.118044, 0.125909, 0.175259, 0.211893, 0.243998, 0.269327, 0.295301, 0.304857, 0.368832, 0.411955, 0.437362, 0.466399, 0.493587, 0.51311, 0.546147, 0.579648, 0.605606, 0.657521, 0.716531, 0.83455");
-            values ( \
-              "-0.0106003, -0.269512, -0.337039, -0.341823, -0.342191, -0.341592, -0.332726, -0.324216, -0.31323, -0.299193, -0.274521, -0.261941, -0.165577, -0.11142, -0.0864879, -0.0637772, -0.0475864, -0.0383753, -0.0264244, -0.0180666, -0.013396, -0.00722538, -0.0035396, -0.000842365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.109967");
-            index_3 ("0.0761419, 0.0957808, 0.113937, 0.115286, 0.116841, 0.119953, 0.200282, 0.24357, 0.286969, 0.316886, 0.375896, 0.385353, 0.417616, 0.440049, 0.484917, 0.50851, 0.631695, 0.68357, 0.714661, 0.735582, 0.763476, 0.819265, 0.843547, 0.871887, 0.909674, 0.968684, 0.999816, 1.04199, 1.09822, 1.15723, 1.21624, 1.27525, 1.39327, 1.51129");
-            values ( \
-              "-0.147941, -0.255605, -0.346278, -0.35104, -0.352199, -0.35274, -0.345743, -0.341502, -0.336671, -0.332853, -0.323357, -0.321373, -0.313489, -0.306293, -0.284853, -0.268821, -0.170113, -0.133551, -0.114481, -0.10288, -0.0888886, -0.0655885, -0.0573085, -0.0488526, -0.0393401, -0.0278488, -0.0231944, -0.0180713, -0.0128887, -0.00902108, -0.00629924, -0.00439794, -0.00213741, -0.00103752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.113201, 0.123469, 0.130722, 0.138538, 0.149614, 0.158495, 0.173329, 0.179899, 0.186298, 0.193258, 0.205721, 0.211843, 0.218687, 0.224158, 0.234043, 0.249019, 0.253158, 0.255342");
-            values ( \
-              "-0.00330039, -0.0222776, -0.0331112, -0.0507114, -0.0679748, -0.0797791, -0.0970132, -0.102211, -0.103125, -0.0856031, -0.0395818, -0.0247765, -0.0142865, -0.00880262, -0.00370493, -0.000928344, -0.00341534, -0.00338531" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00719721");
-            index_3 ("0.115919, 0.125176, 0.127921, 0.133411, 0.142785, 0.146178, 0.152966, 0.160372, 0.171825, 0.181785, 0.190814, 0.199249, 0.207577, 0.215885, 0.216931, 0.219023, 0.229019, 0.232254, 0.237905, 0.242549, 0.246649, 0.249592, 0.252137, 0.255167, 0.258232, 0.26358, 0.270712, 0.271458");
-            values ( \
-              "-0.0237615, -0.0325845, -0.0363403, -0.0471183, -0.0710768, -0.0780488, -0.0911744, -0.103853, -0.122648, -0.137468, -0.149546, -0.157359, -0.154487, -0.125928, -0.12323, -0.113334, -0.0731621, -0.0626195, -0.046376, -0.0357527, -0.0282053, -0.0236693, -0.0241025, -0.0220474, -0.0183217, -0.0131901, -0.00830456, -0.00801361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0142295");
-            index_3 ("0.123666, 0.131987, 0.15416, 0.191483, 0.204756, 0.216821, 0.228213, 0.240204, 0.253272, 0.268155, 0.277996, 0.290189, 0.302579, 0.312015, 0.321829, 0.329345, 0.344379, 0.361674");
-            values ( \
-              "-0.040973, -0.0543564, -0.11011, -0.181974, -0.203843, -0.220817, -0.228978, -0.212101, -0.168063, -0.109212, -0.0789414, -0.051383, -0.0325578, -0.0227588, -0.0156418, -0.0116838, -0.00641187, -0.00359434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0281329");
-            index_3 ("0.124516, 0.143174, 0.157225, 0.174529, 0.200738, 0.221854, 0.240265, 0.248932, 0.252796, 0.257138, 0.2723, 0.285154, 0.293073, 0.330246, 0.346143, 0.366885, 0.381549, 0.394535, 0.41185, 0.423832, 0.437216, 0.455061, 0.490752, 0.518341");
-            values ( \
-              "-0.0191727, -0.0895098, -0.126946, -0.166112, -0.220372, -0.259795, -0.290209, -0.301399, -0.304746, -0.303646, -0.288871, -0.2679, -0.249311, -0.149493, -0.113654, -0.077127, -0.0576999, -0.0443294, -0.0308286, -0.0239373, -0.0179946, -0.0121955, -0.00540912, -0.00329436" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.055621");
-            index_3 ("0.124467, 0.153974, 0.174131, 0.198901, 0.221074, 0.236205, 0.248882, 0.254111, 0.260467, 0.266811, 0.279499, 0.297169, 0.312516, 0.328404, 0.359817, 0.367501, 0.376283, 0.393846, 0.458932, 0.490942, 0.50403, 0.526054, 0.551224, 0.570236, 0.592535, 0.622266, 0.643478, 0.665091, 0.69391, 0.751546, 0.810556, 0.869565, 0.928575");
-            values ( \
-              "-0.0121217, -0.12369, -0.174054, -0.22984, -0.276364, -0.305917, -0.329021, -0.333887, -0.334556, -0.332622, -0.33026, -0.325963, -0.321551, -0.316216, -0.30004, -0.29422, -0.286269, -0.265434, -0.167599, -0.125583, -0.110779, -0.0889809, -0.068485, -0.0559183, -0.0438701, -0.0314726, -0.0247677, -0.0193769, -0.0139021, -0.00696817, -0.0034139, -0.00166442, -0.000812742" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.109967");
-            index_3 ("0.124382, 0.168244, 0.19794, 0.233986, 0.248798, 0.254494, 0.26225, 0.269297, 0.314415, 0.407503, 0.465131, 0.490369, 0.519212, 0.556248, 0.599909, 0.704396, 0.731329, 0.785195, 0.823473, 0.877908, 0.922963, 0.960615, 1.00368, 1.06269, 1.09154, 1.13002, 1.18134, 1.24035, 1.29936, 1.35837, 1.47639, 1.59441");
-            values ( \
-              "-0.015793, -0.164758, -0.235398, -0.313351, -0.34227, -0.347896, -0.34905, -0.347596, -0.343716, -0.333102, -0.32375, -0.31834, -0.310479, -0.295897, -0.26888, -0.184666, -0.164091, -0.127135, -0.104847, -0.078634, -0.0613673, -0.0496733, -0.0388017, -0.0274598, -0.0231785, -0.0184593, -0.0135719, -0.00949988, -0.00663712, -0.00463228, -0.00225096, -0.00109198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.207937, 0.217166, 0.231644, 0.238007, 0.246448, 0.250822, 0.259571, 0.275468, 0.285967, 0.300461, 0.312865, 0.323983, 0.334247, 0.344058, 0.353852, 0.371864, 0.380464, 0.385987, 0.390626, 0.398454, 0.408892, 0.424309, 0.443053, 0.462924");
-            values ( \
-              "-0.0040047, -0.00476572, -0.00790224, -0.009846, -0.0129488, -0.0149675, -0.020549, -0.0337822, -0.0409604, -0.0494975, -0.0562957, -0.0615833, -0.0658466, -0.0680536, -0.0643467, -0.0293696, -0.0170061, -0.0115761, -0.00830328, -0.00467696, -0.00209491, -0.000637868, -0.000223705, -0.000186179" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00719721");
-            index_3 ("0.216247, 0.221343, 0.238301, 0.245079, 0.254118, 0.259072, 0.267246, 0.277326, 0.288755, 0.300829, 0.318931, 0.334477, 0.34843, 0.361326, 0.373697, 0.386042, 0.405337, 0.409951, 0.417812, 0.421537, 0.428985, 0.43477, 0.443887, 0.456045, 0.476117, 0.500029, 0.550382, 0.559992");
-            values ( \
-              "-0.00811015, -0.00894516, -0.0148034, -0.0181301, -0.0233019, -0.0268579, -0.0341669, -0.0447483, -0.055211, -0.0648667, -0.0782404, -0.0886295, -0.0970906, -0.103442, -0.106554, -0.0974326, -0.0535348, -0.0440547, -0.0306632, -0.0255574, -0.0174526, -0.0128589, -0.00785527, -0.00394311, -0.00115073, -0.000299629, -6.31159e-05, -0.00144055" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0142295");
-            index_3 ("0.221247, 0.251021, 0.263104, 0.275188, 0.294114, 0.314337, 0.344712, 0.355162, 0.368597, 0.386992, 0.404006, 0.420462, 0.43797, 0.461917, 0.472339, 0.483673, 0.488978, 0.499587, 0.51282, 0.521753, 0.539618, 0.550149, 0.557934, 0.567554, 0.576379, 0.594028, 0.611149");
-            values ( \
-              "-0.00586233, -0.0285727, -0.0370356, -0.0491395, -0.0703504, -0.0893027, -0.114891, -0.123114, -0.133206, -0.145725, -0.154897, -0.157283, -0.135231, -0.0790995, -0.0586482, -0.0410913, -0.0347093, -0.0239728, -0.0149944, -0.0109762, -0.00544254, -0.00378164, -0.0051279, -0.00432441, -0.00275266, -0.00147394, -0.000629913" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0281329");
-            index_3 ("0.232823, 0.260081, 0.266124, 0.275758, 0.291907, 0.320412, 0.361352, 0.393562, 0.421286, 0.446237, 0.469493, 0.492484, 0.515425, 0.518285, 0.524007, 0.535449, 0.550719, 0.555052, 0.555909, 0.557621, 0.561046, 0.567896, 0.576201, 0.587078, 0.589308, 0.593769, 0.602689, 0.620529, 0.629454, 0.632525, 0.638668, 0.650953, 0.675522, 0.698799");
-            values ( \
-              "-0.0225905, -0.0407129, -0.0461483, -0.054961, -0.0728358, -0.104825, -0.142649, -0.172031, -0.193736, -0.212217, -0.222949, -0.218508, -0.178827, -0.17437, -0.161261, -0.137686, -0.106849, -0.102093, -0.100901, -0.0982162, -0.092394, -0.0812409, -0.0691192, -0.0556585, -0.053198, -0.0486128, -0.0404606, -0.0277893, -0.0229879, -0.021549, -0.0188914, -0.0145095, -0.00841923, -0.00561248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.055621");
-            index_3 ("0.219619, 0.39972, 0.413901, 0.45842, 0.497018, 0.531944, 0.550183, 0.556952, 0.565043, 0.573026, 0.585973, 0.600539, 0.671228, 0.702043, 0.711569, 0.73461, 0.760944, 0.789916, 0.810267, 0.84237, 0.87431, 0.898788, 0.947745, 1.00675, 1.06576, 1.12477");
-            values ( \
-              "-0.000642522, -0.190718, -0.204536, -0.244613, -0.275377, -0.298095, -0.304646, -0.303962, -0.299381, -0.293086, -0.28042, -0.261962, -0.15634, -0.117566, -0.107211, -0.0851411, -0.0645752, -0.0472675, -0.0377846, -0.0262737, -0.0182856, -0.0138122, -0.00772166, -0.00379771, -0.00184033, -0.000910865" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.109967");
-            index_3 ("0.269632, 0.34418, 0.410541, 0.46164, 0.490185, 0.547276, 0.553403, 0.560046, 0.623432, 0.672569, 0.725922, 0.754445, 0.792477, 0.803869, 0.919728, 0.978738, 1.00933, 1.06177, 1.12024, 1.14603, 1.18902, 1.24634, 1.29386, 1.31711, 1.36361, 1.42262, 1.48163, 1.54064, 1.59965, 1.71767, 1.83569");
-            values ( \
-              "-0.079363, -0.140171, -0.209578, -0.260111, -0.28634, -0.33499, -0.339123, -0.339592, -0.331299, -0.323052, -0.309595, -0.298583, -0.276874, -0.268836, -0.175664, -0.133582, -0.114829, -0.0874413, -0.063532, -0.054997, -0.0430899, -0.0308831, -0.0233659, -0.0203475, -0.0154348, -0.010794, -0.00756357, -0.005263, -0.00368796, -0.00179581, -0.000875768" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.413039, 0.456863, 0.472752, 0.485124, 0.501298, 0.50944, 0.525725, 0.541509, 0.565596, 0.589871, 0.60831, 0.638395, 0.655221, 0.662213, 0.671164, 0.686974, 0.698835, 0.712948, 0.72137, 0.733099, 0.741518, 0.746457, 0.754779, 0.765875, 0.788068, 0.816757, 0.85566, 0.881902");
-            values ( \
-              "-0.00128149, -0.00282013, -0.00383177, -0.00475027, -0.00623757, -0.00724069, -0.0098523, -0.0141102, -0.0214927, -0.0276088, -0.0317643, -0.0376349, -0.0402451, -0.0410959, -0.0418393, -0.0410817, -0.0339508, -0.0206736, -0.0140606, -0.00781777, -0.0050187, -0.00391959, -0.00262873, -0.00162185, -0.000726799, -0.000393636, -0.000175737, -0.000141679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719721");
-            index_3 ("0.418964, 0.454705, 0.475131, 0.495376, 0.520654, 0.552738, 0.57471, 0.596389, 0.629707, 0.672787, 0.69309, 0.712404, 0.731543, 0.74588, 0.773355, 0.787077, 0.798517, 0.806307, 0.821886, 0.847071, 0.86398");
-            values ( \
-              "-0.00247104, -0.00450297, -0.00646361, -0.00894143, -0.0131457, -0.0226314, -0.031795, -0.0393421, -0.0498017, -0.0614233, -0.0658955, -0.0685943, -0.0669837, -0.0546778, -0.024021, -0.013973, -0.00866073, -0.00641282, -0.00309074, -0.00115487, -0.000653393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0142295");
-            index_3 ("0.452749, 0.496166, 0.516671, 0.538976, 0.570378, 0.579711, 0.608205, 0.667238, 0.698937, 0.72719, 0.753183, 0.777973, 0.802716, 0.810943, 0.819301, 0.837813, 0.856299, 0.865162, 0.87698, 0.887309, 0.901838, 0.92121, 0.955233, 0.99496, 1.04486, 1.21588, 1.23758");
-            values ( \
-              "-0.0104023, -0.0132119, -0.0174707, -0.0233497, -0.0357105, -0.0403365, -0.0528155, -0.0755534, -0.0862792, -0.095031, -0.101597, -0.105583, -0.100551, -0.093034, -0.0833159, -0.0592633, -0.038225, -0.0302505, -0.021709, -0.0160334, -0.0103293, -0.00556779, -0.00167078, -0.000422379, -9.94161e-05, -3.01959e-05, -0.000837874" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0281329");
-            index_3 ("0.451929, 0.518347, 0.556037, 0.681834, 0.731333, 0.773606, 0.811415, 0.846305, 0.879785, 0.914338, 0.980688, 1.00709, 1.02106, 1.04076, 1.06227, 1.07896, 1.11235, 1.16942, 1.21585, 1.23691, 1.26605");
-            values ( \
-              "-0.00750559, -0.0230184, -0.0350712, -0.0923509, -0.112189, -0.127718, -0.14016, -0.149557, -0.153803, -0.13848, -0.0617627, -0.0396779, -0.0309081, -0.0213784, -0.0141621, -0.0101892, -0.00509331, -0.00134337, -0.000479557, -0.0014774, -0.000973562" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.055621");
-            index_3 ("0.451731, 0.570421, 0.608247, 0.65619, 0.705583, 0.759847, 0.844407, 0.898582, 0.937019, 0.973621, 0.985145, 1.00819, 1.03168, 1.07824, 1.14373, 1.18064, 1.21592, 1.27065, 1.31304, 1.33751, 1.38645, 1.44546, 1.50447, 1.56348");
-            values ( \
-              "-0.00259562, -0.0458981, -0.0629272, -0.0873628, -0.110428, -0.134186, -0.168871, -0.188998, -0.20172, -0.211685, -0.213931, -0.21658, -0.213779, -0.181473, -0.112853, -0.080486, -0.0564283, -0.0320219, -0.0198505, -0.0149716, -0.00840475, -0.0041111, -0.0020167, -0.000974714" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.109967");
-            index_3 ("0.510555, 0.591461, 0.721612, 0.811752, 0.937713, 1.01063, 1.047, 1.10601, 1.11962, 1.14684, 1.18776, 1.21586, 1.23267, 1.26815, 1.34104, 1.38737, 1.44559, 1.4704, 1.49708, 1.53265, 1.57892, 1.61891, 1.66143, 1.72044, 1.74832, 1.78528, 1.83456, 1.89357, 1.95258, 2.01159, 2.12961, 2.24763");
-            values ( \
-              "-0.0389192, -0.0589533, -0.122827, -0.164501, -0.219038, -0.24792, -0.261172, -0.280328, -0.284023, -0.290491, -0.295284, -0.292539, -0.285221, -0.260407, -0.200824, -0.164677, -0.124871, -0.110254, -0.0960674, -0.079509, -0.0616308, -0.0492297, -0.0385882, -0.0272919, -0.0231669, -0.0186176, -0.0138716, -0.00969985, -0.00678832, -0.00472733, -0.00229421, -0.00110997" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.00305685, 0.00308879, 0.00311864, 0.00314087, 0.00315495, 0.00316298", \
-            "0.00372333, 0.003742, 0.00376475, 0.00378549, 0.00380026, 0.00380949", \
-            "0.00416218, 0.00416502, 0.00417196, 0.0041823, 0.00419246, 0.00419989", \
-            "0.00442751, 0.00442393, 0.00442011, 0.00441725, 0.00441858, 0.00442132", \
-            "0.0045655, 0.00455822, 0.0045484, 0.00453843, 0.00453082, 0.0045268", \
-            "0.00463704, 0.00462942, 0.00461886, 0.00460656, 0.00459349, 0.00458313" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.00434568, 0.0043635, 0.00438261, 0.00440908, 0.00441706, 0.00442333", \
-            "0.00482322, 0.00480084, 0.00478495, 0.00477532, 0.00476891, 0.00476452", \
-            "0.00500104, 0.00492154, 0.00485939, 0.00480997, 0.00478415, 0.0047658", \
-            "0.00526856, 0.00505193, 0.00488594, 0.00477731, 0.00470823, 0.00466595", \
-            "0.00597373, 0.00550761, 0.00515231, 0.00490336, 0.0047485, 0.0046619", \
-            "0.00725292, 0.00684209, 0.00577545, 0.00526485, 0.00494597, 0.00475664" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : positive_unate;
-        timing_type : three_state_disable;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.0253651, 0.0253831, 0.0253968, 0.0254026, 0.0254048, 0.0254054", \
-            "0.02995, 0.0299649, 0.0299809, 0.0299877, 0.0299591, 0.0299604", \
-            "0.0377123, 0.0377127, 0.0377129, 0.0377129, 0.0377128, 0.0377127", \
-            "0.0786523, 0.078653, 0.0786531, 0.0786529, 0.0786528, 0.0786527", \
-            "0.170655, 0.170655, 0.170655, 0.170655, 0.170655, 0.170652", \
-            "0.374048, 0.374049, 0.374049, 0.374049, 0.37405, 0.37405" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.0253651, 0.0253831, 0.0253968, 0.0254026, 0.0254048, 0.0254054", \
-            "0.02995, 0.0299649, 0.0299809, 0.0299877, 0.0299591, 0.0299604", \
-            "0.0377123, 0.0377127, 0.0377129, 0.0377129, 0.0377128, 0.0377127", \
-            "0.0786523, 0.078653, 0.0786531, 0.0786529, 0.0786528, 0.0786527", \
-            "0.170655, 0.170655, 0.170655, 0.170655, 0.170655, 0.170652", \
-            "0.374048, 0.374049, 0.374049, 0.374049, 0.37405, 0.37405" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.0251975, 0.0251981, 0.0251992, 0.025201, 0.0252019, 0.0252025", \
-            "0.029657, 0.0296558, 0.0296575, 0.0296607, 0.0296634, 0.0296651", \
-            "0.0376978, 0.0376918, 0.0376849, 0.0376785, 0.0376744, 0.0376724", \
-            "0.0786083, 0.0785936, 0.0785919, 0.0785898, 0.0785877, 0.0785866", \
-            "0.17064, 0.170638, 0.170637, 0.170635, 0.170634, 0.170633", \
-            "0.374032, 0.374031, 0.37403, 0.374029, 0.374029, 0.374029" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.0251975, 0.0251981, 0.0251992, 0.025201, 0.0252019, 0.0252025", \
-            "0.029657, 0.0296558, 0.0296575, 0.0296607, 0.0296634, 0.0296651", \
-            "0.0376978, 0.0376918, 0.0376849, 0.0376785, 0.0376744, 0.0376724", \
-            "0.0786083, 0.0785936, 0.0785919, 0.0785898, 0.0785877, 0.0785866", \
-            "0.17064, 0.170638, 0.170637, 0.170635, 0.170634, 0.170633", \
-            "0.374032, 0.374031, 0.37403, 0.374029, 0.374029, 0.374029" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.00581547, 0.00581577, 0.00581612, 0.00581641, 0.00581661, 0.00581672", \
-            "0.007475, 0.00747508, 0.00747526, 0.00747546, 0.00747563, 0.00747575", \
-            "0.00860566, 0.00860575, 0.00860587, 0.00860601, 0.00860616, 0.00860627", \
-            "0.0093287, 0.00932893, 0.00932917, 0.00932932, 0.00932948, 0.00932962", \
-            "0.00974896, 0.00974889, 0.00974886, 0.00974892, 0.00974903, 0.00974916", \
-            "0.0101159, 0.0101157, 0.0101154, 0.0101151, 0.0101151, 0.0101151" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.00853403, 0.00853445, 0.00853562, 0.00853623, 0.0085367, 0.008537", \
-            "0.00905205, 0.00905215, 0.00905248, 0.00905284, 0.00905314, 0.0090534", \
-            "0.00920912, 0.00920669, 0.00920461, 0.00920322, 0.00920245, 0.00920209", \
-            "0.00929771, 0.00929709, 0.00929651, 0.00929611, 0.00929575, 0.00929547", \
-            "0.00881136, 0.00881136, 0.00881151, 0.00881142, 0.00881127, 0.00881075", \
-            "0.00831028, 0.00831072, 0.00831121, 0.00831157, 0.0083117, 0.00831162" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00489568", \
-            "0.00584099", \
-            "0.00637551", \
-            "0.00667712", \
-            "0.00683408", \
-            "0.0069193" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00735566", \
-            "0.00823306", \
-            "0.00903199", \
-            "0.00999428", \
-            "0.0111065", \
-            "0.011262" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : negative_unate;
-        timing_type : three_state_enable;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.0558376, 0.0716054, 0.102192, 0.16238, 0.281296, 0.516247", \
-            "0.0624992, 0.0782659, 0.108852, 0.169038, 0.287953, 0.52293", \
-            "0.0763738, 0.0922603, 0.122855, 0.183038, 0.301943, 0.536915", \
-            "0.101396, 0.120448, 0.15268, 0.212986, 0.331903, 0.566759", \
-            "0.139431, 0.166534, 0.208884, 0.277444, 0.397477, 0.632824", \
-            "0.186365, 0.231058, 0.296042, 0.391071, 0.53649, 0.777373" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.043238, 0.0647979, 0.107635, 0.192603, 0.360625, 0.692734", \
-            "0.0433116, 0.0648246, 0.107638, 0.192624, 0.360624, 0.69278", \
-            "0.0449587, 0.0655503, 0.107764, 0.19264, 0.360623, 0.692779", \
-            "0.0556047, 0.0735092, 0.11187, 0.193257, 0.360766, 0.692745", \
-            "0.0816889, 0.100781, 0.136381, 0.207414, 0.364217, 0.69276", \
-            "0.137595, 0.159364, 0.197969, 0.268869, 0.405409, 0.705681" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.0497806, 0.0592492, 0.0775591, 0.113445, 0.184261, 0.324241", \
-            "0.0562839, 0.0657664, 0.0840794, 0.119961, 0.190796, 0.330765", \
-            "0.0687311, 0.0783566, 0.0967383, 0.132656, 0.203511, 0.34348", \
-            "0.0874735, 0.097452, 0.11624, 0.152507, 0.223398, 0.363401", \
-            "0.11831, 0.128982, 0.148216, 0.184684, 0.255954, 0.39628", \
-            "0.172795, 0.185138, 0.205856, 0.243043, 0.314555, 0.454909" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.0231745, 0.0351944, 0.0593242, 0.107459, 0.203046, 0.392187", \
-            "0.0232134, 0.0352203, 0.0593348, 0.107505, 0.203048, 0.392187", \
-            "0.0238614, 0.0356695, 0.05951, 0.10752, 0.203076, 0.392188", \
-            "0.0251412, 0.0367686, 0.0604289, 0.108037, 0.203225, 0.392211", \
-            "0.027815, 0.0388081, 0.0615534, 0.108723, 0.203761, 0.392618", \
-            "0.0332208, 0.0436771, 0.0650084, 0.110201, 0.204157, 0.393463" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0349787, 0.0396862, 0.0408735, 0.0441668, 0.0470451, 0.0500617, 0.0544774, 0.0582459, 0.0614425, 0.0671849, 0.069838, 0.0737617, 0.0843412, 0.0896968, 0.0966382, 0.101068, 0.109927, 0.124395, 0.134503, 0.146448, 0.16774, 0.192634, 0.204634");
-            values ( \
-              "0.00995664, 0.0847528, 0.0920312, 0.105638, 0.112013, 0.115019, 0.116197, 0.115099, 0.113239, 0.108282, 0.104311, 0.0954731, 0.0627413, 0.0506921, 0.039528, 0.033864, 0.0250247, 0.0154994, 0.0110399, 0.00736452, 0.00356441, 0.00149318, 0.00108614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00719721");
-            index_3 ("0.0365562, 0.0409792, 0.0455599, 0.0512396, 0.0564832, 0.0615116, 0.065117, 0.0723279, 0.0771313, 0.0830601, 0.0922756, 0.109683, 0.119264, 0.125201, 0.136997, 0.146546, 0.161856, 0.172513, 0.182408, 0.195602, 0.209919, 0.233182, 0.264199, 0.304874, 0.355496");
-            values ( \
-              "0.0713331, 0.115226, 0.137264, 0.147512, 0.150039, 0.149979, 0.149045, 0.14623, 0.143217, 0.138125, 0.12524, 0.0841605, 0.0666414, 0.0582021, 0.0440454, 0.0349909, 0.0239995, 0.0183142, 0.0142161, 0.0100537, 0.00689457, 0.00369322, 0.00153663, 0.000442277, 9.27406e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0142295");
-            index_3 ("0.038132, 0.0535702, 0.0575171, 0.0620278, 0.0686583, 0.0758841, 0.0831884, 0.0938251, 0.113692, 0.129484, 0.160481, 0.173881, 0.1915, 0.210779, 0.220858, 0.237095, 0.253232, 0.267483, 0.286484, 0.30226, 0.32353, 0.351889, 0.405893, 0.468726");
-            values ( \
-              "0.145554, 0.173207, 0.175697, 0.177105, 0.177538, 0.176625, 0.174661, 0.170593, 0.159836, 0.145782, 0.100986, 0.0843306, 0.0657884, 0.0490473, 0.0419106, 0.0321562, 0.0244866, 0.0191656, 0.0136849, 0.0103381, 0.00704803, 0.00415265, 0.00141813, 0.000370758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0281329");
-            index_3 ("0.0397148, 0.0577593, 0.0660681, 0.0814548, 0.104614, 0.150602, 0.173932, 0.199753, 0.213952, 0.279608, 0.314878, 0.345843, 0.363628, 0.3991, 0.420327, 0.444302, 0.476267, 0.518721, 0.547326, 0.604537, 0.686788, 0.76904");
-            values ( \
-              "0.190266, 0.192435, 0.195904, 0.196881, 0.192854, 0.180828, 0.173192, 0.160853, 0.150975, 0.0985645, 0.0744445, 0.0563486, 0.0477302, 0.0336314, 0.0270773, 0.021077, 0.0150396, 0.00941562, 0.00694145, 0.0035425, 0.0013756, 0.000450975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.055621");
-            index_3 ("0.0416122, 0.0416322, 0.137216, 0.187164, 0.238904, 0.291136, 0.317743, 0.346124, 0.376265, 0.518626, 0.558767, 0.610127, 0.655208, 0.688414, 0.731178, 0.765919, 0.808096, 0.867517, 0.929626, 0.977244, 1.0595, 1.14175, 1.224, 1.3885");
-            values ( \
-              "1e-22, 0.215274, 0.20384, 0.197252, 0.18987, 0.181115, 0.175341, 0.167419, 0.156513, 0.0951258, 0.0793644, 0.0616115, 0.0486752, 0.0405881, 0.0318834, 0.0260942, 0.0203434, 0.0142077, 0.00973665, 0.0072532, 0.00431256, 0.00255391, 0.00151003, 0.000526027" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.109967");
-            index_3 ("0.0476316, 0.0476516, 0.22069, 0.353155, 0.485158, 0.52792, 0.610172, 0.696375, 1.05668, 1.19594, 1.26346, 1.37933, 1.51726, 1.59952, 1.68784, 1.82002, 1.98452, 2.14902, 2.20423");
-            values ( \
-              "1e-22, 0.235111, 0.208472, 0.199263, 0.188886, 0.184905, 0.175144, 0.160024, 0.0788077, 0.0541922, 0.0445845, 0.0314717, 0.0204393, 0.0157099, 0.0117989, 0.00764449, 0.00442598, 0.00255352, 0.00229612" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0549161, 0.0599058, 0.0611859, 0.063146, 0.0646087, 0.0675342, 0.0706227, 0.0751071, 0.0788275, 0.0820701, 0.0878266, 0.0903817, 0.0931071, 0.0943985, 0.101562, 0.10676, 0.1127, 0.116556, 0.121696, 0.130888, 0.138792, 0.144458, 0.152633, 0.160127, 0.170118, 0.182187, 0.18975, 0.204876, 0.233055, 0.266363");
-            values ( \
-              "0.0088778, 0.079646, 0.0875732, 0.0973944, 0.102521, 0.109824, 0.113605, 0.115519, 0.114758, 0.113019, 0.108217, 0.104425, 0.0989357, 0.0954554, 0.072294, 0.058438, 0.0463134, 0.0404593, 0.0338864, 0.0247053, 0.0190858, 0.0158202, 0.0119945, 0.00932351, 0.00664623, 0.00440595, 0.00337701, 0.00200337, 0.000679441, 0.00019926" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00719721");
-            index_3 ("0.0564925, 0.0617673, 0.0660135, 0.0719114, 0.0770747, 0.0812128, 0.0857312, 0.0931808, 0.0972219, 0.10261, 0.105753, 0.109446, 0.113527, 0.131597, 0.138699, 0.142697, 0.153144, 0.165084, 0.174348, 0.180742, 0.192726, 0.205723, 0.214489, 0.22669, 0.240808, 0.259632, 0.296444, 0.339041");
-            values ( \
-              "0.0627555, 0.113251, 0.134112, 0.146277, 0.149451, 0.149791, 0.148897, 0.14609, 0.143573, 0.139142, 0.135828, 0.130861, 0.123346, 0.0815937, 0.0687197, 0.0626516, 0.0489888, 0.0367106, 0.0293657, 0.0250596, 0.0184796, 0.0132512, 0.0105422, 0.00763751, 0.00525359, 0.00314906, 0.00107027, 0.000292303" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0142295");
-            index_3 ("0.0585885, 0.0670183, 0.0704062, 0.0742927, 0.0809445, 0.0893004, 0.0982664, 0.114945, 0.126309, 0.134332, 0.150125, 0.181132, 0.194523, 0.212131, 0.231413, 0.238792, 0.257755, 0.273877, 0.288113, 0.307095, 0.322891, 0.344189, 0.372586, 0.425503, 0.488551");
-            values ( \
-              "0.147049, 0.156974, 0.166142, 0.172199, 0.176333, 0.177468, 0.176209, 0.170384, 0.164745, 0.159817, 0.145799, 0.100998, 0.0843021, 0.0658282, 0.0490205, 0.0437244, 0.032179, 0.0244513, 0.0191355, 0.0137256, 0.0103065, 0.00701227, 0.00418149, 0.00142071, 0.000411362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0281329");
-            index_3 ("0.0602364, 0.0782407, 0.0866501, 0.101995, 0.125091, 0.172023, 0.194519, 0.217565, 0.24003, 0.296648, 0.343316, 0.388479, 0.41454, 0.440578, 0.473397, 0.509336, 0.533775, 0.581712, 0.645629, 0.696423");
-            values ( \
-              "0.190085, 0.191666, 0.195723, 0.196846, 0.192852, 0.18056, 0.173165, 0.162451, 0.146776, 0.10099, 0.0694983, 0.0459149, 0.0354587, 0.0272049, 0.0192826, 0.0131014, 0.0100252, 0.00589892, 0.00283395, 0.00172208" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.055621");
-            index_3 ("0.0622958, 0.0623158, 0.191008, 0.259472, 0.311705, 0.338314, 0.366697, 0.396808, 0.537547, 0.62967, 0.679637, 0.71147, 0.780205, 0.816883, 0.883561, 0.959897, 1.02102, 1.10327, 1.18552, 1.22677");
-            values ( \
-              "1e-22, 0.215888, 0.199472, 0.189852, 0.181134, 0.17534, 0.167418, 0.156523, 0.0958063, 0.0619225, 0.0476686, 0.0400294, 0.0270673, 0.0218222, 0.0145867, 0.00916331, 0.00626262, 0.00371954, 0.00220064, 0.00190853" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.109967");
-            index_3 ("0.0686726, 0.0686926, 0.24148, 0.37548, 0.444128, 0.52638, 0.593299, 0.659744, 0.741996, 0.850789, 0.964667, 1.07688, 1.15914, 1.21763, 1.2848, 1.36705, 1.40012, 1.46625, 1.53734, 1.61959, 1.67097, 1.70893, 1.8418, 2.0063, 2.1708, 2.33531, 2.58206");
-            values ( \
-              "1e-22, 0.23585, 0.208516, 0.19919, 0.193929, 0.187106, 0.180106, 0.170568, 0.154855, 0.129988, 0.103135, 0.078892, 0.0636027, 0.0540887, 0.0444741, 0.034862, 0.0314311, 0.0256927, 0.0204443, 0.0157876, 0.0132844, 0.0117409, 0.0075725, 0.00436387, 0.00249706, 0.00141747, 0.000682889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0954858, 0.099429, 0.102178, 0.106532, 0.113827, 0.115185, 0.116555, 0.120373, 0.123556, 0.126539, 0.132675, 0.135817, 0.139157, 0.149714, 0.152676, 0.157856, 0.160796, 0.164716, 0.172557, 0.179453, 0.188669, 0.197179, 0.205596, 0.216819, 0.231913, 0.242658, 0.264148, 0.283894");
-            values ( \
-              "0.0247282, 0.0549034, 0.0675196, 0.082186, 0.0973802, 0.0971973, 0.0996893, 0.104292, 0.106574, 0.107986, 0.105745, 0.101839, 0.0948125, 0.0629586, 0.0558583, 0.0458561, 0.0413576, 0.0360641, 0.0275518, 0.0219563, 0.0161538, 0.0121323, 0.00914222, 0.00622358, 0.00371608, 0.00256283, 0.00117894, 0.000656763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00719721");
-            index_3 ("0.0955148, 0.100402, 0.103005, 0.104956, 0.108484, 0.113998, 0.12129, 0.123307, 0.127012, 0.130461, 0.137358, 0.13941, 0.143514, 0.148593, 0.157865, 0.170342, 0.176325, 0.185012, 0.190696, 0.198274, 0.210496, 0.214508, 0.2188, 0.224522, 0.235967, 0.241307, 0.247342, 0.255388, 0.269943, 0.276222, 0.28519, 0.297148, 0.321063, 0.361468, 0.409843");
-            values ( \
-              "0.0325455, 0.0735679, 0.0875829, 0.0960814, 0.10899, 0.122511, 0.135911, 0.139087, 0.142982, 0.144851, 0.144825, 0.14405, 0.141837, 0.13777, 0.125001, 0.0949447, 0.0818885, 0.0664758, 0.0582601, 0.0487589, 0.0362819, 0.0329855, 0.0297128, 0.0258105, 0.0192963, 0.0168557, 0.0144379, 0.0117295, 0.00796522, 0.00675351, 0.00532489, 0.00387674, 0.00199008, 0.000599311, 0.000119721" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0142295");
-            index_3 ("0.0987261, 0.109291, 0.113035, 0.126595, 0.13323, 0.138659, 0.143633, 0.148604, 0.158546, 0.171119, 0.179154, 0.194949, 0.226005, 0.239353, 0.256909, 0.276204, 0.286337, 0.30268, 0.318726, 0.332884, 0.351761, 0.367663, 0.389107, 0.4177, 0.47173, 0.534805");
-            values ( \
-              "0.109864, 0.127507, 0.139721, 0.168733, 0.17445, 0.175596, 0.175294, 0.174203, 0.170743, 0.164685, 0.159815, 0.145775, 0.100911, 0.0843157, 0.0658515, 0.0490653, 0.0419133, 0.0321051, 0.0244604, 0.0191728, 0.0137449, 0.0103358, 0.00701964, 0.00413902, 0.00139399, 0.000379354" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0281329");
-            index_3 ("0.100962, 0.115653, 0.127573, 0.131253, 0.138613, 0.146654, 0.157239, 0.168729, 0.205153, 0.222162, 0.239311, 0.268103, 0.291503, 0.331775, 0.365037, 0.385233, 0.412854, 0.435496, 0.452955, 0.478897, 0.500729, 0.523743, 0.55655, 0.570793, 0.59928, 0.656254, 0.738506, 0.820758, 0.903009");
-            values ( \
-              "0.155259, 0.158155, 0.186624, 0.190869, 0.195254, 0.196183, 0.195217, 0.192929, 0.183792, 0.178854, 0.173122, 0.159173, 0.141428, 0.108577, 0.0844389, 0.0713006, 0.0556073, 0.044922, 0.0378451, 0.0290957, 0.0232123, 0.0181942, 0.0127594, 0.0109327, 0.00799315, 0.00417382, 0.00155363, 0.000575816, 0.000211788" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.055621");
-            index_3 ("0.103644, 0.126092, 0.137505, 0.145289, 0.154164, 0.16501, 0.199371, 0.277845, 0.356555, 0.383164, 0.411547, 0.441662, 0.58257, 0.674645, 0.756009, 0.825213, 0.862258, 0.92859, 1.00432, 1.06485, 1.22936, 1.23062");
-            values ( \
-              "0.186011, 0.193512, 0.20595, 0.208575, 0.208654, 0.208393, 0.204402, 0.193868, 0.181287, 0.175338, 0.16742, 0.15652, 0.0957366, 0.0618863, 0.0401001, 0.0270417, 0.0217552, 0.014571, 0.00918698, 0.00630404, 0.00221589, 0.00220687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.109967");
-            index_3 ("0.112418, 0.112438, 0.259834, 0.437543, 0.571055, 0.637765, 0.704349, 0.786601, 1.12149, 1.26224, 1.32941, 1.44473, 1.58194, 1.6642, 1.88641, 2.17387");
-            values ( \
-              "1e-22, 0.236156, 0.21019, 0.197849, 0.18704, 0.180064, 0.170626, 0.154797, 0.0789466, 0.0540356, 0.0445268, 0.0314831, 0.0204959, 0.0157364, 0.00762048, 0.00318527" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.165045, 0.196496, 0.209866, 0.216977, 0.220192, 0.224478, 0.22856, 0.233779, 0.241582, 0.248894, 0.261829, 0.26764, 0.278266, 0.287194, 0.295709, 0.303289, 0.313395, 0.324627, 0.342937, 0.36735, 0.383777");
-            values ( \
-              "0.00330214, 0.0621867, 0.0771528, 0.0824385, 0.0839545, 0.0848871, 0.0839943, 0.0787573, 0.0629131, 0.0501371, 0.0357334, 0.0300291, 0.0213906, 0.0159601, 0.0120028, 0.00931382, 0.00659465, 0.00449568, 0.00238424, 0.00097842, 0.000617327" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00719721");
-            index_3 ("0.165102, 0.19306, 0.202593, 0.211227, 0.217861, 0.223284, 0.232648, 0.237628, 0.244933, 0.251962, 0.257816, 0.263699, 0.274728, 0.283063, 0.295264, 0.307754, 0.314147, 0.324525, 0.335852, 0.346119, 0.359807, 0.373462, 0.380899, 0.395773, 0.425521, 0.448905");
-            values ( \
-              "0.000842907, 0.0719006, 0.087735, 0.10036, 0.10853, 0.113899, 0.120331, 0.122053, 0.122286, 0.119577, 0.112639, 0.102256, 0.0801005, 0.0660623, 0.0497854, 0.036866, 0.0316478, 0.0244891, 0.0183704, 0.0141249, 0.00985889, 0.00688175, 0.00565343, 0.00378528, 0.0016365, 0.000967917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0142295");
-            index_3 ("0.174366, 0.199559, 0.214497, 0.221392, 0.227879, 0.237129, 0.245167, 0.251827, 0.2613, 0.266834, 0.277128, 0.290627, 0.300631, 0.313568, 0.325857, 0.343358, 0.362283, 0.377668, 0.389777, 0.405922, 0.421024, 0.440241, 0.462415, 0.487746, 0.507925, 0.548284, 0.609094, 0.683092");
-            values ( \
-              "0.0424646, 0.0951708, 0.121896, 0.132184, 0.140437, 0.149413, 0.154859, 0.158489, 0.162627, 0.162873, 0.159292, 0.1482, 0.135031, 0.115439, 0.0985786, 0.0776745, 0.0590138, 0.0465747, 0.0384076, 0.0293846, 0.0227486, 0.0162864, 0.0109495, 0.00694714, 0.00480011, 0.00221794, 0.000627119, 0.000121014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0281329");
-            index_3 ("0.174276, 0.199633, 0.212729, 0.224539, 0.233983, 0.244105, 0.251845, 0.258387, 0.265718, 0.273064, 0.281596, 0.308877, 0.336402, 0.350835, 0.367854, 0.445548, 0.481514, 0.510489, 0.525521, 0.553764, 0.577722, 0.597373, 0.620899, 0.654099, 0.688788, 0.715382, 0.768568, 0.85082, 0.933072");
-            values ( \
-              "0.0439559, 0.103388, 0.129613, 0.149975, 0.16278, 0.173469, 0.180076, 0.18537, 0.189544, 0.190231, 0.189118, 0.182229, 0.173505, 0.167398, 0.157815, 0.0968933, 0.072394, 0.0558462, 0.0485248, 0.0368262, 0.0288772, 0.0235652, 0.0183761, 0.012831, 0.00879843, 0.00655998, 0.00357928, 0.00133479, 0.000486212" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.055621");
-            index_3 ("0.186872, 0.217729, 0.231542, 0.251392, 0.260882, 0.274289, 0.300682, 0.405135, 0.456394, 0.483861, 0.513975, 0.572795, 0.691815, 0.77614, 0.812342, 0.86061, 0.923677, 0.960963, 1.02695, 1.10197, 1.1618, 1.24405, 1.32631, 1.35833");
-            values ( \
-              "0.130359, 0.145274, 0.168643, 0.192206, 0.201918, 0.206351, 0.204004, 0.189454, 0.180744, 0.174707, 0.165984, 0.142719, 0.0912627, 0.0609041, 0.0504021, 0.0386614, 0.0269943, 0.0216784, 0.0145554, 0.00921748, 0.00635015, 0.00377339, 0.00223126, 0.00200143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.109967");
-            index_3 ("0.194047, 0.240505, 0.259861, 0.266399, 0.274831, 0.285515, 0.38989, 0.486618, 0.573474, 0.665318, 0.69123, 0.743054, 0.80229, 0.884542, 0.927293, 1.15509, 1.21941, 1.30166, 1.36016, 1.42733, 1.50959, 1.54265, 1.60878, 1.67987, 1.76212, 1.85146, 1.90208, 1.98433, 2.06659, 2.14884, 2.31334, 2.47784, 2.7246");
-            values ( \
-              "0.167426, 0.186148, 0.208101, 0.212663, 0.214591, 0.214736, 0.208085, 0.201414, 0.19502, 0.187362, 0.184915, 0.179165, 0.170612, 0.154801, 0.145372, 0.0924373, 0.078949, 0.0635469, 0.0540326, 0.044529, 0.0348067, 0.0314858, 0.0256388, 0.020499, 0.0157337, 0.0117929, 0.00998725, 0.00762396, 0.00579545, 0.00441504, 0.0025479, 0.00146797, 0.000632884" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.310296, 0.374934, 0.389883, 0.402806, 0.412974, 0.417687, 0.423973, 0.430001, 0.438038, 0.446039, 0.455182, 0.465943, 0.478242, 0.484199, 0.498019, 0.507607, 0.51603, 0.52553, 0.539532, 0.550184, 0.555811, 0.560722, 0.589187, 0.61387, 0.645145");
-            values ( \
-              "0.000399941, 0.0401306, 0.0476609, 0.0537125, 0.0575233, 0.0581744, 0.0566793, 0.0521202, 0.0446934, 0.0386297, 0.0321934, 0.0259697, 0.0196636, 0.017151, 0.012114, 0.00931846, 0.00734939, 0.00557905, 0.00361643, 0.00261354, 0.00287715, 0.00274957, 0.00118706, 0.000506473, 0.000133008" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00719721");
-            index_3 ("0.326194, 0.339375, 0.361039, 0.419632, 0.427166, 0.441184, 0.445467, 0.450362, 0.455994, 0.480736, 0.493008, 0.503885, 0.518388, 0.527933, 0.541642, 0.550304, 0.556247, 0.563905, 0.571455, 0.578738, 0.58879, 0.591311, 0.606436, 0.624129, 0.659513, 0.703356");
-            values ( \
-              "0.0133573, 0.0259944, 0.0413197, 0.0808195, 0.0855601, 0.0917519, 0.0921927, 0.0914566, 0.0884539, 0.0633635, 0.0523413, 0.0435335, 0.0331111, 0.0273467, 0.0203025, 0.01665, 0.0160062, 0.0131227, 0.0116711, 0.00916161, 0.00749419, 0.00669226, 0.00448532, 0.0028945, 0.000938872, 0.000328615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0142295");
-            index_3 ("0.326151, 0.346163, 0.382045, 0.428649, 0.441406, 0.451028, 0.462024, 0.46826, 0.47634, 0.484116, 0.499668, 0.51321, 0.549526, 0.554646, 0.580298, 0.596931, 0.615126, 0.631504, 0.647002, 0.667666, 0.681744, 0.697536, 0.718593, 0.760706, 0.795315");
-            values ( \
-              "0.0155494, 0.035703, 0.0633768, 0.102917, 0.113105, 0.11917, 0.124657, 0.126874, 0.128825, 0.129726, 0.126927, 0.117425, 0.0819302, 0.078551, 0.0564674, 0.043707, 0.0325216, 0.0246531, 0.0188819, 0.0130925, 0.01018, 0.00766373, 0.00521389, 0.00232205, 0.00136506" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0281329");
-            index_3 ("0.340903, 0.376965, 0.382748, 0.391637, 0.4015, 0.410443, 0.418464, 0.429159, 0.44214, 0.455947, 0.47342, 0.490363, 0.522078, 0.550016, 0.552439, 0.557286, 0.566978, 0.578353, 0.583347, 0.593334, 0.618438, 0.628697, 0.649215, 0.661839, 0.673071, 0.685907, 0.711579, 0.729462, 0.733322, 0.741044, 0.756486, 0.787371, 0.799481, 0.804186, 0.813595, 0.832413, 0.868605, 0.880347, 0.884086, 0.891564, 0.90652, 0.936432, 0.996256, 1.07851, 1.16076");
-            values ( \
-              "0.0545486, 0.0644697, 0.0694861, 0.0774856, 0.0866021, 0.0951285, 0.103024, 0.113785, 0.125874, 0.137044, 0.147921, 0.155779, 0.164465, 0.166609, 0.166706, 0.166504, 0.165485, 0.160692, 0.157517, 0.15059, 0.130458, 0.121949, 0.105707, 0.0962775, 0.0882323, 0.0794717, 0.0636213, 0.0540655, 0.0521486, 0.0484768, 0.0417532, 0.0305949, 0.0270325, 0.0257546, 0.0233492, 0.0191497, 0.0129386, 0.0113997, 0.0109426, 0.010091, 0.0085652, 0.00615214, 0.00309051, 0.00114877, 0.000424061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.055621");
-            index_3 ("0.350934, 0.398658, 0.451681, 0.469595, 0.489871, 0.513269, 0.542124, 0.566067, 0.574849, 0.584217, 0.618475, 0.672485, 0.727159, 0.7698, 0.902265, 0.935153, 0.989673, 1.03661, 1.06921, 1.12245, 1.18725, 1.24689, 1.30985, 1.35821, 1.44046, 1.52272, 1.60497, 1.76947");
-            values ( \
-              "0.0763191, 0.0877422, 0.141579, 0.155711, 0.168234, 0.179189, 0.188824, 0.194822, 0.19543, 0.194653, 0.189839, 0.180742, 0.167151, 0.150878, 0.0935118, 0.0806202, 0.0616414, 0.0482274, 0.040338, 0.029835, 0.0204075, 0.0142353, 0.00970515, 0.00719434, 0.00427853, 0.00253238, 0.00149851, 0.000522503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.109967");
-            index_3 ("0.367727, 0.429067, 0.46626, 0.485767, 0.50862, 0.544257, 0.564397, 0.571093, 0.584484, 0.703217, 0.839224, 0.907837, 0.990089, 1.01901, 1.07631, 1.14377, 1.32413, 1.43661, 1.51875, 1.57587, 1.64339, 1.72565, 1.75926, 1.8265, 1.8972, 1.97945, 2.06777, 2.19995, 2.36445, 2.52896, 2.69346, 2.94022");
-            values ( \
-              "0.113197, 0.122583, 0.158368, 0.172433, 0.18543, 0.200801, 0.207926, 0.209369, 0.209519, 0.20138, 0.191101, 0.184907, 0.17515, 0.170522, 0.160033, 0.145322, 0.103079, 0.0787948, 0.063505, 0.054206, 0.044571, 0.034897, 0.031458, 0.0255785, 0.0204254, 0.0157242, 0.0117843, 0.00762976, 0.00441106, 0.00253842, 0.00145555, 0.000651476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.631747, 0.653642, 0.670834, 0.683831, 0.726162, 0.746939, 0.774492, 0.785068, 0.794376, 0.812992, 0.814079, 0.820602, 0.828149, 0.837029, 0.853566, 0.863815, 0.900874, 0.908428, 0.923537, 0.937536, 0.945675, 0.961954, 0.975357, 0.996922, 1.02568, 1.0711, 1.19467, 1.21594, 1.23227, 1.255, 1.2738");
-            values ( \
-              "0.00214909, 0.00983847, 0.0130883, 0.0151914, 0.0225664, 0.0265745, 0.0315108, 0.0331681, 0.0342031, 0.0323571, 0.0316041, 0.0295417, 0.0278251, 0.0263147, 0.0241494, 0.0222529, 0.0132705, 0.0116121, 0.00873291, 0.00654454, 0.00552242, 0.00377452, 0.00274182, 0.00159396, 0.000758683, 0.000164246, 1e-22, 3.39288e-05, 0.000332111, 0.000297307, 0.00012061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719721");
-            index_3 ("0.63193, 0.661388, 0.719273, 0.828376, 0.839256, 0.854985, 0.86183, 0.88631, 0.910533, 0.945127, 0.97007, 0.987436, 1.00247, 1.01313, 1.03336, 1.05681, 1.07575, 1.11364, 1.16878, 1.21612, 1.23221");
-            values ( \
-              "0.00341442, 0.0141964, 0.0267289, 0.0546425, 0.0570113, 0.0578725, 0.0563792, 0.0493933, 0.0412502, 0.0274679, 0.0188967, 0.0139873, 0.0106309, 0.0086723, 0.00573025, 0.00349142, 0.00230638, 0.000919025, 0.000214131, 7.03615e-05, 0.000396922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0142295");
-            index_3 ("0.639104, 0.712609, 0.733806, 0.763674, 0.784931, 0.818364, 0.85703, 0.874369, 0.896889, 0.90403, 0.918311, 0.932148, 0.962176, 0.976295, 1.0413, 1.05387, 1.0729, 1.09817, 1.10936, 1.13174, 1.15065, 1.15977, 1.17801, 1.21449, 1.21589, 1.21871, 1.22434, 1.22929, 1.2392, 1.25363, 1.28249, 1.32488, 1.39671");
-            values ( \
-              "0.010564, 0.0289939, 0.0348801, 0.0438285, 0.0506374, 0.0619802, 0.0763076, 0.0822601, 0.0888708, 0.0902861, 0.0920024, 0.0905519, 0.0799105, 0.0732207, 0.040298, 0.0347454, 0.0272077, 0.0191053, 0.0162287, 0.0114665, 0.00850198, 0.00730291, 0.00541868, 0.00283658, 0.00278342, 0.00308782, 0.00321448, 0.00314033, 0.00285596, 0.00219457, 0.00133802, 0.000539835, 0.000148746" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0281329");
-            index_3 ("0.664194, 0.721423, 0.758441, 0.806907, 0.88409, 0.914722, 0.938882, 0.958874, 0.999316, 1.03876, 1.08094, 1.09762, 1.15535, 1.19072, 1.21204, 1.26003, 1.27366, 1.29988, 1.33773, 1.35779, 1.38917, 1.431, 1.51326, 1.53205");
-            values ( \
-              "0.0307582, 0.033928, 0.0457748, 0.0633049, 0.0957179, 0.107469, 0.115602, 0.121529, 0.129246, 0.127799, 0.112243, 0.104385, 0.0742339, 0.0568147, 0.0473531, 0.0310777, 0.0270227, 0.0205348, 0.0136356, 0.0109753, 0.00778426, 0.00487443, 0.00181756, 0.00155517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.055621");
-            index_3 ("0.681385, 0.756174, 0.818824, 0.947553, 0.987515, 1.01919, 1.08329, 1.14412, 1.20498, 1.22692, 1.25696, 1.36802, 1.45635, 1.53786, 1.58438, 1.62461, 1.6655, 1.74906, 1.79934, 1.88159, 1.96384, 2.04609, 2.07147");
-            values ( \
-              "0.0432087, 0.047346, 0.0715775, 0.127819, 0.141697, 0.150507, 0.162447, 0.166752, 0.161723, 0.156789, 0.146056, 0.0974959, 0.064419, 0.0418874, 0.032213, 0.0255387, 0.0200695, 0.012106, 0.0088783, 0.00529548, 0.00313403, 0.00185978, 0.00170738" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.109967");
-            index_3 ("0.711818, 0.806177, 0.941814, 0.982355, 1.03378, 1.07085, 1.1298, 1.17911, 1.21564, 1.23633, 1.25852, 1.37794, 1.42874, 1.48681, 1.55207, 1.62112, 1.80147, 1.91397, 1.9961, 2.05318, 2.12071, 2.2366, 2.30386, 2.37455, 2.4568, 2.5451, 2.67727, 2.84178, 3.00628, 3.17079, 3.41754");
-            values ( \
-              "0.0666342, 0.0678943, 0.130039, 0.14619, 0.163293, 0.172914, 0.184669, 0.191427, 0.19506, 0.195973, 0.195752, 0.185691, 0.180173, 0.172166, 0.160281, 0.145376, 0.10311, 0.0788212, 0.0634728, 0.0541835, 0.0445971, 0.0314801, 0.0255551, 0.0204452, 0.0157032, 0.0117995, 0.00764421, 0.0044248, 0.00255152, 0.00146805, 0.000639804" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.0058151", \
-            "0.00747494", \
-            "0.00860546", \
-            "0.00932801", \
-            "0.0097513", \
-            "0.0101159" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00853339", \
-            "0.00905172", \
-            "0.00921537", \
-            "0.00929877", \
-            "0.00880699", \
-            "0.00831009" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0411306, 0.043863, 0.0458983, 0.0468749, 0.0488281, 0.0508117, 0.054409, 0.0578614, 0.0613008, 0.0648761, 0.0667908, 0.0730579, 0.0783296, 0.0835454, 0.0865771, 0.090756, 0.0932393, 0.0974167, 0.102169, 0.105943, 0.11349, 0.125003, 0.138992");
-            values ( \
-              "-0.0580962, -0.117264, -0.144591, -0.153685, -0.167273, -0.177073, -0.187824, -0.19182, -0.189489, -0.176549, -0.165016, -0.114677, -0.0788628, -0.0516591, -0.0397327, -0.0273417, -0.0217665, -0.0146884, -0.00937427, -0.00651944, -0.00305636, -0.000875468, -0.000173353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00719721");
-            index_3 ("0.0422292, 0.0459651, 0.0478228, 0.0519912, 0.0549124, 0.0597676, 0.0631629, 0.0654894, 0.0701424, 0.0762854, 0.0806791, 0.0914032, 0.0989883, 0.105478, 0.11269, 0.117246, 0.126372, 0.13568, 0.146318, 0.156557");
-            values ( \
-              "-0.164103, -0.182864, -0.202915, -0.228585, -0.238331, -0.246562, -0.247787, -0.24755, -0.243431, -0.226559, -0.205018, -0.134474, -0.092058, -0.06434, -0.0420603, -0.0319612, -0.0180399, -0.00985523, -0.00489918, -0.0027266" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0142295");
-            index_3 ("0.042172, 0.0483485, 0.0533446, 0.0576373, 0.0624489, 0.0689186, 0.0800823, 0.0889309, 0.0984782, 0.108023, 0.127408, 0.140403, 0.150209, 0.165479, 0.181805, 0.198736, 0.218086, 0.226102");
-            values ( \
-              "-0.176956, -0.239402, -0.270181, -0.282748, -0.289286, -0.291905, -0.288551, -0.280969, -0.263394, -0.231486, -0.144509, -0.0966892, -0.0693851, -0.0400628, -0.0216313, -0.0112437, -0.00510258, -0.00447856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0281329");
-            index_3 ("0.043711, 0.0525193, 0.0559678, 0.0608591, 0.0670948, 0.0767292, 0.0892445, 0.0990662, 0.108567, 0.124665, 0.135049, 0.142146, 0.15634, 0.160986, 0.19559, 0.21068, 0.218281, 0.230195, 0.24381, 0.253606, 0.265094, 0.28041, 0.29233, 0.304432, 0.320567, 0.352839, 0.402169, 0.454414");
-            values ( \
-              "-0.285278, -0.28991, -0.303488, -0.313958, -0.319777, -0.321653, -0.319745, -0.316695, -0.312767, -0.303876, -0.294572, -0.286128, -0.260654, -0.249389, -0.155809, -0.120649, -0.105233, -0.0842555, -0.0645645, -0.0530699, -0.0419757, -0.0304643, -0.0236589, -0.0182791, -0.0128911, -0.0062148, -0.00186589, -0.000507384" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.055621");
-            index_3 ("0.0449463, 0.0658761, 0.0748301, 0.0863902, 0.104318, 0.134193, 0.178663, 0.208411, 0.234095, 0.245861, 0.2637, 0.323246, 0.367955, 0.405538, 0.430893, 0.452323, 0.474264, 0.519159, 0.546239, 0.598484, 0.650729, 0.689309");
-            values ( \
-              "-0.333068, -0.335524, -0.339388, -0.339973, -0.338006, -0.332729, -0.321978, -0.310716, -0.294803, -0.283972, -0.26195, -0.172033, -0.114613, -0.0786294, -0.0601389, -0.0477042, -0.0374372, -0.0225433, -0.0165287, -0.00889517, -0.00476522, -0.00312207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.109967");
-            index_3 ("0.0408351, 0.044792, 0.0483636, 0.0537746, 0.061077, 0.0670915, 0.0735907, 0.0820864, 0.0919906, 0.118657, 0.158721, 0.216475, 0.275324, 0.327569, 0.351565, 0.38356, 0.398483, 0.428329, 0.46684, 0.575883, 0.609095, 0.66134, 0.699087, 0.723682, 0.772873, 0.801958, 0.834454, 0.876366, 0.928611, 0.99574, 1.04531, 1.09756, 1.20205, 1.30654, 1.46327");
-            values ( \
-              "-0.0670043, -0.226403, -0.281304, -0.318795, -0.339212, -0.345783, -0.349134, -0.350562, -0.350918, -0.349283, -0.345777, -0.340001, -0.332883, -0.324599, -0.319673, -0.311282, -0.306289, -0.293308, -0.268863, -0.18105, -0.156153, -0.121421, -0.100199, -0.0880879, -0.0673856, -0.0573316, -0.0477264, -0.0375538, -0.0276178, -0.0185784, -0.0138566, -0.0100629, -0.00532499, -0.00280239, -0.00111632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0617599, 0.0631724, 0.0641657, 0.0662953, 0.0692316, 0.0712399, 0.0748533, 0.0783141, 0.081759, 0.085338, 0.0872302, 0.0935246, 0.0987969, 0.104016, 0.107049, 0.111226, 0.113709, 0.117888, 0.122642, 0.126418, 0.13397, 0.145447, 0.159393");
-            values ( \
-              "-0.0906477, -0.0950264, -0.114478, -0.143067, -0.166092, -0.175991, -0.187345, -0.191332, -0.189384, -0.176401, -0.165062, -0.11464, -0.0788525, -0.0516474, -0.0397229, -0.0273387, -0.0217678, -0.0146869, -0.00937183, -0.00651768, -0.00305369, -0.000879862, -0.000174887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00719721");
-            index_3 ("0.0616869, 0.066286, 0.0695612, 0.0752884, 0.0806407, 0.0834556, 0.091121, 0.0966853, 0.101106, 0.119386, 0.130431, 0.137636, 0.146766, 0.15612, 0.166732");
-            values ( \
-              "-0.0979868, -0.181137, -0.212114, -0.237812, -0.246607, -0.247696, -0.242652, -0.226508, -0.204821, -0.0921269, -0.0493458, -0.0319318, -0.0179968, -0.00988522, -0.00485214" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0142295");
-            index_3 ("0.063014, 0.0738158, 0.0780319, 0.0829412, 0.0893243, 0.0961547, 0.109434, 0.118981, 0.128525, 0.147908, 0.160904, 0.17072, 0.185978, 0.202303, 0.219254, 0.238626, 0.24475");
-            values ( \
-              "-0.206263, -0.268929, -0.28214, -0.288778, -0.291922, -0.290745, -0.281104, -0.263381, -0.23149, -0.144518, -0.0966917, -0.0693669, -0.0400675, -0.0216332, -0.0112384, -0.0050931, -0.00461614" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0281329");
-            index_3 ("0.0644063, 0.0761513, 0.0812873, 0.0872475, 0.0971701, 0.112973, 0.13514, 0.15374, 0.162584, 0.179982, 0.218418, 0.234845, 0.254601, 0.285472, 0.317564, 0.352829, 0.386415");
-            values ( \
-              "-0.291563, -0.302097, -0.313506, -0.319513, -0.321656, -0.31893, -0.309814, -0.296372, -0.286119, -0.253129, -0.149967, -0.112962, -0.0780914, -0.0420312, -0.0213889, -0.00991372, -0.00506388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.055621");
-            index_3 ("0.065857, 0.107539, 0.149886, 0.185125, 0.215641, 0.236788, 0.248807, 0.272847, 0.284311, 0.348353, 0.391443, 0.416666, 0.445491, 0.473444, 0.493518, 0.525923, 0.558351, 0.583293, 0.633175, 0.68542, 0.789911");
-            values ( \
-              "-0.33954, -0.340079, -0.333826, -0.325745, -0.316661, -0.306706, -0.299179, -0.276846, -0.261941, -0.165497, -0.111395, -0.0866281, -0.0640333, -0.0473846, -0.0379739, -0.026337, -0.0182285, -0.0136782, -0.00757158, -0.00404974, -0.00115061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.109967");
-            index_3 ("0.0610289, 0.0656694, 0.0674855, 0.0723301, 0.0783132, 0.0815593, 0.0880047, 0.0940883, 0.103047, 0.112723, 0.138923, 0.15894, 0.179223, 0.236977, 0.295826, 0.35607, 0.372067, 0.404062, 0.418985, 0.448831, 0.487342, 0.539587, 0.579779, 0.629597, 0.681842, 0.698068, 0.744185, 0.793377, 0.817044, 0.833292, 0.854955, 0.896867, 0.949113, 0.972865, 0.991456, 1.06582, 1.11807, 1.17031, 1.2748, 1.37929, 1.48378");
-            values ( \
-              "-0.057875, -0.232997, -0.263441, -0.307531, -0.331509, -0.338601, -0.345771, -0.349046, -0.350473, -0.350981, -0.349395, -0.347429, -0.345872, -0.340098, -0.332981, -0.323147, -0.319573, -0.311381, -0.306189, -0.293408, -0.268764, -0.227253, -0.194103, -0.156048, -0.121526, -0.111829, -0.0879734, -0.0674993, -0.059022, -0.0538821, -0.0478445, -0.0374361, -0.0277361, -0.0239508, -0.021457, -0.0137353, -0.0101834, -0.0072623, -0.00380311, -0.00196661, -0.000994974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.103299, 0.109733, 0.112677, 0.117928, 0.121551, 0.125094, 0.128638, 0.130199, 0.138911, 0.144553, 0.14972, 0.153613, 0.158887, 0.166214, 0.171827, 0.178512");
-            values ( \
-              "-0.0703923, -0.136085, -0.156599, -0.177421, -0.184801, -0.185125, -0.174215, -0.165859, -0.100596, -0.0657689, -0.042736, -0.0302779, -0.0186526, -0.00934965, -0.00540728, -0.00308617" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00719721");
-            index_3 ("0.103256, 0.10864, 0.112636, 0.1167, 0.121343, 0.123977, 0.129335, 0.134691, 0.140622, 0.14637, 0.156921, 0.164881, 0.171633, 0.179943, 0.191911, 0.206488, 0.214075");
-            values ( \
-              "-0.0843996, -0.158842, -0.19681, -0.218438, -0.23445, -0.239796, -0.24414, -0.240673, -0.224272, -0.193514, -0.125029, -0.083114, -0.0568346, -0.0345584, -0.0163054, -0.00625867, -0.00420917" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0142295");
-            index_3 ("0.104779, 0.10999, 0.112557, 0.119921, 0.12617, 0.130751, 0.135105, 0.143566, 0.149696, 0.159587, 0.162401, 0.167211, 0.172765, 0.190123, 0.203511, 0.215771, 0.228225, 0.244367, 0.261798, 0.276925, 0.293477");
-            values ( \
-              "-0.188341, -0.202084, -0.226972, -0.26671, -0.282654, -0.287686, -0.289403, -0.287989, -0.283655, -0.269651, -0.263191, -0.249535, -0.227739, -0.149493, -0.0991888, -0.0654855, -0.0418333, -0.0227846, -0.0116553, -0.00636911, -0.00368498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0281329");
-            index_3 ("0.105097, 0.111423, 0.117871, 0.124508, 0.130096, 0.137815, 0.146135, 0.162727, 0.180539, 0.188904, 0.205458, 0.209699, 0.214546, 0.224858, 0.255823, 0.279987, 0.293096, 0.302435, 0.314495, 0.324207, 0.336297, 0.353312, 0.371253, 0.385034, 0.412595, 0.461974, 0.514219");
-            values ( \
-              "-0.216654, -0.235797, -0.280928, -0.30511, -0.314703, -0.319641, -0.3203, -0.316558, -0.308714, -0.303435, -0.287118, -0.280924, -0.272568, -0.249373, -0.165255, -0.109554, -0.0859035, -0.0717254, -0.0564327, -0.0463496, -0.0360813, -0.0251687, -0.0171754, -0.0127434, -0.00689471, -0.00206357, -0.000566466" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.055621");
-            index_3 ("0.108908, 0.136348, 0.143887, 0.15287, 0.164571, 0.176119, 0.19825, 0.228699, 0.263714, 0.279026, 0.29237, 0.319058, 0.32791, 0.392598, 0.420496, 0.435357, 0.458656, 0.485283, 0.501878, 0.521314, 0.5423, 0.571693, 0.583421, 0.606878, 0.653792, 0.706037, 0.758282, 0.862773");
-            values ( \
-              "-0.331738, -0.336605, -0.338932, -0.339338, -0.33852, -0.336823, -0.3328, -0.325951, -0.314627, -0.307422, -0.299187, -0.273659, -0.261935, -0.164576, -0.127981, -0.111042, -0.088045, -0.0666923, -0.0558654, -0.0452304, -0.0358502, -0.0257262, -0.0225261, -0.0172265, -0.00991826, -0.00531602, -0.00283628, -0.000804097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.109967");
-            index_3 ("0.102373, 0.105096, 0.108809, 0.112535, 0.116457, 0.121565, 0.124845, 0.131406, 0.137677, 0.146353, 0.155485, 0.166005, 0.180431, 0.222874, 0.280629, 0.339478, 0.391723, 0.41572, 0.447716, 0.462637, 0.492479, 0.530994, 0.640038, 0.673252, 0.725497, 0.750943, 0.787832, 0.837017, 0.866112, 0.898621, 0.940529, 0.992774, 1.02271, 1.05988, 1.10944, 1.16169, 1.21393, 1.26618, 1.37067, 1.52741");
-            values ( \
-              "-0.0396645, -0.137213, -0.217259, -0.264289, -0.29314, -0.318832, -0.32939, -0.341724, -0.346832, -0.349736, -0.350514, -0.350322, -0.349399, -0.345738, -0.339963, -0.332849, -0.324633, -0.3197, -0.311255, -0.306312, -0.293289, -0.268882, -0.181047, -0.156152, -0.121419, -0.106788, -0.0880753, -0.0674048, -0.0573509, -0.0477443, -0.0375304, -0.0276385, -0.0231802, -0.0186058, -0.0138319, -0.0100911, -0.00735754, -0.00535299, -0.00283048, -0.00108815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.186078, 0.189068, 0.190795, 0.193483, 0.198026, 0.200109, 0.204369, 0.208236, 0.211959, 0.215682, 0.216778, 0.21995, 0.226384, 0.230872, 0.232989, 0.236179, 0.239826, 0.24277, 0.244882, 0.250556, 0.255654, 0.259849, 0.266253, 0.271182");
-            values ( \
-              "-0.0252374, -0.0624671, -0.0793856, -0.100607, -0.131846, -0.144061, -0.164045, -0.174708, -0.177445, -0.167904, -0.162862, -0.142715, -0.0973527, -0.0705069, -0.0598038, -0.0460927, -0.0336799, -0.0259847, -0.0214857, -0.0124581, -0.00779887, -0.00530684, -0.0029075, -0.00197577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00719721");
-            index_3 ("0.188, 0.193664, 0.199422, 0.204911, 0.210426, 0.213235, 0.216393, 0.221904, 0.228606, 0.232692, 0.250993, 0.25884, 0.263911, 0.268388, 0.278292, 0.288551, 0.297149");
-            values ( \
-              "-0.100489, -0.128394, -0.176389, -0.209037, -0.227816, -0.232854, -0.235823, -0.234618, -0.217296, -0.197007, -0.0896859, -0.0585369, -0.0436248, -0.0334076, -0.0180709, -0.00939597, -0.00563058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0142295");
-            index_3 ("0.188028, 0.192034, 0.196962, 0.20077, 0.203153, 0.207919, 0.213262, 0.219909, 0.222504, 0.22706, 0.231574, 0.240634, 0.247908, 0.253689, 0.260738, 0.279819, 0.292594, 0.299922, 0.308297, 0.317301, 0.323771, 0.336626, 0.344228, 0.359432, 0.385071, 0.415311");
-            values ( \
-              "-0.12561, -0.130263, -0.181101, -0.213628, -0.230675, -0.254916, -0.271172, -0.280327, -0.282066, -0.282971, -0.282607, -0.276727, -0.265338, -0.251142, -0.225991, -0.142235, -0.0958055, -0.0749545, -0.0557821, -0.0402471, -0.0316429, -0.0194097, -0.0144713, -0.00790451, -0.0026258, -0.000676226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0281329");
-            index_3 ("0.190218, 0.198346, 0.202164, 0.207427, 0.211547, 0.217973, 0.225295, 0.228167, 0.23391, 0.244304, 0.268653, 0.277016, 0.29135, 0.294197, 0.299892, 0.311282, 0.345444, 0.355723, 0.36884, 0.37878, 0.392035, 0.405401, 0.422248, 0.440549, 0.446896, 0.461105, 0.477344, 0.509823, 0.560585, 0.61283");
-            values ( \
-              "-0.200136, -0.210416, -0.24294, -0.27543, -0.290583, -0.304927, -0.31181, -0.313362, -0.314558, -0.314445, -0.307493, -0.302897, -0.289781, -0.28605, -0.277501, -0.253628, -0.161334, -0.136211, -0.108107, -0.0899381, -0.0695992, -0.0533086, -0.0377224, -0.025611, -0.0223754, -0.0165244, -0.0116073, -0.0055694, -0.00159046, -0.000439243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.055621");
-            index_3 ("0.192426, 0.20739, 0.210568, 0.216925, 0.224208, 0.230468, 0.238816, 0.243883, 0.252215, 0.265427, 0.274652, 0.286299, 0.301345, 0.316753, 0.347321, 0.366534, 0.380424, 0.408205, 0.431535, 0.482234, 0.512229, 0.532179, 0.560631, 0.583844, 0.603017, 0.625487, 0.657251, 0.690286, 0.71559, 0.766199, 0.818444, 0.870689, 0.922934");
-            values ( \
-              "-0.256051, -0.287941, -0.301657, -0.318294, -0.32801, -0.331779, -0.333968, -0.334544, -0.333862, -0.334634, -0.33413, -0.332481, -0.329517, -0.32587, -0.316502, -0.307718, -0.299184, -0.272312, -0.238954, -0.162375, -0.123597, -0.101882, -0.0762773, -0.0596438, -0.0484846, -0.0378451, -0.0264372, -0.018171, -0.0135824, -0.00744472, -0.00398622, -0.00212171, -0.00113468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.109967");
-            index_3 ("0.185866, 0.189972, 0.197925, 0.206455, 0.213657, 0.219765, 0.224971, 0.233739, 0.248708, 0.251245, 0.271324, 0.296464, 0.338578, 0.420827, 0.440465, 0.487781, 0.535774, 0.550614, 0.580295, 0.618971, 0.728016, 0.761229, 0.813474, 0.838921, 0.875812, 0.925001, 0.95409, 0.986592, 1.0285, 1.08075, 1.11069, 1.14787, 1.19744, 1.24968, 1.35417, 1.45866, 1.6154");
-            values ( \
-              "-0.0333437, -0.124181, -0.223505, -0.29179, -0.320105, -0.33237, -0.337789, -0.343454, -0.346071, -0.345431, -0.34806, -0.34699, -0.343115, -0.33378, -0.331044, -0.323059, -0.311261, -0.306293, -0.29339, -0.268868, -0.181049, -0.156151, -0.121421, -0.106787, -0.0880736, -0.067403, -0.0573508, -0.0477458, -0.0375315, -0.0276389, -0.0231796, -0.0186044, -0.0138316, -0.0100894, -0.00535166, -0.00282931, -0.00108906" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.363351, 0.369035, 0.384689, 0.389157, 0.393307, 0.397432, 0.401552, 0.411094, 0.416857, 0.423025, 0.42721, 0.433256, 0.441157, 0.449585, 0.457207");
-            values ( \
-              "-0.0463728, -0.0682816, -0.139177, -0.154143, -0.161504, -0.156671, -0.138159, -0.0799676, -0.051929, -0.0311006, -0.0215424, -0.0124262, -0.00597498, -0.00263406, -0.00193029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00719721");
-            index_3 ("0.363995, 0.368377, 0.382305, 0.38543, 0.392178, 0.398245, 0.404039, 0.409832, 0.412086, 0.4163, 0.432998, 0.44018, 0.445179, 0.448925, 0.454925, 0.460342, 0.467027, 0.475941, 0.487539");
-            values ( \
-              "-0.0783729, -0.0815576, -0.162161, -0.178166, -0.205835, -0.221599, -0.22587, -0.216024, -0.207913, -0.186718, -0.0919491, -0.0624952, -0.0468627, -0.0375648, -0.0260895, -0.0186043, -0.012203, -0.00681977, -0.00343036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0142295");
-            index_3 ("0.363924, 0.369443, 0.383235, 0.394764, 0.40275, 0.408286, 0.413917, 0.423199, 0.434628, 0.441925, 0.473917, 0.489136, 0.501996, 0.511085, 0.523279, 0.547895, 0.551774");
-            values ( \
-              "-0.0831078, -0.102623, -0.19283, -0.248241, -0.268657, -0.274892, -0.277259, -0.274151, -0.255063, -0.230922, -0.0997431, -0.0595786, -0.0374575, -0.0266459, -0.0167778, -0.00634035, -0.00574521" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0281329");
-            index_3 ("0.366595, 0.375577, 0.387216, 0.392948, 0.400673, 0.410543, 0.419064, 0.426905, 0.443177, 0.459757, 0.476418, 0.480631, 0.485446, 0.4959, 0.527009, 0.550132, 0.569396, 0.58067, 0.597784, 0.609239, 0.632563, 0.646371, 0.673987, 0.712932");
-            values ( \
-              "-0.148299, -0.156153, -0.233113, -0.262539, -0.288976, -0.305716, -0.310565, -0.312224, -0.309665, -0.301521, -0.285508, -0.279529, -0.271364, -0.248165, -0.164621, -0.111478, -0.0782168, -0.0627926, -0.0444807, -0.0350854, -0.0213992, -0.0158972, -0.00860815, -0.00374372" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.055621");
-            index_3 ("0.37254, 0.391787, 0.401209, 0.408583, 0.418061, 0.428783, 0.439001, 0.454036, 0.469126, 0.515094, 0.540551, 0.556649, 0.571495, 0.584521, 0.599126, 0.656137, 0.702521, 0.727234, 0.74475, 0.767375, 0.785582, 0.808279, 0.840215, 0.873904, 0.89978, 0.951533, 1.00378, 1.10827");
-            values ( \
-              "-0.248968, -0.269576, -0.305102, -0.319502, -0.328527, -0.332599, -0.333618, -0.332853, -0.330697, -0.320169, -0.310897, -0.302692, -0.2928, -0.280293, -0.261974, -0.17561, -0.115465, -0.0904799, -0.0755299, -0.0594555, -0.0488431, -0.0380011, -0.0265247, -0.0180978, -0.0134133, -0.00726603, -0.00386945, -0.00109189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.109967");
-            index_3 ("0.376742, 0.407461, 0.426392, 0.451149, 0.488758, 0.611038, 0.671389, 0.713231, 0.734201, 0.766178, 0.802568, 0.911587, 0.99703, 1.05946, 1.10873, 1.17001, 1.21197, 1.26422, 1.3316, 1.38139, 1.43363, 1.53812, 1.6145");
-            values ( \
-              "-0.317787, -0.326836, -0.342928, -0.346438, -0.344503, -0.332868, -0.323043, -0.313088, -0.306284, -0.292199, -0.268852, -0.181065, -0.121445, -0.0880369, -0.0673517, -0.0477933, -0.0375522, -0.02766, -0.0185898, -0.0137983, -0.0100693, -0.00534202, -0.00409707" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.740594, 0.745541, 0.748408, 0.770222, 0.775764, 0.780789, 0.785705, 0.790607, 0.794498, 0.801922, 0.808044, 0.814276, 0.820717, 0.82699, 0.831246, 0.839757, 0.845052");
-            values ( \
-              "-0.0155835, -0.0374196, -0.0466052, -0.110884, -0.125706, -0.134559, -0.132844, -0.120744, -0.105383, -0.0701634, -0.0456915, -0.0278222, -0.0161062, -0.00928143, -0.00634829, -0.00287822, -0.00201974" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00719721");
-            index_3 ("0.743727, 0.753208, 0.779278, 0.786443, 0.793031, 0.7995, 0.805956, 0.827248, 0.837774, 0.845456, 0.851326, 0.857466, 0.871597, 0.875395");
-            values ( \
-              "-0.0600606, -0.0766886, -0.170631, -0.190832, -0.201995, -0.19946, -0.178927, -0.0747312, -0.0417846, -0.0264936, -0.0185262, -0.0126938, -0.00516799, -0.00436155" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0142295");
-            index_3 ("0.743802, 0.750474, 0.774175, 0.78256, 0.793936, 0.804112, 0.813863, 0.823613, 0.827339, 0.834421, 0.853687, 0.866641, 0.882899, 0.891093, 0.90679, 0.924315, 0.939592, 0.950618");
-            values ( \
-              "-0.0767242, -0.0769223, -0.176321, -0.208283, -0.242677, -0.260679, -0.264979, -0.254231, -0.245705, -0.222043, -0.141019, -0.095109, -0.0546289, -0.0406952, -0.0226285, -0.0115872, -0.00631427, -0.00455238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0281329");
-            index_3 ("0.747311, 0.762693, 0.780105, 0.800219, 0.81305, 0.825888, 0.834109, 0.850699, 0.868141, 0.885026, 0.942259, 0.965118, 0.986527, 1.01578, 1.04778, 1.0756, 1.08255");
-            values ( \
-              "-0.11267, -0.14003, -0.217498, -0.2815, -0.299957, -0.305289, -0.305511, -0.300073, -0.284185, -0.253328, -0.109526, -0.0712607, -0.0464523, -0.025189, -0.01269, -0.0068358, -0.00616197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.055621");
-            index_3 ("0.754676, 0.778959, 0.797177, 0.806088, 0.816273, 0.83025, 0.844988, 0.880942, 0.911811, 0.943936, 0.955097, 0.977419, 0.990671, 1.04787, 1.09431, 1.13646, 1.1775, 1.22785, 1.25436, 1.29655, 1.34879, 1.39009");
-            values ( \
-              "-0.190767, -0.221929, -0.287251, -0.307459, -0.320481, -0.328094, -0.330387, -0.326808, -0.319014, -0.305513, -0.298319, -0.278294, -0.261223, -0.175258, -0.115318, -0.0755525, -0.0487357, -0.0280281, -0.0208484, -0.012842, -0.00689852, -0.00435886" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.109967");
-            index_3 ("0.760331, 0.796873, 0.813877, 0.827616, 0.842133, 0.858943, 0.87856, 0.92083, 1.0306, 1.06273, 1.11498, 1.14718, 1.19412, 1.31966, 1.40145, 1.44732, 1.49956, 1.56493, 1.60599, 1.65823, 1.73869, 1.79093, 1.94767, 1.99081");
-            values ( \
-              "-0.245932, -0.295041, -0.328232, -0.338704, -0.343087, -0.344651, -0.344448, -0.34121, -0.327983, -0.322365, -0.309412, -0.296929, -0.268458, -0.168841, -0.114185, -0.0900625, -0.0678098, -0.0470214, -0.0371442, -0.0273434, -0.0169624, -0.0124056, -0.00478858, -0.00415939" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.00493706, 0.00493706, 0.00493706, 0.00493706, 0.00493706, 0.00493706", \
-            "0.00594601, 0.005946, 0.00594599, 0.00594599, 0.00594599, 0.00594598", \
-            "0.00653745, 0.00653744, 0.00653744, 0.00653743, 0.00653743, 0.00653743", \
-            "0.00686813, 0.00686812, 0.00686812, 0.00686812, 0.00686812, 0.00686812", \
-            "0.00704521, 0.00704523, 0.00704525, 0.00704526, 0.00704526, 0.00704527", \
-            "0.00714548, 0.00714553, 0.00714557, 0.0071456, 0.0071456, 0.00714561" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.00757911, 0.00757905, 0.007579, 0.00757898, 0.00757896, 0.00757896", \
-            "0.00836331, 0.0083633, 0.00836331, 0.0083633, 0.0083633, 0.0083633", \
-            "0.00893313, 0.00893353, 0.00893383, 0.00893402, 0.00893412, 0.00893418", \
-            "0.00956038, 0.0095544, 0.00954986, 0.00954739, 0.00954518, 0.00954444", \
-            "0.010572, 0.010506, 0.0104821, 0.0104727, 0.0104666, 0.0104646", \
-            "0.0114062, 0.0111634, 0.0106984, 0.0105696, 0.0105482, 0.010538" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.00793311, 0.00810856, 0.00826915, 0.0083848, 0.00844796, 0.00848256", \
-            "0.00765368, 0.00785066, 0.00807015, 0.0082522, 0.00837245, 0.00844112", \
-            "0.00755981, 0.00767222, 0.00783577, 0.0080531, 0.00823804, 0.0083217", \
-            "0.00781723, 0.00780495, 0.00782108, 0.00799041, 0.00813259, 0.00827794", \
-            "0.00897046, 0.00880574, 0.00857681, 0.00838818, 0.00791539, 0.00826867", \
-            "0.0117781, 0.0113109, 0.0107378, 0.0101367, 0.00949255, 0.00899273" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "-0.0015622, -0.00139592, -0.00124957, -0.00114305, -0.00107735, -0.00103241", \
-            "-0.00176234, -0.00156162, -0.00134337, -0.00117842, -0.00105781, -0.000993847", \
-            "-0.00197625, -0.00180018, -0.00155293, -0.00131613, -0.00113441, -0.00101846", \
-            "-0.00208943, -0.00197275, -0.00177964, -0.0015356, -0.00129955, -0.00112201", \
-            "-0.00151684, -0.00167759, -0.00172302, -0.00162241, -0.00144147, -0.00122338", \
-            "0.000839656, 0.000139129, -0.000563738, -0.00101221, -0.00118489, -0.00118418" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00722277, 0.0107797, 0.0178119, 0.0317153, 0.0592034, 0.11355");
-          values ( \
-            "0.0194204, 0.0194201, 0.01942, 0.0194199, 0.0194203, 0.01942", \
-            "0.0193409, 0.0193405, 0.0193404, 0.01934, 0.0193403, 0.0193399", \
-            "0.0194547, 0.0194717, 0.0194548, 0.0194771, 0.0194544, 0.0194761", \
-            "0.020038, 0.0200436, 0.0200384, 0.0200386, 0.0200129, 0.0199897", \
-            "0.0216706, 0.0216358, 0.0216408, 0.0216153, 0.0216758, 0.0216653", \
-            "0.0256386, 0.0256686, 0.0255693, 0.0255987, 0.0256175, 0.0256451" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00740588, 0.0109628, 0.017995, 0.0318984, 0.0593865, 0.113733");
-          values ( \
-            "0.0171283, 0.0171275, 0.0171269, 0.0171269, 0.0171266, 0.0171265", \
-            "0.0170616, 0.0170615, 0.0170613, 0.0170614, 0.0170613, 0.0170614", \
-            "0.0171976, 0.0171999, 0.0172084, 0.0172059, 0.0171946, 0.017208", \
-            "0.0177968, 0.0178034, 0.0177925, 0.0178222, 0.0178192, 0.0178066", \
-            "0.0194727, 0.0194455, 0.0193905, 0.0193905, 0.019409, 0.019375", \
-            "0.0231644, 0.0231208, 0.0230417, 0.0230372, 0.0229932, 0.0230142" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00572667;
-      rise_capacitance : 0.00572667;
-      rise_capacitance_range (0.00309174, 0.00572667);
-      fall_capacitance : 0.00567559;
-      fall_capacitance_range (0.0028675, 0.00567559);
-      receiver_capacitance () {
-        when : "OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00229957, 0.00260615, 0.00275189, 0.00282702, 0.00286165, 0.00287857" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00299442, 0.00307688, 0.00311384, 0.00313157, 0.00314019, 0.00314424" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00257258, 0.00294679, 0.00311202, 0.00319219, 0.00322837, 0.00324608" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00315944, 0.00311266, 0.00307915, 0.00306683, 0.00306158, 0.0030594" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00256762, 0.00311456, 0.0033992, 0.00353787, 0.00360113, 0.00363123" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00352865, 0.00365535, 0.00366145, 0.00365982, 0.00365886, 0.00365916" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00238065, 0.00276538, 0.00295523, 0.00304745, 0.00309222, 0.00311388" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00310927, 0.00324007, 0.00330891, 0.00334822, 0.00336226, 0.00336833" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(OE * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(OE * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00346373, -0.00347158, -0.00346803, -0.00348663, -0.00347328, -0.00346714" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00346373, 0.00347158, 0.00346803, 0.00348663, 0.00347328, 0.00346714" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(OE * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(OE * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00302705, -0.00303137, -0.00305669, -0.0030527, -0.0030392, -0.00302876" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00306229, 0.00306337, 0.00307741, 0.00308141, 0.00306983, 0.0030636" \
-          );
-        }
-      }
-    }
-    pin (OE) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00899616;
-      rise_capacitance : 0.00888471;
-      rise_capacitance_range (0.00631328, 0.00888471);
-      fall_capacitance : 0.00899616;
-      fall_capacitance_range (0.00641614, 0.00899616);
-      receiver_capacitance () {
-        when : "A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0058151, 0.00747494, 0.00860546, 0.00932801, 0.0097513, 0.0101159" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00853339, 0.00905172, 0.00921537, 0.00929877, 0.00880699, 0.00831009" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00489568, 0.00584099, 0.00637551, 0.00667712, 0.00683408, 0.0069193" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00735566, 0.00823306, 0.00903199, 0.00999428, 0.0111065, 0.011262" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00589619, 0.00756931, 0.00864992, 0.0093487, 0.00976249, 0.0101209" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00873696, 0.00919135, 0.00932833, 0.00938466, 0.00887249, 0.00836336" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00489497, 0.0058621, 0.00642499, 0.00674673, 0.00691126, 0.00699228" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0074809, 0.00843498, 0.00916984, 0.00997187, 0.0110093, 0.011177" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00634084, -0.00675062, -0.00708858, -0.00703941, -0.00596447, -0.00236681" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0146647, 0.0146242, 0.014718, 0.0153908, 0.0169335, 0.0209026" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00621927, -0.00653377, -0.00682933, -0.00685985, -0.00581435, -0.00227985" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0144618, 0.0143971, 0.0145389, 0.0151523, 0.0167336, 0.0206946" \
-          );
-        }
-      }
-    }
-  }
-  cell (TNBUFIXL) {
-    area : 12.4542;
-    cell_footprint : "TNBUFI";
-    cell_leakage_power : 0.146895;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.172521;
-      when : "(A * OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.172521;
-      when : "(A * OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.178165;
-      when : "(A * !OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.171649;
-      when : "(!A * OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * OE * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.171649;
-      when : "(!A * OE * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0148621;
-      when : "(!A * !OE * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.146895;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "!A";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      three_state : "OE";
-      max_capacitance : 0.0755607;
-      capacitance : 0.0028826;
-      rise_capacitance : 0.00274355;
-      rise_capacitance_range (0.00274355, 0.00274355);
-      fall_capacitance : 0.0028826;
-      fall_capacitance_range (0.0028826, 0.0028826);
-      timing () {
-        related_pin : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.0541394, 0.0744501, 0.110684, 0.176149, 0.295512, 0.513964", \
-            "0.0584581, 0.0789173, 0.115434, 0.181232, 0.300853, 0.519474", \
-            "0.0710188, 0.091061, 0.1272, 0.193195, 0.313111, 0.532004", \
-            "0.0988457, 0.12182, 0.157292, 0.220476, 0.342129, 0.561086", \
-            "0.140775, 0.173606, 0.222106, 0.291954, 0.409602, 0.62755", \
-            "0.209837, 0.254452, 0.322743, 0.422608, 0.564522, 0.780722" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.0549278, 0.0826174, 0.132999, 0.22504, 0.393609, 0.702342", \
-            "0.0547579, 0.0825691, 0.132979, 0.225039, 0.393609, 0.702342", \
-            "0.0564037, 0.0824313, 0.132919, 0.224961, 0.39363, 0.702471", \
-            "0.073845, 0.0943296, 0.137261, 0.224972, 0.393601, 0.702481", \
-            "0.104677, 0.132091, 0.172305, 0.244588, 0.397009, 0.702467", \
-            "0.152536, 0.190524, 0.247232, 0.328993, 0.456219, 0.722" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.034015, 0.045316, 0.0655908, 0.102366, 0.169445, 0.292261", \
-            "0.0384136, 0.0498382, 0.0702829, 0.107208, 0.17438, 0.297272", \
-            "0.0478092, 0.0601706, 0.0806854, 0.117773, 0.185109, 0.308157", \
-            "0.057495, 0.075637, 0.102662, 0.141385, 0.209032, 0.332167", \
-            "0.0626307, 0.0888549, 0.12786, 0.183804, 0.263014, 0.386225", \
-            "0.0524694, 0.0893109, 0.144535, 0.22521, 0.340054, 0.500587" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.027843, 0.0426534, 0.0697393, 0.119434, 0.210579, 0.37744", \
-            "0.0279246, 0.0426413, 0.0697811, 0.119433, 0.210539, 0.377482", \
-            "0.0338041, 0.045881, 0.0705134, 0.119402, 0.210423, 0.377484", \
-            "0.0494786, 0.063417, 0.0847564, 0.125687, 0.21102, 0.377497", \
-            "0.074716, 0.0936992, 0.122212, 0.16502, 0.235735, 0.383712", \
-            "0.118263, 0.143819, 0.182818, 0.240649, 0.325434, 0.454471" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0288818, 0.0342611, 0.0439487, 0.0636024, 0.0695353, 0.0739703, 0.0825841, 0.0875716, 0.0913184, 0.101146, 0.112298, 0.121196, 0.128438, 0.138095, 0.147391, 0.159415, 0.17748, 0.200467, 0.224987, 0.24675");
-            values ( \
-              "0.0165076, 0.105494, 0.0973548, 0.0849827, 0.0793507, 0.0729807, 0.0571802, 0.0496932, 0.0446896, 0.0341743, 0.0253274, 0.0199044, 0.0163279, 0.0124217, 0.00954129, 0.00673975, 0.00395572, 0.00199109, 0.000950354, 0.000541728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00667684");
-            index_3 ("0.0306103, 0.0340374, 0.0359979, 0.0419008, 0.0468864, 0.0522403, 0.0776957, 0.0846484, 0.0886128, 0.0934178, 0.098763, 0.109453, 0.12152, 0.129995, 0.144792, 0.16043, 0.166941, 0.178176, 0.191015, 0.204717, 0.212491, 0.228038, 0.242427, 0.264451, 0.293816, 0.341021, 0.397611");
-            values ( \
-              "0.015959, 0.124652, 0.123915, 0.119428, 0.116168, 0.113584, 0.103536, 0.100044, 0.0975008, 0.0936094, 0.0880035, 0.0744616, 0.0605131, 0.0521063, 0.0399206, 0.0296812, 0.0261572, 0.0209024, 0.0160222, 0.012013, 0.0101706, 0.00724438, 0.00528497, 0.00323898, 0.00164298, 0.000506258, 0.000113239" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0122462");
-            index_3 ("0.0307699, 0.0361018, 0.0395192, 0.0409519, 0.04929, 0.0665779, 0.0840209, 0.102938, 0.115829, 0.122279, 0.132126, 0.14313, 0.164544, 0.184876, 0.203014, 0.22367, 0.244557, 0.255693, 0.276266, 0.29355, 0.307693, 0.324882, 0.349144, 0.374737, 0.394395, 0.43371, 0.487325");
-            values ( \
-              "0.0279868, 0.137677, 0.136078, 0.13451, 0.12996, 0.125318, 0.121688, 0.116895, 0.113164, 0.110832, 0.106457, 0.0996125, 0.082807, 0.0677342, 0.0556794, 0.0437158, 0.0335911, 0.0290551, 0.021976, 0.0172465, 0.0140957, 0.0109706, 0.00765357, 0.00522492, 0.00387688, 0.00210189, 0.000981895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0224612");
-            index_3 ("0.033121, 0.0389253, 0.0430746, 0.0545571, 0.124016, 0.154515, 0.187752, 0.204669, 0.223379, 0.314158, 0.355645, 0.389656, 0.41887, 0.440407, 0.475754, 0.518369, 0.557152, 0.597871, 0.629119, 0.691615, 0.775155, 0.783523");
-            values ( \
-              "0.133317, 0.145657, 0.142566, 0.138362, 0.129175, 0.124648, 0.118511, 0.114141, 0.107596, 0.0663903, 0.0497661, 0.0383158, 0.0302055, 0.0251738, 0.0184852, 0.0125876, 0.00878014, 0.00600573, 0.00446983, 0.00242614, 0.00104667, 0.001004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411969");
-            index_3 ("0.0360999, 0.0361199, 0.110779, 0.190948, 0.248463, 0.289968, 0.337037, 0.369846, 0.441457, 0.54111, 0.600355, 0.655867, 0.701335, 0.736487, 0.800839, 0.874565, 0.939491, 1.02447, 1.10801, 1.19155, 1.35863, 1.52571");
-            values ( \
-              "1e-22, 0.156877, 0.140279, 0.13422, 0.129312, 0.12523, 0.11894, 0.112636, 0.0946372, 0.0675352, 0.0529737, 0.0412553, 0.0332088, 0.0278887, 0.0200301, 0.0135293, 0.00948207, 0.00591826, 0.00367803, 0.00228319, 0.000873243, 0.000333676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0755607");
-            index_3 ("0.0391204, 0.0391404, 0.172646, 0.318917, 0.449357, 0.560051, 0.629134, 0.688045, 0.764821, 0.977519, 1.133, 1.23673, 1.29754, 1.39381, 1.49807, 1.64674, 1.77355, 1.85709, 1.94063, 2.10771, 2.27479, 2.60895");
-            values ( \
-              "1e-22, 0.161705, 0.143083, 0.136839, 0.130498, 0.123376, 0.116684, 0.109248, 0.098032, 0.0648399, 0.0441698, 0.0333599, 0.0280189, 0.0211157, 0.015403, 0.00969985, 0.00648831, 0.00501212, 0.00378858, 0.00219777, 0.0012671, 0.000409703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.042932, 0.0559129, 0.0578203, 0.0601112, 0.0624284, 0.069212, 0.0814331, 0.0878372, 0.0922811, 0.10089, 0.105884, 0.109635, 0.119449, 0.130596, 0.139497, 0.146744, 0.156407, 0.165687, 0.177688, 0.191064, 0.206472, 0.218723, 0.243225, 0.260742");
-            values ( \
-              "0.00069803, 0.100339, 0.101303, 0.100718, 0.0992435, 0.0940498, 0.0855815, 0.0794822, 0.0729429, 0.0572554, 0.0497134, 0.0446645, 0.0342034, 0.0253574, 0.0198878, 0.0163079, 0.0124433, 0.00956778, 0.00676986, 0.00454869, 0.0028893, 0.00201773, 0.00092907, 0.000621758" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00667684");
-            index_3 ("0.0437792, 0.0578672, 0.0605405, 0.075194, 0.0976729, 0.106525, 0.117207, 0.130173, 0.141084, 0.150159, 0.159872, 0.169953, 0.183281, 0.195459, 0.204518, 0.219506, 0.237616, 0.254037, 0.27137, 0.284685, 0.311314, 0.359167, 0.415937");
-            values ( \
-              "0.00343848, 0.121316, 0.121049, 0.112264, 0.102835, 0.0978708, 0.0880494, 0.071518, 0.0593537, 0.0505507, 0.0425093, 0.0352778, 0.0272563, 0.0214142, 0.017786, 0.0129914, 0.00880688, 0.00613794, 0.00419248, 0.00311604, 0.00169014, 0.000510979, 0.000112975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0122462");
-            index_3 ("0.0524148, 0.0524348, 0.0752268, 0.0852517, 0.119814, 0.132227, 0.14095, 0.158396, 0.193879, 0.209024, 0.224836, 0.247218, 0.264736, 0.277485, 0.29191, 0.31104, 0.328572, 0.351948, 0.377257, 0.418188, 0.472763, 0.544642, 0.628182");
-            values ( \
-              "1e-22, 0.147033, 0.128349, 0.125499, 0.117398, 0.113849, 0.110865, 0.102133, 0.074683, 0.0638959, 0.0537257, 0.041172, 0.0329657, 0.0278799, 0.0229109, 0.0175296, 0.0136485, 0.00967632, 0.00664411, 0.0035703, 0.00148783, 0.000425559, 0.000101482" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0224612");
-            index_3 ("0.0544696, 0.0544896, 0.09338, 0.143093, 0.173515, 0.20675, 0.223654, 0.242377, 0.333156, 0.37464, 0.408652, 0.426412, 0.459402, 0.487187, 0.509861, 0.537356, 0.576136, 0.616842, 0.648078, 0.71055, 0.79409, 0.813275");
-            values ( \
-              "1e-22, 0.159256, 0.13565, 0.129151, 0.124638, 0.118504, 0.114153, 0.107589, 0.0663979, 0.0497732, 0.038312, 0.0332074, 0.025172, 0.0197582, 0.0161545, 0.0125864, 0.00878411, 0.00600951, 0.00446951, 0.00242977, 0.00104529, 0.000947405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411969");
-            index_3 ("0.0565981, 0.0566181, 0.13006, 0.210243, 0.267757, 0.309266, 0.356332, 0.38914, 0.460751, 0.560404, 0.619648, 0.703051, 0.755776, 0.806095, 0.848194, 0.893852, 0.958773, 1.04374, 1.12728, 1.21082, 1.32796");
-            values ( \
-              "1e-22, 0.161744, 0.14028, 0.134221, 0.129315, 0.125227, 0.118938, 0.112638, 0.0946394, 0.0675343, 0.0529729, 0.0361571, 0.0278906, 0.0215492, 0.0172833, 0.0135308, 0.00948197, 0.00591832, 0.00367934, 0.00228274, 0.00129409" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0755607");
-            index_3 ("0.0595825, 0.0596025, 0.192093, 0.367129, 0.527692, 0.579503, 0.648585, 0.707508, 0.784273, 0.997617, 1.15284, 1.25529, 1.31641, 1.41321, 1.51848, 1.66682, 1.793, 1.87654, 2.12716, 2.29165");
-            values ( \
-              "1e-22, 0.164, 0.143108, 0.13555, 0.127109, 0.123391, 0.116671, 0.109254, 0.0980353, 0.0647459, 0.0441265, 0.0334332, 0.0280772, 0.0211314, 0.0153707, 0.00969393, 0.00650245, 0.00499772, 0.00221266, 0.00129681" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.082019, 0.095033, 0.0989605, 0.101604, 0.104248, 0.105382, 0.106516, 0.10765, 0.109285, 0.110787, 0.112729, 0.112792, 0.113716, 0.114551, 0.115106, 0.115907, 0.116707, 0.117318, 0.118541, 0.120019, 0.122451, 0.125282, 0.126765, 0.128988, 0.131953, 0.133435, 0.135195, 0.145268, 0.147664, 0.150858, 0.15565, 0.157247, 0.161976, 0.166688, 0.171827, 0.175847, 0.180459, 0.182765, 0.188473, 0.190809, 0.193923, 0.197036, 0.201834, 0.209423, 0.213612, 0.217112, 0.223424, 0.226449, 0.2325, 0.240806");
-            values ( \
-              "0.0556983, 0.0561339, 0.0622673, 0.0683878, 0.0757929, 0.0759955, 0.0763703, 0.0769174, 0.0780094, 0.0793291, 0.0816391, 0.0835568, 0.0870003, 0.0888807, 0.0897226, 0.0903301, 0.0907081, 0.0907109, 0.0905778, 0.0901697, 0.0886463, 0.0863262, 0.0848758, 0.0824566, 0.078776, 0.0767407, 0.0740069, 0.0558928, 0.0519742, 0.0473739, 0.041514, 0.0397647, 0.0350213, 0.0306362, 0.0268023, 0.0240893, 0.0212794, 0.0199947, 0.0170454, 0.015906, 0.0146246, 0.0134247, 0.0117659, 0.00941139, 0.0082922, 0.00749927, 0.0062613, 0.00576259, 0.00482671, 0.00367567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00667684");
-            index_3 ("0.0714267, 0.0993142, 0.108788, 0.112634, 0.113823, 0.116201, 0.118363, 0.120703, 0.12456, 0.129784, 0.135663, 0.14541, 0.147402, 0.151386, 0.160142, 0.160265, 0.175498, 0.186621, 0.196341, 0.206132, 0.219186, 0.227831, 0.234616, 0.243663, 0.257845, 0.267272, 0.284259, 0.304413, 0.337844, 0.381874, 0.432884");
-            values ( \
-              "0.00259457, 0.0761094, 0.0941685, 0.10069, 0.109438, 0.110774, 0.114667, 0.11146, 0.112684, 0.106933, 0.106925, 0.0990035, 0.100763, 0.0950276, 0.089696, 0.087875, 0.0688874, 0.0564422, 0.0476812, 0.0399399, 0.0313365, 0.0263725, 0.0230403, 0.0193083, 0.014223, 0.0116473, 0.00805367, 0.00514744, 0.00238906, 0.000910891, 0.000155923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0122462");
-            index_3 ("0.0791016, 0.0900809, 0.0990251, 0.112605, 0.114231, 0.116754, 0.118648, 0.122435, 0.136132, 0.171583, 0.180039, 0.190519, 0.204378, 0.235435, 0.259147, 0.284402, 0.305676, 0.317149, 0.337829, 0.354915, 0.368686, 0.385956, 0.410273, 0.436085, 0.455934, 0.495634, 0.566226, 0.649766");
-            values ( \
-              "0.0175326, 0.0628631, 0.0852114, 0.11488, 0.122512, 0.128306, 0.129257, 0.129142, 0.12407, 0.114836, 0.112136, 0.107853, 0.0997096, 0.0754579, 0.0588684, 0.0439898, 0.0336566, 0.0289812, 0.0218863, 0.0172183, 0.014148, 0.0110026, 0.00766612, 0.00521643, 0.00386152, 0.00207806, 0.000623621, 0.000138275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0224612");
-            index_3 ("0.079253, 0.0966989, 0.114731, 0.119645, 0.124069, 0.142751, 0.216422, 0.248557, 0.265012, 0.290578, 0.375232, 0.424229, 0.475163, 0.498031, 0.53753, 0.592128, 0.622693, 0.663786, 0.718576, 0.802116, 0.81826");
-            values ( \
-              "0.00828317, 0.0844891, 0.13301, 0.140075, 0.139788, 0.134961, 0.124634, 0.118829, 0.114577, 0.105414, 0.0667097, 0.0473327, 0.0316373, 0.0260939, 0.0184883, 0.0112637, 0.00848651, 0.00577509, 0.00340367, 0.00147055, 0.00135479" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411969");
-            index_3 ("0.0791096, 0.1044, 0.115232, 0.121305, 0.132105, 0.147047, 0.244095, 0.310734, 0.36743, 0.397472, 0.432105, 0.503756, 0.62274, 0.661664, 0.717924, 0.800623, 0.849572, 0.89028, 0.936261, 1.00143, 1.06855, 1.11986, 1.2034, 1.28694, 1.37391");
-            values ( \
-              "0.00835934, 0.109005, 0.140136, 0.146721, 0.14413, 0.142152, 0.134922, 0.129319, 0.123501, 0.119208, 0.112676, 0.0946524, 0.0626098, 0.0531952, 0.0413076, 0.0276339, 0.0214959, 0.0173671, 0.0135765, 0.00949854, 0.00656162, 0.00492342, 0.00305829, 0.00189303, 0.00128342" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0755607");
-            index_3 ("0.0986419, 0.0986619, 0.267434, 0.284082, 0.317377, 0.381984, 0.465524, 0.483196, 0.518542, 0.588587, 0.672127, 0.700967, 0.758646, 0.82774, 0.893849, 0.974577, 0.98718, 1.01239, 1.0628, 1.12796, 1.2115, 1.23136, 1.27107, 1.34034, 1.42388, 1.45945, 1.5306, 1.60287, 1.68641, 1.7392, 1.75204, 1.77773, 1.8291, 1.89895, 1.98249, 2.06603, 2.14957, 2.23311, 2.31665, 2.40019, 2.44739");
-            values ( \
-              "1e-22, 0.151326, 0.143287, 0.139574, 0.141187, 0.135329, 0.134432, 0.130508, 0.131692, 0.124437, 0.120417, 0.114113, 0.109769, 0.0964849, 0.0892354, 0.0733682, 0.0745298, 0.067567, 0.0632036, 0.0511216, 0.0439944, 0.0387033, 0.0376708, 0.028178, 0.0248613, 0.0194308, 0.0184813, 0.0120168, 0.0120112, 0.00731504, 0.010068, 0.00630146, 0.00822953, 0.00379054, 0.0056415, 0.00156878, 0.00393796, 0.000263544, 0.00294088, 1e-22, 0.00133358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.124566, 0.145119, 0.149517, 0.169527, 0.186886, 0.200614, 0.210677, 0.216203, 0.223374, 0.233811, 0.244231, 0.248982, 0.250883, 0.253106, 0.266418, 0.273533, 0.282804, 0.287862, 0.297482, 0.306821, 0.314926, 0.323402, 0.335551, 0.343284, 0.35764, 0.376781, 0.405187");
-            values ( \
-              "0.00063706, 0.0121239, 0.0149351, 0.0318198, 0.0435999, 0.0518937, 0.0572632, 0.0598026, 0.0624315, 0.0633195, 0.0515868, 0.0443314, 0.0444877, 0.0428333, 0.0311635, 0.0257718, 0.0200335, 0.0174571, 0.0133534, 0.0102429, 0.00812484, 0.00634915, 0.00444287, 0.0035381, 0.00231094, 0.0012929, 0.000575509" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00667684");
-            index_3 ("0.13157, 0.146988, 0.157976, 0.179663, 0.201265, 0.218283, 0.233008, 0.246503, 0.249146, 0.251741, 0.255564, 0.258615, 0.272425, 0.279682, 0.289359, 0.300366, 0.3067, 0.319368, 0.327419, 0.340064, 0.354515, 0.361636, 0.370059, 0.381291, 0.397002, 0.416937, 0.443516, 0.477985");
-            values ( \
-              "0.00919635, 0.0166896, 0.0260027, 0.0467408, 0.0643862, 0.0770281, 0.0861373, 0.0917347, 0.091761, 0.0938989, 0.0925453, 0.0895514, 0.071781, 0.0632647, 0.0533514, 0.0439294, 0.0391154, 0.0307487, 0.0263135, 0.020423, 0.0151142, 0.0130192, 0.0108836, 0.00854111, 0.00604464, 0.00388875, 0.00211953, 0.00102737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0122462");
-            index_3 ("0.135136, 0.161732, 0.187505, 0.194234, 0.207691, 0.221778, 0.243541, 0.248867, 0.252562, 0.257526, 0.262436, 0.272005, 0.281727, 0.301307, 0.310663, 0.327083, 0.338538, 0.356044, 0.365349, 0.377756, 0.401025, 0.409645, 0.419006, 0.431487, 0.451647, 0.457608, 0.469529, 0.493371, 0.511369, 0.518036, 0.531368, 0.558034, 0.611364, 0.683154, 0.766694");
-            values ( \
-              "0.00771191, 0.0338586, 0.0608376, 0.0671112, 0.0801058, 0.092505, 0.1103, 0.113693, 0.117908, 0.119235, 0.117692, 0.114389, 0.110905, 0.100782, 0.0935512, 0.0805408, 0.0718283, 0.0596943, 0.0538745, 0.0466332, 0.0348742, 0.0312275, 0.0275916, 0.0232906, 0.0175592, 0.0161412, 0.0136031, 0.00958153, 0.00733694, 0.00664345, 0.00544178, 0.00362369, 0.00153992, 0.00044631, 0.000101728" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0224612");
-            index_3 ("0.158579, 0.184926, 0.191091, 0.197281, 0.20347, 0.209659, 0.215849, 0.222583, 0.229318, 0.234352, 0.239385, 0.244419, 0.249452, 0.251483, 0.252643, 0.253803, 0.255767, 0.257695, 0.261514, 0.267588, 0.274064, 0.305621, 0.312297, 0.322311, 0.330619, 0.335588, 0.345527, 0.381154, 0.385245, 0.400067, 0.430064, 0.453423, 0.492298, 0.509875, 0.5238, 0.531866, 0.547998, 0.564307, 0.574563, 0.58482, 0.595076, 0.610075, 0.625075, 0.633487, 0.6419, 0.650313, 0.663758, 0.677202, 0.705933, 0.732188");
-            values ( \
-              "0.0613248, 0.0617848, 0.0683375, 0.0757339, 0.0835921, 0.0919122, 0.100694, 0.112293, 0.125088, 0.122963, 0.122786, 0.124556, 0.128274, 0.131948, 0.132922, 0.133509, 0.133449, 0.133315, 0.132846, 0.131553, 0.130371, 0.125664, 0.124614, 0.122904, 0.1213, 0.120236, 0.117905, 0.107437, 0.105963, 0.0993788, 0.0851897, 0.0745163, 0.0575993, 0.050931, 0.0459924, 0.0432709, 0.0381341, 0.0333632, 0.0304966, 0.0280558, 0.0257588, 0.0227113, 0.0198666, 0.0183599, 0.0169603, 0.0156678, 0.0139251, 0.0123364, 0.00951668, 0.00724393" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411969");
-            index_3 ("0.150513, 0.252739, 0.257673, 0.277432, 0.351985, 0.395504, 0.433901, 0.466998, 0.526336, 0.573623, 0.656317, 0.719126, 0.756385, 0.814776, 0.864515, 0.900966, 0.947965, 0.986115, 1.03269, 1.09832, 1.12754, 1.16714, 1.21994, 1.30348, 1.38702, 1.47056, 1.5541, 1.72118");
-            values ( \
-              "0.0280093, 0.14007, 0.142636, 0.139813, 0.134105, 0.130458, 0.126875, 0.123218, 0.113509, 0.101997, 0.0793961, 0.0628697, 0.0538712, 0.0414079, 0.032666, 0.0272413, 0.0214027, 0.0175258, 0.0136563, 0.00953251, 0.0081192, 0.00652241, 0.00485305, 0.0030136, 0.00186589, 0.00115296, 0.000711784, 0.000270779" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0755607");
-            index_3 ("0.174281, 0.213538, 0.253769, 0.260938, 0.266843, 0.28588, 0.478924, 0.580107, 0.663647, 0.729336, 0.797781, 0.881321, 1.15874, 1.30782, 1.43803, 1.52157, 1.62606, 1.69885, 1.84892, 1.92734, 2.09442, 2.2615, 2.42858, 2.45042");
-            values ( \
-              "0.100363, 0.100738, 0.14539, 0.147651, 0.146342, 0.144947, 0.13686, 0.13205, 0.12737, 0.122595, 0.115704, 0.10452, 0.0617824, 0.042501, 0.0296762, 0.0232479, 0.0169975, 0.0136182, 0.00851003, 0.00664223, 0.0038877, 0.0022642, 0.00131439, 0.0012636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.217377, 0.275722, 0.291702, 0.302985, 0.310449, 0.325378, 0.349912, 0.360778, 0.381634, 0.399804, 0.416403, 0.432038, 0.447473, 0.471264, 0.491044, 0.510241, 0.528478, 0.550683, 0.555088, 0.560783, 0.583236, 0.602923, 0.611449");
-            values ( \
-              "0.000901648, 0.00359092, 0.00589396, 0.00804319, 0.00993416, 0.0152312, 0.0253161, 0.0287146, 0.0341489, 0.0380176, 0.0409522, 0.0428243, 0.0422503, 0.0262023, 0.0162092, 0.0101579, 0.00630391, 0.00346026, 0.00456652, 0.00486718, 0.00277649, 0.00153151, 0.00127581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00667684");
-            index_3 ("0.222457, 0.274973, 0.295756, 0.3191, 0.379106, 0.427947, 0.467794, 0.487116, 0.517177, 0.545434, 0.549934, 0.551605, 0.556589, 0.596643, 0.625621, 0.668127, 0.689139");
-            values ( \
-              "0.00167649, 0.00550921, 0.00947476, 0.0166365, 0.0419291, 0.0561837, 0.0637013, 0.059786, 0.0376283, 0.0230206, 0.021261, 0.0220111, 0.0215704, 0.00994791, 0.00525604, 0.00203237, 0.0016411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0122462");
-            index_3 ("0.232186, 0.275894, 0.289764, 0.301153, 0.32393, 0.366221, 0.40935, 0.442918, 0.471815, 0.497932, 0.52279, 0.550856, 0.553948, 0.557336, 0.588947, 0.603367, 0.625704, 0.647765, 0.665468, 0.679964, 0.696381, 0.718269, 0.735273, 0.752585, 0.775667, 0.821832, 0.836331");
-            values ( \
-              "0.00310245, 0.00770618, 0.0107512, 0.01373, 0.021827, 0.0424684, 0.0596429, 0.0716633, 0.0810796, 0.0877358, 0.0898435, 0.0750351, 0.0749369, 0.0734875, 0.0532785, 0.0449555, 0.0339692, 0.0253905, 0.019876, 0.0162034, 0.012799, 0.00927503, 0.00720585, 0.00556409, 0.00392071, 0.00189051, 0.00161806" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0224612");
-            index_3 ("0.275021, 0.316419, 0.338668, 0.382859, 0.451494, 0.49507, 0.532649, 0.549982, 0.558085, 0.566885, 0.598324, 0.611003, 0.696096, 0.729977, 0.767033, 0.80365, 0.833138, 0.854416, 0.878332, 0.903784, 0.939474, 0.982072, 1.03887, 1.12241, 1.20595, 1.28949");
-            values ( \
-              "0.0166796, 0.0224029, 0.0323185, 0.0541704, 0.0840987, 0.101374, 0.114031, 0.11853, 0.119967, 0.118928, 0.109248, 0.104067, 0.0651968, 0.0515659, 0.0387968, 0.0287993, 0.0223507, 0.0185463, 0.0149766, 0.0118821, 0.00852724, 0.0057208, 0.00330725, 0.00142503, 0.000612307, 0.000260044" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411969");
-            index_3 ("0.274996, 0.337436, 0.416695, 0.480373, 0.530857, 0.549957, 0.558614, 0.56962, 0.580866, 0.645341, 0.68461, 0.711274, 0.74728, 0.818897, 0.93771, 0.976401, 1.03296, 1.07997, 1.11652, 1.1649, 1.20504, 1.25115, 1.31642, 1.3839, 1.43553, 1.51907, 1.60261, 1.76969, 1.93677");
-            values ( \
-              "0.013296, 0.0342427, 0.0734509, 0.103292, 0.124976, 0.132349, 0.13471, 0.134658, 0.133115, 0.12749, 0.123197, 0.119442, 0.112663, 0.0946513, 0.0626538, 0.0532883, 0.0413271, 0.0330102, 0.0275305, 0.0214741, 0.0174015, 0.0135969, 0.00950522, 0.0065526, 0.0049103, 0.00304767, 0.00188881, 0.000721156, 0.000274907" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0755607");
-            index_3 ("0.274911, 0.366239, 0.491116, 0.532623, 0.549872, 0.559757, 0.571975, 0.583191, 0.597978, 0.695965, 0.779505, 0.832504, 0.902562, 0.986102, 1.07262, 1.14171, 1.32636, 1.44194, 1.52548, 1.58504, 1.65431, 1.73785, 1.77342, 1.84456, 1.91683, 2.00037, 2.09167, 2.22656, 2.39364, 2.56072, 2.7278, 3.06196");
-            values ( \
-              "0.00948182, 0.0501189, 0.112955, 0.132261, 0.139897, 0.142534, 0.142721, 0.141604, 0.141128, 0.136827, 0.132932, 0.130185, 0.125946, 0.118907, 0.108256, 0.0979998, 0.0690912, 0.0526477, 0.0424687, 0.0361414, 0.0297093, 0.0233303, 0.0209641, 0.0169488, 0.0135515, 0.0104772, 0.00784272, 0.00510198, 0.00297575, 0.00172779, 0.00099949, 0.000330081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.527713, 0.608257, 0.639575, 0.644439, 0.654169, 0.673627, 0.690167, 0.723248, 0.75354, 0.766366, 0.793268, 0.817854, 0.841114, 0.863818, 0.8865, 0.901379, 0.91676, 0.934738, 0.949491, 0.963116, 0.973046, 0.992908, 1.01447, 1.03108, 1.06431, 1.11588, 1.21593, 1.23722, 1.26454, 1.28879, 1.33728");
-            values ( \
-              "0.00195551, 0.00283953, 0.00435551, 0.00470548, 0.00549678, 0.0076778, 0.0104208, 0.0167285, 0.0214029, 0.0229387, 0.0257911, 0.0275492, 0.0287692, 0.0290355, 0.026344, 0.0200343, 0.0147326, 0.0103307, 0.00750283, 0.00554421, 0.00439754, 0.00270791, 0.00159343, 0.00104647, 0.000429962, 0.000105043, 2.80232e-05, 0.000746923, 0.000571939, 0.000152618, 6.63562e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00667684");
-            index_3 ("0.527467, 0.608209, 0.640951, 0.656248, 0.686842, 0.744375, 0.781898, 0.798021, 0.831688, 0.862437, 0.891405, 0.919617, 0.947786, 0.979156, 1.01224, 1.03641, 1.0668, 1.096, 1.11621, 1.1393, 1.18548, 1.21588, 1.23539, 1.2595, 1.26539");
-            values ( \
-              "0.00315871, 0.00444534, 0.0066236, 0.00814722, 0.0126457, 0.025237, 0.0316171, 0.0337816, 0.0377443, 0.0404796, 0.0424422, 0.0429173, 0.0370216, 0.0242743, 0.0147018, 0.00968991, 0.00552738, 0.0031229, 0.00209758, 0.00130064, 0.000479222, 0.00025179, 0.00128071, 0.00117852, 0.00101313" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0122462");
-            index_3 ("0.52716, 0.606431, 0.631063, 0.657336, 0.675152, 0.710784, 0.772012, 0.79726, 0.847481, 0.891061, 0.930617, 0.967629, 1.00393, 1.04459, 1.0975, 1.11162, 1.13606, 1.15598, 1.17303, 1.19428, 1.21574, 1.22612, 1.23811, 1.25409, 1.28605, 1.31712, 1.37927, 1.46067");
-            values ( \
-              "0.00469566, 0.00643766, 0.00837234, 0.0112089, 0.0137973, 0.0210314, 0.0353223, 0.039975, 0.0477856, 0.0535153, 0.0579604, 0.0610417, 0.0607256, 0.0476352, 0.0287106, 0.0246241, 0.0183697, 0.0142356, 0.0113622, 0.00848783, 0.00626666, 0.00684504, 0.00650529, 0.00514619, 0.00313784, 0.00195334, 0.000689026, 0.000167434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0224612");
-            index_3 ("0.527041, 0.628189, 0.668426, 0.709669, 0.770084, 0.855673, 0.922036, 0.979065, 1.03051, 1.07869, 1.12691, 1.21574, 1.23285, 1.28064, 1.32461, 1.37058, 1.3959, 1.44552, 1.47364, 1.52989, 1.61343, 1.64175");
-            values ( \
-              "0.005202, 0.0107924, 0.0159301, 0.0238157, 0.0386158, 0.0553885, 0.066574, 0.0752467, 0.0819445, 0.0858711, 0.0802021, 0.0504275, 0.0467947, 0.0325808, 0.0224263, 0.014925, 0.0118499, 0.0074741, 0.0057385, 0.00333195, 0.00143953, 0.00115943" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411969");
-            index_3 ("0.527022, 0.660922, 0.677304, 0.710068, 0.806378, 0.829182, 0.942327, 1.02587, 1.08081, 1.14072, 1.17249, 1.19414, 1.20497, 1.21579, 1.22644, 1.24983, 1.3039, 1.39863, 1.46905, 1.51989, 1.57337, 1.60926, 1.65563, 1.69263, 1.73947, 1.8053, 1.83479, 1.8749, 1.92839, 2.01193, 2.09547, 2.17901, 2.26255, 2.42963");
-            values ( \
-              "0.0042946, 0.0178707, 0.0205252, 0.0268064, 0.0493811, 0.0542329, 0.0766687, 0.092094, 0.101333, 0.110042, 0.113583, 0.115055, 0.115267, 0.115066, 0.114646, 0.109815, 0.0959157, 0.0700682, 0.0525703, 0.0418684, 0.0324111, 0.0271053, 0.0213571, 0.0175956, 0.0136954, 0.00954577, 0.00811904, 0.00650371, 0.00482211, 0.00299253, 0.00185446, 0.00114423, 0.000707993, 0.000269902" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0755607");
-            index_3 ("0.608131, 0.704833, 0.855437, 0.99848, 1.06485, 1.1332, 1.2158, 1.23665, 1.25984, 1.28341, 1.33054, 1.38878, 1.47232, 1.55886, 1.62794, 1.81258, 1.92816, 2.0117, 2.07128, 2.14054, 2.22408, 2.25964, 2.33077, 2.40304, 2.48658, 2.57789, 2.62925, 2.71279, 2.79633, 2.87987, 3.04695, 3.21403, 3.38111, 3.54819");
-            values ( \
-              "0.0280508, 0.0282462, 0.0622079, 0.0927287, 0.106288, 0.119429, 0.133737, 0.134293, 0.133324, 0.13194, 0.129596, 0.125897, 0.118942, 0.108258, 0.0980019, 0.0691013, 0.0526574, 0.0424615, 0.0361335, 0.0297153, 0.0233237, 0.0209702, 0.0169444, 0.0135576, 0.0104726, 0.00784644, 0.00668601, 0.00510518, 0.00391908, 0.00297879, 0.00173066, 0.0010022, 0.000578461, 0.000332477" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.00256414, 0.0026062, 0.00264134, 0.00266687, 0.00268348, 0.0026935", \
-            "0.00321029, 0.00326421, 0.00331655, 0.00335859, 0.00338782, 0.00340616", \
-            "0.00367736, 0.00370788, 0.00374182, 0.0037763, 0.00380445, 0.00382439", \
-            "0.00404772, 0.00403324, 0.00401314, 0.0040134, 0.00402122, 0.00403001", \
-            "0.00431702, 0.00427063, 0.00422179, 0.00418202, 0.00415489, 0.00414129", \
-            "0.00450651, 0.00443855, 0.00437881, 0.00432432, 0.00427161, 0.00422923" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.00377036, 0.0038067, 0.00384582, 0.0038773, 0.0038981, 0.00391053", \
-            "0.00420111, 0.00412253, 0.00406281, 0.00401919, 0.00401616, 0.00400247", \
-            "0.00437761, 0.00417818, 0.00401704, 0.00389659, 0.00381511, 0.00376327", \
-            "0.00479196, 0.00437018, 0.00407689, 0.003863, 0.00372258, 0.00363508", \
-            "0.00522891, 0.00514212, 0.00454094, 0.00409336, 0.00382313, 0.00365888", \
-            "0.00496978, 0.00508289, 0.00516335, 0.00486599, 0.004198, 0.00385502" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0249908, 0.0262143, 0.0283696, 0.0314658, 0.0334316, 0.0365588, 0.041419, 0.0454505, 0.0483316, 0.0531009, 0.0612718, 0.0665735, 0.0733582, 0.082224, 0.0905959, 0.102121, 0.111219");
-            values ( \
-              "-0.0574608, -0.157358, -0.162909, -0.165418, -0.16553, -0.16495, -0.161638, -0.15623, -0.148303, -0.128804, -0.0864832, -0.0634298, -0.040819, -0.0218737, -0.011752, -0.00490213, -0.00246378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00667684");
-            index_3 ("0.0249957, 0.0271504, 0.0299552, 0.0346745, 0.0411452, 0.0503705, 0.0548204, 0.0600733, 0.0635813, 0.0704543, 0.0846387, 0.0943813, 0.104168, 0.1121, 0.124534, 0.140181, 0.154006, 0.15686");
-            values ( \
-              "-0.030441, -0.193125, -0.197452, -0.198444, -0.196527, -0.191471, -0.187185, -0.179003, -0.170985, -0.147596, -0.0917492, -0.0612527, -0.0392285, -0.0268309, -0.0144179, -0.00648603, -0.0030813, -0.00279128" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0122462");
-            index_3 ("0.0261407, 0.0283553, 0.0313394, 0.0338196, 0.0362849, 0.0493657, 0.0604447, 0.0678869, 0.0771122, 0.0857408, 0.0931117, 0.100502, 0.121641, 0.128055, 0.1376, 0.151222, 0.160218, 0.167835, 0.175737, 0.191923, 0.201721, 0.221318, 0.25038, 0.288372");
-            values ( \
-              "-0.178536, -0.220265, -0.222843, -0.223098, -0.2229, -0.219253, -0.215325, -0.211874, -0.205475, -0.195631, -0.182505, -0.164009, -0.10614, -0.0906482, -0.0704865, -0.0480623, -0.036844, -0.029281, -0.0229501, -0.0137884, -0.0100913, -0.00527204, -0.00191086, -0.000450989" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0224612");
-            index_3 ("0.0266376, 0.0289496, 0.0323236, 0.0385287, 0.0723034, 0.095787, 0.113555, 0.125111, 0.132912, 0.148514, 0.184527, 0.210052, 0.232725, 0.248587, 0.271615, 0.284182, 0.30882, 0.322718, 0.350515, 0.403832, 0.461627");
-            values ( \
-              "-0.231394, -0.237399, -0.239576, -0.239136, -0.232243, -0.226431, -0.219549, -0.212335, -0.205747, -0.185834, -0.123528, -0.0849565, -0.0587844, -0.0447353, -0.0297094, -0.0236202, -0.0149382, -0.0115034, -0.00672458, -0.00219723, -0.00063143" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411969");
-            index_3 ("0.0267632, 0.0327669, 0.117628, 0.148915, 0.180981, 0.195677, 0.214472, 0.248429, 0.317397, 0.362765, 0.401671, 0.428265, 0.452209, 0.484134, 0.510826, 0.546751, 0.594651, 0.652446, 0.70136");
-            values ( \
-              "-0.241775, -0.249799, -0.239672, -0.234782, -0.227509, -0.222841, -0.214215, -0.188072, -0.117302, -0.079119, -0.0548078, -0.0419428, -0.0328639, -0.0236294, -0.0177109, -0.0120444, -0.00718991, -0.00367904, -0.00225261" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0755607");
-            index_3 ("0.0269371, 0.0293137, 0.033913, 0.0670589, 0.13497, 0.190143, 0.246318, 0.284334, 0.30392, 0.343093, 0.36403, 0.405904, 0.430211, 0.539137, 0.57475, 0.626369, 0.669854, 0.703712, 0.74811, 0.7851, 0.827752, 0.885547, 0.95384, 1.00586, 1.06366, 1.17925, 1.29484, 1.41043");
-            values ( \
-              "-0.247795, -0.253653, -0.255917, -0.252655, -0.248599, -0.244652, -0.239661, -0.23527, -0.232399, -0.224907, -0.219224, -0.202602, -0.189577, -0.125311, -0.106324, -0.0823758, -0.0656091, -0.054628, -0.0426153, -0.0345272, -0.0269712, -0.0191334, -0.0127323, -0.00930391, -0.0065242, -0.00320336, -0.00156593, -0.000762456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0351799, 0.0517454, 0.053462, 0.0557821, 0.0598086, 0.06385, 0.0665091, 0.0874015, 0.0948913, 0.101135, 0.109385, 0.118437, 0.125973");
-            values ( \
-              "-0.00152622, -0.157621, -0.162959, -0.16357, -0.161523, -0.156176, -0.148991, -0.0543603, -0.0328856, -0.0210703, -0.0114238, -0.00576828, -0.00322296" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00667684");
-            index_3 ("0.0415384, 0.0439517, 0.0509122, 0.0524271, 0.0560521, 0.0626764, 0.0720282, 0.075282, 0.0817263, 0.08626, 0.101684, 0.111594, 0.120516, 0.131895, 0.144234, 0.157777, 0.173254, 0.178807");
-            values ( \
-              "-0.0672463, -0.132215, -0.176271, -0.193364, -0.196874, -0.195109, -0.18864, -0.184562, -0.17194, -0.157807, -0.0967299, -0.0645324, -0.0432491, -0.0250842, -0.0135252, -0.0067546, -0.00291172, -0.00237243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0122462");
-            index_3 ("0.0422209, 0.0458994, 0.0496572, 0.0528676, 0.0538142, 0.0565818, 0.0621129, 0.0698431, 0.085063, 0.0894341, 0.0992696, 0.106804, 0.118009, 0.140803, 0.156496, 0.172225, 0.181134, 0.192401, 0.209108, 0.229914, 0.252107, 0.263855");
-            values ( \
-              "-0.074747, -0.165051, -0.187775, -0.21873, -0.22036, -0.221671, -0.220995, -0.218689, -0.21271, -0.210191, -0.20201, -0.191878, -0.16713, -0.104852, -0.0700314, -0.0448561, -0.0344287, -0.0244143, -0.0144376, -0.00742538, -0.00351818, -0.00266378" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0224612");
-            index_3 ("0.0413357, 0.0483247, 0.05092, 0.0519596, 0.053661, 0.0570091, 0.0596927, 0.0916532, 0.105599, 0.114544, 0.132312, 0.143869, 0.151669, 0.16727, 0.172725, 0.203283, 0.211791, 0.228805, 0.239585, 0.251485, 0.267352, 0.277932, 0.290361, 0.302931, 0.320622, 0.327573, 0.341477, 0.369284, 0.422049, 0.479845");
-            values ( \
-              "-0.0325143, -0.199078, -0.210224, -0.226816, -0.237519, -0.238342, -0.238343, -0.232157, -0.228934, -0.226397, -0.219583, -0.212337, -0.205746, -0.185837, -0.176941, -0.123528, -0.10972, -0.0849608, -0.0715664, -0.0587808, -0.0447303, -0.0371296, -0.0297164, -0.0236233, -0.0170058, -0.0149385, -0.0115039, -0.00672222, -0.00222873, -0.000639554" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411969");
-            index_3 ("0.0386879, 0.0530187, 0.0578546, 0.0606549, 0.064233, 0.0690002, 0.0756233, 0.0857804, 0.101339, 0.105881, 0.114965, 0.133133, 0.136596, 0.143522, 0.155579, 0.167876, 0.192423, 0.199941, 0.214977, 0.233433, 0.264379, 0.270508, 0.282767, 0.307284, 0.316587, 0.335194, 0.3695, 0.384026, 0.387615, 0.394794, 0.409153, 0.426309, 0.449395, 0.454387, 0.464373, 0.484343, 0.503835, 0.532197, 0.540114, 0.555946, 0.587612, 0.626407, 0.684202, 0.714436");
-            values ( \
-              "-0.0106352, -0.242703, -0.252221, -0.245355, -0.251779, -0.244195, -0.250203, -0.242106, -0.24734, -0.239907, -0.245827, -0.236635, -0.24313, -0.235203, -0.240371, -0.231319, -0.233139, -0.224041, -0.226186, -0.210739, -0.194414, -0.181335, -0.175909, -0.142889, -0.140454, -0.114846, -0.0919361, -0.0738712, -0.0785092, -0.0665065, -0.0647098, -0.0481627, -0.0446397, -0.0354901, -0.0388378, -0.025154, -0.0269757, -0.0137788, -0.0194833, -0.00990839, -0.0130943, -0.00269672, -0.00680294, -0.00324419" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0755607");
-            index_3 ("0.0454695, 0.0603248, 0.209069, 0.265244, 0.322841, 0.380637, 0.416088, 0.449131, 0.551731, 0.632513, 0.721483, 0.805032, 0.847339, 0.905134, 0.971863, 1.0226, 1.0804, 1.19599, 1.21536");
-            values ( \
-              "-0.236551, -0.255174, -0.244654, -0.239661, -0.232419, -0.220008, -0.206724, -0.189609, -0.128871, -0.0878975, -0.0549694, -0.0343498, -0.0268569, -0.0190778, -0.0128172, -0.00941846, -0.00662668, -0.00326018, -0.00305272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0675773, 0.0713329, 0.0772611, 0.0839739, 0.0933546, 0.0989368, 0.104088, 0.109126, 0.112598, 0.114461, 0.120875, 0.12871, 0.130478, 0.130888, 0.131709, 0.133352, 0.135795, 0.139439, 0.140436, 0.14243, 0.145803, 0.150596, 0.152716, 0.156957, 0.165437, 0.178498, 0.194432");
-            values ( \
-              "-0.0222216, -0.0476713, -0.0707291, -0.0892747, -0.11159, -0.123016, -0.130976, -0.129967, -0.119272, -0.119638, -0.08486, -0.0524396, -0.0496152, -0.0452925, -0.0458441, -0.0381836, -0.0350341, -0.0244215, -0.0256053, -0.0194286, -0.0177735, -0.00993704, -0.0111693, -0.00560144, -0.00505829, -1e-22, -0.00173345" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00667684");
-            index_3 ("0.0636759, 0.0847717, 0.0944917, 0.102616, 0.109835, 0.112614, 0.114272, 0.116479, 0.123209, 0.127082, 0.142313, 0.154133, 0.162149, 0.167793, 0.172957, 0.179842, 0.185298, 0.191334, 0.199382, 0.215479, 0.224532");
-            values ( \
-              "-0.00459413, -0.109432, -0.137561, -0.15857, -0.174459, -0.178955, -0.183959, -0.184162, -0.171324, -0.159295, -0.0987756, -0.0608711, -0.0423557, -0.0324083, -0.0252398, -0.0179148, -0.0136118, -0.0100229, -0.0066084, -0.00274059, -0.00191267" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0122462");
-            index_3 ("0.0682633, 0.079998, 0.0840107, 0.0922152, 0.105332, 0.112596, 0.114323, 0.1162, 0.122404, 0.126454, 0.131615, 0.136985, 0.148059, 0.154423, 0.16038, 0.183013, 0.189581, 0.197944, 0.203992, 0.210819, 0.219863, 0.228115, 0.239117, 0.247885, 0.257573, 0.27049, 0.296324, 0.330699, 0.373105");
-            values ( \
-              "-0.00658079, -0.104525, -0.120407, -0.148178, -0.187652, -0.207121, -0.213784, -0.216419, -0.214651, -0.212734, -0.209747, -0.205596, -0.192026, -0.179302, -0.163992, -0.102369, -0.0868835, -0.0695985, -0.058882, -0.0484419, -0.0370801, -0.0289051, -0.0205453, -0.0155946, -0.0114766, -0.00756465, -0.00312716, -0.000888652, -0.000166838" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0224612");
-            index_3 ("0.0702075, 0.082153, 0.0909213, 0.102502, 0.115313, 0.119336, 0.120862, 0.138202, 0.155893, 0.173633, 0.186014, 0.193017, 0.207022, 0.214049, 0.244573, 0.252995, 0.26984, 0.280907, 0.293129, 0.309424, 0.323146, 0.330864, 0.343698, 0.361653, 0.368879, 0.383331, 0.412236, 0.464905, 0.5227");
-            values ( \
-              "-0.0143071, -0.121125, -0.15487, -0.193796, -0.23277, -0.235667, -0.234727, -0.2311, -0.226446, -0.21956, -0.211742, -0.205748, -0.188289, -0.17695, -0.123605, -0.109916, -0.0853628, -0.0715832, -0.0584776, -0.0441492, -0.0346477, -0.0301614, -0.0238685, -0.0170956, -0.014944, -0.0113853, -0.00650756, -0.00215981, -0.000621858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411969");
-            index_3 ("0.0708758, 0.0876272, 0.114109, 0.11652, 0.17806, 0.208891, 0.222421, 0.251234, 0.274798, 0.296105, 0.311789, 0.369852, 0.385861, 0.417878, 0.433136, 0.456794, 0.487291, 0.519488, 0.541277, 0.583096, 0.606252, 0.652566, 0.710361, 0.768157, 0.883747");
-            values ( \
-              "-0.0284111, -0.148907, -0.242853, -0.246907, -0.23965, -0.234912, -0.23225, -0.224509, -0.21434, -0.199517, -0.184911, -0.124921, -0.109719, -0.082959, -0.0720843, -0.0575356, -0.0424979, -0.0306013, -0.024389, -0.0156464, -0.0121995, -0.00733714, -0.00383128, -0.00199895, -0.000541362" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0755607");
-            index_3 ("0.0713595, 0.0954599, 0.114404, 0.115613, 0.11803, 0.120544, 0.23864, 0.275314, 0.307038, 0.363466, 0.371824, 0.400477, 0.424757, 0.473316, 0.491027, 0.586533, 0.612602, 0.664739, 0.700437, 0.739863, 0.79243, 0.820718, 0.85388, 0.895019, 0.952179, 0.975811, 1.02308, 1.08087, 1.13867, 1.19646, 1.25426, 1.36985, 1.48544");
-            values ( \
-              "-0.0443624, -0.181512, -0.251124, -0.252673, -0.253341, -0.253419, -0.245598, -0.242655, -0.239654, -0.232671, -0.231251, -0.225654, -0.219244, -0.199234, -0.189582, -0.132824, -0.118342, -0.0921917, -0.0769043, -0.0624344, -0.0467436, -0.0398635, -0.0329836, -0.0259403, -0.018498, -0.0160515, -0.0121003, -0.00849663, -0.00598637, -0.00417924, -0.00294663, -0.00144887, -0.000714061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.116491, 0.124989, 0.131535, 0.149039, 0.165836, 0.182444, 0.189829, 0.197121, 0.220679, 0.227992, 0.236263, 0.249404, 0.254, 0.258765");
-            values ( \
-              "-0.0140496, -0.0190208, -0.0258029, -0.0524673, -0.071034, -0.0864137, -0.0908902, -0.0892312, -0.0320951, -0.020205, -0.011564, -0.00453658, -0.00660671, -0.0051524" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00667684");
-            index_3 ("0.12181, 0.124707, 0.130699, 0.131446, 0.132941, 0.135931, 0.140503, 0.147383, 0.150987, 0.158194, 0.166648, 0.179305, 0.190314, 0.20031, 0.209704, 0.219172, 0.219302, 0.219563, 0.220084, 0.221126, 0.22321, 0.227379, 0.235492, 0.243107, 0.245964, 0.247971, 0.249123, 0.251427, 0.253596, 0.255883, 0.260457, 0.262947, 0.266268, 0.272909, 0.277707, 0.279342, 0.282612, 0.289153, 0.302235, 0.309894");
-            values ( \
-              "-0.0223302, -0.0251048, -0.0313307, -0.0326084, -0.0348338, -0.0394702, -0.047871, -0.0606154, -0.0657811, -0.0763043, -0.087125, -0.10301, -0.115287, -0.12523, -0.1306, -0.123485, -0.123325, -0.122833, -0.121749, -0.119457, -0.114343, -0.102869, -0.0796915, -0.0598148, -0.0532201, -0.0489229, -0.0465738, -0.0453907, -0.0426196, -0.0386028, -0.0311983, -0.0276843, -0.023553, -0.016895, -0.0132716, -0.012213, -0.0103482, -0.00738765, -0.00367495, -0.00272505" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0122462");
-            index_3 ("0.124466, 0.138466, 0.157809, 0.172823, 0.199667, 0.214019, 0.227102, 0.2396, 0.248882, 0.252501, 0.267959, 0.291964, 0.31201, 0.319988, 0.339762, 0.343865, 0.352071, 0.397592, 0.433731");
-            values ( \
-              "-0.0128877, -0.0501838, -0.0854958, -0.108227, -0.144956, -0.162187, -0.174849, -0.178225, -0.167161, -0.165325, -0.120234, -0.0652838, -0.0362678, -0.0283502, -0.0149655, -0.0145063, -0.00989278, -0.00152214, -0.00131025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0224612");
-            index_3 ("0.124051, 0.145956, 0.160539, 0.206038, 0.22819, 0.251095, 0.265421, 0.2844, 0.303659, 0.354259, 0.379837, 0.391216, 0.410897, 0.438088, 0.453424, 0.47407, 0.501598, 0.553939, 0.611734");
-            values ( \
-              "-0.00454845, -0.069364, -0.0972567, -0.167825, -0.197613, -0.22464, -0.219318, -0.205756, -0.179999, -0.0951576, -0.0632959, -0.0522494, -0.0370223, -0.022587, -0.0169718, -0.0115235, -0.00678914, -0.00226147, -0.000662536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411969");
-            index_3 ("0.124334, 0.15585, 0.168265, 0.201116, 0.238841, 0.248749, 0.253824, 0.260542, 0.301265, 0.316797, 0.333384, 0.365688, 0.369364, 0.384066, 0.40384, 0.465672, 0.483581, 0.513495, 0.538612, 0.557526, 0.581923, 0.601977, 0.625988, 0.659894, 0.695421, 0.722674, 0.777181, 0.834976, 0.950567");
-            values ( \
-              "-0.00317862, -0.0910172, -0.114386, -0.168394, -0.223838, -0.237098, -0.24096, -0.241413, -0.234786, -0.231778, -0.227564, -0.215011, -0.212916, -0.202857, -0.184927, -0.121257, -0.10466, -0.0803257, -0.0635363, -0.0528796, -0.0414562, -0.0338098, -0.0263468, -0.0183964, -0.0125995, -0.00937684, -0.00511459, -0.00266113, -0.000715869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0755607");
-            index_3 ("0.124337, 0.168376, 0.175711, 0.214107, 0.248753, 0.253234, 0.261173, 0.268367, 0.306864, 0.370173, 0.39895, 0.455408, 0.491503, 0.516669, 0.567, 0.706843, 0.762064, 0.786928, 0.826081, 0.870827, 0.91212, 0.960742, 1.01854, 1.06622, 1.13724, 1.19504, 1.25283, 1.36842, 1.48401, 1.5996");
-            values ( \
-              "-0.00670251, -0.116838, -0.130361, -0.194237, -0.245639, -0.249891, -0.250879, -0.249646, -0.247381, -0.242409, -0.239644, -0.232674, -0.225853, -0.219245, -0.198323, -0.1171, -0.0897261, -0.0791169, -0.0643712, -0.0504256, -0.0399965, -0.0302624, -0.0215081, -0.016204, -0.0105682, -0.00745054, -0.00521011, -0.00255232, -0.00124437, -0.0006032" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.220692, 0.246868, 0.258712, 0.266945, 0.275178, 0.286571, 0.311981, 0.325545, 0.337705, 0.348943, 0.35973, 0.370494, 0.389091, 0.398788, 0.406202, 0.413166, 0.416937, 0.422977, 0.43103, 0.447137, 0.468162, 0.550139, 0.560769, 0.574655");
-            values ( \
-              "-0.00337366, -0.0103581, -0.0148281, -0.0192874, -0.0251055, -0.0322108, -0.0453148, -0.0514282, -0.05635, -0.0600533, -0.0617588, -0.0560673, -0.02845, -0.0172773, -0.0113491, -0.00747367, -0.00594987, -0.00410438, -0.00246895, -0.000840734, -0.000218744, -2.85985e-05, -0.00102051, -0.000568543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00667684");
-            index_3 ("0.223084, 0.262285, 0.275185, 0.286901, 0.304419, 0.312238, 0.331868, 0.348732, 0.363943, 0.378013, 0.391598, 0.405914, 0.425462, 0.434093, 0.441037, 0.447316, 0.455687, 0.463168, 0.467116, 0.47501, 0.490799, 0.514948, 0.550146, 0.556006, 0.56468, 0.57156, 0.58532");
-            values ( \
-              "-0.00297986, -0.0209973, -0.0295447, -0.0391101, -0.0507419, -0.0556334, -0.0668408, -0.0756295, -0.0826571, -0.0879217, -0.0895054, -0.0776867, -0.0457918, -0.0337266, -0.0258544, -0.0200349, -0.0139673, -0.010092, -0.00842269, -0.00591506, -0.00274454, -0.000803629, -0.00015202, -0.00166781, -0.00165826, -0.000926392, -0.000530289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0122462");
-            index_3 ("0.234311, 0.249033, 0.260244, 0.265875, 0.275507, 0.281516, 0.30446, 0.325247, 0.336613, 0.361887, 0.375856, 0.383653, 0.399248, 0.411336, 0.421517, 0.439325, 0.458874, 0.481801, 0.491204, 0.497486, 0.508651, 0.512023, 0.518769, 0.532261, 0.541734, 0.550469, 0.55515, 0.560499, 0.565902, 0.57671, 0.588457, 0.600629");
-            values ( \
-              "-0.012909, -0.0187496, -0.0244918, -0.0277401, -0.0339525, -0.0385804, -0.0574306, -0.0719512, -0.0794127, -0.0950376, -0.103109, -0.107404, -0.1154, -0.120712, -0.124105, -0.123724, -0.101912, -0.0651101, -0.0522046, -0.0445986, -0.0329876, -0.0301138, -0.0248337, -0.0167218, -0.0125254, -0.00959476, -0.0102827, -0.00946588, -0.00781898, -0.00558529, -0.00376029, -0.00270357" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0224612");
-            index_3 ("0.23841, 0.271912, 0.280694, 0.289922, 0.31913, 0.34946, 0.371589, 0.405036, 0.43382, 0.459817, 0.484178, 0.508652, 0.509194, 0.514246, 0.520019, 0.531567, 0.551041, 0.555946, 0.567824, 0.578088, 0.590198, 0.609098, 0.614899, 0.625234, 0.637045, 0.657192, 0.665765, 0.677702, 0.693617, 0.725448, 0.72956");
-            values ( \
-              "-0.017443, -0.0351382, -0.0414234, -0.0484948, -0.0730572, -0.0951713, -0.110119, -0.131971, -0.148848, -0.162222, -0.169643, -0.161091, -0.160707, -0.155447, -0.148447, -0.13267, -0.10479, -0.100082, -0.0841469, -0.0714947, -0.0584533, -0.0421461, -0.0380452, -0.0316273, -0.0255354, -0.0175475, -0.0149619, -0.0119658, -0.00885728, -0.00474721, -0.00450566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411969");
-            index_3 ("0.244515, 0.275708, 0.362933, 0.464639, 0.503414, 0.538677, 0.550669, 0.558697, 0.570088, 0.587057, 0.669463, 0.718644, 0.763863, 0.806935, 0.865221, 0.929144, 1.00618");
-            values ( \
-              "-0.0227072, -0.0412927, -0.110287, -0.180003, -0.20235, -0.218276, -0.220894, -0.220611, -0.215743, -0.204902, -0.122998, -0.0806475, -0.052486, -0.0340081, -0.0184298, -0.00929125, -0.00475025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0755607");
-            index_3 ("0.251065, 0.275773, 0.35692, 0.451709, 0.544662, 0.555817, 0.560655, 0.604308, 0.662003, 0.719798, 0.736338, 0.788292, 0.890891, 0.971671, 1.00739, 1.06064, 1.14419, 1.18649, 1.24429, 1.31101, 1.36174, 1.41953, 1.53512, 1.53854");
-            values ( \
-              "-0.0276151, -0.0433693, -0.108909, -0.179076, -0.238656, -0.243696, -0.243833, -0.239697, -0.23244, -0.219992, -0.214512, -0.189609, -0.128872, -0.0878991, -0.0731626, -0.0549702, -0.034351, -0.0268582, -0.0190786, -0.0128185, -0.00942009, -0.00662773, -0.00326067, -0.00322403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.429082, 0.453455, 0.480039, 0.504302, 0.524309, 0.534469, 0.550074, 0.590002, 0.619527, 0.660614, 0.678312, 0.695143, 0.711867, 0.749331, 0.761895, 0.772926, 0.780785, 0.796502, 0.819799, 0.848385, 0.938636, 1.16982, 1.21607, 1.23699");
-            values ( \
-              "-0.00135867, -0.00201301, -0.00336245, -0.00513574, -0.00721202, -0.00863406, -0.0115683, -0.021676, -0.0280167, -0.0356421, -0.0382173, -0.0398565, -0.0386069, -0.0132833, -0.00758039, -0.0045215, -0.0032034, -0.0014458, -0.000572271, -0.000173422, -2.28388e-06, -1e-22, -4.71948e-05, -0.00033799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00667684");
-            index_3 ("0.451081, 0.49803, 0.509066, 0.527869, 0.536885, 0.554918, 0.605308, 0.638307, 0.66212, 0.673901, 0.697461, 0.718961, 0.739488, 0.759975, 0.76577, 0.771658, 0.798567, 0.806599, 0.816299, 0.828413, 0.834375, 0.844033, 0.85691, 0.882665, 0.915493, 0.933518");
-            values ( \
-              "-0.00343686, -0.00681149, -0.00801886, -0.0106838, -0.0121968, -0.0160708, -0.0313645, -0.0400776, -0.0459685, -0.048676, -0.053734, -0.0575634, -0.0598464, -0.0570646, -0.0534055, -0.0489317, -0.025752, -0.0201079, -0.0145765, -0.00945881, -0.00761957, -0.00532317, -0.00325001, -0.00111994, -0.000289611, -0.000204889" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0122462");
-            index_3 ("0.449368, 0.512945, 0.545589, 0.576808, 0.608367, 0.650314, 0.689628, 0.722936, 0.752681, 0.780092, 0.806333, 0.832516, 0.881781, 0.903953, 0.91765, 0.929466, 0.946115, 0.968312, 1.00752, 1.05334, 1.1109, 1.21604, 1.23707, 1.26647");
-            values ( \
-              "-0.00250839, -0.0113722, -0.017519, -0.0259564, -0.037014, -0.0504189, -0.0618109, -0.0706232, -0.0775911, -0.0829109, -0.0855576, -0.0789349, -0.0370901, -0.0225821, -0.0161524, -0.0119591, -0.00773132, -0.00418717, -0.00125628, -0.000299411, -5.83693e-05, -1.70025e-05, -0.000744459, -0.000382349" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0224612");
-            index_3 ("0.44818, 0.534895, 0.559965, 0.583815, 0.633684, 0.700019, 0.750828, 0.794182, 0.833219, 0.869236, 0.904004, 0.9406, 0.998775, 1.02943, 1.05958, 1.0813, 1.10444, 1.12232, 1.15807, 1.21588, 1.22103, 1.23245");
-            values ( \
-              "-5.69257e-05, -0.0185968, -0.02469, -0.0316308, -0.0497727, -0.0719066, -0.0871686, -0.099047, -0.108572, -0.115568, -0.117696, -0.102126, -0.0546041, -0.0350758, -0.0217462, -0.0150843, -0.0101353, -0.00739095, -0.00381073, -0.00117751, -0.00233849, -0.00201879" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411969");
-            index_3 ("0.50537, 0.563342, 0.60302, 0.667996, 0.716489, 0.828671, 0.89603, 0.947978, 0.996256, 1.04373, 1.09642, 1.16261, 1.19345, 1.21576, 1.25804, 1.28006, 1.31361, 1.32771, 1.35591, 1.41232, 1.47011, 1.52791, 1.6435");
-            values ( \
-              "-0.0223655, -0.0288368, -0.041161, -0.0652707, -0.082132, -0.11747, -0.13642, -0.149184, -0.157717, -0.155456, -0.125674, -0.077705, -0.0595545, -0.0483821, -0.0326716, -0.0259541, -0.0182111, -0.0156534, -0.0115702, -0.00616547, -0.0032278, -0.00167179, -0.000448808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0755607");
-            index_3 ("0.505096, 0.599367, 0.754079, 0.869669, 0.960306, 1.0181, 1.07547, 1.10848, 1.16628, 1.1921, 1.21572, 1.22833, 1.33212, 1.37895, 1.45202, 1.489, 1.53127, 1.57139, 1.61689, 1.67469, 1.7251, 1.80127, 1.85906, 1.91686, 2.03245, 2.14804, 2.26363");
-            values ( \
-              "-0.0152367, -0.0425055, -0.0982423, -0.136297, -0.163907, -0.180107, -0.194451, -0.201395, -0.208597, -0.207386, -0.201932, -0.197085, -0.136019, -0.110271, -0.076705, -0.0630968, -0.0500589, -0.0399614, -0.0307864, -0.0219105, -0.0162447, -0.0102707, -0.00721981, -0.00506766, -0.00248783, -0.00121843, -0.000596143" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.00251047, 0.00253598, 0.00255822, 0.0025748, 0.00258573, 0.00259236", \
-            "0.00296406, 0.00298076, 0.00299883, 0.00301448, 0.00302574, 0.00303314", \
-            "0.00325181, 0.00325582, 0.00326293, 0.00327177, 0.00327994, 0.00328603", \
-            "0.00342722, 0.00342535, 0.003423, 0.00342112, 0.00342284, 0.00342532", \
-            "0.00352153, 0.00351566, 0.00350903, 0.0035029, 0.00349855, 0.00349646", \
-            "0.00357168, 0.00356565, 0.00355784, 0.00354947, 0.00354098, 0.00353423" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.00340738, 0.0034253, 0.00344208, 0.0034523, 0.00345856, 0.0034626", \
-            "0.00368792, 0.00367652, 0.00366935, 0.00366527, 0.00366236, 0.00366006", \
-            "0.00381346, 0.0037586, 0.00371492, 0.00368161, 0.00366302, 0.00364964", \
-            "0.00401875, 0.00387061, 0.00376071, 0.00368797, 0.00363738, 0.0036044", \
-            "0.00453539, 0.00422109, 0.00397149, 0.00379689, 0.00368637, 0.00361789", \
-            "0.00567574, 0.00507143, 0.0044517, 0.0040959, 0.00385919, 0.00371234" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : positive_unate;
-        timing_type : three_state_disable;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.0263199, 0.0263278, 0.0263314, 0.0263303, 0.0263282, 0.0263262", \
-            "0.0309966, 0.0310063, 0.031013, 0.0310157, 0.0310163, 0.0310173", \
-            "0.0388319, 0.0388486, 0.0388551, 0.0388593, 0.0390107, 0.0390095", \
-            "0.078923, 0.078923, 0.078923, 0.0789229, 0.0789229, 0.0789228", \
-            "0.170933, 0.170933, 0.170933, 0.170933, 0.170933, 0.170933", \
-            "0.374332, 0.374332, 0.374332, 0.374332, 0.374332, 0.374332" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.0263199, 0.0263278, 0.0263314, 0.0263303, 0.0263282, 0.0263262", \
-            "0.0309966, 0.0310063, 0.031013, 0.0310157, 0.0310163, 0.0310173", \
-            "0.0388319, 0.0388486, 0.0388551, 0.0388593, 0.0390107, 0.0390095", \
-            "0.078923, 0.078923, 0.078923, 0.0789229, 0.0789229, 0.0789228", \
-            "0.170933, 0.170933, 0.170933, 0.170933, 0.170933, 0.170933", \
-            "0.374332, 0.374332, 0.374332, 0.374332, 0.374332, 0.374332" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.0261415, 0.0261428, 0.026144, 0.026145, 0.0261457, 0.0261461", \
-            "0.0307611, 0.0307598, 0.0307597, 0.0307588, 0.0307582, 0.0307578", \
-            "0.0386753, 0.0386735, 0.0386704, 0.0386673, 0.0386647, 0.0386628", \
-            "0.07891, 0.0789079, 0.0789054, 0.0789032, 0.0789017, 0.0789009", \
-            "0.170914, 0.170913, 0.170911, 0.17091, 0.170909, 0.170908", \
-            "0.374329, 0.374328, 0.374328, 0.374327, 0.374327, 0.374327" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.0261415, 0.0261428, 0.026144, 0.026145, 0.0261457, 0.0261461", \
-            "0.0307611, 0.0307598, 0.0307597, 0.0307588, 0.0307582, 0.0307578", \
-            "0.0386753, 0.0386735, 0.0386704, 0.0386673, 0.0386647, 0.0386628", \
-            "0.07891, 0.0789079, 0.0789054, 0.0789032, 0.0789017, 0.0789009", \
-            "0.170914, 0.170913, 0.170911, 0.17091, 0.170909, 0.170908", \
-            "0.374329, 0.374328, 0.374328, 0.374327, 0.374327, 0.374327" \
-          );
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.00422697, 0.00422724, 0.00422751, 0.00422772, 0.00422786, 0.00422795", \
-            "0.00541141, 0.00541154, 0.00541182, 0.00541199, 0.00541212, 0.00541221", \
-            "0.0062079, 0.006208, 0.00620811, 0.00620824, 0.00620836, 0.00620846", \
-            "0.00673093, 0.00673112, 0.0067313, 0.00673149, 0.00673173, 0.00673187", \
-            "0.00707727, 0.00707732, 0.00707744, 0.00707761, 0.00707781, 0.00707799", \
-            "0.00740017, 0.00740003, 0.00739993, 0.00739993, 0.00740007, 0.00740029" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.00625495, 0.00625542, 0.00625634, 0.00625683, 0.0062572, 0.00625745", \
-            "0.00674291, 0.00674337, 0.00674462, 0.00674518, 0.00674565, 0.00674631", \
-            "0.00707341, 0.0070724, 0.00707185, 0.00707151, 0.00707127, 0.00707131", \
-            "0.00740632, 0.00740588, 0.00740546, 0.00740508, 0.00740464, 0.00740439", \
-            "0.0069909, 0.0069907, 0.00699042, 0.00699032, 0.00698996, 0.00698967", \
-            "0.00653512, 0.00653529, 0.00653544, 0.00653543, 0.00653521, 0.00653485" \
-          );
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00369029", \
-            "0.00438723", \
-            "0.00478486", \
-            "0.00501338", \
-            "0.00513416", \
-            "0.00520355" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00537779", \
-            "0.00603041", \
-            "0.0066945", \
-            "0.00755442", \
-            "0.00852121", \
-            "0.00865966" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "OE";
-        timing_sense : negative_unate;
-        timing_type : three_state_enable;
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.0645768, 0.0841135, 0.119596, 0.184529, 0.303593, 0.521838", \
-            "0.0712454, 0.0907797, 0.126261, 0.191193, 0.310221, 0.528501", \
-            "0.0851213, 0.104705, 0.140187, 0.20512, 0.324175, 0.542397", \
-            "0.1117, 0.133581, 0.169568, 0.23459, 0.353634, 0.571759", \
-            "0.153722, 0.183265, 0.228249, 0.298467, 0.417988, 0.636457", \
-            "0.209488, 0.256461, 0.322153, 0.416011, 0.555836, 0.77806" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.0561326, 0.0832593, 0.133176, 0.225056, 0.393521, 0.702469", \
-            "0.0561612, 0.0832657, 0.133188, 0.225056, 0.393608, 0.70247", \
-            "0.0572037, 0.0836124, 0.133234, 0.225062, 0.39352, 0.702438", \
-            "0.0661374, 0.0896835, 0.135886, 0.22521, 0.393609, 0.702438", \
-            "0.0926323, 0.115913, 0.156574, 0.236759, 0.396017, 0.702469", \
-            "0.148925, 0.174847, 0.218351, 0.294217, 0.433173, 0.715159" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.0568318, 0.0679277, 0.0879718, 0.124503, 0.191433, 0.314177", \
-            "0.0633007, 0.0743993, 0.0944495, 0.130979, 0.197928, 0.320653", \
-            "0.0766785, 0.0878846, 0.107941, 0.144501, 0.211431, 0.334172", \
-            "0.0969924, 0.108512, 0.128974, 0.16574, 0.232718, 0.355442", \
-            "0.129605, 0.141703, 0.162528, 0.199476, 0.266813, 0.389854", \
-            "0.186332, 0.199728, 0.221624, 0.258995, 0.326437, 0.449616" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.029001, 0.0433767, 0.0701075, 0.119564, 0.21058, 0.377471", \
-            "0.02902, 0.0433849, 0.0701146, 0.119565, 0.210473, 0.37747", \
-            "0.0294578, 0.0436405, 0.0702257, 0.119542, 0.210451, 0.377471", \
-            "0.0307789, 0.0449082, 0.0710489, 0.119983, 0.2106, 0.377499", \
-            "0.0332206, 0.0465836, 0.0721185, 0.120781, 0.211153, 0.37789", \
-            "0.037942, 0.0506177, 0.0748325, 0.121803, 0.211595, 0.378869" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0353405, 0.0388027, 0.0403613, 0.0437481, 0.0458234, 0.0495648, 0.0529682, 0.0542707, 0.0594805, 0.0634546, 0.0683214, 0.0758664, 0.0794634, 0.0833002, 0.0920282, 0.100654, 0.109592, 0.119417, 0.130392, 0.143992, 0.154913, 0.167025, 0.185638, 0.198005, 0.214494, 0.247471, 0.285715");
-            values ( \
-              "0.0147473, 0.0610777, 0.0689813, 0.0797146, 0.0836592, 0.0869692, 0.0884461, 0.0885808, 0.088443, 0.0876841, 0.0861032, 0.0823372, 0.0790644, 0.0742185, 0.0590761, 0.0460856, 0.0361988, 0.0278434, 0.0206668, 0.0142344, 0.0104359, 0.00737953, 0.00427771, 0.00297037, 0.00180811, 0.000616397, 0.000171283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00667684");
-            index_3 ("0.0374984, 0.0429486, 0.0463565, 0.0500579, 0.0525345, 0.0558366, 0.0613098, 0.0668949, 0.0724756, 0.0800861, 0.0895872, 0.0956656, 0.107636, 0.120626, 0.130244, 0.142292, 0.149369, 0.158805, 0.173739, 0.189552, 0.20235, 0.219958, 0.230973, 0.246353, 0.265311, 0.290588, 0.335143, 0.387158");
-            values ( \
-              "0.0895167, 0.0920951, 0.100418, 0.104593, 0.106114, 0.107293, 0.107991, 0.10783, 0.107084, 0.105355, 0.10199, 0.0989266, 0.0892501, 0.0726824, 0.061524, 0.0496727, 0.0438565, 0.0369343, 0.0276403, 0.0202371, 0.0154689, 0.0106532, 0.00844052, 0.00597657, 0.00392195, 0.0022431, 0.00071722, 0.000221197" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0122462");
-            index_3 ("0.0374959, 0.0438971, 0.0480519, 0.0502082, 0.0535884, 0.05733, 0.0628117, 0.0687813, 0.075287, 0.0833687, 0.0932936, 0.105567, 0.111823, 0.124333, 0.131169, 0.144841, 0.152034, 0.176427, 0.202132, 0.222492, 0.236639, 0.259191, 0.268248, 0.282458, 0.301393, 0.318478, 0.341259, 0.360335, 0.386039, 0.420311, 0.485577, 0.560858");
-            values ( \
-              "0.0956707, 0.105589, 0.114758, 0.117227, 0.119957, 0.121504, 0.122861, 0.123336, 0.12339, 0.122622, 0.121095, 0.118392, 0.116867, 0.113239, 0.110855, 0.104367, 0.0995647, 0.0804741, 0.0616784, 0.0492927, 0.041591, 0.0312139, 0.0276613, 0.0228238, 0.0174809, 0.0136935, 0.00982685, 0.00737968, 0.00501602, 0.00298076, 0.000984363, 0.000284798" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0224612");
-            index_3 ("0.0393904, 0.0595425, 0.0700837, 0.077419, 0.0858692, 0.100241, 0.11925, 0.154664, 0.179044, 0.196131, 0.229781, 0.257092, 0.304452, 0.342601, 0.365627, 0.398427, 0.425786, 0.447016, 0.484098, 0.500248, 0.527373, 0.565862, 0.605485, 0.635772, 0.696346, 0.779354, 0.862361, 0.945369");
-            values ( \
-              "0.130794, 0.13166, 0.133928, 0.134369, 0.134398, 0.133246, 0.13095, 0.125956, 0.121945, 0.118497, 0.10861, 0.0964456, 0.0742893, 0.0580467, 0.0492041, 0.0381814, 0.030582, 0.0255594, 0.0184894, 0.0160081, 0.0125149, 0.0087559, 0.00605095, 0.00454357, 0.00251799, 0.00109146, 0.00046899, 0.000202763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411969");
-            index_3 ("0.042745, 0.042765, 0.121785, 0.183404, 0.256529, 0.31335, 0.341547, 0.371624, 0.41472, 0.539524, 0.618897, 0.701591, 0.740965, 0.808763, 0.840288, 0.903339, 0.953537, 1.00885, 1.08261, 1.16562, 1.24863, 1.32059");
-            values ( \
-              "1e-22, 0.151048, 0.140101, 0.135504, 0.129243, 0.123561, 0.119482, 0.113997, 0.103817, 0.070041, 0.050593, 0.0344933, 0.028381, 0.0200322, 0.0169766, 0.0120656, 0.00917456, 0.00676019, 0.0044523, 0.00277882, 0.00171839, 0.00134112" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0755607");
-            index_3 ("0.0482464, 0.0482664, 0.195215, 0.296849, 0.376484, 0.428192, 0.5112, 0.578464, 0.645858, 0.728866, 0.95707, 1.00683, 1.07236, 1.15537, 1.21834, 1.28674, 1.36975, 1.40448, 1.47394, 1.5468, 1.62981, 1.72332, 1.77605, 1.85905, 1.94206, 2.02507, 2.19109, 2.3571, 2.68913");
-            values ( \
-              "1e-22, 0.162534, 0.142494, 0.138184, 0.134564, 0.132025, 0.127426, 0.122527, 0.115681, 0.104619, 0.0691655, 0.0617974, 0.0527501, 0.0425776, 0.0358994, 0.0296073, 0.0232569, 0.0209769, 0.0170229, 0.0136093, 0.0105193, 0.00783379, 0.00664281, 0.00508329, 0.00390692, 0.00297622, 0.00173498, 0.00100779, 0.000335472" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0568421, 0.066325, 0.073574, 0.0801214, 0.088961, 0.0965101, 0.100058, 0.120139, 0.133594, 0.152665, 0.166493, 0.178959, 0.196629, 0.230705, 0.252266");
-            values ( \
-              "0.0575833, 0.0817526, 0.087841, 0.0882568, 0.0860872, 0.0823338, 0.079117, 0.0476546, 0.0331136, 0.0198071, 0.0135143, 0.00948568, 0.00567501, 0.0020667, 0.00113548" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00667684");
-            index_3 ("0.0568048, 0.0602319, 0.0638656, 0.0657773, 0.0683262, 0.0705931, 0.0744861, 0.0782826, 0.0819059, 0.0874939, 0.0930788, 0.101149, 0.110194, 0.116269, 0.128239, 0.141234, 0.150837, 0.162894, 0.169975, 0.179416, 0.194349, 0.210137, 0.222915, 0.234339, 0.251604, 0.266972, 0.285886, 0.311105, 0.355387, 0.40764");
-            values ( \
-              "0.0659351, 0.0745385, 0.0905349, 0.0959346, 0.100435, 0.103377, 0.106013, 0.107408, 0.107774, 0.107831, 0.107043, 0.105264, 0.102015, 0.0989099, 0.0892684, 0.0726601, 0.0615151, 0.0496948, 0.0438801, 0.0369013, 0.0276638, 0.0202078, 0.0155179, 0.0121827, 0.00839659, 0.00601344, 0.0039636, 0.00220848, 0.000764038, 0.000182385" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0122462");
-            index_3 ("0.0584282, 0.0685073, 0.0749801, 0.0832965, 0.0958453, 0.113848, 0.132376, 0.15172, 0.171319, 0.208956, 0.229143, 0.2474, 0.27077, 0.282868, 0.302268, 0.327613, 0.362168, 0.387904, 0.429424, 0.484783, 0.521491");
-            values ( \
-              "0.105899, 0.112855, 0.119786, 0.122756, 0.123429, 0.121133, 0.116905, 0.110913, 0.100727, 0.0714772, 0.0577141, 0.0467946, 0.0350219, 0.0299049, 0.0230102, 0.0161117, 0.00972753, 0.00662942, 0.00351841, 0.00148047, 0.000923914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0224612");
-            index_3 ("0.0601368, 0.0855228, 0.0906703, 0.0980306, 0.106496, 0.117128, 0.139765, 0.183486, 0.199631, 0.216716, 0.250367, 0.277677, 0.325039, 0.363186, 0.386211, 0.419012, 0.446374, 0.467605, 0.504683, 0.520832, 0.547958, 0.586447, 0.626072, 0.65636, 0.716937, 0.799945, 0.882953, 0.965961");
-            values ( \
-              "0.131655, 0.132928, 0.133889, 0.134405, 0.134378, 0.13357, 0.130941, 0.124625, 0.121928, 0.118512, 0.108595, 0.0964467, 0.0742896, 0.0580475, 0.0492044, 0.038182, 0.0305813, 0.0255588, 0.0184896, 0.0160082, 0.0125152, 0.0087558, 0.00605077, 0.00454358, 0.00251774, 0.00109151, 0.000468846, 0.000202868" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411969");
-            index_3 ("0.0637486, 0.0637686, 0.141577, 0.192948, 0.275649, 0.335789, 0.365623, 0.398465, 0.470074, 0.570988, 0.63353, 0.685687, 0.726292, 0.780431, 0.828055, 0.888232, 0.962021, 1.04663, 1.11445, 1.19746, 1.28047, 1.52949");
-            values ( \
-              "1e-22, 0.152846, 0.140106, 0.136305, 0.129489, 0.123198, 0.118937, 0.112645, 0.094645, 0.0672044, 0.0519324, 0.0410369, 0.0338119, 0.0257797, 0.0201681, 0.0146601, 0.0097916, 0.00613819, 0.0041839, 0.00260711, 0.00161479, 0.000385619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0755607");
-            index_3 ("0.0663165, 0.0663365, 0.214756, 0.319629, 0.448813, 0.531821, 0.599085, 0.666479, 0.749487, 0.97769, 1.09298, 1.19698, 1.23897, 1.30736, 1.4251, 1.56742, 1.65042, 1.74394, 1.87966, 2.04568, 2.21169, 2.37771, 2.70974");
-            values ( \
-              "1e-22, 0.157331, 0.142601, 0.13815, 0.131967, 0.127484, 0.122583, 0.115626, 0.104674, 0.0691175, 0.0527034, 0.0402069, 0.0359436, 0.0295634, 0.0209342, 0.0135674, 0.010561, 0.00779542, 0.00504567, 0.00293906, 0.00169832, 0.000971629, 0.000300307" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0923003, 0.102868, 0.106734, 0.113504, 0.120466, 0.125847, 0.127886, 0.131963, 0.136861, 0.141459, 0.149387, 0.15799, 0.165006, 0.173195, 0.178325, 0.188785, 0.197425, 0.211319, 0.22082, 0.229606, 0.241321, 0.254384, 0.275602, 0.303893, 0.31643");
-            values ( \
-              "0.00203701, 0.0542465, 0.0636092, 0.0736957, 0.0801256, 0.0838799, 0.0845745, 0.0848999, 0.0838395, 0.0815539, 0.0733269, 0.0581391, 0.0475391, 0.0379141, 0.0331586, 0.0250645, 0.0198222, 0.0135006, 0.0103073, 0.00801749, 0.00569177, 0.00388027, 0.00206012, 0.000843906, 0.000638931" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00667684");
-            index_3 ("0.09594, 0.103473, 0.107692, 0.113815, 0.115165, 0.122117, 0.126327, 0.132274, 0.145612, 0.154945, 0.161023, 0.173006, 0.189181, 0.200363, 0.213271, 0.228867, 0.243772, 0.259384, 0.276101, 0.299549, 0.316999, 0.345234, 0.382726, 0.431567, 0.492185");
-            values ( \
-              "0.0365291, 0.0661613, 0.0775826, 0.0890903, 0.0891052, 0.0994095, 0.102841, 0.106031, 0.105202, 0.102066, 0.0986525, 0.08942, 0.0686106, 0.0566384, 0.0449347, 0.033634, 0.0251435, 0.0182911, 0.0128444, 0.00767945, 0.00519075, 0.00269054, 0.00131997, 0.000186339, 0.000218976" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0122462");
-            index_3 ("0.0958553, 0.10607, 0.113702, 0.121974, 0.127016, 0.133168, 0.140476, 0.148378, 0.157539, 0.164523, 0.177077, 0.189823, 0.196425, 0.209631, 0.217289, 0.260005, 0.278112, 0.301088, 0.319637, 0.334035, 0.353232, 0.371783, 0.39532, 0.421757, 0.451771, 0.475598, 0.523251, 0.595944, 0.678952");
-            values ( \
-              "0.0409004, 0.0822535, 0.0988097, 0.111801, 0.117734, 0.121296, 0.122718, 0.122383, 0.121171, 0.119763, 0.116809, 0.113184, 0.110826, 0.104652, 0.0995503, 0.0668804, 0.0550816, 0.0419909, 0.0332043, 0.0274769, 0.021112, 0.0162639, 0.0115772, 0.00782113, 0.00499792, 0.00347248, 0.00163485, 0.000463105, 0.000108458" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0224612");
-            index_3 ("0.0988499, 0.110442, 0.122351, 0.12725, 0.135282, 0.142788, 0.151887, 0.162521, 0.171585, 0.196356, 0.228202, 0.24116, 0.261432, 0.295004, 0.309388, 0.369269, 0.408243, 0.431735, 0.463925, 0.490381, 0.510796, 0.549387, 0.566303, 0.593159, 0.631439, 0.648083, 0.681372, 0.747949, 0.830957, 0.913964, 0.996972");
-            values ( \
-              "0.0881871, 0.0985659, 0.120712, 0.127142, 0.132301, 0.133827, 0.134093, 0.13345, 0.132503, 0.129337, 0.124637, 0.12248, 0.118534, 0.108608, 0.102427, 0.0745148, 0.0579109, 0.0489084, 0.0381261, 0.0307625, 0.0258967, 0.0184914, 0.0158981, 0.01246, 0.00873282, 0.00748288, 0.00546497, 0.00285291, 0.00123585, 0.000531242, 0.000231126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411969");
-            index_3 ("0.103972, 0.131569, 0.138915, 0.146614, 0.155545, 0.172879, 0.210979, 0.321758, 0.378578, 0.406773, 0.436849, 0.479972, 0.604752, 0.684177, 0.766784, 0.806171, 0.87399, 0.968621, 1.01878, 1.07406, 1.14777, 1.31379, 1.36695");
-            values ( \
-              "0.132161, 0.13665, 0.139903, 0.14117, 0.141512, 0.140908, 0.138286, 0.129317, 0.123488, 0.119485, 0.113995, 0.103813, 0.0700429, 0.050583, 0.0344979, 0.0283855, 0.0200337, 0.0120634, 0.00917241, 0.00675962, 0.0044553, 0.00172034, 0.00144096" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0755607");
-            index_3 ("0.109255, 0.109275, 0.241427, 0.421957, 0.556593, 0.681722, 0.769085, 1.13805, 1.28225, 1.3511, 1.46956, 1.61281, 1.69581, 1.92283, 2.23482");
-            values ( \
-              "1e-22, 0.155002, 0.143286, 0.135496, 0.128613, 0.118972, 0.108216, 0.0526457, 0.0360378, 0.0296501, 0.0209611, 0.0135553, 0.0105036, 0.00509705, 0.00193937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.166183, 0.190266, 0.193468, 0.204996, 0.208648, 0.216936, 0.224524, 0.22675, 0.231201, 0.236189, 0.245598, 0.248942, 0.250907, 0.252872, 0.257304, 0.262059, 0.269315, 0.27746, 0.283244, 0.294028, 0.30037, 0.304677, 0.31329, 0.319573, 0.324061, 0.330045, 0.342013, 0.35226, 0.357514, 0.36802, 0.389033, 0.424157, 0.466082");
-            values ( \
-              "0.00114641, 0.041722, 0.0453607, 0.0562778, 0.0591971, 0.064678, 0.068036, 0.068788, 0.0696374, 0.0697096, 0.0648127, 0.0606942, 0.0591064, 0.056388, 0.0514043, 0.0461999, 0.0387218, 0.0315289, 0.0270903, 0.0202336, 0.0170553, 0.015144, 0.0118819, 0.00994686, 0.00874999, 0.0073625, 0.00518341, 0.00383939, 0.00328858, 0.00240631, 0.00126293, 0.000395632, 9.13551e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00667684");
-            index_3 ("0.174831, 0.181609, 0.187779, 0.200761, 0.217617, 0.22529, 0.231914, 0.242026, 0.251915, 0.257976, 0.264036, 0.271111, 0.293514, 0.301492, 0.316412, 0.325852, 0.341132, 0.360109, 0.370302, 0.389585, 0.407144, 0.426995, 0.442657, 0.473981, 0.504718");
-            values ( \
-              "0.0348138, 0.036169, 0.0460351, 0.0626142, 0.079229, 0.0846911, 0.0884449, 0.0922008, 0.0940312, 0.0940849, 0.0926116, 0.0871274, 0.060932, 0.0529759, 0.0405994, 0.0340274, 0.0253, 0.0171923, 0.0138932, 0.0092, 0.00625342, 0.00404055, 0.00284522, 0.00137282, 0.00076234" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0122462");
-            index_3 ("0.177308, 0.188811, 0.208619, 0.218907, 0.226343, 0.235371, 0.245028, 0.259064, 0.266063, 0.274549, 0.281158, 0.293938, 0.301874, 0.314792, 0.345738, 0.369542, 0.39499, 0.416129, 0.427483, 0.448123, 0.465275, 0.47917, 0.496407, 0.520696, 0.546402, 0.566159, 0.605673, 0.676211, 0.759219");
-            values ( \
-              "0.0519306, 0.0528255, 0.0795738, 0.0907094, 0.0974327, 0.103962, 0.109296, 0.115251, 0.116866, 0.116234, 0.114761, 0.110764, 0.107361, 0.0996757, 0.0755461, 0.0588831, 0.0438964, 0.0336403, 0.0290118, 0.0219224, 0.0172317, 0.0141339, 0.0109961, 0.00766553, 0.00522451, 0.0038722, 0.0020911, 0.000627632, 0.000141695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0224612");
-            index_3 ("0.182315, 0.207326, 0.220701, 0.238895, 0.253199, 0.262863, 0.272332, 0.281163, 0.293877, 0.325723, 0.35786, 0.37429, 0.399973, 0.488255, 0.506928, 0.544272, 0.581107, 0.60337, 0.636367, 0.667426, 0.708839, 0.74382, 0.762943, 0.80119, 0.877683, 0.960691, 1.0437");
-            values ( \
-              "0.0719172, 0.0834429, 0.0994712, 0.115754, 0.124605, 0.12947, 0.131305, 0.130768, 0.129304, 0.124659, 0.118804, 0.114587, 0.105374, 0.0651903, 0.0573955, 0.0435877, 0.0325077, 0.0269874, 0.0202551, 0.0153649, 0.010504, 0.00759328, 0.0063451, 0.00441552, 0.00206374, 0.000894886, 0.000381382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411969");
-            index_3 ("0.185979, 0.218325, 0.232867, 0.252038, 0.259295, 0.267689, 0.275467, 0.287862, 0.30854, 0.417895, 0.458352, 0.47805, 0.507886, 0.540726, 0.612335, 0.713249, 0.775791, 0.827948, 0.868552, 0.922691, 0.970315, 1.03049, 1.10428, 1.13802, 1.18889, 1.25671, 1.33971, 1.42272, 1.50573, 1.67174");
-            values ( \
-              "0.087627, 0.101112, 0.116422, 0.131022, 0.135758, 0.139329, 0.140064, 0.139717, 0.138281, 0.129468, 0.125481, 0.123198, 0.118936, 0.112645, 0.0946452, 0.0672043, 0.0519322, 0.0410372, 0.0338123, 0.0257796, 0.020168, 0.01466, 0.00979196, 0.00813603, 0.00613861, 0.0041838, 0.00260747, 0.00161459, 0.00100422, 0.000385895" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0755607");
-            index_3 ("0.196594, 0.248468, 0.265037, 0.284952, 0.474518, 0.613636, 0.696007, 0.779015, 0.866379, 1.23535, 1.37954, 1.44839, 1.56685, 1.7101, 1.79311, 2.02013, 2.3341");
-            values ( \
-              "0.128825, 0.132258, 0.14351, 0.145267, 0.137519, 0.130825, 0.125935, 0.118966, 0.10821, 0.0526501, 0.036034, 0.0296539, 0.0209646, 0.0135586, 0.0105004, 0.00509924, 0.00192118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.32027, 0.342864, 0.348456, 0.362479, 0.366316, 0.408965, 0.415539, 0.427005, 0.432552, 0.439948, 0.445906, 0.45385, 0.463185, 0.474614, 0.488166, 0.502032, 0.508403, 0.518265, 0.531239, 0.539954, 0.550198, 0.554983, 0.581825, 0.602557, 0.631432, 0.672097");
-            values ( \
-              "0.0015914, 0.0179216, 0.0205104, 0.0262293, 0.0280307, 0.0450447, 0.0474205, 0.0506563, 0.0509976, 0.0492219, 0.0459227, 0.0407711, 0.0352739, 0.0292589, 0.0229662, 0.0173267, 0.0151182, 0.0120628, 0.00877366, 0.00703184, 0.00536776, 0.00522244, 0.00276692, 0.00149637, 0.000587038, 0.000152932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00667684");
-            index_3 ("0.320213, 0.341905, 0.386389, 0.42736, 0.434916, 0.441565, 0.454863, 0.45833, 0.465266, 0.475043, 0.516745, 0.535988, 0.550122, 0.55437, 0.574861, 0.585531, 0.592089, 0.600833, 0.618322, 0.629628, 0.64707, 0.670326, 0.716146, 0.769662");
-            values ( \
-              "0.00131998, 0.0208428, 0.0430446, 0.0639236, 0.0672798, 0.0697591, 0.0730085, 0.0732116, 0.0728263, 0.0694415, 0.0432475, 0.0325123, 0.0256128, 0.0243922, 0.017019, 0.0136698, 0.0119114, 0.00988412, 0.00673875, 0.00526134, 0.00357495, 0.0021076, 0.000678278, 0.000170435" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0122462");
-            index_3 ("0.334828, 0.35988, 0.393497, 0.435563, 0.455956, 0.47386, 0.480253, 0.492066, 0.50337, 0.526352, 0.533594, 0.564856, 0.572098, 0.603073, 0.633968, 0.659296, 0.683814, 0.711345, 0.735205, 0.745544, 0.766222, 0.807579, 0.873609, 0.952166");
-            values ( \
-              "0.028363, 0.0332309, 0.0520733, 0.0775539, 0.0872118, 0.0925804, 0.0941207, 0.095642, 0.0962844, 0.0916926, 0.0881639, 0.0711052, 0.0661279, 0.0469466, 0.0318989, 0.0227232, 0.0160892, 0.0107854, 0.00764899, 0.00646812, 0.00481934, 0.0024539, 0.00087601, 0.00015951" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0224612");
-            index_3 ("0.334784, 0.359499, 0.395129, 0.443349, 0.465953, 0.48512, 0.503988, 0.53935, 0.557917, 0.568899, 0.573552, 0.582859, 0.595486, 0.609221, 0.702923, 0.729203, 0.764242, 0.806443, 0.835913, 0.870734, 0.890332, 0.929528, 0.959296, 1.00485, 1.0656, 1.14861, 1.23161, 1.31462");
-            values ( \
-              "0.0304033, 0.0350832, 0.0567159, 0.0886312, 0.100005, 0.106738, 0.111904, 0.117172, 0.118483, 0.118375, 0.117986, 0.116163, 0.112526, 0.107563, 0.0651429, 0.0544009, 0.0418324, 0.0297633, 0.0231302, 0.0170349, 0.0142754, 0.00995041, 0.00754915, 0.00491741, 0.00271883, 0.00118258, 0.000503556, 0.000223736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411969");
-            index_3 ("0.352601, 0.405571, 0.436956, 0.455949, 0.472649, 0.491944, 0.521112, 0.560488, 0.578025, 0.591544, 0.634333, 0.683598, 0.711967, 0.749791, 0.791653, 0.933732, 0.994743, 1.04428, 1.08073, 1.11959, 1.18657, 1.2174, 1.27905, 1.33067, 1.39996, 1.48296, 1.56597, 1.64898, 1.73199, 1.898");
-            values ( \
-              "0.0544375, 0.0662231, 0.0884431, 0.100235, 0.108555, 0.116146, 0.124808, 0.132441, 0.133804, 0.132983, 0.129309, 0.124377, 0.120644, 0.113922, 0.104051, 0.0657715, 0.0510339, 0.040764, 0.0342647, 0.0282683, 0.0200358, 0.0170356, 0.0122096, 0.00920531, 0.00627285, 0.00391501, 0.0024367, 0.00151057, 0.000937185, 0.000359259" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0755607");
-            index_3 ("0.36897, 0.435088, 0.462009, 0.500981, 0.553875, 0.565206, 0.574787, 0.68563, 0.805788, 0.888796, 0.956073, 1.02346, 1.10647, 1.38443, 1.53297, 1.66434, 1.74735, 1.85154, 1.9244, 2.06138, 2.15363, 2.31965, 2.48566, 2.65168, 2.70011");
-            values ( \
-              "0.080261, 0.0896203, 0.106715, 0.12385, 0.138769, 0.141358, 0.142127, 0.137697, 0.132022, 0.127431, 0.12253, 0.115678, 0.104622, 0.0617968, 0.0425764, 0.02961, 0.0232542, 0.0170197, 0.013613, 0.00887781, 0.00663726, 0.00390095, 0.00228252, 0.00133222, 0.00121677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.630667, 0.657893, 0.723144, 0.795437, 0.816544, 0.819338, 0.823063, 0.830513, 0.875088, 0.890302, 0.93, 0.95501, 0.977412, 0.993925, 1.00558, 1.01815, 1.03492, 1.06846, 1.11778, 1.21589, 1.23776, 1.26537");
-            values ( \
-              "0.001233, 0.00840454, 0.0172981, 0.0283909, 0.030978, 0.0310721, 0.0310573, 0.0306516, 0.024005, 0.0210597, 0.0123376, 0.0080082, 0.00520543, 0.00366554, 0.00285824, 0.00215998, 0.00144809, 0.00063582, 0.000146265, 9.51075e-06, 0.000263899, 0.000229726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00667684");
-            index_3 ("0.637639, 0.666189, 0.71413, 0.730847, 0.781467, 0.838514, 0.860704, 0.872431, 0.88937, 0.912597, 0.91613, 0.93424, 0.973663, 1.00417, 1.02376, 1.0332, 1.05048, 1.07023, 1.08871, 1.11806, 1.1572, 1.21578, 1.21779, 1.22925, 1.23177");
-            values ( \
-              "0.00549126, 0.0113593, 0.01901, 0.0218953, 0.031695, 0.0436024, 0.0478983, 0.048983, 0.0479207, 0.0427969, 0.0422369, 0.0372485, 0.0250582, 0.0167786, 0.0124949, 0.010713, 0.00804153, 0.00564024, 0.00401249, 0.00227798, 0.0010233, 0.000245584, 0.000472375, 0.000703554, 0.000682934" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0122462");
-            index_3 ("0.653086, 0.690972, 0.715755, 0.741388, 0.791118, 0.880482, 0.898016, 0.924284, 0.943004, 0.961813, 0.995631, 1.0958, 1.12119, 1.14308, 1.16302, 1.18961, 1.21627, 1.22687, 1.26827, 1.30423, 1.32938");
-            values ( \
-              "0.0162277, 0.0169067, 0.0214519, 0.026611, 0.0378197, 0.0610167, 0.06498, 0.06982, 0.0711249, 0.0694779, 0.060956, 0.0273343, 0.0204999, 0.0157124, 0.0121693, 0.00845951, 0.00581916, 0.00558988, 0.00317433, 0.00183212, 0.00132241" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0224612");
-            index_3 ("0.652961, 0.698392, 0.73244, 0.799217, 0.877419, 0.904509, 0.932769, 0.971738, 0.997567, 1.02433, 1.06791, 1.115, 1.21619, 1.26591, 1.28696, 1.32155, 1.36027, 1.38472, 1.43154, 1.45706, 1.50809, 1.59109, 1.6741, 1.75711");
-            values ( \
-              "0.0162428, 0.019372, 0.0263133, 0.0425028, 0.0656088, 0.0731842, 0.0801512, 0.0881848, 0.0918178, 0.0938514, 0.0917474, 0.0802819, 0.0469487, 0.0327859, 0.0274909, 0.0203733, 0.0144271, 0.0115434, 0.00747272, 0.0058794, 0.0036019, 0.00156442, 0.000673212, 0.00029226" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411969");
-            index_3 ("0.683984, 0.760491, 0.821, 0.882383, 0.934535, 0.957079, 0.999104, 1.03194, 1.09957, 1.16407, 1.21604, 1.22907, 1.25514, 1.38343, 1.46671, 1.52963, 1.55321, 1.59235, 1.65976, 1.69097, 1.75337, 1.82287, 1.93474, 2.01775, 2.10076, 2.26677, 2.34978");
-            values ( \
-              "0.0315432, 0.0342463, 0.0506575, 0.0701995, 0.0854178, 0.0912536, 0.100438, 0.106053, 0.113702, 0.116197, 0.113228, 0.111822, 0.106753, 0.0718406, 0.0512502, 0.0384379, 0.0344401, 0.0282778, 0.0199883, 0.017048, 0.012089, 0.0082489, 0.00438764, 0.00279608, 0.00167224, 0.000618795, 0.000443665" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0755607");
-            index_3 ("0.713364, 0.818155, 0.938737, 1.02946, 1.06821, 1.12762, 1.17741, 1.21519, 1.23598, 1.258, 1.37502, 1.44766, 1.49778, 1.58079, 1.92423, 2.07022, 2.13862, 2.25635, 2.39867, 2.48167, 2.57519, 2.71092, 2.87694, 3.04296, 3.09139");
-            values ( \
-              "0.0456478, 0.0512962, 0.089578, 0.111333, 0.117888, 0.125798, 0.130245, 0.132788, 0.133327, 0.133173, 0.126685, 0.120957, 0.115677, 0.104609, 0.0527572, 0.0358925, 0.0296138, 0.0209837, 0.0136163, 0.0105127, 0.00784144, 0.00509107, 0.00298416, 0.00174308, 0.00160705" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00422641", \
-            "0.00541127", \
-            "0.00620767", \
-            "0.00673045", \
-            "0.00707694", \
-            "0.00740036" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0");
-          values ( \
-            "0.00625413", \
-            "0.0067421", \
-            "0.00707759", \
-            "0.00740722", \
-            "0.00699112", \
-            "0.00653635" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0444087, 0.0471118, 0.0490287, 0.0532342, 0.0553492, 0.0597747, 0.0640521, 0.0683329, 0.0728376, 0.0897347, 0.0964337, 0.105443, 0.113833, 0.124863, 0.134657, 0.134777");
-            values ( \
-              "-0.0930758, -0.100385, -0.117263, -0.138316, -0.144504, -0.152176, -0.154118, -0.1512, -0.139861, -0.0629195, -0.0408746, -0.0217502, -0.0116921, -0.00507928, -0.00232248, -0.00231433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00667684");
-            index_3 ("0.0444596, 0.049389, 0.0532716, 0.0565525, 0.0601379, 0.0656589, 0.0685395, 0.0742834, 0.0794985, 0.0863032, 0.0938976, 0.107188, 0.11706, 0.12741, 0.139039, 0.149868, 0.163879, 0.178699");
-            values ( \
-              "-0.104989, -0.14284, -0.165217, -0.175742, -0.182956, -0.187494, -0.1881, -0.187061, -0.182631, -0.170103, -0.144324, -0.0924359, -0.0614818, -0.0383246, -0.0218359, -0.01264, -0.00614908, -0.00279782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0122462");
-            index_3 ("0.0460221, 0.0544709, 0.0576994, 0.0626041, 0.0683001, 0.078609, 0.0889435, 0.0993206, 0.110594, 0.121065, 0.14469, 0.160305, 0.173619, 0.190466, 0.210234, 0.239082, 0.259069");
-            values ( \
-              "-0.182504, -0.190099, -0.200551, -0.208818, -0.213363, -0.214521, -0.211772, -0.205459, -0.191765, -0.168999, -0.104595, -0.0699883, -0.0481103, -0.0291006, -0.0157077, -0.00618861, -0.00352698" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0224612");
-            index_3 ("0.0459699, 0.0541816, 0.0598235, 0.0652505, 0.0716846, 0.0827563, 0.10019, 0.124585, 0.14519, 0.155003, 0.174291, 0.213586, 0.239533, 0.261777, 0.290585, 0.324039, 0.371974, 0.408741");
-            values ( \
-              "-0.194739, -0.203183, -0.220215, -0.227298, -0.231508, -0.232895, -0.230855, -0.22414, -0.213782, -0.20574, -0.179955, -0.112214, -0.0756389, -0.0522905, -0.0314451, -0.0169673, -0.00682161, -0.00359858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0411969");
-            index_3 ("0.0434791, 0.0443946, 0.0473024, 0.0509095, 0.0531749, 0.0563541, 0.0599878, 0.063136, 0.069276, 0.0764742, 0.0785732, 0.0827711, 0.104471, 0.108951, 0.117911, 0.13583, 0.13966, 0.14732, 0.159015, 0.194798, 0.219228, 0.236497, 0.270498, 0.319721, 0.339635, 0.366185, 0.386057, 0.401176, 0.421335, 0.449415, 0.475834, 0.511059, 0.534364, 0.560519, 0.595391, 0.646465, 0.697538, 0.748611, 0.850757");
-            values ( \
-              "-0.0454189, -0.083189, -0.152893, -0.192264, -0.205942, -0.220166, -0.229444, -0.235104, -0.240397, -0.243626, -0.243564, -0.244439, -0.243844, -0.24306, -0.242479, -0.239984, -0.2398, -0.23843, -0.236973, -0.229963, -0.222377, -0.214109, -0.188129, -0.136693, -0.117044, -0.0937193, -0.0781504, -0.0678855, -0.0561341, -0.0422575, -0.0322705, -0.0224659, -0.0173684, -0.0131206, -0.00914406, -0.00503834, -0.00299409, -0.00154238, -0.000426475" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0755607");
-            index_3 ("0.0433603, 0.0471785, 0.0507843, 0.0561213, 0.0631676, 0.0690438, 0.0752478, 0.0930496, 0.102342, 0.117705, 0.137101, 0.156725, 0.195974, 0.211895, 0.239638, 0.268068, 0.325592, 0.361504, 0.385774, 0.434316, 0.487056, 0.547549, 0.573615, 0.624689, 0.661421, 0.701813, 0.752886, 0.776181, 0.815094, 0.856172, 0.907245, 0.936753, 0.987826, 1.0389, 1.14105, 1.24319, 1.34534, 1.44748");
-            values ( \
-              "-0.0462678, -0.156199, -0.196625, -0.225072, -0.241259, -0.246408, -0.249845, -0.251941, -0.25134, -0.251141, -0.24958, -0.248787, -0.245646, -0.244859, -0.242149, -0.239869, -0.23259, -0.22581, -0.219091, -0.199396, -0.169033, -0.132699, -0.118471, -0.0925641, -0.0768067, -0.0620142, -0.047009, -0.0410095, -0.0330491, -0.025829, -0.0192768, -0.0159789, -0.0119309, -0.00857158, -0.00454565, -0.00238031, -0.00122234, -0.000605163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0641662, 0.0673088, 0.0709396, 0.0733399, 0.0757579, 0.0801902, 0.0844739, 0.0887711, 0.0932638, 0.110162, 0.116864, 0.120769, 0.125872, 0.134262, 0.140398, 0.145298, 0.155099, 0.159838");
-            values ( \
-              "-0.0581066, -0.0979186, -0.126016, -0.136765, -0.144193, -0.151944, -0.154045, -0.15107, -0.139815, -0.0629242, -0.0408686, -0.0312455, -0.0217491, -0.0116909, -0.00738002, -0.00507894, -0.00231858, -0.00177939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00667684");
-            index_3 ("0.065416, 0.0697217, 0.0736239, 0.0769824, 0.0805055, 0.0860532, 0.0888721, 0.0926306, 0.0973638, 0.0998761, 0.10668, 0.110174, 0.127462, 0.137383, 0.145715, 0.156295, 0.161199, 0.17125, 0.18943, 0.202104");
-            values ( \
-              "-0.13745, -0.141688, -0.164495, -0.1757, -0.18258, -0.187516, -0.188095, -0.187777, -0.185144, -0.182559, -0.170035, -0.159713, -0.092784, -0.0616075, -0.0423114, -0.0254823, -0.0200244, -0.0120669, -0.0046669, -0.00262679" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0122462");
-            index_3 ("0.0654576, 0.0781434, 0.0830061, 0.0887547, 0.0958561, 0.109404, 0.119781, 0.131055, 0.141531, 0.165192, 0.180776, 0.194069, 0.210937, 0.230705, 0.259489, 0.277709");
-            values ( \
-              "-0.148954, -0.200059, -0.20871, -0.21312, -0.214521, -0.211627, -0.205581, -0.191761, -0.168989, -0.104493, -0.0699712, -0.0481255, -0.0290928, -0.0157037, -0.0061993, -0.00377002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0224612");
-            index_3 ("0.0669581, 0.0801636, 0.0856793, 0.0921092, 0.103191, 0.120625, 0.145014, 0.156456, 0.165625, 0.175439, 0.194726, 0.234021, 0.259968, 0.282212, 0.297381, 0.31102, 0.329207, 0.344475, 0.365018, 0.392409, 0.443483, 0.449051");
-            values ( \
-              "-0.206518, -0.219534, -0.22735, -0.23124, -0.232707, -0.230697, -0.22415, -0.219221, -0.213776, -0.205747, -0.17995, -0.112215, -0.0756407, -0.0522891, -0.0401034, -0.0314463, -0.0225544, -0.0169684, -0.0115434, -0.00682066, -0.00234545, -0.00223213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0411969");
-            index_3 ("0.0683846, 0.0968931, 0.10326, 0.111748, 0.129396, 0.155051, 0.191382, 0.222753, 0.244671, 0.256952, 0.281514, 0.293926, 0.347399, 0.36208, 0.391444, 0.411079, 0.432759, 0.461666, 0.478329, 0.497874, 0.520577, 0.552244, 0.565132, 0.590907, 0.64198, 0.693053, 0.744126, 0.846273");
-            values ( \
-              "-0.241157, -0.243308, -0.244191, -0.244444, -0.243263, -0.24034, -0.234791, -0.227898, -0.220197, -0.21427, -0.196664, -0.184929, -0.129421, -0.115187, -0.0895841, -0.0749565, -0.0610756, -0.0459451, -0.0388562, -0.0318217, -0.0251223, -0.017957, -0.0156548, -0.0118712, -0.00674717, -0.00382133, -0.00215408, -0.000682517" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0755607");
-            index_3 ("0.0635709, 0.0681603, 0.0722012, 0.0750736, 0.0788075, 0.0837199, 0.0895949, 0.0955905, 0.104014, 0.113391, 0.138076, 0.17707, 0.232241, 0.288413, 0.339487, 0.358841, 0.38185, 0.40612, 0.45466, 0.472389, 0.567895, 0.593963, 0.645036, 0.681766, 0.722149, 0.773222, 0.796523, 0.835457, 0.876527, 0.9276, 0.9571, 1.00817, 1.05925, 1.11032, 1.16139, 1.21247, 1.26354, 1.36568, 1.46783");
-            values ( \
-              "-0.0450697, -0.164974, -0.203339, -0.21878, -0.231571, -0.241108, -0.246753, -0.249521, -0.251185, -0.251698, -0.250923, -0.24858, -0.244659, -0.239675, -0.23345, -0.23028, -0.225642, -0.219253, -0.199243, -0.189583, -0.132815, -0.118357, -0.0926736, -0.0769052, -0.0621087, -0.0469206, -0.0410983, -0.0329731, -0.025899, -0.0192049, -0.0160481, -0.0118624, -0.00863919, -0.0063909, -0.00461169, -0.00343444, -0.00244479, -0.00128531, -0.000666642" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.107572, 0.111287, 0.115638, 0.119581, 0.124195, 0.128578, 0.132932, 0.137834, 0.1547, 0.160976, 0.168742, 0.175579, 0.184475, 0.199185");
-            values ( \
-              "-0.0826967, -0.0937692, -0.120882, -0.136463, -0.147243, -0.151274, -0.149559, -0.137879, -0.0618055, -0.041289, -0.0240627, -0.0146039, -0.00750033, -0.00303225" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00667684");
-            index_3 ("0.107605, 0.111115, 0.115781, 0.120268, 0.124589, 0.130147, 0.133319, 0.137662, 0.144114, 0.150995, 0.156134, 0.173015, 0.179353, 0.187436, 0.193097, 0.20226, 0.213694, 0.225184, 0.238316, 0.250003");
-            values ( \
-              "-0.0984814, -0.109795, -0.145197, -0.165515, -0.176995, -0.185038, -0.186504, -0.186205, -0.1826, -0.169714, -0.153736, -0.0884374, -0.0681921, -0.047715, -0.0366255, -0.0235392, -0.0132737, -0.00738049, -0.00365915, -0.00221293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0122462");
-            index_3 ("0.109212, 0.117903, 0.125745, 0.132869, 0.14324, 0.152247, 0.161755, 0.169047, 0.175268, 0.186524, 0.209519, 0.225016, 0.238278, 0.255177, 0.274945, 0.287239, 0.303631, 0.333656");
-            values ( \
-              "-0.159447, -0.174617, -0.201582, -0.210866, -0.213573, -0.21196, -0.206995, -0.200258, -0.191718, -0.166948, -0.104232, -0.0699393, -0.0481532, -0.0290771, -0.0156939, -0.0106252, -0.00621944, -0.00217568" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0224612");
-            index_3 ("0.109704, 0.118522, 0.124234, 0.129926, 0.136928, 0.147559, 0.167361, 0.177344, 0.191594, 0.200839, 0.21912, 0.22996, 0.240849, 0.276267, 0.286658, 0.303492, 0.317466, 0.328364, 0.342401, 0.354062, 0.367716, 0.38703, 0.407154, 0.422574, 0.453415, 0.504488, 0.555561");
-            values ( \
-              "-0.184113, -0.190239, -0.212592, -0.223712, -0.230048, -0.232277, -0.230268, -0.227965, -0.223474, -0.219093, -0.206661, -0.193938, -0.176936, -0.115601, -0.0994935, -0.0766347, -0.0609781, -0.0506718, -0.0396481, -0.0322128, -0.0251274, -0.0175567, -0.0120596, -0.00900046, -0.00493607, -0.00169712, -0.000586628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0411969");
-            index_3 ("0.112574, 0.141853, 0.151878, 0.16252, 0.204435, 0.235269, 0.248717, 0.277935, 0.301199, 0.318092, 0.338153, 0.400033, 0.417995, 0.447833, 0.472833, 0.491778, 0.516208, 0.536327, 0.560319, 0.594207, 0.629669, 0.656865, 0.707938, 0.759011, 0.810084, 0.91223");
-            values ( \
-              "-0.240774, -0.242485, -0.244019, -0.244028, -0.239625, -0.234933, -0.232269, -0.224398, -0.21433, -0.2031, -0.18492, -0.121212, -0.104581, -0.0803086, -0.0635977, -0.0529138, -0.0414715, -0.0338002, -0.0263427, -0.0183987, -0.0126099, -0.00938958, -0.00533406, -0.00300775, -0.00170361, -0.000543495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0755607");
-            index_3 ("0.105873, 0.111016, 0.116445, 0.122341, 0.128936, 0.134614, 0.139843, 0.148422, 0.157608, 0.180976, 0.22138, 0.276552, 0.332724, 0.383797, 0.403152, 0.426161, 0.450431, 0.498971, 0.5167, 0.612206, 0.638274, 0.689347, 0.726077, 0.766462, 0.817535, 0.840835, 0.879765, 0.920837, 0.97191, 1.00141, 1.05248, 1.10356, 1.15463, 1.2057, 1.25678, 1.35892, 1.51214");
-            values ( \
-              "-0.0314544, -0.142401, -0.191277, -0.221129, -0.238013, -0.244885, -0.248187, -0.250623, -0.251482, -0.250985, -0.248593, -0.244668, -0.239683, -0.233442, -0.230278, -0.225644, -0.219253, -0.199241, -0.189587, -0.132824, -0.118347, -0.0926843, -0.0769183, -0.0621228, -0.0469055, -0.0411135, -0.0329552, -0.0259179, -0.0191863, -0.0160672, -0.0118431, -0.00865864, -0.00637132, -0.00463145, -0.00341453, -0.00182877, -0.000687334" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.191568, 0.196488, 0.201306, 0.204671, 0.207217, 0.212239, 0.216893, 0.221448, 0.226142, 0.22817, 0.238016, 0.245488, 0.251744, 0.258105, 0.261089, 0.267058, 0.272421, 0.27626, 0.28394, 0.295774");
-            values ( \
-              "-0.0309399, -0.0699861, -0.0970578, -0.113442, -0.123301, -0.137616, -0.144007, -0.14419, -0.134829, -0.127981, -0.0838804, -0.0547058, -0.0364146, -0.0236078, -0.0191192, -0.0123977, -0.008364, -0.0062904, -0.00348506, -0.00144743" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00667684");
-            index_3 ("0.193394, 0.199318, 0.205351, 0.212541, 0.218183, 0.222258, 0.226237, 0.232906, 0.239873, 0.247601, 0.262533, 0.269256, 0.280137, 0.28964, 0.30182, 0.314947, 0.326337, 0.336559");
-            values ( \
-              "-0.0879002, -0.103462, -0.138802, -0.165299, -0.176033, -0.179663, -0.180934, -0.178461, -0.16681, -0.142026, -0.086862, -0.0661631, -0.0407801, -0.0259312, -0.0141334, -0.00724719, -0.00397139, -0.00260316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0122462");
-            index_3 ("0.193327, 0.200074, 0.209834, 0.215244, 0.22135, 0.232056, 0.242617, 0.24883, 0.256245, 0.264544, 0.26954, 0.276905, 0.297983, 0.313987, 0.327987, 0.344087, 0.352046, 0.363373, 0.383792, 0.40147, 0.426402");
-            values ( \
-              "-0.0940878, -0.12146, -0.176563, -0.192298, -0.202757, -0.208919, -0.2083, -0.20576, -0.200219, -0.190595, -0.181488, -0.163634, -0.106344, -0.0705857, -0.0476089, -0.0294453, -0.0230477, -0.0161408, -0.0084353, -0.00471131, -0.00223683" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0224612");
-            index_3 ("0.195955, 0.208131, 0.214122, 0.218302, 0.22564, 0.236512, 0.245609, 0.251595, 0.263683, 0.270481, 0.281695, 0.290253, 0.309276, 0.320701, 0.330264, 0.362515, 0.38636, 0.400995, 0.408651, 0.423963, 0.435467, 0.448994, 0.467031, 0.47997, 0.493165, 0.510759, 0.545945, 0.597018, 0.648092");
-            values ( \
-              "-0.151822, -0.180477, -0.203676, -0.212592, -0.222681, -0.227697, -0.228503, -0.227646, -0.226983, -0.225941, -0.222732, -0.219101, -0.20579, -0.192083, -0.176919, -0.120781, -0.0850105, -0.0672266, -0.0592026, -0.045496, -0.0371605, -0.0291541, -0.0209272, -0.0164494, -0.0128556, -0.00921553, -0.00460405, -0.00158924, -0.000542102" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0411969");
-            index_3 ("0.197976, 0.212593, 0.215982, 0.222759, 0.232182, 0.241923, 0.248935, 0.253161, 0.263099, 0.273129, 0.282058, 0.30933, 0.325174, 0.356597, 0.376737, 0.390738, 0.418742, 0.427761, 0.481571, 0.496958, 0.527731, 0.54497, 0.563969, 0.589301, 0.607471, 0.620776, 0.638515, 0.673994, 0.69818, 0.710559, 0.735316, 0.784829, 0.835903, 0.886976, 0.938049, 0.989122");
-            values ( \
-              "-0.202033, -0.207119, -0.216783, -0.229351, -0.237023, -0.239979, -0.240522, -0.24048, -0.241296, -0.241383, -0.240818, -0.237427, -0.234798, -0.227869, -0.220912, -0.214268, -0.193582, -0.184916, -0.12906, -0.114205, -0.0876133, -0.0748912, -0.0626134, -0.048887, -0.0407383, -0.0355932, -0.0296639, -0.020387, -0.0157718, -0.0138306, -0.0105834, -0.00612967, -0.00345883, -0.00195992, -0.001096, -0.000625433" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0755607");
-            index_3 ("0.200523, 0.228889, 0.238137, 0.247484, 0.248918, 0.250837, 0.263954, 0.272424, 0.285212, 0.303224, 0.358132, 0.414683, 0.437751, 0.468508, 0.502658, 0.540128, 0.591201, 0.63695, 0.703067, 0.731389, 0.782462, 0.815946, 0.851945, 0.899944, 0.928832, 0.949954, 0.978117, 1.01643, 1.0675, 1.09142, 1.13926, 1.19033, 1.24141, 1.29248, 1.34355, 1.39463, 1.4457, 1.59892");
-            values ( \
-              "-0.238794, -0.240883, -0.246133, -0.247494, -0.248044, -0.2476, -0.249465, -0.250001, -0.249923, -0.24904, -0.245281, -0.240438, -0.238022, -0.234153, -0.228448, -0.219243, -0.197973, -0.17164, -0.132155, -0.116556, -0.0911138, -0.0768455, -0.0635452, -0.0488918, -0.0415121, -0.0368219, -0.0313746, -0.0250389, -0.0185496, -0.0160358, -0.0120767, -0.00881128, -0.00650298, -0.00470915, -0.00348999, -0.00250195, -0.00187364, -0.00069131" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.370994, 0.376679, 0.388674, 0.394548, 0.399765, 0.404687, 0.409735, 0.412242, 0.424196, 0.432187, 0.437632, 0.444097, 0.452509, 0.461589, 0.469469, 0.477989");
-            values ( \
-              "-0.0549485, -0.0603723, -0.102959, -0.120173, -0.131224, -0.135128, -0.128765, -0.121344, -0.073061, -0.0457835, -0.0321217, -0.020571, -0.0112036, -0.00573242, -0.00313716, -0.00183221" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00667684");
-            index_3 ("0.370955, 0.376454, 0.390416, 0.39494, 0.402712, 0.409823, 0.41676, 0.423684, 0.426436, 0.431714, 0.444835, 0.455165, 0.463618, 0.469363, 0.474223, 0.479163, 0.489262, 0.495337, 0.507487, 0.527041, 0.550136");
-            values ( \
-              "-0.0657177, -0.0710368, -0.129273, -0.144876, -0.164386, -0.173459, -0.173789, -0.164457, -0.157828, -0.140526, -0.0925016, -0.0610318, -0.0419215, -0.0320249, -0.0253775, -0.019931, -0.0120272, -0.0088342, -0.00466484, -0.00154369, -0.000391956" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0122462");
-            index_3 ("0.373439, 0.383569, 0.391921, 0.400274, 0.404802, 0.413859, 0.420349, 0.426814, 0.437578, 0.44889, 0.453208, 0.461323, 0.482609, 0.498651, 0.512277, 0.521331, 0.528979, 0.536891, 0.552202, 0.56606, 0.575995, 0.590452, 0.608676, 0.610245");
-            values ( \
-              "-0.103905, -0.113783, -0.150257, -0.178548, -0.189098, -0.20141, -0.204508, -0.205267, -0.201782, -0.189516, -0.181887, -0.162559, -0.105597, -0.0702059, -0.0479758, -0.0367637, -0.0292215, -0.022925, -0.014024, -0.00911672, -0.00667362, -0.0041955, -0.00232397, -0.0022391" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0224612");
-            index_3 ("0.373255, 0.383072, 0.397642, 0.408118, 0.419678, 0.428829, 0.438235, 0.453342, 0.461777, 0.473025, 0.482816, 0.493527, 0.512891, 0.555427, 0.579647, 0.597904, 0.615127, 0.63431, 0.646569, 0.670055, 0.682897, 0.708579, 0.759653, 0.765606");
-            values ( \
-              "-0.112287, -0.120098, -0.18395, -0.21019, -0.222358, -0.225566, -0.226496, -0.224989, -0.222814, -0.218585, -0.213146, -0.204704, -0.179115, -0.107256, -0.0743584, -0.0550027, -0.0407533, -0.0289381, -0.023129, -0.0149438, -0.0117431, -0.00716471, -0.00248097, -0.00229094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0411969");
-            index_3 ("0.378818, 0.398608, 0.40531, 0.415903, 0.425827, 0.436792, 0.447228, 0.462563, 0.478219, 0.525473, 0.556293, 0.572259, 0.58178, 0.612376, 0.678759, 0.723766, 0.762365, 0.78905, 0.813199, 0.845399, 0.871798, 0.900873, 0.93964, 0.990713, 1.04179, 1.06993");
-            values ( \
-              "-0.178293, -0.194691, -0.213603, -0.229602, -0.23626, -0.239229, -0.240084, -0.239666, -0.238193, -0.230675, -0.222056, -0.215692, -0.210442, -0.184948, -0.116921, -0.0791072, -0.0548315, -0.0420497, -0.0328883, -0.023466, -0.0177548, -0.0130198, -0.00851936, -0.00484259, -0.00272278, -0.00231377" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0755607");
-            index_3 ("0.383457, 0.432338, 0.455169, 0.503772, 0.572452, 0.612134, 0.665195, 0.725365, 0.743557, 0.779942, 0.899682, 0.990379, 1.0596, 1.10723, 1.15024, 1.19148, 1.24255, 1.29006, 1.36937, 1.52259, 1.56126");
-            values ( \
-              "-0.225333, -0.245387, -0.248514, -0.246827, -0.242633, -0.239211, -0.232405, -0.219229, -0.212989, -0.19596, -0.125688, -0.0812126, -0.0562228, -0.0431314, -0.0337644, -0.0265552, -0.0196478, -0.0148006, -0.00916921, -0.00360039, -0.00316726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.751689, 0.75677, 0.782327, 0.78853, 0.794235, 0.79986, 0.805476, 0.82397, 0.833095, 0.839755, 0.844844, 0.850228, 0.862655, 0.869096");
-            values ( \
-              "-0.0340873, -0.0391235, -0.0995696, -0.111574, -0.117922, -0.11551, -0.103475, -0.0437601, -0.0244019, -0.0154671, -0.0107558, -0.00731822, -0.00292315, -0.00204363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00667684");
-            index_3 ("0.751693, 0.757198, 0.777095, 0.792037, 0.8001, 0.807653, 0.81521, 0.822747, 0.845926, 0.856326, 0.866469, 0.878703, 0.89159, 0.902742, 0.908821");
-            values ( \
-              "-0.0397054, -0.0476682, -0.104568, -0.142054, -0.155996, -0.162257, -0.156565, -0.13807, -0.0638077, -0.0406226, -0.0252732, -0.0138697, -0.00728517, -0.0040746, -0.0032525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0122462");
-            index_3 ("0.754603, 0.768823, 0.779155, 0.794486, 0.807009, 0.818441, 0.829629, 0.840801, 0.842825, 0.853672, 0.88145, 0.891044, 0.905029, 0.913889, 0.921332, 0.929302, 0.940649, 0.95217, 0.960951, 0.978513, 0.999772");
-            values ( \
-              "-0.0644431, -0.0906771, -0.12267, -0.164916, -0.187678, -0.197553, -0.197207, -0.187552, -0.18446, -0.161255, -0.0901249, -0.0702194, -0.0475404, -0.0366446, -0.0293138, -0.0229657, -0.0161006, -0.0112061, -0.00846928, -0.00475985, -0.00263347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0224612");
-            index_3 ("0.757452, 0.771006, 0.790369, 0.800499, 0.81166, 0.821756, 0.830334, 0.845941, 0.864229, 0.872243, 0.882929, 0.892286, 0.907176, 0.94146, 0.969627, 0.995568, 1.02009, 1.03394, 1.05342, 1.08989, 1.12159, 1.15281");
-            values ( \
-              "-0.10297, -0.104887, -0.165971, -0.191253, -0.209368, -0.218355, -0.221235, -0.222817, -0.218241, -0.214509, -0.20745, -0.198062, -0.176244, -0.117226, -0.0768739, -0.0499914, -0.0324853, -0.0252648, -0.0176154, -0.00888326, -0.0047902, -0.00287288" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0411969");
-            index_3 ("0.76043, 0.782521, 0.797296, 0.806991, 0.819586, 0.835161, 0.848392, 0.870798, 0.902236, 0.934426, 0.938373, 0.954163, 0.968009, 0.995701, 1.00512, 1.05885, 1.07388, 1.10392, 1.12252, 1.14303, 1.17037, 1.18972, 1.21244, 1.2228, 1.24038, 1.25511, 1.27701, 1.31563, 1.3667, 1.41777, 1.46885, 1.57099");
-            values ( \
-              "-0.118196, -0.148052, -0.191514, -0.212307, -0.227616, -0.235635, -0.237821, -0.23777, -0.234023, -0.22694, -0.225762, -0.220232, -0.213721, -0.193432, -0.184469, -0.12901, -0.114545, -0.0885714, -0.0748234, -0.0616847, -0.0471924, -0.0388697, -0.0308186, -0.0276648, -0.0230974, -0.0198041, -0.0156938, -0.0103239, -0.00586124, -0.00331488, -0.0018693, -0.000592584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0755607");
-            index_3 ("0.765028, 0.817243, 0.847646, 0.885093, 0.964571, 1.05107, 1.11796, 1.16635, 1.29222, 1.38063, 1.45941, 1.53924, 1.63317, 1.76724, 1.90262");
-            values ( \
-              "-0.149374, -0.231933, -0.245956, -0.24749, -0.242392, -0.233065, -0.218918, -0.198901, -0.1262, -0.0825464, -0.0543247, -0.034665, -0.0199924, -0.00893323, -0.00413842" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.00370325, 0.00370325, 0.00370325, 0.00370325, 0.00370325, 0.00370325", \
-            "0.0044304, 0.00443033, 0.00443033, 0.00443032, 0.00443032, 0.00443032", \
-            "0.00485901, 0.00485901, 0.00485901, 0.00485901, 0.00485901, 0.00485901", \
-            "0.0051053, 0.0051053, 0.00510529, 0.00510529, 0.00510529, 0.00510529", \
-            "0.00524472, 0.00524472, 0.00524473, 0.00524474, 0.00524474, 0.00524474", \
-            "0.00532953, 0.00532956, 0.00532958, 0.00532959, 0.0053296, 0.00532961" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.00548282, 0.00548279, 0.00548278, 0.00548276, 0.00548276, 0.00548275", \
-            "0.00609061, 0.00609061, 0.0060906, 0.0060906, 0.00609059, 0.00609059", \
-            "0.00664023, 0.00664043, 0.00664058, 0.00664066, 0.00664072, 0.00664075", \
-            "0.00728415, 0.00728078, 0.00727885, 0.00727712, 0.00727627, 0.00727576", \
-            "0.00815333, 0.00812974, 0.00812034, 0.00811544, 0.00811199, 0.00811098", \
-            "0.00873498, 0.0084948, 0.00825951, 0.00821392, 0.0082005, 0.00819489" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.00613154, 0.00624775, 0.00634481, 0.00641041, 0.00645108, 0.00647509", \
-            "0.00591791, 0.00606521, 0.00620955, 0.00632072, 0.00639709, 0.00644411", \
-            "0.00576738, 0.00588673, 0.00601972, 0.00617096, 0.00629701, 0.00637715", \
-            "0.00587798, 0.00589921, 0.00598804, 0.00583478, 0.00620784, 0.00631327", \
-            "0.00655255, 0.00642486, 0.00630887, 0.00623914, 0.00620703, 0.0062516", \
-            "0.00818285, 0.00791523, 0.00758594, 0.00728356, 0.00690672, 0.00664916" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "-0.00112107, -0.00102033, -0.000938579, -0.000880401, -0.000843621, -0.000821644", \
-            "-0.00124839, -0.00111495, -0.000990511, -0.000895986, -0.000827712, -0.000798324", \
-            "-0.00143749, -0.00128096, -0.0011224, -0.000987935, -0.000874844, -0.000802318", \
-            "-0.00154021, -0.00138505, -0.0012932, -0.00113032, -0.000993836, -0.000876938", \
-            "-0.00129556, -0.00133883, -0.00131977, -0.00123308, -0.00110304, -0.000961943", \
-            "-2.91007e-05, -0.0003869, -0.000698437, -0.000883517, -0.000959427, -0.000935842" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "OE";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00638387, 0.00942039, 0.0149898, 0.0252048, 0.0439405, 0.0783042");
-          values ( \
-            "0.0144561, 0.0144558, 0.0144556, 0.0144563, 0.014456, 0.0144557", \
-            "0.0143555, 0.0143553, 0.0143551, 0.0143555, 0.014355, 0.0143548", \
-            "0.0143832, 0.0143825, 0.0143915, 0.0143969, 0.0143879, 0.0143989", \
-            "0.0147013, 0.0147105, 0.0147081, 0.0147224, 0.0147097, 0.0146759", \
-            "0.0156877, 0.0156868, 0.0156692, 0.0157061, 0.0157205, 0.0156829", \
-            "0.0181416, 0.0180757, 0.0180783, 0.0180795, 0.0180681, 0.0181279" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00652292, 0.00955943, 0.0151288, 0.0253438, 0.0440795, 0.0784433");
-          values ( \
-            "0.012767, 0.0127668, 0.0127667, 0.0127667, 0.0127666, 0.0127666", \
-            "0.0126723, 0.0126722, 0.012672, 0.012672, 0.012672, 0.0126718", \
-            "0.0127103, 0.0127033, 0.0127117, 0.0127032, 0.0127141, 0.0127144", \
-            "0.0131247, 0.0131359, 0.0131312, 0.0131351, 0.013154, 0.013128", \
-            "0.0140765, 0.0140609, 0.0140123, 0.0140308, 0.0140346, 0.0140098", \
-            "0.0162852, 0.016271, 0.0162283, 0.0162437, 0.0161972, 0.0162347" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00444416;
-      rise_capacitance : 0.00444416;
-      rise_capacitance_range (0.00248055, 0.00444416);
-      fall_capacitance : 0.0044114;
-      fall_capacitance_range (0.00233518, 0.0044114);
-      receiver_capacitance () {
-        when : "OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00193599, 0.00215876, 0.00226221, 0.00231674, 0.00234176, 0.00235404" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00243666, 0.00248363, 0.00250509, 0.00251424, 0.00251893, 0.00252137" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00211926, 0.00237887, 0.00249289, 0.00254971, 0.00257563, 0.00258842" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0025391, 0.00251177, 0.00249351, 0.00248724, 0.00248446, 0.00248342" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "OE";
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00212157, 0.00248986, 0.0026712, 0.00276096, 0.0028019, 0.00282156" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0027813, 0.00283827, 0.00284182, 0.0028419, 0.00284233, 0.00284319" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00198458, 0.00225353, 0.00238274, 0.00244681, 0.00247762, 0.00249261" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00250501, 0.0025825, 0.00262299, 0.00264508, 0.00265404, 0.00265798" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(OE * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(OE * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00255394, -0.00255992, -0.00257744, -0.00257143, -0.00256092, -0.00255429" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00255394, 0.00255992, 0.00257744, 0.00257143, 0.00256092, 0.00255429" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(OE * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(OE * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00227153, -0.00227466, -0.00228279, -0.00229079, -0.00227986, -0.00227132" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00228998, 0.0022886, 0.00229827, 0.00230255, 0.00229273, 0.00228573" \
-          );
-        }
-      }
-    }
-    pin (OE) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.00674681;
-      rise_capacitance : 0.00667315;
-      rise_capacitance_range (0.0046614, 0.00667315);
-      fall_capacitance : 0.00674681;
-      fall_capacitance_range (0.00471716, 0.00674681);
-      receiver_capacitance () {
-        when : "A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00422641, 0.00541127, 0.00620767, 0.00673045, 0.00707694, 0.00740036" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00625413, 0.0067421, 0.00707759, 0.00740722, 0.00699112, 0.00653635" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00369029, 0.00438723, 0.00478486, 0.00501338, 0.00513416, 0.00520355" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00537779, 0.00603041, 0.0066945, 0.00755442, 0.00852121, 0.00865966" \
-          );
-        }
-      }
-      receiver_capacitance () {
-        when : "!A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00427195, 0.00546777, 0.00623556, 0.006743, 0.00708549, 0.00740326" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00638152, 0.00684137, 0.00716302, 0.00747034, 0.00703579, 0.00657218" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00368782, 0.00440047, 0.0048196, 0.00506356, 0.00519052, 0.00525491" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.00545964, 0.00617127, 0.00678814, 0.00753821, 0.00845062, 0.00860099" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(A * !Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.0045043, -0.00479345, -0.00500806, -0.00499873, -0.00438344, -0.0022108" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.010986, 0.0109051, 0.010933, 0.0112805, 0.0122267, 0.0146481" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "(!A * Y)";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "-0.00440382, -0.0046279, -0.00484801, -0.00487041, -0.00429481, -0.00216708" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          values ( \
-            "0.0108392, 0.0107436, 0.0107867, 0.0111472, 0.0120915, 0.0144737" \
-          );
-        }
-      }
-    }
-  }
-  cell (XNOR2XL) {
-    area : 21.2454;
-    cell_footprint : "XNOR2";
-    cell_leakage_power : 0.464322;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.552276;
-      when : "(A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.813933;
-      when : "(A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.46533;
-      when : "(!A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.0257475;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.464322;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * B) + (!A * !B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.106988;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0937977, 0.10948, 0.139476, 0.197914, 0.312683, 0.53849", \
-            "0.0982883, 0.114028, 0.144127, 0.202648, 0.317444, 0.543245", \
-            "0.10734, 0.123217, 0.153574, 0.212359, 0.32732, 0.553177", \
-            "0.117753, 0.133822, 0.164524, 0.22362, 0.338834, 0.564825", \
-            "0.125332, 0.141497, 0.17218, 0.231409, 0.346985, 0.573481", \
-            "0.120442, 0.137276, 0.168496, 0.228059, 0.343818, 0.570171" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0508847, 0.072209, 0.114193, 0.196749, 0.358758, 0.67704", \
-            "0.0509055, 0.0721977, 0.114205, 0.196749, 0.358758, 0.67704", \
-            "0.0510497, 0.0722709, 0.114215, 0.196752, 0.358759, 0.67704", \
-            "0.0515451, 0.0727429, 0.114566, 0.196816, 0.358835, 0.677029", \
-            "0.0525179, 0.0732439, 0.114527, 0.19683, 0.358869, 0.677131", \
-            "0.0561041, 0.0761959, 0.116542, 0.197591, 0.358759, 0.676953" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0854309, 0.095225, 0.11403, 0.15065, 0.222406, 0.363333", \
-            "0.091378, 0.101182, 0.120033, 0.156695, 0.228492, 0.369451", \
-            "0.105888, 0.115685, 0.134224, 0.171289, 0.243209, 0.383998", \
-            "0.132091, 0.142173, 0.161691, 0.198418, 0.270089, 0.411057", \
-            "0.172729, 0.18341, 0.203171, 0.240581, 0.312651, 0.45333", \
-            "0.240457, 0.251926, 0.272418, 0.310149, 0.382482, 0.523896" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0490778, 0.0615101, 0.0859319, 0.134753, 0.231597, 0.42242", \
-            "0.0490971, 0.0615275, 0.0860627, 0.134792, 0.231504, 0.422495", \
-            "0.0492082, 0.0616148, 0.086101, 0.134788, 0.231498, 0.422588", \
-            "0.0485166, 0.0610417, 0.0858104, 0.134964, 0.231683, 0.422586", \
-            "0.046942, 0.0593108, 0.083648, 0.132702, 0.229662, 0.421972", \
-            "0.0510988, 0.0627623, 0.0856393, 0.132194, 0.227699, 0.419452" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0694889, 0.0722452, 0.0744986, 0.0780427, 0.0841236, 0.0862049, 0.0914693, 0.0967343, 0.101343, 0.105345, 0.112506, 0.122309, 0.129159, 0.135763, 0.143624, 0.152841, 0.15908, 0.166424, 0.175079, 0.185474, 0.201937, 0.213292, 0.230397, 0.251049, 0.287223, 0.329991");
-            values ( \
-              "0.0109393, 0.0844369, 0.0900833, 0.0946675, 0.0986019, 0.0986757, 0.0979115, 0.0958248, 0.0931199, 0.0900014, 0.0813994, 0.0603784, 0.0477768, 0.0389311, 0.0306278, 0.0232091, 0.0193427, 0.0155813, 0.0120616, 0.0088762, 0.00543203, 0.00387352, 0.002317, 0.00122825, 0.000361786, 8.33024e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715779");
-            index_3 ("0.0694221, 0.0734249, 0.0748079, 0.0766381, 0.0802984, 0.0844268, 0.0899314, 0.0913241, 0.0941095, 0.0996804, 0.103359, 0.110756, 0.115741, 0.121039, 0.131463, 0.136426, 0.145352, 0.155188, 0.163604, 0.172632, 0.180635, 0.191223, 0.199411, 0.208147, 0.219861, 0.230754, 0.245278, 0.256846, 0.269754, 0.286966, 0.317161, 0.36313, 0.418905");
-            values ( \
-              "0.0132613, 0.115711, 0.120199, 0.124061, 0.129984, 0.133352, 0.134892, 0.135085, 0.134759, 0.133596, 0.132214, 0.128618, 0.12555, 0.121813, 0.110668, 0.102145, 0.0853509, 0.0688066, 0.0576968, 0.0475627, 0.0400606, 0.0316404, 0.0263723, 0.0216819, 0.0164817, 0.0127852, 0.00909335, 0.0068534, 0.00502297, 0.00333887, 0.00151906, 0.000458632, 6.23283e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.014074");
-            index_3 ("0.070234, 0.0748758, 0.0825666, 0.087777, 0.0955703, 0.103015, 0.118212, 0.134325, 0.150966, 0.167773, 0.205461, 0.241185, 0.269736, 0.2864, 0.302829, 0.323705, 0.346714, 0.37281, 0.393485, 0.434837, 0.457571");
-            values ( \
-              "0.0743361, 0.144075, 0.160511, 0.164733, 0.167126, 0.166429, 0.162602, 0.156188, 0.148089, 0.135613, 0.0889757, 0.0552287, 0.0361198, 0.0278131, 0.0213533, 0.0151277, 0.0102289, 0.00655989, 0.00458237, 0.00216849, 0.00162154" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276731");
-            index_3 ("0.0735733, 0.0735933, 0.125397, 0.147999, 0.161072, 0.208376, 0.224733, 0.240688, 0.2726, 0.319577, 0.357698, 0.386019, 0.409318, 0.42732, 0.460453, 0.474915, 0.498343, 0.531667, 0.546196, 0.575254, 0.63337, 0.708952, 0.784533, 0.860114");
-            values ( \
-              "1e-22, 0.197053, 0.187654, 0.183023, 0.179887, 0.166795, 0.160288, 0.152041, 0.129154, 0.0938807, 0.0692622, 0.0539628, 0.0434602, 0.0365174, 0.0262073, 0.0225941, 0.0176967, 0.012397, 0.0106155, 0.00774021, 0.00402514, 0.00166093, 0.000687364, 0.000278201" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0544122");
-            index_3 ("0.0735605, 0.0735805, 0.169047, 0.270479, 0.324337, 0.361302, 0.422474, 0.554012, 0.63966, 0.713047, 0.793877, 0.834839, 0.892894, 0.997716, 1.14888, 1.17324");
-            values ( \
-              "1e-22, 0.210581, 0.200118, 0.186239, 0.177386, 0.169271, 0.148253, 0.0918378, 0.060813, 0.0409581, 0.0256815, 0.0200914, 0.0140718, 0.0073281, 0.002773, 0.00258081" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106988");
-            index_3 ("0.0775677, 0.0775877, 0.20676, 0.388049, 0.523324, 0.602196, 0.658776, 0.734357, 0.780695, 1.00446, 1.06602, 1.1416, 1.20601, 1.269, 1.34458, 1.37723, 1.44254, 1.51068, 1.58626, 1.67595, 1.80179, 1.95296, 2.10412, 2.25528, 2.55761");
-            values ( \
-              "1e-22, 0.232097, 0.209748, 0.197148, 0.18625, 0.177988, 0.169826, 0.155493, 0.145125, 0.0922379, 0.0789263, 0.0643824, 0.0535639, 0.0443501, 0.0351657, 0.0316844, 0.0257454, 0.0205651, 0.0160474, 0.0118382, 0.00770709, 0.00457093, 0.00269706, 0.00158397, 0.000535881" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0867451, 0.0928815, 0.0964489, 0.101789, 0.103238, 0.106136, 0.116588, 0.120358, 0.123786, 0.130641, 0.141657, 0.147207, 0.153104, 0.160809, 0.169615, 0.17584, 0.188391, 0.197933, 0.207445, 0.220128, 0.237928, 0.250607, 0.275965, 0.296983");
-            values ( \
-              "0.0172063, 0.0896065, 0.0940944, 0.0983232, 0.0980598, 0.0986557, 0.09527, 0.0926918, 0.0899971, 0.0818551, 0.058631, 0.0486332, 0.0402334, 0.0318427, 0.0243747, 0.020353, 0.0140291, 0.0105847, 0.00799221, 0.0054562, 0.00321772, 0.00218948, 0.000990909, 0.000584704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715779");
-            index_3 ("0.0866423, 0.0951018, 0.100149, 0.107464, 0.114496, 0.129035, 0.139531, 0.147304, 0.150635, 0.169017, 0.174512, 0.187448, 0.194699, 0.208242, 0.222749, 0.234594, 0.244631, 0.26037, 0.27461, 0.292455, 0.316248, 0.357987, 0.407196");
-            values ( \
-              "0.0282907, 0.124179, 0.130866, 0.135256, 0.134755, 0.12896, 0.121769, 0.114105, 0.109244, 0.0764122, 0.0678468, 0.0515204, 0.0440561, 0.032642, 0.0236498, 0.0179989, 0.0142753, 0.00984946, 0.00699868, 0.00455545, 0.00252693, 0.000826591, 0.000211082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.014074");
-            index_3 ("0.089578, 0.093477, 0.0985128, 0.10638, 0.110816, 0.119689, 0.133184, 0.152924, 0.168982, 0.177721, 0.187454, 0.222999, 0.234236, 0.259031, 0.275556, 0.288919, 0.306736, 0.321533, 0.340435, 0.364674, 0.392919, 0.415704, 0.461274, 0.493641");
-            values ( \
-              "0.115955, 0.14429, 0.1565, 0.164805, 0.166463, 0.166796, 0.163576, 0.156171, 0.148488, 0.142767, 0.134234, 0.0904787, 0.0782928, 0.0558057, 0.0438347, 0.0358113, 0.0270397, 0.0213126, 0.0156074, 0.0103364, 0.00638816, 0.00429674, 0.00186688, 0.00119843" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276731");
-            index_3 ("0.0893233, 0.0961139, 0.102339, 0.104536, 0.108931, 0.11779, 0.130559, 0.165161, 0.198962, 0.227125, 0.24245, 0.259367, 0.291244, 0.338287, 0.376029, 0.404614, 0.428304, 0.446658, 0.472073, 0.49327, 0.516814, 0.550228, 0.58451, 0.610696, 0.663067, 0.738648, 0.746827");
-            values ( \
-              "0.124651, 0.16907, 0.181968, 0.184219, 0.187705, 0.190362, 0.190167, 0.183305, 0.174843, 0.166726, 0.160715, 0.152053, 0.129177, 0.0938633, 0.0694698, 0.0540003, 0.043334, 0.0362807, 0.0281444, 0.0226718, 0.0177351, 0.0124136, 0.00859256, 0.00645974, 0.00358923, 0.00148544, 0.00139025" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0544122");
-            index_3 ("0.0925242, 0.0925442, 0.187845, 0.289278, 0.343137, 0.380192, 0.441094, 0.572574, 0.658325, 0.732899, 0.812161, 0.853308, 0.911505, 1.01731, 1.16847, 1.18635");
-            values ( \
-              "1e-22, 0.2116, 0.200119, 0.186239, 0.177387, 0.169247, 0.148325, 0.0919336, 0.0608529, 0.0407158, 0.025761, 0.0201316, 0.0140883, 0.00729116, 0.00275872, 0.00261839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106988");
-            index_3 ("0.09638, 0.0964, 0.224047, 0.406843, 0.542118, 0.620989, 0.677569, 0.753151, 1.08481, 1.2248, 1.28779, 1.39603, 1.52948, 1.60506, 1.69474, 1.82057, 2.12289, 2.14468");
-            values ( \
-              "1e-22, 0.232275, 0.209899, 0.197192, 0.186292, 0.178027, 0.169789, 0.155529, 0.0789062, 0.0535801, 0.0443363, 0.0316721, 0.0205535, 0.0160578, 0.011832, 0.00770195, 0.00269277, 0.002589" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.125541, 0.13214, 0.136113, 0.139728, 0.143014, 0.149759, 0.156505, 0.159072, 0.163681, 0.167293, 0.171347, 0.181848, 0.186511, 0.192729, 0.19691, 0.202485, 0.213036, 0.222788, 0.229504, 0.241031, 0.254722, 0.26673, 0.282735, 0.304075, 0.335124");
-            values ( \
-              "0.0059755, 0.0856851, 0.092146, 0.0955066, 0.0971291, 0.0972354, 0.0947857, 0.0932483, 0.0898255, 0.086146, 0.0801495, 0.058087, 0.04964, 0.0405709, 0.0357359, 0.0301892, 0.0219214, 0.0165233, 0.0135489, 0.00963918, 0.00641962, 0.00447868, 0.00277442, 0.00143319, 0.000548285" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715779");
-            index_3 ("0.129029, 0.131523, 0.134866, 0.140018, 0.147247, 0.154571, 0.169064, 0.179554, 0.188178, 0.195646, 0.205776, 0.217122, 0.225705, 0.238823, 0.249592, 0.258194, 0.266826, 0.278444, 0.289114, 0.30334, 0.319601, 0.345973, 0.381136, 0.398172");
-            values ( \
-              "0.0965395, 0.110476, 0.120893, 0.129065, 0.13419, 0.13422, 0.12883, 0.121715, 0.113045, 0.100907, 0.0819303, 0.0641156, 0.053517, 0.0402964, 0.0317847, 0.0262445, 0.0215667, 0.0164867, 0.0128607, 0.00916393, 0.00621342, 0.00327109, 0.00132562, 0.000969489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.014074");
-            index_3 ("0.128911, 0.133548, 0.13632, 0.142859, 0.146625, 0.154158, 0.161925, 0.17735, 0.193257, 0.2099, 0.226765, 0.26475, 0.29932, 0.329257, 0.347109, 0.361855, 0.380689, 0.40497, 0.433287, 0.456144, 0.501858, 0.513254");
-            values ( \
-              "0.0781643, 0.140433, 0.14941, 0.160541, 0.163598, 0.16643, 0.166172, 0.162422, 0.156167, 0.14806, 0.135573, 0.0885623, 0.0558495, 0.0357924, 0.0270248, 0.0213156, 0.0156266, 0.0103387, 0.0063827, 0.00428769, 0.00185726, 0.00162254" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276731");
-            index_3 ("0.132328, 0.132348, 0.171131, 0.207139, 0.211597, 0.239512, 0.267625, 0.283866, 0.299929, 0.331846, 0.378815, 0.396626, 0.416981, 0.44527, 0.468522, 0.486481, 0.512442, 0.534195, 0.557608, 0.590922, 0.605437, 0.634467, 0.692527, 0.768108, 0.84369, 0.919271");
-            values ( \
-              "1e-22, 0.192354, 0.19015, 0.183092, 0.181706, 0.17479, 0.166801, 0.160329, 0.152049, 0.129144, 0.093879, 0.0819014, 0.0692356, 0.05396, 0.0434756, 0.0365462, 0.0281967, 0.0225849, 0.017692, 0.0123952, 0.0106156, 0.00774268, 0.00402911, 0.00166266, 0.000688003, 0.000278543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0544122");
-            index_3 ("0.132227, 0.168318, 0.180063, 0.228383, 0.329825, 0.383683, 0.420849, 0.481418, 0.612827, 0.698704, 0.774588, 0.852129, 0.951838, 1.05874, 1.20991, 1.22252");
-            values ( \
-              "0.203597, 0.205658, 0.205484, 0.199994, 0.186237, 0.177385, 0.16922, 0.148418, 0.0920552, 0.0609056, 0.0404566, 0.025848, 0.0141046, 0.00725199, 0.00274489, 0.00264644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106988");
-            index_3 ("0.136253, 0.136273, 0.26609, 0.447674, 0.58295, 0.661821, 0.718401, 0.793983, 1.12564, 1.26564, 1.32862, 1.43686, 1.57031, 1.64589, 1.73557, 1.86141, 2.16373, 2.19404");
-            values ( \
-              "1e-22, 0.228848, 0.20985, 0.197225, 0.186326, 0.178062, 0.169753, 0.155566, 0.078861, 0.0536265, 0.0442887, 0.0316242, 0.0205057, 0.0161061, 0.0117834, 0.0076533, 0.00264432, 0.00250001" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.20598, 0.207898, 0.210417, 0.214257, 0.220436, 0.223215, 0.226922, 0.228212, 0.230793, 0.235108, 0.242244, 0.2452, 0.248954, 0.249939, 0.259376, 0.264582, 0.27135, 0.276193, 0.282651, 0.29292, 0.300325, 0.305518, 0.315902, 0.32452, 0.330657, 0.342932, 0.35476, 0.378294, 0.409674, 0.448714");
-            values ( \
-              "0.0625067, 0.0744672, 0.0816515, 0.0890946, 0.0946393, 0.0956909, 0.0959658, 0.0959312, 0.0953602, 0.0939898, 0.0896326, 0.0868084, 0.0818219, 0.0789939, 0.0595478, 0.0501253, 0.0405828, 0.0351034, 0.0289361, 0.0213036, 0.0172009, 0.0147607, 0.0108444, 0.00842498, 0.00701815, 0.00485931, 0.00341631, 0.00167447, 0.000607643, 0.000157347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715779");
-            index_3 ("0.206019, 0.209524, 0.213022, 0.218345, 0.22213, 0.227177, 0.22828, 0.23425, 0.238008, 0.245523, 0.24897, 0.251068, 0.258343, 0.263657, 0.269543, 0.284825, 0.292777, 0.303336, 0.316636, 0.328126, 0.338481, 0.346528, 0.361005, 0.377942, 0.392906, 0.412763, 0.439238, 0.473618");
-            values ( \
-              "0.0420314, 0.10523, 0.11592, 0.125813, 0.129535, 0.131939, 0.132343, 0.132591, 0.131782, 0.129443, 0.127787, 0.125067, 0.120281, 0.115828, 0.108617, 0.081666, 0.0688711, 0.0550518, 0.0413197, 0.0320928, 0.0254916, 0.0212241, 0.0151884, 0.0101955, 0.00711816, 0.00441165, 0.00227893, 0.00101299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.014074");
-            index_3 ("0.20605, 0.21185, 0.214723, 0.221452, 0.225269, 0.232903, 0.240732, 0.248942, 0.252077, 0.272326, 0.283867, 0.297528, 0.306925, 0.342449, 0.353704, 0.37853, 0.395041, 0.408374, 0.426153, 0.441004, 0.459968, 0.484167, 0.512334, 0.535044, 0.580464, 0.607161");
-            values ( \
-              "0.0396079, 0.135129, 0.144858, 0.157241, 0.160827, 0.16479, 0.165254, 0.16408, 0.161456, 0.155326, 0.150521, 0.142449, 0.134149, 0.0904907, 0.0783142, 0.0557915, 0.0438255, 0.03582, 0.0270681, 0.0213164, 0.0155937, 0.0103327, 0.00639468, 0.00430755, 0.0018769, 0.00131991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276731");
-            index_3 ("0.205913, 0.215184, 0.223841, 0.230902, 0.237301, 0.248859, 0.253648, 0.282378, 0.304578, 0.347073, 0.361762, 0.37743, 0.390046, 0.441838, 0.497196, 0.524773, 0.546982, 0.564004, 0.598948, 0.637438, 0.670508, 0.713144, 0.769993, 0.845574, 0.864157");
-            values ( \
-              "0.0519693, 0.163136, 0.180145, 0.186096, 0.188709, 0.189529, 0.187103, 0.183358, 0.178502, 0.166662, 0.160976, 0.153121, 0.144924, 0.105435, 0.068704, 0.0538305, 0.0438147, 0.0371796, 0.0262098, 0.0175878, 0.012353, 0.00779342, 0.00411612, 0.0016987, 0.00152485" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0544122");
-            index_3 ("0.210348, 0.226358, 0.235463, 0.24338, 0.248835, 0.252574, 0.273696, 0.285251, 0.304181, 0.35786, 0.445765, 0.490711, 0.520662, 0.579193, 0.697348, 0.73394, 0.780337, 0.815605, 0.862629, 0.897861, 0.92583, 0.963123, 1.02755, 1.10037, 1.15829, 1.23387, 1.30945, 1.38503, 1.53619");
-            values ( \
-              "0.190156, 0.19557, 0.202303, 0.204574, 0.20504, 0.203044, 0.202987, 0.202222, 0.200311, 0.193454, 0.180509, 0.171629, 0.163303, 0.140797, 0.090131, 0.0760683, 0.0603375, 0.0500827, 0.0385751, 0.0315311, 0.0267783, 0.0214387, 0.0144407, 0.00920266, 0.00638296, 0.00392876, 0.00241167, 0.00147693, 0.000552464" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106988");
-            index_3 ("0.214492, 0.214512, 0.378621, 0.488937, 0.632297, 0.689442, 0.765023, 0.854088, 0.919917, 1.14933, 1.2088, 1.28438, 1.40416, 1.47974, 1.59, 1.65526, 1.79039, 1.86597, 2.01713, 2.16829, 2.31946, 2.3659");
-            values ( \
-              "1e-22, 0.222872, 0.207565, 0.199933, 0.188891, 0.183693, 0.174917, 0.159562, 0.145136, 0.0909984, 0.0782288, 0.0637588, 0.044924, 0.035618, 0.0250607, 0.0202214, 0.0128806, 0.00999214, 0.00595886, 0.00353836, 0.00209668, 0.00190643" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.357234, 0.368012, 0.371839, 0.3762, 0.382366, 0.385884, 0.393064, 0.402685, 0.408247, 0.420289, 0.430059, 0.438248, 0.447608, 0.462691, 0.473685, 0.488364, 0.50067, 0.516012, 0.554575");
-            values ( \
-              "0.00305761, 0.0753202, 0.0823419, 0.0873986, 0.0910012, 0.0915526, 0.0910469, 0.085637, 0.0786858, 0.0548921, 0.0401619, 0.0314768, 0.0237812, 0.0153647, 0.0111203, 0.00724337, 0.00502126, 0.00318695, 0.000815938" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715779");
-            index_3 ("0.360425, 0.365592, 0.36778, 0.372696, 0.380632, 0.385888, 0.396005, 0.40612, 0.416259, 0.421054, 0.430958, 0.448276, 0.459209, 0.47064, 0.491099, 0.514562, 0.525546, 0.554144, 0.574264, 0.607634, 0.62307");
-            values ( \
-              "0.00889907, 0.0909876, 0.0993003, 0.111944, 0.12304, 0.126402, 0.12848, 0.126, 0.120895, 0.116839, 0.103687, 0.0729268, 0.057956, 0.045421, 0.0290397, 0.017035, 0.013208, 0.00595015, 0.00388852, 0.00175925, 0.00131945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.014074");
-            index_3 ("0.360265, 0.36832, 0.374126, 0.378258, 0.382773, 0.391232, 0.39881, 0.412718, 0.424291, 0.443141, 0.455506, 0.465137, 0.500556, 0.511764, 0.536368, 0.560347, 0.575189, 0.597638, 0.610878, 0.625362, 0.64547, 0.670657, 0.70424, 0.755033");
-            values ( \
-              "0.00430076, 0.121599, 0.138904, 0.146842, 0.152863, 0.159259, 0.161391, 0.160842, 0.157709, 0.150113, 0.142758, 0.134367, 0.0909131, 0.0787627, 0.0563488, 0.0389252, 0.0312104, 0.0218857, 0.017626, 0.0138487, 0.00984157, 0.00639418, 0.00353019, 0.0014543" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276731");
-            index_3 ("0.35999, 0.37208, 0.388776, 0.395322, 0.408414, 0.422126, 0.440588, 0.462824, 0.505297, 0.519769, 0.5499, 0.616268, 0.657143, 0.704007, 0.75651, 0.788407, 0.825681, 0.901876, 0.969466, 0.992013");
-            values ( \
-              "0.0147678, 0.150232, 0.179501, 0.183451, 0.186953, 0.186368, 0.183582, 0.178646, 0.166963, 0.161351, 0.144126, 0.0939185, 0.0677196, 0.0443465, 0.0263973, 0.0189882, 0.0127597, 0.00558315, 0.0025713, 0.00225306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0544122");
-            index_3 ("0.366008, 0.385158, 0.393844, 0.406126, 0.418223, 0.435104, 0.466693, 0.550024, 0.622046, 0.662541, 0.708973, 0.85374, 0.937467, 1.01149, 1.09129, 1.19053, 1.29599, 1.44716, 1.4646");
-            values ( \
-              "0.144993, 0.188301, 0.19656, 0.202097, 0.203441, 0.203176, 0.199938, 0.189017, 0.177409, 0.16833, 0.152712, 0.0910538, 0.0608018, 0.0407976, 0.0257352, 0.0140842, 0.00730207, 0.0027619, 0.00262474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106988");
-            index_3 ("0.372517, 0.372537, 0.550125, 0.55335, 0.5598, 0.572699, 0.600987, 0.746406, 0.848766, 0.900856, 0.957436, 1.03302, 1.07935, 1.30312, 1.36467, 1.44026, 1.50468, 1.56766, 1.64325, 1.7412, 1.80934, 1.88492, 1.93692, 2.02489, 2.10047, 2.17605, 2.32722, 2.47838, 2.62954, 2.85629");
-            values ( \
-              "1e-22, 0.21955, 0.206924, 0.205703, 0.205181, 0.204812, 0.203278, 0.192623, 0.183707, 0.177939, 0.169873, 0.155448, 0.145168, 0.0922072, 0.0789574, 0.0643528, 0.0535354, 0.0443762, 0.0351387, 0.0257206, 0.02059, 0.016023, 0.0134633, 0.0100094, 0.00772825, 0.00596617, 0.00353982, 0.00209486, 0.00123822, 0.000556363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.677926, 0.69439, 0.704408, 0.712607, 0.720477, 0.728313, 0.736606, 0.752156, 0.765357, 0.774934, 0.789862, 0.813046, 0.839198, 0.876112");
-            values ( \
-              "0.00832566, 0.0642096, 0.077299, 0.0825605, 0.0839626, 0.0822419, 0.075029, 0.0481493, 0.0324969, 0.0245905, 0.0161285, 0.0084289, 0.00404912, 0.00161195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715779");
-            index_3 ("0.677927, 0.693308, 0.707563, 0.722417, 0.725847, 0.734296, 0.745175, 0.750099, 0.756679, 0.7739, 0.791289, 0.816399, 0.833788, 0.856545, 0.870601, 0.895165, 0.934318");
-            values ( \
-              "0.000554817, 0.0832387, 0.109279, 0.119706, 0.120235, 0.120232, 0.11664, 0.113129, 0.106365, 0.0775317, 0.0539704, 0.0315061, 0.0214853, 0.0128635, 0.00934487, 0.00529119, 0.00236958" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.014074");
-            index_3 ("0.678176, 0.698887, 0.707626, 0.71721, 0.726534, 0.741714, 0.751289, 0.75952, 0.776473, 0.788266, 0.807064, 0.832286, 0.865962, 0.891339, 0.907369, 0.924335, 0.953009, 0.973464, 0.998866, 1.03274, 1.06945");
-            values ( \
-              "0.00737394, 0.115039, 0.132949, 0.144983, 0.151358, 0.15509, 0.154188, 0.152572, 0.14627, 0.13878, 0.118726, 0.0876374, 0.0560178, 0.0386396, 0.0302052, 0.0230759, 0.014483, 0.0102765, 0.0066805, 0.00367578, 0.00209863" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276731");
-            index_3 ("0.685697, 0.696661, 0.707592, 0.714746, 0.723045, 0.738059, 0.751112, 0.764909, 0.778616, 0.802938, 0.814409, 0.836044, 0.848722, 0.867282, 0.903115, 0.94622, 0.983223, 1.01217, 1.03616, 1.0548, 1.07942, 1.09955, 1.1229, 1.15575, 1.19795, 1.2309, 1.30005, 1.3402, 1.35229");
-            values ( \
-              "0.0598524, 0.1219, 0.149557, 0.161482, 0.17059, 0.179505, 0.181711, 0.181657, 0.179771, 0.17514, 0.172279, 0.16595, 0.161143, 0.152023, 0.126283, 0.093946, 0.0700127, 0.054382, 0.0434752, 0.036385, 0.0284055, 0.023141, 0.0182141, 0.0127753, 0.00808731, 0.00527335, 0.00251719, 0.00163929, 0.00147754" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0544122");
-            index_3 ("0.685488, 0.703915, 0.714029, 0.73518, 0.746976, 0.764944, 0.796137, 0.846122, 0.933452, 0.979009, 1.00894, 1.06743, 1.18529, 1.25502, 1.33755, 1.42398, 1.52156, 1.5954, 1.67099, 1.78063");
-            values ( \
-              "0.0609043, 0.151786, 0.172492, 0.193381, 0.197619, 0.200165, 0.198779, 0.193211, 0.180625, 0.171716, 0.163451, 0.140994, 0.0904512, 0.0647578, 0.0417446, 0.025327, 0.0139926, 0.00883786, 0.00546666, 0.00299932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106988");
-            index_3 ("0.695203, 0.727734, 0.749826, 0.759774, 0.775407, 0.790746, 0.820626, 0.882916, 0.945896, 1.07584, 1.17813, 1.21568, 1.26124, 1.28694, 1.33834, 1.39762, 1.61864, 1.68541, 1.76099, 1.84676, 1.90704, 1.98262, 2.05114, 2.12618, 2.20176, 2.30942, 2.44759, 2.59875, 2.74991, 2.97666, 3.2034");
-            values ( \
-              "0.184472, 0.195908, 0.207756, 0.20978, 0.211026, 0.211166, 0.210065, 0.206345, 0.202221, 0.192649, 0.183787, 0.1799, 0.173686, 0.169866, 0.160405, 0.147718, 0.095341, 0.0807373, 0.0659251, 0.0515825, 0.0430579, 0.0340576, 0.0273943, 0.0214619, 0.0167076, 0.0116502, 0.00727665, 0.00432311, 0.00255982, 0.00115955, 0.000527319" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00563687, 0.00564097, 0.00564571, 0.00564969, 0.00565242, 0.00565407", \
-            "0.00701769, 0.00701899, 0.00702126, 0.00702388, 0.00702611, 0.00702761", \
-            "0.00795785, 0.00795713, 0.00795672, 0.00795699, 0.00795775, 0.00795854", \
-            "0.00860966, 0.00860888, 0.00860794, 0.00860707, 0.0086066, 0.00860655", \
-            "0.00900568, 0.00900487, 0.00900373, 0.00900238, 0.00900118, 0.00900036", \
-            "0.00930043, 0.00929999, 0.00929921, 0.00929804, 0.00929665, 0.00929539" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00860323, 0.00860509, 0.00860421, 0.00860552, 0.00860714, 0.00860841", \
-            "0.00956412, 0.00955797, 0.00955164, 0.00954708, 0.00954469, 0.00954366", \
-            "0.00980711, 0.009801, 0.00979256, 0.00978367, 0.00977667, 0.00977227", \
-            "0.0104533, 0.0104418, 0.0104299, 0.0104194, 0.0104112, 0.0104057", \
-            "0.00945531, 0.00965745, 0.00993863, 0.0101541, 0.0102881, 0.0103641", \
-            "0.00883858, 0.00884778, 0.00889616, 0.00910606, 0.0094714, 0.00973888" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.00384883, 0.0473233, 0.0591173, 0.0632579, 0.0673948, 0.0794047, 0.0864546, 0.0936646, 0.09705, 0.103539, 0.109969, 0.123523, 0.132985, 0.140889, 0.14547, 0.150122, 0.157219, 0.161335, 0.168206, 0.176829, 0.193575, 0.216232, 0.217319");
-            values ( \
-              "-0.0093514, -0.0125916, -0.0455715, -0.0520368, -0.056422, -0.0640887, -0.0692756, -0.0821186, -0.094265, -0.107531, -0.0967825, -0.0536382, -0.0310967, -0.0190865, -0.014349, -0.0108861, -0.00690362, -0.00540389, -0.00362957, -0.00239369, -0.00091283, -0.000484137, -0.00047053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715779");
-            index_3 ("0.00551829, 0.0507284, 0.0575607, 0.0634586, 0.0726445, 0.0852637, 0.0934734, 0.0968177, 0.102393, 0.106762, 0.115253, 0.124259, 0.141144, 0.155807, 0.161941, 0.172039, 0.177621, 0.188815, 0.203034, 0.218201, 0.242461");
-            values ( \
-              "-0.00802927, -0.0340716, -0.0658811, -0.0850035, -0.0976389, -0.106399, -0.113346, -0.117934, -0.129755, -0.144523, -0.159002, -0.14111, -0.0819033, -0.0441399, -0.0334267, -0.020999, -0.0161989, -0.00964352, -0.00510236, -0.00262451, -0.00100242" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.014074");
-            index_3 ("0.007645, 0.0499864, 0.0610784, 0.0644186, 0.0687969, 0.0745178, 0.0803713, 0.0874324, 0.0984532, 0.109777, 0.115893, 0.128539, 0.133333, 0.137859, 0.146912, 0.150657, 0.173731, 0.183555, 0.189338, 0.198792, 0.209597, 0.220413, 0.228368, 0.240956, 0.246953, 0.255811, 0.267622, 0.291244, 0.328333, 0.373367, 0.484733");
-            values ( \
-              "-0.0309952, -0.0414564, -0.114185, -0.126925, -0.137344, -0.146293, -0.151458, -0.156551, -0.162053, -0.169865, -0.178139, -0.204273, -0.208442, -0.207903, -0.194692, -0.185046, -0.115584, -0.0898661, -0.0766842, -0.0587322, -0.042512, -0.0306429, -0.0240958, -0.0161795, -0.0134419, -0.0102253, -0.00720393, -0.00337324, -0.00115264, -0.000311799, -4.45424e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276731");
-            index_3 ("0.011491, 0.0528996, 0.0600307, 0.0639203, 0.070554, 0.0772805, 0.0849679, 0.0951395, 0.107674, 0.11834, 0.135275, 0.140943, 0.152099, 0.162177, 0.182335, 0.203739, 0.229916, 0.250927, 0.269924, 0.281347, 0.292004, 0.305877, 0.317389, 0.33158, 0.351825, 0.361474, 0.375286, 0.393702, 0.430533, 0.486217, 0.5419, 0.653266");
-            values ( \
-              "-0.041379, -0.0771267, -0.139291, -0.163451, -0.186983, -0.198547, -0.206421, -0.211801, -0.215274, -0.217235, -0.221791, -0.224951, -0.23418, -0.246136, -0.248245, -0.217825, -0.161786, -0.119485, -0.0883237, -0.0729626, -0.0608512, -0.0474279, -0.0385363, -0.0298552, -0.0203247, -0.0169799, -0.0131136, -0.00941567, -0.00452385, -0.00161524, -0.000504412, -6.66575e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0544122");
-            index_3 ("0.0143956, 0.0527583, 0.0580114, 0.0657717, 0.0702657, 0.0762577, 0.085553, 0.0900846, 0.0989484, 0.109968, 0.123377, 0.160668, 0.1906, 0.212393, 0.233959, 0.269581, 0.305186, 0.32437, 0.372728, 0.407974, 0.423819, 0.435897, 0.452001, 0.484208, 0.497436, 0.513117, 0.534025, 0.571684, 0.588293, 0.612447, 0.644652, 0.700336, 0.756019, 0.811702, 0.867385, 0.978751");
-            values ( \
-              "-0.0752945, -0.0903044, -0.144972, -0.204832, -0.223628, -0.239137, -0.25155, -0.254893, -0.259034, -0.261345, -0.262437, -0.262768, -0.264114, -0.269398, -0.277767, -0.272571, -0.240881, -0.217256, -0.15444, -0.115105, -0.0998281, -0.0893982, -0.0769447, -0.0561683, -0.0492933, -0.0421392, -0.0340976, -0.0230464, -0.0194099, -0.0150989, -0.0107593, -0.0059196, -0.00326784, -0.00182442, -0.00103006, -0.00035441" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106988");
-            index_3 ("0.0214235, 0.0559147, 0.0651285, 0.0799125, 0.0874035, 0.0955421, 0.104491, 0.113106, 0.144956, 0.243312, 0.309075, 0.374748, 0.394729, 0.434691, 0.475698, 0.512069, 0.661414, 0.734339, 0.784296, 0.837582, 0.878062, 0.944344, 1.00003, 1.05059, 1.13647, 1.19216, 1.24784, 1.3592, 1.47057, 1.63762");
-            values ( \
-              "-0.0999997, -0.140199, -0.225142, -0.276677, -0.28614, -0.291998, -0.294904, -0.296564, -0.297283, -0.293511, -0.29224, -0.295946, -0.294952, -0.287574, -0.27119, -0.249572, -0.143909, -0.102574, -0.080073, -0.0609124, -0.0490931, -0.0343073, -0.025366, -0.0190441, -0.0117391, -0.00871558, -0.0062235, -0.00328287, -0.00172122, -0.000803676" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0100958, 0.0647297, 0.0796333, 0.083963, 0.0883422, 0.0991431, 0.106248, 0.108805, 0.113412, 0.116799, 0.123289, 0.129719, 0.144282, 0.152009, 0.155556, 0.160436, 0.164086, 0.171131, 0.180299, 0.186473, 0.19353, 0.207642, 0.228725, 0.291912, 0.458201");
-            values ( \
-              "-0.0106933, -0.0059274, -0.0466691, -0.0532442, -0.0571661, -0.0639874, -0.0693553, -0.0730442, -0.0821483, -0.0942001, -0.107583, -0.0967186, -0.0508646, -0.0325447, -0.026279, -0.0193811, -0.0154602, -0.00998979, -0.00572752, -0.00406015, -0.00271306, -0.0013303, -0.000500884, -9.606e-05, -4.83936e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715779");
-            index_3 ("0.0136756, 0.0670339, 0.0787989, 0.0814248, 0.0860292, 0.0925714, 0.113605, 0.116758, 0.12233, 0.126703, 0.135195, 0.144203, 0.160893, 0.168101, 0.17609, 0.182611, 0.191145, 0.196977, 0.205411, 0.209684, 0.216069, 0.224584, 0.241612, 0.26775, 0.287858");
-            values ( \
-              "-0.0129684, -0.0188632, -0.0710094, -0.0797511, -0.0896103, -0.097277, -0.1134, -0.118021, -0.129625, -0.144595, -0.158911, -0.14109, -0.0825179, -0.0615066, -0.0434748, -0.0323216, -0.0218273, -0.016641, -0.0112268, -0.00924205, -0.00693368, -0.00474947, -0.00225569, -0.000796377, -0.00062495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.014074");
-            index_3 ("0.0179853, 0.0694193, 0.074974, 0.0821876, 0.0858022, 0.0908617, 0.0959891, 0.10036, 0.1076, 0.116551, 0.124528, 0.1318, 0.14553, 0.157961, 0.171576, 0.193884, 0.205203, 0.21302, 0.226203, 0.23439, 0.244493, 0.257964, 0.266779, 0.271678, 0.281477, 0.301075, 0.335779, 0.36645");
-            values ( \
-              "-0.0171316, -0.0408344, -0.0776638, -0.118705, -0.130974, -0.141097, -0.147787, -0.151503, -0.156549, -0.161, -0.165819, -0.17208, -0.19763, -0.210191, -0.183279, -0.115533, -0.0861854, -0.0694054, -0.047278, -0.0369824, -0.0271739, -0.0178493, -0.0135985, -0.0116945, -0.00864679, -0.00470377, -0.00157421, -0.00079511" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276731");
-            index_3 ("0.0254504, 0.072128, 0.0811437, 0.0851955, 0.090563, 0.0961397, 0.102458, 0.109043, 0.115146, 0.125236, 0.147931, 0.160957, 0.182188, 0.202401, 0.222583, 0.223783, 0.249959, 0.271212, 0.289993, 0.301169, 0.311908, 0.325875, 0.337566, 0.351719, 0.371939, 0.38155, 0.395284, 0.413596, 0.45022, 0.505903, 0.561586, 0.672952");
-            values ( \
-              "-0.0270757, -0.0743152, -0.148285, -0.169705, -0.187016, -0.197117, -0.204378, -0.208846, -0.211741, -0.214601, -0.219496, -0.224647, -0.244776, -0.248823, -0.218639, -0.217968, -0.161842, -0.118949, -0.0882275, -0.073259, -0.0609791, -0.0474894, -0.0384676, -0.0297836, -0.0203237, -0.0169961, -0.0131512, -0.0094213, -0.0045817, -0.0016028, -0.000531454, -9.05696e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0544122");
-            index_3 ("0.00015668, 0.00287605, 0.00498782, 0.0103053, 0.013795, 0.0181581, 0.0236768, 0.0296119, 0.0405196, 0.0449139, 0.0477883, 0.0511281, 0.0554752, 0.0583507, 0.0609383, 0.085307, 0.0950045, 0.105798, 0.11404, 0.123346, 0.133591, 0.143609, 0.18094, 0.213477, 0.227377, 0.254222, 0.270842, 0.28969, 0.323944, 0.389797, 0.409403, 0.448411, 0.486228, 0.511106, 0.53158, 0.556669, 0.592263, 0.631636, 0.662218, 0.717901, 0.773584, 0.807233");
-            values ( \
-              "-1e-22, -0.016016, -0.0165689, -0.0161543, -0.0165853, -0.0195812, -0.0287132, -0.0426153, -0.0814635, -0.0915323, -0.0923919, -0.0894699, -0.0327762, -0.00812304, -0.00390976, -0.201762, -0.236139, -0.251495, -0.256983, -0.260116, -0.261698, -0.262342, -0.26271, -0.264347, -0.267731, -0.277377, -0.278247, -0.271532, -0.242807, -0.158231, -0.135164, -0.0959659, -0.0672282, -0.0526841, -0.0429512, -0.0332607, -0.0230101, -0.0152925, -0.0110745, -0.00610612, -0.00335781, -0.00246829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106988");
-            index_3 ("2.55838e-05, 0.00274498, 0.013661, 0.0235408, 0.0454504, 0.0595682, 0.0866879, 0.100308, 0.120166, 0.137948, 0.164593, 0.318502, 0.395125, 0.450808, 0.481333, 0.532694, 0.658158, 0.745986, 0.872413, 0.963452, 1.05586, 1.21452, 1.30376");
-            values ( \
-              "-1e-22, -0.0167698, -0.0182365, -0.0319011, -0.106567, -0.0126541, -0.233219, -0.276947, -0.293503, -0.296885, -0.297214, -0.2922, -0.295894, -0.289228, -0.278613, -0.249793, -0.159273, -0.106898, -0.0562943, -0.0347651, -0.0206671, -0.00841488, -0.00597012" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("8.2029e-05, 0.00108203, 0.00196139, 0.00312083, 0.0138724, 0.019285, 0.0262169, 0.0373928, 0.0564343, 0.0644889, 0.0709558, 0.0795784, 0.0870361, 0.0967623, 0.103818, 0.11273, 0.113272, 0.116372, 0.1264, 0.133743, 0.139332, 0.151845, 0.158925, 0.162314, 0.168807, 0.175238, 0.189801, 0.195236, 0.201079, 0.205959, 0.212172, 0.216655, 0.226706, 0.231998, 0.239054, 0.253166, 0.274141, 0.279162");
-            values ( \
-              "-1e-22, -0.00264633, -0.00290125, -0.0029551, -0.0013599, -0.00103316, -0.000942508, -0.00134612, -0.00322752, -0.00435535, -0.00584162, -0.00653019, -0.00533235, -0.00253267, -0.0038389, -0.0249614, -0.0231772, -0.0276929, -0.0491489, -0.056891, -0.0601701, -0.0691123, -0.0819455, -0.094225, -0.107478, -0.0967787, -0.0508467, -0.0373066, -0.0262511, -0.0194023, -0.0131926, -0.0100259, -0.00544486, -0.00401976, -0.00275339, -0.00129, -0.000543979, -0.000511567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715779");
-            index_3 ("8.1733e-05, 0.00108173, 0.00312053, 0.00958252, 0.0192752, 0.0262095, 0.0373895, 0.056434, 0.0743523, 0.0799236, 0.0879703, 0.0962544, 0.106679, 0.11273, 0.113577, 0.127142, 0.130661, 0.137901, 0.150585, 0.159678, 0.167138, 0.172116, 0.180607, 0.189617, 0.206647, 0.213659, 0.221022, 0.226983, 0.233822, 0.245723, 0.252044, 0.259669, 0.269836, 0.290169, 0.31374");
-            values ( \
-              "-1e-22, -0.00350874, -0.00437053, -0.00308579, -0.00196109, -0.00181935, -0.00242724, -0.00557779, -0.0110096, -0.0115265, -0.00961903, -0.00548808, -0.0138106, -0.0390384, -0.037928, -0.0806217, -0.0874064, -0.0966699, -0.106137, -0.113997, -0.128035, -0.144371, -0.159035, -0.141078, -0.081441, -0.0611428, -0.04443, -0.0339183, -0.02479, -0.0142361, -0.0106263, -0.00751941, -0.00476897, -0.00193113, -0.000830076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.014074");
-            index_3 ("0, 0.000978581, 0.00301738, 0.0191678, 0.0260929, 0.0373005, 0.0563308, 0.0739776, 0.0838835, 0.102192, 0.124457, 0.13134, 0.137619, 0.143637, 0.1487, 0.172342, 0.184276, 0.190543, 0.20296, 0.216624, 0.237558, 0.258441, 0.279509, 0.294201, 0.306305, 0.320228, 0.331141, 0.352966, 0.376163");
-            values ( \
-              "-9.19252e-05, -0.00419985, -0.00565167, -0.00332154, -0.00313768, -0.00407622, -0.00889692, -0.0178194, -0.0187885, -0.00927344, -0.107214, -0.131449, -0.142723, -0.149647, -0.153604, -0.167423, -0.18411, -0.19947, -0.209323, -0.183137, -0.11937, -0.0685826, -0.0368186, -0.0234809, -0.0161031, -0.0104928, -0.00749906, -0.00381081, -0.00216549" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276731");
-            index_3 ("0, 0.000877969, 0.00291677, 0.01906, 0.0259921, 0.0371446, 0.0562302, 0.0801163, 0.0840603, 0.0919485, 0.100802, 0.112526, 0.116521, 0.129632, 0.135227, 0.139396, 0.143631, 0.151384, 0.159376, 0.167122, 0.200065, 0.206295, 0.217459, 0.227533, 0.247682, 0.2691, 0.316256, 0.336059, 0.357406, 0.371267, 0.382752, 0.396954, 0.417208, 0.431481, 0.440704, 0.459151, 0.496043, 0.551726, 0.607409, 0.718775");
-            values ( \
-              "-0.000648642, -0.00466673, -0.00660853, -0.00471649, -0.00472548, -0.00597395, -0.0128338, -0.0287119, -0.0282263, -0.0236373, -0.015381, -0.0727727, -0.0870358, -0.16604, -0.185044, -0.19324, -0.199292, -0.206703, -0.210984, -0.213653, -0.221433, -0.22501, -0.234055, -0.246204, -0.248111, -0.217677, -0.119661, -0.0873107, -0.0606944, -0.0475271, -0.0386571, -0.0297371, -0.0204371, -0.0156157, -0.0132171, -0.00928952, -0.00462608, -0.00149832, -0.000616997, -0.000179994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0544122");
-            index_3 ("0, 0.00299038, 0.0260568, 0.0372518, 0.0563038, 0.0801471, 0.0895019, 0.0993906, 0.103985, 0.130092, 0.135498, 0.140866, 0.151123, 0.15948, 0.168713, 0.178949, 0.188953, 0.226289, 0.258591, 0.273201, 0.299573, 0.313356, 0.335049, 0.367713, 0.440416, 0.461047, 0.493814, 0.527497, 0.554894, 0.579925, 0.6133, 0.64125, 0.68018, 0.732086, 0.787769, 0.843452, 0.899135, 1.0105");
-            values ( \
-              "-0.000116887, -0.00721816, -0.00599658, -0.00782973, -0.0164089, -0.0377656, -0.0356508, -0.0246462, -0.029967, -0.201262, -0.223981, -0.237144, -0.251177, -0.25686, -0.259973, -0.261653, -0.262285, -0.262681, -0.26433, -0.267873, -0.277328, -0.278502, -0.271652, -0.244481, -0.151829, -0.128159, -0.0959588, -0.0699415, -0.0534764, -0.0416464, -0.0295867, -0.022144, -0.0147582, -0.00847948, -0.00466368, -0.00259111, -0.00144586, -0.000480277" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106988");
-            index_3 ("0.000228766, 0.00326757, 0.0263317, 0.0375154, 0.056581, 0.0804225, 0.0897654, 0.0996505, 0.138606, 0.147757, 0.159014, 0.169281, 0.19289, 0.365038, 0.435899, 0.450408, 0.469753, 0.506651, 0.560794, 0.710459, 0.803352, 0.844185, 0.898629, 0.946488, 1.01669, 1.10195, 1.15764, 1.269, 1.42211");
-            values ( \
-              "-1e-22, -0.00756886, -0.0070341, -0.0091121, -0.0191376, -0.0448666, -0.0435555, -0.0318831, -0.259938, -0.279228, -0.29011, -0.294515, -0.297174, -0.292117, -0.295766, -0.295712, -0.293968, -0.285598, -0.260704, -0.15499, -0.101181, -0.0826935, -0.0624969, -0.048556, -0.0332234, -0.0207397, -0.0152079, -0.00812754, -0.00356493" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("3.42667e-05, 0.00103427, 0.00234153, 0.0197709, 0.0668054, 0.105601, 0.141173, 0.157169, 0.171013, 0.186135, 0.202002, 0.208453, 0.224103, 0.228837, 0.243308, 0.24899, 0.249812, 0.252741, 0.260155, 0.26316, 0.269169, 0.28086, 0.293374, 0.301236, 0.306855, 0.313276, 0.321196, 0.326794, 0.337991, 0.356606, 0.364177");
-            values ( \
-              "-1e-22, -0.00119743, -0.00130353, -0.000378369, -0.000264851, -0.000797127, -0.00210585, -0.00202812, -0.000562984, -3.4868e-05, -0.0169212, -0.0255784, -0.0533306, -0.0585166, -0.0696657, -0.0759348, -0.0756064, -0.080735, -0.102273, -0.105216, -0.0984249, -0.0605282, -0.0298222, -0.0183749, -0.0130138, -0.00870781, -0.00542579, -0.00396687, -0.00210419, -0.000855601, -0.000687795" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715779");
-            index_3 ("7.29547e-05, 0.00107295, 0.00396185, 0.0134517, 0.028505, 0.066885, 0.105594, 0.124613, 0.14121, 0.160691, 0.181182, 0.198309, 0.214037, 0.223534, 0.228477, 0.23311, 0.242096, 0.249028, 0.252119, 0.25671, 0.263277, 0.266733, 0.273646, 0.278456, 0.283768, 0.299644, 0.30589, 0.315457, 0.322185, 0.332073, 0.337661, 0.349816, 0.363112, 0.378307, 0.403934, 0.409864");
-            values ( \
-              "-1e-22, -0.00158766, -0.00194025, -0.00105462, -0.000508324, -0.000493142, -0.00142607, -0.00243069, -0.00377217, -0.00350643, -1e-22, -0.0173788, -0.0565167, -0.0852957, -0.094295, -0.100134, -0.1085, -0.11392, -0.112477, -0.116724, -0.131345, -0.143084, -0.157543, -0.154873, -0.141731, -0.0866968, -0.0676869, -0.0449043, -0.0330634, -0.0209739, -0.0162158, -0.00921158, -0.00511668, -0.00259721, -0.00093309, -0.000820859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.014074");
-            index_3 ("7.3397e-05, 0.0010734, 0.0039623, 0.0285012, 0.0668431, 0.105694, 0.124613, 0.141177, 0.160368, 0.181074, 0.184529, 0.188079, 0.191567, 0.20053, 0.210917, 0.223877, 0.228755, 0.235856, 0.249029, 0.252697, 0.25709, 0.261838, 0.271333, 0.27932, 0.286256, 0.2987, 0.312338, 0.334011, 0.35395, 0.373796, 0.383578, 0.394758, 0.403768, 0.413898, 0.427405, 0.454418, 0.467975");
-            values ( \
-              "-1e-22, -0.00190036, -0.00255727, -0.00103063, -0.000971411, -0.0023487, -0.00404314, -0.00624482, -0.00634662, -0.000786557, -0.000704489, -0.00329141, -0.0091447, -0.0344308, -0.07098, -0.126292, -0.139351, -0.151939, -0.164335, -0.160918, -0.160607, -0.162225, -0.169419, -0.182605, -0.198646, -0.209568, -0.183208, -0.117306, -0.0690308, -0.0384954, -0.0285835, -0.0202124, -0.0152825, -0.0111841, -0.00736422, -0.0031383, -0.00235869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276731");
-            index_3 ("3.42009e-05, 0.0010342, 0.0039231, 0.0197547, 0.041227, 0.066762, 0.10602, 0.124574, 0.141049, 0.15984, 0.173644, 0.18951, 0.194925, 0.203933, 0.225448, 0.229267, 0.237672, 0.24899, 0.251977, 0.255751, 0.259235, 0.266204, 0.290645, 0.301738, 0.323012, 0.343198, 0.363379, 0.364497, 0.390745, 0.407072, 0.431552, 0.446079, 0.462681, 0.479418, 0.491321, 0.512651, 0.522349, 0.53675, 0.555951, 0.594354, 0.650037, 0.70572, 0.761403");
-            values ( \
-              "-1e-22, -0.0021116, -0.00303172, -0.00191161, -0.00140779, -0.00165068, -0.00365348, -0.00611097, -0.00943909, -0.0103293, -0.00564957, -0.0067042, -0.0220747, -0.0586487, -0.172655, -0.18722, -0.206033, -0.219075, -0.214947, -0.212829, -0.212372, -0.213088, -0.219543, -0.224524, -0.244712, -0.248911, -0.218549, -0.218116, -0.161492, -0.128608, -0.0872903, -0.0684312, -0.0510029, -0.0377545, -0.0304601, -0.0203648, -0.0170022, -0.0129928, -0.00914682, -0.00429028, -0.00150725, -0.000506022, -0.000302503" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0544122");
-            index_3 ("0, 0.00376087, 0.0666417, 0.106182, 0.140802, 0.159133, 0.172916, 0.188917, 0.208116, 0.226132, 0.246245, 0.248827, 0.254703, 0.263621, 0.28941, 0.348632, 0.376921, 0.394524, 0.430132, 0.468501, 0.533117, 0.58428, 0.646339, 0.690444, 0.748658, 0.823136, 0.898576");
-            values ( \
-              "-0.000113698, -0.0033398, -0.00235866, -0.00514898, -0.0128183, -0.0147626, -0.00970495, -0.00927009, -0.0929909, -0.212248, -0.264221, -0.266663, -0.260325, -0.258795, -0.261824, -0.263883, -0.270738, -0.27778, -0.272484, -0.238093, -0.154663, -0.0999395, -0.0553037, -0.0355864, -0.0194461, -0.00890092, -0.00471573" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106988");
-            index_3 ("0.000114257, 0.00400316, 0.0413142, 0.0668789, 0.106089, 0.124654, 0.141096, 0.159201, 0.172979, 0.188933, 0.205146, 0.225115, 0.233396, 0.241448, 0.24907, 0.256014, 0.259715, 0.266881, 0.285488, 0.300546, 0.318916, 0.404457, 0.46014, 0.490495, 0.530968, 0.545566, 0.565029, 0.60175, 0.655429, 0.673429, 0.804876, 0.860559, 0.891469, 0.940145, 0.995774, 1.04086, 1.06634, 1.11021, 1.1659, 1.19697, 1.25265, 1.30833, 1.36402, 1.4197, 1.53107, 1.64243, 1.80948");
-            values ( \
-              "-1e-22, -0.00351913, -0.00245091, -0.00302223, -0.00629503, -0.0101674, -0.0157306, -0.0186411, -0.013364, -0.0121445, -0.0841421, -0.227373, -0.268658, -0.290081, -0.30185, -0.295252, -0.293873, -0.293712, -0.296105, -0.296835, -0.296649, -0.293552, -0.292076, -0.293068, -0.295789, -0.295733, -0.293913, -0.285619, -0.260954, -0.24952, -0.155413, -0.121173, -0.104618, -0.0823598, -0.0618004, -0.0487657, -0.0425197, -0.0335323, -0.0246381, -0.0207719, -0.0151868, -0.0111257, -0.0081042, -0.00593985, -0.00317649, -0.00170905, -0.000668599" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.000394943, 0.00333835, 0.0281607, 0.120264, 0.225789, 0.303106, 0.324624, 0.346758, 0.369602, 0.391095, 0.39909, 0.42815, 0.454516, 0.461029, 0.472823, 0.484549, 0.495413, 0.506553, 0.513477, 0.526762, 0.530535");
-            values ( \
-              "-1e-22, -0.000576025, -9.23751e-05, -4.79329e-05, -0.000274534, -0.000870406, -0.00016365, -1e-22, -0.00151926, -0.016643, -0.024151, -0.0618182, -0.108844, -0.097503, -0.0580845, -0.0296069, -0.01511, -0.00758379, -0.00505735, -0.0024316, -0.0021358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715779");
-            index_3 ("0, 0.00292604, 0.0422473, 0.175456, 0.225338, 0.302699, 0.324232, 0.346371, 0.369256, 0.394237, 0.449448, 0.458521, 0.466767, 0.475783, 0.490858, 0.506443, 0.520993, 0.526226, 0.540932, 0.554678, 0.559698, 0.595394");
-            values ( \
-              "-4.9785e-06, -0.000839049, -0.000137475, -0.000220239, -0.000498813, -0.00161625, -0.000496203, -1e-22, -0.0023482, -0.0320454, -0.131894, -0.152375, -0.160408, -0.141116, -0.0870436, -0.0454146, -0.0234002, -0.018359, -0.00926878, -0.00428367, -0.00333923, -0.00160182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.014074");
-            index_3 ("0.000316208, 0.00131621, 0.00544421, 0.0694034, 0.225858, 0.30302, 0.346702, 0.369639, 0.398921, 0.430509, 0.478615, 0.487667, 0.496719, 0.530557, 0.546913, 0.563482, 0.578848, 0.593864, 0.617503, 0.637381, 0.652536");
-            values ( \
-              "-1e-22, -0.000859881, -0.00113215, -0.000207224, -0.000840838, -0.00282192, -1e-22, -0.00330612, -0.0577852, -0.139537, -0.207906, -0.211744, -0.201037, -0.104011, -0.0666571, -0.040757, -0.0263944, -0.0169127, -0.00840019, -0.0046296, -0.00333042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276731");
-            index_3 ("0.000126096, 0.0011261, 0.0052541, 0.0278772, 0.120844, 0.225945, 0.302743, 0.324339, 0.346485, 0.369469, 0.384233, 0.392468, 0.405289, 0.420968, 0.433823, 0.44338, 0.45099, 0.463012, 0.489675, 0.515854, 0.535382, 0.549281, 0.5983, 0.616646, 0.633347, 0.64465, 0.659405, 0.672558, 0.686414, 0.706437, 0.728806, 0.746245, 0.781123, 0.836806, 0.892489, 1.00385");
-            values ( \
-              "-1e-22, -0.000955459, -0.00136615, -0.000672246, -0.000404828, -0.00136383, -0.00453241, -0.00272306, -1e-22, -0.00439804, -0.0369335, -0.0589739, -0.0995531, -0.158781, -0.190325, -0.203651, -0.211026, -0.218925, -0.232662, -0.251865, -0.247047, -0.230092, -0.131418, -0.0991998, -0.0753885, -0.0620973, -0.0478564, -0.0377825, -0.0293178, -0.020198, -0.0133371, -0.00962288, -0.00494424, -0.00164786, -0.000621237, -0.00015363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0544122");
-            index_3 ("0.369469, 0.396242, 0.418617, 0.430025, 0.44123, 0.456032, 0.468002, 0.479098, 0.495606, 0.53987, 0.550241, 0.55491, 0.561106, 0.587882, 0.605634, 0.623341, 0.657612, 0.723521, 0.743229, 0.782064, 0.819775, 0.844718, 0.865316, 0.890378, 0.925953, 0.965245, 0.995754, 1.05144, 1.10712, 1.15372");
-            values ( \
-              "-0.0621765, -0.0842719, -0.180761, -0.221322, -0.243172, -0.258429, -0.264333, -0.267338, -0.269108, -0.270241, -0.271108, -0.267997, -0.268158, -0.277415, -0.278201, -0.27148, -0.242869, -0.15812, -0.134977, -0.0959554, -0.0672903, -0.052707, -0.042917, -0.0332373, -0.0230043, -0.0153023, -0.0110858, -0.00611646, -0.00335948, -0.00213037" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106988");
-            index_3 ("0.000334262, 0.00546226, 0.120355, 0.225804, 0.30302, 0.324597, 0.346709, 0.36978, 0.394089, 0.422219, 0.435673, 0.458075, 0.469401, 0.483683, 0.516575, 0.550532, 0.560566, 0.572311, 0.616211, 0.663379, 0.729038, 0.7616, 0.794878, 0.850561, 1.00094, 1.08536, 1.12961, 1.18019, 1.22095, 1.26926, 1.32494, 1.37196, 1.41853, 1.47421, 1.5299, 1.64126, 1.69695, 1.74631");
-            values ( \
-              "-1e-22, -0.00161377, -0.00100401, -0.00266155, -0.00845679, -0.00666437, -0.000906153, -0.00748331, -0.0840527, -0.2183, -0.262776, -0.293674, -0.299308, -0.303284, -0.304881, -0.30401, -0.29785, -0.294425, -0.292922, -0.292419, -0.296052, -0.293373, -0.285663, -0.259708, -0.153441, -0.104204, -0.0839294, -0.0646716, -0.0522153, -0.0403189, -0.0298054, -0.0229135, -0.0176913, -0.0130071, -0.00944074, -0.00501892, -0.00372758, -0.00296699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.746525, 0.768172, 0.779369, 0.796197, 0.808918, 0.840722, 0.855351, 0.861957, 0.880534, 0.891124, 0.906073, 0.921805, 0.935157, 0.936295");
-            values ( \
-              "-0.004131, -0.00798243, -0.0128531, -0.023488, -0.0347609, -0.0736521, -0.103414, -0.0952756, -0.0404385, -0.0213077, -0.00845769, -0.00344057, -0.00172569, -0.0016899" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715779");
-            index_3 ("0.746456, 0.770411, 0.777716, 0.787455, 0.793333, 0.814462, 0.838989, 0.850397, 0.860034, 0.868611, 0.877568, 0.893133, 0.900553, 0.905513, 0.912739, 0.92054, 0.930332, 0.939421, 0.944456, 0.952573, 0.963396, 0.985041, 1.01338, 1.02441");
-            values ( \
-              "-0.00681051, -0.0147083, -0.019995, -0.0283191, -0.0346191, -0.0627246, -0.104267, -0.12223, -0.145139, -0.155205, -0.140306, -0.086546, -0.0643371, -0.0521097, -0.0378003, -0.0264496, -0.0168122, -0.0110209, -0.0087848, -0.00613317, -0.00383384, -0.00153374, -0.000559664, -0.000462068" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.014074");
-            index_3 ("0.746382, 0.77345, 0.775844, 0.789465, 0.801919, 0.827961, 0.835585, 0.850832, 0.867318, 0.880404, 0.886137, 0.892634, 0.904818, 0.930582, 0.944978, 0.957259, 0.966788, 0.975805, 0.987827, 0.997878, 1.00312, 1.0136, 1.03456, 1.06848, 1.10918, 1.12352");
-            values ( \
-              "-0.0114757, -0.0259794, -0.027889, -0.0451004, -0.0662741, -0.115459, -0.132076, -0.160453, -0.18321, -0.204063, -0.208789, -0.207268, -0.186781, -0.109811, -0.0748588, -0.0526377, -0.0396215, -0.0301535, -0.0207596, -0.0152364, -0.0129431, -0.00939861, -0.00486725, -0.00169604, -0.000537536, -0.000447374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276731");
-            index_3 ("0.746285, 0.779046, 0.783952, 0.80232, 0.835872, 0.853192, 0.859139, 0.868448, 0.876504, 0.918022, 0.937457, 0.950033, 0.959099, 1.00106, 1.01892, 1.03448, 1.04522, 1.06107, 1.07563, 1.09503, 1.11116, 1.13501, 1.16681, 1.2158, 1.23671, 1.26421, 1.45282");
-            values ( \
-              "-0.0173397, -0.0435228, -0.0505719, -0.0880478, -0.166699, -0.198692, -0.207101, -0.21902, -0.226101, -0.253605, -0.248814, -0.233479, -0.217177, -0.129207, -0.0979589, -0.0757887, -0.0630376, -0.0476424, -0.0366665, -0.0256272, -0.0189963, -0.0121831, -0.00663863, -0.00254398, -0.00145954, -0.00117171, -0.000167162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0544122");
-            index_3 ("0.746597, 0.788972, 0.83509, 0.84326, 0.857637, 0.872083, 0.883784, 0.901647, 0.920373, 0.988828, 1.00196, 1.01371, 1.02588, 1.05021, 1.14734, 1.18783, 1.22892, 1.25674, 1.28499, 1.316, 1.36372, 1.39528, 1.45096, 1.50665, 1.52003");
-            values ( \
-              "-0.0197644, -0.0727362, -0.196441, -0.214955, -0.237956, -0.252492, -0.260053, -0.268501, -0.273944, -0.283095, -0.281011, -0.277442, -0.271418, -0.25261, -0.132676, -0.092948, -0.0629309, -0.0483686, -0.0366115, -0.0267963, -0.0164466, -0.0118628, -0.00657411, -0.00365985, -0.00327358" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106988");
-            index_3 ("0.777239, 0.813426, 0.836169, 0.850919, 0.873321, 0.891279, 0.909342, 0.931688, 0.95284, 1.09605, 1.13194, 1.18762, 1.19795, 1.23089, 1.26378, 1.39707, 1.4485, 1.49567, 1.55308, 1.60782, 1.65987, 1.70329, 1.75898, 1.80798, 1.89277, 1.94845, 2.00414, 2.1155, 2.39392");
-            values ( \
-              "-0.139492, -0.154117, -0.224173, -0.257053, -0.283252, -0.292778, -0.297289, -0.299238, -0.299604, -0.299144, -0.298331, -0.287861, -0.284683, -0.271143, -0.252979, -0.158222, -0.126019, -0.100825, -0.0757626, -0.0569928, -0.0432121, -0.0341512, -0.0251233, -0.0191643, -0.011921, -0.00870693, -0.00636163, -0.00339687, -0.000726096" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00610331, 0.00611019, 0.00611808, 0.00612491, 0.0061297, 0.00613261", \
-            "0.00731833, 0.00732576, 0.00733483, 0.00734394, 0.00735114, 0.00735593", \
-            "0.0080622, 0.00806749, 0.00807515, 0.00808422, 0.00809249, 0.00809865", \
-            "0.0085005, 0.00850353, 0.00850826, 0.00851465, 0.00852166, 0.00852763", \
-            "0.00874421, 0.0087462, 0.00874928, 0.00875369, 0.00875891, 0.00876419", \
-            "0.00888505, 0.00888652, 0.00888896, 0.00889254, 0.00889699, 0.00890167" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00856226, 0.00859628, 0.00863815, 0.00866751, 0.00868965, 0.00870721", \
-            "0.00956627, 0.00960508, 0.00965441, 0.00970303, 0.00974783, 0.00977821", \
-            "0.010364, 0.0103992, 0.0104501, 0.0105093, 0.0105671, 0.010611", \
-            "0.0112857, 0.0113043, 0.0113674, 0.0114024, 0.0114471, 0.0114943", \
-            "0.0102799, 0.0105408, 0.0109632, 0.0114712, 0.0119213, 0.0122561", \
-            "0.00956917, 0.00957504, 0.00962459, 0.00990015, 0.0103067, 0.0109568" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "~B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "!B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0551858, 0.0709538, 0.101823, 0.16208, 0.279666, 0.510096", \
-            "0.0597009, 0.0756069, 0.106691, 0.167227, 0.285017, 0.515656", \
-            "0.0722881, 0.0878517, 0.118743, 0.179368, 0.297414, 0.528297", \
-            "0.100485, 0.118387, 0.14857, 0.208427, 0.326233, 0.557142", \
-            "0.143572, 0.168683, 0.210513, 0.276925, 0.392392, 0.621943", \
-            "0.214598, 0.24842, 0.305701, 0.399939, 0.543506, 0.77199" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0592886, 0.0821789, 0.127268, 0.213349, 0.378978, 0.703421", \
-            "0.058902, 0.0818429, 0.127036, 0.213336, 0.37909, 0.703452", \
-            "0.0604743, 0.0816384, 0.126274, 0.213233, 0.379181, 0.703596", \
-            "0.0773158, 0.094452, 0.132374, 0.213727, 0.379144, 0.703698", \
-            "0.109846, 0.132705, 0.170155, 0.239483, 0.38567, 0.703792", \
-            "0.161816, 0.192682, 0.243792, 0.327686, 0.45502, 0.727594" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0421483, 0.0526598, 0.0721123, 0.109142, 0.181174, 0.322439", \
-            "0.0454079, 0.0561657, 0.0758899, 0.113131, 0.185289, 0.326646", \
-            "0.0522069, 0.0636632, 0.0837941, 0.121431, 0.193906, 0.335465", \
-            "0.0608686, 0.0761102, 0.100403, 0.140203, 0.213335, 0.355346", \
-            "0.0649359, 0.0867789, 0.121011, 0.173362, 0.255896, 0.399286", \
-            "0.0523877, 0.0834556, 0.132796, 0.207617, 0.320502, 0.49213" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.030639, 0.0432227, 0.0677912, 0.116797, 0.214094, 0.405826", \
-            "0.0307933, 0.0433454, 0.0678679, 0.116877, 0.213976, 0.405769", \
-            "0.0338075, 0.0451795, 0.0684394, 0.116949, 0.214103, 0.405769", \
-            "0.0462685, 0.0574577, 0.0778256, 0.120928, 0.214368, 0.405771", \
-            "0.0700327, 0.0838588, 0.107243, 0.148669, 0.230231, 0.408762", \
-            "0.112456, 0.130381, 0.160641, 0.211189, 0.297501, 0.45522" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0287061, 0.0302925, 0.0351646, 0.0421402, 0.0532025, 0.0576814, 0.0764259, 0.0813627, 0.0961212, 0.107034, 0.123226, 0.138569, 0.147561, 0.164237, 0.176812, 0.190903, 0.22384, 0.239018");
-            values ( \
-              "0.0637449, 0.101806, 0.101399, 0.0952685, 0.0787925, 0.0749176, 0.0657595, 0.0627617, 0.0425444, 0.0315626, 0.0200697, 0.0130683, 0.0100208, 0.0062227, 0.00422595, 0.00278184, 0.00101172, 0.000840489" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715779");
-            index_3 ("0.0287326, 0.0313544, 0.0328714, 0.0362178, 0.0478332, 0.0577561, 0.0680998, 0.0796262, 0.0883032, 0.0961101, 0.107783, 0.110888, 0.127248, 0.135776, 0.157773, 0.169897, 0.178221, 0.19208, 0.209194, 0.22499, 0.242735, 0.256554, 0.284192, 0.332191, 0.391428");
-            values ( \
-              "0.051497, 0.145464, 0.145972, 0.144214, 0.133307, 0.117567, 0.106383, 0.0987098, 0.0950471, 0.0924756, 0.0846495, 0.0796492, 0.0580997, 0.0491696, 0.0310685, 0.0241177, 0.0200415, 0.0146589, 0.00988066, 0.0067945, 0.0044652, 0.00326039, 0.00162615, 0.000497414, 7.94663e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.014074");
-            index_3 ("0.0287758, 0.0328548, 0.0359781, 0.0388844, 0.0427594, 0.0460855, 0.0498722, 0.0580206, 0.0632857, 0.0707839, 0.0749202, 0.0830324, 0.0936031, 0.109552, 0.134162, 0.150981, 0.182819, 0.200997, 0.221772, 0.240706, 0.253151, 0.26939, 0.283484, 0.298785, 0.320682, 0.343978, 0.361933, 0.397843, 0.465776, 0.546107");
-            values ( \
-              "0.0627859, 0.186022, 0.185619, 0.183339, 0.182282, 0.185609, 0.185469, 0.163034, 0.152961, 0.144163, 0.141073, 0.135857, 0.131244, 0.12607, 0.11903, 0.109502, 0.0763014, 0.0607785, 0.0452017, 0.0343269, 0.0284916, 0.0219713, 0.0175471, 0.0137538, 0.00949407, 0.00646418, 0.00479939, 0.00256679, 0.000724929, 0.000148594" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276731");
-            index_3 ("0.0313041, 0.0462927, 0.0497106, 0.059519, 0.0650648, 0.0718, 0.0815387, 0.0895751, 0.0993112, 0.109561, 0.173805, 0.208176, 0.235449, 0.300766, 0.346925, 0.365881, 0.38672, 0.414505, 0.430628, 0.458181, 0.489669, 0.522455, 0.572657, 0.639593, 0.707561");
-            values ( \
-              "0.224758, 0.232459, 0.232623, 0.197186, 0.186596, 0.178311, 0.171095, 0.167289, 0.163995, 0.161426, 0.148979, 0.140615, 0.128894, 0.0846049, 0.0580379, 0.0491097, 0.0405189, 0.0311452, 0.0264566, 0.0201746, 0.0144835, 0.01028, 0.00602017, 0.00294198, 0.00141752" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0544122");
-            index_3 ("0.0313748, 0.0480427, 0.0576578, 0.0631912, 0.0726345, 0.088196, 0.108138, 0.123973, 0.196208, 0.289528, 0.317664, 0.361749, 0.380515, 0.419661, 0.542648, 0.595114, 0.643337, 0.668997, 0.720317, 0.749962, 0.78459, 0.82485, 0.881017, 0.94986, 1.0336, 1.11734, 1.28482, 1.4523");
-            values ( \
-              "0.237416, 0.268278, 0.228846, 0.215324, 0.202692, 0.192431, 0.187162, 0.184878, 0.177174, 0.165859, 0.161556, 0.152916, 0.147992, 0.134481, 0.0848184, 0.0664341, 0.0519726, 0.0454614, 0.0341639, 0.0288931, 0.0236626, 0.0187567, 0.0133124, 0.00875405, 0.0052597, 0.00304008, 0.00103219, 0.000336299" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106988");
-            index_3 ("0.0339553, 0.0339753, 0.205549, 0.400137, 0.499034, 0.567124, 0.635081, 0.718499, 0.760682, 0.985563, 1.13198, 1.25613, 1.37022, 1.50287, 1.58661, 1.72834, 1.81208, 2.0633, 2.1842");
-            values ( \
-              "1e-22, 0.238691, 0.196565, 0.184486, 0.177301, 0.171377, 0.163669, 0.150399, 0.141919, 0.0910474, 0.0624632, 0.0438599, 0.0310096, 0.0203869, 0.0154573, 0.00968365, 0.0073888, 0.00311154, 0.00249176" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0418652, 0.0521769, 0.0543371, 0.0572052, 0.0605072, 0.0641469, 0.0731815, 0.0762728, 0.0852997, 0.0949304, 0.0998526, 0.114471, 0.126193, 0.141914, 0.155878, 0.165744, 0.174662, 0.196503, 0.21073, 0.2297, 0.258085");
-            values ( \
-              "0.00167526, 0.0883677, 0.0964541, 0.0986912, 0.097498, 0.0936993, 0.0780979, 0.0751638, 0.0701099, 0.0658945, 0.0628096, 0.0427235, 0.0309292, 0.0199065, 0.0134894, 0.0101446, 0.00783652, 0.00411837, 0.00270697, 0.00153162, 0.000702734" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715779");
-            index_3 ("0.0417778, 0.0557177, 0.0574568, 0.0608908, 0.0637382, 0.0667164, 0.0762642, 0.0842838, 0.0884039, 0.0943865, 0.101105, 0.107581, 0.114673, 0.125235, 0.129418, 0.14674, 0.16005, 0.174408, 0.18002, 0.186363, 0.19482, 0.206936, 0.21793, 0.232587, 0.245117, 0.262735, 0.286226, 0.329478, 0.382164, 0.448929");
-            values ( \
-              "0.00952907, 0.140281, 0.141584, 0.140832, 0.138272, 0.134828, 0.119395, 0.109686, 0.105825, 0.101417, 0.097711, 0.0950135, 0.0925876, 0.0858473, 0.0796229, 0.0570958, 0.0441878, 0.0323673, 0.0288458, 0.0251711, 0.0209818, 0.0158664, 0.0123483, 0.00883586, 0.00652499, 0.00430299, 0.00248628, 0.00078111, 0.000236608, 1.64647e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.014074");
-            index_3 ("0.048923, 0.0589163, 0.0630537, 0.0671404, 0.0719401, 0.0765554, 0.084647, 0.0938276, 0.104979, 0.112459, 0.121982, 0.153012, 0.163575, 0.175511, 0.192349, 0.204127, 0.227792, 0.244983, 0.259009, 0.289344, 0.311598, 0.337031, 0.368113, 0.393461, 0.444156, 0.472619");
-            values ( \
-              "0.171389, 0.183344, 0.183315, 0.186511, 0.1818, 0.167258, 0.150949, 0.141483, 0.134603, 0.131585, 0.127993, 0.1191, 0.114107, 0.10347, 0.0852518, 0.0740923, 0.0545526, 0.0424869, 0.0345349, 0.02152, 0.0152337, 0.00981574, 0.00583672, 0.00392701, 0.00148239, 0.00108405" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276731");
-            index_3 ("0.0468394, 0.0544222, 0.0684758, 0.0790643, 0.084491, 0.091015, 0.10644, 0.125536, 0.206669, 0.227151, 0.254272, 0.319755, 0.365926, 0.405706, 0.44962, 0.477187, 0.508692, 0.541459, 0.591643, 0.658554, 0.728482");
-            values ( \
-              "0.0592241, 0.203789, 0.235865, 0.198507, 0.187389, 0.178996, 0.16835, 0.162129, 0.146009, 0.140481, 0.129081, 0.0845094, 0.0579467, 0.0404686, 0.0264096, 0.0202035, 0.0144475, 0.0102512, 0.00599495, 0.00296919, 0.00135726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0544122");
-            index_3 ("0.052294, 0.052314, 0.13938, 0.252784, 0.310673, 0.36919, 0.387, 0.439053, 0.561744, 0.613863, 0.688998, 0.769375, 0.843071, 0.899773, 0.970342, 1.05408, 1.20635");
-            values ( \
-              "1e-22, 0.248338, 0.185456, 0.172868, 0.165526, 0.155475, 0.151427, 0.134392, 0.0849483, 0.0665939, 0.0452729, 0.0289107, 0.0188554, 0.0133854, 0.0087173, 0.00519771, 0.00213059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106988");
-            index_3 ("0.0558714, 0.0558914, 0.192959, 0.3309, 0.472782, 0.5413, 0.625041, 0.654756, 0.712981, 0.780124, 1.00596, 1.15174, 1.27552, 1.35926, 1.45092, 1.52274, 1.60648, 1.69607, 1.83132, 1.91506, 2.08254, 2.25002, 2.58498");
-            values ( \
-              "1e-22, 0.253803, 0.198432, 0.190166, 0.180776, 0.175463, 0.167328, 0.163615, 0.154934, 0.141887, 0.0908946, 0.0624579, 0.0438606, 0.0340085, 0.0255496, 0.0203458, 0.0154655, 0.0115623, 0.00737845, 0.0055342, 0.00313425, 0.0017676, 0.000554248" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.067502, 0.0798031, 0.0851567, 0.0911032, 0.10262, 0.111621, 0.112593, 0.113697, 0.115584, 0.119766, 0.123164, 0.12856, 0.138087, 0.145777, 0.154439, 0.161554, 0.166342, 0.172725, 0.183614, 0.187599, 0.192083, 0.19806, 0.208589, 0.213112, 0.220367, 0.228475, 0.239867, 0.253828, 0.272442, 0.308097, 0.350138, 0.404147");
-            values ( \
-              "0.000817703, 0.0290697, 0.0393497, 0.0497583, 0.0678681, 0.077734, 0.0782967, 0.081624, 0.0824073, 0.0779342, 0.0748087, 0.0713655, 0.0664502, 0.0597668, 0.0471373, 0.0383052, 0.0335941, 0.0282649, 0.0206905, 0.0186104, 0.0164237, 0.0138721, 0.0102244, 0.00897749, 0.00727006, 0.00575616, 0.00408921, 0.00270664, 0.00156901, 0.000483943, 0.000149405, 1.74203e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715779");
-            index_3 ("0.067508, 0.0924972, 0.104949, 0.112587, 0.114294, 0.116589, 0.120453, 0.13165, 0.136848, 0.144141, 0.150518, 0.157625, 0.167503, 0.172337, 0.189663, 0.203018, 0.217373, 0.222966, 0.229276, 0.237689, 0.249872, 0.260939, 0.275695, 0.288143, 0.305648, 0.328987, 0.372418, 0.424913, 0.491534");
-            values ( \
-              "0.00133703, 0.0743409, 0.102909, 0.116675, 0.123183, 0.12617, 0.122329, 0.108345, 0.103659, 0.0985911, 0.0956684, 0.0928772, 0.0866061, 0.0796145, 0.0571133, 0.0440979, 0.0323721, 0.0288411, 0.0251795, 0.0210094, 0.0158654, 0.0123246, 0.0088065, 0.00650872, 0.00430109, 0.00250641, 0.000773981, 0.000245622, 9.54916e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.014074");
-            index_3 ("0.0674458, 0.104959, 0.112523, 0.115, 0.117848, 0.120618, 0.129146, 0.136805, 0.146216, 0.155249, 0.164682, 0.195749, 0.212702, 0.218328, 0.24438, 0.247015, 0.252285, 0.262826, 0.283908, 0.290768, 0.292337, 0.295475, 0.301753, 0.314307, 0.330842, 0.345444, 0.360635, 0.382453, 0.389962, 0.392171, 0.405419, 0.423084, 0.458413, 0.525953, 0.605746");
-            values ( \
-              "0.000589448, 0.134564, 0.160594, 0.173507, 0.178317, 0.172829, 0.154066, 0.144018, 0.136636, 0.13212, 0.128277, 0.119031, 0.109385, 0.103118, 0.0762621, 0.0743348, 0.0691722, 0.0606089, 0.0448067, 0.0409826, 0.03976, 0.0381726, 0.0345887, 0.0287053, 0.0220008, 0.0174242, 0.0137209, 0.00944894, 0.00845627, 0.00805721, 0.00646429, 0.00485886, 0.00259577, 0.000766548, 0.000134326" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276731");
-            index_3 ("0.067554, 0.12219, 0.126547, 0.131664, 0.138232, 0.148563, 0.166962, 0.180651, 0.235768, 0.268863, 0.278057, 0.288565, 0.307833, 0.355098, 0.378444, 0.409573, 0.424589, 0.448149, 0.475074, 0.496589, 0.521949, 0.555763, 0.577457, 0.599922, 0.629874, 0.689778, 0.773519, 0.857259, 0.940999");
-            values ( \
-              "0.020258, 0.210021, 0.196988, 0.187225, 0.177909, 0.169905, 0.162674, 0.159508, 0.148785, 0.140925, 0.137902, 0.133432, 0.121905, 0.0895367, 0.0749462, 0.0578587, 0.050631, 0.0408621, 0.031479, 0.0254744, 0.0197331, 0.0139721, 0.0110845, 0.00875635, 0.00642713, 0.00328536, 0.00130775, 0.000468628, 0.000230518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0544122");
-            index_3 ("0.0894745, 0.109131, 0.117313, 0.135382, 0.142358, 0.159991, 0.186426, 0.279339, 0.353942, 0.412475, 0.43027, 0.482348, 0.605061, 0.657188, 0.706236, 0.784548, 0.845636, 0.886448, 0.943168, 1.01378, 1.09752, 1.18126, 1.28183");
-            values ( \
-              "0.170716, 0.209887, 0.253035, 0.20725, 0.199689, 0.190126, 0.184958, 0.174725, 0.165432, 0.155498, 0.151403, 0.134371, 0.0849309, 0.0665855, 0.0519357, 0.0338918, 0.0239127, 0.0188509, 0.0133789, 0.00871089, 0.00519634, 0.00304061, 0.00184407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106988");
-            index_3 ("0.0890374, 0.108699, 0.116922, 0.127323, 0.13885, 0.155562, 0.175585, 0.204405, 0.4224, 0.560928, 0.631707, 0.697892, 0.781632, 0.82351, 1.0484, 1.11264, 1.19485, 1.25255, 1.31902, 1.40276, 1.49384, 1.5658, 1.64954, 1.73955, 1.87507, 2.04255, 2.21003, 2.62873");
-            values ( \
-              "0.164928, 0.227265, 0.270945, 0.237848, 0.218323, 0.207198, 0.202717, 0.200221, 0.187111, 0.177399, 0.171236, 0.163577, 0.150389, 0.141832, 0.0911006, 0.0777272, 0.0625158, 0.0531947, 0.043796, 0.0340163, 0.0256118, 0.0203266, 0.0155096, 0.011522, 0.00733414, 0.00416783, 0.00235875, 0.00058615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.12452, 0.140422, 0.14545, 0.150881, 0.153887, 0.167213, 0.18536, 0.197427, 0.199597, 0.203937, 0.211314, 0.212537, 0.219875, 0.236448, 0.245864, 0.247977, 0.248935, 0.250852, 0.253003, 0.262703, 0.271055, 0.281616, 0.286105, 0.293696, 0.300321, 0.311651, 0.31669, 0.32555, 0.338257, 0.347075, 0.364043, 0.386668, 0.425961, 0.47358");
-            values ( \
-              "0.00566064, 0.0108299, 0.01337, 0.0168236, 0.0190876, 0.0300584, 0.0420781, 0.0488248, 0.0497413, 0.0506176, 0.0512171, 0.0510906, 0.0528058, 0.0594296, 0.0558111, 0.0510874, 0.050416, 0.0498077, 0.0470959, 0.0367844, 0.0289919, 0.0217365, 0.0191219, 0.015507, 0.0128339, 0.00927034, 0.00802405, 0.00618589, 0.00426189, 0.00328393, 0.00199049, 0.00101638, 0.000279327, 7.63441e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715779");
-            index_3 ("0.131525, 0.144989, 0.183265, 0.196104, 0.213127, 0.222221, 0.228388, 0.243003, 0.249058, 0.252804, 0.25685, 0.2614, 0.290568, 0.313332, 0.331572, 0.344387, 0.362358, 0.372611, 0.393164, 0.429281, 0.459758");
-            values ( \
-              "0.0186049, 0.0197092, 0.0587281, 0.0703128, 0.0812393, 0.0855535, 0.0864335, 0.0898671, 0.0920977, 0.0947494, 0.0946681, 0.0922292, 0.0552099, 0.034667, 0.0234751, 0.0176598, 0.0117307, 0.00923574, 0.00570291, 0.0024003, 0.00128053" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.014074");
-            index_3 ("0.131337, 0.151721, 0.164083, 0.203723, 0.212475, 0.223909, 0.231974, 0.233532, 0.242879, 0.248842, 0.251272, 0.25353, 0.256592, 0.269585, 0.272984, 0.293676, 0.310089, 0.342409, 0.344954, 0.350043, 0.36022, 0.380576, 0.388461, 0.390269, 0.401117, 0.413277, 0.429218, 0.442691, 0.458153, 0.480186, 0.487882, 0.490179, 0.503965, 0.522346, 0.559109, 0.62672, 0.706871");
-            values ( \
-              "0.0154594, 0.0342709, 0.0503181, 0.104167, 0.117486, 0.12151, 0.121226, 0.121762, 0.125545, 0.128421, 0.132409, 0.133839, 0.133296, 0.127267, 0.125979, 0.119, 0.109864, 0.0761464, 0.0743267, 0.0692877, 0.0610543, 0.0456138, 0.0411575, 0.0397311, 0.0338178, 0.0282919, 0.0218231, 0.0175998, 0.0138503, 0.00945861, 0.00849109, 0.00802829, 0.00638044, 0.0047776, 0.00245178, 0.000753502, 0.000105185" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276731");
-            index_3 ("0.145439, 0.167086, 0.193953, 0.205063, 0.212913, 0.219142, 0.226759, 0.238527, 0.249071, 0.253402, 0.256367, 0.271081, 0.283921, 0.3331, 0.363713, 0.375202, 0.389274, 0.460183, 0.506654, 0.545805, 0.573137, 0.589903, 0.618581, 0.651357, 0.698019, 0.729627, 0.792841, 0.862471");
-            values ( \
-              "0.0567377, 0.0637423, 0.108873, 0.138396, 0.148345, 0.149609, 0.150086, 0.154865, 0.161715, 0.169069, 0.168914, 0.162406, 0.158746, 0.148849, 0.141506, 0.137951, 0.131721, 0.0845735, 0.0578888, 0.0406643, 0.0313296, 0.0265268, 0.0199368, 0.0141751, 0.00874176, 0.00621562, 0.00312801, 0.00150669" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0544122");
-            index_3 ("0.145439, 0.183382, 0.209268, 0.230803, 0.249011, 0.255029, 0.283403, 0.454333, 0.502371, 0.531212, 0.569668, 0.700494, 0.780202, 0.847952, 0.895661, 0.938979, 0.996735, 1.04296, 1.16238, 1.32986, 1.33458");
-            values ( \
-              "0.0456236, 0.0995218, 0.1655, 0.172872, 0.185851, 0.194806, 0.185354, 0.164935, 0.156937, 0.150379, 0.138104, 0.0855745, 0.0585202, 0.0408232, 0.0313687, 0.0244836, 0.0173162, 0.0132141, 0.00629137, 0.00216722, 0.00213601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106988");
-            index_3 ("0.159571, 0.192763, 0.205091, 0.231918, 0.258494, 0.274574, 0.292931, 0.471126, 0.62106, 0.681612, 0.765352, 0.795095, 0.853334, 0.920494, 1.14637, 1.29219, 1.34938, 1.416, 1.49974, 1.5915, 1.66332, 1.74706, 1.81095, 1.88828, 2.05576, 2.22324, 2.39072, 2.72568");
-            values ( \
-              "0.101233, 0.131081, 0.173983, 0.18773, 0.210353, 0.203774, 0.201052, 0.190085, 0.180101, 0.175434, 0.167252, 0.163614, 0.154852, 0.141899, 0.0908376, 0.0624061, 0.0531707, 0.0438842, 0.0339663, 0.025504, 0.0203686, 0.0154263, 0.0125073, 0.00967944, 0.00550313, 0.00310577, 0.00174076, 0.000529363" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.211333, 0.268186, 0.290363, 0.300704, 0.311334, 0.35897, 0.380715, 0.400302, 0.415897, 0.419138, 0.449927, 0.455825, 0.472758, 0.48847, 0.512756, 0.534332, 0.550619, 0.552418, 0.556016, 0.558971, 0.588593, 0.608986, 0.613881");
-            values ( \
-              "0.000791003, 0.00375531, 0.00640339, 0.00814002, 0.0106243, 0.0275569, 0.0327669, 0.0340417, 0.0353589, 0.035929, 0.0440664, 0.0423668, 0.0285213, 0.0188397, 0.0101273, 0.00568526, 0.00364001, 0.00447614, 0.00495857, 0.00494375, 0.0023445, 0.00126266, 0.0011463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715779");
-            index_3 ("0.211857, 0.275466, 0.291489, 0.317829, 0.372656, 0.408034, 0.420113, 0.422203, 0.426383, 0.434742, 0.454922, 0.464712, 0.480791, 0.514251, 0.541516, 0.550427, 0.555654, 0.561232, 0.587843, 0.606051, 0.632536, 0.654424, 0.683371");
-            values ( \
-              "0.00141503, 0.00731462, 0.0106242, 0.0191414, 0.0457624, 0.0544, 0.0586409, 0.0581377, 0.0587973, 0.0587209, 0.0619408, 0.0659809, 0.0705355, 0.0412226, 0.0242148, 0.0201805, 0.020374, 0.0193152, 0.0110263, 0.0072045, 0.00388701, 0.0023005, 0.00128036" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.014074");
-            index_3 ("0.216106, 0.275895, 0.300743, 0.316612, 0.323862, 0.355667, 0.415067, 0.428108, 0.440135, 0.453223, 0.458238, 0.468268, 0.482951, 0.486338, 0.493113, 0.506662, 0.522767, 0.536752, 0.550857, 0.557621, 0.588363, 0.607139, 0.635862, 0.651455, 0.664332, 0.679962, 0.702129, 0.72636, 0.745129, 0.782665, 0.792279");
-            values ( \
-              "0.00268748, 0.0112264, 0.0188219, 0.0256266, 0.0295984, 0.0504038, 0.0831144, 0.0835285, 0.0826902, 0.0859062, 0.0861006, 0.0893583, 0.0928069, 0.0945393, 0.0962144, 0.101491, 0.105237, 0.097094, 0.0839289, 0.0810782, 0.0558302, 0.0428438, 0.0278832, 0.0218341, 0.017786, 0.0137983, 0.00955759, 0.00639592, 0.00466758, 0.00243958, 0.00219031" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276731");
-            index_3 ("0.296669, 0.348897, 0.365746, 0.397141, 0.404235, 0.41133, 0.415019, 0.422397, 0.427628, 0.432251, 0.435133, 0.440899, 0.443783, 0.447515, 0.458715, 0.469067, 0.477566, 0.503453, 0.517199, 0.530944, 0.54469, 0.551787, 0.552761, 0.555684, 0.557974, 0.561922, 0.572213, 0.584887, 0.588985, 0.595131, 0.605503, 0.612876, 0.62462, 0.650968, 0.677247, 0.68835, 0.69379, 0.710109, 0.730848, 0.745054, 0.759639, 0.774224, 0.78881, 0.808034, 0.823566, 0.833922, 0.844278, 0.864155, 0.884032, 0.901305");
-            values ( \
-              "0.0518921, 0.0548686, 0.0677781, 0.0980483, 0.100821, 0.102869, 0.103479, 0.104449, 0.104933, 0.105222, 0.10552, 0.106315, 0.106813, 0.107682, 0.110762, 0.114254, 0.11751, 0.12847, 0.13402, 0.13909, 0.143682, 0.147805, 0.148164, 0.148301, 0.148207, 0.14774, 0.14499, 0.141223, 0.139884, 0.137561, 0.132755, 0.128643, 0.121426, 0.103491, 0.0859832, 0.0787074, 0.0754767, 0.0662555, 0.055654, 0.0487134, 0.0424925, 0.0371927, 0.0324027, 0.0268681, 0.022694, 0.0204983, 0.0184762, 0.0151403, 0.0123259, 0.0102782" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0544122");
-            index_3 ("0.275372, 0.32538, 0.352035, 0.372358, 0.389469, 0.407398, 0.417092, 0.434779, 0.448925, 0.462656, 0.550333, 0.554258, 0.562107, 0.571093, 0.581138, 0.595283, 0.609838, 0.638063, 0.723727, 0.728758, 0.738821, 0.785254, 0.862214, 0.914858, 1.00115, 1.00713, 1.01911, 1.0861, 1.11853, 1.12618, 1.14148, 1.17208, 1.2668, 1.27902, 1.30346, 1.35233, 1.43607, 1.51981, 1.60355, 1.68729, 1.77103, 1.85477");
-            values ( \
-              "0.0336155, 0.0447642, 0.0643468, 0.0824858, 0.10818, 0.114516, 0.119987, 0.121495, 0.124944, 0.130404, 0.175571, 0.180567, 0.179124, 0.178245, 0.175703, 0.17491, 0.172276, 0.16976, 0.156421, 0.154431, 0.153053, 0.138902, 0.106856, 0.0860042, 0.0568393, 0.0559435, 0.051797, 0.0359393, 0.0306766, 0.0286059, 0.0269459, 0.0218583, 0.0122566, 0.0121186, 0.00975209, 0.00788654, 0.0040738, 0.00300296, 0.00117712, 0.00129127, 0.000170096, 0.000697483" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106988");
-            index_3 ("0.303806, 0.37277, 0.386821, 0.41682, 0.427413, 0.437102, 0.464298, 0.550231, 0.558248, 0.569158, 0.578045, 0.603415, 0.775231, 0.877477, 0.937091, 1.01075, 1.09244, 1.1364, 1.36141, 1.50783, 1.632, 1.71574, 1.80696, 1.96266, 2.0527, 2.18824, 2.43946, 2.55641");
-            values ( \
-              "0.0623991, 0.0907825, 0.115549, 0.128959, 0.13187, 0.132325, 0.143049, 0.194416, 0.198143, 0.198608, 0.196869, 0.195067, 0.184362, 0.177019, 0.171878, 0.163577, 0.150692, 0.141854, 0.0910293, 0.0624629, 0.0438222, 0.0339773, 0.0255644, 0.01547, 0.0115445, 0.00735828, 0.00313363, 0.00218163" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.442664, 0.564443, 0.608481, 0.631099, 0.675504, 0.721722, 0.751936, 0.76545, 0.809738, 0.836535, 0.848516, 0.861047, 0.871355, 0.889323, 0.918385, 0.937359, 0.963662, 0.982928, 1.00091, 1.01777, 1.05463, 1.10379, 1.21615, 1.24138, 1.30403, 1.36419");
-            values ( \
-              "0.000157489, 0.00199618, 0.00319392, 0.00419052, 0.00789552, 0.0157645, 0.0202242, 0.0216074, 0.0234798, 0.0254777, 0.0268844, 0.029158, 0.0299933, 0.0286027, 0.0161949, 0.0109311, 0.00605035, 0.00380979, 0.00247075, 0.00159841, 0.000616884, 0.000184274, 5.17833e-05, 0.000671655, 6.86199e-05, 5.93009e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715779");
-            index_3 ("0.442548, 0.571471, 0.608386, 0.640228, 0.656485, 0.684796, 0.750155, 0.789836, 0.802586, 0.824674, 0.838793, 0.853346, 0.86305, 0.875615, 0.887405, 0.909808, 0.915106, 0.925703, 0.942825, 0.964284, 0.983054, 1.00502, 1.03224, 1.04704, 1.06927, 1.08308, 1.10769, 1.14049, 1.20203, 1.21606, 1.2302, 1.25054, 1.26711, 1.30025, 1.33788");
-            values ( \
-              "0.000156567, 0.00384352, 0.00560483, 0.00796517, 0.00972148, 0.0143749, 0.0298419, 0.0352697, 0.0360688, 0.0395709, 0.040699, 0.0405702, 0.0409092, 0.0418389, 0.0437939, 0.0483897, 0.0486011, 0.0480259, 0.0422707, 0.0301967, 0.0225198, 0.0155166, 0.00927509, 0.0069154, 0.00435035, 0.0032672, 0.00193975, 0.000953159, 0.000215763, 0.000168551, 0.00129382, 0.00136032, 0.000770764, 0.00037486, 0.000148243" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.014074");
-            index_3 ("0.525562, 0.608499, 0.655576, 0.677309, 0.699387, 0.748913, 0.819655, 0.828254, 0.858271, 0.893161, 0.934808, 0.981417, 0.987968, 1.00107, 1.05036, 1.09249, 1.12593, 1.16217, 1.19084, 1.21617, 1.22691, 1.23834, 1.27546, 1.304, 1.32184");
-            values ( \
-              "0.00789033, 0.0091217, 0.0145338, 0.0186258, 0.0242062, 0.039571, 0.0575644, 0.0587012, 0.0578943, 0.0597904, 0.0650721, 0.0748098, 0.0744036, 0.0720116, 0.0468502, 0.0284601, 0.0179853, 0.0105018, 0.0066923, 0.00448348, 0.00543669, 0.005363, 0.00290243, 0.00181353, 0.00144985" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276731");
-            index_3 ("0.525545, 0.604487, 0.632346, 0.664185, 0.68319, 0.714558, 0.790625, 0.822737, 0.828595, 0.840309, 0.855773, 0.893686, 1.05733, 1.09716, 1.11726, 1.18111, 1.21618, 1.23516, 1.27517, 1.30354, 1.33369, 1.3739, 1.39304, 1.42295, 1.46283, 1.54258, 1.56596");
-            values ( \
-              "0.0122008, 0.0130421, 0.0167186, 0.0222206, 0.0265789, 0.0357937, 0.0650194, 0.0745724, 0.0743802, 0.075633, 0.0745728, 0.0777205, 0.105721, 0.108655, 0.101374, 0.0695809, 0.053906, 0.0483546, 0.0336983, 0.0255306, 0.0188523, 0.0124041, 0.0101682, 0.00742889, 0.0048493, 0.00197307, 0.00163467" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0544122");
-            index_3 ("0.525511, 0.637353, 0.669302, 0.725238, 0.765118, 0.798422, 0.801987, 0.809118, 0.820661, 0.831068, 0.849198, 0.864739, 0.906123, 1.00452, 1.08222, 1.15203, 1.21903, 1.23053, 1.25898, 1.40686, 1.48861, 1.53503, 1.58808, 1.6462, 1.68753, 1.74478, 1.76889, 1.8171, 1.90084, 1.98458, 2.06832, 2.15206, 2.31954");
-            values ( \
-              "0.0119247, 0.0231086, 0.0297146, 0.0460233, 0.0636652, 0.0816873, 0.0812814, 0.0814683, 0.0850479, 0.0868393, 0.0882733, 0.0882178, 0.0945618, 0.117509, 0.134504, 0.147165, 0.153823, 0.151979, 0.143545, 0.0850062, 0.0574881, 0.0450893, 0.0336176, 0.0241336, 0.0189453, 0.0134279, 0.011627, 0.00865727, 0.0051437, 0.00303119, 0.00179834, 0.00105382, 0.000368077" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106988");
-            index_3 ("0.608134, 0.719749, 0.755009, 0.782793, 0.791233, 0.821697, 0.841394, 0.859737, 0.887141, 0.906944, 1.04597, 1.16727, 1.21581, 1.23824, 1.38009, 1.43458, 1.4935, 1.57599, 1.61913, 1.84411, 1.99057, 2.11477, 2.19851, 2.28972, 2.36169, 2.44543, 2.53549, 2.67105, 2.75479, 2.92227, 3.08975, 3.42471");
-            values ( \
-              "0.0473992, 0.0498408, 0.0647621, 0.084151, 0.0836955, 0.0927859, 0.0966089, 0.0968291, 0.100804, 0.105033, 0.14319, 0.174277, 0.185152, 0.185843, 0.17539, 0.170411, 0.163569, 0.150545, 0.141832, 0.0910334, 0.0624611, 0.0438195, 0.0339733, 0.0255616, 0.0203489, 0.0154672, 0.0115461, 0.00736021, 0.00552368, 0.0031298, 0.0017666, 0.000556389" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0063429, 0.00638581, 0.00642743, 0.00646051, 0.00648267, 0.0064957", \
-            "0.00831882, 0.00837812, 0.0084436, 0.00849938, 0.00853914, 0.00856363", \
-            "0.00979121, 0.00983048, 0.00988374, 0.00993883, 0.00998309, 0.0100129", \
-            "0.0108223, 0.0108292, 0.0108468, 0.0108739, 0.0109029, 0.0109255", \
-            "0.0115427, 0.0115045, 0.011462, 0.011428, 0.0114112, 0.0114065", \
-            "0.0120154, 0.0119785, 0.0119413, 0.0118965, 0.011843, 0.0117987" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0102635, 0.0103323, 0.0104025, 0.0104572, 0.0104906, 0.0105086", \
-            "0.011612, 0.0116052, 0.0116008, 0.0115857, 0.0115799, 0.0115723", \
-            "0.0118359, 0.0116872, 0.0115327, 0.0113993, 0.0113045, 0.0112441", \
-            "0.01246, 0.0121241, 0.0118605, 0.0116558, 0.0115145, 0.0114247", \
-            "0.0125875, 0.0125371, 0.0120917, 0.0116201, 0.0113964, 0.011264", \
-            "0.0119399, 0.0120008, 0.0120539, 0.0118859, 0.0112157, 0.010931" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0298993, 0.0312489, 0.0326672, 0.0382581, 0.0443972, 0.051307, 0.0536955, 0.0583222, 0.0602092, 0.0727939, 0.0809006, 0.0873897, 0.0956938, 0.103802, 0.115147, 0.127187");
-            values ( \
-              "-0.0571477, -0.137767, -0.139582, -0.140147, -0.139265, -0.142116, -0.141291, -0.13463, -0.128677, -0.0724641, -0.0441396, -0.0286565, -0.0161801, -0.00914965, -0.00414944, -0.00175047" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715779");
-            index_3 ("0.0303374, 0.0322212, 0.0444382, 0.0544736, 0.0576751, 0.062819, 0.0708999, 0.077701, 0.0971161, 0.108836, 0.119748, 0.132026, 0.145783, 0.1563");
-            values ( \
-              "-0.0844525, -0.18994, -0.189188, -0.197886, -0.198761, -0.197927, -0.185497, -0.16242, -0.0794591, -0.0462957, -0.0271247, -0.0145294, -0.00706995, -0.00516097" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.014074");
-            index_3 ("0.0302703, 0.0335709, 0.042247, 0.0451711, 0.055981, 0.0632411, 0.0701403, 0.0784628, 0.0836426, 0.0939519, 0.0964992, 0.105233, 0.127418, 0.138194, 0.14998, 0.158442, 0.173331, 0.184089, 0.19777, 0.225543, 0.245407");
-            values ( \
-              "-0.0736904, -0.234674, -0.232136, -0.232872, -0.245745, -0.249528, -0.250049, -0.247589, -0.244132, -0.230834, -0.225563, -0.201037, -0.124118, -0.0927959, -0.0659016, -0.0508681, -0.0317921, -0.0223776, -0.0142744, -0.00554586, -0.00314813" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276731");
-            index_3 ("0.0302566, 0.0355565, 0.0426795, 0.0453934, 0.0530217, 0.0617088, 0.0675695, 0.0760643, 0.0908315, 0.101418, 0.111982, 0.12069, 0.136348, 0.139245, 0.14504, 0.156629, 0.19428, 0.216856, 0.238979, 0.252277, 0.271754, 0.281736, 0.300342, 0.314123, 0.33591, 0.360396, 0.403015, 0.46066");
-            values ( \
-              "-0.110438, -0.2661, -0.263308, -0.264548, -0.276038, -0.284481, -0.287071, -0.288462, -0.287399, -0.284833, -0.280853, -0.276297, -0.263472, -0.260069, -0.252333, -0.231381, -0.146326, -0.103131, -0.0708907, -0.055909, -0.0391268, -0.0324666, -0.0227361, -0.0174661, -0.0114451, -0.0070276, -0.00289737, -0.000786442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0544122");
-            index_3 ("0.0325463, 0.0530692, 0.0611347, 0.0723875, 0.0887799, 0.110299, 0.128093, 0.176056, 0.192688, 0.22924, 0.243682, 0.264286, 0.326652, 0.375014, 0.419769, 0.464387, 0.488712, 0.523041, 0.55916, 0.586892, 0.642356, 0.7, 0.71002");
-            values ( \
-              "-0.292028, -0.297483, -0.306676, -0.312105, -0.31406, -0.312682, -0.310117, -0.300111, -0.294847, -0.276583, -0.264623, -0.241432, -0.15876, -0.105099, -0.0689807, -0.0442175, -0.0344407, -0.0240271, -0.0164212, -0.0122035, -0.00662642, -0.0034863, -0.00326667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106988");
-            index_3 ("0.0319506, 0.0348332, 0.041439, 0.0449654, 0.0570223, 0.066458, 0.0804216, 0.0976186, 0.171177, 0.277528, 0.333839, 0.398632, 0.424551, 0.469529, 0.606122, 0.684528, 0.752314, 0.80571, 0.855993, 0.913638, 0.965467, 1.02062, 1.07826, 1.13591, 1.25119, 1.36648, 1.53942");
-            values ( \
-              "-0.29654, -0.297312, -0.295092, -0.296046, -0.315756, -0.323593, -0.328163, -0.32948, -0.32501, -0.313981, -0.305233, -0.288455, -0.277724, -0.250868, -0.152529, -0.10644, -0.0760415, -0.0575243, -0.043991, -0.0321266, -0.0240618, -0.0176803, -0.0127907, -0.00917654, -0.00473073, -0.0024272, -0.000919914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0464312, 0.0477661, 0.0527816, 0.054177, 0.0568436, 0.0638944, 0.0700874, 0.0725443, 0.0756792, 0.0804978, 0.0905776, 0.0974448, 0.102942, 0.111142, 0.120275, 0.130403, 0.141846");
-            values ( \
-              "-0.085195, -0.111791, -0.135386, -0.13796, -0.13963, -0.139356, -0.140749, -0.138987, -0.133178, -0.116017, -0.0703232, -0.0463007, -0.0323081, -0.0184408, -0.0097376, -0.00481755, -0.00212164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715779");
-            index_3 ("0.0464464, 0.0492485, 0.0515698, 0.0526755, 0.0536946, 0.0550643, 0.057224, 0.0595564, 0.0618745, 0.0647871, 0.0686215, 0.0719754, 0.0751884, 0.0797921, 0.0817069, 0.0849861, 0.0884477, 0.116291, 0.119115, 0.119807, 0.12119, 0.123956, 0.127484, 0.132483, 0.133607, 0.135854, 0.140348, 0.146669, 0.150623, 0.15185, 0.154303, 0.159209, 0.166638, 0.18074, 0.199278");
-            values ( \
-              "-0.0253462, -0.159141, -0.181789, -0.179702, -0.189754, -0.184724, -0.193024, -0.185888, -0.192563, -0.186882, -0.197485, -0.193187, -0.201445, -0.194064, -0.199583, -0.188896, -0.18866, -0.0705884, -0.0685968, -0.0598142, -0.0626191, -0.0487416, -0.0473186, -0.031116, -0.0358862, -0.0258526, -0.0265416, -0.0135619, -0.0170471, -0.00967159, -0.0146622, -0.00561665, -0.00927734, -1e-22, -0.00342392" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.014074");
-            index_3 ("0.0462399, 0.0510358, 0.0520262, 0.0551573, 0.056606, 0.0622162, 0.0732943, 0.0771909, 0.0860666, 0.0911242, 0.100293, 0.107686, 0.112052, 0.120785, 0.140985, 0.155403, 0.168055, 0.176935, 0.19031, 0.19997, 0.208008, 0.227126, 0.248974, 0.266129");
-            values ( \
-              "-0.000740252, -0.211203, -0.221688, -0.231309, -0.232301, -0.232155, -0.244366, -0.247401, -0.249785, -0.249147, -0.245085, -0.236894, -0.229871, -0.20809, -0.137891, -0.094347, -0.0653533, -0.0497071, -0.032582, -0.0238588, -0.0182691, -0.00972595, -0.00448777, -0.00283909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276731");
-            index_3 ("0.0462198, 0.0533202, 0.0557584, 0.0590188, 0.0618617, 0.0651256, 0.07198, 0.080437, 0.0932923, 0.111149, 0.120834, 0.138284, 0.14814, 0.157134, 0.175122, 0.210502, 0.237802, 0.26344, 0.279331, 0.296791, 0.325135, 0.342188, 0.361678, 0.400657, 0.41962");
-            values ( \
-              "-0.0234507, -0.257669, -0.262918, -0.26413, -0.263507, -0.265937, -0.276509, -0.284472, -0.288259, -0.286869, -0.284324, -0.276652, -0.26926, -0.260063, -0.2303, -0.150048, -0.0981395, -0.063148, -0.047359, -0.0342435, -0.0198989, -0.0143263, -0.0097672, -0.00438517, -0.00333901" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0544122");
-            index_3 ("0.0503147, 0.0710152, 0.0745085, 0.0834675, 0.0901706, 0.0982267, 0.106545, 0.12816, 0.144059, 0.19111, 0.208494, 0.224319, 0.24972, 0.259474, 0.278983, 0.34788, 0.368302, 0.394449, 0.434251, 0.460974, 0.48486, 0.516709, 0.544142, 0.581119, 0.630422, 0.688066, 0.745711, 0.861");
-            values ( \
-              "-0.285207, -0.296277, -0.301396, -0.309053, -0.311736, -0.313414, -0.313916, -0.31263, -0.310499, -0.300853, -0.295708, -0.289455, -0.274781, -0.266703, -0.24558, -0.154707, -0.130687, -0.103741, -0.0713672, -0.054815, -0.0430909, -0.031, -0.0232451, -0.0157167, -0.00918887, -0.00484451, -0.00255232, -0.000705198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106988");
-            index_3 ("0.0498424, 0.0542039, 0.078078, 0.0959549, 0.124068, 0.19942, 0.295824, 0.352143, 0.416906, 0.449944, 0.487826, 0.632986, 0.708583, 0.762695, 0.82034, 0.892584, 0.991163, 1.14075, 1.29334");
-            values ( \
-              "-0.253303, -0.290917, -0.318352, -0.327436, -0.329475, -0.324186, -0.314023, -0.305182, -0.288409, -0.274204, -0.250802, -0.146864, -0.103408, -0.0790428, -0.0586827, -0.0397876, -0.0230602, -0.0098811, -0.00446438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0754592, 0.0787227, 0.0814885, 0.0854031, 0.0893167, 0.0975708, 0.0979257, 0.106014, 0.108564, 0.112667, 0.113744, 0.118122, 0.127238, 0.134756, 0.141095, 0.149511, 0.158695, 0.168985, 0.180744, 0.182875");
-            values ( \
-              "-0.0175193, -0.0628898, -0.0796514, -0.0877955, -0.101355, -0.117993, -0.11726, -0.126151, -0.127094, -0.126051, -0.127463, -0.116434, -0.0762616, -0.0489422, -0.0325096, -0.0183868, -0.00972512, -0.00475315, -0.00206753, -0.00195471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715779");
-            index_3 ("0.0752654, 0.0846107, 0.0896972, 0.0983903, 0.112623, 0.11331, 0.11423, 0.115189, 0.116775, 0.119385, 0.11998, 0.12117, 0.123549, 0.126755, 0.132359, 0.134235, 0.137987, 0.142819, 0.143612, 0.145199, 0.148373, 0.152162, 0.1571, 0.158258, 0.160573, 0.164811, 0.170425, 0.17219, 0.175721, 0.182783, 0.188008, 0.189976, 0.193912, 0.201783, 0.216091, 0.236969");
-            values ( \
-              "-0.0208288, -0.117252, -0.136132, -0.159487, -0.184423, -0.191321, -0.189546, -0.19472, -0.192464, -0.196374, -0.192467, -0.195189, -0.188845, -0.186177, -0.164902, -0.160573, -0.139885, -0.121704, -0.114699, -0.111423, -0.0949941, -0.0842585, -0.0646014, -0.0646375, -0.0548497, -0.0479665, -0.03349, -0.0339514, -0.0253752, -0.0205912, -0.0127989, -0.0147799, -0.00903466, -0.00879575, -0.00163094, -0.0026089" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.014074");
-            index_3 ("0.0799144, 0.084327, 0.089329, 0.0974741, 0.116835, 0.120835, 0.126517, 0.136755, 0.140213, 0.150892, 0.158417, 0.182336, 0.197918, 0.211148, 0.226836, 0.234438, 0.250053, 0.278572, 0.293986");
-            values ( \
-              "-0.0896697, -0.140298, -0.164082, -0.191366, -0.243159, -0.247052, -0.24859, -0.246039, -0.243574, -0.229553, -0.211747, -0.129546, -0.0850002, -0.0574335, -0.0351395, -0.0274956, -0.0164606, -0.00623565, -0.00410856" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276731");
-            index_3 ("0.0797403, 0.0881554, 0.0925183, 0.0957863, 0.11259, 0.116316, 0.118719, 0.125221, 0.136624, 0.151225, 0.159976, 0.174469, 0.184155, 0.19628, 0.215024, 0.253021, 0.278209, 0.299828, 0.31453, 0.327764, 0.34541, 0.360266, 0.376615, 0.398415, 0.442014, 0.464307");
-            values ( \
-              "-0.0573677, -0.179749, -0.198242, -0.211039, -0.259966, -0.276438, -0.28065, -0.285656, -0.287936, -0.286511, -0.284195, -0.278245, -0.271869, -0.260032, -0.228791, -0.14289, -0.096164, -0.0663691, -0.0508876, -0.0398919, -0.0286088, -0.0215067, -0.0156956, -0.0102448, -0.00411082, -0.00295851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0544122");
-            index_3 ("0.079855, 0.0935509, 0.11622, 0.121494, 0.125925, 0.139987, 0.150028, 0.162991, 0.18574, 0.233704, 0.250331, 0.286883, 0.301324, 0.321929, 0.384294, 0.432656, 0.458402, 0.477409, 0.501951, 0.522025, 0.546349, 0.580675, 0.616787, 0.644512, 0.699962, 0.757606, 0.775699");
-            values ( \
-              "-0.0695986, -0.216798, -0.297539, -0.30611, -0.309213, -0.313264, -0.313748, -0.313044, -0.310104, -0.300079, -0.294878, -0.276584, -0.264625, -0.241433, -0.158762, -0.105101, -0.0828145, -0.068983, -0.0541217, -0.0442204, -0.0344429, -0.0240297, -0.0164244, -0.0122064, -0.00662947, -0.00348757, -0.0030908" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106988");
-            index_3 ("0.0863865, 0.103867, 0.115401, 0.120889, 0.129736, 0.138114, 0.157333, 0.187609, 0.238847, 0.347126, 0.391821, 0.437117, 0.456584, 0.489622, 0.527505, 0.640032, 0.672663, 0.730308, 0.802374, 0.860018, 0.902513, 0.932256, 0.989901, 1.04397, 1.12278, 1.18043, 1.23807, 1.35336, 1.58394");
-            values ( \
-              "-0.249155, -0.26157, -0.30835, -0.318882, -0.324929, -0.327617, -0.329355, -0.328213, -0.32414, -0.31241, -0.305252, -0.294766, -0.288479, -0.274135, -0.250872, -0.168847, -0.146934, -0.112777, -0.0791107, -0.0586154, -0.0467766, -0.039854, -0.029008, -0.0214833, -0.0137867, -0.00994463, -0.00713989, -0.003679, -0.000967263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129854, 0.144148, 0.150971, 0.165339, 0.178241, 0.18448, 0.187124, 0.192285, 0.195555, 0.199509, 0.20605, 0.223036, 0.231527, 0.238797, 0.2462, 0.248952, 0.250749, 0.253984, 0.262394, 0.268596, 0.270677");
-            values ( \
-              "-0.00412278, -0.0417302, -0.0543776, -0.073506, -0.0890262, -0.0942556, -0.0949015, -0.0940668, -0.0915603, -0.0866209, -0.0727409, -0.0325063, -0.0195263, -0.0123196, -0.00754989, -0.00630823, -0.00747451, -0.00702511, -0.00394693, -0.002546, -0.00227175" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715779");
-            index_3 ("0.133271, 0.142495, 0.149051, 0.157541, 0.170959, 0.191761, 0.200607, 0.207492, 0.209071, 0.212229, 0.217859, 0.234607, 0.247805, 0.264621, 0.2722, 0.277097, 0.284717, 0.294877, 0.313828");
-            values ( \
-              "-0.00506164, -0.0508752, -0.0670334, -0.0848163, -0.107823, -0.139826, -0.151289, -0.153411, -0.152808, -0.149382, -0.137952, -0.0855238, -0.0498151, -0.0244721, -0.0166202, -0.0129481, -0.00875696, -0.0051395, -0.00184304" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.014074");
-            index_3 ("0.134617, 0.147971, 0.156593, 0.169578, 0.192307, 0.203643, 0.208675, 0.21315, 0.222099, 0.224908, 0.230525, 0.236474, 0.248091, 0.248952, 0.249179, 0.251285, 0.271474, 0.281844, 0.288282, 0.297939, 0.30601, 0.312867, 0.32055, 0.331472, 0.342827, 0.351524, 0.368919, 0.401092, 0.439154");
-            values ( \
-              "-0.000227759, -0.0757017, -0.100673, -0.127441, -0.171016, -0.194546, -0.203259, -0.209968, -0.218822, -0.220083, -0.22021, -0.216159, -0.193824, -0.191264, -0.19205, -0.188026, -0.1204, -0.0908113, -0.0755001, -0.0565172, -0.0439656, -0.035396, -0.0276435, -0.0193019, -0.0132811, -0.00994653, -0.00547175, -0.00164647, -0.000358137" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276731");
-            index_3 ("0.144616, 0.167458, 0.205552, 0.213661, 0.221569, 0.228414, 0.238091, 0.249024, 0.251384, 0.254701, 0.263033, 0.268291, 0.275302, 0.283331, 0.299389, 0.333818, 0.342895, 0.36105, 0.376988, 0.387823, 0.40189, 0.414037, 0.427103, 0.445719, 0.45386, 0.470142, 0.502707, 0.554499, 0.612144");
-            values ( \
-              "-0.0806357, -0.137885, -0.223664, -0.240115, -0.253172, -0.261929, -0.270756, -0.276262, -0.279276, -0.280353, -0.277167, -0.273856, -0.268338, -0.260023, -0.234246, -0.156256, -0.13698, -0.102967, -0.0787956, -0.0651768, -0.0505699, -0.0404495, -0.0316575, -0.0221666, -0.0189617, -0.0138204, -0.00717495, -0.0023402, -0.000647195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0544122");
-            index_3 ("0.144361, 0.164412, 0.20578, 0.222792, 0.232894, 0.253085, 0.25831, 0.27305, 0.300534, 0.332758, 0.347882, 0.368048, 0.379572, 0.393562, 0.409416, 0.468034, 0.5163, 0.556662, 0.586938, 0.613991, 0.650062, 0.673177, 0.699221, 0.733945, 0.791589, 0.849234, 0.860624");
-            values ( \
-              "-0.0561337, -0.139409, -0.240748, -0.275333, -0.289834, -0.309754, -0.311839, -0.310114, -0.305038, -0.296634, -0.291021, -0.280717, -0.272642, -0.25987, -0.241399, -0.163446, -0.108757, -0.0746654, -0.0554182, -0.0421893, -0.0289883, -0.0227561, -0.0172896, -0.0119049, -0.00630056, -0.00331201, -0.00307471" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106988");
-            index_3 ("0.15412, 0.177, 0.204438, 0.22152, 0.238547, 0.253594, 0.259539, 0.297714, 0.357201, 0.43519, 0.479883, 0.525176, 0.544646, 0.577684, 0.615566, 0.728094, 0.760726, 0.81837, 0.847144, 0.890431, 0.948076, 0.975701, 1.02032, 1.07797, 1.13203, 1.15829, 1.21082, 1.26847, 1.32611, 1.4414, 1.55669, 1.67198");
-            values ( \
-              "-0.160353, -0.176557, -0.246804, -0.284788, -0.310271, -0.326246, -0.328856, -0.326611, -0.321385, -0.312419, -0.305258, -0.294762, -0.288482, -0.274134, -0.250872, -0.168854, -0.146926, -0.112784, -0.0981674, -0.0791034, -0.0586261, -0.0506478, -0.0398432, -0.0290173, -0.021494, -0.0185566, -0.0137985, -0.00993587, -0.00715088, -0.00368956, -0.00189977, -0.000977535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.248012, 0.260651, 0.270789, 0.272243, 0.293621, 0.317124, 0.329149, 0.334106, 0.340111, 0.350819, 0.361581, 0.371207, 0.398222, 0.407922, 0.414978, 0.425536, 0.433196, 0.448518, 0.469745, 0.483064");
-            values ( \
-              "-0.00612986, -0.0146392, -0.0185158, -0.0205201, -0.036573, -0.0512214, -0.0578352, -0.0598382, -0.0613774, -0.0611404, -0.0603185, -0.0546344, -0.0202532, -0.0123086, -0.00842441, -0.00464446, -0.00306438, -0.00120446, -0.000398134, -0.000332263" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715779");
-            index_3 ("0.253777, 0.265861, 0.274572, 0.294641, 0.316527, 0.346922, 0.35704, 0.361854, 0.371483, 0.374405, 0.380249, 0.386822, 0.397156, 0.418779, 0.428071, 0.43484, 0.440809, 0.446919, 0.455832, 0.466706, 0.475372, 0.492702, 0.51922, 0.550142, 0.562002, 0.577869");
-            values ( \
-              "-0.0189244, -0.0237893, -0.0298976, -0.0497062, -0.0679281, -0.0915833, -0.0980402, -0.100624, -0.10394, -0.104424, -0.104323, -0.102252, -0.0912832, -0.051138, -0.0365448, -0.0280147, -0.0219401, -0.01693, -0.0114546, -0.00706637, -0.00476684, -0.00208715, -0.00053947, -0.000133645, -0.00123376, -0.000775456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.014074");
-            index_3 ("0.262701, 0.280247, 0.310179, 0.360695, 0.379507, 0.396102, 0.404136, 0.411745, 0.427274, 0.430004, 0.438854, 0.478189, 0.494094, 0.510446, 0.527619, 0.538132, 0.55015, 0.551053, 0.553783, 0.576371");
-            values ( \
-              "-0.0417804, -0.043369, -0.0759994, -0.124645, -0.145264, -0.15908, -0.162832, -0.163586, -0.154836, -0.151668, -0.13701, -0.0602103, -0.0389673, -0.0241115, -0.0141806, -0.0101881, -0.00691408, -0.00796822, -0.00820771, -0.00439126" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276731");
-            index_3 ("0.262573, 0.286615, 0.354017, 0.38453, 0.407646, 0.425652, 0.434166, 0.448381, 0.470361, 0.492323, 0.506096, 0.546133, 0.568219, 0.577491, 0.593697, 0.608116, 0.627342, 0.640579, 0.655409, 0.675182, 0.714728, 0.770295, 0.82794");
-            values ( \
-              "-0.025157, -0.0577697, -0.132406, -0.170039, -0.195305, -0.211494, -0.217878, -0.22598, -0.227779, -0.207696, -0.185061, -0.112047, -0.0806471, -0.0686469, -0.0512871, -0.039336, -0.0273222, -0.0212123, -0.0159451, -0.0108215, -0.00479782, -0.0014024, -0.00039206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0544122");
-            index_3 ("0.274989, 0.302893, 0.359593, 0.39454, 0.435628, 0.456207, 0.481414, 0.496162, 0.525659, 0.530897, 0.541371, 0.556707, 0.57401, 0.583836, 0.602846, 0.666515, 0.685967, 0.714252, 0.754117, 0.781679, 0.805143, 0.828672, 0.862381, 0.876916, 0.905985, 0.96363, 1.02127, 1.07892, 1.19421");
-            values ( \
-              "-0.0594682, -0.0810993, -0.148078, -0.194581, -0.237982, -0.255636, -0.272058, -0.278884, -0.285417, -0.285563, -0.285052, -0.282751, -0.271827, -0.263053, -0.241405, -0.157108, -0.133956, -0.104455, -0.0718621, -0.0547473, -0.0432231, -0.033937, -0.0238272, -0.0204489, -0.0150078, -0.00795306, -0.00419431, -0.00220318, -0.000605964" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106988");
-            index_3 ("0.29978, 0.349566, 0.362932, 0.387522, 0.409316, 0.420315, 0.432257, 0.447111, 0.462411, 0.47276, 0.4855, 0.498156, 0.514974, 0.523383, 0.530173, 0.536963, 0.543753, 0.555507, 0.571267, 0.600793, 0.627971, 0.643254, 0.651162, 0.674885, 0.689296, 0.703708, 0.73253, 0.739517, 0.75349, 0.773602, 0.787886, 0.810628, 0.868272, 0.923056, 0.955625, 0.984448, 0.998859, 1.02229, 1.0567, 1.08573, 1.11455, 1.12896, 1.14337, 1.18523, 1.19986, 1.21449, 1.24331, 1.27213, 1.30322, 1.32695");
-            values ( \
-              "-0.138144, -0.140473, -0.157616, -0.192192, -0.219283, -0.231907, -0.244677, -0.25921, -0.272995, -0.281637, -0.289073, -0.297274, -0.309426, -0.316039, -0.315879, -0.316332, -0.317396, -0.320461, -0.319342, -0.316098, -0.312552, -0.310303, -0.308949, -0.304442, -0.301376, -0.298062, -0.290692, -0.288481, -0.282685, -0.272709, -0.264384, -0.249865, -0.208613, -0.168057, -0.146492, -0.128931, -0.120688, -0.108103, -0.0906916, -0.0786204, -0.0679631, -0.0630866, -0.0585116, -0.0464355, -0.0428282, -0.0394961, -0.0339529, -0.0290461, -0.0245367, -0.0213118" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.493974, 0.528735, 0.541711, 0.561824, 0.568443, 0.600177, 0.623359, 0.64275, 0.652097, 0.660475, 0.669239, 0.677936, 0.695087, 0.711318, 0.715292, 0.749203, 0.764795, 0.77885, 0.788857, 0.800293, 0.823166, 0.854081, 0.894023, 0.947532, 1.17811, 1.21602, 1.23608");
-            values ( \
-              "-0.00559042, -0.00718214, -0.00911877, -0.0137052, -0.0160557, -0.0252768, -0.0314841, -0.0360674, -0.0372603, -0.0375431, -0.0375479, -0.03798, -0.0382492, -0.0364354, -0.0350406, -0.013978, -0.00755331, -0.00421761, -0.00282602, -0.00178435, -0.000758232, -0.000279829, -0.000133471, -4.55613e-05, -1e-22, -1.45195e-05, -0.000258808" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715779");
-            index_3 ("0.498692, 0.530575, 0.541053, 0.552393, 0.573958, 0.582355, 0.608253, 0.632892, 0.670639, 0.681831, 0.691454, 0.710191, 0.711403, 0.71868, 0.730607, 0.735057, 0.742359, 0.750133, 0.765192, 0.785961, 0.802125, 0.809673, 0.820634, 0.833414, 0.843501, 0.863676, 0.89598, 0.921206");
-            values ( \
-              "-0.00998292, -0.0115864, -0.0135537, -0.0163173, -0.0236156, -0.0273291, -0.0373593, -0.0463665, -0.0594567, -0.062334, -0.0636551, -0.0655928, -0.0659799, -0.0670623, -0.0677688, -0.0674633, -0.0660355, -0.0624128, -0.0495862, -0.0292972, -0.0176558, -0.0137132, -0.00934084, -0.00594856, -0.00413522, -0.00194981, -0.000568201, -0.000317636" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.014074");
-            index_3 ("0.509597, 0.55934, 0.589041, 0.614452, 0.702454, 0.729321, 0.740842, 0.759007, 0.764565, 0.775682, 0.787696, 0.809462, 0.817796, 0.851129, 0.86358, 0.87763, 0.885806, 0.902158, 0.910602, 0.924076, 0.942042, 0.977974, 1.02298, 1.07934, 1.19463, 1.21597, 1.23238");
-            values ( \
-              "-0.016088, -0.023996, -0.0362111, -0.0485124, -0.0878057, -0.100417, -0.104407, -0.108238, -0.109191, -0.109963, -0.109384, -0.0985302, -0.0903394, -0.0514932, -0.0394354, -0.0284041, -0.0233078, -0.0152802, -0.0122553, -0.00855218, -0.00524059, -0.00174703, -0.000459352, -7.90115e-05, -7.16443e-06, -4.65685e-05, -0.00071473" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276731");
-            index_3 ("0.522783, 0.575831, 0.604865, 0.700315, 0.745085, 0.782277, 0.815484, 0.846644, 0.877521, 0.908384, 0.952988, 0.973996, 1.00029, 1.02975, 1.05786, 1.07423, 1.10695, 1.16446, 1.21577, 1.23718, 1.26751");
-            values ( \
-              "-0.0266872, -0.0360251, -0.0494978, -0.0982634, -0.124275, -0.143187, -0.156559, -0.163492, -0.159351, -0.13553, -0.0839839, -0.0630992, -0.0424925, -0.0263636, -0.0162863, -0.0121993, -0.00668997, -0.00206152, -0.000741619, -0.00140701, -0.000915584" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0544122");
-            index_3 ("0.549233, 0.608203, 0.692593, 0.780662, 0.831043, 0.873509, 0.899925, 0.928492, 0.972323, 1.01612, 1.04402, 1.11044, 1.1455, 1.16284, 1.19753, 1.21587, 1.21844, 1.24573, 1.26512, 1.29385, 1.31773, 1.34958, 1.40722, 1.46487, 1.58016");
-            values ( \
-              "-0.0535272, -0.0556041, -0.100848, -0.153124, -0.179187, -0.199278, -0.21041, -0.2204, -0.227046, -0.211353, -0.188671, -0.124026, -0.0936142, -0.0805295, -0.0585058, -0.0490623, -0.0489141, -0.0373695, -0.0305891, -0.0226218, -0.0175863, -0.0125055, -0.00661647, -0.00348367, -0.00095953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106988");
-            index_3 ("0.572019, 0.64668, 0.788559, 0.920278, 0.956932, 1.01458, 1.06399, 1.10002, 1.15767, 1.18862, 1.2157, 1.23973, 1.35714, 1.45346, 1.52499, 1.57664, 1.62437, 1.66805, 1.7257, 1.79883, 1.85357, 1.91122, 2.02651, 2.09726");
-            values ( \
-              "-0.0766042, -0.0787618, -0.163479, -0.23098, -0.246965, -0.267896, -0.279963, -0.284409, -0.282612, -0.275566, -0.264783, -0.251032, -0.165451, -0.107036, -0.0750112, -0.057284, -0.0444158, -0.0350282, -0.0254488, -0.0169285, -0.0124186, -0.00893953, -0.00461623, -0.00324184" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0061755, 0.0061926, 0.0062096, 0.00622305, 0.00623198, 0.00623724", \
-            "0.00746691, 0.0074787, 0.00749288, 0.00750612, 0.00751598, 0.00752221", \
-            "0.00828623, 0.00828873, 0.00829374, 0.00830083, 0.00830776, 0.00831291", \
-            "0.00877953, 0.00877649, 0.0087737, 0.00877257, 0.00877356, 0.00877553", \
-            "0.00904109, 0.00903743, 0.00902944, 0.00902101, 0.00901425, 0.0090104", \
-            "0.00918306, 0.00917689, 0.00916811, 0.00915817, 0.00914734, 0.00913766" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00880082, 0.00881899, 0.00883881, 0.00885503, 0.00886565, 0.00887173", \
-            "0.00978827, 0.00977503, 0.00976594, 0.00976141, 0.00975937, 0.00975839", \
-            "0.0103591, 0.0103056, 0.010251, 0.010207, 0.0101762, 0.010158", \
-            "0.0110661, 0.0109354, 0.0108093, 0.0107046, 0.0106288, 0.0105805", \
-            "0.0125383, 0.0123071, 0.0120283, 0.0117881, 0.0116191, 0.0115055", \
-            "0.0142042, 0.0136009, 0.0130281, 0.0126962, 0.0124116, 0.012222" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.075173, 0.0912471, 0.121882, 0.180906, 0.295986, 0.521765", \
-            "0.0798891, 0.0959696, 0.126694, 0.185864, 0.30117, 0.527148", \
-            "0.0888719, 0.104875, 0.135652, 0.195038, 0.310558, 0.536792", \
-            "0.0990087, 0.115121, 0.146182, 0.205679, 0.321382, 0.547797", \
-            "0.106033, 0.121886, 0.152472, 0.212172, 0.328524, 0.555004", \
-            "0.101508, 0.118039, 0.148827, 0.208177, 0.324149, 0.55154" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0492874, 0.0709346, 0.113323, 0.195986, 0.357992, 0.676129", \
-            "0.0494546, 0.0711268, 0.113565, 0.196324, 0.358313, 0.67653", \
-            "0.0496956, 0.0712597, 0.113668, 0.196524, 0.358653, 0.676813", \
-            "0.0509839, 0.0722563, 0.114272, 0.196791, 0.358743, 0.676927", \
-            "0.0529095, 0.0733653, 0.114911, 0.197662, 0.35938, 0.67712", \
-            "0.0594005, 0.0782465, 0.117757, 0.19884, 0.360319, 0.677995" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0774893, 0.0881272, 0.107707, 0.144784, 0.216855, 0.358129", \
-            "0.0830219, 0.093668, 0.113278, 0.150427, 0.222543, 0.363849", \
-            "0.0966155, 0.107319, 0.127025, 0.164282, 0.236515, 0.377908", \
-            "0.122151, 0.133241, 0.153433, 0.190959, 0.263264, 0.404743", \
-            "0.162095, 0.173634, 0.194375, 0.232522, 0.30562, 0.44724", \
-            "0.227733, 0.241209, 0.263425, 0.302331, 0.375366, 0.517678" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0305526, 0.0429862, 0.0676257, 0.116717, 0.214101, 0.405731", \
-            "0.030608, 0.0430096, 0.0676545, 0.116736, 0.214127, 0.405767", \
-            "0.0308907, 0.0432259, 0.0677494, 0.116749, 0.214137, 0.40578", \
-            "0.0328308, 0.0449577, 0.068908, 0.117231, 0.214113, 0.405726", \
-            "0.0366443, 0.0481956, 0.0714682, 0.119383, 0.215534, 0.406213", \
-            "0.0447602, 0.0556565, 0.0771865, 0.122219, 0.2168, 0.407655" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0533787, 0.0556663, 0.0577499, 0.0610062, 0.0661586, 0.0672415, 0.0694072, 0.0796956, 0.088494, 0.0941564, 0.107516, 0.113137, 0.119324, 0.12925, 0.137512, 0.153888, 0.162884, 0.17472, 0.192319, 0.214667, 0.238504, 0.246279");
-            values ( \
-              "0.024495, 0.0905192, 0.0981131, 0.102931, 0.106932, 0.106172, 0.106239, 0.0998408, 0.0908536, 0.0819267, 0.052918, 0.0437451, 0.0360955, 0.0266329, 0.0207881, 0.0127796, 0.00979682, 0.00688532, 0.00405669, 0.00206752, 0.000979737, 0.000834586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715779");
-            index_3 ("0.0535724, 0.0605166, 0.0650998, 0.0696882, 0.0740405, 0.0814218, 0.0831685, 0.0866621, 0.102342, 0.109178, 0.113783, 0.126447, 0.133229, 0.140462, 0.146089, 0.152447, 0.160924, 0.172125, 0.18149, 0.193976, 0.204335, 0.217748, 0.234792, 0.242663, 0.254689, 0.270724, 0.302794, 0.351992");
-            values ( \
-              "0.0480163, 0.13774, 0.143299, 0.14516, 0.143459, 0.139895, 0.138243, 0.136193, 0.124134, 0.116773, 0.110304, 0.0867357, 0.074881, 0.0639552, 0.0567791, 0.0495217, 0.0411544, 0.0321239, 0.0260579, 0.019555, 0.0153898, 0.011223, 0.00744134, 0.006166, 0.00461391, 0.0031138, 0.00136834, 0.000337596" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.014074");
-            index_3 ("0.0535269, 0.0630909, 0.0670216, 0.0716096, 0.0787886, 0.11686, 0.142056, 0.151206, 0.186598, 0.209751, 0.239015, 0.269987, 0.303623, 0.327678, 0.35546, 0.418346");
-            values ( \
-              "0.0468068, 0.171126, 0.175737, 0.177319, 0.17559, 0.157405, 0.142999, 0.134752, 0.0907996, 0.0669967, 0.0439872, 0.0271969, 0.0156958, 0.0104169, 0.0064807, 0.00221722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276731");
-            index_3 ("0.0533436, 0.0630622, 0.0687798, 0.0706752, 0.0744659, 0.0815765, 0.108873, 0.162623, 0.188079, 0.218888, 0.233252, 0.300495, 0.332323, 0.364827, 0.387784, 0.403468, 0.442671, 0.486874, 0.516805, 0.551107, 0.596843, 0.672108, 0.700994");
-            values ( \
-              "0.013427, 0.191849, 0.198847, 0.200118, 0.200159, 0.198968, 0.189656, 0.175822, 0.16828, 0.155227, 0.146143, 0.0952675, 0.0742822, 0.0559087, 0.0452721, 0.0389504, 0.0263152, 0.0166248, 0.0120677, 0.00833217, 0.00501446, 0.00208232, 0.00175078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0544122");
-            index_3 ("0.0591286, 0.0591486, 0.127908, 0.201263, 0.289053, 0.344962, 0.404898, 0.536009, 0.621966, 0.698598, 0.752091, 0.816274, 0.874586, 0.981624, 1.05689, 1.13215, 1.16936");
-            values ( \
-              "1e-22, 0.218954, 0.20429, 0.194429, 0.181122, 0.169504, 0.148816, 0.0923919, 0.0610987, 0.0404041, 0.0297208, 0.0202865, 0.0141664, 0.00728107, 0.00448217, 0.00276617, 0.00241381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106988");
-            index_3 ("0.0588982, 0.0589182, 0.219062, 0.431163, 0.533353, 0.608619, 0.697819, 0.7636, 0.994383, 1.12849, 1.24656, 1.32182, 1.3953, 1.50401, 1.57928, 1.6335, 1.78403, 1.93456, 2.08509, 2.23562, 2.53668");
-            values ( \
-              "1e-22, 0.22211, 0.208405, 0.192959, 0.183992, 0.175055, 0.159633, 0.14533, 0.0906627, 0.0636282, 0.0451462, 0.0357306, 0.0283223, 0.0198712, 0.0155226, 0.0128871, 0.00770918, 0.00457896, 0.00270547, 0.00159085, 0.000539454" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0706568, 0.0762682, 0.0795571, 0.0849939, 0.0875243, 0.090898, 0.0944125, 0.098542, 0.107227, 0.112853, 0.121634, 0.127319, 0.133383, 0.139276, 0.146186, 0.155553, 0.166054, 0.174517, 0.18192, 0.190607, 0.202189, 0.217951, 0.228664, 0.250089, 0.287075, 0.331524");
-            values ( \
-              "0.0202834, 0.0966464, 0.102367, 0.106102, 0.105682, 0.104287, 0.102175, 0.09905, 0.0905077, 0.0817484, 0.0619842, 0.0508996, 0.041603, 0.0346521, 0.0280982, 0.0211546, 0.015561, 0.0120952, 0.00972349, 0.00751415, 0.00530621, 0.00331478, 0.00239925, 0.00123269, 0.000351803, 7.0867e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715779");
-            index_3 ("0.0706094, 0.0784602, 0.0818273, 0.0835835, 0.0870959, 0.0925786, 0.100233, 0.111315, 0.124243, 0.132451, 0.148495, 0.160103, 0.176289, 0.19557, 0.217431, 0.239317, 0.25507, 0.284121, 0.309573, 0.323269");
-            values ( \
-              "0.0122934, 0.134561, 0.140615, 0.142394, 0.143808, 0.143073, 0.138931, 0.131263, 0.120506, 0.110025, 0.0805384, 0.0625644, 0.0442471, 0.0289008, 0.0175265, 0.0105007, 0.00718783, 0.00356508, 0.0018849, 0.00148434" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.014074");
-            index_3 ("0.0706152, 0.0829892, 0.0887434, 0.0902443, 0.0989308, 0.135642, 0.151597, 0.160828, 0.170059, 0.20551, 0.216744, 0.241527, 0.258009, 0.271315, 0.289056, 0.303872, 0.322777, 0.346909, 0.374919, 0.397488, 0.442627, 0.465025");
-            values ( \
-              "0.0324712, 0.172278, 0.176475, 0.176589, 0.174304, 0.156933, 0.149017, 0.142771, 0.134522, 0.0906628, 0.0784329, 0.055883, 0.0439115, 0.035897, 0.027127, 0.0213686, 0.0156406, 0.010373, 0.00643146, 0.00433723, 0.00189875, 0.00143368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276731");
-            index_3 ("0.0758207, 0.0814781, 0.088241, 0.0909157, 0.0944819, 0.123656, 0.19086, 0.2082, 0.229442, 0.242481, 0.268558, 0.297358, 0.33167, 0.377776, 0.413677, 0.438701, 0.461387, 0.487974, 0.523424, 0.558797, 0.582717, 0.630557, 0.705822, 0.781087, 0.856353");
-            values ( \
-              "0.162198, 0.190404, 0.198329, 0.199095, 0.199168, 0.190138, 0.172812, 0.167619, 0.159186, 0.152237, 0.133815, 0.111393, 0.0868781, 0.0590118, 0.042389, 0.0331354, 0.0263721, 0.0200435, 0.0137925, 0.00939596, 0.00729294, 0.00423291, 0.0017974, 0.000696754, 0.000331202" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0544122");
-            index_3 ("0.0759733, 0.0863803, 0.0926704, 0.142043, 0.244302, 0.324944, 0.380866, 0.42417, 0.55538, 0.64131, 0.717648, 0.77144, 0.835823, 0.894162, 1.0012, 1.15173, 1.18111");
-            values ( \
-              "0.166565, 0.21, 0.213884, 0.204184, 0.190654, 0.178028, 0.164475, 0.148575, 0.0922341, 0.061001, 0.0404158, 0.0296806, 0.0202352, 0.0141437, 0.00726126, 0.00275693, 0.00248086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106988");
-            index_3 ("0.0814844, 0.0815044, 0.224143, 0.400417, 0.525832, 0.604893, 0.661444, 0.736709, 1.06957, 1.20677, 1.27034, 1.37947, 1.51439, 1.58966, 1.6772, 1.80123, 1.95176, 2.10229, 2.17154");
-            values ( \
-              "1e-22, 0.232929, 0.209165, 0.196687, 0.186467, 0.178158, 0.169875, 0.155688, 0.0786947, 0.0538985, 0.0444681, 0.0316682, 0.0204353, 0.0160411, 0.0118404, 0.00774093, 0.00458312, 0.00269237, 0.0023569" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.105417, 0.118879, 0.122433, 0.12546, 0.131512, 0.136034, 0.142973, 0.148705, 0.164721, 0.177491, 0.191144, 0.208501, 0.21859, 0.23056, 0.258792, 0.293741");
-            values ( \
-              "0.00223139, 0.0951474, 0.100608, 0.102882, 0.102679, 0.100245, 0.0947084, 0.088252, 0.0553516, 0.0364685, 0.0240164, 0.0144025, 0.0106738, 0.00749735, 0.00322425, 0.00120494" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715779");
-            index_3 ("0.11261, 0.11621, 0.118093, 0.123017, 0.127507, 0.132229, 0.139606, 0.145155, 0.151046, 0.16384, 0.172197, 0.191163, 0.196417, 0.205149, 0.215018, 0.228177, 0.240028, 0.248335, 0.263355, 0.270271, 0.284104, 0.296309, 0.312925, 0.335079, 0.3778, 0.427875");
-            values ( \
-              "0.115637, 0.116393, 0.12439, 0.136529, 0.140802, 0.141559, 0.138636, 0.13516, 0.131107, 0.120531, 0.109926, 0.0755381, 0.0674192, 0.0559508, 0.0452318, 0.0338075, 0.0260207, 0.0215386, 0.0152177, 0.0129398, 0.00931065, 0.00695171, 0.00465847, 0.00269237, 0.000851685, 0.000208135" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.014074");
-            index_3 ("0.113899, 0.120776, 0.12562, 0.129858, 0.136928, 0.144488, 0.182523, 0.199672, 0.209838, 0.23594, 0.25658, 0.281318, 0.297846, 0.311224, 0.329061, 0.343782, 0.362578, 0.386827, 0.415073, 0.437868, 0.483459, 0.511146");
-            values ( \
-              "0.13357, 0.159493, 0.169972, 0.173594, 0.174111, 0.171265, 0.153406, 0.143315, 0.134419, 0.101831, 0.0783511, 0.0558762, 0.0438854, 0.0358404, 0.0270465, 0.021341, 0.0156518, 0.010361, 0.00639932, 0.00430008, 0.00186522, 0.00129937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276731");
-            index_3 ("0.117172, 0.117192, 0.158885, 0.233163, 0.251477, 0.263266, 0.282648, 0.361619, 0.39817, 0.427553, 0.452447, 0.471328, 0.502292, 0.539398, 0.572996, 0.608027, 0.634871, 0.688559, 0.763824, 0.770397");
-            values ( \
-              "1e-22, 0.20767, 0.191502, 0.172066, 0.166358, 0.161925, 0.152186, 0.0938656, 0.0701547, 0.0541525, 0.0429703, 0.0357756, 0.0262281, 0.017852, 0.0124706, 0.00855982, 0.00638523, 0.0034908, 0.00144779, 0.00139542" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0544122");
-            index_3 ("0.119737, 0.119757, 0.189915, 0.29309, 0.353112, 0.394347, 0.424238, 0.482744, 0.580766, 0.637435, 0.719064, 0.766064, 0.810597, 0.866494, 0.930874, 1.0036, 1.06142, 1.21195, 1.24764");
-            values ( \
-              "1e-22, 0.230509, 0.202863, 0.189226, 0.179981, 0.1717, 0.163373, 0.140852, 0.0983695, 0.0760977, 0.0501054, 0.0385978, 0.0298861, 0.0214566, 0.0144522, 0.00921274, 0.00639579, 0.00242654, 0.00213086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106988");
-            index_3 ("0.12308, 0.1231, 0.261955, 0.437915, 0.56618, 0.645307, 0.701876, 0.777141, 1.11019, 1.24726, 1.31089, 1.42012, 1.55523, 1.6305, 1.71803, 1.84204, 2.1431, 2.16873");
-            values ( \
-              "1e-22, 0.237875, 0.209089, 0.196744, 0.186347, 0.178048, 0.169869, 0.155599, 0.0787063, 0.0538482, 0.0445096, 0.0317027, 0.0204636, 0.0159767, 0.0118787, 0.00778348, 0.00273932, 0.00261525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.177104, 0.199647, 0.202825, 0.209676, 0.216467, 0.223259, 0.223499, 0.223979, 0.224939, 0.22686, 0.2311, 0.238916, 0.240165, 0.240359, 0.240748, 0.241525, 0.243079, 0.246187, 0.248956, 0.251076, 0.253868, 0.25681, 0.262694, 0.268213, 0.275729, 0.277495, 0.281027, 0.288091, 0.297745, 0.300944, 0.307341, 0.320136, 0.328262, 0.331369, 0.337581, 0.350007, 0.374858, 0.396304");
-            values ( \
-              "0.000378329, 0.0899669, 0.0941193, 0.0974763, 0.0955497, 0.090876, 0.0906334, 0.0901372, 0.0891958, 0.0870546, 0.0808859, 0.064066, 0.0613818, 0.0609609, 0.06014, 0.0585212, 0.0554417, 0.0498235, 0.0455089, 0.0422478, 0.0382413, 0.0352312, 0.0295054, 0.0253112, 0.0201969, 0.0192974, 0.0173495, 0.0141352, 0.0105852, 0.00967279, 0.00797744, 0.0054514, 0.00426378, 0.00390124, 0.00322958, 0.00222644, 0.00101247, 0.000596535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715779");
-            index_3 ("0.177123, 0.206319, 0.209925, 0.217138, 0.229304, 0.239195, 0.245058, 0.279172, 0.294398, 0.314387, 0.32554, 0.338208, 0.349779, 0.365206, 0.379794, 0.403732, 0.43565, 0.455512");
-            values ( \
-              "0.0115274, 0.132159, 0.134392, 0.134787, 0.128841, 0.121944, 0.116309, 0.0614806, 0.0445245, 0.0286576, 0.0222684, 0.0166504, 0.0127218, 0.00875937, 0.00617339, 0.00343954, 0.001561, 0.00106269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.014074");
-            index_3 ("0.177171, 0.207406, 0.214055, 0.222587, 0.228614, 0.253953, 0.26351, 0.270665, 0.279123, 0.288525, 0.32403, 0.335269, 0.360069, 0.376576, 0.389911, 0.40769, 0.422505, 0.441418, 0.465606, 0.493745, 0.516433, 0.56181, 0.588773");
-            values ( \
-              "0.00442005, 0.163231, 0.16816, 0.167745, 0.165756, 0.154575, 0.151521, 0.148164, 0.142714, 0.134372, 0.0905388, 0.0783456, 0.055832, 0.0438553, 0.0358421, 0.0270846, 0.0213397, 0.0156222, 0.0103481, 0.00640405, 0.00431491, 0.00187798, 0.00132211" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276731");
-            index_3 ("0.177275, 0.213103, 0.219831, 0.228883, 0.246066, 0.251866, 0.255709, 0.259063, 0.265772, 0.273109, 0.284243, 0.301051, 0.329176, 0.344818, 0.361426, 0.393304, 0.420817, 0.440308, 0.457979, 0.478175, 0.506654, 0.530185, 0.548395, 0.573983, 0.595348, 0.618833, 0.652187, 0.666768, 0.686295, 0.71233, 0.764401, 0.839667, 0.914932, 0.990197");
-            values ( \
-              "0.015813, 0.190542, 0.192236, 0.19129, 0.186795, 0.184755, 0.184177, 0.184136, 0.183369, 0.182013, 0.179368, 0.175054, 0.166735, 0.160658, 0.152105, 0.12922, 0.108052, 0.0939037, 0.0819952, 0.0694209, 0.0540059, 0.0434039, 0.0363896, 0.0281806, 0.0226605, 0.0177347, 0.0124199, 0.010627, 0.00861101, 0.00648243, 0.00361346, 0.00149978, 0.000614231, 0.000257615" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0544122");
-            index_3 ("0.190379, 0.203822, 0.211472, 0.218468, 0.226794, 0.253241, 0.263367, 0.281902, 0.390553, 0.4366, 0.464516, 0.503222, 0.561392, 0.675624, 0.761269, 0.834412, 0.878746, 0.915508, 0.95642, 1.01442, 1.07371, 1.11897, 1.19424, 1.2695, 1.33066");
-            values ( \
-              "0.102191, 0.189492, 0.203636, 0.206764, 0.207401, 0.202507, 0.202761, 0.201168, 0.186532, 0.179136, 0.173658, 0.163409, 0.140942, 0.0918692, 0.0608368, 0.0410196, 0.0318378, 0.0256761, 0.020095, 0.0140749, 0.00975608, 0.00734202, 0.00453342, 0.00278915, 0.0020826" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106988");
-            index_3 ("0.199631, 0.199651, 0.336296, 0.510935, 0.645293, 0.724449, 0.781026, 0.856291, 0.902926, 1.1286, 1.18942, 1.26469, 1.32642, 1.39008, 1.46535, 1.49936, 1.56739, 1.63455, 1.70982, 1.79733, 1.92133, 2.07186, 2.2224, 2.37293, 2.67399");
-            values ( \
-              "1e-22, 0.230418, 0.209329, 0.197147, 0.186309, 0.178013, 0.169854, 0.155573, 0.145148, 0.0918057, 0.0786999, 0.0642195, 0.0538382, 0.0445171, 0.0353138, 0.0317074, 0.0255119, 0.0204657, 0.0159601, 0.0118858, 0.0077927, 0.00463874, 0.00275016, 0.00162601, 0.000564661" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.337088, 0.3467, 0.350888, 0.355328, 0.357053, 0.358778, 0.360504, 0.362229, 0.363955, 0.36568, 0.367047, 0.368414, 0.369808, 0.371201, 0.373236, 0.375271, 0.376628, 0.379341, 0.381264, 0.382192, 0.38312, 0.384975, 0.388018, 0.389033, 0.391022, 0.395001, 0.400808, 0.403627, 0.406445, 0.409806, 0.415948, 0.418356, 0.420764, 0.42558, 0.427988, 0.430396, 0.436116, 0.439775, 0.442371, 0.445733, 0.447415, 0.45186, 0.456305, 0.460322, 0.464338, 0.466451, 0.472789, 0.478865, 0.48494, 0.489726");
-            values ( \
-              "0.0511898, 0.0534964, 0.0637541, 0.0736499, 0.0767005, 0.0794585, 0.0819239, 0.0840967, 0.0859768, 0.0875643, 0.088546, 0.0893025, 0.0898828, 0.0902701, 0.0900021, 0.08964, 0.0893464, 0.0886337, 0.087661, 0.0870284, 0.0862896, 0.0844933, 0.0803929, 0.0788498, 0.0753646, 0.0677838, 0.055563, 0.0504402, 0.0459814, 0.0413546, 0.0338983, 0.0315005, 0.0292705, 0.0253907, 0.0236452, 0.0220292, 0.0186054, 0.0166055, 0.0153954, 0.0139851, 0.0133219, 0.0117381, 0.0102784, 0.00907399, 0.00801719, 0.0075568, 0.006303, 0.00529495, 0.00443369, 0.00385404" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715779");
-            index_3 ("0.333017, 0.360608, 0.366607, 0.376889, 0.386986, 0.401609, 0.436055, 0.454334, 0.474052, 0.497367, 0.516718, 0.531943, 0.560301, 0.589431");
-            values ( \
-              "0.0280051, 0.111649, 0.121947, 0.128608, 0.126966, 0.11726, 0.0625929, 0.0423523, 0.027439, 0.0161398, 0.0102824, 0.00715059, 0.00330646, 0.0020134" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.014074");
-            index_3 ("0.326526, 0.370376, 0.379208, 0.387153, 0.394826, 0.406323, 0.418966, 0.427607, 0.444594, 0.451622, 0.482771, 0.494111, 0.5044, 0.517331, 0.533946, 0.547394, 0.555794, 0.561773, 0.585244, 0.594079, 0.607987, 0.627495, 0.650734, 0.681719, 0.740812, 0.741989");
-            values ( \
-              "0.0103154, 0.156586, 0.162531, 0.162841, 0.161123, 0.157007, 0.151435, 0.147011, 0.13481, 0.126954, 0.0882251, 0.0764735, 0.0666852, 0.0557302, 0.0437294, 0.0357145, 0.0310532, 0.0282485, 0.0197451, 0.0171069, 0.0135695, 0.00974961, 0.00654966, 0.00378915, 0.00122044, 0.0012048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276731");
-            index_3 ("0.326666, 0.376059, 0.386286, 0.394532, 0.402666, 0.45425, 0.479954, 0.501971, 0.518644, 0.550144, 0.554643, 0.56364, 0.60046, 0.625168, 0.656694, 0.67689, 0.70244, 0.730755, 0.738516, 0.754038, 0.785081, 0.812187, 0.82432, 0.848587, 0.897122, 0.972387, 1.04765, 1.12292");
-            values ( \
-              "0.0170637, 0.184652, 0.18856, 0.188108, 0.18674, 0.174672, 0.167642, 0.15982, 0.151413, 0.12925, 0.124893, 0.1189, 0.0919436, 0.075809, 0.0576297, 0.0480256, 0.0376186, 0.0283662, 0.0262138, 0.0223759, 0.0161233, 0.0120998, 0.0105955, 0.00817675, 0.00473653, 0.00199625, 0.000793102, 0.000358631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0544122");
-            index_3 ("0.326623, 0.3749, 0.384538, 0.394589, 0.497741, 0.550037, 0.552223, 0.571557, 0.60807, 0.635017, 0.660918, 0.712718, 0.822254, 0.910266, 0.9553, 0.986355, 1.03455, 1.07649, 1.13241, 1.17748, 1.22697, 1.29295, 1.36822, 1.44348, 1.66928");
-            values ( \
-              "0.00563519, 0.197331, 0.203701, 0.204716, 0.19241, 0.185269, 0.184484, 0.182815, 0.176559, 0.17065, 0.163311, 0.143675, 0.0963192, 0.0635158, 0.0501915, 0.0423481, 0.0321455, 0.0251458, 0.0179537, 0.013597, 0.010006, 0.00660351, 0.00405945, 0.00251037, 0.000568778" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106988");
-            index_3 ("0.345183, 0.369569, 0.377814, 0.387292, 0.397138, 0.40882, 0.534864, 0.559898, 0.582177, 0.708045, 0.830269, 0.905534, 0.994896, 1.06073, 1.29198, 1.35075, 1.42601, 1.54389, 1.61916, 1.69322, 1.80694, 1.88221, 2.00704, 2.0823, 2.23283, 2.38337, 2.60916, 2.83496");
-            values ( \
-              "0.118235, 0.197841, 0.208519, 0.213522, 0.214053, 0.213734, 0.205385, 0.20398, 0.203378, 0.194163, 0.18371, 0.174979, 0.15958, 0.145144, 0.0905933, 0.0779971, 0.0636159, 0.0450691, 0.0357746, 0.0283015, 0.019545, 0.0152001, 0.00997252, 0.00772448, 0.00460277, 0.00273351, 0.00123653, 0.000569427" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.637795, 0.638966, 0.646109, 0.650495, 0.657136, 0.668807, 0.681841, 0.691042, 0.697788, 0.701057, 0.707593, 0.709518, 0.713367, 0.718009, 0.726585, 0.727675, 0.734211, 0.741293, 0.748443, 0.757977, 0.763601, 0.768493, 0.775016, 0.785817, 0.793778, 0.799445, 0.810777, 0.821718, 0.838052, 0.859831, 0.896697, 0.940929");
-            values ( \
-              "0.00648106, 0.00677349, 0.0118807, 0.016005, 0.024528, 0.0420378, 0.0584074, 0.0684101, 0.074199, 0.0761329, 0.0783526, 0.0783262, 0.077832, 0.0750697, 0.0623324, 0.0596016, 0.0478104, 0.0382985, 0.0308043, 0.0231621, 0.0196421, 0.0170188, 0.0140975, 0.0102481, 0.00817191, 0.00697158, 0.00501097, 0.00366997, 0.00229861, 0.00123008, 0.000368486, 9.72376e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715779");
-            index_3 ("0.638964, 0.65565, 0.675513, 0.691118, 0.701939, 0.703568, 0.706828, 0.713346, 0.718002, 0.72421, 0.729549, 0.736667, 0.742971, 0.752741, 0.761741, 0.771214, 0.782347, 0.795994, 0.800135, 0.810514, 0.822376, 0.833129, 0.849352, 0.863743, 0.881459, 0.90508, 0.947453, 0.997297");
-            values ( \
-              "0.00468416, 0.0309308, 0.0689698, 0.0956809, 0.109383, 0.110745, 0.113159, 0.115877, 0.116365, 0.115461, 0.113174, 0.107473, 0.0986114, 0.0815289, 0.0672846, 0.0550785, 0.0433634, 0.0320874, 0.0293496, 0.0233111, 0.017798, 0.0139497, 0.00958991, 0.00683606, 0.00450469, 0.00254662, 0.000834694, 0.000217098" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.014074");
-            index_3 ("0.639057, 0.695519, 0.705994, 0.719367, 0.730423, 0.739707, 0.7564, 0.768677, 0.787733, 0.812685, 0.846862, 0.877569, 0.905498, 0.939987, 0.980277, 1.01111, 1.04558");
-            values ( \
-              "0.00151041, 0.127802, 0.143086, 0.152021, 0.152698, 0.150965, 0.14516, 0.137769, 0.118238, 0.0876217, 0.0556267, 0.0353845, 0.0227836, 0.0129239, 0.00653107, 0.00380748, 0.0023014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276731");
-            index_3 ("0.6391, 0.70163, 0.713981, 0.729224, 0.738632, 0.758264, 0.778006, 0.801031, 0.816193, 0.846062, 0.869283, 0.912046, 0.963376, 0.993023, 1.01819, 1.03706, 1.06136, 1.08121, 1.10509, 1.15034, 1.17389, 1.23027, 1.3213");
-            values ( \
-              "0.0014463, 0.157967, 0.17369, 0.180229, 0.180508, 0.178209, 0.174427, 0.169014, 0.164713, 0.152325, 0.136564, 0.103933, 0.0700906, 0.054004, 0.0427965, 0.0356594, 0.027984, 0.0228674, 0.0178362, 0.0110133, 0.00854899, 0.00448384, 0.00188011" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0544122");
-            index_3 ("0.638994, 0.710652, 0.726213, 0.738495, 0.752532, 0.800689, 0.876726, 0.932072, 0.969591, 0.989613, 1.02966, 1.16109, 1.23229, 1.30878, 1.35646, 1.40164, 1.43267, 1.49473, 1.57416, 1.63711, 1.71238, 1.78764, 1.80584");
-            values ( \
-              "0.00517725, 0.185467, 0.196443, 0.198442, 0.198596, 0.194705, 0.185498, 0.176707, 0.168655, 0.162806, 0.148298, 0.0922036, 0.0659701, 0.0441618, 0.0336789, 0.0258937, 0.0215259, 0.0147106, 0.00899797, 0.00603991, 0.00372099, 0.00228984, 0.00214767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106988");
-            index_3 ("0.668965, 0.695449, 0.70678, 0.721341, 0.730506, 0.742727, 0.756737, 0.793429, 0.825155, 0.938552, 0.998334, 1.13218, 1.21173, 1.24696, 1.29309, 1.33819, 1.39014, 1.61728, 1.67756, 1.75282, 1.81189, 1.87623, 1.9515, 2.05678, 2.12319, 2.19845, 2.24844, 2.33146, 2.40672, 2.48199, 2.63252, 2.78305, 2.93358, 3.15937");
-            values ( \
-              "0.152757, 0.162691, 0.187253, 0.203301, 0.207064, 0.209196, 0.209719, 0.208916, 0.207555, 0.20065, 0.196456, 0.185847, 0.177611, 0.173196, 0.165553, 0.156645, 0.145169, 0.0914572, 0.0785284, 0.064037, 0.0541011, 0.044676, 0.0354197, 0.0253208, 0.0203827, 0.0158738, 0.0134299, 0.0101498, 0.00785004, 0.00606235, 0.00360361, 0.002136, 0.00126398, 0.000574088" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00526341, 0.00526402, 0.00526465, 0.00526517, 0.00526552, 0.00526573", \
-            "0.00731294, 0.00731306, 0.00731332, 0.00731365, 0.00731394, 0.00731413", \
-            "0.00897175, 0.00897141, 0.00897107, 0.00897084, 0.00897075, 0.00897074", \
-            "0.0100233, 0.010023, 0.0100227, 0.0100223, 0.010022, 0.0100218", \
-            "0.0105777, 0.0105775, 0.0105772, 0.0105769, 0.0105766, 0.0105763", \
-            "0.0108927, 0.0108926, 0.0108924, 0.0108922, 0.0108919, 0.0108917" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00912748, 0.00912672, 0.0091263, 0.00912611, 0.00912616, 0.00912623", \
-            "0.0107338, 0.0107316, 0.0107293, 0.0107274, 0.0107262, 0.0107255", \
-            "0.010532, 0.01053, 0.0105274, 0.0105248, 0.0105226, 0.0105212", \
-            "0.0105663, 0.0105753, 0.0105817, 0.0105889, 0.0105892, 0.0105917", \
-            "0.0102086, 0.0102124, 0.0102547, 0.0102711, 0.0102819, 0.0102873", \
-            "0.00990665, 0.00990564, 0.00990008, 0.00988957, 0.00991135, 0.00991923" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.062127, 0.0646207, 0.0695437, 0.0748724, 0.0777456, 0.0857779, 0.0890428, 0.0927006, 0.0958236, 0.108019, 0.11476, 0.121863, 0.127269, 0.136223, 0.148749, 0.159777, 0.162521");
-            values ( \
-              "-0.00809169, -0.0972544, -0.116648, -0.130169, -0.135327, -0.142768, -0.142447, -0.138106, -0.129613, -0.0729883, -0.0479822, -0.0299981, -0.0202629, -0.010583, -0.00457103, -0.00181567, -0.00167755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715779");
-            index_3 ("0.0600116, 0.0728061, 0.0787818, 0.0824046, 0.0866822, 0.0931901, 0.0996774, 0.106164, 0.107593, 0.112493, 0.126574, 0.133586, 0.142174, 0.148479, 0.154706, 0.159216, 0.169442, 0.175616, 0.187966, 0.204047");
-            values ( \
-              "-0.0315129, -0.170076, -0.185786, -0.191838, -0.196421, -0.199759, -0.197687, -0.187624, -0.183664, -0.166192, -0.102305, -0.0757193, -0.0509144, -0.0374043, -0.0274351, -0.0218279, -0.0128991, -0.00937094, -0.00485359, -0.00219504" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.014074");
-            index_3 ("0.0603489, 0.0721064, 0.0779626, 0.0858019, 0.0939023, 0.109028, 0.120805, 0.126103, 0.129892, 0.137471, 0.161387, 0.176903, 0.183963, 0.193375, 0.200724, 0.210134, 0.22268, 0.238233, 0.25084, 0.276053, 0.310662");
-            values ( \
-              "-0.00822491, -0.207475, -0.225264, -0.242228, -0.249141, -0.250812, -0.24334, -0.236737, -0.230307, -0.211959, -0.129341, -0.0849394, -0.0691093, -0.0518455, -0.0412047, -0.0305112, -0.0202035, -0.0120971, -0.007897, -0.00322681, -0.000841407" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276731");
-            index_3 ("0.0607659, 0.0764751, 0.0863451, 0.0934001, 0.101985, 0.114548, 0.134427, 0.146701, 0.156293, 0.176189, 0.184312, 0.195244, 0.229417, 0.255839, 0.280203, 0.30483, 0.318128, 0.336916, 0.371767, 0.402032, 0.432558");
-            values ( \
-              "-0.0475901, -0.253735, -0.275186, -0.283134, -0.287948, -0.289335, -0.285925, -0.281428, -0.276493, -0.258566, -0.24656, -0.224997, -0.147374, -0.0975533, -0.0641649, -0.0409848, -0.0319327, -0.0222882, -0.0113482, -0.00618229, -0.0037673" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0544122");
-            index_3 ("0.0610914, 0.0745948, 0.0850671, 0.0932062, 0.101184, 0.111763, 0.12215, 0.147988, 0.16377, 0.208568, 0.240185, 0.262454, 0.296932, 0.366601, 0.412394, 0.451688, 0.478556, 0.502773, 0.535063, 0.56202, 0.591683, 0.631233, 0.685921, 0.74061, 0.849988");
-            values ( \
-              "-0.0258423, -0.264336, -0.294611, -0.30532, -0.311157, -0.313955, -0.31488, -0.312735, -0.310203, -0.300977, -0.290295, -0.27826, -0.245422, -0.153472, -0.103517, -0.0716131, -0.0548666, -0.0429823, -0.0308211, -0.0231771, -0.0169396, -0.0111026, -0.00603342, -0.00332913, -0.00100199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106988");
-            index_3 ("0.0672091, 0.0894649, 0.103228, 0.110751, 0.128853, 0.167051, 0.228241, 0.307446, 0.362135, 0.384095, 0.434285, 0.485778, 0.505377, 0.635027, 0.688926, 0.721688, 0.773122, 0.827811, 0.857685, 0.892047, 0.936364, 0.991052, 1.02336, 1.06343, 1.1711, 1.28048, 1.38986, 1.55392");
-            values ( \
-              "-0.260336, -0.314229, -0.325567, -0.32826, -0.330074, -0.328381, -0.323297, -0.31464, -0.306822, -0.302519, -0.288673, -0.263582, -0.251, -0.156937, -0.123524, -0.105559, -0.0820823, -0.061667, -0.0526668, -0.0438069, -0.0346207, -0.0253752, -0.0211858, -0.0169114, -0.00912462, -0.00482434, -0.00251794, -0.00113805" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0805595, 0.0859405, 0.088937, 0.094301, 0.0992148, 0.103904, 0.108521, 0.113231, 0.116075, 0.126591, 0.133268, 0.140227, 0.145233, 0.151082, 0.156184, 0.163129, 0.17239, 0.183972");
-            values ( \
-              "-0.0187429, -0.103221, -0.115564, -0.128806, -0.138089, -0.141385, -0.142726, -0.135572, -0.126612, -0.0770875, -0.0512582, -0.0322448, -0.0227427, -0.0150206, -0.0104047, -0.00634026, -0.00323762, -0.00151674" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715779");
-            index_3 ("0.0802919, 0.088258, 0.092252, 0.0994656, 0.106168, 0.111653, 0.114758, 0.119164, 0.127072, 0.131911, 0.145144, 0.155372, 0.164464, 0.173699, 0.185756, 0.199257, 0.211017, 0.220042");
-            values ( \
-              "-0.00916228, -0.152809, -0.169914, -0.187771, -0.196041, -0.199398, -0.1995, -0.197374, -0.183624, -0.166471, -0.106179, -0.0683703, -0.0445244, -0.028179, -0.0152066, -0.00758509, -0.00406541, -0.00284476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.014074");
-            index_3 ("0.0845144, 0.0876943, 0.0915873, 0.0974673, 0.103347, 0.108205, 0.116824, 0.121681, 0.128557, 0.138675, 0.149431, 0.154863, 0.161168, 0.18109, 0.196341, 0.210202, 0.224989, 0.232691, 0.243623, 0.263798, 0.281309, 0.296137");
-            values ( \
-              "-0.13516, -0.184397, -0.206061, -0.22499, -0.238463, -0.24384, -0.250389, -0.25105, -0.250018, -0.245178, -0.230262, -0.217964, -0.198467, -0.12881, -0.0851879, -0.0564471, -0.0355021, -0.0276941, -0.0193348, -0.00990783, -0.00543354, -0.00368207" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276731");
-            index_3 ("0.0843583, 0.0903467, 0.0939714, 0.101565, 0.105951, 0.114722, 0.123572, 0.132267, 0.140812, 0.158047, 0.166654, 0.175923, 0.195821, 0.203916, 0.214882, 0.249064, 0.275486, 0.299844, 0.324486, 0.337785, 0.356574, 0.391431, 0.4217, 0.44967");
-            values ( \
-              "-0.107459, -0.225656, -0.243844, -0.266851, -0.274848, -0.284403, -0.288378, -0.289423, -0.288799, -0.284772, -0.281298, -0.276437, -0.258551, -0.246594, -0.224972, -0.147349, -0.0975386, -0.0641639, -0.0409743, -0.0319252, -0.0222824, -0.0113449, -0.00618007, -0.00396822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0544122");
-            index_3 ("0.0839714, 0.094285, 0.104761, 0.113202, 0.120885, 0.141847, 0.167702, 0.214952, 0.24801, 0.282182, 0.316664, 0.386342, 0.432135, 0.47143, 0.522523, 0.581778, 0.651, 0.732127");
-            values ( \
-              "-0.115536, -0.264699, -0.294761, -0.305169, -0.311302, -0.315023, -0.312872, -0.304477, -0.294918, -0.278235, -0.2454, -0.153457, -0.10351, -0.0716057, -0.0429784, -0.0231747, -0.0110979, -0.00533387" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106988");
-            index_3 ("0.0862962, 0.0961578, 0.107879, 0.119396, 0.129451, 0.139213, 0.166768, 0.207401, 0.266856, 0.327416, 0.38943, 0.428522, 0.454265, 0.505753, 0.655018, 0.704744, 0.740939, 0.803014, 0.857702, 0.885161, 0.92589, 0.966478, 1.02117, 1.08906, 1.14375, 1.19844, 1.30782, 1.4172, 1.58126");
-            values ( \
-              "-0.220786, -0.282786, -0.3124, -0.323871, -0.327538, -0.329719, -0.32971, -0.326828, -0.321533, -0.314867, -0.30538, -0.29658, -0.288437, -0.263786, -0.157105, -0.125773, -0.106115, -0.077913, -0.05869, -0.0507141, -0.0407519, -0.0326555, -0.0241018, -0.0164839, -0.0120908, -0.00885646, -0.00473574, -0.00252703, -0.000973677" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.122909, 0.131531, 0.13582, 0.138503, 0.143528, 0.148284, 0.152945, 0.157603, 0.160124, 0.171925, 0.178712, 0.18608, 0.194323, 0.202972, 0.21328, 0.22506, 0.225777");
-            values ( \
-              "-0.0123147, -0.104281, -0.118923, -0.125845, -0.135316, -0.140224, -0.141264, -0.135381, -0.127693, -0.073328, -0.0481907, -0.029333, -0.0164416, -0.00883903, -0.004256, -0.00179064, -0.00175803" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715779");
-            index_3 ("0.122855, 0.134497, 0.140157, 0.143756, 0.15056, 0.157128, 0.163649, 0.171509, 0.176103, 0.192102, 0.198852, 0.208438, 0.218581, 0.223432, 0.233488, 0.251954, 0.263878");
-            values ( \
-              "-0.0132584, -0.156323, -0.175837, -0.184267, -0.194523, -0.198351, -0.197068, -0.183519, -0.167631, -0.0960713, -0.0715976, -0.0456882, -0.027651, -0.0216207, -0.0128931, -0.00486867, -0.00288996" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.014074");
-            index_3 ("0.128385, 0.131632, 0.141727, 0.147757, 0.152593, 0.161051, 0.166551, 0.173027, 0.183164, 0.193915, 0.201618, 0.237099, 0.24997, 0.267165, 0.289014, 0.317058, 0.327783");
-            values ( \
-              "-0.137127, -0.175418, -0.221376, -0.235639, -0.242372, -0.249064, -0.250285, -0.24971, -0.244868, -0.230176, -0.211513, -0.094677, -0.0651441, -0.0382015, -0.0187803, -0.00731573, -0.00556632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276731");
-            index_3 ("0.128202, 0.134657, 0.138136, 0.14615, 0.150536, 0.158927, 0.168229, 0.17696, 0.185504, 0.200231, 0.2081, 0.218592, 0.224613, 0.231678, 0.239146, 0.254083, 0.29721, 0.313389, 0.322319, 0.336453, 0.352608, 0.372128, 0.384799, 0.402445, 0.409697, 0.424203, 0.453215, 0.502693, 0.557382");
-            values ( \
-              "-0.0898963, -0.219172, -0.237065, -0.26386, -0.272729, -0.282846, -0.287835, -0.289051, -0.288662, -0.285424, -0.282612, -0.277639, -0.273728, -0.267912, -0.260135, -0.236466, -0.140023, -0.108997, -0.0940985, -0.0739952, -0.0554249, -0.0387458, -0.0305659, -0.0217676, -0.0189732, -0.0142902, -0.008033, -0.00276243, -0.000851474" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0544122");
-            index_3 ("0.12813, 0.138769, 0.144818, 0.157288, 0.16558, 0.175965, 0.186482, 0.212437, 0.259686, 0.27295, 0.304942, 0.326916, 0.361406, 0.431114, 0.476881, 0.516159, 0.543036, 0.567271, 0.599584, 0.626527, 0.65618, 0.695716, 0.750405, 0.805094, 0.914471");
-            values ( \
-              "-0.109523, -0.259009, -0.280537, -0.303606, -0.310342, -0.313474, -0.314641, -0.312661, -0.304311, -0.300941, -0.290132, -0.278219, -0.24539, -0.153408, -0.103498, -0.0716117, -0.0548631, -0.0429729, -0.0308055, -0.0231713, -0.016938, -0.0111015, -0.00603508, -0.00332821, -0.00100092" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106988");
-            index_3 ("0.133209, 0.157072, 0.164152, 0.174931, 0.184353, 0.193588, 0.210744, 0.252196, 0.311655, 0.372216, 0.426905, 0.44889, 0.473329, 0.49907, 0.550553, 0.570168, 0.699827, 0.754516, 0.786635, 0.837248, 0.891937, 0.922472, 0.957766, 1.0018, 1.05649, 1.08823, 1.14292, 1.19761, 1.25229, 1.36167, 1.47105, 1.63512");
-            values ( \
-              "-0.301494, -0.316345, -0.32277, -0.327674, -0.329304, -0.32981, -0.32949, -0.326623, -0.321348, -0.314699, -0.306683, -0.302377, -0.296458, -0.288538, -0.26368, -0.250869, -0.157041, -0.122947, -0.105592, -0.0822429, -0.0619987, -0.0527841, -0.0437041, -0.034386, -0.0254016, -0.0212899, -0.0156465, -0.0114735, -0.00840076, -0.00448905, -0.00239279, -0.000928333" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.214194, 0.219391, 0.228214, 0.236498, 0.241677, 0.246671, 0.251656, 0.254168, 0.273128, 0.279222, 0.286717, 0.295483, 0.305442, 0.312378");
-            values ( \
-              "-0.0402043, -0.0682372, -0.102731, -0.122922, -0.129854, -0.133128, -0.128815, -0.12323, -0.0475604, -0.0318764, -0.0190422, -0.010268, -0.00511379, -0.00385693" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715779");
-            index_3 ("0.209327, 0.232439, 0.236564, 0.243955, 0.248961, 0.254364, 0.261154, 0.264648, 0.271621, 0.281548, 0.292824, 0.303666, 0.312808, 0.324935, 0.337999, 0.348574, 0.357059");
-            values ( \
-              "-0.0101352, -0.155376, -0.167385, -0.181446, -0.186979, -0.189709, -0.187727, -0.182027, -0.161232, -0.116708, -0.0732, -0.0443639, -0.0280798, -0.0150821, -0.00787278, -0.00435293, -0.00316577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.014074");
-            index_3 ("0.20964, 0.234313, 0.246119, 0.256943, 0.267401, 0.277805, 0.290534, 0.298424, 0.332245, 0.344551, 0.353439, 0.366735, 0.384424, 0.403644, 0.425611, 0.431118");
-            values ( \
-              "-0.00707288, -0.198425, -0.22784, -0.239464, -0.24433, -0.242161, -0.22539, -0.204383, -0.0933761, -0.0653208, -0.0497364, -0.0326869, -0.0183431, -0.00967572, -0.00449044, -0.00395223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276731");
-            index_3 ("0.209907, 0.240254, 0.248861, 0.259338, 0.268949, 0.280128, 0.294451, 0.315387, 0.335319, 0.343584, 0.354356, 0.388507, 0.414945, 0.439362, 0.463932, 0.477241, 0.496039, 0.530948, 0.561267, 0.593815");
-            values ( \
-              "-0.0204221, -0.245645, -0.264116, -0.276603, -0.283241, -0.285948, -0.284627, -0.276196, -0.258453, -0.246226, -0.224951, -0.14741, -0.0975617, -0.0641161, -0.0409986, -0.031938, -0.0222886, -0.0113367, -0.00616941, -0.00359998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0544122");
-            index_3 ("0.218115, 0.231382, 0.237535, 0.248852, 0.259979, 0.276136, 0.291714, 0.323129, 0.351777, 0.374362, 0.387722, 0.421856, 0.436682, 0.459286, 0.521935, 0.570163, 0.614407, 0.659636, 0.683858, 0.718101, 0.753916, 0.781381, 0.83607, 0.890759, 0.905406");
-            values ( \
-              "-0.0693338, -0.226445, -0.257969, -0.286426, -0.300055, -0.310575, -0.312883, -0.310038, -0.304826, -0.299136, -0.294916, -0.278192, -0.266523, -0.24145, -0.158435, -0.104982, -0.069237, -0.0441099, -0.0343918, -0.0240122, -0.0164627, -0.0122697, -0.00672197, -0.00366279, -0.00338858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106988");
-            index_3 ("0.225805, 0.248118, 0.258578, 0.268268, 0.27856, 0.288438, 0.305408, 0.323889, 0.376572, 0.406609, 0.478775, 0.529285, 0.5837, 0.594091, 0.614873, 0.656437, 0.778623, 0.812927, 0.867616, 0.896331, 0.93841, 0.993099, 1.0446, 1.08062, 1.13531, 1.17156, 1.24614, 1.30083, 1.35551, 1.46489, 1.57427, 1.73834");
-            values ( \
-              "-0.282244, -0.296178, -0.311875, -0.321041, -0.326075, -0.328188, -0.329043, -0.328274, -0.324141, -0.321354, -0.313216, -0.305274, -0.292043, -0.288498, -0.28011, -0.256665, -0.168043, -0.145123, -0.112858, -0.0982765, -0.0796819, -0.0600003, -0.0456465, -0.0375573, -0.0277793, -0.0227159, -0.014956, -0.0109652, -0.00802606, -0.00428744, -0.00228466, -0.000887432" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.394548, 0.396986, 0.409957, 0.425587, 0.431655, 0.437259, 0.442708, 0.448145, 0.457444, 0.464799, 0.471668, 0.478534, 0.487761, 0.499011, 0.508901, 0.511072");
-            values ( \
-              "-0.0237563, -0.0269196, -0.065444, -0.102718, -0.113109, -0.120448, -0.120642, -0.109957, -0.0721981, -0.0468685, -0.0300595, -0.0188638, -0.00995569, -0.00463726, -0.00236363, -0.00215007" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715779");
-            index_3 ("0.392848, 0.40537, 0.414598, 0.425115, 0.43369, 0.441393, 0.448709, 0.455994, 0.463795, 0.477885, 0.484756, 0.491931, 0.500846, 0.510104, 0.517317, 0.525146, 0.531196, 0.543297, 0.55974");
-            values ( \
-              "-0.00426705, -0.0710266, -0.105198, -0.139508, -0.161116, -0.173573, -0.178676, -0.175332, -0.154963, -0.0965826, -0.0724145, -0.052343, -0.0341417, -0.0215927, -0.0149722, -0.0100831, -0.00742463, -0.00393902, -0.00179929" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.014074");
-            index_3 ("0.389843, 0.429001, 0.435923, 0.447684, 0.458678, 0.469504, 0.48032, 0.487233, 0.49253, 0.515659, 0.530438, 0.542188, 0.551156, 0.558279, 0.565879, 0.580869, 0.589672, 0.607276, 0.631672");
-            values ( \
-              "-0.00351843, -0.186142, -0.205795, -0.225856, -0.234202, -0.234417, -0.224707, -0.210733, -0.195501, -0.118447, -0.0790357, -0.0557676, -0.0419509, -0.0333589, -0.0261792, -0.0162143, -0.0121952, -0.00675819, -0.00313957" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276731");
-            index_3 ("0.391606, 0.428641, 0.433392, 0.442894, 0.453321, 0.462951, 0.471595, 0.486839, 0.507612, 0.526425, 0.541695, 0.585218, 0.603536, 0.632324, 0.656938, 0.689048, 0.723958, 0.754277, 0.779726");
-            values ( \
-              "-0.0012726, -0.211525, -0.227897, -0.251548, -0.266482, -0.274276, -0.27744, -0.278443, -0.271812, -0.256861, -0.234172, -0.13924, -0.104774, -0.0641603, -0.0409971, -0.0222868, -0.01134, -0.00616725, -0.00416057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0544122");
-            index_3 ("0.390943, 0.441537, 0.450639, 0.46306, 0.483442, 0.499509, 0.515417, 0.572823, 0.596431, 0.614897, 0.649509, 0.721008, 0.767037, 0.793747, 0.824274, 0.856034, 0.878883, 0.926518, 0.954792, 1.00948, 1.09317");
-            values ( \
-              "-0.0177739, -0.269523, -0.285681, -0.298585, -0.306123, -0.30681, -0.305597, -0.295875, -0.288199, -0.278119, -0.245144, -0.151139, -0.101531, -0.0791082, -0.0586938, -0.0426645, -0.0337243, -0.020448, -0.0151397, -0.00830293, -0.00383737" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106988");
-            index_3 ("0.411031, 0.427965, 0.436577, 0.449341, 0.460476, 0.470783, 0.480249, 0.496214, 0.53989, 0.550173, 0.576832, 0.594411, 0.631848, 0.660343, 0.715032, 0.737018, 0.761457, 0.787198, 0.838681, 0.858297, 0.987958, 1.04265, 1.07477, 1.12538, 1.18007, 1.2106, 1.2459, 1.28993, 1.34462, 1.38942, 1.4678, 1.52249, 1.63186, 1.74124, 1.90531");
-            values ( \
-              "-0.221585, -0.233688, -0.268768, -0.297096, -0.309861, -0.317782, -0.320545, -0.323774, -0.322837, -0.321629, -0.322063, -0.321454, -0.318009, -0.314707, -0.306663, -0.302361, -0.296464, -0.288523, -0.263688, -0.250856, -0.157048, -0.122938, -0.1056, -0.0822353, -0.0620054, -0.0527903, -0.0437102, -0.0343809, -0.0254075, -0.0197948, -0.0127238, -0.00932857, -0.00498984, -0.00266252, -0.0010275" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.776244, 0.778314, 0.783562, 0.786304, 0.78983, 0.796149, 0.807543, 0.820878, 0.828739, 0.835802, 0.8424, 0.848971, 0.868298, 0.873774, 0.877724, 0.881192, 0.885219, 0.891075, 0.894017, 0.898398, 0.904238, 0.91592, 0.920573");
-            values ( \
-              "-0.0140342, -0.0153028, -0.019687, -0.0232461, -0.0287216, -0.0406941, -0.0588706, -0.0782738, -0.0887356, -0.0968364, -0.101667, -0.0981153, -0.0401374, -0.0285496, -0.0220139, -0.0175122, -0.0134342, -0.00902671, -0.00744271, -0.0056052, -0.00391772, -0.00185857, -0.00155567" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715779");
-            index_3 ("0.778729, 0.782043, 0.787467, 0.790083, 0.793571, 0.796755, 0.802941, 0.80634, 0.813137, 0.820301, 0.831299, 0.840766, 0.849377, 0.857583, 0.865786, 0.866462, 0.869167, 0.881426, 0.888274, 0.892256, 0.894372, 0.898591, 0.904086, 0.905718, 0.908984, 0.914096, 0.921461, 0.924786, 0.929357, 0.93545, 0.947637, 0.956399");
-            values ( \
-              "-0.0237988, -0.0271474, -0.035063, -0.0402303, -0.0480493, -0.0561059, -0.0707059, -0.0777045, -0.0922095, -0.106596, -0.128028, -0.144034, -0.155257, -0.158978, -0.146819, -0.145298, -0.136438, -0.0910533, -0.0689029, -0.0579514, -0.052711, -0.043413, -0.0334604, -0.0309488, -0.0264339, -0.0205872, -0.0142906, -0.0121373, -0.00969739, -0.00718219, -0.00390392, -0.00283209" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.014074");
-            index_3 ("0.77934, 0.790893, 0.844158, 0.85934, 0.871049, 0.882676, 0.894297, 0.930436, 0.945444, 0.959577, 0.978638, 0.999689, 1.018, 1.03848");
-            values ( \
-              "-0.0348886, -0.0534702, -0.190057, -0.212801, -0.220209, -0.215966, -0.193879, -0.0851888, -0.0549382, -0.0354969, -0.0192141, -0.0096172, -0.00518823, -0.0028699" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276731");
-            index_3 ("0.783105, 0.800496, 0.830236, 0.853824, 0.864875, 0.873618, 0.891102, 0.899789, 0.917214, 0.937677, 1.0114, 1.03581, 1.06056, 1.09272, 1.12756, 1.15225");
-            values ( \
-              "-0.0477895, -0.0866244, -0.180941, -0.238366, -0.254395, -0.262268, -0.270163, -0.270497, -0.265402, -0.24584, -0.0971665, -0.0640022, -0.0408352, -0.0222129, -0.0113686, -0.00712984" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0544122");
-            index_3 ("0.775487, 0.842176, 0.850242, 0.864084, 0.880445, 0.895249, 0.91798, 0.944449, 0.962145, 0.983407, 1.0166, 1.02514, 1.0555, 1.11787, 1.16644, 1.21158, 1.23312, 1.26069, 1.28352, 1.33065, 1.3584, 1.41309, 1.46778, 1.49496");
-            values ( \
-              "-0.00227283, -0.232772, -0.253843, -0.277203, -0.293531, -0.300292, -0.303504, -0.301457, -0.298141, -0.292239, -0.277243, -0.271209, -0.240371, -0.158611, -0.105003, -0.0687436, -0.0556527, -0.0423422, -0.0335359, -0.0204528, -0.0152177, -0.00835604, -0.00455735, -0.0035284" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106988");
-            index_3 ("0.775215, 0.8486, 0.862018, 0.877877, 0.889716, 0.905501, 0.924117, 0.94218, 0.970326, 1.05145, 1.12569, 1.18038, 1.21178, 1.26204, 1.38905, 1.47777, 1.5383, 1.59299, 1.66873, 1.72342, 1.77834, 1.8566, 1.91129, 2.07535, 2.10547");
-            values ( \
-              "-5.98528e-05, -0.262523, -0.288502, -0.306794, -0.314287, -0.319704, -0.322411, -0.322608, -0.321486, -0.314063, -0.303455, -0.290652, -0.278939, -0.250712, -0.158781, -0.105936, -0.0784803, -0.0590583, -0.0394011, -0.0291639, -0.0214982, -0.0138416, -0.0101442, -0.00396355, -0.0036173" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00531581, 0.00531707, 0.00531841, 0.00531953, 0.0053203, 0.00532077", \
-            "0.00658648, 0.00658674, 0.00658719, 0.0065877, 0.00658811, 0.0065884", \
-            "0.00739567, 0.00739557, 0.00739553, 0.00739549, 0.00739558, 0.00739559", \
-            "0.00787062, 0.00787043, 0.00787025, 0.0078701, 0.00787059, 0.00787051", \
-            "0.00813115, 0.00813105, 0.00813088, 0.0081307, 0.00813054, 0.00813041", \
-            "0.0082765, 0.00827645, 0.00827637, 0.00827626, 0.00827614, 0.00827604" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00765118, 0.00765162, 0.00765241, 0.00765333, 0.0076541, 0.00765462", \
-            "0.00874017, 0.00873864, 0.00873722, 0.00873613, 0.00873557, 0.00873532", \
-            "0.00952871, 0.00952497, 0.00952077, 0.00951622, 0.00951327, 0.0095114", \
-            "0.0103538, 0.0103525, 0.010349, 0.0103447, 0.0103416, 0.010339", \
-            "0.0112506, 0.011292, 0.0113277, 0.0113533, 0.011373, 0.0113816", \
-            "0.0122101, 0.0121966, 0.0121596, 0.0121497, 0.0122036, 0.0122242" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "~A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "!A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0760509, 0.0922372, 0.12326, 0.183397, 0.300919, 0.531521", \
-            "0.0815776, 0.097863, 0.129043, 0.189335, 0.306968, 0.537652", \
-            "0.0946619, 0.110955, 0.142214, 0.202659, 0.320464, 0.551298", \
-            "0.122179, 0.139731, 0.171242, 0.231768, 0.3498, 0.580798", \
-            "0.167022, 0.190526, 0.230162, 0.296217, 0.414616, 0.645959", \
-            "0.24172, 0.274696, 0.329272, 0.416295, 0.555588, 0.79032" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.065312, 0.0874407, 0.130455, 0.214388, 0.379512, 0.703987", \
-            "0.065358, 0.0874629, 0.130464, 0.214388, 0.379512, 0.703993", \
-            "0.0659353, 0.0877305, 0.130516, 0.214405, 0.379487, 0.703977", \
-            "0.0744711, 0.0943803, 0.13409, 0.214896, 0.379432, 0.703978", \
-            "0.101569, 0.122253, 0.158665, 0.229635, 0.383033, 0.703988", \
-            "0.150166, 0.174824, 0.218906, 0.291908, 0.424696, 0.717637" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.052061, 0.06262, 0.0823205, 0.119963, 0.192654, 0.334273", \
-            "0.0555673, 0.0662599, 0.0860576, 0.123776, 0.196529, 0.338197", \
-            "0.0633705, 0.0742911, 0.0943016, 0.132215, 0.205118, 0.346885", \
-            "0.0775087, 0.0905777, 0.112646, 0.151463, 0.224793, 0.366884", \
-            "0.0914392, 0.109551, 0.139362, 0.18815, 0.268267, 0.411425", \
-            "0.0923835, 0.118858, 0.16136, 0.230266, 0.337796, 0.505476" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0428088, 0.0560359, 0.0820535, 0.133234, 0.231502, 0.422596", \
-            "0.0426436, 0.0558717, 0.081945, 0.13305, 0.231373, 0.422561", \
-            "0.0439235, 0.0564333, 0.0817982, 0.132885, 0.231463, 0.422554", \
-            "0.0552256, 0.0669074, 0.0895673, 0.136986, 0.23177, 0.422551", \
-            "0.0801171, 0.0938777, 0.119386, 0.165178, 0.248368, 0.426078", \
-            "0.122983, 0.141614, 0.17354, 0.230201, 0.318251, 0.473301" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0407473, 0.0453262, 0.0463712, 0.0482172, 0.0537221, 0.0578751, 0.0583456, 0.064271, 0.0741906, 0.0823847, 0.101288, 0.104912, 0.1175, 0.125973, 0.139493, 0.152143, 0.159506, 0.169332, 0.17907, 0.192053, 0.204484, 0.225553, 0.253645, 0.291289, 0.338349");
-            values ( \
-              "0.0150864, 0.0876233, 0.0899628, 0.0898469, 0.0790793, 0.0736351, 0.0738948, 0.0701062, 0.0660406, 0.0640077, 0.0614646, 0.0593107, 0.0432774, 0.0344121, 0.0236541, 0.0167165, 0.0135077, 0.0102257, 0.00771778, 0.00522801, 0.00362914, 0.00193924, 0.000837817, 0.000235283, 7.94412e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715779");
-            index_3 ("0.041091, 0.0451039, 0.047513, 0.0491507, 0.0530706, 0.0568985, 0.0604604, 0.0645471, 0.0705129, 0.0834615, 0.117828, 0.129549, 0.132779, 0.149341, 0.152063, 0.157506, 0.167094, 0.179749, 0.182956, 0.18726, 0.200453, 0.214222, 0.231328, 0.247209, 0.258168, 0.279183, 0.307203, 0.353247, 0.409838");
-            values ( \
-              "0.00270413, 0.125932, 0.134264, 0.132598, 0.121727, 0.113721, 0.109443, 0.106378, 0.102514, 0.0978303, 0.0907537, 0.0841379, 0.0791436, 0.0577513, 0.0554894, 0.0492644, 0.0410487, 0.0310037, 0.0294565, 0.0265683, 0.0198554, 0.0145416, 0.00978948, 0.00684159, 0.00520581, 0.00315499, 0.00166643, 0.000451313, 0.000165729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.014074");
-            index_3 ("0.0427253, 0.0465034, 0.0487571, 0.0544491, 0.0584496, 0.0668244, 0.0734944, 0.0824896, 0.0888159, 0.114793, 0.13485, 0.155711, 0.171891, 0.204516, 0.222346, 0.242724, 0.263296, 0.291435, 0.304938, 0.320428, 0.342506, 0.366371, 0.384823, 0.421727, 0.486604, 0.563572");
-            values ( \
-              "0.0946608, 0.173574, 0.177964, 0.160281, 0.151643, 0.142381, 0.138251, 0.134956, 0.133429, 0.128653, 0.124181, 0.118629, 0.109897, 0.0761006, 0.0609542, 0.0455915, 0.0337924, 0.0218081, 0.0175841, 0.0138022, 0.00945344, 0.00637136, 0.004747, 0.00244972, 0.00078732, 0.000140048" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276731");
-            index_3 ("0.0453197, 0.0453397, 0.0919845, 0.0998368, 0.125274, 0.141051, 0.194022, 0.225156, 0.237854, 0.251584, 0.322157, 0.337649, 0.368631, 0.387333, 0.407892, 0.435303, 0.464268, 0.480608, 0.513288, 0.544415, 0.592247, 0.656022, 0.739434, 0.906257");
-            values ( \
-              "1e-22, 0.207308, 0.164724, 0.164096, 0.160983, 0.158562, 0.148991, 0.141658, 0.137632, 0.131437, 0.0845267, 0.0750355, 0.0578709, 0.0490885, 0.0406229, 0.0312563, 0.0234628, 0.0199202, 0.0141991, 0.0102686, 0.00619011, 0.00308327, 0.00118032, 0.000179348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0544122");
-            index_3 ("0.0438758, 0.052575, 0.0600633, 0.0666191, 0.0780111, 0.0849979, 0.0922436, 0.129126, 0.169758, 0.274015, 0.312577, 0.351842, 0.373674, 0.41734, 0.441052, 0.55208, 0.589008, 0.639373, 0.679632, 0.710563, 0.772074, 0.79908, 0.840557, 0.899875, 0.925648, 0.977194, 1.06061, 1.14402, 1.22743, 1.31084, 1.47766");
-            values ( \
-              "0.222791, 0.227865, 0.206284, 0.197865, 0.190593, 0.188822, 0.187912, 0.185596, 0.181784, 0.170327, 0.165332, 0.159145, 0.154856, 0.143096, 0.134253, 0.089381, 0.0757205, 0.0592114, 0.0480924, 0.0406961, 0.0288271, 0.0246863, 0.0193545, 0.0135674, 0.0116136, 0.00848688, 0.00503932, 0.00299086, 0.00176465, 0.00105063, 0.000374347" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106988");
-            index_3 ("0.0515828, 0.0516028, 0.185467, 0.382945, 0.519087, 0.591046, 0.656502, 0.735756, 0.782133, 1.00728, 1.15368, 1.27785, 1.39205, 1.45362, 1.60876, 1.75027, 1.83368, 2.08391, 2.21578");
-            values ( \
-              "1e-22, 0.238155, 0.198593, 0.186775, 0.177263, 0.171004, 0.163573, 0.151086, 0.14185, 0.0909613, 0.0624124, 0.0438442, 0.0309944, 0.0254622, 0.0154248, 0.00967094, 0.00740069, 0.00311939, 0.00243898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0601182, 0.0632313, 0.0653404, 0.0662452, 0.0674516, 0.0774535, 0.0778046, 0.0827198, 0.0938137, 0.101889, 0.107121, 0.120614, 0.124036, 0.13687, 0.150122, 0.159319, 0.167785, 0.178899, 0.188786, 0.198662, 0.211831, 0.224006, 0.244683, 0.272253, 0.309847, 0.356588");
-            values ( \
-              "0.0087482, 0.0755986, 0.0852453, 0.0869817, 0.0873993, 0.0729138, 0.0733397, 0.0704893, 0.0660213, 0.0640455, 0.0631215, 0.0615132, 0.0596298, 0.0434184, 0.0303247, 0.0234625, 0.0185796, 0.0135644, 0.0102279, 0.00768756, 0.00519532, 0.00363539, 0.00196896, 0.000854462, 0.000250289, 7.41566e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715779");
-            index_3 ("0.0600841, 0.064345, 0.0660493, 0.0674229, 0.069901, 0.0725419, 0.077432, 0.0841091, 0.0899356, 0.0966718, 0.103044, 0.137411, 0.149084, 0.152361, 0.169017, 0.171646, 0.176904, 0.186563, 0.199281, 0.206909, 0.220138, 0.233803, 0.250855, 0.266754, 0.277757, 0.298878, 0.327039, 0.373816, 0.430401");
-            values ( \
-              "0.00280047, 0.118325, 0.127664, 0.130111, 0.126791, 0.120026, 0.111125, 0.105947, 0.102548, 0.0997948, 0.0978732, 0.0907398, 0.0841945, 0.0791399, 0.0576515, 0.0554747, 0.0494661, 0.0411255, 0.0310558, 0.0265586, 0.0198394, 0.0145716, 0.00983143, 0.00681887, 0.0052336, 0.00317475, 0.00162771, 0.00046538, 0.00013424" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.014074");
-            index_3 ("0.0601395, 0.0660615, 0.0684481, 0.0779619, 0.0862541, 0.103021, 0.134647, 0.175553, 0.191593, 0.224372, 0.262596, 0.283136, 0.311286, 0.340288, 0.362362, 0.404648, 0.441522, 0.464867");
-            values ( \
-              "0.0042839, 0.166981, 0.173546, 0.150565, 0.142177, 0.134768, 0.128684, 0.11863, 0.110002, 0.0761217, 0.0455927, 0.033805, 0.0218128, 0.0138014, 0.00945424, 0.00475276, 0.00244969, 0.00206922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276731");
-            index_3 ("0.0634418, 0.0684012, 0.0796784, 0.096642, 0.111996, 0.160744, 0.213941, 0.245285, 0.257384, 0.271302, 0.342086, 0.388577, 0.427795, 0.455177, 0.484209, 0.533344, 0.564347, 0.611997, 0.675531, 0.745256");
-            values ( \
-              "0.193706, 0.208205, 0.181593, 0.168136, 0.164776, 0.158533, 0.148925, 0.141598, 0.137774, 0.131554, 0.0845267, 0.0578624, 0.0406329, 0.0312713, 0.0234604, 0.0141808, 0.0102687, 0.00620264, 0.00309718, 0.00150029" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0544122");
-            index_3 ("0.0657739, 0.0657939, 0.14881, 0.189989, 0.27326, 0.312969, 0.33262, 0.371923, 0.393717, 0.437306, 0.461094, 0.572024, 0.608816, 0.65936, 0.699873, 0.731032, 0.778725, 0.81891, 0.860462, 0.919838, 0.945684, 0.997376, 1.08079, 1.1642, 1.24761, 1.33102, 1.49785");
-            values ( \
-              "1e-22, 0.214785, 0.185665, 0.181801, 0.172861, 0.167968, 0.165332, 0.159138, 0.154856, 0.143121, 0.134254, 0.0894187, 0.0758049, 0.059227, 0.0480424, 0.0406008, 0.0311098, 0.0247178, 0.0193701, 0.0135745, 0.0116137, 0.00848004, 0.00503448, 0.00298872, 0.00176265, 0.00105017, 0.000374477" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106988");
-            index_3 ("0.0704575, 0.0704775, 0.237543, 0.397618, 0.53938, 0.611341, 0.676797, 0.757643, 0.868329, 1.02752, 1.09175, 1.17396, 1.23164, 1.29816, 1.41235, 1.47389, 1.54563, 1.62904, 1.71895, 1.85399, 2.02081, 2.18763, 2.35446, 2.60469");
-            values ( \
-              "1e-22, 0.22829, 0.196877, 0.187217, 0.177348, 0.171096, 0.163477, 0.150891, 0.127148, 0.0910641, 0.0776651, 0.0625036, 0.0531924, 0.0437571, 0.0309109, 0.0255479, 0.020259, 0.0155079, 0.0114947, 0.0073238, 0.00416509, 0.00235586, 0.00132573, 0.000610687" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0993004, 0.103429, 0.107027, 0.109472, 0.111882, 0.114807, 0.121124, 0.125561, 0.130796, 0.137662, 0.140736, 0.145761, 0.150989, 0.163809, 0.1669, 0.187121, 0.203589, 0.222267, 0.239683, 0.25269, 0.264043, 0.277855, 0.296271, 0.324014");
-            values ( \
-              "0.0164987, 0.0470125, 0.0618507, 0.068506, 0.0712142, 0.0726174, 0.0681503, 0.0682642, 0.0666194, 0.0659191, 0.0645663, 0.0642252, 0.0627805, 0.0616537, 0.0603574, 0.036535, 0.0232683, 0.01381, 0.00834742, 0.00570886, 0.00409465, 0.00272957, 0.00156329, 0.000729898" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715779");
-            index_3 ("0.0992258, 0.104938, 0.109213, 0.114309, 0.123436, 0.15363, 0.181219, 0.192916, 0.212785, 0.230438, 0.243124, 0.250693, 0.263903, 0.277616, 0.294691, 0.310578, 0.3426, 0.370665, 0.416675, 0.473193");
-            values ( \
-              "0.0121402, 0.0781539, 0.10077, 0.108549, 0.103135, 0.0961502, 0.0906348, 0.0842404, 0.0576313, 0.041124, 0.0309942, 0.026576, 0.0198615, 0.0145734, 0.00982825, 0.00681748, 0.00318544, 0.0016322, 0.000483077, 0.000133983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.014074");
-            index_3 ("0.0993429, 0.107354, 0.110714, 0.114941, 0.121883, 0.132146, 0.192898, 0.219489, 0.23605, 0.268294, 0.30646, 0.32711, 0.368683, 0.416286, 0.485523, 0.50167");
-            values ( \
-              "0.00714178, 0.119526, 0.139234, 0.144947, 0.140548, 0.137862, 0.125522, 0.118489, 0.109767, 0.0759321, 0.0454802, 0.0336535, 0.0174771, 0.0079107, 0.00233812, 0.00207456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276731");
-            index_3 ("0.103604, 0.110571, 0.115753, 0.122684, 0.141348, 0.188757, 0.226209, 0.272846, 0.302852, 0.321803, 0.386419, 0.434441, 0.488936, 0.534001, 0.593712, 0.660304, 0.718319, 0.76361");
-            values ( \
-              "0.11851, 0.16771, 0.17587, 0.171174, 0.167046, 0.160987, 0.154826, 0.145755, 0.137257, 0.127938, 0.0842599, 0.0568603, 0.0346537, 0.0220855, 0.0118955, 0.00599399, 0.00309905, 0.00210748" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0544122");
-            index_3 ("0.108289, 0.108309, 0.201457, 0.279658, 0.35338, 0.437953, 0.466432, 0.505222, 0.62832, 0.709834, 0.754797, 0.806183, 0.870502, 0.967083, 1.03613, 1.11954, 1.20295, 1.28636, 1.32032");
-            values ( \
-              "1e-22, 0.205575, 0.184854, 0.177037, 0.168469, 0.154837, 0.147781, 0.134362, 0.0847391, 0.057341, 0.0454278, 0.0341033, 0.0236133, 0.0132702, 0.00871275, 0.00527685, 0.00303107, 0.001889, 0.0016767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106988");
-            index_3 ("0.113396, 0.113416, 0.29035, 0.397177, 0.540068, 0.607778, 0.691189, 0.721304, 0.779558, 0.846741, 1.07268, 1.13663, 1.21854, 1.27576, 1.34239, 1.4258, 1.51869, 1.59029, 1.6737, 1.73757, 1.81465, 1.98148, 2.1483, 2.31512, 2.64877");
-            values ( \
-              "1e-22, 0.223764, 0.196298, 0.189948, 0.18058, 0.17533, 0.167283, 0.163502, 0.154857, 0.141817, 0.0908497, 0.0776248, 0.0624236, 0.0531865, 0.0438341, 0.0340235, 0.0254651, 0.0202959, 0.0154432, 0.0125255, 0.0097067, 0.00554294, 0.00314671, 0.00177868, 0.000559755" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.166324, 0.20419, 0.218481, 0.234691, 0.246695, 0.254141, 0.26057, 0.264896, 0.283278, 0.297705, 0.313928, 0.323705, 0.337207, 0.359177, 0.389685, 0.416902, 0.418236");
-            values ( \
-              "0.00347267, 0.0505475, 0.0526062, 0.0538666, 0.0553702, 0.0579323, 0.0591598, 0.0576841, 0.0374044, 0.0253247, 0.0162075, 0.0122307, 0.00833263, 0.0043711, 0.0017688, 0.000778521, 0.000767566" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715779");
-            index_3 ("0.175439, 0.197931, 0.201509, 0.206846, 0.21239, 0.218288, 0.224833, 0.248427, 0.251279, 0.259146, 0.264214, 0.271357, 0.278398, 0.289382, 0.293405, 0.310862, 0.312741, 0.316499, 0.324014, 0.338465, 0.350735, 0.359437, 0.371304, 0.382034, 0.392783, 0.408345, 0.425002, 0.437867, 0.463597, 0.509591, 0.564231");
-            values ( \
-              "0.0204716, 0.0708308, 0.0737693, 0.0756234, 0.0781784, 0.0793147, 0.0801592, 0.0849718, 0.086992, 0.0897203, 0.0907549, 0.0910195, 0.090322, 0.0846495, 0.0788625, 0.0568031, 0.055355, 0.0509889, 0.0442385, 0.0323439, 0.0249958, 0.0207646, 0.0157652, 0.0123469, 0.00972913, 0.00665959, 0.00452195, 0.00338287, 0.00177898, 0.000576222, 0.000116125" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.014074");
-            index_3 ("0.175377, 0.192977, 0.198842, 0.216648, 0.230725, 0.248904, 0.253142, 0.260475, 0.266134, 0.275602, 0.285556, 0.295719, 0.317881, 0.325491, 0.335638, 0.360628, 0.367918, 0.39276, 0.409207, 0.421959, 0.438961, 0.452578, 0.46365, 0.478413, 0.502119, 0.518792, 0.529791, 0.551789, 0.595784, 0.662204, 0.743801");
-            values ( \
-              "0.0172678, 0.0816729, 0.0960221, 0.107071, 0.110414, 0.117419, 0.121401, 0.125363, 0.127073, 0.127558, 0.1262, 0.124016, 0.118167, 0.114861, 0.106745, 0.080726, 0.0740046, 0.0533749, 0.0423106, 0.0350693, 0.0269848, 0.0218369, 0.0183074, 0.014383, 0.00973679, 0.00736994, 0.00615549, 0.00423202, 0.00197521, 0.00056159, 0.000137203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276731");
-            index_3 ("0.17744, 0.193476, 0.198951, 0.213736, 0.2489, 0.260613, 0.268394, 0.27727, 0.290107, 0.323451, 0.356272, 0.390695, 0.416709, 0.483409, 0.529708, 0.569375, 0.613395, 0.641307, 0.673206, 0.705537, 0.755128, 0.821248, 0.898165");
-            values ( \
-              "0.0238997, 0.100419, 0.115356, 0.128047, 0.145494, 0.156098, 0.159768, 0.160863, 0.160168, 0.154882, 0.148722, 0.140382, 0.129497, 0.0844688, 0.0578952, 0.0404861, 0.026418, 0.0200837, 0.0143573, 0.0102437, 0.00604345, 0.00298682, 0.00123518" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0544122");
-            index_3 ("0.187577, 0.229904, 0.261076, 0.269069, 0.279042, 0.306837, 0.356895, 0.472816, 0.52786, 0.550909, 0.602999, 0.725632, 0.80735, 0.853471, 0.906181, 0.965642, 1.00678, 1.08772, 1.21891, 1.36009");
-            values ( \
-              "0.133752, 0.152556, 0.178998, 0.18356, 0.18545, 0.184124, 0.179199, 0.165577, 0.156505, 0.15134, 0.134245, 0.0849631, 0.0574738, 0.0451211, 0.0337378, 0.0240343, 0.0188725, 0.0116095, 0.00515842, 0.00232213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106988");
-            index_3 ("0.19602, 0.251515, 0.265252, 0.274194, 0.28571, 0.318174, 0.429554, 0.637458, 0.705194, 0.788606, 0.81872, 0.876971, 0.944154, 1.1701, 1.31596, 1.43981, 1.52322, 1.6161, 1.6877, 1.77111, 1.83498, 1.91207, 2.0789, 2.24572, 2.41254, 2.74619");
-            values ( \
-              "0.182338, 0.183094, 0.196676, 0.200186, 0.201286, 0.2002, 0.193887, 0.180546, 0.175367, 0.16724, 0.163563, 0.154804, 0.141861, 0.0908095, 0.062384, 0.0438709, 0.0339876, 0.025431, 0.0203302, 0.01541, 0.0124955, 0.00967808, 0.00551509, 0.00311956, 0.00175222, 0.000534667" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.320773, 0.338727, 0.381711, 0.389837, 0.406089, 0.408558, 0.413494, 0.422186, 0.425789, 0.432995, 0.442099, 0.456187, 0.457427, 0.459907, 0.464867, 0.472531, 0.483629, 0.498035, 0.518153, 0.535036, 0.550142, 0.55462, 0.583615, 0.596822, 0.611917, 0.642106, 0.68282, 0.733374");
-            values ( \
-              "0.00259324, 0.0120161, 0.0307172, 0.033115, 0.0363609, 0.0373449, 0.0375304, 0.038708, 0.0387114, 0.0401737, 0.0413519, 0.0442221, 0.0441471, 0.0445649, 0.043961, 0.0404862, 0.0334387, 0.0257311, 0.0167695, 0.0112331, 0.00761326, 0.00729991, 0.00369041, 0.00254936, 0.00164287, 0.000656459, 0.000183479, 4.66015e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715779");
-            index_3 ("0.321245, 0.37642, 0.388419, 0.40939, 0.425342, 0.460819, 0.475276, 0.484055, 0.49794, 0.522965, 0.550383, 0.58511, 0.60728, 0.630208, 0.658671, 0.689031, 0.699916");
-            values ( \
-              "0.00362926, 0.0432573, 0.0499152, 0.0558612, 0.0584259, 0.0678591, 0.0711405, 0.0721653, 0.0699761, 0.0495319, 0.0311653, 0.0166735, 0.0101313, 0.00594015, 0.00304635, 0.0014542, 0.00121973" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.014074");
-            index_3 ("0.337462, 0.36343, 0.37774, 0.3872, 0.38958, 0.394338, 0.412597, 0.424114, 0.434806, 0.470616, 0.480754, 0.505348, 0.513982, 0.529093, 0.546298, 0.553468, 0.569012, 0.597925, 0.617985, 0.628307, 0.651792, 0.667511, 0.680527, 0.696135, 0.718296, 0.742473, 0.761193, 0.798633, 0.863526, 0.94104");
-            values ( \
-              "0.0360138, 0.043092, 0.0615524, 0.0663965, 0.0662598, 0.0678047, 0.0767078, 0.0789714, 0.0822317, 0.0969479, 0.10029, 0.105645, 0.106751, 0.107372, 0.103025, 0.0983217, 0.0859898, 0.06092, 0.0461333, 0.0398103, 0.0279537, 0.0218301, 0.0177452, 0.0137804, 0.00954014, 0.00639442, 0.00467161, 0.00244771, 0.000735316, 0.000174736" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276731");
-            index_3 ("0.337696, 0.359868, 0.376068, 0.382937, 0.389864, 0.412539, 0.435105, 0.47718, 0.494598, 0.514207, 0.537197, 0.558481, 0.569269, 0.576114, 0.58524, 0.603493, 0.621156, 0.641343, 0.690879, 0.728447, 0.754817, 0.772072, 0.801752, 0.826417, 0.846408, 0.8712, 0.906195, 0.929342, 0.972982, 1.03117, 1.11139");
-            values ( \
-              "0.0422261, 0.0477014, 0.0737882, 0.0786038, 0.0787131, 0.0930824, 0.100637, 0.123371, 0.131104, 0.137381, 0.142178, 0.145957, 0.147142, 0.146866, 0.145489, 0.141144, 0.134875, 0.123448, 0.0894989, 0.0667553, 0.0532157, 0.0455429, 0.0344349, 0.0271266, 0.022231, 0.0172094, 0.0120127, 0.00938437, 0.00590761, 0.00316582, 0.00126733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0544122");
-            index_3 ("0.337563, 0.373659, 0.380272, 0.387059, 0.394265, 0.410135, 0.43155, 0.483486, 0.502871, 0.518576, 0.550168, 0.563266, 0.56946, 0.576091, 0.589352, 0.610305, 0.674984, 0.719288, 0.750911, 0.795722, 0.940812, 0.992639, 1.02258, 1.06903, 1.12211, 1.18019, 1.22156, 1.27884, 1.30298, 1.35124, 1.43466, 1.51807, 1.60148, 1.7683, 1.85171");
-            values ( \
-              "0.0289773, 0.079625, 0.0857814, 0.0866068, 0.0904298, 0.103044, 0.111891, 0.144617, 0.154613, 0.161143, 0.171029, 0.176195, 0.177363, 0.177868, 0.177176, 0.175111, 0.167366, 0.160894, 0.154815, 0.142735, 0.085051, 0.0666583, 0.057532, 0.045027, 0.0336453, 0.0241569, 0.0189085, 0.0134503, 0.0115923, 0.00867722, 0.00512489, 0.00306997, 0.00178375, 0.000614375, 0.000398525" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106988");
-            index_3 ("0.360256, 0.42174, 0.43262, 0.492385, 0.508741, 0.559605, 0.57173, 0.58278, 0.604879, 0.748751, 0.897116, 0.969089, 1.03454, 1.11424, 1.16017, 1.38529, 1.44951, 1.5317, 1.58936, 1.65588, 1.73929, 1.83164, 1.90336, 1.98677, 2.07666, 2.12827, 2.21168, 2.29509, 2.37851, 2.54533, 2.71215, 2.96239");
-            values ( \
-              "0.106309, 0.116121, 0.120431, 0.161742, 0.170668, 0.192351, 0.19604, 0.197056, 0.196378, 0.187583, 0.177293, 0.171038, 0.163537, 0.15104, 0.141813, 0.0910045, 0.0777125, 0.062452, 0.0531475, 0.0438071, 0.0340105, 0.0255013, 0.0203049, 0.0154627, 0.011538, 0.00970724, 0.00736646, 0.005548, 0.00420681, 0.00239683, 0.00136607, 0.000571335" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.638993, 0.675015, 0.696138, 0.721845, 0.729947, 0.770211, 0.797931, 0.814129, 0.825819, 0.849719, 0.872443, 0.892083, 0.894824, 0.909298, 0.958172, 0.983477, 1.00438, 1.03335, 1.05245, 1.0779, 1.1217, 1.21592, 1.23839, 1.2662, 1.29171, 1.34274");
-            values ( \
-              "0.00528628, 0.00544121, 0.00730748, 0.0108087, 0.012645, 0.0199005, 0.0234424, 0.0259995, 0.0268471, 0.0280458, 0.0296496, 0.0289464, 0.0285737, 0.0253153, 0.0126823, 0.00787994, 0.00511981, 0.00265426, 0.00168265, 0.000999243, 0.000233504, 1e-22, 0.000314788, 0.000290859, 0.000119426, 2.94895e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715779");
-            index_3 ("0.639121, 0.670017, 0.674843, 0.7038, 0.723647, 0.74385, 0.77369, 0.78879, 0.82319, 0.843181, 0.854162, 0.905148, 0.916776, 0.932123, 0.938593, 0.996791, 1.01665, 1.04258, 1.0549, 1.06907, 1.08953, 1.11575, 1.13686, 1.17907, 1.21604, 1.23767, 1.26054");
-            values ( \
-              "0.00797286, 0.0087109, 0.00896219, 0.0124493, 0.0167206, 0.0221861, 0.031117, 0.0343385, 0.0404979, 0.0418636, 0.0428508, 0.050692, 0.0518444, 0.0499206, 0.0479599, 0.0253187, 0.0188671, 0.0122191, 0.00980128, 0.00752605, 0.00504343, 0.00298353, 0.00192456, 0.000751588, 0.000341685, 0.000711565, 0.000665575" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.014074");
-            index_3 ("0.636087, 0.68096, 0.712139, 0.723718, 0.746876, 0.769406, 0.774956, 0.796795, 0.818977, 0.825752, 0.846734, 0.863439, 0.9373, 0.968386, 0.974352, 0.981171, 0.994808, 1.00075, 1.01264, 1.07902, 1.10617, 1.13871, 1.1629, 1.19055, 1.21594, 1.23534, 1.26771, 1.29246, 1.34054, 1.41331");
-            values ( \
-              "0.00876992, 0.0138132, 0.019555, 0.0226806, 0.0305631, 0.0421367, 0.0437859, 0.0480043, 0.0540101, 0.0551669, 0.0571901, 0.0598659, 0.0772934, 0.0815078, 0.0818379, 0.0816748, 0.0789087, 0.0765862, 0.0716558, 0.0396918, 0.0288092, 0.018662, 0.0132449, 0.00865295, 0.00587296, 0.00524176, 0.00327885, 0.00216928, 0.000952551, 0.000248763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276731");
-            index_3 ("0.649514, 0.698996, 0.726532, 0.742009, 0.765199, 0.777778, 0.788274, 0.82633, 0.848062, 0.871151, 0.930234, 0.979484, 1.02438, 1.06728, 1.10347, 1.12693, 1.2159, 1.27364, 1.30137, 1.32797, 1.36345, 1.38831, 1.41642, 1.45389, 1.52884, 1.55982");
-            values ( \
-              "0.018533, 0.021751, 0.0293762, 0.0352952, 0.0517879, 0.0540993, 0.0551077, 0.0675993, 0.070346, 0.075225, 0.0940413, 0.107415, 0.115007, 0.117138, 0.110889, 0.101133, 0.0578516, 0.0361698, 0.0276473, 0.0212114, 0.0147186, 0.0113799, 0.00848141, 0.00568607, 0.00246553, 0.00190324" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0544122");
-            index_3 ("0.681034, 0.746141, 0.758574, 0.769857, 0.77988, 0.824432, 0.852095, 0.875337, 0.984491, 1.03053, 1.0988, 1.16332, 1.21557, 1.22711, 1.25019, 1.27116, 1.29468, 1.41803, 1.49937, 1.54336, 1.59363, 1.66201, 1.70164, 1.77951, 1.82409, 1.9075, 1.99092, 2.07433, 2.15774, 2.32456");
-            values ( \
-              "0.039378, 0.0446831, 0.0566762, 0.0602501, 0.0593484, 0.0754912, 0.0804916, 0.0863595, 0.125566, 0.137588, 0.149373, 0.154053, 0.153284, 0.152666, 0.149137, 0.143021, 0.134176, 0.0846903, 0.0573693, 0.0455589, 0.0345443, 0.0233796, 0.0185337, 0.011617, 0.00884432, 0.00527155, 0.00311132, 0.00185391, 0.00108528, 0.000378867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106988");
-            index_3 ("0.708128, 0.796257, 0.833648, 0.857216, 0.874635, 0.938538, 1.00248, 1.07418, 1.13518, 1.18622, 1.23495, 1.2592, 1.34993, 1.39831, 1.48172, 1.51182, 1.57007, 1.63726, 1.86319, 1.92714, 2.00905, 2.06627, 2.1329, 2.21631, 2.30919, 2.38079, 2.4642, 2.52806, 2.60515, 2.77197, 2.9388, 3.10562, 3.43927");
-            values ( \
-              "0.0681215, 0.0702425, 0.0834319, 0.0873966, 0.0922905, 0.118278, 0.142121, 0.161985, 0.173514, 0.180553, 0.185097, 0.185491, 0.179154, 0.175351, 0.167256, 0.163542, 0.154817, 0.141858, 0.0908162, 0.0776593, 0.0623911, 0.053157, 0.043865, 0.0339946, 0.025438, 0.0203245, 0.0154167, 0.0125019, 0.0096844, 0.00552093, 0.00312511, 0.00175755, 0.000539662" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00530196, 0.00530698, 0.00531193, 0.00531598, 0.00531876, 0.00532035", \
-            "0.00740162, 0.00741085, 0.0074212, 0.00743045, 0.00743712, 0.00744136", \
-            "0.0090966, 0.00910652, 0.00911979, 0.00913378, 0.00914533, 0.00915308", \
-            "0.0101738, 0.0101769, 0.0101831, 0.0101925, 0.0102025, 0.0102107", \
-            "0.0107986, 0.0107813, 0.0107605, 0.0107441, 0.0107342, 0.0107303", \
-            "0.011211, 0.0111984, 0.0111775, 0.0111445, 0.011104, 0.0110704" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00930835, 0.00931961, 0.00933206, 0.00934296, 0.00935064, 0.00935542", \
-            "0.011053, 0.0110581, 0.0110681, 0.0110803, 0.0110905, 0.0110973", \
-            "0.010956, 0.0109067, 0.0108502, 0.0107989, 0.0107564, 0.0107349", \
-            "0.011028, 0.0109478, 0.0108315, 0.0107101, 0.0106081, 0.0105333", \
-            "0.0110003, 0.0108584, 0.0105457, 0.0104427, 0.0103391, 0.0102532", \
-            "0.0105145, 0.0105327, 0.01055, 0.0103757, 0.0100139, 0.00992606" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0257977, 0.0281608, 0.0300784, 0.0310048, 0.0375595, 0.0412133, 0.0486667, 0.0524575, 0.0564434, 0.0698005, 0.0762407, 0.100197, 0.113745, 0.128281, 0.134261");
-            values ( \
-              "-0.0237131, -0.0873344, -0.0894006, -0.0887629, -0.0920341, -0.0911064, -0.0849058, -0.0837373, -0.085202, -0.108491, -0.096765, -0.0295567, -0.012898, -0.00538804, -0.00423716" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715779");
-            index_3 ("0.0269674, 0.0291084, 0.031913, 0.0398351, 0.0435841, 0.0548478, 0.059702, 0.0648729, 0.0698961, 0.0742114, 0.0825154, 0.0861597, 0.0898618, 0.106564, 0.119923, 0.134885, 0.14085, 0.15457, 0.170132, 0.187918, 0.199492");
-            values ( \
-              "-0.105431, -0.135638, -0.139853, -0.147093, -0.144296, -0.130454, -0.127363, -0.129245, -0.137297, -0.148975, -0.159788, -0.154771, -0.145855, -0.0876151, -0.0506457, -0.0257519, -0.0195573, -0.0103593, -0.00514765, -0.0023487, -0.00167199" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.014074");
-            index_3 ("0.0268344, 0.0302968, 0.0403545, 0.0443707, 0.0483879, 0.0567715, 0.0610116, 0.0720752, 0.0803107, 0.100275, 0.106106, 0.117769, 0.147686, 0.163882, 0.186555, 0.208193, 0.226419, 0.263982, 0.273245");
-            values ( \
-              "-0.103203, -0.189661, -0.210393, -0.210277, -0.207809, -0.195451, -0.183227, -0.174519, -0.177244, -0.208258, -0.208223, -0.18856, -0.100297, -0.0642052, -0.032685, -0.0166684, -0.00948507, -0.00291769, -0.00263038" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276731");
-            index_3 ("0.0286814, 0.0377492, 0.0431226, 0.048283, 0.0541751, 0.0682986, 0.079199, 0.0878641, 0.0987781, 0.110283, 0.127812, 0.131496, 0.138866, 0.151619, 0.172998, 0.205067, 0.23472, 0.262263, 0.278618, 0.296702, 0.327637, 0.349334, 0.372394, 0.417761, 0.446844");
-            values ( \
-              "-0.260809, -0.265559, -0.277262, -0.281836, -0.273527, -0.234636, -0.223761, -0.221374, -0.221148, -0.225379, -0.240927, -0.245795, -0.249567, -0.247313, -0.217654, -0.149082, -0.0950732, -0.0597102, -0.0446423, -0.0321301, -0.0180289, -0.0121363, -0.00776465, -0.00328861, -0.00214711" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0544122");
-            index_3 ("0.0281341, 0.0384521, 0.0445699, 0.0480992, 0.0525833, 0.0636152, 0.0778487, 0.0936583, 0.118435, 0.149448, 0.167977, 0.204107, 0.239779, 0.275406, 0.278125, 0.351344, 0.395716, 0.430627, 0.467868, 0.484553, 0.517925, 0.558881, 0.584861, 0.636821, 0.696059, 0.755297, 0.873774, 0.933012");
-            values ( \
-              "-0.241496, -0.31349, -0.336606, -0.344155, -0.342079, -0.30661, -0.273752, -0.265359, -0.262682, -0.263068, -0.265697, -0.276495, -0.273379, -0.239943, -0.238658, -0.143931, -0.0979259, -0.0707131, -0.0490045, -0.0418608, -0.0293699, -0.0191572, -0.0149454, -0.00827575, -0.00466568, -0.00219999, -0.000530672, -0.000587293" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.106988");
-            index_3 ("0.0282511, 0.0382433, 0.0514783, 0.0748054, 0.0845159, 0.0950372, 0.107411, 0.143144, 0.273634, 0.345895, 0.398431, 0.411769, 0.438445, 0.483194, 0.622208, 0.6741, 0.74426, 0.83875, 0.910657, 0.969895, 1.02303, 1.11313, 1.23161, 1.35008, 1.35465");
-            values ( \
-              "-0.25894, -0.342039, -0.389817, -0.319744, -0.306205, -0.301183, -0.298449, -0.296214, -0.292034, -0.295983, -0.289824, -0.28538, -0.275634, -0.249276, -0.150437, -0.119306, -0.0849324, -0.0518836, -0.0351941, -0.0255947, -0.0188813, -0.0113543, -0.00576454, -0.00290596, -0.00286365" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0416391, 0.0446687, 0.0535618, 0.0569178, 0.0588967, 0.0662326, 0.0699705, 0.0739338, 0.0870258, 0.0898179, 0.0930089, 0.107051, 0.117879, 0.125595, 0.131701, 0.13868, 0.145405, 0.157759, 0.174232, 0.19601, 0.197931");
-            values ( \
-              "-0.0238163, -0.0628379, -0.0913271, -0.0935534, -0.0928409, -0.0860199, -0.0842822, -0.0857678, -0.107953, -0.105314, -0.0987542, -0.0542142, -0.0292734, -0.0183039, -0.0125635, -0.00821482, -0.00553973, -0.0027865, -0.00115294, -0.000492549, -0.000488703" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715779");
-            index_3 ("0.0415361, 0.0457416, 0.0541389, 0.0585247, 0.0723938, 0.0771868, 0.0823643, 0.0996106, 0.105393, 0.139593, 0.154817, 0.17457, 0.189106");
-            values ( \
-              "-0.0203134, -0.102431, -0.142629, -0.147895, -0.131171, -0.128241, -0.129646, -0.159886, -0.151084, -0.045907, -0.0229471, -0.00917018, -0.00513498" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.014074");
-            index_3 ("0.0415686, 0.0474776, 0.0537395, 0.0590236, 0.0669516, 0.0788773, 0.0897351, 0.098052, 0.11153, 0.123899, 0.136723, 0.160523, 0.182917, 0.205601, 0.226779, 0.254607, 0.279283, 0.280245");
-            values ( \
-              "-0.0194397, -0.152211, -0.198959, -0.211209, -0.207627, -0.184852, -0.175389, -0.177674, -0.200594, -0.209833, -0.185675, -0.113619, -0.0619203, -0.03146, -0.0162983, -0.00698239, -0.00318042, -0.00314766" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276731");
-            index_3 ("0.0439262, 0.0498686, 0.0536245, 0.0574615, 0.0602265, 0.0651473, 0.0682147, 0.0719621, 0.0838151, 0.0872502, 0.0993227, 0.116169, 0.128062, 0.146227, 0.149273, 0.155366, 0.167552, 0.172915, 0.180066, 0.190619, 0.227325, 0.237804, 0.25369, 0.261426, 0.274132, 0.290174, 0.295575, 0.312672, 0.330109, 0.343526, 0.357135, 0.37528, 0.409761, 0.466872, 0.526111, 0.644587");
-            values ( \
-              "-0.127022, -0.208709, -0.249283, -0.268862, -0.275553, -0.281175, -0.28082, -0.275105, -0.244927, -0.233147, -0.222658, -0.221003, -0.225603, -0.241419, -0.245795, -0.249032, -0.248535, -0.24407, -0.235311, -0.217408, -0.13989, -0.119913, -0.0932478, -0.0820933, -0.0661532, -0.04991, -0.045274, -0.0332184, -0.0240972, -0.0187362, -0.0145443, -0.0103895, -0.00533424, -0.0017374, -0.000572315, -0.000112269" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0544122");
-            index_3 ("0.047008, 0.0575102, 0.0661066, 0.0704461, 0.0887208, 0.096465, 0.111619, 0.136314, 0.17362, 0.185994, 0.210742, 0.222064, 0.23986, 0.257538, 0.295937, 0.356785, 0.408186, 0.449087, 0.477652, 0.50206, 0.534604, 0.563412, 0.603589, 0.657158, 0.716396, 0.775635, 0.781374");
-            values ( \
-              "-0.29609, -0.315128, -0.343477, -0.343381, -0.28959, -0.27401, -0.265675, -0.262799, -0.263505, -0.265744, -0.27286, -0.277788, -0.277607, -0.27186, -0.238015, -0.159258, -0.103206, -0.070605, -0.0533196, -0.0417775, -0.0299993, -0.0221985, -0.0145907, -0.00828068, -0.00432389, -0.00236303, -0.00229834" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.106988");
-            index_3 ("0.0452569, 0.0557651, 0.0691587, 0.0943421, 0.113228, 0.160741, 0.285491, 0.36394, 0.423178, 0.470243, 0.501438, 0.613477, 0.704149, 0.781836, 0.891114, 1.00221, 1.05824, 1.17672, 1.30328");
-            values ( \
-              "-0.196774, -0.331139, -0.39068, -0.318794, -0.301411, -0.296223, -0.292159, -0.295988, -0.288213, -0.268415, -0.249721, -0.168573, -0.112514, -0.0769068, -0.0432165, -0.0234672, -0.017185, -0.00879671, -0.00487057" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0691693, 0.075677, 0.0804143, 0.0917842, 0.0962749, 0.100759, 0.104577, 0.108683, 0.112636, 0.116939, 0.120508, 0.122103, 0.125293, 0.12735, 0.129743, 0.132352, 0.145269, 0.150861, 0.157346, 0.1627, 0.16859, 0.172974, 0.180366, 0.186941, 0.191693, 0.201197, 0.220205, 0.243712, 0.269616");
-            values ( \
-              "-0.00272614, -0.0375988, -0.0457827, -0.0694321, -0.0767486, -0.079696, -0.0799635, -0.0805836, -0.0842617, -0.0946748, -0.10175, -0.104421, -0.106894, -0.106009, -0.102522, -0.0960153, -0.055234, -0.0406875, -0.0278581, -0.0201425, -0.0140838, -0.0107498, -0.00689593, -0.00469944, -0.00363989, -0.00215665, -0.000862927, -0.000340354, -0.000242753" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715779");
-            index_3 ("0.0720873, 0.0774997, 0.0948047, 0.101343, 0.112633, 0.115285, 0.121442, 0.138064, 0.147391, 0.180421, 0.193479, 0.2133, 0.221392");
-            values ( \
-              "-0.0324079, -0.0629418, -0.114548, -0.125825, -0.12639, -0.130607, -0.132889, -0.159642, -0.142226, -0.0422463, -0.0232431, -0.00929148, -0.00737019" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.014074");
-            index_3 ("0.0751759, 0.0817386, 0.0956101, 0.101834, 0.106017, 0.112643, 0.11442, 0.127608, 0.132344, 0.137223, 0.144333, 0.150642, 0.162999, 0.175803, 0.201688, 0.21929, 0.242154, 0.255463, 0.267052, 0.28249, 0.303074, 0.330946");
-            values ( \
-              "-0.0988429, -0.104444, -0.16501, -0.182386, -0.187506, -0.190061, -0.192379, -0.178519, -0.177327, -0.178983, -0.187867, -0.201062, -0.209631, -0.185691, -0.107727, -0.0669735, -0.0340058, -0.0226009, -0.0157922, -0.00981087, -0.0051195, -0.00236935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276731");
-            index_3 ("0.0792053, 0.0919785, 0.0968855, 0.103874, 0.108709, 0.11254, 0.114449, 0.117025, 0.128034, 0.134188, 0.141955, 0.144904, 0.150801, 0.162597, 0.167314, 0.17675, 0.188472, 0.208659, 0.230035, 0.268336, 0.287394, 0.300612, 0.322822, 0.333153, 0.351373, 0.372197, 0.387449, 0.411885, 0.444466, 0.501373, 0.560611, 0.679088");
-            values ( \
-              "-0.181008, -0.188253, -0.217032, -0.246678, -0.257066, -0.258938, -0.261391, -0.261431, -0.235761, -0.22849, -0.223059, -0.222458, -0.221617, -0.223382, -0.225737, -0.232833, -0.246171, -0.248215, -0.21774, -0.136549, -0.102138, -0.0822551, -0.0561071, -0.04671, -0.0335918, -0.0227943, -0.0171674, -0.0108706, -0.00585313, -0.00185568, -0.000651617, -0.000156086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0544122");
-            index_3 ("0.0790962, 0.0953924, 0.105994, 0.115228, 0.134681, 0.142401, 0.150933, 0.162807, 0.175332, 0.206673, 0.22521, 0.261341, 0.297012, 0.335359, 0.403257, 0.451968, 0.503549, 0.549915, 0.583538, 0.631056, 0.663535, 0.722774, 0.79997");
-            values ( \
-              "-0.174845, -0.244509, -0.307673, -0.323783, -0.279434, -0.270687, -0.266815, -0.26398, -0.263175, -0.26338, -0.265409, -0.276782, -0.273091, -0.238432, -0.150446, -0.0989738, -0.0607413, -0.0382613, -0.0272594, -0.0164916, -0.0118817, -0.00613541, -0.00323332" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.106988");
-            index_3 ("0.0859273, 0.105393, 0.116154, 0.142494, 0.153055, 0.164788, 0.198924, 0.321691, 0.370771, 0.403615, 0.462853, 0.483024, 0.541114, 0.653151, 0.74382, 0.821522, 0.879017, 0.930785, 0.990024, 1.04188, 1.09792, 1.2164, 1.38312");
-            values ( \
-              "-0.333528, -0.340977, -0.368234, -0.309193, -0.301897, -0.299022, -0.296366, -0.292186, -0.293754, -0.296086, -0.288113, -0.281215, -0.249662, -0.168597, -0.112538, -0.0768992, -0.056933, -0.0432194, -0.0313661, -0.0234685, -0.0171841, -0.00879539, -0.00362621" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.129402, 0.136523, 0.144964, 0.170248, 0.182142, 0.190535, 0.197817, 0.202091, 0.209256, 0.211785, 0.214248, 0.216866, 0.236997, 0.243266, 0.249035, 0.252196, 0.257083, 0.261407, 0.268011, 0.273175, 0.283623, 0.297554, 0.319202, 0.34343");
-            values ( \
-              "-0.0158258, -0.0210182, -0.0261974, -0.0517368, -0.0584958, -0.0613643, -0.0714132, -0.0816589, -0.0924866, -0.0919912, -0.0897904, -0.0857379, -0.0379837, -0.0267727, -0.0190263, -0.0174095, -0.0128954, -0.00981449, -0.00656632, -0.00486754, -0.00274011, -0.00133892, -0.000523562, -0.000369912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715779");
-            index_3 ("0.133285, 0.148887, 0.160592, 0.16906, 0.177387, 0.18518, 0.19132, 0.198135, 0.204186, 0.210455, 0.215097, 0.224297, 0.232991, 0.234323, 0.248969, 0.252286, 0.261013, 0.268014, 0.274773, 0.278057, 0.283459, 0.288919, 0.296864, 0.300499, 0.30777, 0.322312, 0.345763, 0.374263, 0.381329");
-            values ( \
-              "-0.0367499, -0.0459076, -0.0645665, -0.0772846, -0.0880985, -0.0934799, -0.095725, -0.0994992, -0.106341, -0.118935, -0.132902, -0.147254, -0.134918, -0.132174, -0.0871248, -0.0792425, -0.0556765, -0.0408678, -0.0300421, -0.0258365, -0.0201435, -0.0156463, -0.0108252, -0.00918126, -0.00661189, -0.00344904, -0.00126464, -0.000493398, -0.000440502" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.014074");
-            index_3 ("0.137768, 0.153755, 0.168818, 0.179347, 0.188673, 0.190716, 0.19305, 0.197718, 0.204583, 0.206143, 0.209262, 0.215501, 0.222754, 0.234322, 0.237178, 0.242891, 0.249807, 0.251461, 0.254768, 0.258479, 0.262566, 0.285684, 0.295546, 0.305333, 0.310709, 0.321461, 0.32643, 0.332546, 0.340701, 0.353106, 0.359007, 0.367601, 0.37906, 0.401977, 0.439019, 0.484335, 0.602481");
-            values ( \
-              "-0.0719639, -0.0734346, -0.10948, -0.130478, -0.142321, -0.143657, -0.145047, -0.146043, -0.144815, -0.146783, -0.147878, -0.152496, -0.16073, -0.184503, -0.192489, -0.201998, -0.205922, -0.206011, -0.202597, -0.195376, -0.18486, -0.115434, -0.0896103, -0.0684456, -0.0586874, -0.0426544, -0.0367463, -0.0305244, -0.0237605, -0.016148, -0.0134681, -0.0103456, -0.00727475, -0.00357353, -0.00113918, -0.000374018, -9.58369e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276731");
-            index_3 ("0.137701, 0.149894, 0.159249, 0.180889, 0.189686, 0.196259, 0.204774, 0.206554, 0.210114, 0.224442, 0.231613, 0.243876, 0.248937, 0.25483, 0.266184, 0.275975, 0.280887, 0.288498, 0.295975, 0.31093, 0.317338, 0.356005, 0.372492, 0.388628, 0.397409, 0.41497, 0.433402, 0.446822, 0.466508, 0.487585, 0.503884, 0.536481, 0.594937, 0.654175, 0.713413");
-            values ( \
-              "-0.0781605, -0.0854437, -0.107717, -0.177527, -0.195465, -0.199358, -0.197871, -0.198093, -0.194274, -0.200396, -0.206033, -0.214039, -0.218907, -0.22633, -0.235572, -0.245803, -0.249255, -0.250171, -0.246896, -0.229163, -0.217288, -0.135953, -0.105813, -0.0814036, -0.0701487, -0.0515495, -0.0370269, -0.0289449, -0.0200585, -0.0135615, -0.00999206, -0.00537262, -0.00165987, -0.000582539, -0.000245198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0544122");
-            index_3 ("0.144682, 0.16746, 0.183289, 0.195597, 0.220167, 0.259616, 0.299309, 0.313284, 0.349349, 0.365579, 0.384829, 0.419656, 0.48897, 0.543638, 0.585056, 0.647067, 0.699172, 0.767422, 0.84875");
-            values ( \
-              "-0.127678, -0.155222, -0.224766, -0.244902, -0.239713, -0.264446, -0.263755, -0.265595, -0.277502, -0.277955, -0.271742, -0.241879, -0.153394, -0.0958978, -0.0648108, -0.0348959, -0.0203185, -0.0099295, -0.0050164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.106988");
-            index_3 ("0.154799, 0.182863, 0.194101, 0.210059, 0.218467, 0.260319, 0.294864, 0.409382, 0.458996, 0.491587, 0.550826, 0.597893, 0.629087, 0.772556, 0.854595, 0.909489, 0.966987, 1.01876, 1.078, 1.12985, 1.18589, 1.30436, 1.4663");
-            values ( \
-              "-0.22102, -0.2512, -0.277946, -0.272347, -0.272682, -0.298986, -0.296116, -0.29218, -0.293761, -0.296095, -0.288102, -0.268488, -0.249647, -0.147713, -0.100918, -0.0768848, -0.0569501, -0.0432353, -0.0313498, -0.0234847, -0.0172003, -0.00881125, -0.00376238" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.249448, 0.269278, 0.27567, 0.289009, 0.318054, 0.336106, 0.347813, 0.352976, 0.360928, 0.366563, 0.377097, 0.381119, 0.385408, 0.387336, 0.391192, 0.398152, 0.417196, 0.427463, 0.434349, 0.442218, 0.448105, 0.458445, 0.472232, 0.494183, 0.521293, 0.550187, 0.562562, 0.564229");
-            values ( \
-              "-0.0119409, -0.0124489, -0.014314, -0.0194381, -0.0327001, -0.0382195, -0.0401839, -0.0422933, -0.048563, -0.0560842, -0.0652554, -0.0658199, -0.065533, -0.0649346, -0.0626339, -0.0545762, -0.0260308, -0.0155523, -0.010832, -0.00707907, -0.00517461, -0.00302769, -0.00151234, -0.000571848, -0.000262886, -0.000155148, -0.000577103, -0.000550182" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715779");
-            index_3 ("0.249551, 0.263916, 0.281654, 0.294658, 0.315952, 0.328694, 0.340934, 0.359324, 0.369004, 0.382088, 0.38467, 0.389835, 0.397289, 0.408179, 0.409314, 0.411584, 0.416123, 0.439675, 0.446329, 0.455201, 0.460175, 0.467021, 0.47615, 0.487196, 0.494878, 0.510242, 0.536415, 0.550192, 0.559075, 0.570468, 0.580571, 0.619199, 0.774521");
-            values ( \
-              "-0.0179218, -0.017985, -0.0256426, -0.0338353, -0.0487526, -0.0560135, -0.0615197, -0.0672687, -0.0721571, -0.0885323, -0.0942962, -0.101737, -0.108292, -0.106251, -0.105754, -0.103719, -0.0978061, -0.0522066, -0.041132, -0.029175, -0.0238886, -0.018018, -0.0122144, -0.00764224, -0.00554115, -0.00287742, -0.000992234, -0.000646431, -0.00130988, -0.00104019, -0.000568725, -0.000183708, -6.96669e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.014074");
-            index_3 ("0.256073, 0.283822, 0.306883, 0.329911, 0.345373, 0.354964, 0.371001, 0.381981, 0.393302, 0.404283, 0.414566, 0.430816, 0.446714, 0.462546, 0.491287, 0.505006, 0.522766, 0.545545, 0.550249, 0.557414, 0.582158, 0.599389, 0.609242");
-            values ( \
-              "-0.0298566, -0.038378, -0.0583863, -0.0825936, -0.0958984, -0.0995826, -0.100589, -0.104685, -0.112545, -0.126736, -0.146234, -0.16527, -0.154424, -0.128322, -0.0687295, -0.0481938, -0.0291063, -0.0147001, -0.0128196, -0.0118047, -0.00545733, -0.00328107, -0.00265456" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276731");
-            index_3 ("0.262577, 0.287488, 0.306387, 0.349684, 0.361443, 0.383874, 0.406704, 0.437489, 0.471106, 0.481517, 0.494803, 0.508859, 0.590064, 0.615085, 0.638472, 0.682249, 0.717124");
-            values ( \
-              "-0.0510838, -0.0533898, -0.0738094, -0.135767, -0.138027, -0.135322, -0.148726, -0.175445, -0.219851, -0.22487, -0.220886, -0.20511, -0.0708312, -0.0455912, -0.0298191, -0.0132098, -0.00775375" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0544122");
-            index_3 ("0.275092, 0.315434, 0.349695, 0.358568, 0.381073, 0.393709, 0.464005, 0.543226, 0.550054, 0.556305, 0.565907, 0.578836, 0.59169, 0.617074, 0.680599, 0.736356, 0.774931, 0.821225, 0.846184, 0.881691, 0.920669, 0.950902, 1.01014, 1.06938, 1.08575");
-            values ( \
-              "-0.0803075, -0.100082, -0.168509, -0.169557, -0.165435, -0.168497, -0.222188, -0.272186, -0.276154, -0.27715, -0.276596, -0.271384, -0.263111, -0.237853, -0.156241, -0.0970535, -0.0675599, -0.0427409, -0.0331715, -0.0229449, -0.0153108, -0.0111545, -0.00588597, -0.00313088, -0.00288962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.106988");
-            index_3 ("0.285829, 0.331582, 0.344018, 0.352356, 0.375503, 0.389328, 0.402947, 0.480632, 0.519816, 0.555151, 0.620947, 0.686482, 0.744311, 0.768589, 0.823971, 0.960306, 1.04197, 1.09143, 1.1427, 1.22035, 1.26319, 1.32243, 1.39877, 1.45801, 1.51725, 1.63573, 1.7542, 1.93192");
-            values ( \
-              "-0.11012, -0.151688, -0.182814, -0.192106, -0.187717, -0.189349, -0.196407, -0.259671, -0.280426, -0.293762, -0.292514, -0.296083, -0.288478, -0.280124, -0.249605, -0.152271, -0.104718, -0.0821162, -0.0630299, -0.0417486, -0.0331507, -0.0238259, -0.0155602, -0.0112177, -0.00795811, -0.004055, -0.00206386, -0.000831006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.484768, 0.515629, 0.533236, 0.548825, 0.573677, 0.603012, 0.60824, 0.617407, 0.624461, 0.638569, 0.653805, 0.677536, 0.682444, 0.688988, 0.704258, 0.711237, 0.717031, 0.72862, 0.732177, 0.739292, 0.747479, 0.777947, 0.789101, 0.795802, 0.805745, 0.81165, 0.821743, 0.8352, 0.860917, 0.892654, 0.934431, 1.05204, 1.21591, 1.23686, 1.26589");
-            values ( \
-              "-0.00366142, -0.00483778, -0.0058171, -0.00737431, -0.0102198, -0.0156417, -0.0169164, -0.0184686, -0.0200437, -0.0223595, -0.0244982, -0.026993, -0.0280643, -0.030162, -0.0376772, -0.0405444, -0.0421139, -0.0440307, -0.0440474, -0.0434844, -0.0404381, -0.0168421, -0.010586, -0.00794529, -0.0050621, -0.00390673, -0.00252237, -0.00145514, -0.000515759, -0.000246234, -0.000118381, -3.23948e-05, -2.62668e-05, -0.000171634, -2.86455e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715779");
-            index_3 ("0.496286, 0.535791, 0.557779, 0.582977, 0.639844, 0.673808, 0.700318, 0.708525, 0.718947, 0.726732, 0.742302, 0.752535, 0.763237, 0.778944, 0.781491, 0.808936, 0.827327, 0.844339, 0.85761, 0.867014, 0.885822, 0.915913, 0.952127, 1.17642, 1.21585, 1.23619, 1.26373");
-            values ( \
-              "-0.00924651, -0.0102406, -0.0134019, -0.0186896, -0.034904, -0.0407711, -0.046867, -0.0500869, -0.0562789, -0.0628627, -0.0715847, -0.0738363, -0.0739677, -0.0683273, -0.0662193, -0.0364716, -0.0204605, -0.0113051, -0.00701972, -0.00503916, -0.00249747, -0.000881907, -0.000314042, -2.40152e-05, -5.19996e-05, -0.00030011, -0.000111817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.014074");
-            index_3 ("0.506609, 0.55834, 0.601908, 0.665892, 0.688457, 0.720531, 0.740193, 0.757165, 0.769568, 0.79333, 0.815653, 0.837962, 0.876917, 0.897619, 0.922862, 0.952454, 0.976241, 1.01555, 1.02655");
-            values ( \
-              "-0.0162471, -0.0201146, -0.0332745, -0.0598298, -0.0670481, -0.0701744, -0.0760574, -0.0868882, -0.0993676, -0.113602, -0.113681, -0.100201, -0.0522926, -0.0328232, -0.0176006, -0.00807855, -0.0042763, -0.00137274, -0.00124658" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276731");
-            index_3 ("0.518246, 0.577251, 0.592812, 0.605004, 0.643811, 0.668028, 0.689248, 0.703121, 0.719549, 0.737969, 0.755781, 0.774844, 0.797242, 0.825275, 0.838371, 0.864563, 0.880138, 0.899896, 0.922485, 0.981301, 1.00053, 1.01958, 1.03635, 1.05872, 1.07491, 1.09402, 1.1195, 1.17046, 1.21604, 1.23454");
-            values ( \
-              "-0.0264847, -0.0333268, -0.0391718, -0.0446109, -0.0659321, -0.0835692, -0.0931014, -0.0940578, -0.0921621, -0.0945933, -0.0999424, -0.107608, -0.118154, -0.137659, -0.150005, -0.165439, -0.16723, -0.16216, -0.145059, -0.0764428, -0.0583455, -0.0435532, -0.0333482, -0.0230338, -0.0174286, -0.0125355, -0.00802738, -0.00303844, -0.00136902, -0.0015476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0544122");
-            index_3 ("0.5377, 0.604194, 0.631077, 0.67218, 0.683551, 0.699426, 0.715428, 0.732554, 0.751046, 0.78856, 0.88767, 0.914444, 0.946104, 0.990323, 1.0354, 1.04335, 1.14932, 1.17743, 1.21616, 1.25765, 1.27893, 1.32634, 1.35354, 1.40794, 1.46717, 1.5081");
-            values ( \
-              "-0.0455189, -0.0526361, -0.0696707, -0.107769, -0.113689, -0.11527, -0.11371, -0.113693, -0.117555, -0.132559, -0.177975, -0.193067, -0.214209, -0.228965, -0.21131, -0.205389, -0.104593, -0.0823239, -0.0576896, -0.0392115, -0.0315727, -0.0193253, -0.0145504, -0.00812893, -0.00430696, -0.00291954" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.106988");
-            index_3 ("0.561594, 0.631618, 0.664389, 0.672747, 0.689461, 0.705041, 0.720955, 0.734196, 0.747349, 0.785392, 0.92236, 0.961817, 1.02106, 1.11331, 1.17255, 1.20102, 1.22922, 1.24128, 1.39107, 1.47406, 1.5474, 1.59559, 1.63659, 1.68258, 1.74181, 1.79293, 1.88352, 1.94276, 2.06124, 2.17971, 2.35743");
-            values ( \
-              "-0.0755583, -0.0792035, -0.116958, -0.123679, -0.130094, -0.130103, -0.128814, -0.129457, -0.131793, -0.147122, -0.211023, -0.227609, -0.249309, -0.27887, -0.281963, -0.276069, -0.264813, -0.257729, -0.15169, -0.103586, -0.0718585, -0.055904, -0.0449661, -0.0350374, -0.0252894, -0.0190484, -0.01146, -0.00821409, -0.00420948, -0.00216613, -0.000803376" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00534978, 0.00535662, 0.00536348, 0.00536896, 0.00537262, 0.00537479", \
-            "0.00666606, 0.00667036, 0.00667576, 0.00668083, 0.00668475, 0.00668727", \
-            "0.00755333, 0.00755463, 0.00755695, 0.00756007, 0.00756319, 0.00756536", \
-            "0.008084, 0.00808406, 0.00808435, 0.00808519, 0.00808659, 0.00808807", \
-            "0.00836797, 0.00836778, 0.00836753, 0.00836732, 0.00836739, 0.00836784", \
-            "0.0085212, 0.0085213, 0.00852093, 0.00852101, 0.00852099, 0.00852097" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00783534, 0.00784145, 0.00784794, 0.00785326, 0.00785677, 0.0078588", \
-            "0.00903228, 0.00903507, 0.0090377, 0.00903958, 0.00904055, 0.00904096", \
-            "0.00969174, 0.00969371, 0.00969487, 0.00969501, 0.00969453, 0.00969387", \
-            "0.0102943, 0.0102594, 0.0102245, 0.0101949, 0.0101731, 0.0101586", \
-            "0.0115188, 0.0113779, 0.0112276, 0.0111024, 0.0110132, 0.0109592", \
-            "0.012564, 0.0122522, 0.0120145, 0.0118063, 0.0116418, 0.0115383" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00763057, 0.0076724, 0.00768187, 0.00764604, 0.00760131, 0.00756518", \
-            "0.00738391, 0.00744257, 0.00746763, 0.00745028, 0.00741139, 0.00737429", \
-            "0.00703661, 0.00712093, 0.00719939, 0.007234, 0.00722767, 0.00720174", \
-            "0.00694803, 0.00703137, 0.00713243, 0.00720846, 0.00726166, 0.00726836", \
-            "0.00745693, 0.00757, 0.00768337, 0.00780542, 0.00788379, 0.00800885", \
-            "0.0100307, 0.0100747, 0.0101314, 0.0102762, 0.0104479, 0.0105041" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0233618, 0.0231949, 0.0229507, 0.0227226, 0.0224932, 0.0223299", \
-            "0.0231216, 0.0229724, 0.0227478, 0.0225166, 0.0223004, 0.0221223", \
-            "0.0230465, 0.0229344, 0.022694, 0.0225135, 0.0222988, 0.0221337", \
-            "0.0233243, 0.0232483, 0.0230904, 0.0229019, 0.0226957, 0.0225033", \
-            "0.024578, 0.0245066, 0.0243998, 0.0242245, 0.0240197, 0.0238409", \
-            "0.0279742, 0.0278942, 0.0278571, 0.027722, 0.0274925, 0.0272461" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0183936, 0.0184773, 0.0185554, 0.0186129, 0.0186473, 0.0186632", \
-            "0.017964, 0.0180855, 0.0182091, 0.0183342, 0.0184127, 0.0184561", \
-            "0.0178158, 0.0179, 0.0180422, 0.0181727, 0.0182878, 0.0183864", \
-            "0.0183388, 0.0183575, 0.0183587, 0.0184168, 0.0185307, 0.0186779", \
-            "0.0206853, 0.020638, 0.0204641, 0.020213, 0.020095, 0.0201013", \
-            "0.0266224, 0.0262702, 0.0257439, 0.0252516, 0.024514, 0.0240537" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00528578, 0.00542395, 0.00555749, 0.00565985, 0.00572337, 0.00576098", \
-            "0.00483851, 0.00504499, 0.00524968, 0.0054064, 0.00551764, 0.00558405", \
-            "0.00433072, 0.00455124, 0.0048091, 0.00507021, 0.00525507, 0.00538129", \
-            "0.00409701, 0.00424783, 0.00450535, 0.00479886, 0.00506576, 0.00526566", \
-            "0.00499015, 0.00498254, 0.00512137, 0.00530663, 0.005581, 0.00587952", \
-            "0.00959103, 0.00913778, 0.00872744, 0.00848362, 0.00852151, 0.0086494" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00234143, 0.00252282, 0.002689, 0.00280428, 0.0028685, 0.00289692", \
-            "0.00211183, 0.00228719, 0.00245579, 0.00257678, 0.00264644, 0.00267767", \
-            "0.00178811, 0.00194469, 0.0021274, 0.00228402, 0.00238661, 0.00244139", \
-            "0.00168473, 0.00182035, 0.00198734, 0.00216762, 0.00229618, 0.0023776", \
-            "0.00230789, 0.00242555, 0.00256296, 0.00275363, 0.00292702, 0.00301878", \
-            "0.00538571, 0.00544768, 0.00552555, 0.00562252, 0.00582622, 0.00596293" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.0216019, 0.0217668, 0.0219186, 0.0220255, 0.022089, 0.0221218", \
-            "0.0213344, 0.0215014, 0.0216645, 0.0217894, 0.0218652, 0.0219056", \
-            "0.0211255, 0.0212992, 0.0214941, 0.021657, 0.0217717, 0.0218381", \
-            "0.0212683, 0.0214479, 0.0216715, 0.0218909, 0.0220587, 0.022142", \
-            "0.0224778, 0.0226109, 0.0227646, 0.0230098, 0.023221, 0.0233935", \
-            "0.0257369, 0.0258157, 0.0259564, 0.0261358, 0.0263872, 0.0265842" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.020629, 0.0206769, 0.0207218, 0.0207558, 0.0207777, 0.0207851", \
-            "0.0202895, 0.0203557, 0.0204306, 0.0204956, 0.0205407, 0.0205649", \
-            "0.0201318, 0.0201895, 0.0202724, 0.0203763, 0.0204535, 0.0205029", \
-            "0.0204204, 0.0204404, 0.0205042, 0.0206129, 0.0206925, 0.0207795", \
-            "0.0218166, 0.0218479, 0.0218032, 0.0218604, 0.0219035, 0.0220161", \
-            "0.0264733, 0.0263032, 0.0260333, 0.0259288, 0.0256531, 0.0255765" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715779, 0.014074, 0.0276731, 0.0544122, 0.106988");
-          values ( \
-            "0.00666789, 0.00669665, 0.00672435, 0.00674571, 0.00675353, 0.00674728", \
-            "0.00631012, 0.0063487, 0.00640885, 0.00644965, 0.0064693, 0.00647072", \
-            "0.00589275, 0.00596371, 0.0060457, 0.00612861, 0.00619495, 0.00622577", \
-            "0.00569036, 0.00574581, 0.00585549, 0.00596502, 0.00605875, 0.00612692", \
-            "0.00648841, 0.00650884, 0.00656762, 0.00662958, 0.00672695, 0.00683967", \
-            "0.0104858, 0.0103221, 0.0101168, 0.00992641, 0.00982156, 0.00977802" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0113515;
-      rise_capacitance : 0.0113515;
-      rise_capacitance_range (0.0073034, 0.0113515);
-      fall_capacitance : 0.0112177;
-      fall_capacitance_range (0.00749912, 0.0112177);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0103906;
-      rise_capacitance : 0.0103906;
-      rise_capacitance_range (0.00742423, 0.0103906);
-      fall_capacitance : 0.0103014;
-      fall_capacitance_range (0.00662945, 0.0103014);
-    }
-  }
-  cell (XOR2XL) {
-    area : 21.2454;
-    cell_footprint : "XOR2";
-    cell_leakage_power : 0.464322;
-    pg_pin (GND) {
-      pg_type : primary_ground;
-      voltage_name : "GND";
-    }
-    pg_pin (VDD) {
-      pg_type : primary_power;
-      voltage_name : "VDD";
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.726994;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.287411;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.290612;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.55227;
-      when : "(!A * !B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0.464322;
-      related_pg_pin : VDD;
-    }
-    pin (Y) {
-      direction : output;
-      function : "(A * !B) + (!A * B)";
-      power_down_function : "(!VDD) + (GND)";
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_capacitance : 0.10688;
-      timing () {
-        related_pin : "A";
-        sdf_cond : "~B";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "!B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0885581, 0.103367, 0.132693, 0.191248, 0.308002, 0.539103", \
-            "0.0929729, 0.108005, 0.137608, 0.196463, 0.313433, 0.544757", \
-            "0.100987, 0.116361, 0.146465, 0.205767, 0.322999, 0.554486", \
-            "0.110435, 0.125637, 0.156266, 0.215907, 0.333362, 0.564994", \
-            "0.116735, 0.13266, 0.163456, 0.223085, 0.340014, 0.571815", \
-            "0.111109, 0.12737, 0.158431, 0.218796, 0.336603, 0.567364" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0658864, 0.0880364, 0.131482, 0.216593, 0.383571, 0.711776", \
-            "0.066074, 0.0882798, 0.131783, 0.216993, 0.384033, 0.712201", \
-            "0.0662208, 0.0884021, 0.131898, 0.217145, 0.384302, 0.712534", \
-            "0.0645729, 0.0868985, 0.13087, 0.217041, 0.384441, 0.71267", \
-            "0.0629677, 0.0847423, 0.128123, 0.214185, 0.382358, 0.712385", \
-            "0.066813, 0.0872715, 0.129069, 0.213331, 0.380338, 0.709496" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0741023, 0.0840787, 0.102563, 0.137902, 0.206775, 0.341992", \
-            "0.0803448, 0.0903412, 0.108885, 0.144254, 0.21314, 0.348361", \
-            "0.0946962, 0.104813, 0.123545, 0.15907, 0.228074, 0.363356", \
-            "0.120504, 0.130999, 0.15021, 0.186136, 0.255394, 0.390773", \
-            "0.161034, 0.17202, 0.191772, 0.228212, 0.297947, 0.43356", \
-            "0.22711, 0.239555, 0.260532, 0.29784, 0.367889, 0.503897" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0288849, 0.0408223, 0.0645107, 0.111409, 0.204139, 0.386546", \
-            "0.0288902, 0.0408286, 0.0644822, 0.111351, 0.204139, 0.386545", \
-            "0.0290242, 0.0409409, 0.0645478, 0.111426, 0.20414, 0.386546", \
-            "0.0303092, 0.0420174, 0.0652565, 0.111638, 0.204058, 0.386475", \
-            "0.0331976, 0.0441388, 0.0665996, 0.112607, 0.20464, 0.386698", \
-            "0.0395551, 0.0498048, 0.0706326, 0.114512, 0.205155, 0.387108" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.045764, 0.0508197, 0.0548311, 0.06024, 0.0648357, 0.0700627, 0.0798081, 0.0933608, 0.100058, 0.110367, 0.110817, 0.112614, 0.11501, 0.119802, 0.131295, 0.145098, 0.155534, 0.166872, 0.183024, 0.19125, 0.200214, 0.213153, 0.227582, 0.23881, 0.261266, 0.290915");
-            values ( \
-              "0.0102991, 0.0416129, 0.055584, 0.0646184, 0.0671484, 0.0679195, 0.0666494, 0.0640431, 0.0631441, 0.0622258, 0.0626668, 0.0625211, 0.0616599, 0.0569231, 0.0413596, 0.0283379, 0.0210395, 0.0154024, 0.00976013, 0.0077392, 0.006023, 0.00412768, 0.0027419, 0.002006, 0.00102818, 0.000499695" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715634");
-            index_3 ("0.0432142, 0.0522082, 0.05573, 0.0585556, 0.0626329, 0.0683033, 0.0742368, 0.0753278, 0.0775099, 0.101064, 0.109926, 0.12506, 0.128988, 0.136062, 0.14391, 0.156118, 0.17036, 0.179689, 0.191928, 0.203058, 0.211738, 0.223358, 0.233458, 0.244634, 0.260662, 0.271372, 0.291666, 0.318725, 0.368871, 0.429446");
-            values ( \
-              "0.0157975, 0.0683855, 0.085156, 0.0930986, 0.0994536, 0.102128, 0.102084, 0.101748, 0.101492, 0.0961164, 0.0942493, 0.0913432, 0.0908303, 0.0879075, 0.0787766, 0.0624527, 0.0474535, 0.0393866, 0.0303874, 0.0240791, 0.0199076, 0.0154225, 0.0123152, 0.00954466, 0.00662838, 0.00517835, 0.00324655, 0.00172733, 0.000471441, 0.000113991" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140683");
-            index_3 ("0.0058493, 0.0219163, 0.0307175, 0.0467021, 0.0632006, 0.0758928, 0.12489, 0.175109, 0.23215, 0.263912, 0.293824, 0.322007, 0.346091");
-            values ( \
-              "1e-22, 0.0833481, 1e-22, 2e-22, 0.120693, 0.138057, 0.128948, 0.116313, 0.0649664, 0.0421123, 0.0271426, 0.0175686, 0.0125056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276562");
-            index_3 ("0.0114548, 0.0844477, 0.180509, 0.20278, 0.237535, 0.261717, 0.33978, 0.390484, 0.43255, 0.460521, 0.484291, 0.508748, 0.543768, 0.607084, 0.661937, 0.729115");
-            values ( \
-              "0.00317886, 0.167558, 0.15162, 0.147325, 0.138906, 0.128846, 0.0781531, 0.0514602, 0.0351307, 0.026859, 0.0212897, 0.016691, 0.011662, 0.00608656, 0.00338483, 0.00171316" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543681");
-            index_3 ("0.0157295, 0.0782477, 0.103519, 0.204964, 0.261148, 0.330197, 0.344323, 0.381332, 0.398844, 0.433868, 0.548926, 0.63529, 0.664423, 0.696098, 0.730137, 0.774603, 0.810949, 0.854423, 0.915824, 0.980411, 1.03001, 1.10793, 1.26375, 1.41958, 1.49749");
-            values ( \
-              "0.0029424, 0.191016, 0.187733, 0.177395, 0.17114, 0.162197, 0.159993, 0.153006, 0.148853, 0.138088, 0.0927206, 0.0626838, 0.0542859, 0.0461231, 0.0386302, 0.0301262, 0.0245636, 0.0192696, 0.01332, 0.00908609, 0.00685174, 0.00416595, 0.00156804, 0.000570295, 0.00044739" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.10688");
-            index_3 ("0.0520769, 0.0520969, 0.194883, 0.384001, 0.520649, 0.606133, 0.66566, 0.743573, 1.09157, 1.23331, 1.29993, 1.41454, 1.55752, 1.68884, 1.85562, 2.01145, 2.16728, 2.27455");
-            values ( \
-              "1e-22, 0.223374, 0.197206, 0.18563, 0.176166, 0.168662, 0.16137, 0.149508, 0.0751979, 0.0516702, 0.0424699, 0.0301451, 0.0192994, 0.0126572, 0.00732104, 0.00433993, 0.00254504, 0.00206328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0632613, 0.0696577, 0.0729493, 0.0761325, 0.0784582, 0.0831096, 0.0883553, 0.0932381, 0.0981426, 0.106182, 0.112131, 0.118457, 0.128801, 0.12923, 0.130945, 0.133232, 0.137807, 0.14407, 0.152422, 0.163007, 0.172099, 0.179286, 0.187523, 0.198505, 0.204311, 0.211458, 0.220236, 0.232618, 0.238062, 0.24895, 0.270725, 0.308202, 0.353186, 0.410685");
-            values ( \
-              "0.0101055, 0.0423501, 0.0539541, 0.0607755, 0.0638327, 0.0667345, 0.0675318, 0.0670245, 0.0663509, 0.0648373, 0.063836, 0.0629257, 0.0621113, 0.0624969, 0.0623687, 0.0616299, 0.0573672, 0.0487682, 0.0382239, 0.0286917, 0.0222443, 0.0182564, 0.0145105, 0.0106219, 0.00903319, 0.0073842, 0.0057583, 0.00403417, 0.00346448, 0.0025334, 0.00134821, 0.00042197, 0.000115432, 2.28412e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715634");
-            index_3 ("0.0647487, 0.0740414, 0.0783442, 0.0809979, 0.0863052, 0.0918115, 0.0976499, 0.133516, 0.147582, 0.159133, 0.162596, 0.180024, 0.182115, 0.186298, 0.209042, 0.219822, 0.227363, 0.241215, 0.256575, 0.266901, 0.287157, 0.298716, 0.321833, 0.3677, 0.421287, 0.490534");
-            values ( \
-              "0.0545028, 0.0842013, 0.0953519, 0.0989067, 0.101476, 0.101762, 0.100746, 0.092868, 0.0906711, 0.0837909, 0.0782936, 0.0561651, 0.0546894, 0.049803, 0.0311232, 0.0249417, 0.0213626, 0.0155771, 0.0111539, 0.00879265, 0.00553341, 0.00427598, 0.00246694, 0.000801617, 0.000193737, 5.80749e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140683");
-            index_3 ("0.00274969, 0.00436938, 0.0211681, 0.0247081, 0.0316058, 0.0471162, 0.0513188, 0.0631399, 0.0770466, 0.0928115, 0.193027, 0.277954, 0.344949, 0.372532");
-            values ( \
-              "1e-22, 0.0129638, 0.0116975, 0.0160326, 0.0376141, 0.00371797, 1e-22, 2e-22, 0.11308, 0.137631, 0.115145, 0.0429685, 0.0157433, 0.0120196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276562");
-            index_3 ("0.0254855, 0.112339, 0.156917, 0.199463, 0.222018, 0.256825, 0.280541, 0.358511, 0.409563, 0.455165, 0.50239, 0.527437, 0.562957, 0.60062, 0.629601, 0.687563, 0.743665");
-            values ( \
-              "0.0127046, 0.16517, 0.158598, 0.151373, 0.147084, 0.138721, 0.12895, 0.0784596, 0.0515525, 0.0340665, 0.0215767, 0.0168141, 0.0116991, 0.00795835, 0.00589636, 0.00317131, 0.0018623" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543681");
-            index_3 ("0.0632506, 0.0794783, 0.0842665, 0.0900359, 0.0928362, 0.208841, 0.280536, 0.349565, 0.400844, 0.419355, 0.456378, 0.576733, 0.617533, 0.669939, 0.697584, 0.749711, 0.806519, 0.831037, 0.874464, 0.935862, 0.975592, 1.04984, 1.12775, 1.20567, 1.36149, 1.51732");
-            values ( \
-              "0.162647, 0.177545, 0.186758, 0.190143, 0.190243, 0.178606, 0.170852, 0.162054, 0.152887, 0.148485, 0.136951, 0.0895307, 0.0750479, 0.0581926, 0.0506691, 0.0384915, 0.0281435, 0.0246365, 0.0190948, 0.013425, 0.010522, 0.00673662, 0.00427672, 0.00256866, 0.000957569, 0.000340442" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.10688");
-            index_3 ("0.0716261, 0.0716461, 0.207197, 0.403407, 0.539858, 0.625431, 0.684979, 0.762892, 0.812269, 1.04832, 1.18899, 1.31936, 1.43407, 1.50767, 1.65514, 1.74661, 1.87528, 2.03111, 2.18693, 2.28565");
-            values ( \
-              "1e-22, 0.226982, 0.196969, 0.185315, 0.175938, 0.168452, 0.161453, 0.149325, 0.139547, 0.0877582, 0.0614045, 0.0425978, 0.0302659, 0.0241176, 0.0151432, 0.0113066, 0.00744512, 0.00446579, 0.00267159, 0.00222816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.100106, 0.105751, 0.11076, 0.112635, 0.114213, 0.115494, 0.119188, 0.122246, 0.127117, 0.132139, 0.136972, 0.152085, 0.157323, 0.167693, 0.168082, 0.169638, 0.171713, 0.175049, 0.18456, 0.191797, 0.19659, 0.202981, 0.214076, 0.223159, 0.229637, 0.240059, 0.249944, 0.263123, 0.272597, 0.28377, 0.298666, 0.328459, 0.36946, 0.420582");
-            values ( \
-              "0.0190616, 0.0273944, 0.050265, 0.0564811, 0.0550116, 0.055912, 0.0611107, 0.0641401, 0.0664136, 0.0666377, 0.0661763, 0.0635847, 0.062856, 0.0620253, 0.0623924, 0.0622901, 0.061747, 0.0591706, 0.0464853, 0.0378782, 0.0332043, 0.0278425, 0.0203324, 0.0158675, 0.0132529, 0.00985638, 0.00746329, 0.00513031, 0.00390978, 0.00284697, 0.00186741, 0.000762244, 0.000223244, 4.88688e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715634");
-            index_3 ("0.100511, 0.107229, 0.109252, 0.112642, 0.11335, 0.114245, 0.115111, 0.119811, 0.121043, 0.123505, 0.12836, 0.132875, 0.139277, 0.172712, 0.186488, 0.186795, 0.187408, 0.19109, 0.195999, 0.201771, 0.213316, 0.219989, 0.224093, 0.229566, 0.238547, 0.253505, 0.259938, 0.272804, 0.284538, 0.289654, 0.299885, 0.317767, 0.324164, 0.326361, 0.330753, 0.339539, 0.35711, 0.392253, 0.444003, 0.508791");
-            values ( \
-              "0.0402104, 0.0505909, 0.0645421, 0.0836782, 0.0814871, 0.0815791, 0.0827538, 0.0929109, 0.0949298, 0.0978162, 0.100497, 0.100804, 0.100004, 0.092819, 0.0902233, 0.0903655, 0.0903819, 0.0892253, 0.0854865, 0.0783627, 0.0632687, 0.0557586, 0.0514003, 0.0462385, 0.0383992, 0.0281119, 0.0246237, 0.0184957, 0.014282, 0.0128387, 0.0101149, 0.00679078, 0.00579406, 0.00558925, 0.00499062, 0.00414445, 0.00270877, 0.00121271, 0.000287252, 0.000101632" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140683");
-            index_3 ("0.00126982, 0.00430915, 0.0284178, 0.0437016, 0.0576221, 0.0713498, 0.0790437, 0.0994873, 0.113918, 0.115164, 0.132412, 0.189609, 0.23192, 0.301616, 0.333074, 0.374344, 0.413592, 0.459273");
-            values ( \
-              "1e-22, 0.00607562, 0.00272698, 0.00421607, 0.017026, 0.0019421, 1e-22, 2e-22, 0.110568, 0.109285, 0.136665, 0.126056, 0.114765, 0.053159, 0.0337714, 0.0179341, 0.00948895, 0.00496767" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276562");
-            index_3 ("0.056299, 0.161756, 0.209104, 0.261101, 0.292096, 0.306366, 0.325392, 0.39134, 0.439728, 0.477457, 0.537169, 0.593682, 0.657398, 0.709057, 0.78697, 0.787845");
-            values ( \
-              "0.0124242, 0.163529, 0.156438, 0.14713, 0.140008, 0.135394, 0.126054, 0.0826591, 0.0559947, 0.0401024, 0.0226653, 0.0127975, 0.00662834, 0.00395426, 0.00157721, 0.00156969" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543681");
-            index_3 ("0.0992517, 0.124426, 0.129663, 0.134598, 0.250282, 0.321135, 0.390173, 0.44152, 0.460436, 0.498269, 0.625789, 0.717265, 0.768516, 0.82709, 0.870216, 0.96299, 1.00335, 1.06291, 1.14082, 1.21873, 1.29665, 1.31739");
-            values ( \
-              "0.110311, 0.181808, 0.188176, 0.189408, 0.178298, 0.170742, 0.161958, 0.152807, 0.148303, 0.136463, 0.0863689, 0.0563117, 0.043447, 0.031401, 0.0246852, 0.0143877, 0.0113398, 0.00794214, 0.00506439, 0.00302892, 0.00199611, 0.00185382" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.10688");
-            index_3 ("0.106635, 0.106655, 0.233126, 0.39816, 0.58026, 0.665909, 0.725477, 0.80339, 0.852807, 1.08905, 1.22969, 1.35997, 1.43789, 1.54862, 1.69606, 1.76212, 1.83822, 1.99404, 2.3057, 2.34991");
-            values ( \
-              "1e-22, 0.212487, 0.197449, 0.188039, 0.175801, 0.168329, 0.161456, 0.149226, 0.139565, 0.0876482, 0.0613171, 0.0426522, 0.0337382, 0.024031, 0.0150689, 0.0121857, 0.00951987, 0.00569615, 0.00199661, 0.00184187" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.178388, 0.185445, 0.193341, 0.199589, 0.205859, 0.213106, 0.220248, 0.225101, 0.234749, 0.235158, 0.238972, 0.243332, 0.244837, 0.247848, 0.248904, 0.251018, 0.266673, 0.273195, 0.283767, 0.29285, 0.301042, 0.307401, 0.317459, 0.326638, 0.338876, 0.349302, 0.364295, 0.384287, 0.420687, 0.464114, 0.519442");
-            values ( \
-              "0.0284995, 0.0308932, 0.0527436, 0.0626677, 0.0671603, 0.0687319, 0.0683214, 0.0675847, 0.06643, 0.0662959, 0.0659888, 0.0653825, 0.0651014, 0.0637646, 0.0630175, 0.0594363, 0.0401297, 0.0336066, 0.0250516, 0.0195371, 0.015539, 0.0130245, 0.00978338, 0.00755635, 0.00534559, 0.00395784, 0.00258272, 0.00146147, 0.000468892, 0.000140642, 2.23833e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715634");
-            index_3 ("0.177006, 0.183957, 0.191039, 0.198874, 0.204398, 0.210956, 0.213547, 0.217002, 0.224276, 0.236964, 0.248872, 0.250075, 0.252482, 0.256156, 0.259654, 0.264193, 0.271323, 0.297563, 0.298718, 0.301027, 0.305646, 0.313495, 0.327046, 0.330275, 0.330928, 0.332234, 0.334846, 0.339713, 0.34822, 0.359314, 0.362376, 0.3685, 0.379974, 0.396295, 0.402158, 0.403961, 0.407566, 0.414776, 0.429197, 0.458038, 0.507236, 0.512594");
-            values ( \
-              "0.0347543, 0.0393876, 0.069915, 0.0926881, 0.100018, 0.103442, 0.103717, 0.103696, 0.102888, 0.10022, 0.0971888, 0.0924129, 0.092158, 0.0903874, 0.0913678, 0.089625, 0.0882171, 0.0551491, 0.0550203, 0.0513432, 0.0481075, 0.0398175, 0.0311851, 0.0278757, 0.0288865, 0.0266711, 0.0266551, 0.0226323, 0.020151, 0.0144738, 0.0149427, 0.0116693, 0.0103031, 0.00586183, 0.00650211, 0.00481327, 0.00583092, 0.00360601, 0.00383495, 0.000851344, 0.00118165, 0.00107501" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140683");
-            index_3 ("0.176702, 0.185981, 0.194698, 0.200757, 0.204858, 0.212382, 0.219771, 0.24893, 0.252659, 0.256502, 0.274498, 0.301853, 0.319245, 0.35123, 0.391997, 0.421921, 0.439524, 0.455216, 0.494371, 0.519629, 0.553306, 0.608104");
-            values ( \
-              "0.0460937, 0.0631034, 0.110139, 0.128698, 0.135597, 0.14043, 0.141176, 0.135853, 0.129569, 0.126852, 0.124139, 0.117401, 0.107525, 0.0747328, 0.0435295, 0.0284185, 0.021488, 0.0168128, 0.00892094, 0.00589077, 0.00349558, 0.00129206" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276562");
-            index_3 ("0.171352, 0.188691, 0.199302, 0.206562, 0.213624, 0.218597, 0.225227, 0.248751, 0.252368, 0.256966, 0.261087, 0.275027, 0.307045, 0.342188, 0.369404, 0.386479, 0.409245, 0.476957, 0.528028, 0.573013, 0.606548, 0.621201, 0.646183, 0.681684, 0.704765, 0.748003, 0.805654, 0.883568, 0.897593");
-            values ( \
-              "0.0209033, 0.0939959, 0.151929, 0.167153, 0.172293, 0.17302, 0.17283, 0.169742, 0.162859, 0.159407, 0.159717, 0.158265, 0.153028, 0.146498, 0.140199, 0.134588, 0.122552, 0.0781444, 0.051304, 0.0341346, 0.0247296, 0.0215488, 0.01668, 0.0117293, 0.00916459, 0.00584517, 0.00324012, 0.00130711, 0.0012082" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543681");
-            index_3 ("0.18169, 0.206699, 0.211139, 0.218582, 0.226194, 0.249092, 0.254643, 0.268837, 0.278691, 0.343183, 0.408438, 0.458123, 0.487852, 0.519921, 0.566167, 0.686709, 0.766487, 0.803252, 0.84337, 0.896861, 0.936651, 1.00079, 1.05891, 1.0831, 1.13148, 1.20939, 1.2873, 1.36522, 1.52104, 1.59896");
-            values ( \
-              "0.136728, 0.188538, 0.193351, 0.195976, 0.196314, 0.194234, 0.183858, 0.18387, 0.183136, 0.176759, 0.169657, 0.163401, 0.158891, 0.152754, 0.140203, 0.0930661, 0.0650623, 0.0543077, 0.0441486, 0.0331422, 0.0264949, 0.018356, 0.0131196, 0.0113077, 0.00854451, 0.00526342, 0.00333152, 0.00200719, 0.000752479, 0.000528708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.10688");
-            index_3 ("0.189105, 0.189125, 0.331359, 0.522619, 0.658929, 0.744608, 0.804185, 0.882098, 0.931534, 1.16787, 1.30849, 1.43874, 1.55361, 1.62753, 1.77495, 1.91709, 1.99501, 2.15083, 2.30666, 2.41072");
-            values ( \
-              "1e-22, 0.222569, 0.196314, 0.185102, 0.175791, 0.168317, 0.161421, 0.149215, 0.139538, 0.0876432, 0.0613239, 0.0426268, 0.0302845, 0.0240436, 0.0150879, 0.00954517, 0.00747185, 0.00449533, 0.00270255, 0.0022353" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.320211, 0.333357, 0.356029, 0.363177, 0.373388, 0.377933, 0.382725, 0.391895, 0.401321, 0.402868, 0.408072, 0.417383, 0.424545, 0.436075, 0.447029, 0.461699, 0.472642, 0.480049, 0.493579, 0.510039, 0.519482, 0.550235, 0.558881, 0.579261, 0.585318");
-            values ( \
-              "0.00381449, 0.0161348, 0.0524824, 0.0605682, 0.0679544, 0.070536, 0.0718171, 0.0711806, 0.0679516, 0.0669538, 0.0612629, 0.0471384, 0.0383054, 0.0276253, 0.0202362, 0.0134574, 0.00988601, 0.00804454, 0.00549712, 0.00345387, 0.00263851, 0.00109878, 0.000394956, 0.000562046, 0.000525142" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715634");
-            index_3 ("0.320798, 0.335454, 0.355138, 0.361504, 0.36792, 0.373659, 0.385807, 0.390618, 0.395301, 0.404667, 0.414024, 0.420745, 0.432163, 0.435266, 0.451519, 0.466153, 0.478428, 0.489652, 0.507744, 0.517943, 0.530306, 0.550194, 0.554373, 0.576984, 0.6143, 0.652067, 0.663063");
-            values ( \
-              "0.00671355, 0.0284464, 0.0763395, 0.0876373, 0.0948798, 0.0984886, 0.102509, 0.103676, 0.104144, 0.102874, 0.0998571, 0.0963141, 0.0847866, 0.0793459, 0.0575781, 0.0432285, 0.0335361, 0.0264696, 0.0178649, 0.0142796, 0.0108381, 0.00689814, 0.00534628, 0.0036767, 0.00164632, 0.00076981, 0.000651553" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140683");
-            index_3 ("0.321121, 0.338565, 0.356026, 0.362228, 0.371139, 0.381785, 0.391302, 0.419383, 0.438309, 0.458541, 0.466834, 0.510026, 0.522913, 0.555757, 0.583443, 0.601516, 0.620444, 0.64568, 0.660063, 0.682678, 0.712832, 0.773139, 0.779135");
-            values ( \
-              "0.0111733, 0.0469212, 0.104997, 0.119477, 0.130929, 0.135334, 0.135552, 0.133552, 0.130624, 0.122431, 0.116614, 0.0726459, 0.0616403, 0.0382729, 0.0261177, 0.01989, 0.0148226, 0.00988411, 0.00785278, 0.00544807, 0.00331483, 0.00114054, 0.00109914" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276562");
-            index_3 ("0.321131, 0.342786, 0.354597, 0.362659, 0.371712, 0.378301, 0.387086, 0.395575, 0.417906, 0.475281, 0.498124, 0.521975, 0.53216, 0.549577, 0.55294, 0.570238, 0.626466, 0.658887, 0.686136, 0.706973, 0.734755, 0.774376, 0.797913, 0.836412, 0.880658, 0.915789, 0.986051, 1.06396, 1.21979");
-            values ( \
-              "0.019037, 0.0729718, 0.121977, 0.146338, 0.160543, 0.164528, 0.166405, 0.1663, 0.163855, 0.155036, 0.150718, 0.144766, 0.141229, 0.133052, 0.128974, 0.119393, 0.082761, 0.0642108, 0.050928, 0.0423034, 0.0326693, 0.0222817, 0.0176451, 0.0119123, 0.00757561, 0.0052609, 0.00245068, 0.00105036, 0.000205862" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543681");
-            index_3 ("0.321396, 0.365161, 0.378233, 0.387313, 0.395788, 0.420999, 0.461454, 0.55024, 0.556713, 0.569659, 0.581922, 0.594236, 0.615297, 0.640516, 0.677063, 0.72379, 0.745519, 0.781534, 0.823989, 0.830625, 0.843898, 0.905495, 0.961123, 1.01929, 1.08314, 1.17262, 1.21935, 1.23665, 1.34047, 1.4963, 1.57421, 1.65212, 1.73004, 1.80795");
-            values ( \
-              "0.0203064, 0.170416, 0.186414, 0.189086, 0.18947, 0.187947, 0.18438, 0.175154, 0.168539, 0.170088, 0.167021, 0.166759, 0.16283, 0.160156, 0.152208, 0.140594, 0.131447, 0.118264, 0.10031, 0.0986698, 0.0927453, 0.0706692, 0.0538541, 0.0396935, 0.0278756, 0.0165663, 0.0131316, 0.0112892, 0.00592182, 0.00210483, 0.00178581, 0.000630906, 0.000871623, 6.62254e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.10688");
-            index_3 ("0.341623, 0.394066, 0.437717, 0.549963, 0.571738, 0.583069, 0.812026, 0.902023, 0.961656, 1.03957, 1.08901, 1.32539, 1.46601, 1.59622, 1.67414, 1.7851, 1.85459, 1.99855, 2.23042, 2.52883");
-            values ( \
-              "0.18982, 0.204154, 0.202902, 0.196961, 0.191105, 0.191204, 0.176132, 0.168344, 0.161369, 0.149254, 0.139483, 0.0876629, 0.0613469, 0.0426024, 0.0337836, 0.0240601, 0.0193994, 0.0122245, 0.00573845, 0.00219666" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.628785, 0.64571, 0.652411, 0.658631, 0.686784, 0.692938, 0.69903, 0.709305, 0.719113, 0.728921, 0.738162, 0.739571, 0.742388, 0.747139, 0.752989, 0.754066, 0.760532, 0.767364, 0.776498, 0.778768, 0.781693, 0.791723, 0.804767, 0.810912, 0.823202, 0.834247, 0.839564, 0.850198, 0.871466, 0.908327, 0.951965, 1.00774");
-            values ( \
-              "0.0050247, 0.0104688, 0.0151839, 0.0205604, 0.0479528, 0.0555259, 0.0613613, 0.0662438, 0.0674365, 0.0662788, 0.0582727, 0.0549883, 0.0514727, 0.0439478, 0.0378344, 0.0360909, 0.0300529, 0.0251442, 0.0190661, 0.0182704, 0.0166382, 0.012558, 0.00872139, 0.00741302, 0.00521159, 0.00389555, 0.00331344, 0.00252182, 0.00134756, 0.000491242, 0.000104012, 5.66359e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715634");
-            index_3 ("0.630282, 0.648751, 0.651581, 0.662882, 0.695313, 0.707712, 0.709583, 0.713325, 0.720809, 0.726031, 0.735362, 0.740097, 0.748568, 0.759264, 0.763177, 0.780661, 0.786639, 0.794609, 0.809215, 0.821353, 0.829978, 0.842759, 0.854523, 0.870208, 0.882912, 0.90077, 0.924581, 0.967664, 1.02016, 1.08616");
-            values ( \
-              "0.00894524, 0.0189656, 0.0220215, 0.036497, 0.0825853, 0.0960416, 0.0974381, 0.0989967, 0.100768, 0.100823, 0.0996323, 0.0984339, 0.0953822, 0.0853421, 0.07906, 0.0561776, 0.0499639, 0.0428351, 0.0312376, 0.0242879, 0.0202999, 0.0152272, 0.0117559, 0.00836683, 0.00619985, 0.00413585, 0.00246352, 0.000799726, 0.000277623, 5.19861e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140683");
-            index_3 ("0.631991, 0.653344, 0.695722, 0.706808, 0.720985, 0.728296, 0.742918, 0.755573, 0.766356, 0.787976, 0.797055, 0.835356, 0.854288, 0.875858, 0.887704, 0.907059, 0.929059, 0.954704, 0.977135, 1.01883, 1.05507, 1.12181, 1.19973");
-            values ( \
-              "0.0147884, 0.0326543, 0.10945, 0.122831, 0.132275, 0.134448, 0.134522, 0.132296, 0.129576, 0.121159, 0.114308, 0.0750173, 0.0589398, 0.0438123, 0.0370364, 0.0278145, 0.0198565, 0.0132583, 0.00925881, 0.00469091, 0.00257363, 0.000753885, 0.000198732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276562");
-            index_3 ("0.633787, 0.659876, 0.694359, 0.700408, 0.712506, 0.723406, 0.732813, 0.74719, 0.763677, 0.784543, 0.810392, 0.826766, 0.859099, 0.880432, 0.954433, 1.00304, 1.04034, 1.0664, 1.10049, 1.11974, 1.15825, 1.19406, 1.23315, 1.30966, 1.36043, 1.37563");
-            values ( \
-              "0.0235008, 0.0528655, 0.130078, 0.140357, 0.153496, 0.158669, 0.160561, 0.161596, 0.161663, 0.160016, 0.155822, 0.152284, 0.142858, 0.13196, 0.0827575, 0.0559851, 0.0402767, 0.031544, 0.0227176, 0.0187655, 0.012708, 0.00881168, 0.00547536, 0.00268877, 0.00165573, 0.0015286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543681");
-            index_3 ("0.640418, 0.669324, 0.694526, 0.707057, 0.719687, 0.723723, 0.731795, 0.74486, 0.761145, 0.777341, 0.803893, 0.831607, 0.889188, 0.917957, 0.94454, 0.997707, 1.02043, 1.04486, 1.07301, 1.17151, 1.23041, 1.24859, 1.28946, 1.33116, 1.38989, 1.41152, 1.43698, 1.47093, 1.53883, 1.5687, 1.61278, 1.67156, 1.74947, 1.82739, 1.9053, 1.98321, 2.13904");
-            values ( \
-              "0.0525113, 0.082929, 0.147809, 0.168516, 0.178586, 0.180117, 0.181966, 0.183095, 0.182966, 0.182279, 0.180656, 0.178671, 0.173726, 0.170456, 0.166871, 0.157007, 0.150933, 0.142977, 0.132305, 0.0930607, 0.0714792, 0.0657912, 0.0539909, 0.043634, 0.0316945, 0.0281415, 0.0244022, 0.0201233, 0.0135094, 0.0113415, 0.0087398, 0.00614457, 0.00382064, 0.00237667, 0.00147379, 0.000919385, 0.00035983" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.10688");
-            index_3 ("0.640394, 0.710601, 0.732763, 0.746426, 0.762897, 0.823354, 0.942467, 1.06783, 1.14575, 1.2156, 1.34226, 1.40453, 1.63148, 1.7803, 1.84299, 1.94086, 2.07196, 2.14288, 2.28345, 2.40553, 2.48344, 2.71718, 2.87301, 2.92716");
-            values ( \
-              "0.0484822, 0.185584, 0.196817, 0.198161, 0.198559, 0.19706, 0.190932, 0.183085, 0.177499, 0.171378, 0.153547, 0.142127, 0.0921822, 0.0635838, 0.0536124, 0.0405127, 0.027401, 0.0219096, 0.0140193, 0.00944297, 0.00740414, 0.00337095, 0.00199776, 0.00180413" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00684645, 0.00685871, 0.00686906, 0.00687883, 0.00688565, 0.0068898", \
-            "0.00869092, 0.00869607, 0.00870512, 0.00871466, 0.00872247, 0.00872773", \
-            "0.00995333, 0.00995874, 0.00996618, 0.00997506, 0.00998363, 0.00999023", \
-            "0.0107928, 0.0107958, 0.0108011, 0.0108088, 0.0108161, 0.0108225", \
-            "0.0112955, 0.0112945, 0.011293, 0.0112939, 0.0112965, 0.0112999", \
-            "0.0116576, 0.0116564, 0.0116544, 0.011652, 0.0116504, 0.0116504" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0102131, 0.0102359, 0.010284, 0.0103182, 0.0103409, 0.0103595", \
-            "0.0109515, 0.0109704, 0.0109896, 0.011022, 0.0110492, 0.0110686", \
-            "0.0110187, 0.0110047, 0.0109939, 0.0109895, 0.0109932, 0.011", \
-            "0.0110558, 0.0111865, 0.0113094, 0.0113967, 0.0114638, 0.0115027", \
-            "0.00875188, 0.00896285, 0.00930207, 0.00986492, 0.0104477, 0.0108254", \
-            "0.00807914, 0.00808362, 0.00811604, 0.00831072, 0.00874192, 0.00943286" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0611604, 0.0631358, 0.0648584, 0.0723751, 0.0768945, 0.0812737, 0.0856222, 0.0899696, 0.0942622, 0.101279, 0.108676, 0.113605, 0.121375, 0.128628, 0.138013, 0.145659, 0.154427");
-            values ( \
-              "-0.0240982, -0.109122, -0.119095, -0.141528, -0.148223, -0.15154, -0.150049, -0.142221, -0.125632, -0.0892325, -0.056462, -0.0401877, -0.0226467, -0.012955, -0.00626343, -0.00342327, -0.00193076" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715634");
-            index_3 ("0.0599425, 0.0666827, 0.070307, 0.076333, 0.0832468, 0.0881802, 0.0955934, 0.10324, 0.108185, 0.129783, 0.138598, 0.150183, 0.159992, 0.172817, 0.179271");
-            values ( \
-              "-0.0206458, -0.170715, -0.184898, -0.200459, -0.208262, -0.209773, -0.206423, -0.191495, -0.172883, -0.0736508, -0.0473676, -0.0254932, -0.0147683, -0.00717515, -0.00529851" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140683");
-            index_3 ("0.0614268, 0.0656703, 0.0692323, 0.0772586, 0.0847755, 0.092026, 0.0993519, 0.113895, 0.122428, 0.12786, 0.135422, 0.159575, 0.168411, 0.181355, 0.194918, 0.212156, 0.230745, 0.246875, 0.262897");
-            values ( \
-              "-0.0353901, -0.20364, -0.222598, -0.247458, -0.25769, -0.261692, -0.261877, -0.255021, -0.243656, -0.232522, -0.209464, -0.118719, -0.0915484, -0.0607668, -0.0384875, -0.0209772, -0.0107623, -0.00589755, -0.00366613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276562");
-            index_3 ("0.0618215, 0.0676509, 0.0720965, 0.0816573, 0.0863069, 0.0916207, 0.0990919, 0.110595, 0.123916, 0.149091, 0.158499, 0.167153, 0.184462, 0.227042, 0.246671, 0.266533, 0.28146, 0.297965, 0.323854, 0.339179, 0.356693, 0.391721, 0.41675");
-            values ( \
-              "-0.0986509, -0.243372, -0.264493, -0.289456, -0.294558, -0.298553, -0.300798, -0.30133, -0.298675, -0.288106, -0.280809, -0.271901, -0.242864, -0.138195, -0.0988009, -0.0684146, -0.0511802, -0.0367823, -0.0215298, -0.0156408, -0.0107757, -0.00494892, -0.00325544" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543681");
-            index_3 ("0.0657554, 0.0963196, 0.116688, 0.141222, 0.186607, 0.218327, 0.230221, 0.251037, 0.28403, 0.359666, 0.396312, 0.417151, 0.439379, 0.460305, 0.483951, 0.515478, 0.534332, 0.579434, 0.630978, 0.683853, 0.789602");
-            values ( \
-              "-0.315623, -0.3251, -0.327971, -0.325544, -0.316893, -0.307301, -0.302512, -0.291133, -0.259241, -0.149983, -0.106479, -0.0864926, -0.0686738, -0.05492, -0.0424208, -0.0297402, -0.024041, -0.014306, -0.00775413, -0.00408738, -0.00112855" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.10688");
-            index_3 ("0.065917, 0.0915994, 0.0946391, 0.111549, 0.139411, 0.236055, 0.294143, 0.353603, 0.390601, 0.415612, 0.465634, 0.518038, 0.603998, 0.654814, 0.692232, 0.733283, 0.786157, 0.821433, 0.880305, 0.933179, 0.978258, 1.05822, 1.1111, 1.16397, 1.26972, 1.48122");
-            values ( \
-              "-0.333864, -0.33585, -0.338447, -0.34342, -0.34345, -0.334916, -0.328062, -0.318513, -0.310003, -0.301834, -0.277843, -0.238404, -0.168764, -0.132342, -0.109469, -0.0880349, -0.0658782, -0.0536757, -0.0380529, -0.0279498, -0.0211218, -0.0129224, -0.00950723, -0.00667051, -0.00340473, -0.000834157" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0801564, 0.0850528, 0.0891827, 0.093483, 0.0970976, 0.100692, 0.103058, 0.10581, 0.110168, 0.11116, 0.114559, 0.122804, 0.12811, 0.134422, 0.138795, 0.142369, 0.150144, 0.156224, 0.161098, 0.170846, 0.177645");
-            values ( \
-              "-0.0159407, -0.118032, -0.132572, -0.142838, -0.148204, -0.150943, -0.151247, -0.149947, -0.142126, -0.138908, -0.125141, -0.082781, -0.0594882, -0.0384905, -0.0278872, -0.021332, -0.011695, -0.00731306, -0.00500283, -0.00229088, -0.00154272" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715634");
-            index_3 ("0.0811135, 0.086867, 0.0962408, 0.102668, 0.108141, 0.112534, 0.115789, 0.123416, 0.128277, 0.14986, 0.160473, 0.168824, 0.179291, 0.190195, 0.201086");
-            values ( \
-              "-0.0529438, -0.171245, -0.200192, -0.20757, -0.209701, -0.208784, -0.206335, -0.191541, -0.173351, -0.074068, -0.0433694, -0.027756, -0.0155534, -0.00843022, -0.00461559" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140683");
-            index_3 ("0.0812998, 0.0860087, 0.0895791, 0.0950367, 0.100495, 0.105133, 0.113598, 0.117453, 0.124554, 0.134382, 0.144252, 0.146694, 0.155068, 0.180424, 0.188262, 0.202113, 0.210858, 0.220591, 0.235611, 0.254636, 0.274929, 0.28343");
-            values ( \
-              "-0.0120599, -0.20343, -0.222461, -0.241713, -0.25266, -0.257881, -0.261893, -0.262028, -0.260563, -0.25462, -0.241293, -0.236139, -0.212035, -0.116848, -0.0928437, -0.0598775, -0.0446838, -0.0319617, -0.0187452, -0.00942718, -0.00435558, -0.00349196" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276562");
-            index_3 ("0.0815165, 0.0880052, 0.0938235, 0.102684, 0.107453, 0.116224, 0.125917, 0.135992, 0.152546, 0.162249, 0.169752, 0.184757, 0.193067, 0.206952, 0.241452, 0.264591, 0.284326, 0.310475, 0.32703, 0.340505, 0.355336, 0.375111, 0.414661, 0.432395");
-            values ( \
-              "-0.0640101, -0.243303, -0.270141, -0.290261, -0.295501, -0.30029, -0.301417, -0.300462, -0.296018, -0.29183, -0.287652, -0.275203, -0.264547, -0.23795, -0.151721, -0.103112, -0.0717837, -0.0430394, -0.0307156, -0.0232432, -0.0170644, -0.011205, -0.00459034, -0.00347351" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543681");
-            index_3 ("0.0848608, 0.0966009, 0.101204, 0.109241, 0.116569, 0.12734, 0.13697, 0.161472, 0.176638, 0.21952, 0.250544, 0.271286, 0.304281, 0.380063, 0.416573, 0.453907, 0.480284, 0.50873, 0.544931, 0.561522, 0.586848, 0.620616, 0.67349, 0.726365, 0.832114");
-            values ( \
-              "-0.295762, -0.299301, -0.309985, -0.32033, -0.324739, -0.327339, -0.327654, -0.325253, -0.322776, -0.313322, -0.302492, -0.291121, -0.25925, -0.14978, -0.106462, -0.0729603, -0.0550876, -0.0403457, -0.0267494, -0.0221598, -0.0165808, -0.0112024, -0.00592717, -0.00314813, -0.000881601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.10688");
-            index_3 ("0.0850132, 0.099723, 0.104643, 0.114856, 0.131012, 0.142976, 0.179485, 0.23814, 0.314341, 0.367216, 0.386972, 0.435811, 0.485832, 0.503301, 0.624198, 0.675018, 0.70559, 0.753472, 0.806346, 0.861273, 0.900543, 0.953417, 1.0255, 1.07837, 1.13125, 1.237, 1.34275, 1.50137");
-            values ( \
-              "-0.309775, -0.319575, -0.328558, -0.33824, -0.343005, -0.343699, -0.341817, -0.336548, -0.327803, -0.319643, -0.315651, -0.302061, -0.277625, -0.265654, -0.168586, -0.132512, -0.113535, -0.0881932, -0.0657288, -0.0480214, -0.0381747, -0.0278133, -0.0180106, -0.0130438, -0.00939327, -0.00486717, -0.00251157, -0.000946859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.125943, 0.128116, 0.129931, 0.137092, 0.138779, 0.14223, 0.145579, 0.148749, 0.150994, 0.15537, 0.156312, 0.159541, 0.170703, 0.175052, 0.179684, 0.186483, 0.191391, 0.1959, 0.201744, 0.209536, 0.221314");
-            values ( \
-              "-0.0187906, -0.102426, -0.113982, -0.137836, -0.141267, -0.146824, -0.150004, -0.150614, -0.149254, -0.141906, -0.138741, -0.125971, -0.0703537, -0.0529483, -0.0383497, -0.0232064, -0.0159626, -0.0112466, -0.00714836, -0.00385643, -0.00155626" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715634");
-            index_3 ("0.12556, 0.131945, 0.135661, 0.142411, 0.147973, 0.154919, 0.161101, 0.164224, 0.167524, 0.174028, 0.185983, 0.195491, 0.203482, 0.212613, 0.217139, 0.226461, 0.232183, 0.243627, 0.258639");
-            values ( \
-              "-0.0368092, -0.165193, -0.181657, -0.199618, -0.206717, -0.20911, -0.205937, -0.201843, -0.194523, -0.171522, -0.112368, -0.0731432, -0.0490749, -0.030225, -0.0236219, -0.0140664, -0.0101789, -0.00525117, -0.0023113" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140683");
-            index_3 ("0.128265, 0.1312, 0.140366, 0.150516, 0.158636, 0.163592, 0.169956, 0.179668, 0.188267, 0.193508, 0.201184, 0.225427, 0.234208, 0.246991, 0.260765, 0.267876, 0.28241, 0.291312, 0.309115, 0.332791");
-            values ( \
-              "-0.146589, -0.199309, -0.240043, -0.257293, -0.261309, -0.261689, -0.260448, -0.254851, -0.243514, -0.232809, -0.209503, -0.118474, -0.0914989, -0.0610508, -0.0383971, -0.0299892, -0.0178893, -0.0129747, -0.00666335, -0.00286188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276562");
-            index_3 ("0.127021, 0.133543, 0.13943, 0.144328, 0.148319, 0.156038, 0.165124, 0.173452, 0.181667, 0.195982, 0.21259, 0.221004, 0.233171, 0.251109, 0.286913, 0.310878, 0.328937, 0.343405, 0.356957, 0.375026, 0.386951, 0.400322, 0.418149, 0.453805, 0.483272");
-            values ( \
-              "-0.0660103, -0.239752, -0.268354, -0.282011, -0.289426, -0.297187, -0.300885, -0.301258, -0.300426, -0.296728, -0.289374, -0.283731, -0.271955, -0.241537, -0.152392, -0.102133, -0.0733244, -0.0554605, -0.0424049, -0.029286, -0.0229002, -0.0173359, -0.0118657, -0.00537743, -0.00318817" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543681");
-            index_3 ("0.130005, 0.146948, 0.155007, 0.162336, 0.173105, 0.182743, 0.20725, 0.222415, 0.26529, 0.296348, 0.317063, 0.350059, 0.425899, 0.462354, 0.499648, 0.526058, 0.554568, 0.590729, 0.607301, 0.632575, 0.666274, 0.719148, 0.772023, 0.877771");
-            values ( \
-              "-0.268682, -0.309263, -0.31984, -0.324616, -0.327149, -0.327679, -0.32531, -0.32271, -0.313327, -0.302477, -0.291124, -0.259247, -0.149703, -0.106458, -0.0729882, -0.0550893, -0.0403184, -0.0267434, -0.0221594, -0.0165904, -0.0112185, -0.00593557, -0.00315279, -0.000882997" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.10688");
-            index_3 ("0.129712, 0.149823, 0.157927, 0.165933, 0.176579, 0.188743, 0.205177, 0.244985, 0.302021, 0.360109, 0.412984, 0.43274, 0.456567, 0.481578, 0.531601, 0.549069, 0.669966, 0.720784, 0.751358, 0.799244, 0.852118, 0.907034, 0.946294, 0.999169, 1.04422, 1.12416, 1.17704, 1.28279, 1.38854, 1.54716");
-            values ( \
-              "-0.267996, -0.327416, -0.336049, -0.340325, -0.343051, -0.34357, -0.343276, -0.340235, -0.334744, -0.327893, -0.319554, -0.315573, -0.309846, -0.301987, -0.277694, -0.265589, -0.168635, -0.132466, -0.113582, -0.088153, -0.065765, -0.0480514, -0.0381509, -0.0278433, -0.0212196, -0.0130204, -0.00941342, -0.00488738, -0.00253156, -0.000927198" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.214946, 0.221813, 0.225136, 0.233087, 0.235739, 0.2404, 0.244947, 0.248907, 0.250935, 0.270454, 0.27745, 0.283625, 0.294766, 0.308721, 0.339166");
-            values ( \
-              "-0.00469044, -0.0909034, -0.106414, -0.131671, -0.137681, -0.143555, -0.145045, -0.139315, -0.132955, -0.0486858, -0.0295459, -0.0184892, -0.00754151, -0.00210861, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715634");
-            index_3 ("0.215837, 0.22432, 0.228604, 0.235989, 0.238498, 0.24265, 0.248898, 0.249283, 0.252692, 0.255436, 0.258643, 0.261994, 0.26863, 0.280243, 0.290112, 0.298685, 0.307104, 0.311731, 0.318272, 0.325277, 0.330677, 0.341477, 0.36081, 0.383813");
-            values ( \
-              "-0.0129819, -0.139101, -0.16151, -0.186854, -0.192334, -0.199206, -0.202199, -0.201055, -0.201381, -0.200433, -0.197317, -0.191126, -0.169287, -0.113637, -0.0732261, -0.0478027, -0.0306071, -0.0238175, -0.0165372, -0.0111996, -0.00828775, -0.00441868, -0.00133236, -0.000281829" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140683");
-            index_3 ("0.219783, 0.223697, 0.232989, 0.244681, 0.248814, 0.264643, 0.274553, 0.284834, 0.295235, 0.328711, 0.342737, 0.360468, 0.377205, 0.395883, 0.41103");
-            values ( \
-              "-0.091522, -0.167614, -0.219158, -0.248251, -0.252646, -0.256747, -0.252702, -0.239461, -0.212252, -0.0925202, -0.0593365, -0.0325014, -0.0179204, -0.00912279, -0.00550283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276562");
-            index_3 ("0.221183, 0.226873, 0.23335, 0.236139, 0.239622, 0.242757, 0.248944, 0.252739, 0.260056, 0.267297, 0.275236, 0.279974, 0.286291, 0.293568, 0.308123, 0.315596, 0.32556, 0.334121, 0.345536, 0.347844, 0.378641, 0.387895, 0.404169, 0.418639, 0.427933, 0.442669, 0.448048, 0.458806, 0.478289, 0.484982, 0.50065, 0.518557, 0.55437, 0.607245, 0.660119");
-            values ( \
-              "-0.137905, -0.212824, -0.248138, -0.259445, -0.270311, -0.27785, -0.287841, -0.288746, -0.294605, -0.29753, -0.298594, -0.298291, -0.297253, -0.295309, -0.289087, -0.284132, -0.275093, -0.264215, -0.243099, -0.2379, -0.160565, -0.138952, -0.105476, -0.0811899, -0.0681498, -0.0511701, -0.0459945, -0.0370539, -0.0247596, -0.0215624, -0.0155416, -0.0106253, -0.00477697, -0.0013304, -0.000368833" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543681");
-            index_3 ("0.222795, 0.236612, 0.241602, 0.248907, 0.252661, 0.260475, 0.269757, 0.278833, 0.287777, 0.317905, 0.362073, 0.379902, 0.411473, 0.419227, 0.428089, 0.445812, 0.515495, 0.556621, 0.591503, 0.615309, 0.635718, 0.657802, 0.702914, 0.730318, 0.783192, 0.836067, 0.874686");
-            values ( \
-              "-0.221382, -0.280526, -0.296402, -0.310136, -0.311691, -0.31902, -0.32385, -0.325759, -0.326071, -0.322687, -0.312984, -0.307297, -0.291983, -0.286358, -0.278735, -0.258675, -0.157461, -0.107636, -0.0756687, -0.0587856, -0.047121, -0.0368944, -0.0221211, -0.0161371, -0.00859127, -0.00455143, -0.00297968" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.10688");
-            index_3 ("0.223383, 0.248694, 0.259492, 0.274905, 0.284177, 0.299338, 0.340468, 0.397508, 0.450382, 0.486586, 0.515139, 0.568013, 0.595343, 0.631783, 0.644507, 0.772209, 0.848834, 0.884371, 0.917801, 0.961444, 0.997338, 1.03973, 1.0926, 1.14056, 1.22254, 1.27541, 1.32828, 1.43403, 1.64553");
-            values ( \
-              "-0.251912, -0.322965, -0.332748, -0.340862, -0.34233, -0.342893, -0.340178, -0.334703, -0.328503, -0.323324, -0.318313, -0.305162, -0.294774, -0.274509, -0.265646, -0.163442, -0.112334, -0.0932053, -0.077679, -0.0608089, -0.049505, -0.0386113, -0.0281725, -0.0211079, -0.012792, -0.00924073, -0.00664861, -0.00343982, -0.000913369" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.400087, 0.404585, 0.407979, 0.425701, 0.431013, 0.436003, 0.440976, 0.446354, 0.460901, 0.468013, 0.474505, 0.484732, 0.497297, 0.504018");
-            values ( \
-              "-0.0071072, -0.0512736, -0.0649305, -0.11789, -0.128815, -0.133816, -0.130016, -0.113711, -0.0537068, -0.033437, -0.0210009, -0.00985135, -0.00379587, -0.00253337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715634");
-            index_3 ("0.400153, 0.411427, 0.417043, 0.426007, 0.4327, 0.437917, 0.445465, 0.450361, 0.453821, 0.460624, 0.472896, 0.482503, 0.490371, 0.499623, 0.510447, 0.518497, 0.52923, 0.541331");
-            values ( \
-              "-0.0112838, -0.103059, -0.126381, -0.160382, -0.179185, -0.188963, -0.19484, -0.192295, -0.186623, -0.165872, -0.110093, -0.0723414, -0.0493019, -0.0304895, -0.0169438, -0.0108678, -0.00588058, -0.00375394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140683");
-            index_3 ("0.404218, 0.410767, 0.416341, 0.423812, 0.430045, 0.435912, 0.446583, 0.45676, 0.46688, 0.476997, 0.481277, 0.4886, 0.508996, 0.517572, 0.522695, 0.53065, 0.539741, 0.553637, 0.557528, 0.568577, 0.578559, 0.589967, 0.612782, 0.624729");
-            values ( \
-              "-0.0340018, -0.120935, -0.151229, -0.187047, -0.21163, -0.228492, -0.246333, -0.251443, -0.249398, -0.237691, -0.22903, -0.207555, -0.131706, -0.103619, -0.0889867, -0.0694573, -0.051565, -0.0313271, -0.0271745, -0.0185887, -0.0131455, -0.00873451, -0.00365782, -0.00265322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276562");
-            index_3 ("0.403972, 0.414957, 0.429655, 0.441768, 0.451943, 0.464443, 0.469051, 0.485974, 0.502946, 0.518255, 0.526409, 0.540498, 0.575638, 0.598448, 0.618097, 0.644336, 0.660968, 0.674363, 0.708791, 0.748137, 0.76643");
-            values ( \
-              "-0.0169764, -0.164405, -0.238926, -0.273572, -0.287163, -0.293506, -0.294344, -0.292962, -0.286352, -0.274062, -0.263976, -0.237473, -0.150353, -0.102946, -0.071852, -0.0430011, -0.0306478, -0.0232218, -0.0112437, -0.00462584, -0.00346389" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543681");
-            index_3 ("0.409347, 0.420693, 0.427023, 0.432866, 0.442403, 0.44969, 0.462491, 0.475511, 0.490544, 0.510882, 0.528591, 0.550225, 0.55726, 0.573154, 0.589071, 0.605834, 0.635198, 0.641548, 0.696662, 0.717782, 0.744895, 0.768649, 0.786406, 0.809283, 0.828015, 0.850492, 0.882205, 0.915358, 0.940779, 0.991622, 1.0445, 1.09737, 1.15025");
-            values ( \
-              "-0.135252, -0.210098, -0.244165, -0.269145, -0.295875, -0.307579, -0.318397, -0.322288, -0.323095, -0.321242, -0.318256, -0.313448, -0.310545, -0.306521, -0.300383, -0.291071, -0.263672, -0.255603, -0.174566, -0.145575, -0.113149, -0.0894212, -0.0745062, -0.058415, -0.0476859, -0.0372027, -0.0259582, -0.0177922, -0.0132721, -0.00722692, -0.00383352, -0.00201145, -0.00107006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.10688");
-            index_3 ("0.418593, 0.473761, 0.498627, 0.53392, 0.591192, 0.668492, 0.70883, 0.761704, 0.789091, 0.838218, 0.966078, 1.04258, 1.11139, 1.15511, 1.19114, 1.2335, 1.28637, 1.33425, 1.41616, 1.46903, 1.6221");
-            values ( \
-              "-0.317606, -0.337751, -0.34047, -0.338891, -0.334389, -0.325123, -0.318345, -0.305145, -0.294745, -0.265639, -0.163326, -0.112313, -0.0777323, -0.0608247, -0.0494771, -0.0385985, -0.0281614, -0.0211127, -0.0128004, -0.00924455, -0.00364631" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.786268, 0.793751, 0.801444, 0.822426, 0.829051, 0.83507, 0.840934, 0.84678, 0.851046, 0.860204, 0.865242, 0.872957, 0.878598, 0.882019, 0.888859, 0.892869, 0.900888, 0.914967, 0.931638");
-            values ( \
-              "-0.00245449, -0.0326149, -0.0508895, -0.0932421, -0.104872, -0.112377, -0.111846, -0.101915, -0.0894118, -0.0582803, -0.0435284, -0.0264508, -0.018043, -0.0142275, -0.00878528, -0.00661597, -0.00374719, -0.0013459, -0.000450162" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715634");
-            index_3 ("0.789974, 0.796947, 0.817611, 0.83171, 0.839904, 0.847456, 0.85487, 0.862271, 0.884808, 0.896821, 0.903738, 0.917068, 0.932278, 0.94325");
-            values ( \
-              "-0.0191816, -0.0548496, -0.1135, -0.1493, -0.165753, -0.175058, -0.173169, -0.15572, -0.0703081, -0.0395018, -0.0278012, -0.013766, -0.00602482, -0.00365532" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140683");
-            index_3 ("0.790082, 0.801642, 0.809433, 0.829214, 0.834399, 0.844769, 0.850613, 0.857817, 0.868494, 0.879168, 0.885323, 0.890847, 0.910988, 0.924871, 0.936584, 0.944593, 0.951712, 0.961205, 0.969189, 0.977793, 0.989264, 1.01221, 1.0426");
-            values ( \
-              "-0.00437276, -0.0833118, -0.111665, -0.17571, -0.190989, -0.215741, -0.226467, -0.235403, -0.239318, -0.231085, -0.2191, -0.20336, -0.132015, -0.0894209, -0.0621616, -0.0478172, -0.0376676, -0.0271551, -0.0205364, -0.0151635, -0.0100341, -0.00418411, -0.00119928" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276562");
-            index_3 ("0.795497, 0.807782, 0.823811, 0.831914, 0.844702, 0.852835, 0.867604, 0.877758, 0.888398, 0.905759, 0.915522, 0.923788, 0.940319, 0.94955, 0.978524, 0.995802, 1.00256, 1.01356, 1.02301, 1.03538, 1.0452, 1.05751, 1.07477, 1.09245, 1.10595, 1.13293, 1.18315, 1.21593");
-            values ( \
-              "-0.0433853, -0.11886, -0.180742, -0.208636, -0.246196, -0.263211, -0.28173, -0.286351, -0.287438, -0.283821, -0.277444, -0.269694, -0.243484, -0.222113, -0.150256, -0.113154, -0.100598, -0.0824709, -0.0691019, -0.0544258, -0.0448606, -0.0350243, -0.0245518, -0.0170181, -0.0128104, -0.00713599, -0.00215909, -0.00103536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543681");
-            index_3 ("0.802794, 0.815578, 0.827102, 0.838603, 0.850365, 0.863958, 0.882875, 0.890075, 0.899675, 0.913662, 0.937109, 0.944433, 0.959081, 0.975954, 1.00763, 1.02372, 1.04438, 1.1041, 1.12384, 1.14887, 1.16813, 1.1938, 1.22189, 1.23806, 1.25198, 1.27619, 1.29872, 1.32757, 1.36604, 1.41892, 1.47179, 1.52467, 1.57754");
-            values ( \
-              "-0.146237, -0.160013, -0.20756, -0.247996, -0.279047, -0.302527, -0.31553, -0.317552, -0.318796, -0.319207, -0.316416, -0.315141, -0.311598, -0.306596, -0.291367, -0.278781, -0.255223, -0.16808, -0.141636, -0.112101, -0.092747, -0.0711836, -0.052016, -0.0437841, -0.037676, -0.0286853, -0.0222241, -0.0159675, -0.0101889, -0.00538728, -0.00285904, -0.00149381, -0.00079923" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.10688");
-            index_3 ("0.802678, 0.825894, 0.848834, 0.862946, 0.87961, 0.898199, 0.917513, 0.96207, 1.03531, 1.08159, 1.1119, 1.16477, 1.19232, 1.21583, 1.24129, 1.38176, 1.43463, 1.48797, 1.53004, 1.56954, 1.61418, 1.66705, 1.72125, 1.80091, 1.85378, 1.90666, 2.01241, 2.11815, 2.2239");
-            values ( \
-              "-0.120075, -0.211573, -0.288504, -0.314735, -0.329845, -0.336038, -0.3386, -0.336989, -0.329598, -0.323294, -0.317721, -0.305043, -0.294614, -0.282501, -0.265417, -0.154196, -0.118978, -0.0899263, -0.0713611, -0.057089, -0.0441133, -0.0322367, -0.0232912, -0.0143358, -0.0103604, -0.00745944, -0.00386193, -0.00199342, -0.00102656" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00577598, 0.00578272, 0.00578933, 0.00579543, 0.00579963, 0.00580218", \
-            "0.00681255, 0.00681624, 0.00682022, 0.00682431, 0.00682762, 0.00682979", \
-            "0.00742327, 0.00742378, 0.00742492, 0.00742665, 0.00742849, 0.00742992", \
-            "0.00777858, 0.00777808, 0.00777787, 0.00777806, 0.00777887, 0.00777951", \
-            "0.00797027, 0.00796982, 0.00796921, 0.0079686, 0.0079682, 0.00796816", \
-            "0.00808457, 0.0080843, 0.00808389, 0.00808335, 0.00808279, 0.00808238" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00836019, 0.00835591, 0.00836736, 0.00836449, 0.00836819, 0.00837068", \
-            "0.00929908, 0.00929607, 0.00929514, 0.00929373, 0.00929379, 0.00929443", \
-            "0.010107, 0.0100949, 0.0100812, 0.0100692, 0.0100609, 0.0100561", \
-            "0.0109811, 0.0109657, 0.0109448, 0.0109234, 0.0109051, 0.0108936", \
-            "0.0112499, 0.0115076, 0.0117222, 0.0118691, 0.0119569, 0.0120066", \
-            "0.0116311, 0.0116305, 0.0116473, 0.0118698, 0.0123026, 0.0125851" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "A";
-        sdf_cond : "B";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "B";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0679357, 0.0842641, 0.115104, 0.174609, 0.290904, 0.519092", \
-            "0.073396, 0.0898341, 0.120869, 0.18063, 0.297114, 0.525435", \
-            "0.0858512, 0.102255, 0.13334, 0.193285, 0.310025, 0.538583", \
-            "0.111022, 0.129748, 0.161519, 0.221518, 0.338483, 0.567338", \
-            "0.151849, 0.177331, 0.218373, 0.285237, 0.402764, 0.631997", \
-            "0.221117, 0.25706, 0.314369, 0.403073, 0.542959, 0.776402" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0524779, 0.0738962, 0.116052, 0.199267, 0.363195, 0.685144", \
-            "0.0526134, 0.0739521, 0.116074, 0.199291, 0.363195, 0.685144", \
-            "0.0535866, 0.0744952, 0.116261, 0.199324, 0.363222, 0.685192", \
-            "0.0632708, 0.0816552, 0.119819, 0.199707, 0.363207, 0.685212", \
-            "0.0898742, 0.109112, 0.144059, 0.213631, 0.366473, 0.685215", \
-            "0.136338, 0.159673, 0.200887, 0.272525, 0.406595, 0.698373" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0405089, 0.0513216, 0.0704603, 0.1066, 0.176357, 0.31209", \
-            "0.04484, 0.0557072, 0.0749274, 0.111127, 0.180959, 0.316733", \
-            "0.0557229, 0.0662176, 0.0853794, 0.121662, 0.191549, 0.327385", \
-            "0.0715004, 0.0860258, 0.108611, 0.145141, 0.215317, 0.351158", \
-            "0.0842085, 0.104941, 0.137459, 0.189323, 0.268982, 0.404377", \
-            "0.0826719, 0.112128, 0.158265, 0.232924, 0.348218, 0.520043" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.038705, 0.0514448, 0.07623, 0.125599, 0.220759, 0.402688", \
-            "0.0383115, 0.0512525, 0.0761896, 0.125523, 0.220755, 0.402687", \
-            "0.042045, 0.0534212, 0.0766576, 0.125503, 0.220742, 0.40269", \
-            "0.0589939, 0.0705275, 0.0905256, 0.133174, 0.221702, 0.402685", \
-            "0.087989, 0.103793, 0.130143, 0.175857, 0.249079, 0.408928", \
-            "0.134634, 0.157029, 0.193378, 0.255568, 0.346294, 0.481571" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0431399, 0.0450165, 0.0469745, 0.047344, 0.0480829, 0.0510094, 0.0576364, 0.0580541, 0.0588897, 0.063903, 0.06728, 0.0721281, 0.0792575, 0.0826993, 0.0863705, 0.0894351, 0.0964753, 0.102802, 0.109355, 0.11801, 0.127902, 0.13422, 0.141942, 0.152239, 0.165267, 0.17349, 0.189885, 0.199531, 0.218822, 0.252446, 0.292115");
-            values ( \
-              "0.0583647, 0.0816869, 0.0865857, 0.0862144, 0.0884998, 0.0916396, 0.0942358, 0.0939659, 0.0942307, 0.0937737, 0.0929438, 0.0910141, 0.0872552, 0.0841396, 0.0796221, 0.0743519, 0.0600116, 0.0488967, 0.0397627, 0.0307432, 0.0228647, 0.0190724, 0.0152322, 0.0112482, 0.00770511, 0.00605812, 0.00374362, 0.00281426, 0.00157847, 0.000533754, 0.000145381" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715634");
-            index_3 ("0.0430445, 0.0465398, 0.0489547, 0.0515912, 0.0550309, 0.0591253, 0.0663652, 0.0771125, 0.0852612, 0.0958055, 0.100405, 0.10711, 0.124369, 0.130441, 0.13783, 0.146424, 0.155168, 0.169341, 0.184277, 0.196347, 0.206943, 0.221071, 0.233534, 0.250563, 0.273269, 0.314145, 0.362807");
-            values ( \
-              "0.0342714, 0.113326, 0.119558, 0.123456, 0.126437, 0.128369, 0.129261, 0.127701, 0.125001, 0.11928, 0.115404, 0.107587, 0.0775675, 0.0683604, 0.0583692, 0.0488524, 0.0403564, 0.0296149, 0.0213607, 0.0160783, 0.0125944, 0.00918442, 0.00671944, 0.0044698, 0.00270011, 0.000831071, 0.000320618" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140683");
-            index_3 ("0.0448286, 0.0486294, 0.0537632, 0.0619048, 0.0682086, 0.0777395, 0.0913388, 0.0980092, 0.109729, 0.12662, 0.143628, 0.181837, 0.218173, 0.247206, 0.264178, 0.280919, 0.302237, 0.325688, 0.373441, 0.415664, 0.427978");
-            values ( \
-              "0.123757, 0.143045, 0.152414, 0.158344, 0.160052, 0.160742, 0.159289, 0.157736, 0.153967, 0.146363, 0.133794, 0.0876754, 0.0542655, 0.035464, 0.0272746, 0.0209293, 0.0148116, 0.010024, 0.00448102, 0.0021258, 0.00183787" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276562");
-            index_3 ("0.0467856, 0.0823534, 0.0913609, 0.113352, 0.147853, 0.171014, 0.186201, 0.216061, 0.239934, 0.282198, 0.315837, 0.336322, 0.365396, 0.380228, 0.408406, 0.434247, 0.455833, 0.479889, 0.514036, 0.54916, 0.576003, 0.62969, 0.711394, 0.793098");
-            values ( \
-              "0.17985, 0.18452, 0.185178, 0.182896, 0.175476, 0.16932, 0.164314, 0.151432, 0.134796, 0.103012, 0.0804811, 0.06817, 0.052931, 0.0463009, 0.0354929, 0.027543, 0.0221929, 0.0173563, 0.0121411, 0.00839393, 0.00630651, 0.00349545, 0.00136353, 0.000527555" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543681");
-            index_3 ("0.0490501, 0.0490701, 0.1398, 0.248139, 0.302522, 0.357563, 0.400504, 0.533914, 0.621899, 0.701834, 0.778073, 0.820806, 0.880839, 0.992845, 1.15625, 1.15845");
-            values ( \
-              "1e-22, 0.207087, 0.198628, 0.184427, 0.175584, 0.162178, 0.146518, 0.0907625, 0.0598424, 0.0391922, 0.0254456, 0.0198118, 0.0138127, 0.00698863, 0.00249828, 0.00248058" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.10688");
-            index_3 ("0.0535186, 0.0535386, 0.191311, 0.35623, 0.518298, 0.59605, 0.640505, 0.722209, 0.764099, 0.989306, 1.05292, 1.1345, 1.1915, 1.25808, 1.37235, 1.43747, 1.50778, 1.58949, 1.72729, 1.80899, 1.89069, 2.0541, 2.21751, 2.54433");
-            values ( \
-              "1e-22, 0.228446, 0.207151, 0.195922, 0.182925, 0.174206, 0.167678, 0.152037, 0.142887, 0.0908625, 0.077664, 0.0624198, 0.0531938, 0.0438735, 0.0310049, 0.0252039, 0.0202072, 0.0154271, 0.00979261, 0.00753003, 0.00564919, 0.0032346, 0.00183863, 0.000573912" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0619921, 0.0639473, 0.0660884, 0.0683248, 0.073587, 0.0773595, 0.0809019, 0.090943, 0.0988892, 0.10634, 0.116353, 0.12166, 0.13003, 0.133264, 0.139732, 0.150118, 0.15781, 0.163231, 0.174073, 0.181649, 0.187046, 0.197841, 0.214354, 0.225312, 0.247228, 0.282245, 0.324882");
-            values ( \
-              "0.0579886, 0.0763908, 0.0825501, 0.0870725, 0.0920708, 0.0929771, 0.0935405, 0.0912324, 0.0867964, 0.0789461, 0.0591463, 0.0496838, 0.0384094, 0.0348027, 0.0287219, 0.021065, 0.0168859, 0.0144453, 0.0104701, 0.00841079, 0.00720934, 0.00522329, 0.00324391, 0.0023249, 0.00121539, 0.000373618, 0.000103468" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715634");
-            index_3 ("0.0613999, 0.0656693, 0.0718753, 0.0783765, 0.0845349, 0.0938188, 0.104762, 0.115317, 0.119589, 0.126635, 0.146247, 0.15448, 0.165323, 0.173073, 0.189312, 0.20581, 0.216475, 0.236165, 0.251613, 0.281139, 0.306856, 0.333881");
-            values ( \
-              "0.0131374, 0.107781, 0.12255, 0.127524, 0.12882, 0.12816, 0.124861, 0.119191, 0.115723, 0.10733, 0.0738267, 0.0619708, 0.0494113, 0.0418614, 0.0293737, 0.0203239, 0.0159383, 0.0101093, 0.00702785, 0.00349516, 0.00186721, 0.00108368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140683");
-            index_3 ("0.063738, 0.0681043, 0.0709166, 0.0733401, 0.081552, 0.087589, 0.0943362, 0.103665, 0.124466, 0.137674, 0.146346, 0.163418, 0.201958, 0.237064, 0.253882, 0.267546, 0.285764, 0.300741, 0.319913, 0.344699, 0.373677, 0.397083, 0.443895, 0.46203");
-            values ( \
-              "0.0933914, 0.138998, 0.145946, 0.150367, 0.157544, 0.159413, 0.16047, 0.160262, 0.155737, 0.150647, 0.146193, 0.133885, 0.0872259, 0.0548981, 0.043061, 0.0351307, 0.0264798, 0.0208932, 0.0153176, 0.0101337, 0.00624659, 0.00418502, 0.00181181, 0.00145251" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276562");
-            index_3 ("0.0661698, 0.0803206, 0.086634, 0.0932469, 0.106389, 0.121111, 0.129728, 0.157303, 0.176632, 0.205028, 0.221503, 0.237703, 0.317828, 0.356162, 0.385317, 0.409672, 0.428572, 0.461395, 0.499771, 0.533946, 0.569175, 0.59611, 0.649979, 0.731683, 0.813387");
-            values ( \
-              "0.175077, 0.176956, 0.180614, 0.183032, 0.184895, 0.184432, 0.183255, 0.177856, 0.173075, 0.164914, 0.158437, 0.150025, 0.0922415, 0.0682217, 0.0529563, 0.0423976, 0.0354153, 0.0256466, 0.017365, 0.0121506, 0.00839182, 0.00629269, 0.00348553, 0.00135817, 0.000523601" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543681");
-            index_3 ("0.0684995, 0.0685195, 0.159794, 0.216268, 0.268369, 0.322752, 0.377805, 0.420697, 0.554093, 0.642099, 0.722134, 0.798249, 0.841001, 0.901047, 0.964446, 1.01315, 1.09486, 1.17656, 1.20548");
-            values ( \
-              "1e-22, 0.203663, 0.198604, 0.191565, 0.184383, 0.175538, 0.16222, 0.146533, 0.0907822, 0.0598507, 0.0391768, 0.0254538, 0.0198157, 0.0138147, 0.00941636, 0.00698509, 0.00417878, 0.00249689, 0.00226396" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.10688");
-            index_3 ("0.0717418, 0.0717618, 0.207386, 0.253133, 0.348824, 0.478208, 0.551016, 0.616649, 0.661069, 0.742773, 0.849978, 0.962549, 1.07348, 1.15506, 1.21207, 1.27865, 1.36035, 1.39291, 1.45803, 1.52835, 1.61005, 1.66057, 1.69797, 1.82955, 1.99296, 2.15637, 2.31978, 2.56489");
-            values ( \
-              "1e-22, 0.221454, 0.207321, 0.204496, 0.19796, 0.188252, 0.181779, 0.174317, 0.167563, 0.152151, 0.12778, 0.101368, 0.0775602, 0.0625234, 0.0532946, 0.0437727, 0.0342938, 0.0309055, 0.0253035, 0.0201085, 0.0155259, 0.0130544, 0.011542, 0.00743352, 0.00426737, 0.00243097, 0.00137232, 0.000669697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.100661, 0.103603, 0.106897, 0.112552, 0.1149, 0.123292, 0.127252, 0.134144, 0.141208, 0.146822, 0.150076, 0.165026, 0.171157, 0.177149, 0.190059, 0.205085, 0.215819, 0.22722, 0.235574, 0.252666, 0.283602, 0.31059");
-            values ( \
-              "0.0344666, 0.0547242, 0.0647123, 0.0742964, 0.0809494, 0.087521, 0.0889574, 0.0891347, 0.0863661, 0.0817655, 0.0774286, 0.0496143, 0.040899, 0.0342628, 0.0233492, 0.0151015, 0.0109987, 0.00790298, 0.00619732, 0.00375368, 0.00148965, 0.000694457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715634");
-            index_3 ("0.1007, 0.105722, 0.108187, 0.110106, 0.112602, 0.114205, 0.11609, 0.116811, 0.119694, 0.123539, 0.127297, 0.132608, 0.137635, 0.145832, 0.147938, 0.15215, 0.15856, 0.169258, 0.173786, 0.183354, 0.193891, 0.201872, 0.210423, 0.221823, 0.230321, 0.23733, 0.246532, 0.258731, 0.262676, 0.270566, 0.286346, 0.297123, 0.301194, 0.309335, 0.325617, 0.358181, 0.403577, 0.459197");
-            values ( \
-              "0.0215676, 0.0811645, 0.08916, 0.0946329, 0.0998517, 0.106719, 0.110615, 0.111303, 0.115314, 0.119821, 0.122871, 0.125144, 0.125663, 0.12452, 0.123824, 0.12224, 0.118707, 0.108527, 0.101168, 0.083991, 0.0670437, 0.0569386, 0.0475475, 0.0371622, 0.0309241, 0.0264962, 0.021545, 0.0163208, 0.0149156, 0.0124397, 0.00858491, 0.00667551, 0.0060617, 0.00500817, 0.00338905, 0.00150655, 0.0004442, 9.60395e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140683");
-            index_3 ("0.100673, 0.108826, 0.113693, 0.126667, 0.131747, 0.139065, 0.149285, 0.167599, 0.180923, 0.189597, 0.206681, 0.24525, 0.280306, 0.310815, 0.329056, 0.343997, 0.363123, 0.387931, 0.416942, 0.440381, 0.48726, 0.50168");
-            values ( \
-              "0.014447, 0.109918, 0.128849, 0.151062, 0.155309, 0.1581, 0.158901, 0.155436, 0.150506, 0.14613, 0.133842, 0.0871921, 0.0549118, 0.0351275, 0.0264662, 0.0208946, 0.0153302, 0.0101389, 0.00624643, 0.00418195, 0.0018085, 0.00152315" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276562");
-            index_3 ("0.105625, 0.113602, 0.124621, 0.130953, 0.137547, 0.145308, 0.154024, 0.163759, 0.164737, 0.166694, 0.170607, 0.176265, 0.18691, 0.192042, 0.202307, 0.220301, 0.248718, 0.264647, 0.281356, 0.361502, 0.399573, 0.443965, 0.498022, 0.54327, 0.577505, 0.640086, 0.694345, 0.736386");
-            values ( \
-              "0.104493, 0.14536, 0.169515, 0.177368, 0.178346, 0.184726, 0.182321, 0.185866, 0.182213, 0.185596, 0.181651, 0.184386, 0.179012, 0.181467, 0.175727, 0.174839, 0.163124, 0.15867, 0.150027, 0.0922356, 0.0683672, 0.0462356, 0.0275084, 0.0173876, 0.0121683, 0.00626558, 0.00346296, 0.00270702" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543681");
-            index_3 ("0.112598, 0.112618, 0.197149, 0.234338, 0.310667, 0.331409, 0.366458, 0.394113, 0.424431, 0.483647, 0.568172, 0.60355, 0.64095, 0.688393, 0.724772, 0.773278, 0.808712, 0.836935, 0.874564, 0.940911, 0.971217, 1.01631, 1.07643, 1.15813, 1.23984, 1.32154, 1.48495");
-            values ( \
-              "1e-22, 0.205376, 0.199166, 0.194783, 0.184542, 0.181382, 0.175442, 0.169628, 0.16116, 0.138616, 0.102723, 0.0885584, 0.0746483, 0.0591198, 0.0489444, 0.0375726, 0.0307937, 0.0262, 0.0210361, 0.0141228, 0.0117685, 0.00894894, 0.0061754, 0.00369072, 0.00220393, 0.00130846, 0.000460848" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.10688");
-            index_3 ("0.116366, 0.116386, 0.289142, 0.474221, 0.594924, 0.676628, 0.761399, 1.11781, 1.25543, 1.32216, 1.43666, 1.57239, 1.6541, 1.87287, 2.18784");
-            values ( \
-              "1e-22, 0.22255, 0.204883, 0.191948, 0.181683, 0.172076, 0.157309, 0.0774785, 0.0533184, 0.0438403, 0.0309425, 0.0201259, 0.0154743, 0.00748186, 0.00265359" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.173105, 0.181561, 0.185126, 0.191419, 0.19831, 0.206611, 0.212132, 0.216801, 0.226303, 0.230977, 0.235549, 0.244735, 0.248958, 0.249847, 0.263479, 0.269065, 0.275262, 0.282151, 0.291204, 0.296499, 0.3066, 0.315323, 0.32294, 0.331563, 0.343896, 0.357098, 0.367267, 0.387605, 0.423805, 0.466945");
-            values ( \
-              "0.00183879, 0.0292085, 0.035738, 0.0446988, 0.0531134, 0.0610579, 0.0647945, 0.0673961, 0.0705771, 0.0712437, 0.0712997, 0.0672658, 0.062052, 0.0624994, 0.0449971, 0.0385602, 0.0323905, 0.0265552, 0.020461, 0.0175959, 0.0131357, 0.0101777, 0.00815897, 0.00635186, 0.0044045, 0.00299494, 0.00223055, 0.0011973, 0.000375583, 7.77717e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715634");
-            index_3 ("0.173202, 0.188553, 0.195192, 0.201312, 0.206669, 0.212099, 0.216603, 0.223245, 0.229926, 0.23904, 0.242337, 0.248937, 0.249957, 0.251869, 0.256601, 0.261271, 0.266119, 0.29109, 0.299913, 0.309748, 0.322861, 0.331365, 0.344582, 0.355871, 0.365824, 0.376465, 0.391695, 0.407518, 0.419634, 0.443866, 0.488489, 0.541335");
-            values ( \
-              "0.00572335, 0.0540273, 0.0657648, 0.0751676, 0.0821468, 0.0879968, 0.0919907, 0.0968829, 0.101108, 0.10528, 0.106342, 0.10763, 0.109502, 0.110179, 0.110109, 0.108719, 0.104664, 0.0660936, 0.0550309, 0.0447107, 0.0336134, 0.0279558, 0.0208022, 0.0160884, 0.0128071, 0.00999536, 0.00698531, 0.00481878, 0.00360819, 0.00200336, 0.000610421, 0.000143322" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140683");
-            index_3 ("0.173096, 0.190514, 0.202787, 0.218524, 0.233305, 0.248831, 0.251851, 0.255028, 0.258229, 0.262172, 0.268886, 0.285914, 0.304056, 0.34199, 0.376481, 0.407531, 0.435775, 0.470709, 0.511739, 0.543186, 0.581098");
-            values ( \
-              "0.000327259, 0.06906, 0.0934543, 0.114806, 0.129297, 0.140461, 0.146499, 0.145449, 0.149807, 0.149357, 0.15183, 0.146553, 0.132524, 0.0867624, 0.0550555, 0.0349482, 0.0224731, 0.0127372, 0.00641027, 0.00373225, 0.00212056" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276562");
-            index_3 ("0.185184, 0.196859, 0.216137, 0.250172, 0.260371, 0.26751, 0.276597, 0.288105, 0.316364, 0.342074, 0.364931, 0.402388, 0.468148, 0.515458, 0.551399, 0.576488, 0.609855, 0.628637, 0.682496, 0.721852, 0.76683, 0.848534, 0.869189");
-            values ( \
-              "0.0918005, 0.0921467, 0.126533, 0.167154, 0.176416, 0.17969, 0.180556, 0.179311, 0.173044, 0.165734, 0.156723, 0.132744, 0.0851702, 0.0575814, 0.0415688, 0.0326912, 0.0234772, 0.0194217, 0.0110772, 0.00728944, 0.00449113, 0.00174232, 0.00155457" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543681");
-            index_3 ("0.195699, 0.23692, 0.261899, 0.268111, 0.277769, 0.290768, 0.306167, 0.356458, 0.427956, 0.462957, 0.517839, 0.56144, 0.695023, 0.78272, 0.861327, 0.904325, 0.939204, 0.981684, 1.04152, 1.10413, 1.15214, 1.23384, 1.31554, 1.36923");
-            values ( \
-              "0.143369, 0.163804, 0.193807, 0.197156, 0.199252, 0.199065, 0.197687, 0.191498, 0.18137, 0.175483, 0.162335, 0.146316, 0.0904972, 0.0597301, 0.0393967, 0.0309515, 0.0253444, 0.0197597, 0.0137941, 0.00944789, 0.00703792, 0.00421185, 0.00251557, 0.00208014" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.10688");
-            index_3 ("0.203884, 0.250823, 0.264482, 0.273276, 0.285047, 0.301009, 0.345498, 0.489498, 0.588563, 0.670267, 0.735236, 0.801768, 0.883472, 0.92541, 1.15062, 1.21423, 1.29581, 1.3528, 1.41939, 1.50109, 1.59877, 1.66908, 1.75079, 1.8387, 1.97027, 2.13368, 2.29709, 2.4605, 2.70561");
-            values ( \
-              "0.188767, 0.190117, 0.205118, 0.208953, 0.210396, 0.210175, 0.207574, 0.197876, 0.190587, 0.183761, 0.177027, 0.167676, 0.152068, 0.142858, 0.0908998, 0.0776265, 0.0624583, 0.0532343, 0.0438351, 0.0342342, 0.0252454, 0.0201675, 0.0154686, 0.0115968, 0.0074873, 0.00432031, 0.00248321, 0.00142397, 0.000618909" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.317482, 0.349599, 0.357168, 0.383511, 0.407456, 0.413859, 0.424582, 0.431538, 0.439699, 0.458487, 0.466942, 0.484253, 0.496643, 0.503861, 0.511695, 0.51975, 0.530489, 0.540248, 0.550118, 0.557314, 0.579693, 0.593098, 0.619727, 0.655553, 0.701608");
-            values ( \
-              "0.000157428, 0.0202595, 0.0245205, 0.037093, 0.0467268, 0.0487183, 0.0513608, 0.0519278, 0.0498847, 0.0373557, 0.032138, 0.0232378, 0.0178983, 0.0153105, 0.0127927, 0.010563, 0.00806459, 0.0062761, 0.00484408, 0.00508428, 0.00291516, 0.00192472, 0.000865428, 0.000255396, 6.33515e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715634");
-            index_3 ("0.329646, 0.342852, 0.37999, 0.407708, 0.419664, 0.436495, 0.449071, 0.4525, 0.459359, 0.46815, 0.506709, 0.527424, 0.540315, 0.550228, 0.555818, 0.575398, 0.587311, 0.604983, 0.61197, 0.629537, 0.649614, 0.689767, 0.739048");
-            values ( \
-              "0.0162648, 0.0227879, 0.0476609, 0.0639343, 0.0700135, 0.0778232, 0.0821871, 0.0828098, 0.0831828, 0.0804591, 0.0492412, 0.0350231, 0.0276075, 0.0227635, 0.0219753, 0.0149202, 0.0113001, 0.00749138, 0.00633398, 0.00420151, 0.00258417, 0.00091996, 0.000236577" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140683");
-            index_3 ("0.33388, 0.350105, 0.406006, 0.449468, 0.461752, 0.472123, 0.49074, 0.498796, 0.509536, 0.514587, 0.524688, 0.550052, 0.557243, 0.593454, 0.608879, 0.63297, 0.650052, 0.665829, 0.686866, 0.703218, 0.745585, 0.794004, 0.818582");
-            values ( \
-              "0.0283885, 0.0340693, 0.0769088, 0.105912, 0.112799, 0.116855, 0.121102, 0.120982, 0.118442, 0.116168, 0.109454, 0.0875512, 0.0836367, 0.0531234, 0.0425438, 0.0295545, 0.0225819, 0.0175348, 0.0123886, 0.00945125, 0.004608, 0.00193723, 0.0014085" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276562");
-            index_3 ("0.333831, 0.409723, 0.45155, 0.477626, 0.496556, 0.513717, 0.528926, 0.539474, 0.550022, 0.555181, 0.560241, 0.566221, 0.578181, 0.592019, 0.608271, 0.666086, 0.714053, 0.740677, 0.761052, 0.788219, 0.814865, 0.848484, 0.885891, 0.928121, 0.961586, 1.02851, 1.11022, 1.19192");
-            values ( \
-              "0.0236656, 0.0893705, 0.123288, 0.140743, 0.149173, 0.154374, 0.1573, 0.158545, 0.159052, 0.160494, 0.160624, 0.160115, 0.156864, 0.149831, 0.139154, 0.096086, 0.0662103, 0.0524736, 0.0435629, 0.0336189, 0.0258669, 0.0184014, 0.0124331, 0.00797309, 0.00557824, 0.00262951, 0.00102868, 0.000392839" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543681");
-            index_3 ("0.354966, 0.39623, 0.460366, 0.493601, 0.522196, 0.556672, 0.571236, 0.58248, 0.600834, 0.665245, 0.702853, 0.735751, 0.794859, 0.91434, 0.999591, 1.03633, 1.08531, 1.14802, 1.1851, 1.25195, 1.3282, 1.38917, 1.47087, 1.55258, 1.59607");
-            values ( \
-              "0.0749661, 0.0829305, 0.140603, 0.163337, 0.176007, 0.187736, 0.190092, 0.189638, 0.187435, 0.177709, 0.170218, 0.161192, 0.138642, 0.0887681, 0.0591583, 0.0488838, 0.0374238, 0.0262354, 0.0211352, 0.0141444, 0.00891514, 0.0061219, 0.00365584, 0.00218567, 0.00187858" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.10688");
-            index_3 ("0.371623, 0.426649, 0.463769, 0.493983, 0.557762, 0.568354, 0.577571, 0.593147, 0.704415, 0.803479, 0.885183, 0.950154, 1.01668, 1.09839, 1.14033, 1.36554, 1.42914, 1.51072, 1.56772, 1.6343, 1.71601, 1.81368, 1.88399, 1.9657, 2.05361, 2.18518, 2.34859, 2.512, 2.6754, 2.92052");
-            values ( \
-              "0.113525, 0.114364, 0.149927, 0.172236, 0.201793, 0.204783, 0.205713, 0.205375, 0.197914, 0.190623, 0.183726, 0.176997, 0.167705, 0.152041, 0.142884, 0.0908843, 0.0776417, 0.0624442, 0.0532231, 0.0438471, 0.0342237, 0.025236, 0.0201774, 0.0154598, 0.0116038, 0.00749367, 0.00432622, 0.00248875, 0.00142918, 0.000614189" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.638781, 0.664174, 0.693289, 0.693854, 0.697249, 0.710826, 0.760967, 0.776727, 0.797039, 0.809258, 0.829117, 0.848709, 0.865892, 0.870728, 0.880399, 0.89557, 0.897418, 0.908504, 0.933867, 0.951091, 0.966853, 0.977049, 0.995645, 1.012, 1.03353, 1.06224, 1.10993, 1.16624, 1.21597, 1.2394, 1.29773");
-            values ( \
-              "0.00500348, 0.00532604, 0.00787613, 0.00784299, 0.00832214, 0.0107222, 0.022268, 0.0255756, 0.0295266, 0.0316569, 0.034323, 0.0327923, 0.027838, 0.027577, 0.0252159, 0.0222417, 0.0215259, 0.0187755, 0.0128802, 0.00962056, 0.00712591, 0.00584353, 0.00396696, 0.0027705, 0.00169968, 0.000848429, 0.000233722, 5.63713e-05, 2.62521e-05, 0.000415646, 5.06674e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715634");
-            index_3 ("0.638708, 0.671616, 0.686698, 0.705651, 0.721449, 0.768515, 0.782727, 0.814261, 0.834088, 0.853763, 0.865064, 0.884558, 0.894986, 0.912674, 0.956676, 0.977386, 0.989666, 1.00762, 1.02258, 1.03515, 1.04941, 1.07759, 1.0954, 1.11576, 1.15647, 1.21593, 1.23953, 1.30089");
-            values ( \
-              "0.00642751, 0.00924128, 0.0107326, 0.0141423, 0.0179571, 0.0325663, 0.0365386, 0.0450519, 0.049804, 0.0537741, 0.0557443, 0.0565048, 0.0540857, 0.0487194, 0.0320032, 0.0244641, 0.0205598, 0.0155374, 0.0121153, 0.00972471, 0.00746458, 0.00433586, 0.003072, 0.00199607, 0.000835828, 0.00017713, 0.000791685, 0.000158289" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140683");
-            index_3 ("0.638731, 0.684074, 0.71083, 0.724181, 0.80471, 0.877451, 0.908634, 0.922444, 0.95121, 0.981985, 1.03905, 1.07248, 1.09777, 1.11849, 1.13663, 1.16081, 1.18118, 1.19145, 1.21598, 1.22398, 1.23443, 1.26214, 1.28556, 1.33239, 1.40059");
-            values ( \
-              "0.00747773, 0.0148634, 0.0200573, 0.023846, 0.052317, 0.0754511, 0.0848256, 0.0876362, 0.0882819, 0.0768862, 0.0492683, 0.0345049, 0.0253078, 0.0192268, 0.0149173, 0.0104031, 0.00766462, 0.00650017, 0.00440384, 0.00490273, 0.00457024, 0.00290372, 0.00192529, 0.000848085, 0.000205757" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276562");
-            index_3 ("0.663824, 0.718934, 0.726511, 0.752905, 0.763444, 0.804026, 0.86263, 0.912292, 0.925777, 0.950012, 0.970192, 1.01112, 1.05123, 1.09131, 1.10011, 1.16796, 1.20516, 1.21592, 1.22338, 1.23772, 1.24974, 1.26605, 1.28697, 1.29109, 1.29932, 1.31578, 1.36791, 1.37943, 1.40246, 1.44853, 1.53023, 1.69364");
-            values ( \
-              "0.0231316, 0.0271508, 0.029205, 0.0383303, 0.0428526, 0.0588009, 0.0804115, 0.100403, 0.105193, 0.113082, 0.118307, 0.125244, 0.123223, 0.109266, 0.105492, 0.0722907, 0.0547876, 0.0502858, 0.0490744, 0.0427367, 0.0389791, 0.0326205, 0.0272105, 0.0255462, 0.0239577, 0.0199102, 0.0115185, 0.0105387, 0.00796218, 0.00509342, 0.00177092, 0.00013697" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543681");
-            index_3 ("0.663606, 0.732189, 0.748155, 0.858545, 0.927374, 0.986108, 1.02569, 1.08982, 1.1509, 1.21199, 1.22759, 1.2521, 1.37437, 1.45375, 1.52507, 1.57463, 1.6443, 1.6834, 1.75989, 1.80318, 1.88488, 1.96658, 2.04829, 2.07978");
-            values ( \
-              "0.0219711, 0.0351436, 0.0404766, 0.0846701, 0.115063, 0.136391, 0.147185, 0.158288, 0.162297, 0.157184, 0.15473, 0.146563, 0.095299, 0.0661453, 0.0458097, 0.0348661, 0.0234113, 0.0185957, 0.0117397, 0.00901828, 0.0054129, 0.00323521, 0.00192755, 0.00173192" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.10688");
-            index_3 ("0.722379, 0.817531, 0.945533, 1.01314, 1.07327, 1.1217, 1.18046, 1.23647, 1.25878, 1.37611, 1.49439, 1.57609, 1.90682, 2.0454, 2.11198, 2.22624, 2.36167, 2.44337, 2.53128, 2.66285, 2.82625, 2.98966, 3.03326");
-            values ( \
-              "0.0670895, 0.0709474, 0.128089, 0.153304, 0.169739, 0.179406, 0.187553, 0.192433, 0.192267, 0.182543, 0.167674, 0.152061, 0.0776239, 0.0532399, 0.0438308, 0.0309611, 0.020163, 0.0154748, 0.011592, 0.00748232, 0.00431502, 0.00247778, 0.00227999" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0069814, 0.00700208, 0.00702316, 0.00704015, 0.0070516, 0.00705843", \
-            "0.00883759, 0.00885832, 0.00888316, 0.00890625, 0.00892338, 0.00893421", \
-            "0.0100525, 0.010058, 0.0100698, 0.0100857, 0.0101007, 0.0101115", \
-            "0.0108874, 0.0108679, 0.0108503, 0.0108395, 0.0108362, 0.0108368", \
-            "0.0114722, 0.0114406, 0.0113978, 0.0113502, 0.0113119, 0.0112895", \
-            "0.0119226, 0.0118878, 0.0118422, 0.0117915, 0.0117346, 0.0116789" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0103144, 0.0103176, 0.010328, 0.0103414, 0.0103527, 0.0103604", \
-            "0.011062, 0.0109969, 0.0109295, 0.0108857, 0.0108588, 0.0108439", \
-            "0.0111952, 0.0110838, 0.010959, 0.0108422, 0.0107529, 0.0106934", \
-            "0.0121722, 0.0119856, 0.0117744, 0.0115487, 0.0113576, 0.011231", \
-            "0.0125751, 0.0123686, 0.0118095, 0.0115375, 0.0112937, 0.0111051", \
-            "0.0120046, 0.0120618, 0.0121128, 0.0117699, 0.0111522, 0.0108558" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0212302, 0.024051, 0.0246711, 0.0253767, 0.0287491, 0.0316291, 0.0339656, 0.0368842, 0.0397183, 0.0439343, 0.0486234, 0.052065, 0.0585411, 0.0635605, 0.0679437, 0.07948, 0.0835437, 0.089985, 0.0946259, 0.0994574, 0.105899, 0.110752, 0.113626, 0.119374, 0.13087, 0.148418, 0.19432");
-            values ( \
-              "-0.00792257, -0.114097, -0.118436, -0.118981, -0.114309, -0.112226, -0.112644, -0.114291, -0.115166, -0.110289, -0.100474, -0.0986903, -0.103809, -0.100045, -0.0882883, -0.0524405, -0.0415148, -0.0281762, -0.0207994, -0.0151738, -0.00999548, -0.00717755, -0.00608314, -0.00413346, -0.00212444, -0.00073576, -0.000172922" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715634");
-            index_3 ("0.0212111, 0.0252215, 0.0264422, 0.0303041, 0.0329205, 0.0377776, 0.0414958, 0.0488494, 0.0525278, 0.0538557, 0.0565114, 0.0609025, 0.0667843, 0.0711437, 0.0779062, 0.0823966, 0.0945242, 0.101544, 0.107704, 0.111949, 0.117545, 0.12285, 0.128218, 0.136204, 0.140371, 0.146819, 0.155416, 0.17261, 0.1976, 0.271428, 0.391746");
-            values ( \
-              "-0.01927, -0.177659, -0.17818, -0.172656, -0.171495, -0.174119, -0.172948, -0.151857, -0.144204, -0.14246, -0.141038, -0.144033, -0.156324, -0.161105, -0.153228, -0.138424, -0.0921089, -0.0685528, -0.0518307, -0.0423599, -0.0321933, -0.0247282, -0.0188707, -0.012559, -0.0101997, -0.00741349, -0.00486789, -0.00211377, -0.000724424, -0.00014652, -4.22364e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140683");
-            index_3 ("0.0236123, 0.041556, 0.0446401, 0.0555963, 0.0601344, 0.064326, 0.0688761, 0.0755526, 0.081991, 0.0922157, 0.0975831, 0.106185, 0.130616, 0.146552, 0.158207, 0.165367, 0.173819, 0.185089, 0.200335, 0.211142, 0.232755, 0.266044, 0.30757, 0.42113");
-            values ( \
-              "-0.239814, -0.242139, -0.241059, -0.208135, -0.197727, -0.191268, -0.188212, -0.190758, -0.20275, -0.217404, -0.213855, -0.195997, -0.115275, -0.0728418, -0.0505473, -0.0400849, -0.0303324, -0.0208042, -0.0124103, -0.00868613, -0.00409441, -0.00136717, -0.000385799, -6.57352e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276562");
-            index_3 ("0.0246395, 0.0406145, 0.044785, 0.0483007, 0.0524024, 0.0668988, 0.0735862, 0.0811376, 0.0891864, 0.0974509, 0.111968, 0.118169, 0.128243, 0.137556, 0.156183, 0.168188, 0.192645, 0.20334, 0.220502, 0.238024, 0.252226, 0.265301, 0.282734, 0.297453, 0.30449, 0.318562, 0.346708, 0.398109, 0.458268, 0.578585");
-            values ( \
-              "-0.310445, -0.313146, -0.315135, -0.311299, -0.302287, -0.25465, -0.239522, -0.233618, -0.231542, -0.232558, -0.242848, -0.251666, -0.259298, -0.25784, -0.234089, -0.207336, -0.149118, -0.125944, -0.0940218, -0.068339, -0.0522063, -0.0404997, -0.0285573, -0.0212779, -0.0184199, -0.0138922, -0.00771909, -0.0025373, -0.000698362, -0.000116978" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543681");
-            index_3 ("0.0237868, 0.0266421, 0.0351372, 0.0424066, 0.0466411, 0.0502959, 0.0516055, 0.0690335, 0.0748945, 0.0817888, 0.0910513, 0.102493, 0.135059, 0.152999, 0.187859, 0.222096, 0.256292, 0.258322, 0.338634, 0.369547, 0.405248, 0.428629, 0.448135, 0.471208, 0.504005, 0.52597, 0.567664, 0.623256, 0.683415, 0.714473");
-            values ( \
-              "-0.264908, -0.324248, -0.3417, -0.373584, -0.381631, -0.381071, -0.378856, -0.311305, -0.294747, -0.283824, -0.277934, -0.274594, -0.273419, -0.275004, -0.285701, -0.286815, -0.253485, -0.25328, -0.139358, -0.104254, -0.0729374, -0.0569925, -0.0462193, -0.0358633, -0.024853, -0.0193957, -0.0120785, -0.00634596, -0.00310299, -0.00264847" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.10688");
-            index_3 ("0.0243059, 0.0270009, 0.0495028, 0.054021, 0.074121, 0.0818961, 0.0914605, 0.102736, 0.116542, 0.258005, 0.279096, 0.324172, 0.369107, 0.387172, 0.41907, 0.454918, 0.580762, 0.658965, 0.703138, 0.753622, 0.785454, 0.822971, 0.862905, 0.919068, 0.987157, 1.04732, 1.10747, 1.22779, 1.27837");
-            values ( \
-              "-0.285429, -0.34444, -0.433552, -0.427504, -0.341903, -0.325622, -0.31575, -0.31192, -0.309671, -0.303674, -0.304288, -0.307813, -0.304503, -0.298938, -0.286941, -0.264191, -0.164436, -0.112266, -0.0892366, -0.0672614, -0.0561772, -0.0452546, -0.0360371, -0.0255564, -0.0169254, -0.0119248, -0.0080476, -0.00378881, -0.00293705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0302567, 0.0530203, 0.058105, 0.0608855, 0.0668389, 0.0702896, 0.0767399, 0.0816963, 0.106666, 0.117635, 0.13371, 0.145037, 0.146765");
-            values ( \
-              "-6.0442e-05, -0.119317, -0.117369, -0.114399, -0.101681, -0.0993231, -0.103803, -0.100189, -0.0309181, -0.0152975, -0.00535098, -0.00266429, -0.00249002" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715634");
-            index_3 ("0.0363015, 0.0399241, 0.0436977, 0.050876, 0.052003, 0.053338, 0.05823, 0.0608531, 0.0667666, 0.0708494, 0.0721329, 0.0746998, 0.0790427, 0.0852749, 0.0893956, 0.0960945, 0.100776, 0.11269, 0.119591, 0.126193, 0.130358, 0.135872, 0.140989, 0.146399, 0.154415, 0.15863, 0.165184, 0.173921, 0.191397, 0.216253, 0.28959, 0.409907");
-            values ( \
-              "-0.0535034, -0.0889311, -0.11561, -0.158158, -0.172737, -0.179818, -0.177105, -0.17296, -0.153889, -0.1448, -0.142872, -0.141491, -0.14405, -0.156798, -0.161064, -0.153355, -0.137908, -0.0924041, -0.069191, -0.0512738, -0.0420487, -0.0320911, -0.0248836, -0.0189458, -0.0125934, -0.0102017, -0.00737611, -0.00481163, -0.00206066, -0.000717217, -0.000147422, -4.29209e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140683");
-            index_3 ("0.0363119, 0.0419065, 0.0466457, 0.0509343, 0.0517154, 0.0527179, 0.0536517, 0.0555195, 0.0601897, 0.0631006, 0.0662735, 0.0738135, 0.0774386, 0.0815815, 0.0872899, 0.0938855, 0.100401, 0.109979, 0.117257, 0.124576, 0.135362, 0.146655, 0.155021, 0.164484, 0.169512, 0.179569, 0.183898, 0.189191, 0.196249, 0.208085, 0.213715, 0.222185, 0.23348, 0.256068, 0.291171, 0.334105, 0.450743");
-            values ( \
-              "-0.0426353, -0.138627, -0.181118, -0.214719, -0.231127, -0.241657, -0.243839, -0.244171, -0.244216, -0.242344, -0.233906, -0.209199, -0.200328, -0.193032, -0.188221, -0.190826, -0.2027, -0.217143, -0.212359, -0.195447, -0.160953, -0.122781, -0.097778, -0.074035, -0.0634465, -0.0459917, -0.0399654, -0.0335915, -0.026569, -0.0177843, -0.014725, -0.0110866, -0.00759328, -0.00351082, -0.0010826, -0.000350551, -8.92835e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276562");
-            index_3 ("0.0363373, 0.0446248, 0.0528564, 0.0574907, 0.0592276, 0.06238, 0.0692215, 0.0888745, 0.102014, 0.116026, 0.122978, 0.136722, 0.153074, 0.162423, 0.176313, 0.214541, 0.238881, 0.266048, 0.29263, 0.322592, 0.343612, 0.39796, 0.404705");
-            values ( \
-              "-0.0410317, -0.199427, -0.297113, -0.314996, -0.313668, -0.317202, -0.307404, -0.24421, -0.232067, -0.232476, -0.236333, -0.251012, -0.260794, -0.253426, -0.230759, -0.141478, -0.0940782, -0.0569567, -0.0341187, -0.0185367, -0.0120798, -0.00386652, -0.00355704" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543681");
-            index_3 ("0.0416964, 0.0509539, 0.053495, 0.0594074, 0.0662916, 0.0702576, 0.0746179, 0.0895405, 0.0965529, 0.100436, 0.108203, 0.119637, 0.135832, 0.153637, 0.171641, 0.206498, 0.240725, 0.274926, 0.276961, 0.357301, 0.38819, 0.423474, 0.447141, 0.46702, 0.490005, 0.522737, 0.558339, 0.585716, 0.640469, 0.700628, 0.760787, 0.881104");
-            values ( \
-              "-0.287163, -0.300619, -0.344866, -0.369348, -0.38328, -0.379499, -0.365075, -0.305741, -0.288152, -0.284416, -0.278379, -0.275085, -0.273368, -0.273525, -0.274913, -0.285788, -0.286733, -0.253572, -0.253294, -0.13925, -0.104173, -0.073342, -0.0569795, -0.0460076, -0.0358887, -0.0247397, -0.0165787, -0.0122447, -0.006372, -0.00324062, -0.00154222, -0.000384706" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.10688");
-            index_3 ("0.0392957, 0.0537232, 0.0615999, 0.0681056, 0.0727197, 0.0864505, 0.0927234, 0.10052, 0.109985, 0.121218, 0.134839, 0.177357, 0.276609, 0.320313, 0.342778, 0.387707, 0.405777, 0.437675, 0.473523, 0.599367, 0.648191, 0.67757, 0.721743, 0.772226, 0.816564, 0.841575, 0.881509, 0.937672, 1.00576, 1.06592, 1.12608, 1.2464, 1.29731");
-            values ( \
-              "-0.158721, -0.372421, -0.415812, -0.434526, -0.427426, -0.362373, -0.341657, -0.325622, -0.315689, -0.312036, -0.309631, -0.307357, -0.303596, -0.305852, -0.307913, -0.304405, -0.299037, -0.286843, -0.26429, -0.164529, -0.130526, -0.112358, -0.0891467, -0.0673519, -0.0524688, -0.0453419, -0.0359504, -0.0256433, -0.0170118, -0.0118389, -0.0081336, -0.00387449, -0.00301682" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0564094, 0.0707239, 0.0979681, 0.102399, 0.108791, 0.116404, 0.120836, 0.124499, 0.141326, 0.150136, 0.162848, 0.175237, 0.187647, 0.201275");
-            values ( \
-              "-0.000601702, -0.0357165, -0.088682, -0.0930344, -0.094825, -0.10925, -0.106163, -0.0979808, -0.0456893, -0.0268821, -0.0117981, -0.00529689, -0.00248914, -0.00123998" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715634");
-            index_3 ("0.0563063, 0.076489, 0.0953023, 0.100699, 0.104796, 0.109009, 0.112602, 0.113876, 0.116197, 0.118344, 0.120452, 0.122518, 0.12665, 0.130669, 0.138895, 0.153613, 0.166474, 0.170897, 0.176834, 0.178835, 0.182838, 0.190843, 0.196753, 0.199974, 0.206415, 0.219299, 0.23811, 0.263964, 0.288175");
-            values ( \
-              "-2.03621e-05, -0.0706457, -0.126864, -0.138657, -0.140594, -0.140655, -0.14228, -0.151977, -0.146825, -0.149468, -0.147621, -0.153789, -0.157585, -0.163621, -0.1504, -0.0918163, -0.0519641, -0.0451689, -0.0308845, -0.031131, -0.0225062, -0.0177103, -0.0102948, -0.0117833, -0.00575128, -0.00551102, -1e-22, -0.00218937, -0.000521953" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140683");
-            index_3 ("0.056284, 0.0897207, 0.103947, 0.10661, 0.109451, 0.11258, 0.114201, 0.115893, 0.122043, 0.125193, 0.128634, 0.135245, 0.141661, 0.151849, 0.157264, 0.165833, 0.190531, 0.200517, 0.209817, 0.215576, 0.229306, 0.237422, 0.249049, 0.263744, 0.275495, 0.298997, 0.33405, 0.356182");
-            values ( \
-              "-0.000451742, -0.151143, -0.205794, -0.208893, -0.210132, -0.210021, -0.214271, -0.213139, -0.197549, -0.192966, -0.190249, -0.192044, -0.203339, -0.217563, -0.21392, -0.196047, -0.114415, -0.0863583, -0.0652227, -0.054432, -0.0348578, -0.0266038, -0.0179477, -0.0109696, -0.00738943, -0.00331417, -0.00102823, -0.000898859" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276562");
-            index_3 ("0.0660476, 0.104915, 0.114519, 0.127757, 0.139988, 0.147759, 0.157424, 0.168142, 0.178116, 0.197604, 0.219474, 0.251652, 0.280492, 0.302598, 0.333688, 0.354213, 0.379759, 0.438054");
-            values ( \
-              "-0.0651677, -0.272577, -0.294322, -0.252307, -0.235126, -0.231983, -0.232694, -0.239353, -0.251573, -0.259724, -0.227675, -0.151446, -0.0940831, -0.0626858, -0.0342779, -0.0226527, -0.0135343, -0.00429887" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543681");
-            index_3 ("0.0749934, 0.092534, 0.107586, 0.115161, 0.126646, 0.140207, 0.150962, 0.16154, 0.177128, 0.194979, 0.21293, 0.247796, 0.282017, 0.316218, 0.318254, 0.398567, 0.429481, 0.463886, 0.488218, 0.508721, 0.531562, 0.564184, 0.599352, 0.625998, 0.679227, 0.739386, 0.799545, 0.919862");
-            values ( \
-              "-0.219641, -0.237319, -0.34424, -0.365829, -0.319166, -0.284762, -0.278107, -0.275036, -0.273484, -0.273496, -0.274938, -0.285761, -0.286756, -0.253551, -0.253257, -0.139361, -0.104254, -0.0739395, -0.0571825, -0.0458728, -0.0357109, -0.0247684, -0.0166977, -0.0123212, -0.00663767, -0.00327258, -0.0016554, -0.000461383" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.10688");
-            index_3 ("0.0752848, 0.0912396, 0.103529, 0.115063, 0.130959, 0.149349, 0.17358, 0.195125, 0.31796, 0.384133, 0.429039, 0.447133, 0.479031, 0.51488, 0.640726, 0.718928, 0.763099, 0.813579, 0.882941, 0.922873, 0.979034, 1.04712, 1.10728, 1.16744, 1.28775, 1.32575");
-            values ( \
-              "-0.236253, -0.246411, -0.357144, -0.416536, -0.350615, -0.316808, -0.309687, -0.308381, -0.303593, -0.307914, -0.304406, -0.299033, -0.286841, -0.264286, -0.164517, -0.112346, -0.0891604, -0.0673397, -0.0453236, -0.0359657, -0.0256259, -0.0169946, -0.0118562, -0.00811621, -0.00385686, -0.00345652" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.10799, 0.121545, 0.132594, 0.173715, 0.177839, 0.181702, 0.185458, 0.190513, 0.195986, 0.202857, 0.20811, 0.212246, 0.219228, 0.232435, 0.244269, 0.248926, 0.250954, 0.260092, 0.269491, 0.276917, 0.291769, 0.311386, 0.314055");
-            values ( \
-              "-0.00440521, -0.0149447, -0.0212246, -0.0566442, -0.0588018, -0.0594655, -0.0593536, -0.0608065, -0.0676494, -0.081075, -0.0871113, -0.0859592, -0.0724844, -0.0381249, -0.0183522, -0.0135852, -0.0142488, -0.00807899, -0.00429114, -0.0027047, -0.00113401, -0.000482366, -0.000466321" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715634");
-            index_3 ("0.107899, 0.125116, 0.137191, 0.169497, 0.180945, 0.190894, 0.196087, 0.202383, 0.208958, 0.213716, 0.220478, 0.229318, 0.230333, 0.234392, 0.24897, 0.251752, 0.260408, 0.266667, 0.272018, 0.280376, 0.287913, 0.293348, 0.303991, 0.318182, 0.341573, 0.358686");
-            values ( \
-              "-0.00364192, -0.0262873, -0.0382865, -0.0813339, -0.0908887, -0.0920927, -0.0936401, -0.0994516, -0.112648, -0.126431, -0.14107, -0.139309, -0.137619, -0.126294, -0.0769476, -0.0720633, -0.0488893, -0.0358336, -0.027428, -0.0179698, -0.0122395, -0.00933219, -0.00552714, -0.00277895, -0.000954854, -0.000613367" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140683");
-            index_3 ("0.107746, 0.144467, 0.182114, 0.188225, 0.194255, 0.200069, 0.207982, 0.215145, 0.217783, 0.223061, 0.233086, 0.24582, 0.24894, 0.251799, 0.255888, 0.28404, 0.292383, 0.301663, 0.315163, 0.32152, 0.329593, 0.341188, 0.355733, 0.367347, 0.390576, 0.425179, 0.467956, 0.583794");
-            values ( \
-              "-0.00310464, -0.0653673, -0.136918, -0.141913, -0.141986, -0.140566, -0.141535, -0.14628, -0.149694, -0.158025, -0.184604, -0.213594, -0.210385, -0.2117, -0.20358, -0.11014, -0.0869122, -0.065734, -0.0427475, -0.0347196, -0.0265351, -0.0179209, -0.0110074, -0.00745156, -0.00337278, -0.00106394, -0.000352782, -9.35246e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276562");
-            index_3 ("0.107467, 0.198465, 0.210796, 0.21816, 0.236463, 0.251141, 0.281322, 0.288814, 0.303797, 0.375748, 0.401442, 0.425099, 0.47144, 0.503463");
-            values ( \
-              "-0.0110491, -0.199208, -0.191696, -0.192377, -0.211549, -0.237142, -0.259875, -0.257779, -0.240829, -0.0874968, -0.0541438, -0.0341396, -0.0133967, -0.00844129" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543681");
-            index_3 ("0.124554, 0.148237, 0.161722, 0.18172, 0.192595, 0.194461, 0.198192, 0.209515, 0.217158, 0.22513, 0.244342, 0.252451, 0.257045, 0.261023, 0.277976, 0.287263, 0.30501, 0.339884, 0.374085, 0.408296, 0.410343, 0.454592, 0.490663, 0.521572, 0.539174, 0.557269, 0.580653, 0.600162, 0.623233, 0.65603, 0.671044, 0.691889, 0.719682, 0.775267, 0.835426, 0.895585, 1.0159");
-            values ( \
-              "-0.0603713, -0.105007, -0.140545, -0.221169, -0.250526, -0.250596, -0.249367, -0.239547, -0.237551, -0.240338, -0.264438, -0.276654, -0.277505, -0.275602, -0.273925, -0.27381, -0.27501, -0.28574, -0.286782, -0.253536, -0.25329, -0.18946, -0.139314, -0.104214, -0.0877278, -0.0729912, -0.0569403, -0.0461656, -0.0359158, -0.0248003, -0.0209652, -0.0165791, -0.0121325, -0.00629443, -0.00315642, -0.00154736, -0.000409169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.10688");
-            index_3 ("0.140042, 0.173509, 0.188574, 0.195777, 0.210326, 0.216678, 0.233578, 0.252168, 0.256427, 0.26001, 0.286987, 0.409852, 0.430968, 0.476025, 0.52094, 0.539025, 0.570923, 0.606772, 0.732618, 0.781444, 0.829752, 0.905474, 0.949818, 1.01477, 1.07093, 1.13901, 1.19917, 1.25933, 1.37965, 1.42418");
-            values ( \
-              "-0.148414, -0.206195, -0.280011, -0.286652, -0.27233, -0.271054, -0.282944, -0.312348, -0.313935, -0.312086, -0.308816, -0.303643, -0.304371, -0.307903, -0.30441, -0.299025, -0.286846, -0.264279, -0.164524, -0.130525, -0.101837, -0.0673512, -0.052469, -0.0359505, -0.0256413, -0.0170104, -0.0118403, -0.00813224, -0.00387307, -0.00303169" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.228327, 0.258387, 0.265722, 0.269815, 0.273907, 0.295792, 0.30585, 0.325844, 0.327456, 0.329067, 0.335513, 0.343355, 0.345423, 0.34749, 0.349558, 0.351625, 0.353467, 0.355309, 0.357151, 0.358993, 0.360228, 0.364551, 0.368257, 0.372314, 0.374342, 0.376371, 0.378399, 0.380428, 0.381776, 0.383124, 0.384429, 0.385735, 0.387041, 0.388347, 0.39109, 0.393833, 0.400021, 0.408413, 0.411427, 0.414442, 0.417456, 0.420101, 0.424198, 0.426342, 0.428485, 0.430629, 0.432772, 0.434916, 0.43706, 0.443407");
-            values ( \
-              "-0.0117981, -0.0118964, -0.0140673, -0.0153994, -0.0168182, -0.0253935, -0.0292411, -0.0363884, -0.0368087, -0.0371402, -0.03758, -0.0382265, -0.0386169, -0.0390991, -0.0396731, -0.0403389, -0.0411819, -0.0421607, -0.0432752, -0.0445254, -0.0457249, -0.0505002, -0.0543714, -0.0579605, -0.0594595, -0.0607615, -0.0618665, -0.0627746, -0.0628166, -0.0627319, -0.062529, -0.0622072, -0.0617663, -0.0612066, -0.0588403, -0.0558829, -0.0466853, -0.0328607, -0.0282289, -0.0242585, -0.0206805, -0.0178805, -0.0138751, -0.0122537, -0.0107915, -0.00955685, -0.00843591, -0.00742871, -0.00653525, -0.00443939" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715634");
-            index_3 ("0.200127, 0.216979, 0.248196, 0.27033, 0.330445, 0.34725, 0.361444, 0.37083, 0.38901, 0.405952, 0.415324, 0.420569, 0.455782, 0.473252, 0.485692, 0.500368");
-            values ( \
-              "-0.00455776, -0.00646356, -0.0153096, -0.0243698, -0.0571577, -0.0589591, -0.0620776, -0.0672222, -0.0919781, -0.10368, -0.0978892, -0.0900778, -0.0256512, -0.0115077, -0.0064011, -0.00398579" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140683");
-            index_3 ("0.21134, 0.216854, 0.247277, 0.265079, 0.275286, 0.287158, 0.335191, 0.342367, 0.349775, 0.356295, 0.362645, 0.374709, 0.382261, 0.389568, 0.401178, 0.412673, 0.430951, 0.447696, 0.464323, 0.48892, 0.502096, 0.511625, 0.521009, 0.533523, 0.548775, 0.550247, 0.553192, 0.55708, 0.568061, 0.577332, 0.595723, 0.628075");
-            values ( \
-              "-0.00857401, -0.00951881, -0.0221723, -0.0316817, -0.0381749, -0.0470839, -0.0854603, -0.0919011, -0.095305, -0.095087, -0.0938639, -0.0926451, -0.0943066, -0.0978857, -0.107832, -0.125508, -0.150841, -0.153235, -0.130979, -0.0768126, -0.0532655, -0.0400848, -0.0299322, -0.0198974, -0.0120455, -0.0115083, -0.0123612, -0.0117206, -0.00788124, -0.00575856, -0.00314122, -0.00112328" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276562");
-            index_3 ("0.216843, 0.263707, 0.27535, 0.290241, 0.30243, 0.321413, 0.323146, 0.324995, 0.342637, 0.350842, 0.359593, 0.376168, 0.387386, 0.401001, 0.420157, 0.435812, 0.488857, 0.512009, 0.535045, 0.538077, 0.544142, 0.550311, 0.555934, 0.576551, 0.588866, 0.605987, 0.615165, 0.630937, 0.651125, 0.660307, 0.674228, 0.692791, 0.729915, 0.758868");
-            values ( \
-              "-0.00808097, -0.0405669, -0.0494394, -0.0623854, -0.0748269, -0.098375, -0.101693, -0.102112, -0.127667, -0.135305, -0.135359, -0.126773, -0.125081, -0.131059, -0.143422, -0.156007, -0.220461, -0.210838, -0.175395, -0.166225, -0.156005, -0.141242, -0.133106, -0.0942721, -0.0753307, -0.0545524, -0.0456651, -0.033487, -0.0222721, -0.0185161, -0.0139795, -0.00957152, -0.00439028, -0.00279166" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543681");
-            index_3 ("0.243304, 0.277281, 0.29879, 0.313768, 0.349286, 0.360229, 0.369347, 0.382539, 0.394048, 0.409623, 0.424592, 0.500927, 0.517704, 0.550172, 0.556048, 0.562383, 0.578106, 0.591292, 0.614387, 0.689033, 0.718998, 0.734752, 0.762644, 0.785322, 0.803489, 0.82688, 0.859917, 0.89673, 0.925364, 0.982632, 1.04279, 1.10295, 1.22327");
-            values ( \
-              "-0.0545396, -0.0607535, -0.083038, -0.103445, -0.170698, -0.170055, -0.163059, -0.15622, -0.156366, -0.165204, -0.175935, -0.235775, -0.251506, -0.287013, -0.289699, -0.289872, -0.284522, -0.276049, -0.252334, -0.147093, -0.111513, -0.0956442, -0.0720341, -0.0567046, -0.0466499, -0.0360914, -0.0249308, -0.0164903, -0.0119017, -0.00608873, -0.00301415, -0.00152038, -0.000423708" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.10688");
-            index_3 ("0.259357, 0.315888, 0.343067, 0.355869, 0.377859, 0.392189, 0.421526, 0.553604, 0.560234, 0.616686, 0.679724, 0.736659, 0.755273, 0.810657, 0.91203, 1.02084, 1.06239, 1.13672, 1.20615, 1.28984, 1.39613, 1.51644, 1.63676, 1.8774");
-            values ( \
-              "-0.0770113, -0.117866, -0.18571, -0.19849, -0.181829, -0.179549, -0.197295, -0.308265, -0.30551, -0.303628, -0.307487, -0.302212, -0.295793, -0.265118, -0.183021, -0.108528, -0.0870097, -0.0573393, -0.0382567, -0.0230755, -0.0119538, -0.00552553, -0.00245545, -0.000302017" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.4078, 0.474646, 0.509029, 0.518812, 0.538379, 0.560166, 0.629504, 0.638729, 0.653497, 0.666255, 0.679749, 0.690787, 0.702307, 0.709788, 0.724751, 0.726001, 0.7285, 0.733499, 0.741377, 0.752973, 0.767839, 0.776438, 0.780606, 0.788944, 0.795902, 0.802207, 0.806236, 0.814293, 0.830407, 0.855792, 0.887726, 0.93075, 0.990908, 1.21602, 1.23699, 1.26592");
-            values ( \
-              "-0.000744747, -0.00317985, -0.00514254, -0.00590068, -0.00759097, -0.010365, -0.0215951, -0.0228195, -0.0240036, -0.0245935, -0.0263685, -0.0301957, -0.0354639, -0.038289, -0.0424197, -0.0424615, -0.0428897, -0.0430191, -0.0417951, -0.0337475, -0.0201181, -0.0137858, -0.0113892, -0.0074969, -0.00533455, -0.00387158, -0.0031895, -0.00214188, -0.00101482, -0.00036954, -0.000200891, -0.000101824, -6.28141e-05, -1.76911e-05, -0.000271486, -7.52065e-06" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715634");
-            index_3 ("0.407737, 0.482086, 0.510465, 0.527931, 0.558327, 0.571547, 0.622063, 0.643423, 0.658628, 0.676111, 0.691936, 0.704442, 0.717943, 0.733314, 0.741614, 0.758212, 0.760561, 0.765258, 0.774653, 0.779118, 0.788046, 0.816898, 0.828188, 0.835058, 0.844971, 0.857326, 0.867204, 0.886962, 0.917162, 0.954839, 1.00448, 1.21593, 1.23548, 1.26089");
-            values ( \
-              "-0.000852669, -0.00607957, -0.00889885, -0.0110346, -0.0160843, -0.019156, -0.031823, -0.0363109, -0.0374263, -0.0383492, -0.0407189, -0.0441436, -0.0513028, -0.0619448, -0.0654543, -0.0701286, -0.0700478, -0.0705291, -0.0689444, -0.0669285, -0.0594323, -0.0270784, -0.0180256, -0.013851, -0.00934386, -0.00571011, -0.0038345, -0.00172598, -0.000557332, -0.000243823, -0.000126287, -2.43372e-05, -0.000482869, -0.00013476" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140683");
-            index_3 ("0.407842, 0.494916, 0.523037, 0.543899, 0.568904, 0.631245, 0.669768, 0.682142, 0.691917, 0.704354, 0.718047, 0.731738, 0.747349, 0.790372, 0.793598, 0.800049, 0.818025, 0.828168, 0.842371, 0.887264, 0.907468, 0.916987, 0.930857, 0.947699, 0.961111, 0.987934, 1.02811, 1.0785, 1.21603, 1.23074, 1.26826, 1.30227");
-            values ( \
-              "-0.000313088, -0.0113272, -0.0159803, -0.02023, -0.02662, -0.0480706, -0.0584107, -0.063534, -0.0637707, -0.0634688, -0.0628674, -0.0652499, -0.0706426, -0.100305, -0.100491, -0.103315, -0.106353, -0.105312, -0.0988467, -0.0457587, -0.0275935, -0.021287, -0.014441, -0.00891883, -0.00601883, -0.00268848, -0.000791075, -0.000268426, -6.86579e-05, -0.000842005, -0.000193042, -0.000160236" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276562");
-            index_3 ("0.467827, 0.526361, 0.566539, 0.621389, 0.688292, 0.717763, 0.737529, 0.759844, 0.797575, 0.833388, 0.855932, 0.876561, 0.909608, 0.942605, 0.996902, 1.02513, 1.04132, 1.07732, 1.11784, 1.16416, 1.21587, 1.23754, 1.24679");
-            values ( \
-              "-0.0198715, -0.0230392, -0.0346435, -0.0574478, -0.0928119, -0.0865209, -0.0850665, -0.0903725, -0.103177, -0.122298, -0.138824, -0.148492, -0.153326, -0.13734, -0.0733478, -0.0468909, -0.0355454, -0.0184533, -0.00854069, -0.00330549, -0.0011569, -0.0017926, -0.001672" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543681");
-            index_3 ("0.468178, 0.542817, 0.590191, 0.621369, 0.638353, 0.667111, 0.684848, 0.700703, 0.715711, 0.732697, 0.751358, 0.80795, 0.885745, 0.931117, 0.956554, 1.00499, 1.05125, 1.09728, 1.17071, 1.20814, 1.25127, 1.28489, 1.31328, 1.33909, 1.3735, 1.43366, 1.47574");
-            values ( \
-              "-0.0183865, -0.0340876, -0.0520095, -0.0680211, -0.0801568, -0.107029, -0.117389, -0.115329, -0.109475, -0.106573, -0.10856, -0.126849, -0.15524, -0.175842, -0.191563, -0.212795, -0.211912, -0.179002, -0.102319, -0.0717062, -0.0468917, -0.0324358, -0.0236029, -0.0176638, -0.0119271, -0.00588214, -0.00380709" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.10688");
-            index_3 ("0.467465, 0.580222, 0.605104, 0.624936, 0.642904, 0.670653, 0.68675, 0.729683, 0.749315, 0.787625, 1.05293, 1.11309, 1.12784, 1.15734, 1.19549, 1.21561, 1.23152, 1.24709, 1.29706, 1.38866, 1.46865, 1.53966, 1.58299, 1.61816, 1.66136, 1.72152, 1.78912, 1.84122, 1.90138, 1.96154, 2.0217, 2.14202, 2.32249");
-            values ( \
-              "-0.0134706, -0.0548247, -0.0670073, -0.0802568, -0.0968261, -0.128842, -0.13521, -0.123639, -0.12463, -0.137238, -0.241148, -0.266656, -0.274557, -0.284841, -0.291834, -0.29027, -0.28468, -0.275681, -0.238743, -0.165178, -0.111818, -0.0764946, -0.0599986, -0.0490703, -0.0381219, -0.0266363, -0.0177646, -0.0129301, -0.00895599, -0.00618202, -0.00428572, -0.00206126, -0.000694321" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0064232, 0.00644321, 0.00646372, 0.00648041, 0.0064916, 0.00649822", \
-            "0.00764482, 0.00765456, 0.00766757, 0.00768043, 0.00769044, 0.00769697", \
-            "0.008377, 0.00837917, 0.00838357, 0.00839009, 0.00839678, 0.00840193", \
-            "0.00879534, 0.00879563, 0.00879631, 0.00879796, 0.00880084, 0.00880406", \
-            "0.00902089, 0.00902121, 0.0090216, 0.00902193, 0.00902258, 0.00902377", \
-            "0.00915032, 0.00915076, 0.00915146, 0.00915227, 0.00915302, 0.0091537" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00927274, 0.00928002, 0.00928573, 0.00928812, 0.00929158, 0.00929414", \
-            "0.0101102, 0.0101137, 0.0101144, 0.0101123, 0.0101089, 0.0101064", \
-            "0.0106405, 0.0106514, 0.0106583, 0.0106605, 0.0106596, 0.0106577", \
-            "0.0114028, 0.0113373, 0.0112835, 0.0112437, 0.0112154, 0.0111971", \
-            "0.0130166, 0.0127565, 0.0125298, 0.0123756, 0.0122794, 0.0122218", \
-            "0.0145126, 0.0141376, 0.0135569, 0.0131534, 0.0129127, 0.0127738" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "~A";
-        timing_sense : positive_unate;
-        timing_type : combinational;
-        when : "!A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0778941, 0.0941621, 0.125022, 0.184447, 0.300483, 0.528203", \
-            "0.0825259, 0.0988266, 0.12981, 0.189473, 0.305731, 0.533732", \
-            "0.0909438, 0.107201, 0.138288, 0.198194, 0.314777, 0.542952", \
-            "0.100167, 0.116387, 0.147687, 0.207668, 0.324423, 0.552904", \
-            "0.106058, 0.122145, 0.1531, 0.213309, 0.330597, 0.55917", \
-            "0.0997482, 0.116486, 0.14764, 0.207696, 0.324532, 0.553686" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0502984, 0.0720611, 0.114796, 0.198342, 0.362193, 0.684168", \
-            "0.0504852, 0.0723179, 0.115102, 0.198773, 0.362745, 0.684576", \
-            "0.0506917, 0.0724692, 0.115243, 0.198963, 0.363025, 0.684908", \
-            "0.0518904, 0.073315, 0.115711, 0.199195, 0.363101, 0.685037", \
-            "0.0536918, 0.0744154, 0.116375, 0.19994, 0.363749, 0.685206", \
-            "0.060105, 0.079248, 0.119205, 0.201167, 0.364454, 0.685889" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.071543, 0.0817969, 0.1006, 0.136167, 0.205233, 0.340558", \
-            "0.077701, 0.0879762, 0.106817, 0.142435, 0.211532, 0.346888", \
-            "0.0919987, 0.102305, 0.121223, 0.156944, 0.226131, 0.361558", \
-            "0.118102, 0.128879, 0.148299, 0.18435, 0.2537, 0.389213", \
-            "0.158866, 0.170184, 0.190208, 0.22693, 0.297109, 0.432692", \
-            "0.226016, 0.239162, 0.260852, 0.29833, 0.368147, 0.504444" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.029899, 0.0416581, 0.0649647, 0.11155, 0.204091, 0.386493", \
-            "0.0299172, 0.0416918, 0.0649832, 0.111581, 0.204148, 0.386457", \
-            "0.030187, 0.041871, 0.0650644, 0.111587, 0.204089, 0.386462", \
-            "0.0322707, 0.0437158, 0.0663728, 0.112023, 0.204181, 0.386464", \
-            "0.0360423, 0.0470054, 0.0690239, 0.114511, 0.205555, 0.386981", \
-            "0.0441941, 0.0546097, 0.0750095, 0.117486, 0.20714, 0.388705" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0517681, 0.0618055, 0.0654394, 0.0693951, 0.0757509, 0.0824228, 0.093294, 0.109855, 0.124929, 0.140497, 0.155887, 0.180384, 0.209159, 0.232497");
-            values ( \
-              "0.0061037, 0.100241, 0.102945, 0.103348, 0.1012, 0.0968476, 0.0863999, 0.0536151, 0.033209, 0.0207903, 0.0132292, 0.00643342, 0.00273918, 0.00181009" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715634");
-            index_3 ("0.0522857, 0.0671888, 0.0734922, 0.0763697, 0.080965, 0.0951824, 0.108473, 0.116862, 0.13192, 0.140939, 0.150246, 0.161079, 0.174764, 0.180612, 0.189914, 0.202316, 0.211337, 0.226217, 0.241368, 0.260736, 0.286561, 0.331216, 0.384382");
-            values ( \
-              "0.033355, 0.139626, 0.141185, 0.139356, 0.138101, 0.129838, 0.11927, 0.108764, 0.0816491, 0.0673246, 0.0552779, 0.0438292, 0.0324293, 0.0285628, 0.0232085, 0.0174819, 0.0142226, 0.0100584, 0.0070285, 0.004438, 0.00235121, 0.000715335, 0.000157624" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140683");
-            index_3 ("0.0584367, 0.0618722, 0.0664478, 0.0738348, 0.0827777, 0.0886536, 0.100406, 0.103958, 0.111064, 0.135951, 0.145351, 0.154582, 0.18094, 0.190498, 0.201847, 0.213911, 0.226933, 0.243668, 0.257243, 0.275343, 0.290281, 0.296643, 0.309366, 0.333962, 0.345237, 0.36248, 0.38547, 0.43145, 0.50195");
-            values ( \
-              "0.133803, 0.161581, 0.170285, 0.172939, 0.170854, 0.168402, 0.164087, 0.162354, 0.159659, 0.14785, 0.141401, 0.133093, 0.100634, 0.0894442, 0.0773669, 0.0659939, 0.0550936, 0.0432504, 0.0353076, 0.0266395, 0.0210215, 0.0189739, 0.0154211, 0.0102163, 0.00846628, 0.00633351, 0.0042749, 0.00186827, 0.000459807" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276562");
-            index_3 ("0.0582951, 0.0648313, 0.0688728, 0.0726186, 0.0790471, 0.138556, 0.171789, 0.195932, 0.214572, 0.227435, 0.31792, 0.365048, 0.401018, 0.426122, 0.449859, 0.477715, 0.514855, 0.57109, 0.616485, 0.692377, 0.704643");
-            values ( \
-              "0.117194, 0.187724, 0.192812, 0.194574, 0.195026, 0.181079, 0.172464, 0.165038, 0.157435, 0.150432, 0.0853985, 0.0577804, 0.0416801, 0.0327446, 0.0259033, 0.0195394, 0.0132531, 0.00731941, 0.0044669, 0.00187755, 0.0017523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543681");
-            index_3 ("0.0631472, 0.0631672, 0.136949, 0.230617, 0.310202, 0.337503, 0.366625, 0.410682, 0.518035, 0.579137, 0.631521, 0.674979, 0.708774, 0.76413, 0.83012, 0.889614, 0.951186, 0.998293, 1.07418, 1.15008, 1.30186, 1.45364");
-            values ( \
-              "1e-22, 0.227226, 0.20137, 0.188783, 0.176265, 0.170455, 0.162517, 0.1464, 0.100942, 0.0772154, 0.0597297, 0.0476556, 0.0396613, 0.029042, 0.0197676, 0.013823, 0.00952309, 0.00713021, 0.0044305, 0.00274633, 0.00104856, 0.000398733" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.10688");
-            index_3 ("0.0629582, 0.0629782, 0.199406, 0.376842, 0.512557, 0.592392, 0.649585, 0.725476, 1.06692, 1.20093, 1.26715, 1.38077, 1.45623, 1.59868, 1.68406, 1.80682, 1.9586, 2.11038, 2.16107");
-            values ( \
-              "1e-22, 0.226006, 0.208142, 0.195494, 0.184543, 0.176125, 0.167812, 0.153441, 0.0766311, 0.0531801, 0.0438018, 0.0309962, 0.0244738, 0.0154624, 0.0116612, 0.00774921, 0.00464609, 0.00277314, 0.00252849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0700517, 0.0815117, 0.0867114, 0.0879439, 0.0904089, 0.100846, 0.10663, 0.110853, 0.115667, 0.125428, 0.131231, 0.137364, 0.140747, 0.145258, 0.154279, 0.162066, 0.17256, 0.182451, 0.192407, 0.205682, 0.222853, 0.235134, 0.259696, 0.280033");
-            values ( \
-              "0.0142913, 0.10012, 0.103367, 0.102459, 0.102518, 0.0968334, 0.0919201, 0.087498, 0.0802867, 0.0594694, 0.0489088, 0.0401063, 0.036198, 0.0315521, 0.0239958, 0.0191121, 0.0140361, 0.0104917, 0.00783957, 0.0052826, 0.00319392, 0.00221619, 0.00104189, 0.00064223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715634");
-            index_3 ("0.0753319, 0.0779243, 0.0808849, 0.0856072, 0.0903288, 0.10233, 0.108142, 0.123917, 0.126854, 0.135499, 0.153412, 0.158634, 0.169588, 0.183092, 0.19461, 0.204903, 0.212892, 0.22787, 0.245161, 0.260299, 0.280273, 0.306904, 0.341112");
-            values ( \
-              "0.0728697, 0.126389, 0.134355, 0.138669, 0.140272, 0.135918, 0.13258, 0.121948, 0.119139, 0.108552, 0.0767623, 0.0686438, 0.0544397, 0.0407434, 0.0316647, 0.0252385, 0.0210835, 0.0149728, 0.0100222, 0.00700389, 0.0043612, 0.00226988, 0.00105842" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140683");
-            index_3 ("0.0755414, 0.0802232, 0.084845, 0.0922892, 0.100743, 0.130229, 0.154674, 0.158942, 0.17333, 0.199754, 0.220688, 0.245811, 0.262583, 0.276201, 0.294359, 0.309316, 0.328446, 0.353138, 0.381913, 0.405137, 0.451586, 0.4807");
-            values ( \
-              "0.056694, 0.15987, 0.16871, 0.171772, 0.170041, 0.158827, 0.147415, 0.144711, 0.132814, 0.100434, 0.0772256, 0.0549965, 0.043161, 0.0352208, 0.0265618, 0.0209596, 0.01537, 0.0101692, 0.00628086, 0.00422548, 0.00183131, 0.00126177" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276562");
-            index_3 ("0.0754918, 0.0833248, 0.0894268, 0.0946886, 0.104044, 0.167083, 0.213876, 0.230206, 0.246487, 0.326505, 0.364684, 0.418275, 0.469828, 0.508064, 0.542175, 0.604164, 0.657874, 0.707083");
-            values ( \
-              "0.0683142, 0.186003, 0.192955, 0.193978, 0.19282, 0.178242, 0.165257, 0.158758, 0.150268, 0.0923636, 0.068372, 0.042441, 0.0257018, 0.0174197, 0.0121912, 0.0063198, 0.00350312, 0.0021849" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543681");
-            index_3 ("0.0801166, 0.0801366, 0.150969, 0.22726, 0.27696, 0.331276, 0.358893, 0.389183, 0.448334, 0.532792, 0.605484, 0.652894, 0.689246, 0.737716, 0.782475, 0.838792, 0.905062, 0.980253, 1.0402, 1.11609, 1.19198, 1.41965");
-            values ( \
-              "1e-22, 0.217585, 0.201174, 0.191518, 0.184577, 0.17566, 0.169824, 0.161332, 0.138749, 0.102812, 0.0747227, 0.0591806, 0.0489958, 0.0376125, 0.029228, 0.0210756, 0.0141525, 0.0089756, 0.00619794, 0.00384681, 0.00238509, 0.000559705" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.10688");
-            index_3 ("0.0801711, 0.0801911, 0.224773, 0.400379, 0.53217, 0.612091, 0.745195, 1.08683, 1.22069, 1.28697, 1.4007, 1.54291, 1.66901, 1.82684, 2.13041, 2.18025");
-            values ( \
-              "1e-22, 0.219687, 0.207371, 0.195146, 0.184566, 0.176165, 0.153511, 0.0764914, 0.0532815, 0.0436967, 0.0308867, 0.0196362, 0.0129844, 0.00765666, 0.00268553, 0.00244536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.112594, 0.115348, 0.120286, 0.123608, 0.126975, 0.133524, 0.140071, 0.147233, 0.154888, 0.168456, 0.174092, 0.184452, 0.199008, 0.215288, 0.224784, 0.237336, 0.250395, 0.265527, 0.301405, 0.309513");
-            values ( \
-              "0.0783328, 0.0805776, 0.0945785, 0.0984152, 0.100581, 0.0996689, 0.0963781, 0.0903813, 0.0799874, 0.0521721, 0.0433176, 0.0315618, 0.0204238, 0.0126618, 0.00959728, 0.00664029, 0.00450292, 0.00288945, 0.000970726, 0.000884004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715634");
-            index_3 ("0.113614, 0.11631, 0.119575, 0.124087, 0.127253, 0.130642, 0.133953, 0.140576, 0.148197, 0.153223, 0.16313, 0.169089, 0.174705, 0.189607, 0.195727, 0.206497, 0.211714, 0.221011, 0.233361, 0.240935, 0.253938, 0.264413, 0.271636, 0.281267, 0.298311, 0.31823, 0.33419, 0.366111, 0.390255");
-            values ( \
-              "0.0793144, 0.112285, 0.124478, 0.133732, 0.136585, 0.137803, 0.137692, 0.135617, 0.131629, 0.12856, 0.121613, 0.115803, 0.108403, 0.0817953, 0.0717858, 0.0571642, 0.0511197, 0.0418967, 0.0319652, 0.0270964, 0.0202383, 0.0159307, 0.0135008, 0.0107939, 0.00721193, 0.00451319, 0.00307675, 0.00138626, 0.0008815" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140683");
-            index_3 ("0.116389, 0.131464, 0.138752, 0.153967, 0.177376, 0.191725, 0.20042, 0.212671, 0.239416, 0.26013, 0.283381, 0.311283, 0.329537, 0.344994, 0.373058, 0.394666, 0.434877, 0.469805, 0.502793");
-            values ( \
-              "0.166782, 0.169416, 0.169673, 0.164672, 0.155241, 0.148347, 0.143084, 0.132752, 0.0999864, 0.0771068, 0.0563969, 0.03759, 0.0284112, 0.0222523, 0.0141154, 0.00984687, 0.00500431, 0.00272121, 0.00174094" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276562");
-            index_3 ("0.117336, 0.137114, 0.152525, 0.226627, 0.248181, 0.267303, 0.286078, 0.36624, 0.403466, 0.433353, 0.458823, 0.478053, 0.509615, 0.547494, 0.581816, 0.645168, 0.70015, 0.776041, 0.777946");
-            values ( \
-              "0.1871, 0.193045, 0.190566, 0.172903, 0.166678, 0.159719, 0.150179, 0.0922467, 0.0688384, 0.0531101, 0.0420766, 0.0350275, 0.0256742, 0.0174684, 0.0121985, 0.00623572, 0.0034047, 0.00143097, 0.00141619" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543681");
-            index_3 ("0.118366, 0.118386, 0.194322, 0.284719, 0.369202, 0.397592, 0.429074, 0.488212, 0.607877, 0.645349, 0.692866, 0.729386, 0.778078, 0.813252, 0.841272, 0.878633, 0.94516, 0.975534, 1.02085, 1.08127, 1.15716, 1.23305, 1.30894, 1.46072");
-            values ( \
-              "1e-22, 0.209647, 0.200529, 0.188973, 0.175996, 0.169962, 0.161266, 0.138675, 0.0886936, 0.0747286, 0.0591684, 0.048946, 0.037524, 0.0308026, 0.0262363, 0.0210924, 0.0141472, 0.0117827, 0.00894655, 0.00615746, 0.0038247, 0.00236951, 0.00146494, 0.000558438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.10688");
-            index_3 ("0.126426, 0.126446, 0.262582, 0.396946, 0.571854, 0.651852, 0.709085, 0.832619, 1.12681, 1.26052, 1.32687, 1.44071, 1.51661, 1.65899, 1.86701, 2.16634");
-            values ( \
-              "1e-22, 0.241384, 0.20705, 0.198028, 0.184308, 0.175924, 0.167748, 0.142933, 0.0766139, 0.0531259, 0.0438445, 0.0310257, 0.0243675, 0.0153807, 0.00779231, 0.00289223" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.180861, 0.195451, 0.200023, 0.203569, 0.210532, 0.217464, 0.224396, 0.228024, 0.233331, 0.241749, 0.248935, 0.255515, 0.266991, 0.278557, 0.289195, 0.299747, 0.306828, 0.3196, 0.334745, 0.360041, 0.389396");
-            values ( \
-              "0.00354905, 0.0791544, 0.0887678, 0.0928176, 0.0955693, 0.0935883, 0.0891849, 0.0855464, 0.0776764, 0.0600029, 0.0469745, 0.0381209, 0.0271218, 0.0194087, 0.0142757, 0.0104543, 0.00851663, 0.00585677, 0.00375005, 0.00176337, 0.000750061" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715634");
-            index_3 ("0.186444, 0.190619, 0.194792, 0.200534, 0.205308, 0.210657, 0.218871, 0.223546, 0.230395, 0.243258, 0.252004, 0.272186, 0.28198, 0.288189, 0.296467, 0.310099, 0.326516, 0.339972, 0.357277, 0.371608, 0.389574, 0.401444, 0.425185, 0.470314, 0.523654");
-            values ( \
-              "0.0411091, 0.0823682, 0.102746, 0.120521, 0.128106, 0.131986, 0.131842, 0.130192, 0.126879, 0.117936, 0.10772, 0.0731488, 0.0594901, 0.0522683, 0.0437997, 0.0325162, 0.0226364, 0.0166594, 0.0111826, 0.00799812, 0.00522911, 0.00395873, 0.00220578, 0.000674633, 0.000140286" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140683");
-            index_3 ("0.186844, 0.194085, 0.195211, 0.199819, 0.204357, 0.208082, 0.215533, 0.223555, 0.231244, 0.24894, 0.252079, 0.259268, 0.272193, 0.284193, 0.29027, 0.33353, 0.352537, 0.364702, 0.380316, 0.391936, 0.404692, 0.426847, 0.437211, 0.457939, 0.47457, 0.492981, 0.51753, 0.566627, 0.598659");
-            values ( \
-              "0.0210967, 0.118888, 0.125145, 0.14401, 0.155181, 0.160575, 0.164817, 0.164693, 0.162548, 0.156269, 0.154423, 0.152286, 0.146572, 0.138381, 0.132513, 0.0809061, 0.0633202, 0.0535107, 0.042658, 0.0358802, 0.0295226, 0.0208006, 0.0175754, 0.012508, 0.0094662, 0.00695279, 0.00458717, 0.0018782, 0.00122877" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276562");
-            index_3 ("0.186223, 0.198396, 0.203677, 0.207152, 0.214102, 0.220553, 0.229658, 0.24889, 0.251119, 0.268397, 0.286587, 0.331089, 0.3467, 0.36369, 0.443818, 0.481712, 0.511149, 0.53593, 0.555216, 0.580332, 0.601179, 0.625385, 0.659631, 0.69518, 0.722397, 0.776831, 0.852723, 1.00451");
-            values ( \
-              "0.00660533, 0.157274, 0.17434, 0.180757, 0.187181, 0.188675, 0.188102, 0.184375, 0.183219, 0.181393, 0.177327, 0.164965, 0.158869, 0.150104, 0.0922565, 0.068475, 0.0530248, 0.0422846, 0.0351838, 0.0275019, 0.0223236, 0.0174228, 0.0121804, 0.00838185, 0.00626419, 0.0034452, 0.00144638, 0.000254218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543681");
-            index_3 ("0.19255, 0.204254, 0.211574, 0.219129, 0.22764, 0.252782, 0.262109, 0.279658, 0.393092, 0.448869, 0.476516, 0.506825, 0.566025, 0.685896, 0.770722, 0.807092, 0.855585, 0.919209, 0.956816, 1.02314, 1.09849, 1.15857, 1.31035, 1.34253");
-            values ( \
-              "0.147117, 0.187635, 0.199479, 0.202895, 0.20371, 0.200598, 0.200908, 0.199538, 0.184614, 0.175497, 0.169675, 0.161205, 0.138648, 0.0885831, 0.059138, 0.0489609, 0.037584, 0.026213, 0.021046, 0.0141319, 0.00895602, 0.00617909, 0.00237879, 0.00212168" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.10688");
-            index_3 ("0.199391, 0.199411, 0.342827, 0.519944, 0.65004, 0.730065, 0.787307, 0.863198, 1.20513, 1.33876, 1.40514, 1.51904, 1.59493, 1.73733, 1.94545, 2.24341");
-            values ( \
-              "1e-22, 0.224908, 0.2069, 0.194726, 0.184335, 0.175953, 0.167664, 0.153336, 0.0765451, 0.0531763, 0.0437937, 0.0309726, 0.0244113, 0.0154249, 0.00774626, 0.00287213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.326449, 0.354909, 0.358389, 0.365347, 0.368127, 0.370948, 0.373717, 0.379255, 0.381178, 0.384953, 0.389083, 0.399628, 0.403907, 0.409612, 0.416388, 0.421256, 0.430994, 0.437694, 0.441396, 0.448201, 0.457208, 0.459936, 0.465393, 0.473965, 0.486305, 0.499192, 0.509066, 0.528813, 0.561789, 0.614779");
-            values ( \
-              "0.000692174, 0.07292, 0.0786984, 0.0866011, 0.0879601, 0.0886035, 0.0887582, 0.0873165, 0.0863161, 0.0835035, 0.0784679, 0.0577309, 0.0500368, 0.0415843, 0.0338554, 0.0292206, 0.021789, 0.0179554, 0.0161197, 0.013211, 0.0101598, 0.00939662, 0.00802147, 0.00625862, 0.00436448, 0.0030108, 0.00226155, 0.00125357, 0.000346229, 0.00011936" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715634");
-            index_3 ("0.326976, 0.360117, 0.366047, 0.375947, 0.379704, 0.383183, 0.386707, 0.393755, 0.402628, 0.408587, 0.425734, 0.43185, 0.443043, 0.456763, 0.468173, 0.474254, 0.485731, 0.497953, 0.508681, 0.522986, 0.535294, 0.557851, 0.591805, 0.609347, 0.622361");
-            values ( \
-              "0.00262401, 0.111454, 0.120395, 0.126558, 0.12639, 0.125779, 0.124657, 0.121328, 0.114581, 0.107393, 0.0778403, 0.0683281, 0.0539973, 0.040254, 0.0313764, 0.0274927, 0.0212929, 0.016126, 0.0126283, 0.00906134, 0.00679393, 0.00377277, 0.00184164, 0.00125343, 0.000967496" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140683");
-            index_3 ("0.327338, 0.36266, 0.370586, 0.379188, 0.387239, 0.406714, 0.419484, 0.428205, 0.445362, 0.484011, 0.506042, 0.535999, 0.553111, 0.572952, 0.600423, 0.62525, 0.659189, 0.686881, 0.742265, 0.746723");
-            values ( \
-              "0.000923224, 0.142546, 0.154931, 0.159847, 0.160287, 0.155145, 0.149889, 0.145474, 0.133288, 0.0870453, 0.065649, 0.0430209, 0.0326802, 0.0244852, 0.0158257, 0.0104732, 0.00593166, 0.00368619, 0.00132313, 0.00126064" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276562");
-            index_3 ("0.327565, 0.369896, 0.376092, 0.386588, 0.394906, 0.403061, 0.416232, 0.430495, 0.458812, 0.474898, 0.488482, 0.51565, 0.520202, 0.529304, 0.547509, 0.550199, 0.555577, 0.556603, 0.570906, 0.582605, 0.603061, 0.641394, 0.666661, 0.674414, 0.67815, 0.683133, 0.693097, 0.712331, 0.73712, 0.743905, 0.757475, 0.781799, 0.816136, 0.827948, 0.831387, 0.838264, 0.852018, 0.879527, 0.934545, 1.01044, 1.08633, 1.16222");
-            values ( \
-              "0.0073772, 0.175612, 0.181679, 0.185517, 0.185328, 0.184371, 0.181878, 0.178927, 0.172253, 0.167936, 0.163725, 0.152377, 0.149519, 0.143877, 0.130648, 0.128773, 0.124582, 0.12366, 0.113251, 0.104787, 0.0904739, 0.0665925, 0.0535947, 0.0499564, 0.0483029, 0.0461377, 0.0420643, 0.03501, 0.027456, 0.0256603, 0.0223976, 0.0174574, 0.0121966, 0.0107712, 0.0103971, 0.00966431, 0.00836435, 0.00622843, 0.00340496, 0.00142703, 0.000598246, 0.000249438" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543681");
-            index_3 ("0.327519, 0.374775, 0.384155, 0.399282, 0.464731, 0.553691, 0.576837, 0.633403, 0.663673, 0.722881, 0.84277, 0.927593, 0.963958, 1.01244, 1.07609, 1.11372, 1.18003, 1.25536, 1.31542, 1.46721, 1.4996");
-            values ( \
-              "0.0126569, 0.19456, 0.200733, 0.201922, 0.195051, 0.182901, 0.180478, 0.169622, 0.161213, 0.138614, 0.088575, 0.0591356, 0.0489605, 0.0375835, 0.0262111, 0.0210419, 0.0141309, 0.00895629, 0.00618005, 0.00237931, 0.00212045" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.10688");
-            index_3 ("0.345832, 0.369378, 0.387475, 0.408992, 0.580287, 0.69077, 0.80699, 0.887022, 0.944267, 1.02016, 1.30183, 1.43801, 1.56212, 1.63801, 1.75192, 1.94457, 2.17838, 2.43555");
-            values ( \
-              "0.131505, 0.195526, 0.21018, 0.211271, 0.201522, 0.193658, 0.184297, 0.175918, 0.167676, 0.153307, 0.0890082, 0.0625083, 0.043801, 0.0348305, 0.0244038, 0.0130771, 0.00601395, 0.00274628" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.646371, 0.659213, 0.661161, 0.666665, 0.675387, 0.679883, 0.690223, 0.692244, 0.694265, 0.695612, 0.698307, 0.699765, 0.701223, 0.702898, 0.704573, 0.706197, 0.70782, 0.709443, 0.71269, 0.714313, 0.715371, 0.716429, 0.719602, 0.721898, 0.724374, 0.729201, 0.730851, 0.734005, 0.737863, 0.741826, 0.745396, 0.748832, 0.752269, 0.75455, 0.759113, 0.761394, 0.765626, 0.767931, 0.770697, 0.774067, 0.777437, 0.781879, 0.787625, 0.790233, 0.794146, 0.798647, 0.803148, 0.806375, 0.81283, 0.821293");
-            values ( \
-              "0.0288722, 0.0311866, 0.0340175, 0.0413776, 0.0525003, 0.0578622, 0.0690096, 0.0707388, 0.0723092, 0.0732679, 0.0749734, 0.0757586, 0.07645, 0.0770928, 0.0775788, 0.0773637, 0.0770798, 0.0767272, 0.0758158, 0.075257, 0.0745289, 0.0736799, 0.0696263, 0.0661116, 0.0619209, 0.052934, 0.0501789, 0.0454717, 0.0404221, 0.0357047, 0.0318756, 0.028901, 0.0261705, 0.024505, 0.0214718, 0.020104, 0.0177729, 0.0165592, 0.0152198, 0.0138569, 0.0125978, 0.0111315, 0.00939829, 0.00867932, 0.00771029, 0.00682194, 0.00602126, 0.00551379, 0.00460868, 0.00363937" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715634");
-            index_3 ("0.636669, 0.640467, 0.648545, 0.689263, 0.701818, 0.709083, 0.713244, 0.721565, 0.724494, 0.736251, 0.759645, 0.78512, 0.802557, 0.82654, 0.852732, 0.86935, 0.89636, 0.923157");
-            values ( \
-              "0.0109272, 0.0126214, 0.0226526, 0.095065, 0.109786, 0.11385, 0.11472, 0.114396, 0.113331, 0.104812, 0.0679288, 0.0397658, 0.0271899, 0.0159218, 0.00875559, 0.00597617, 0.00317857, 0.00179311" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140683");
-            index_3 ("0.640594, 0.684307, 0.695881, 0.704363, 0.718499, 0.727854, 0.738464, 0.755342, 0.767698, 0.787109, 0.812198, 0.826994, 0.847107, 0.864111, 0.878219, 0.906653, 0.921788, 0.941968, 0.959351, 0.982761, 1.01397, 1.07019");
-            values ( \
-              "0.00882186, 0.107921, 0.130441, 0.141638, 0.150363, 0.150938, 0.149285, 0.14359, 0.136232, 0.116472, 0.0865118, 0.0718295, 0.0546736, 0.0428497, 0.0347804, 0.022372, 0.0175828, 0.0126481, 0.0094878, 0.0064211, 0.00376067, 0.00138151" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276562");
-            index_3 ("0.648199, 0.666608, 0.682063, 0.700052, 0.712205, 0.728789, 0.742533, 0.757223, 0.779197, 0.799255, 0.815672, 0.845786, 0.869565, 0.912376, 0.945576, 0.965795, 0.995332, 1.02014, 1.03944, 1.07156, 1.08546, 1.10969, 1.14394, 1.17935, 1.22723, 1.28178, 1.31601, 1.37895, 1.45484");
-            values ( \
-              "0.0321845, 0.0760898, 0.117706, 0.157613, 0.171515, 0.178572, 0.178231, 0.176456, 0.172432, 0.167744, 0.163065, 0.150528, 0.134459, 0.102555, 0.0804536, 0.0683452, 0.0529116, 0.042218, 0.0351519, 0.0256514, 0.0223089, 0.0174272, 0.0121835, 0.00840036, 0.00488798, 0.0028353, 0.00197116, 0.000955341, 0.000415195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543681");
-            index_3 ("0.640535, 0.69811, 0.710175, 0.723946, 0.737839, 0.752657, 0.772056, 0.808354, 0.860147, 0.913706, 0.970351, 0.990734, 1.0315, 1.148, 1.23065, 1.27406, 1.31307, 1.36508, 1.40981, 1.44108, 1.50361, 1.52977, 1.58902, 1.65674, 1.73263, 1.80852, 1.83972");
-            values ( \
-              "0.00363679, 0.166477, 0.184229, 0.19389, 0.19625, 0.196445, 0.195501, 0.192108, 0.185973, 0.178222, 0.166802, 0.160733, 0.1461, 0.0971746, 0.066779, 0.0537803, 0.0437166, 0.0327251, 0.0253549, 0.0211266, 0.0145229, 0.0124113, 0.00865967, 0.00568748, 0.0035317, 0.00218697, 0.00195799" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.10688");
-            index_3 ("0.671217, 0.705573, 0.718369, 0.731464, 0.76148, 0.785169, 0.862879, 1.05818, 1.16171, 1.25263, 1.30896, 1.38406, 1.61428, 1.75606, 1.90071, 1.9766, 2.05742, 2.20755, 2.31309, 2.44944, 2.60122, 2.753, 2.83364");
-            values ( \
-              "0.178841, 0.186363, 0.200138, 0.20532, 0.207784, 0.207325, 0.203637, 0.190311, 0.181483, 0.170656, 0.161037, 0.145322, 0.0922485, 0.0641316, 0.0423481, 0.0336545, 0.0261677, 0.0161539, 0.0114199, 0.00725404, 0.00435237, 0.00260226, 0.0022388" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00646643, 0.00646742, 0.00646854, 0.00646951, 0.0064702, 0.00647062", \
-            "0.00827385, 0.00827371, 0.00827378, 0.00827403, 0.00827431, 0.00827452", \
-            "0.009481, 0.00948058, 0.00948012, 0.00947974, 0.00947953, 0.00947944", \
-            "0.0102842, 0.0102838, 0.0102834, 0.010284, 0.0102837, 0.0102835", \
-            "0.0107189, 0.0107187, 0.0107185, 0.0107182, 0.0107179, 0.0107176", \
-            "0.0110018, 0.0110017, 0.0110016, 0.0110014, 0.0110012, 0.011001" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00972105, 0.0097189, 0.00971731, 0.0097165, 0.0097162, 0.00971611", \
-            "0.0103554, 0.010353, 0.0103502, 0.0103477, 0.010346, 0.010345", \
-            "0.010183, 0.0101811, 0.0101787, 0.0101762, 0.0101741, 0.0101726", \
-            "0.0104489, 0.0104581, 0.0104675, 0.0104795, 0.0104844, 0.0104871", \
-            "0.0101784, 0.0101864, 0.0102254, 0.0102426, 0.010253, 0.0102605", \
-            "0.00988984, 0.00988843, 0.00988465, 0.00988223, 0.00990806, 0.00991835" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0521539, 0.0635651, 0.0689753, 0.0738848, 0.0785292, 0.0830769, 0.0876209, 0.0916175, 0.102348, 0.108279, 0.113109, 0.120093, 0.130107, 0.140815, 0.149134");
-            values ( \
-              "-0.00227536, -0.112627, -0.128992, -0.138334, -0.143763, -0.144775, -0.139783, -0.126267, -0.0727663, -0.0493047, -0.0350229, -0.0208562, -0.00960851, -0.00414432, -0.00304318" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715634");
-            index_3 ("0.0526334, 0.0667848, 0.0739935, 0.0806134, 0.0870018, 0.0933356, 0.0996679, 0.102042, 0.106895, 0.118547, 0.127822, 0.13587, 0.144876, 0.149414, 0.158752, 0.164494, 0.175977, 0.189019");
-            values ( \
-              "-0.0137189, -0.168332, -0.189236, -0.199685, -0.203798, -0.203239, -0.193856, -0.18743, -0.168709, -0.111142, -0.0730253, -0.0488426, -0.030315, -0.0236455, -0.0140594, -0.0101949, -0.00521657, -0.00269515" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140683");
-            index_3 ("0.0572996, 0.0619275, 0.0659222, 0.0710607, 0.0808397, 0.0855308, 0.0923918, 0.102215, 0.114181, 0.125343, 0.133552, 0.165016, 0.178178, 0.193679, 0.211177, 0.231352, 0.248081");
-            values ( \
-              "-0.0389794, -0.179942, -0.201179, -0.225092, -0.247128, -0.252835, -0.257203, -0.258423, -0.251587, -0.233744, -0.209434, -0.0957102, -0.0633226, -0.0375613, -0.0202604, -0.00977835, -0.0055822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276562");
-            index_3 ("0.0569474, 0.0645613, 0.0672077, 0.0759054, 0.0801138, 0.088221, 0.0972607, 0.105707, 0.113933, 0.127893, 0.13609, 0.1477, 0.164844, 0.169306, 0.174405, 0.18489, 0.216553, 0.241441, 0.255256, 0.264719, 0.279734, 0.296411, 0.322139, 0.337274, 0.354572, 0.389167, 0.412351");
-            values ( \
-              "-0.0407697, -0.220788, -0.237178, -0.270747, -0.280104, -0.291893, -0.297619, -0.299645, -0.299306, -0.296615, -0.293591, -0.287593, -0.27289, -0.26705, -0.259192, -0.23796, -0.158646, -0.105196, -0.0819552, -0.0685816, -0.0512186, -0.0366801, -0.0215386, -0.0157016, -0.0108728, -0.00503967, -0.00344528" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543681");
-            index_3 ("0.0569194, 0.0684526, 0.0743139, 0.087185, 0.0945369, 0.104837, 0.124654, 0.154773, 0.203704, 0.216761, 0.242876, 0.262541, 0.284987, 0.342505, 0.387019, 0.416663, 0.440044, 0.479683, 0.507633, 0.530727, 0.585293, 0.638303, 0.691313, 0.703269");
-            values ( \
-              "-0.0790796, -0.260699, -0.287833, -0.314776, -0.320587, -0.325794, -0.326853, -0.322966, -0.31169, -0.307263, -0.295382, -0.281024, -0.255557, -0.171327, -0.114719, -0.0854564, -0.0670181, -0.043681, -0.0318907, -0.02461, -0.0130703, -0.00698736, -0.00363113, -0.00333188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.10688");
-            index_3 ("0.0643759, 0.121223, 0.15642, 0.215301, 0.292596, 0.35213, 0.414059, 0.457103, 0.481459, 0.623483, 0.688914, 0.756286, 0.798962, 0.876058, 0.977757, 1.11342, 1.23022");
-            values ( \
-              "-0.333908, -0.343285, -0.342026, -0.336775, -0.327759, -0.318232, -0.301934, -0.282047, -0.26556, -0.152976, -0.110553, -0.0770592, -0.060642, -0.038766, -0.0210718, -0.00919704, -0.00493348" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0743379, 0.0805312, 0.0836419, 0.0883986, 0.0904466, 0.0956043, 0.0986366, 0.103187, 0.107738, 0.111132, 0.12208, 0.128703, 0.135355, 0.141104, 0.145283, 0.148783, 0.157338, 0.167116, 0.174462");
-            values ( \
-              "-0.00749844, -0.0985559, -0.112033, -0.126881, -0.131674, -0.14038, -0.143376, -0.144777, -0.139537, -0.128724, -0.0745516, -0.0483282, -0.0299744, -0.0194842, -0.0141256, -0.0107844, -0.00555845, -0.00254597, -0.00163495" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715634");
-            index_3 ("0.073138, 0.0850439, 0.0905411, 0.0940914, 0.100733, 0.10713, 0.113467, 0.119802, 0.122164, 0.12703, 0.14252, 0.147953, 0.156047, 0.164995, 0.16954, 0.178893, 0.184651, 0.196166, 0.207293");
-            values ( \
-              "-0.00699404, -0.159278, -0.179544, -0.18851, -0.199431, -0.203824, -0.203054, -0.193942, -0.187425, -0.168677, -0.0941193, -0.0730463, -0.048745, -0.0303345, -0.0236664, -0.0140642, -0.0101749, -0.00520864, -0.00305314" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140683");
-            index_3 ("0.0730933, 0.0913571, 0.0955824, 0.102555, 0.112543, 0.122374, 0.134286, 0.145963, 0.153685, 0.18436, 0.199602, 0.21312, 0.230356, 0.248944, 0.265073, 0.27081");
-            values ( \
-              "-0.0381824, -0.224417, -0.236298, -0.249017, -0.257163, -0.258264, -0.251629, -0.232682, -0.209564, -0.0981179, -0.0607516, -0.0385443, -0.0210083, -0.0107664, -0.00590358, -0.00510099" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276562");
-            index_3 ("0.0795552, 0.0847935, 0.0910833, 0.0981164, 0.104889, 0.111403, 0.1175, 0.125918, 0.134186, 0.14876, 0.155132, 0.163628, 0.16796, 0.176583, 0.185721, 0.203733, 0.23504, 0.246736, 0.261706, 0.275053, 0.284687, 0.297113, 0.307181, 0.319532, 0.336931, 0.348195, 0.369242, 0.397304, 0.446032, 0.499042");
-            values ( \
-              "-0.15892, -0.221535, -0.253724, -0.275834, -0.28763, -0.29414, -0.297583, -0.299435, -0.29934, -0.296319, -0.29402, -0.290064, -0.287547, -0.281222, -0.27189, -0.241358, -0.162957, -0.135729, -0.105203, -0.0827079, -0.0689637, -0.0542313, -0.0444428, -0.0345899, -0.0241678, -0.0190972, -0.0122547, -0.00667374, -0.00208793, -0.000599006" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543681");
-            index_3 ("0.0793799, 0.088626, 0.0990242, 0.107385, 0.11471, 0.124448, 0.134731, 0.159809, 0.211188, 0.230427, 0.249784, 0.269593, 0.302609, 0.380329, 0.408325, 0.451, 0.491179, 0.513868, 0.545738, 0.579502, 0.60546, 0.657378, 0.710388, 0.816408");
-            values ( \
-              "-0.161814, -0.26193, -0.29962, -0.31431, -0.320955, -0.325283, -0.326714, -0.325116, -0.315099, -0.309565, -0.302091, -0.291128, -0.259237, -0.147204, -0.113563, -0.0738946, -0.0480175, -0.0373794, -0.0260306, -0.017716, -0.0131333, -0.00704938, -0.00373411, -0.00103932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.10688");
-            index_3 ("0.080307, 0.0867671, 0.101539, 0.113098, 0.122777, 0.132219, 0.141389, 0.156845, 0.176395, 0.225872, 0.307694, 0.342944, 0.372311, 0.425321, 0.45224, 0.488133, 0.50167, 0.62821, 0.705735, 0.74281, 0.775773, 0.830561, 0.89646, 0.94947, 0.997816, 1.08022, 1.13323, 1.18624, 1.29226, 1.5043");
-            values ( \
-              "-0.20793, -0.262443, -0.31818, -0.334042, -0.339846, -0.342278, -0.343105, -0.343095, -0.34188, -0.337554, -0.328494, -0.323495, -0.318295, -0.30516, -0.294944, -0.27502, -0.265699, -0.164269, -0.112439, -0.0926256, -0.0772822, -0.0567431, -0.0386559, -0.0282764, -0.0210395, -0.012693, -0.00925674, -0.00655802, -0.00335955, -0.000847437" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.119653, 0.122716, 0.126907, 0.13125, 0.133977, 0.139, 0.143709, 0.148301, 0.152888, 0.155972, 0.168558, 0.174303, 0.179092, 0.183091, 0.187577, 0.195384, 0.204414, 0.214735, 0.222376");
-            values ( \
-              "-0.00954256, -0.0762162, -0.100489, -0.11737, -0.12522, -0.136199, -0.141938, -0.143891, -0.138916, -0.129536, -0.0686808, -0.0468942, -0.0333528, -0.0248047, -0.0176648, -0.0096504, -0.00480903, -0.00209895, -0.00133866" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715634");
-            index_3 ("0.119645, 0.126138, 0.127432, 0.131693, 0.139074, 0.145794, 0.152236, 0.158599, 0.164959, 0.16717, 0.172185, 0.183717, 0.193051, 0.201523, 0.209983, 0.221117, 0.233457, 0.244197, 0.254513");
-            values ( \
-              "-0.0127159, -0.131649, -0.139685, -0.161566, -0.185566, -0.197799, -0.20274, -0.202499, -0.193546, -0.187596, -0.16854, -0.111695, -0.07326, -0.0479615, -0.0306369, -0.0165742, -0.00829991, -0.00446556, -0.00278292" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140683");
-            index_3 ("0.119656, 0.130973, 0.137024, 0.143924, 0.147744, 0.155385, 0.16259, 0.167641, 0.177465, 0.182208, 0.189259, 0.192235, 0.198187, 0.219087, 0.233194, 0.23989, 0.247543, 0.254155, 0.261926, 0.272288, 0.27989, 0.291549, 0.307095, 0.335916, 0.369807");
-            values ( \
-              "-0.0289044, -0.195165, -0.222178, -0.240766, -0.247287, -0.255186, -0.257807, -0.257673, -0.25356, -0.248461, -0.23696, -0.230191, -0.212168, -0.132552, -0.0880772, -0.0714171, -0.0556034, -0.0445379, -0.0341111, -0.0236551, -0.0180533, -0.011863, -0.00665528, -0.00207124, -0.00048644" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276562");
-            index_3 ("0.123424, 0.129738, 0.136153, 0.145551, 0.153587, 0.162777, 0.171251, 0.179447, 0.192858, 0.202784, 0.213253, 0.230412, 0.234743, 0.250427, 0.290983, 0.310545, 0.330208, 0.342487, 0.364695, 0.382142, 0.40061, 0.414805, 0.443196, 0.477264");
-            values ( \
-              "-0.101049, -0.21497, -0.249105, -0.277998, -0.290467, -0.29709, -0.299217, -0.299182, -0.296618, -0.293006, -0.287567, -0.272824, -0.267182, -0.237976, -0.138026, -0.0987864, -0.0686636, -0.054113, -0.034691, -0.0241831, -0.0164667, -0.0121968, -0.00656634, -0.00347816" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543681");
-            index_3 ("0.123276, 0.133827, 0.139529, 0.152349, 0.16011, 0.170073, 0.190261, 0.220379, 0.269952, 0.282372, 0.315039, 0.330691, 0.350599, 0.424169, 0.460365, 0.496612, 0.523863, 0.553994, 0.60522, 0.661094, 0.714104, 0.766036");
-            values ( \
-              "-0.115172, -0.256239, -0.283223, -0.312722, -0.320151, -0.325113, -0.326571, -0.322778, -0.311398, -0.307315, -0.291095, -0.278615, -0.255582, -0.149267, -0.106381, -0.0737193, -0.0551571, -0.0396502, -0.0221412, -0.0116533, -0.00612747, -0.00333463" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.10688");
-            index_3 ("0.129565, 0.1566, 0.170847, 0.183748, 0.193386, 0.231405, 0.300247, 0.388515, 0.417876, 0.470886, 0.497808, 0.533703, 0.547237, 0.673783, 0.751305, 0.788373, 0.821339, 0.876131, 0.942034, 0.995044, 1.04339, 1.1258, 1.17881, 1.23182, 1.33784, 1.54988");
-            values ( \
-              "-0.330259, -0.331703, -0.340389, -0.342874, -0.343175, -0.34116, -0.334692, -0.323461, -0.31832, -0.305127, -0.294908, -0.275048, -0.265661, -0.1643, -0.112473, -0.0925904, -0.0773193, -0.0567788, -0.0386911, -0.028239, -0.0210749, -0.0127288, -0.00921976, -0.00659438, -0.00339589, -0.000883637" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.204788, 0.223592, 0.232453, 0.2376, 0.242543, 0.247451, 0.250686, 0.267039, 0.273912, 0.280534, 0.288692, 0.297924, 0.305975, 0.310318");
-            values ( \
-              "-0.00385076, -0.099682, -0.123113, -0.131018, -0.134733, -0.132499, -0.124797, -0.0539073, -0.0337165, -0.0207535, -0.0111833, -0.00556559, -0.00297399, -0.00235535" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715634");
-            index_3 ("0.206109, 0.224043, 0.228497, 0.23974, 0.246601, 0.253302, 0.25596, 0.260037, 0.265644, 0.286691, 0.296777, 0.305293, 0.316455, 0.328802, 0.339544, 0.345578");
-            values ( \
-              "-0.00578996, -0.137965, -0.156034, -0.183814, -0.191498, -0.193072, -0.192578, -0.187877, -0.172737, -0.0786966, -0.0478662, -0.0305314, -0.0165221, -0.00830146, -0.00445895, -0.00348213" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140683");
-            index_3 ("0.206678, 0.226764, 0.230172, 0.236795, 0.24186, 0.248916, 0.262678, 0.270384, 0.272758, 0.277506, 0.283098, 0.293549, 0.312029, 0.32727, 0.34043, 0.353787, 0.371061, 0.389759, 0.405991, 0.421092");
-            values ( \
-              "-0.00366922, -0.18373, -0.198832, -0.220281, -0.231403, -0.241435, -0.251353, -0.251316, -0.250119, -0.246414, -0.238475, -0.211901, -0.141509, -0.0918032, -0.0605404, -0.0386214, -0.0210246, -0.0107328, -0.00586129, -0.00376195" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276562");
-            index_3 ("0.206114, 0.235272, 0.239766, 0.248755, 0.250118, 0.257912, 0.26325, 0.269183, 0.274922, 0.2864, 0.291753, 0.300197, 0.308865, 0.326071, 0.330152, 0.334816, 0.344143, 0.378646, 0.389492, 0.403259, 0.413946, 0.428194, 0.440943, 0.457053, 0.476505, 0.483178, 0.498768, 0.516586, 0.55222, 0.605206, 0.658216");
-            values ( \
-              "-0.0177954, -0.245918, -0.258914, -0.276399, -0.27679, -0.287227, -0.291796, -0.294743, -0.296057, -0.295787, -0.294544, -0.291688, -0.287264, -0.27273, -0.267428, -0.260413, -0.242297, -0.156329, -0.131563, -0.103956, -0.0857454, -0.0655199, -0.0511159, -0.0370297, -0.0247658, -0.0215653, -0.015576, -0.0106598, -0.00481859, -0.00133016, -0.000372729" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543681");
-            index_3 ("0.206547, 0.234517, 0.238689, 0.248864, 0.262601, 0.271416, 0.282983, 0.291967, 0.316162, 0.359028, 0.378164, 0.409716, 0.417597, 0.446387, 0.520091, 0.55619, 0.592366, 0.619675, 0.649918, 0.697065, 0.756708, 0.809718, 0.858246");
-            values ( \
-              "-0.00834597, -0.261253, -0.277226, -0.299048, -0.316291, -0.321924, -0.324821, -0.325079, -0.322553, -0.313351, -0.307317, -0.291996, -0.286284, -0.255634, -0.14915, -0.106395, -0.07374, -0.0551313, -0.0395737, -0.0231934, -0.0116501, -0.00617376, -0.00350059" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.10688");
-            index_3 ("0.217607, 0.235189, 0.244189, 0.264238, 0.270811, 0.282645, 0.296869, 0.333891, 0.396022, 0.449032, 0.484288, 0.513651, 0.56666, 0.593583, 0.62948, 0.643012, 0.769561, 0.847081, 0.884146, 0.917113, 0.971907, 1.03781, 1.09082, 1.13917, 1.22157, 1.27458, 1.32759, 1.43361, 1.64565");
-            values ( \
-              "-0.178962, -0.276358, -0.303567, -0.332571, -0.337103, -0.341125, -0.342449, -0.340574, -0.334693, -0.328507, -0.323456, -0.318322, -0.305122, -0.294901, -0.275052, -0.265654, -0.164307, -0.112482, -0.0925786, -0.0773333, -0.056793, -0.0387056, -0.0282237, -0.0210916, -0.0127458, -0.00920333, -0.00661115, -0.00341291, -0.000901138" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.390562, 0.394133, 0.399324, 0.404368, 0.422397, 0.428436, 0.433984, 0.439359, 0.445293, 0.456489, 0.462756, 0.470404, 0.476925, 0.481953, 0.487695, 0.492186, 0.501167, 0.509546");
-            values ( \
-              "-0.0228713, -0.0273171, -0.041528, -0.0580801, -0.102731, -0.114338, -0.121456, -0.123018, -0.111249, -0.0642417, -0.0430388, -0.025176, -0.0156188, -0.0107304, -0.00703106, -0.00505132, -0.00259595, -0.00160932" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715634");
-            index_3 ("0.391292, 0.398702, 0.404502, 0.41149, 0.421981, 0.430485, 0.438087, 0.445275, 0.452394, 0.459508, 0.462329, 0.475733, 0.482639, 0.486826, 0.490319, 0.495881, 0.501984, 0.50948, 0.51298, 0.518335, 0.525475, 0.539756, 0.550529");
-            values ( \
-              "-0.0148258, -0.0548441, -0.0789836, -0.104878, -0.140118, -0.16297, -0.176086, -0.182283, -0.180027, -0.16282, -0.15177, -0.0924881, -0.0675815, -0.0551563, -0.0462812, -0.0347097, -0.0251029, -0.0166584, -0.0137663, -0.0102646, -0.00690255, -0.00301751, -0.00175726" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140683");
-            index_3 ("0.391773, 0.402848, 0.415461, 0.42578, 0.43247, 0.442567, 0.452542, 0.459147, 0.465275, 0.475768, 0.481249, 0.487417, 0.505922, 0.520568, 0.53442, 0.550121, 0.5597, 0.570104, 0.580814, 0.600992, 0.61743");
-            values ( \
-              "-0.00353681, -0.0880837, -0.147515, -0.188562, -0.208469, -0.228533, -0.238508, -0.241305, -0.241209, -0.232916, -0.222685, -0.205599, -0.138002, -0.0914185, -0.059197, -0.0349495, -0.0249132, -0.017493, -0.0120573, -0.00571231, -0.00345546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276562");
-            index_3 ("0.392186, 0.411516, 0.429761, 0.438679, 0.449313, 0.458734, 0.467058, 0.481645, 0.501859, 0.519379, 0.527872, 0.5396, 0.578639, 0.595386, 0.613656, 0.642801, 0.671541, 0.706021, 0.736337, 0.75849");
-            values ( \
-              "-0.00461291, -0.147981, -0.231096, -0.255474, -0.273196, -0.28205, -0.286118, -0.287957, -0.282155, -0.268865, -0.257805, -0.235731, -0.141839, -0.107234, -0.0770701, -0.043729, -0.0242532, -0.0117712, -0.00605234, -0.00420513" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543681");
-            index_3 ("0.392661, 0.419805, 0.432291, 0.441669, 0.456604, 0.468003, 0.493981, 0.531824, 0.572054, 0.60279, 0.616661, 0.640384, 0.735966, 0.785236, 0.826765, 0.880982, 0.939649, 1.00495");
-            values ( \
-              "-0.00913109, -0.204549, -0.258545, -0.283372, -0.305339, -0.313326, -0.318267, -0.313863, -0.305832, -0.292297, -0.281986, -0.255551, -0.121827, -0.0744974, -0.0477458, -0.0259867, -0.013255, -0.00732093" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.10688");
-            index_3 ("0.39306, 0.424275, 0.437761, 0.445267, 0.457458, 0.475243, 0.485386, 0.501658, 0.522429, 0.550046, 0.571218, 0.590134, 0.637848, 0.668831, 0.707785, 0.760795, 0.787727, 0.823637, 0.83715, 0.963726, 1.04123, 1.07825, 1.11123, 1.16605, 1.23196, 1.28497, 1.3333, 1.4157, 1.46871, 1.52172, 1.62774, 1.6485");
-            values ( \
-              "-0.0106327, -0.23607, -0.286686, -0.303818, -0.320945, -0.3323, -0.334935, -0.3364, -0.336057, -0.33417, -0.334783, -0.334188, -0.329183, -0.324932, -0.318333, -0.305114, -0.294894, -0.275041, -0.265651, -0.164288, -0.11248, -0.0925927, -0.0773447, -0.0567953, -0.0387056, -0.0282192, -0.0210948, -0.0127496, -0.00920138, -0.0066145, -0.00341599, -0.00317004" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.772744, 0.781789, 0.785819, 0.79028, 0.798666, 0.807062, 0.810328, 0.819401, 0.827219, 0.834228, 0.840761, 0.847228, 0.853682, 0.854541, 0.85626, 0.864101, 0.866512, 0.870901, 0.874593, 0.877767, 0.881533, 0.886983, 0.889714, 0.893777, 0.899194, 0.910029, 0.911989");
-            values ( \
-              "-0.0125459, -0.0185896, -0.0237831, -0.0308085, -0.0473005, -0.0600358, -0.0656498, -0.078894, -0.0892226, -0.0977446, -0.102682, -0.100392, -0.0812147, -0.0796459, -0.0725705, -0.0468056, -0.0405664, -0.030394, -0.023575, -0.0188832, -0.0144599, -0.00975845, -0.0080444, -0.00605299, -0.00418285, -0.00199362, -0.0018403" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715634");
-            index_3 ("0.774931, 0.785182, 0.790906, 0.810959, 0.829458, 0.83884, 0.84735, 0.855421, 0.863492, 0.864435, 0.880092, 0.890987, 0.899688, 0.908635, 0.915536, 0.923123, 0.929008, 0.940778, 0.945362");
-            values ( \
-              "-0.0214221, -0.0327487, -0.0442367, -0.0915058, -0.128991, -0.145458, -0.157295, -0.162049, -0.152054, -0.149391, -0.0897599, -0.0550431, -0.0357206, -0.022439, -0.0155276, -0.0103765, -0.00757102, -0.00399259, -0.00337181" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140683");
-            index_3 ("0.778513, 0.788327, 0.823163, 0.831691, 0.845564, 0.857759, 0.8692, 0.880508, 0.891804, 0.917488, 0.928079, 0.939832, 0.948627, 0.958342, 0.973679, 0.98282, 0.993268, 1.01044");
-            values ( \
-              "-0.0339444, -0.049692, -0.145662, -0.167262, -0.198144, -0.217065, -0.225506, -0.222995, -0.202635, -0.11552, -0.0851125, -0.0589067, -0.0440799, -0.0316971, -0.0185091, -0.0133987, -0.0092016, -0.00508722" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276562");
-            index_3 ("0.78404, 0.794844, 0.827637, 0.838923, 0.850891, 0.861773, 0.87024, 0.887175, 0.89605, 0.906288, 0.924168, 0.93667, 0.944276, 0.984462, 1.00098, 1.02498, 1.04502, 1.0557, 1.07536, 1.09797, 1.11612, 1.1524, 1.21589");
-            values ( \
-              "-0.0512562, -0.0777529, -0.182545, -0.214866, -0.242768, -0.260298, -0.269018, -0.2784, -0.279273, -0.277854, -0.266991, -0.250281, -0.235316, -0.138655, -0.105047, -0.0677589, -0.0458858, -0.0370532, -0.0247125, -0.0154547, -0.0105167, -0.00468934, -0.00104581" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543681");
-            index_3 ("0.757468, 0.876116, 0.892768, 0.910986, 0.917597, 0.944391, 0.97566, 0.990816, 1.00646, 1.02457, 1.04488, 1.10273, 1.14845, 1.17437, 1.19152, 1.21949, 1.23273, 1.26165, 1.29069, 1.31512, 1.3477, 1.40071, 1.45372, 1.55974");
-            values ( \
-              "-0.00105427, -0.30071, -0.310291, -0.314239, -0.314438, -0.312077, -0.304569, -0.298608, -0.290466, -0.276954, -0.254221, -0.170585, -0.113098, -0.0875796, -0.0734137, -0.0543154, -0.0471741, -0.0344552, -0.0247975, -0.0187679, -0.0128669, -0.00681162, -0.00360461, -0.00100156" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.10688");
-            index_3 ("0.757571, 0.878117, 0.887489, 0.904758, 0.92082, 0.936349, 0.962567, 0.993988, 1.08119, 1.11248, 1.16549, 1.19353, 1.24238, 1.37918, 1.44894, 1.49836, 1.55108, 1.62317, 1.67618, 1.72306, 1.78989, 1.8429, 2.00193, 2.02605");
-            values ( \
-              "-0.000987567, -0.318363, -0.325348, -0.331909, -0.334859, -0.335139, -0.334593, -0.331732, -0.321664, -0.316112, -0.303649, -0.293252, -0.265322, -0.156918, -0.1112, -0.0855408, -0.0637531, -0.0421018, -0.030722, -0.023192, -0.0154527, -0.0111545, -0.00415573, -0.0038086" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00579491, 0.00579578, 0.00579675, 0.00579755, 0.00579811, 0.00579846", \
-            "0.00699277, 0.00699303, 0.0069934, 0.00699382, 0.00699416, 0.00699438", \
-            "0.00766128, 0.00766119, 0.00766112, 0.00766112, 0.00766117, 0.00766121", \
-            "0.00803224, 0.00803197, 0.00803179, 0.00803176, 0.00803162, 0.00803182", \
-            "0.00822444, 0.00822432, 0.00822415, 0.00822397, 0.0082238, 0.00822368", \
-            "0.00833795, 0.00833791, 0.00833782, 0.00833771, 0.00833759, 0.00833747" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00861719, 0.00861752, 0.00861824, 0.00861901, 0.00861963, 0.00862005", \
-            "0.00938868, 0.00938676, 0.00938491, 0.00938356, 0.00938285, 0.00938251", \
-            "0.00994429, 0.00994003, 0.00993526, 0.00993082, 0.00992747, 0.00992537", \
-            "0.0105829, 0.010582, 0.0105797, 0.0105763, 0.010573, 0.0105705", \
-            "0.0114281, 0.0114778, 0.0115225, 0.0115582, 0.0115811, 0.0115933", \
-            "0.0123513, 0.0123425, 0.0123054, 0.0122679, 0.012317, 0.0123362" \
-          );
-        }
-      }
-      timing () {
-        related_pin : "B";
-        sdf_cond : "A";
-        timing_sense : negative_unate;
-        timing_type : combinational;
-        when : "A";
-        cell_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0741175, 0.0903378, 0.121556, 0.182207, 0.300771, 0.533433", \
-            "0.0800879, 0.0963737, 0.127708, 0.188483, 0.307148, 0.539867", \
-            "0.0931442, 0.109419, 0.140794, 0.201695, 0.320506, 0.553357", \
-            "0.120369, 0.137946, 0.169544, 0.230517, 0.349482, 0.582529", \
-            "0.165451, 0.188849, 0.228457, 0.294867, 0.414237, 0.647562", \
-            "0.242097, 0.274554, 0.328741, 0.415832, 0.55548, 0.792204" \
-          );
-        }
-        rise_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0661354, 0.0885715, 0.132186, 0.217348, 0.384555, 0.712829", \
-            "0.0661825, 0.0885787, 0.132189, 0.217347, 0.384551, 0.712827", \
-            "0.0666492, 0.0887704, 0.132222, 0.217362, 0.384548, 0.712824", \
-            "0.0749959, 0.0953375, 0.135719, 0.217758, 0.384608, 0.712828", \
-            "0.101307, 0.122541, 0.15955, 0.232163, 0.387915, 0.712822", \
-            "0.148657, 0.17396, 0.218849, 0.293247, 0.428567, 0.726006" \
-          );
-        }
-        cell_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0487199, 0.0589695, 0.0778963, 0.114041, 0.183749, 0.319472", \
-            "0.0524326, 0.0627993, 0.0818311, 0.118045, 0.187806, 0.323569", \
-            "0.0609712, 0.0714377, 0.0906149, 0.126998, 0.196925, 0.332789", \
-            "0.0749128, 0.0877845, 0.109271, 0.146706, 0.217009, 0.35313", \
-            "0.0873906, 0.10538, 0.134726, 0.182533, 0.260334, 0.397693", \
-            "0.0855381, 0.111793, 0.153783, 0.221599, 0.327028, 0.490261" \
-          );
-        }
-        fall_transition (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0406631, 0.0531729, 0.0780251, 0.126834, 0.220861, 0.402726", \
-            "0.0403944, 0.0529892, 0.0778053, 0.126738, 0.220846, 0.402727", \
-            "0.0422113, 0.0539768, 0.0779963, 0.126442, 0.220814, 0.402725", \
-            "0.0544839, 0.0655598, 0.0869067, 0.131501, 0.221353, 0.40275", \
-            "0.0797958, 0.0930726, 0.117245, 0.161063, 0.239448, 0.407045", \
-            "0.12236, 0.140556, 0.171366, 0.226837, 0.311052, 0.456805" \
-          );
-        }
-        output_current_rise () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0375341, 0.0402263, 0.0415167, 0.0426269, 0.0445893, 0.0507512, 0.0556862, 0.0644011, 0.0753643, 0.0856904, 0.0947971, 0.096194, 0.0989878, 0.104575, 0.107191, 0.12018, 0.125281, 0.132081, 0.143073, 0.146179, 0.146891, 0.156867, 0.168267, 0.171993, 0.182087, 0.193623, 0.205429, 0.223497, 0.247588, 0.285123, 0.291926");
-            values ( \
-              "0.0189593, 0.0784021, 0.0830192, 0.0840167, 0.0820308, 0.0717012, 0.0695858, 0.066667, 0.0641827, 0.0626332, 0.0624204, 0.0626484, 0.06204, 0.057881, 0.0537559, 0.0375098, 0.0326858, 0.0274881, 0.0198477, 0.0186541, 0.0179909, 0.0137912, 0.00987924, 0.00893897, 0.00676986, 0.00484517, 0.00346901, 0.00207393, 0.00104584, 0.000320799, 0.000300586" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715634");
-            index_3 ("0.0374926, 0.0412202, 0.0436757, 0.0498507, 0.0526696, 0.0560986, 0.0653806, 0.0749005, 0.0882303, 0.116041, 0.127953, 0.131108, 0.147941, 0.156148, 0.165932, 0.178879, 0.186745, 0.200341, 0.21435, 0.231898, 0.248285, 0.259672, 0.281552, 0.310725, 0.357795, 0.415931");
-            values ( \
-              "0.0181207, 0.120308, 0.125424, 0.111185, 0.107564, 0.105159, 0.101092, 0.0981835, 0.095236, 0.0903342, 0.0832724, 0.0779682, 0.0566158, 0.0483015, 0.0403476, 0.0301813, 0.0257995, 0.019221, 0.0141033, 0.00949445, 0.00665747, 0.00503483, 0.0030357, 0.00159952, 0.000435776, 0.000165429" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140683");
-            index_3 ("0.0374547, 0.0426833, 0.0434133, 0.0448734, 0.0512512, 0.0580419, 0.0681499, 0.0741548, 0.0821969, 0.0976177, 0.112894, 0.133191, 0.154292, 0.171102, 0.177215, 0.203845, 0.222139, 0.243047, 0.263754, 0.27627, 0.292675, 0.306653, 0.322492, 0.3451, 0.369507, 0.388376, 0.426114, 0.492214, 0.570717");
-            values ( \
-              "0.0285706, 0.165359, 0.166607, 0.165252, 0.147913, 0.1416, 0.136541, 0.13478, 0.13278, 0.130111, 0.127078, 0.122648, 0.117322, 0.107952, 0.101176, 0.0745789, 0.0596734, 0.0443996, 0.0330413, 0.0276332, 0.0212586, 0.0170936, 0.0134779, 0.00917959, 0.00618963, 0.0046561, 0.00237338, 0.000800536, 0.0001184" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276562");
-            index_3 ("0.0398298, 0.045004, 0.0529328, 0.0576139, 0.0673462, 0.0825805, 0.1286, 0.160657, 0.195907, 0.224397, 0.237189, 0.251128, 0.323082, 0.370822, 0.410229, 0.437773, 0.468502, 0.485856, 0.520564, 0.550409, 0.596427, 0.657785, 0.741286");
-            values ( \
-              "0.184698, 0.200559, 0.178682, 0.174115, 0.168255, 0.164262, 0.158182, 0.152986, 0.146404, 0.139761, 0.135667, 0.129371, 0.0827727, 0.056436, 0.0398327, 0.030801, 0.0228497, 0.0192928, 0.0135479, 0.00999662, 0.00621108, 0.0032515, 0.00126747" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543681");
-            index_3 ("0.0438069, 0.0438269, 0.126727, 0.247307, 0.312305, 0.359399, 0.403715, 0.442438, 0.568089, 0.622008, 0.696361, 0.779735, 0.857535, 0.914597, 0.983706, 1.15183, 1.22922");
-            values ( \
-              "1e-22, 0.218162, 0.183568, 0.171371, 0.16336, 0.1557, 0.145295, 0.13209, 0.0828747, 0.0648134, 0.0445884, 0.0281492, 0.0182332, 0.0128811, 0.00852321, 0.00299371, 0.00207945" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.10688");
-            index_3 ("0.0480245, 0.0480445, 0.223733, 0.436539, 0.545005, 0.659823, 0.718776, 0.787025, 1.01761, 1.16587, 1.29224, 1.37631, 1.47524, 1.63164, 1.6967, 1.94262, 2.24251");
-            values ( \
-              "1e-22, 0.226991, 0.194221, 0.181323, 0.173379, 0.161386, 0.15251, 0.139526, 0.0888418, 0.0610115, 0.0428958, 0.0333262, 0.0246231, 0.0150148, 0.0121836, 0.00543945, 0.00215317" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.0575372, 0.0598494, 0.0629848, 0.072447, 0.0919483, 0.100284, 0.115947, 0.116243, 0.119007, 0.148797, 0.166802, 0.178259, 0.188447, 0.212497, 0.2465, 0.272183");
-            values ( \
-              "0.0413366, 0.0721527, 0.0824421, 0.0703016, 0.0645599, 0.0631321, 0.0619499, 0.0625464, 0.0620192, 0.0297933, 0.0180668, 0.0131233, 0.00989312, 0.00497036, 0.00188533, 0.000941164" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715634");
-            index_3 ("0.0576024, 0.0610038, 0.0627892, 0.0642368, 0.0697664, 0.0735596, 0.0819894, 0.0948638, 0.108196, 0.136007, 0.147878, 0.151074, 0.16799, 0.175949, 0.185796, 0.198799, 0.206771, 0.220399, 0.23389, 0.249124, 0.273637, 0.307231, 0.343065, 0.392543, 0.454712");
-            values ( \
-              "0.0382093, 0.113475, 0.121777, 0.122242, 0.110534, 0.10612, 0.102234, 0.0981372, 0.0952202, 0.0903371, 0.0833108, 0.0779708, 0.0565323, 0.0484737, 0.0404168, 0.0302221, 0.0257725, 0.0191888, 0.0142464, 0.0101177, 0.00576328, 0.00265468, 0.00118659, 0.000291257, 0.000121361" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140683");
-            index_3 ("0.0597453, 0.0597653, 0.0917088, 0.099262, 0.122964, 0.153266, 0.174366, 0.191051, 0.197288, 0.223917, 0.242217, 0.263131, 0.283815, 0.296335, 0.312744, 0.32673, 0.342567, 0.365173, 0.389571, 0.408433, 0.446158, 0.512237, 0.590568");
-            values ( \
-              "1e-22, 0.160585, 0.135401, 0.133507, 0.129084, 0.122635, 0.117306, 0.108068, 0.101162, 0.0745683, 0.0596831, 0.0443808, 0.0330362, 0.0276477, 0.0212494, 0.0170827, 0.0134877, 0.00917003, 0.00618279, 0.00466519, 0.0023669, 0.000809017, 0.000111611" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276562");
-            index_3 ("0.05975, 0.0650536, 0.0730586, 0.0875148, 0.102618, 0.160062, 0.213038, 0.244398, 0.257708, 0.271484, 0.343256, 0.39098, 0.430424, 0.457995, 0.488662, 0.540619, 0.570572, 0.61675, 0.678321, 0.759147");
-            values ( \
-              "0.17869, 0.197071, 0.177626, 0.168151, 0.164319, 0.156396, 0.146992, 0.139814, 0.135543, 0.129269, 0.0827745, 0.0564451, 0.0398257, 0.0307883, 0.0228531, 0.0135645, 0.00999773, 0.00620123, 0.00323904, 0.00132536" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543681");
-            index_3 ("0.0637405, 0.0637605, 0.159453, 0.217756, 0.272677, 0.313269, 0.362488, 0.394569, 0.439088, 0.462963, 0.561409, 0.588046, 0.641322, 0.677972, 0.717967, 0.771294, 0.811538, 0.834112, 0.875844, 0.933883, 0.957974, 1.00615, 1.09022, 1.17428, 1.25834, 1.42647, 1.51053");
-            values ( \
-              "1e-22, 0.212633, 0.182362, 0.176703, 0.170795, 0.165932, 0.158847, 0.152713, 0.140739, 0.131945, 0.0930453, 0.0832059, 0.0650125, 0.0542988, 0.0441729, 0.0331756, 0.0264734, 0.0233633, 0.0183273, 0.0130918, 0.0113068, 0.00854034, 0.00507266, 0.00307789, 0.00179075, 0.000622179, 0.000419564" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.10688");
-            index_3 ("0.0662834, 0.0663034, 0.203943, 0.421388, 0.527999, 0.640219, 0.680167, 0.760064, 0.807527, 1.03729, 1.1861, 1.31281, 1.39687, 1.49527, 1.56772, 1.71706, 1.7952, 1.96333, 2.28434");
-            values ( \
-              "1e-22, 0.22713, 0.19647, 0.183643, 0.17631, 0.166229, 0.161363, 0.148854, 0.139496, 0.0890015, 0.0610463, 0.0428635, 0.0333179, 0.0246614, 0.019755, 0.0121957, 0.00946976, 0.00544566, 0.00193188" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0942261, 0.100692, 0.105373, 0.109025, 0.112564, 0.11418, 0.118495, 0.121483, 0.128915, 0.149411, 0.159559, 0.160614, 0.164836, 0.185632, 0.202984, 0.220875, 0.23198, 0.2458, 0.275244, 0.311648, 0.317564");
-            values ( \
-              "0.00157547, 0.0460695, 0.0635889, 0.0677277, 0.0658722, 0.0665175, 0.0651036, 0.0656384, 0.0654094, 0.0626715, 0.0622062, 0.0625337, 0.0610206, 0.0360944, 0.0223571, 0.0136477, 0.00994455, 0.0067704, 0.00294097, 0.00103005, 0.000919232" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715634");
-            index_3 ("0.0943084, 0.104665, 0.10628, 0.109405, 0.112648, 0.113149, 0.116838, 0.122554, 0.125668, 0.138698, 0.145244, 0.15205, 0.161705, 0.165778, 0.172709, 0.179867, 0.191665, 0.194934, 0.212024, 0.219475, 0.242561, 0.250776, 0.264478, 0.277772, 0.29261, 0.317422, 0.333491, 0.351855, 0.388583, 0.438194, 0.500858");
-            values ( \
-              "0.00435158, 0.0907749, 0.097403, 0.101703, 0.0991821, 0.10032, 0.0993918, 0.0992394, 0.0998291, 0.098032, 0.0963841, 0.0953383, 0.0931824, 0.0927816, 0.0913482, 0.0904615, 0.0832443, 0.0780588, 0.0564416, 0.0488944, 0.0303737, 0.0257187, 0.0191198, 0.0142578, 0.0102199, 0.0057857, 0.00408491, 0.00261385, 0.00113341, 0.00028339, 0.000111455" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140683");
-            index_3 ("0.0943062, 0.10647, 0.109943, 0.112649, 0.113776, 0.124584, 0.138099, 0.176871, 0.218271, 0.234931, 0.267823, 0.307039, 0.340236, 0.370634, 0.409072, 0.452305, 0.490002, 0.511993");
-            values ( \
-              "0.00186844, 0.130083, 0.135985, 0.133715, 0.134989, 0.135583, 0.13436, 0.127113, 0.117355, 0.108039, 0.0746108, 0.044406, 0.0276388, 0.0170892, 0.0091762, 0.00466956, 0.00236776, 0.00202368" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276562");
-            index_3 ("0.0999184, 0.107276, 0.110177, 0.112598, 0.114153, 0.116941, 0.130518, 0.182692, 0.22482, 0.263669, 0.2929, 0.306289, 0.32949, 0.376277, 0.419147, 0.468348, 0.497011, 0.53494, 0.557518, 0.610696, 0.679541, 0.752976, 0.798085");
-            values ( \
-              "0.109563, 0.161278, 0.164809, 0.163343, 0.164895, 0.164445, 0.166375, 0.159607, 0.152984, 0.145701, 0.138536, 0.133706, 0.120926, 0.0894513, 0.0644208, 0.0422366, 0.0321365, 0.0224565, 0.0177819, 0.0103145, 0.00502941, 0.00236995, 0.00154411" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543681");
-            index_3 ("0.105044, 0.105064, 0.194193, 0.261869, 0.353886, 0.438703, 0.470426, 0.506977, 0.632548, 0.7156, 0.761061, 0.813015, 0.880867, 0.978954, 1.04852, 1.13258, 1.21664, 1.30071, 1.33964");
-            values ( \
-              "1e-22, 0.202434, 0.183269, 0.176702, 0.166381, 0.152726, 0.144687, 0.132044, 0.0829378, 0.0560737, 0.044515, 0.0335051, 0.0229177, 0.0129249, 0.00853898, 0.00522134, 0.00301876, 0.00190288, 0.00166049" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.10688");
-            index_3 ("0.108947, 0.108967, 0.289518, 0.409566, 0.535103, 0.60979, 0.693853, 0.724705, 0.783656, 0.851907, 1.08249, 1.23075, 1.289, 1.35712, 1.44119, 1.54012, 1.61245, 1.69651, 1.76157, 1.83936, 2.00749, 2.17561, 2.34374, 2.38367");
-            values ( \
-              "1e-22, 0.217605, 0.194194, 0.187161, 0.179016, 0.173345, 0.165203, 0.16134, 0.152555, 0.139482, 0.0888819, 0.0610511, 0.052017, 0.0428593, 0.0333628, 0.024659, 0.019716, 0.0150498, 0.0122163, 0.00949988, 0.00547079, 0.00313206, 0.00178484, 0.00166078" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.167827, 0.178707, 0.193363, 0.195418, 0.198159, 0.203022, 0.213969, 0.220243, 0.226611, 0.232751, 0.244863, 0.248942, 0.255619, 0.257649, 0.260355, 0.265766, 0.278868, 0.285424, 0.296186, 0.305151, 0.31268, 0.322718, 0.332479, 0.345461, 0.359618, 0.370079, 0.3912, 0.419362, 0.459487, 0.509449");
-            values ( \
-              "0.00119174, 0.0229233, 0.0444683, 0.0466362, 0.0484238, 0.0499996, 0.0514905, 0.0514635, 0.0525764, 0.0530471, 0.0550998, 0.0563022, 0.0594117, 0.0598726, 0.0595486, 0.0554762, 0.0393408, 0.0331562, 0.0247023, 0.0193618, 0.0157477, 0.0118404, 0.00901646, 0.00624721, 0.00420485, 0.00310097, 0.00169593, 0.000764024, 0.000206904, 7.17994e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715634");
-            index_3 ("0.165414, 0.198941, 0.204142, 0.209533, 0.215944, 0.222342, 0.247821, 0.253808, 0.256101, 0.260685, 0.265995, 0.271329, 0.276648, 0.287285, 0.291737, 0.309418, 0.322976, 0.337667, 0.350147, 0.359019, 0.371286, 0.382457, 0.397353, 0.410562, 0.429224, 0.454107, 0.496214, 0.547793, 0.612125");
-            values ( \
-              "0.0034784, 0.0733857, 0.0746016, 0.0770086, 0.0775961, 0.0786351, 0.0844517, 0.0879064, 0.0887611, 0.0902093, 0.0907662, 0.0907026, 0.090236, 0.0842898, 0.0779145, 0.0559106, 0.0432308, 0.0315315, 0.0243468, 0.020268, 0.0153094, 0.0119409, 0.00865229, 0.00628014, 0.00408437, 0.0023885, 0.000761989, 0.000299988, 1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140683");
-            index_3 ("0.175034, 0.184887, 0.19458, 0.198806, 0.202973, 0.207514, 0.214338, 0.220685, 0.228451, 0.248789, 0.25339, 0.262245, 0.267984, 0.273583, 0.283591, 0.293905, 0.316314, 0.323996, 0.334239, 0.367154, 0.392164, 0.409174, 0.422634, 0.440581, 0.453912, 0.464808, 0.479337, 0.504193, 0.521814, 0.557165, 0.6043, 0.672823, 0.756886");
-            values ( \
-              "0.0417644, 0.0610994, 0.0931455, 0.0972191, 0.0989274, 0.101982, 0.105439, 0.106364, 0.108851, 0.117108, 0.121714, 0.126078, 0.126635, 0.12643, 0.124758, 0.122571, 0.116898, 0.113414, 0.105075, 0.0725701, 0.0524603, 0.0414342, 0.0341172, 0.0260076, 0.0212428, 0.0179297, 0.0142145, 0.00953721, 0.00715152, 0.00400188, 0.00180481, 0.000504232, 0.000129546" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276562");
-            index_3 ("0.175082, 0.190407, 0.196707, 0.203614, 0.215347, 0.222004, 0.248856, 0.259687, 0.264021, 0.269799, 0.279782, 0.2897, 0.321753, 0.366082, 0.38981, 0.416026, 0.484207, 0.531779, 0.571579, 0.599405, 0.629468, 0.680379, 0.711421, 0.759211, 0.822932, 0.906995, 1.07512");
-            values ( \
-              "0.0316456, 0.0982926, 0.116906, 0.120112, 0.129035, 0.130586, 0.145689, 0.156182, 0.158208, 0.159371, 0.159259, 0.15812, 0.152952, 0.144598, 0.138396, 0.127592, 0.0826242, 0.0563668, 0.0396346, 0.0307836, 0.0227879, 0.0136362, 0.00991992, 0.00601736, 0.00319823, 0.00111177, 9.51082e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543681");
-            index_3 ("0.188533, 0.243472, 0.261765, 0.268478, 0.278587, 0.29151, 0.325356, 0.443445, 0.474041, 0.541172, 0.568577, 0.604199, 0.729794, 0.809544, 0.878025, 0.927052, 0.971986, 1.0319, 1.07842, 1.13012, 1.19907, 1.28313, 1.36719, 1.42631");
-            values ( \
-              "0.15171, 0.162131, 0.180532, 0.183406, 0.183825, 0.183396, 0.18022, 0.167431, 0.163237, 0.151606, 0.144438, 0.131985, 0.0830051, 0.0570595, 0.0400374, 0.0306083, 0.0237918, 0.016832, 0.0128095, 0.00943961, 0.00623213, 0.00372607, 0.0022256, 0.00181572" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.10688");
-            index_3 ("0.196348, 0.263514, 0.269935, 0.281379, 0.442825, 0.638856, 0.706932, 0.790996, 0.82175, 0.880703, 0.948952, 1.17954, 1.32779, 1.45417, 1.53823, 1.63716, 1.70949, 1.79355, 1.85861, 1.93639, 2.10452, 2.27265, 2.44077, 2.86109");
-            values ( \
-              "0.188, 0.196318, 0.198545, 0.199596, 0.190982, 0.178554, 0.17333, 0.165198, 0.161337, 0.152549, 0.139499, 0.0888607, 0.06103, 0.0428814, 0.0333413, 0.0246373, 0.0197382, 0.0150282, 0.0121954, 0.00947941, 0.0054504, 0.00311176, 0.0017647, 0.000498492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.317715, 0.337019, 0.374853, 0.386562, 0.407179, 0.424476, 0.455828, 0.467687, 0.470902, 0.477334, 0.487947, 0.489868, 0.493709, 0.515124, 0.519193, 0.525662, 0.550138, 0.551653, 0.585337, 0.597631, 0.614024, 0.64681, 0.659992");
-            values ( \
-              "0.00176379, 0.0118214, 0.0285451, 0.0327107, 0.0369957, 0.0388084, 0.0448711, 0.0431107, 0.0401407, 0.0368055, 0.0294842, 0.0292984, 0.0265182, 0.0167575, 0.0159235, 0.0133056, 0.00723266, 0.00760924, 0.00340196, 0.00241619, 0.00152425, 0.000580382, 0.000450597" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715634");
-            index_3 ("0.317589, 0.374684, 0.387097, 0.400119, 0.410546, 0.431292, 0.464121, 0.482316, 0.496252, 0.521413, 0.550325, 0.588431, 0.604525, 0.632776, 0.669435, 0.710113");
-            values ( \
-              "0.00227315, 0.0431564, 0.0502941, 0.0530201, 0.0564135, 0.0602652, 0.0690253, 0.0723386, 0.0696107, 0.0489285, 0.0299119, 0.0149457, 0.0104657, 0.00552512, 0.00238146, 0.000905972" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140683");
-            index_3 ("0.333258, 0.365864, 0.375309, 0.382216, 0.394944, 0.413358, 0.433213, 0.460247, 0.478907, 0.500058, 0.510932, 0.527537, 0.540177, 0.555797, 0.59822, 0.628286, 0.651287, 0.682522, 0.720745, 0.763225, 0.800206, 0.835292");
-            values ( \
-              "0.0282997, 0.0475487, 0.0603892, 0.0652051, 0.0686043, 0.0770722, 0.0822873, 0.0936083, 0.0997867, 0.104344, 0.105847, 0.106769, 0.104009, 0.0944514, 0.0588219, 0.0388682, 0.0276995, 0.0170842, 0.00924938, 0.00465016, 0.00249112, 0.00157346" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276562");
-            index_3 ("0.333226, 0.357525, 0.365196, 0.374268, 0.38071, 0.38787, 0.410309, 0.422789, 0.433265, 0.458959, 0.474706, 0.487804, 0.499051, 0.518154, 0.535394, 0.550186, 0.55834, 0.569032, 0.572069, 0.576118, 0.584217, 0.604852, 0.613727, 0.627504, 0.643158, 0.687343, 0.715178, 0.746991, 0.765761, 0.786443, 0.814019, 0.831686, 0.844667, 0.861974, 0.896588, 0.926565, 0.941968, 0.972772, 1.03438, 1.11844, 1.20251, 1.28657");
-            values ( \
-              "0.0348479, 0.0471362, 0.0574987, 0.0742645, 0.0792624, 0.0788187, 0.0928149, 0.0964055, 0.10078, 0.114853, 0.122758, 0.128455, 0.132309, 0.137702, 0.140896, 0.142909, 0.144842, 0.145884, 0.145736, 0.145226, 0.143763, 0.138553, 0.13553, 0.129265, 0.119521, 0.0901752, 0.0734154, 0.056449, 0.0479983, 0.0398257, 0.0307876, 0.0259341, 0.0228562, 0.0193088, 0.0135713, 0.0100002, 0.00857918, 0.00620079, 0.00323794, 0.00124778, 0.00052684, 0.000187497" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543681");
-            index_3 ("0.349774, 0.39518, 0.407109, 0.41313, 0.433495, 0.478297, 0.496209, 0.516469, 0.550095, 0.557017, 0.565805, 0.574388, 0.591554, 0.630772, 0.689379, 0.719129, 0.751195, 0.796081, 0.819594, 0.918033, 0.944663, 0.997923, 1.0346, 1.07462, 1.12798, 1.15124, 1.19067, 1.23242, 1.29047, 1.31458, 1.36279, 1.44686, 1.53092, 1.61498, 1.78311, 1.86718");
-            values ( \
-              "0.0847957, 0.0929247, 0.102208, 0.1055, 0.113792, 0.142378, 0.151463, 0.159953, 0.170016, 0.173073, 0.175618, 0.176198, 0.17497, 0.170644, 0.163385, 0.158815, 0.152738, 0.140598, 0.131965, 0.0930567, 0.0832032, 0.0650294, 0.0543054, 0.0441726, 0.0331626, 0.0291011, 0.0233714, 0.0183354, 0.0130932, 0.0113102, 0.00853804, 0.00507398, 0.00307602, 0.00179224, 0.0006237, 0.000417994" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.10688");
-            index_3 ("0.365312, 0.442036, 0.48927, 0.506176, 0.559616, 0.572488, 0.584465, 0.709742, 0.859877, 0.922461, 1.00652, 1.03727, 1.09623, 1.16448, 1.39506, 1.54332, 1.60157, 1.66969, 1.75375, 1.85269, 1.92501, 2.00908, 2.07413, 2.15191, 2.32004, 2.48817, 2.65629, 2.82442, 3.07661");
-            values ( \
-              "0.123119, 0.127702, 0.16067, 0.169228, 0.191542, 0.194969, 0.195197, 0.187893, 0.178141, 0.173351, 0.165177, 0.161355, 0.152532, 0.139514, 0.0888556, 0.0610261, 0.0519944, 0.0428833, 0.0333403, 0.0246371, 0.0197386, 0.0150284, 0.0121969, 0.0094812, 0.00545226, 0.00311376, 0.00176681, 0.000994241, 0.000496203" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.64004, 0.688693, 0.697024, 0.713686, 0.761363, 0.801158, 0.825939, 0.828249, 0.837487, 0.872605, 0.881548, 0.894435, 0.951718, 0.96461, 0.988764, 1.01709, 1.04165, 1.08835, 1.1366, 1.19434, 1.21616, 1.23273, 1.24435");
-            values ( \
-              "0.00475476, 0.00641549, 0.00705529, 0.00932762, 0.0184244, 0.0240921, 0.0272183, 0.0271351, 0.0275533, 0.030441, 0.0305253, 0.0288513, 0.0138168, 0.0111598, 0.00703329, 0.00390915, 0.00225004, 0.000719637, 0.00023866, 3.22329e-05, 7.01256e-05, 0.000391929, 0.000344975" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715634");
-            index_3 ("0.640013, 0.680709, 0.707489, 0.727866, 0.77629, 0.789366, 0.823577, 0.839691, 0.85442, 0.903977, 0.918182, 0.933868, 1.00123, 1.01309, 1.03675, 1.05961, 1.07336, 1.09304, 1.11573, 1.13358, 1.16927, 1.21617, 1.23841, 1.29309, 1.34704");
-            values ( \
-              "0.00792646, 0.00928121, 0.0129055, 0.0174903, 0.0318253, 0.0346069, 0.0406527, 0.0416415, 0.0433115, 0.0510817, 0.0522632, 0.049317, 0.0233599, 0.01968, 0.0133898, 0.00895932, 0.00698492, 0.00474175, 0.003036, 0.00214271, 0.000982691, 0.000375435, 0.000810518, 0.00027878, 0.000116329" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140683");
-            index_3 ("0.655321, 0.713846, 0.724164, 0.744798, 0.765641, 0.779451, 0.792664, 0.818884, 0.835097, 0.849941, 0.863301, 0.884711, 0.921114, 0.936775, 0.967878, 0.973952, 0.980894, 0.994778, 1.01162, 1.0858, 1.11018, 1.12957, 1.14405, 1.16056, 1.17757, 1.20204, 1.21594, 1.22146, 1.22924, 1.25115, 1.27079, 1.30961, 1.3436");
-            values ( \
-              "0.0159483, 0.019863, 0.0226029, 0.0296158, 0.0406218, 0.0453304, 0.0470662, 0.0540776, 0.0563783, 0.0579053, 0.0604622, 0.0652215, 0.0740172, 0.0772203, 0.0813488, 0.0816361, 0.0813652, 0.0782539, 0.0713519, 0.0364171, 0.0273033, 0.0211484, 0.0173685, 0.0137572, 0.010767, 0.00740475, 0.00598588, 0.00619557, 0.00595778, 0.00435486, 0.00315989, 0.00168833, 0.00103935" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276562");
-            index_3 ("0.664811, 0.738082, 0.768446, 0.782513, 0.793913, 0.82193, 0.857167, 0.870905, 0.929576, 0.979006, 1.02398, 1.06728, 1.10339, 1.12829, 1.21608, 1.2775, 1.30567, 1.33147, 1.36586, 1.39324, 1.42385, 1.46465, 1.54627, 1.5571");
-            values ( \
-              "0.0225427, 0.0333794, 0.0535956, 0.0546407, 0.0571075, 0.0668169, 0.0725375, 0.0758194, 0.094098, 0.107067, 0.114227, 0.116296, 0.109695, 0.0993842, 0.0575103, 0.0351387, 0.0268886, 0.0209098, 0.0148051, 0.0112144, 0.00820091, 0.00535978, 0.00218346, 0.00201306" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543681");
-            index_3 ("0.687943, 0.755763, 0.764941, 0.774231, 0.782341, 0.815468, 0.869599, 0.952728, 0.98403, 1.02484, 1.06163, 1.09855, 1.16362, 1.22797, 1.25196, 1.29649, 1.42148, 1.47462, 1.55173, 1.60537, 1.66701, 1.70894, 1.76717, 1.84006, 1.92412, 2.00819, 2.09225, 2.11935");
-            values ( \
-              "0.040132, 0.054075, 0.0604225, 0.0594852, 0.0604259, 0.0728532, 0.0854461, 0.115025, 0.125049, 0.135677, 0.142968, 0.14814, 0.152821, 0.151043, 0.146994, 0.131898, 0.0831829, 0.0651407, 0.0441719, 0.0330235, 0.0234009, 0.0184189, 0.0130649, 0.00847647, 0.00510493, 0.00302695, 0.00183022, 0.00167388" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.10688");
-            index_3 ("0.714462, 0.820475, 0.858524, 1.00056, 1.0731, 1.13441, 1.18582, 1.23464, 1.25892, 1.39989, 1.48395, 1.51469, 1.57364, 1.64189, 1.87248, 2.02073, 2.1471, 2.23116, 2.3301, 2.40242, 2.48649, 2.55154, 2.62932, 2.79745, 2.96557, 3.1337, 3.55402");
-            values ( \
-              "0.0707719, 0.0798413, 0.088138, 0.141203, 0.16087, 0.172353, 0.179037, 0.183529, 0.183654, 0.173355, 0.16517, 0.161366, 0.152521, 0.139525, 0.0888477, 0.0610185, 0.0428902, 0.0333339, 0.0246312, 0.0197448, 0.0150227, 0.012192, 0.00947668, 0.00544786, 0.00310946, 0.00176262, 0.000500126" \
-            );
-          }
-        }
-        receiver_capacitance1_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00655561, 0.00656648, 0.00657723, 0.00658575, 0.00659142, 0.00659478", \
-            "0.00839451, 0.00841013, 0.00842777, 0.00844347, 0.00845482, 0.00846182", \
-            "0.00960253, 0.00961469, 0.00963115, 0.00964858, 0.00966294, 0.00967265", \
-            "0.0104431, 0.0104462, 0.0104528, 0.0104628, 0.0104735, 0.0104821", \
-            "0.0109725, 0.0109514, 0.0109266, 0.0109056, 0.0108927, 0.0108873", \
-            "0.0113414, 0.0113295, 0.011311, 0.0112805, 0.0112392, 0.0112025" \
-          );
-        }
-        receiver_capacitance2_rise (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0100013, 0.0100235, 0.0100479, 0.0100687, 0.0100835, 0.0100926", \
-            "0.0107339, 0.0107329, 0.0107359, 0.0107408, 0.010745, 0.0107476", \
-            "0.0106713, 0.0106134, 0.0105499, 0.0104862, 0.0104394, 0.01041", \
-            "0.0109694, 0.0108868, 0.0107739, 0.0106495, 0.0105494, 0.0104739", \
-            "0.0109248, 0.0108318, 0.0105682, 0.010473, 0.0103732, 0.0102885", \
-            "0.0104634, 0.0104818, 0.0105024, 0.010388, 0.0100699, 0.00999004" \
-          );
-        }
-        output_current_fall () {
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00364032");
-            index_3 ("0.0274057, 0.0287916, 0.0305869, 0.0330352, 0.0396785, 0.046127, 0.053199, 0.0568141, 0.0662178, 0.069195, 0.0728683, 0.0869567, 0.0973824, 0.106714, 0.113067, 0.120809, 0.126582, 0.138129, 0.155896, 0.159218");
-            values ( \
-              "-0.0801497, -0.109365, -0.109779, -0.108242, -0.106385, -0.096404, -0.0902016, -0.0917755, -0.108221, -0.106926, -0.100019, -0.0534596, -0.0283847, -0.0153692, -0.0100436, -0.00606139, -0.00418972, -0.00206029, -0.000770188, -0.000730462" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.00715634");
-            index_3 ("0.0273639, 0.0296188, 0.0319187, 0.0346482, 0.0357681, 0.038008, 0.0407431, 0.0520386, 0.0559449, 0.060601, 0.0627878, 0.0657035, 0.0784009, 0.0810445, 0.0838838, 0.0869244, 0.103382, 0.1165, 0.121688, 0.128744, 0.140952, 0.147668, 0.159319, 0.174854, 0.198551, 0.204261");
-            values ( \
-              "-0.0614479, -0.167489, -0.16864, -0.166155, -0.167407, -0.167079, -0.165636, -0.143698, -0.138476, -0.135648, -0.136254, -0.138733, -0.163661, -0.1615, -0.157248, -0.149194, -0.0873014, -0.0487557, -0.0379658, -0.0268073, -0.0144452, -0.0103194, -0.00580217, -0.00270901, -0.000927129, -0.000864074" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0140683");
-            index_3 ("0.0273108, 0.0307562, 0.039406, 0.0503799, 0.0625793, 0.0751644, 0.0819459, 0.0893781, 0.10146, 0.114116, 0.144357, 0.159229, 0.17718, 0.200292, 0.216488, 0.234997, 0.255942");
-            values ( \
-              "-0.0646375, -0.229726, -0.237861, -0.221836, -0.192105, -0.183411, -0.188465, -0.201958, -0.217818, -0.19482, -0.0970309, -0.062174, -0.0348168, -0.0160321, -0.00931358, -0.00496718, -0.00282374" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0276562");
-            index_3 ("0.0290986, 0.0325822, 0.0376787, 0.0411312, 0.0477456, 0.0508337, 0.0552034, 0.0687924, 0.0755881, 0.0834145, 0.0935843, 0.104902, 0.120178, 0.125623, 0.135678, 0.14501, 0.163673, 0.165355, 0.200267, 0.210905, 0.227972, 0.245457, 0.259688, 0.272828, 0.290347, 0.312004, 0.326014, 0.354034, 0.405058, 0.462771, 0.578196");
-            values ( \
-              "-0.286075, -0.286125, -0.300055, -0.305129, -0.304043, -0.300397, -0.290069, -0.249349, -0.238605, -0.233148, -0.230479, -0.232391, -0.24357, -0.251852, -0.259125, -0.257966, -0.233858, -0.230724, -0.148762, -0.12567, -0.0939576, -0.0683348, -0.0522337, -0.0404785, -0.0284416, -0.0183747, -0.0139317, -0.00771658, -0.00260425, -0.00072378, -9.89384e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.0543681");
-            index_3 ("0.0291749, 0.0383061, 0.0469252, 0.0508913, 0.0539185, 0.0678211, 0.07504, 0.0816409, 0.0890758, 0.100529, 0.124525, 0.14242, 0.160371, 0.195235, 0.22946, 0.263664, 0.265702, 0.346104, 0.376947, 0.412605, 0.436018, 0.455584, 0.478651, 0.511449, 0.547298, 0.57508, 0.630645, 0.688358, 0.746071, 0.861496");
-            values ( \
-              "-0.301106, -0.349948, -0.368084, -0.368066, -0.361893, -0.31359, -0.295698, -0.285293, -0.280675, -0.275892, -0.27343, -0.273691, -0.274814, -0.285879, -0.286613, -0.253657, -0.253176, -0.139268, -0.104253, -0.0729653, -0.0569754, -0.0461744, -0.0358549, -0.0248259, -0.0166117, -0.0120927, -0.0063349, -0.00321667, -0.00168458, -0.000497541" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0115319;
-            index_1 ("0.0138382");
-            index_2 ("0.10688");
-            index_3 ("0.0295388, 0.0507469, 0.0881099, 0.112525, 0.142302, 0.268199, 0.331235, 0.405285, 0.462173, 0.645561, 0.73573, 0.818639, 0.918871, 1.04192, 1.1569");
-            values ( \
-              "-0.320387, -0.41587, -0.319467, -0.310722, -0.308363, -0.303727, -0.307929, -0.29598, -0.264762, -0.124766, -0.0775932, -0.0484856, -0.0268017, -0.0127858, -0.0072235" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00364032");
-            index_3 ("0.041667, 0.0477361, 0.0509784, 0.0522329, 0.0535291, 0.0568764, 0.0591295, 0.0672103, 0.0709093, 0.0744531, 0.0835435, 0.0843099, 0.0858425, 0.0889079, 0.093778, 0.104437, 0.109688, 0.11516, 0.121268, 0.124393, 0.130642, 0.138566, 0.144473, 0.156287, 0.172926, 0.194852, 0.264834, 0.322546, 0.380259");
-            values ( \
-              "-0.0238993, -0.084195, -0.0932133, -0.101884, -0.10511, -0.108048, -0.106556, -0.0936679, -0.0913792, -0.0920984, -0.107468, -0.107146, -0.107405, -0.103728, -0.0895339, -0.0538579, -0.0396113, -0.0281058, -0.0188355, -0.0155086, -0.010035, -0.00594473, -0.00426203, -0.00190204, -0.000920662, -0.000250205, -1e-22, -0.000145568, -1e-22" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.00715634");
-            index_3 ("0.0435995, 0.0463946, 0.0528595, 0.0576669, 0.0606843, 0.0699577, 0.0739131, 0.0785545, 0.0829376, 0.0958399, 0.100917, 0.104734, 0.122978, 0.132608, 0.14274, 0.148484, 0.156143, 0.165802, 0.172623, 0.186264, 0.208112, 0.219794");
-            values ( \
-              "-0.0640659, -0.120613, -0.157301, -0.166391, -0.164033, -0.14508, -0.139485, -0.136337, -0.138644, -0.163476, -0.15859, -0.149275, -0.0811232, -0.0529043, -0.0324284, -0.0243794, -0.0164702, -0.0101212, -0.00726336, -0.00364228, -0.00133016, -0.00112283" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0140683");
-            index_3 ("0.0435686, 0.047936, 0.053359, 0.0567268, 0.0590973, 0.0618827, 0.068467, 0.0806053, 0.0928104, 0.0966936, 0.101871, 0.107343, 0.118287, 0.119414, 0.121667, 0.131547, 0.158051, 0.173495, 0.190695, 0.201737, 0.218442, 0.224887, 0.245648, 0.267986, 0.288271");
-            values ( \
-              "-0.0554753, -0.176777, -0.220982, -0.233947, -0.236363, -0.234208, -0.223086, -0.193076, -0.183734, -0.185644, -0.191607, -0.202297, -0.217738, -0.215421, -0.214986, -0.195992, -0.108948, -0.0696794, -0.040092, -0.0277998, -0.0157957, -0.0127094, -0.00628185, -0.00314064, -0.00182042" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0276562");
-            index_3 ("0.0435351, 0.0501045, 0.0526438, 0.0569868, 0.0595793, 0.0629699, 0.0659327, 0.0689649, 0.0731077, 0.0869866, 0.0936507, 0.101358, 0.111108, 0.122819, 0.137674, 0.143548, 0.153611, 0.162934, 0.181578, 0.183278, 0.218101, 0.228772, 0.245894, 0.263403, 0.27762, 0.290728, 0.308204, 0.329921, 0.34397, 0.372068, 0.422476, 0.480189, 0.595614");
-            values ( \
-              "-0.0671435, -0.236215, -0.268003, -0.296652, -0.303404, -0.305028, -0.304398, -0.301055, -0.291749, -0.250298, -0.239041, -0.233594, -0.230617, -0.232484, -0.243095, -0.251908, -0.259134, -0.257979, -0.233893, -0.230718, -0.148945, -0.125804, -0.0939788, -0.0683252, -0.0522054, -0.0404767, -0.0285003, -0.018398, -0.0139012, -0.00771962, -0.00261855, -0.000754445, -0.000121425" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.0543681");
-            index_3 ("0.0464262, 0.0570384, 0.0618684, 0.0651586, 0.0691629, 0.0926958, 0.0994854, 0.107195, 0.118142, 0.142686, 0.16055, 0.178477, 0.213341, 0.247549, 0.281761, 0.283807, 0.364218, 0.395053, 0.430705, 0.454121, 0.473691, 0.496756, 0.529553, 0.565395, 0.593171, 0.648723, 0.706436, 0.764149, 0.879574");
-            values ( \
-              "-0.256137, -0.343816, -0.36138, -0.36773, -0.368748, -0.298113, -0.285884, -0.281088, -0.276057, -0.273412, -0.273713, -0.274807, -0.285886, -0.286613, -0.253674, -0.253207, -0.139213, -0.104207, -0.0730223, -0.056925, -0.0461206, -0.0359079, -0.0247739, -0.0165601, -0.0121481, -0.00628329, -0.00327176, -0.00163098, -0.000443538" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0254857;
-            index_1 ("0.0305829");
-            index_2 ("0.10688");
-            index_3 ("0.0458975, 0.0564942, 0.0679598, 0.095879, 0.104271, 0.11424, 0.126761, 0.143223, 0.222751, 0.285918, 0.348954, 0.406667, 0.423005, 0.444789, 0.479892, 0.583021, 0.663283, 0.719034, 0.753445, 0.798263, 0.836358, 0.878876, 0.936589, 1.00633, 1.05963, 1.11734, 1.23277, 1.52133");
-            values ( \
-              "-0.235357, -0.371548, -0.415946, -0.334129, -0.321458, -0.315673, -0.311502, -0.309837, -0.305546, -0.303776, -0.307879, -0.301634, -0.296023, -0.286023, -0.264802, -0.181943, -0.124736, -0.0934353, -0.0776209, -0.0602661, -0.0484604, -0.0379008, -0.026777, -0.0176236, -0.0128119, -0.0089328, -0.00438541, -0.000813587" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00364032");
-            index_3 ("0.0701764, 0.0760883, 0.0939565, 0.097087, 0.101207, 0.10563, 0.112171, 0.123531, 0.128652, 0.1525, 0.165202, 0.181142, 0.186637");
-            values ( \
-              "-0.00181895, -0.0425292, -0.0803726, -0.0849303, -0.0867364, -0.0859739, -0.0892141, -0.108891, -0.103366, -0.0317224, -0.0138662, -0.00490324, -0.00399523" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.00715634");
-            index_3 ("0.0728339, 0.0778719, 0.0937016, 0.0995404, 0.102267, 0.104622, 0.112641, 0.115398, 0.118831, 0.122166, 0.126806, 0.132959, 0.135923, 0.14185, 0.148329, 0.164243, 0.169161, 0.175279, 0.181758, 0.190065, 0.196994, 0.20476, 0.209041, 0.217603, 0.234727, 0.258345, 0.276866");
-            values ( \
-              "-0.0314705, -0.070953, -0.12154, -0.133779, -0.135578, -0.135721, -0.135184, -0.138792, -0.139569, -0.141626, -0.148677, -0.160936, -0.162656, -0.155996, -0.13411, -0.0747191, -0.0601033, -0.0451559, -0.0329267, -0.0217678, -0.0153397, -0.0103921, -0.0083869, -0.00551194, -0.00236705, -0.000851904, -0.000530492" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0140683");
-            index_3 ("0.0756689, 0.0803439, 0.0953197, 0.104436, 0.107884, 0.11446, 0.124981, 0.130792, 0.13377, 0.139726, 0.146904, 0.158963, 0.171208, 0.197941, 0.21319, 0.230296, 0.24096, 0.253696, 0.270823, 0.284956, 0.313221, 0.32835");
-            values ( \
-              "-0.108317, -0.108473, -0.177792, -0.198802, -0.201655, -0.203689, -0.191384, -0.186854, -0.186611, -0.189942, -0.202831, -0.217743, -0.196435, -0.108233, -0.0693655, -0.040207, -0.0282704, -0.018357, -0.0103366, -0.00643207, -0.00241845, -0.00172763" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0276562");
-            index_3 ("0.0778141, 0.0857824, 0.0975182, 0.104379, 0.109422, 0.112578, 0.114312, 0.116565, 0.121086, 0.130417, 0.141179, 0.14787, 0.158973, 0.169927, 0.183288, 0.201899, 0.208045, 0.215069, 0.22294, 0.263632, 0.290467, 0.310149, 0.319279, 0.334525, 0.35195, 0.368847, 0.395635, 0.431352, 0.477027");
-            values ( \
-              "-0.163959, -0.165891, -0.236104, -0.263698, -0.273586, -0.274799, -0.277545, -0.277576, -0.26937, -0.244686, -0.234213, -0.232195, -0.231451, -0.236719, -0.251262, -0.260278, -0.254158, -0.24497, -0.230631, -0.136057, -0.0861761, -0.0598305, -0.0502432, -0.037315, -0.0263299, -0.0187431, -0.0108819, -0.005143, -0.00214822" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.0543681");
-            index_3 ("0.0776522, 0.0885608, 0.10513, 0.109633, 0.115211, 0.120859, 0.136443, 0.147016, 0.158257, 0.170246, 0.182492, 0.200351, 0.218276, 0.253143, 0.287351, 0.321563, 0.323609, 0.40396, 0.43485, 0.47054, 0.493936, 0.513466, 0.53654, 0.569343, 0.605209, 0.633008, 0.688604, 0.746317, 0.804029, 0.919455");
-            values ( \
-              "-0.149661, -0.209393, -0.321859, -0.33475, -0.342366, -0.332227, -0.291892, -0.281349, -0.276718, -0.274591, -0.273658, -0.273572, -0.274942, -0.285753, -0.286745, -0.253549, -0.253252, -0.139289, -0.104217, -0.0729679, -0.0569475, -0.0461649, -0.0358855, -0.0248077, -0.0165887, -0.0121125, -0.00630822, -0.00323782, -0.00166027, -0.000473786" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.0563241;
-            index_1 ("0.0675889");
-            index_2 ("0.10688");
-            index_3 ("0.0778047, 0.10225, 0.114586, 0.139035, 0.149184, 0.160641, 0.17411, 0.20033, 0.320756, 0.35894, 0.389246, 0.446959, 0.463297, 0.485081, 0.520184, 0.623312, 0.703575, 0.759325, 0.793736, 0.838554, 0.876648, 0.919166, 0.976879, 1.04661, 1.09991, 1.15762, 1.27305, 1.35007");
-            values ( \
-              "-0.145575, -0.339088, -0.38867, -0.331216, -0.318203, -0.313835, -0.310426, -0.308462, -0.303863, -0.305138, -0.307874, -0.301639, -0.296025, -0.286022, -0.264803, -0.181944, -0.124736, -0.0934362, -0.0776212, -0.0602667, -0.0484611, -0.0379013, -0.0267773, -0.0176236, -0.0128134, -0.00893262, -0.00438494, -0.00343186" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00364032");
-            index_3 ("0.128413, 0.136247, 0.142382, 0.147266, 0.168011, 0.177557, 0.183032, 0.188149, 0.190014, 0.193743, 0.206533, 0.207018, 0.207986, 0.209924, 0.213677, 0.215277, 0.221676, 0.23316, 0.239492, 0.244658, 0.248902, 0.249775, 0.258314, 0.263162, 0.267547, 0.273394, 0.285088, 0.30303, 0.325559, 0.355406, 0.514994");
-            values ( \
-              "-0.0106831, -0.0228115, -0.0267155, -0.0312066, -0.0538112, -0.0603859, -0.0610606, -0.062364, -0.0638521, -0.067813, -0.0921978, -0.0916609, -0.0922682, -0.0921513, -0.0887996, -0.0861287, -0.071156, -0.041367, -0.0286543, -0.0207697, -0.0158346, -0.0155863, -0.00946088, -0.00686687, -0.00515367, -0.00355676, -0.00174894, -0.000690888, -0.000314232, -0.000159111, -2.68652e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.00715634");
-            index_3 ("0.128451, 0.139101, 0.143852, 0.147977, 0.167269, 0.175162, 0.18265, 0.195076, 0.20126, 0.207454, 0.212281, 0.221471, 0.231056, 0.236843, 0.248945, 0.257367, 0.263793, 0.271125, 0.280024, 0.288024, 0.294374, 0.2987, 0.307351, 0.324655, 0.349147, 0.420944, 0.53637");
-            values ( \
-              "-0.00946328, -0.0389694, -0.0434667, -0.0490939, -0.0806616, -0.0914094, -0.0967008, -0.101258, -0.106951, -0.116828, -0.130448, -0.149689, -0.138143, -0.121003, -0.0803616, -0.0574364, -0.0423948, -0.0295043, -0.0188507, -0.0125439, -0.00914614, -0.00739055, -0.00484087, -0.00210034, -0.00073771, -0.000149114, -4.22199e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0140683");
-            index_3 ("0.137435, 0.15413, 0.1672, 0.178078, 0.186294, 0.192914, 0.200354, 0.203217, 0.208943, 0.21654, 0.219431, 0.225211, 0.233796, 0.246272, 0.248963, 0.251496, 0.254913, 0.257937, 0.262026, 0.282454, 0.292799, 0.303867, 0.312864, 0.319774, 0.323645, 0.331387, 0.342507, 0.347754, 0.355211, 0.365152, 0.385036, 0.418648, 0.459404, 0.570045");
-            values ( \
-              "-0.0707815, -0.081002, -0.113335, -0.137669, -0.145843, -0.151328, -0.149995, -0.151936, -0.153565, -0.159973, -0.163117, -0.172149, -0.1925, -0.213325, -0.210163, -0.209826, -0.204732, -0.197418, -0.185193, -0.116757, -0.0873466, -0.0625205, -0.0469338, -0.0375014, -0.0330187, -0.0255124, -0.0175208, -0.0146982, -0.0114544, -0.00820826, -0.00418778, -0.0013312, -0.000432007, -0.000104218" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0276562");
-            index_3 ("0.137429, 0.149391, 0.158145, 0.179076, 0.187668, 0.19393, 0.19993, 0.203868, 0.211746, 0.21999, 0.228007, 0.248964, 0.250603, 0.263016, 0.273949, 0.279311, 0.287635, 0.290569, 0.296439, 0.308177, 0.316072, 0.350695, 0.367783, 0.375339, 0.387041, 0.402644, 0.412047, 0.427551, 0.447977, 0.462035, 0.471536, 0.490538, 0.528541, 0.584689, 0.700114");
-            values ( \
-              "-0.0758218, -0.0908363, -0.1131, -0.182466, -0.201615, -0.206439, -0.206565, -0.205845, -0.203077, -0.207165, -0.211862, -0.227566, -0.231687, -0.242931, -0.255061, -0.258844, -0.259259, -0.257766, -0.253203, -0.235626, -0.218875, -0.137668, -0.103742, -0.0908591, -0.0734887, -0.0547431, -0.0456428, -0.033656, -0.0223051, -0.0167876, -0.0138842, -0.00940193, -0.00425097, -0.00124602, -0.000180613" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.0543681");
-            index_3 ("0.144395, 0.166185, 0.183207, 0.18893, 0.195919, 0.203921, 0.211348, 0.220034, 0.25755, 0.278979, 0.292766, 0.306585, 0.334223, 0.341484, 0.356005, 0.375441, 0.406075, 0.423467, 0.47513, 0.50752, 0.524024, 0.543334, 0.569081, 0.584472, 0.611038, 0.641399, 0.663656, 0.686969, 0.718053, 0.775766, 0.833479, 0.891191, 1.00662");
-            values ( \
-              "-0.134653, -0.16161, -0.236725, -0.249959, -0.254059, -0.251587, -0.250243, -0.250324, -0.275388, -0.273842, -0.273936, -0.275058, -0.283036, -0.287234, -0.289447, -0.284764, -0.259138, -0.236374, -0.162152, -0.121073, -0.103415, -0.0853548, -0.0654942, -0.0555162, -0.0417551, -0.0297412, -0.0232528, -0.0178981, -0.0125104, -0.00640778, -0.0032268, -0.00171575, -0.000516955" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.124478;
-            index_1 ("0.149373");
-            index_2 ("0.10688");
-            index_3 ("0.154609, 0.183933, 0.191163, 0.19412, 0.198064, 0.21383, 0.225835, 0.260055, 0.287051, 0.389446, 0.414717, 0.465259, 0.477861, 0.503063, 0.540898, 0.595553, 0.608906, 0.736229, 0.814717, 0.881697, 0.926858, 0.965652, 1.00801, 1.06573, 1.10837, 1.18735, 1.24506, 1.30278, 1.4182, 1.64905");
-            values ( \
-              "-0.231525, -0.268744, -0.286456, -0.287948, -0.287769, -0.283611, -0.287812, -0.311835, -0.308872, -0.304348, -0.304, -0.306143, -0.308002, -0.306871, -0.299533, -0.27332, -0.264754, -0.163188, -0.111105, -0.0777002, -0.0605059, -0.0484722, -0.0376933, -0.0268655, -0.0206925, -0.0128008, -0.00909246, -0.00628645, -0.00307636, -0.000731867" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00364032");
-            index_3 ("0.245244, 0.258583, 0.273753, 0.283949, 0.314475, 0.331391, 0.343331, 0.348502, 0.356436, 0.372585, 0.375466, 0.379307, 0.383609, 0.391808, 0.412138, 0.423021, 0.431402, 0.439074, 0.446088, 0.451155, 0.461289, 0.481556, 0.505811, 0.550171, 0.55844, 0.598097, 0.711395");
-            values ( \
-              "-0.00860989, -0.0110566, -0.0151029, -0.0191521, -0.0337788, -0.0393623, -0.0407172, -0.0419191, -0.0466862, -0.0640716, -0.0649648, -0.0656251, -0.0653411, -0.0593871, -0.0280737, -0.0159502, -0.00999157, -0.00643013, -0.00432156, -0.00326506, -0.00186836, -0.000662212, -0.000282143, -0.000118827, -0.000473002, -9.49182e-05, -2.25908e-05" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.00715634");
-            index_3 ("0.250999, 0.273317, 0.28819, 0.313478, 0.32497, 0.33653, 0.345702, 0.354936, 0.364557, 0.37709, 0.380499, 0.387318, 0.393245, 0.405098, 0.411146, 0.417291, 0.439753, 0.446442, 0.453575, 0.463406, 0.47267, 0.477798, 0.486109, 0.497191, 0.519355, 0.547732, 0.550197, 0.555129, 0.562202, 0.571541");
-            values ( \
-              "-0.0196285, -0.0236353, -0.0324095, -0.0508988, -0.0578837, -0.0628745, -0.0657331, -0.0679753, -0.0720119, -0.0850207, -0.0921821, -0.10268, -0.10822, -0.107638, -0.101778, -0.0912629, -0.0453204, -0.0347451, -0.0257608, -0.0167194, -0.0109588, -0.0086856, -0.00596003, -0.00359846, -0.00131562, -0.000457077, -0.000448452, -0.000958714, -0.00101284, -0.000720339" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0140683");
-            index_3 ("0.257294, 0.283817, 0.300731, 0.329045, 0.341594, 0.355169, 0.364785, 0.370151, 0.377305, 0.387095, 0.396198, 0.419665, 0.42607, 0.438882, 0.446625, 0.458883, 0.481309, 0.497115, 0.505561, 0.512635, 0.521273, 0.533753, 0.538561, 0.550282, 0.55092, 0.552966, 0.568092, 0.57828, 0.594704, 0.620225");
-            values ( \
-              "-0.0352322, -0.0416165, -0.0570839, -0.0875764, -0.0986087, -0.102378, -0.10264, -0.10388, -0.106097, -0.112053, -0.121053, -0.160966, -0.165796, -0.163464, -0.153516, -0.128561, -0.0784491, -0.0510399, -0.0398258, -0.0321201, -0.0244617, -0.0163841, -0.0139827, -0.00957378, -0.0098194, -0.00953407, -0.00611231, -0.00437131, -0.00259006, -0.0012962" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0276562");
-            index_3 ("0.264939, 0.297391, 0.346924, 0.357947, 0.379191, 0.389995, 0.401742, 0.432161, 0.455398, 0.46264, 0.471737, 0.479963, 0.496415, 0.502098, 0.513463, 0.55032, 0.578463, 0.601047, 0.625395, 0.650825, 0.666373, 0.697469, 0.741883");
-            values ( \
-              "-0.0559889, -0.0679238, -0.139729, -0.142212, -0.139106, -0.144017, -0.151104, -0.177142, -0.207738, -0.219694, -0.229245, -0.231351, -0.222869, -0.21473, -0.196017, -0.122149, -0.0760136, -0.0495875, -0.0307513, -0.0184302, -0.0134461, -0.00704563, -0.00291394" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.0543681");
-            index_3 ("0.275173, 0.323842, 0.340588, 0.353056, 0.366486, 0.375455, 0.387081, 0.399495, 0.410774, 0.45796, 0.535448, 0.550135, 0.558258, 0.569739, 0.579887, 0.600183, 0.617779, 0.668563, 0.701222, 0.72491, 0.738298, 0.765075, 0.782556, 0.803286, 0.824609, 0.854764, 0.867045, 0.891608, 0.940734, 0.998446, 1.05616, 1.11387, 1.2293");
-            values ( \
-              "-0.0746674, -0.126505, -0.164368, -0.176233, -0.172117, -0.170797, -0.172191, -0.179521, -0.18799, -0.226483, -0.278793, -0.287886, -0.28737, -0.284313, -0.27819, -0.259248, -0.236258, -0.163012, -0.121769, -0.0969349, -0.0847553, -0.0642067, -0.0533316, -0.0426274, -0.0336893, -0.0240524, -0.0209376, -0.0158821, -0.00896912, -0.00458631, -0.00233619, -0.00123944, -0.000380337" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.275099;
-            index_1 ("0.330118");
-            index_2 ("0.10688");
-            index_3 ("0.291078, 0.337119, 0.349198, 0.360614, 0.371841, 0.385046, 0.398215, 0.473603, 0.492041, 0.516624, 0.554661, 0.562058, 0.609907, 0.641556, 0.672939, 0.730505, 0.769639, 0.803877, 0.906997, 0.987241, 1.04304, 1.07744, 1.12225, 1.16032, 1.20284, 1.26056, 1.3303, 1.38361, 1.44132, 1.55675, 1.67217, 1.84531");
-            values ( \
-              "-0.140616, -0.178531, -0.198456, -0.197355, -0.194047, -0.194555, -0.201236, -0.265411, -0.277787, -0.290347, -0.304236, -0.3056, -0.304092, -0.304948, -0.30791, -0.301626, -0.285608, -0.264774, -0.181963, -0.124766, -0.093439, -0.0776024, -0.0602789, -0.0484786, -0.0378909, -0.0267923, -0.0176367, -0.0128001, -0.00894509, -0.00439749, -0.00216374, -0.000801869" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00364032");
-            index_3 ("0.493561, 0.531316, 0.545463, 0.569055, 0.635513, 0.675385, 0.681997, 0.704807, 0.710577, 0.722117, 0.725797, 0.733155, 0.74102, 0.768753, 0.781868, 0.797801, 0.81635, 0.832557, 0.85896, 0.891578, 0.962562");
-            values ( \
-              "-0.0059044, -0.00625262, -0.0076427, -0.0106337, -0.0236055, -0.0282044, -0.0296217, -0.0399397, -0.0417506, -0.0438799, -0.0440571, -0.043592, -0.0409857, -0.0189702, -0.0109208, -0.00524318, -0.00222818, -0.00109033, -0.000384241, -0.000199224, -0.000166732" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.00715634");
-            index_3 ("0.49346, 0.533504, 0.554251, 0.580275, 0.63377, 0.676626, 0.686844, 0.69576, 0.70955, 0.719796, 0.735554, 0.745547, 0.75635, 0.772142, 0.774363, 0.778806, 0.801811, 0.810129, 0.821557, 0.828353, 0.84017, 0.850073, 0.857184, 0.871407, 0.899854, 0.933596, 0.977587, 1.09301, 1.21591, 1.22561, 1.24119");
-            values ( \
-              "-0.00862165, -0.0107308, -0.0140329, -0.019741, -0.0359537, -0.0436536, -0.0456977, -0.0477964, -0.0536073, -0.0616736, -0.0714668, -0.0740129, -0.0744811, -0.0694384, -0.0676423, -0.0637437, -0.0370673, -0.0285877, -0.0194212, -0.0152064, -0.00976506, -0.00671475, -0.00512778, -0.0029662, -0.000976158, -0.000360817, -0.000177552, -6.39442e-05, -3.12801e-05, -0.000274046, -0.000215873" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0140683");
-            index_3 ("0.505737, 0.554071, 0.569058, 0.59677, 0.659083, 0.680876, 0.697094, 0.712248, 0.730923, 0.740112, 0.750613, 0.761715, 0.783918, 0.785436, 0.788473, 0.794546, 0.807361, 0.82566, 0.86805, 0.886562, 0.905183, 0.919337, 0.936194, 0.949545, 0.976248, 1.01725, 1.06793, 1.26085");
-            values ( \
-              "-0.0174051, -0.0208364, -0.0248791, -0.0343618, -0.0609124, -0.0686325, -0.0696612, -0.0711009, -0.0757256, -0.0803675, -0.0875399, -0.0983608, -0.114219, -0.113877, -0.114966, -0.115854, -0.115821, -0.106244, -0.0531078, -0.0343952, -0.0212944, -0.0144909, -0.00909594, -0.00624157, -0.00286431, -0.000852853, -0.000278754, -0.00025431" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0276562");
-            index_3 ("0.520075, 0.58176, 0.608404, 0.640293, 0.670579, 0.690663, 0.723689, 0.747663, 0.767151, 0.798561, 0.81023, 0.829796, 0.860869, 0.890541, 0.9201, 0.966714, 0.990223, 1.01812, 1.03269, 1.06077, 1.07665, 1.09479, 1.13108, 1.17662");
-            values ( \
-              "-0.0289915, -0.0377052, -0.0502486, -0.0694468, -0.0908179, -0.0966809, -0.0944999, -0.100935, -0.10893, -0.124597, -0.132552, -0.15002, -0.170443, -0.165928, -0.143221, -0.0826723, -0.0584471, -0.0370549, -0.0287015, -0.0172754, -0.0129322, -0.00919251, -0.00453402, -0.00203051" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.0543681");
-            index_3 ("0.520446, 0.572759, 0.608408, 0.625915, 0.668156, 0.677114, 0.69503, 0.709891, 0.727982, 0.744377, 0.759711, 0.804794, 0.874796, 0.909599, 0.935155, 0.978482, 1.02147, 1.06442, 1.10672, 1.15821, 1.20197, 1.21608, 1.24631, 1.26398, 1.30537, 1.32918, 1.37678, 1.4345, 1.46083");
-            values ( \
-              "-0.0331324, -0.0417452, -0.0596818, -0.0714789, -0.111525, -0.116743, -0.118509, -0.116825, -0.116532, -0.120065, -0.125741, -0.145647, -0.178976, -0.198801, -0.217368, -0.235457, -0.220272, -0.181754, -0.134651, -0.0865251, -0.0562446, -0.0486228, -0.0357327, -0.0293989, -0.0184948, -0.0141377, -0.00815105, -0.00416454, -0.00324086" \
-            );
-          }
-          vector (ccs_template) {
-            reference_time : 0.607975;
-            index_1 ("0.72957");
-            index_2 ("0.10688");
-            index_3 ("0.560925, 0.637753, 0.667201, 0.686478, 0.718925, 0.739667, 0.757482, 0.906848, 0.950458, 1.02824, 1.09837, 1.15608, 1.18239, 1.21594, 1.23342, 1.34697, 1.38352, 1.4317, 1.469, 1.51873, 1.55362, 1.61934, 1.67706, 1.71893, 1.77962, 1.89504, 2.01047, 2.12589, 2.29903");
-            values ( \
-              "-0.0726065, -0.0927629, -0.127316, -0.134942, -0.132322, -0.134466, -0.140562, -0.212626, -0.232137, -0.262353, -0.286637, -0.292614, -0.287863, -0.274729, -0.265137, -0.173514, -0.147105, -0.11543, -0.0949955, -0.0727486, -0.0594332, -0.0409679, -0.0288282, -0.0224455, -0.0155448, -0.00762615, -0.00371488, -0.00179712, -0.000760406" \
-            );
-          }
-        }
-        receiver_capacitance1_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00587257, 0.00587622, 0.0058798, 0.00588272, 0.00588467, 0.00588583", \
-            "0.00713628, 0.00713905, 0.00714252, 0.00714586, 0.0071484, 0.00715003", \
-            "0.00786337, 0.0078642, 0.00786573, 0.00786786, 0.00786992, 0.0078715", \
-            "0.00825939, 0.00825944, 0.00825965, 0.00826021, 0.00826122, 0.00826227", \
-            "0.00846615, 0.00846609, 0.00846606, 0.00846594, 0.00846604, 0.00846638", \
-            "0.00858082, 0.008581, 0.00858124, 0.00858145, 0.00858161, 0.00858172" \
-          );
-        }
-        receiver_capacitance2_fall (delay_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00886369, 0.00886873, 0.00887441, 0.00887943, 0.00888301, 0.00888521", \
-            "0.0095625, 0.00956474, 0.00956749, 0.00957019, 0.00957223, 0.00957354", \
-            "0.00989582, 0.00989771, 0.00989923, 0.00990017, 0.00990064, 0.00990083", \
-            "0.0104033, 0.0103642, 0.0103244, 0.0102908, 0.0102659, 0.0102491", \
-            "0.0117001, 0.0115531, 0.0113954, 0.011265, 0.0111749, 0.011115", \
-            "0.0127234, 0.012397, 0.0121121, 0.0118983, 0.0117322, 0.0116278" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0222132, 0.0223234, 0.0224282, 0.0225068, 0.0225575, 0.0225855", \
-            "0.0218771, 0.0220043, 0.0221476, 0.0222747, 0.0223664, 0.0224216", \
-            "0.0217456, 0.0218541, 0.0219742, 0.0221383, 0.0223034, 0.0224041", \
-            "0.0220902, 0.0221478, 0.0222401, 0.0223872, 0.0225812, 0.0227334", \
-            "0.0236314, 0.0236282, 0.0236816, 0.0237123, 0.0238178, 0.0240245", \
-            "0.028503, 0.0283182, 0.0280309, 0.0278169, 0.027696, 0.0277502" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00408696, 0.00411373, 0.00414979, 0.00417352, 0.0041884, 0.00418562", \
-            "0.0036883, 0.00372785, 0.00380026, 0.00384651, 0.00387619, 0.00389796", \
-            "0.00325838, 0.00333389, 0.00341143, 0.00349875, 0.00357497, 0.00361051", \
-            "0.00316845, 0.00320007, 0.00327837, 0.00340044, 0.00347695, 0.00355114", \
-            "0.00433171, 0.00429414, 0.00428859, 0.00427941, 0.00432431, 0.00440113", \
-            "0.00866031, 0.00842748, 0.00814471, 0.00782225, 0.00760061, 0.00742824" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "A";
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00323447, 0.00306627, 0.00276195, 0.00246538, 0.00220309, 0.00204023", \
-            "0.0029449, 0.00277705, 0.00253808, 0.00225369, 0.0020254, 0.00183262", \
-            "0.00251007, 0.00243264, 0.00226, 0.00204679, 0.00182567, 0.00163471", \
-            "0.00230206, 0.00228071, 0.00217143, 0.00201495, 0.00184897, 0.00163479", \
-            "0.00285516, 0.00286959, 0.00283988, 0.00275952, 0.0026218, 0.00239699", \
-            "0.00577275, 0.00576201, 0.0057695, 0.0057166, 0.00566851, 0.00546793" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0243234, 0.0244196, 0.0244681, 0.0244713, 0.0244499, 0.0244278", \
-            "0.0241576, 0.0242576, 0.0243506, 0.0243675, 0.0243537, 0.0243325", \
-            "0.0240079, 0.0241424, 0.024262, 0.0243304, 0.0243509, 0.0243473", \
-            "0.0242348, 0.0244128, 0.0246052, 0.0247712, 0.024864, 0.0248758", \
-            "0.0253272, 0.025482, 0.025685, 0.0259029, 0.026076, 0.0261731", \
-            "0.0284814, 0.028668, 0.0288623, 0.0290916, 0.0292288, 0.0294146" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0226168, 0.0226626, 0.0227069, 0.0227414, 0.0227618, 0.0227683", \
-            "0.0224015, 0.0224584, 0.0225242, 0.0225828, 0.0226232, 0.0226432", \
-            "0.0223501, 0.022377, 0.0224579, 0.0225467, 0.0225971, 0.0226609", \
-            "0.0227488, 0.0227577, 0.0228046, 0.0229005, 0.0229497, 0.0230411", \
-            "0.0242358, 0.0242841, 0.0242226, 0.0242827, 0.0243123, 0.0243995", \
-            "0.0290579, 0.0289123, 0.0286001, 0.0285335, 0.0282141, 0.0281142" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00424079, 0.00426832, 0.00429375, 0.0043121, 0.00431986, 0.00431461", \
-            "0.00385, 0.0038829, 0.00395076, 0.00398335, 0.00400929, 0.00401521", \
-            "0.00338992, 0.00345969, 0.00354302, 0.00363217, 0.00369928, 0.00374012", \
-            "0.00316263, 0.00323547, 0.00333113, 0.00347346, 0.00356063, 0.00362359", \
-            "0.00396835, 0.00399494, 0.00405216, 0.00413214, 0.00421539, 0.00433776", \
-            "0.00800947, 0.0078772, 0.0076508, 0.00747465, 0.00739103, 0.00737989" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0", \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        related_pin : "B";
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.00194093, 0.00211734, 0.00227234, 0.00237362, 0.00242744, 0.00244905", \
-            "0.00168229, 0.00185097, 0.0020109, 0.00212397, 0.00218631, 0.00221287", \
-            "0.00130879, 0.00147014, 0.00164961, 0.00180669, 0.00190756, 0.00193937", \
-            "0.00116521, 0.00128025, 0.00146088, 0.00166991, 0.00179328, 0.00190049", \
-            "0.00176438, 0.00187908, 0.00204755, 0.00221601, 0.00241821, 0.0024908", \
-            "0.00482308, 0.00486969, 0.00492432, 0.00510134, 0.00527623, 0.00543502" \
-          );
-        }
-        fall_power (power_template) {
-          index_1 ("0.0138382, 0.0305829, 0.0675889, 0.149373, 0.330118, 0.72957");
-          index_2 ("0.00364032, 0.00715634, 0.0140683, 0.0276562, 0.0543681, 0.10688");
-          values ( \
-            "0.0219446, 0.022075, 0.0222008, 0.0222951, 0.0223531, 0.0223841", \
-            "0.0217827, 0.021952, 0.0220919, 0.0222022, 0.0222718, 0.0223105", \
-            "0.0217196, 0.0218674, 0.0220356, 0.0221841, 0.022288, 0.0223498", \
-            "0.0220399, 0.0221828, 0.0223716, 0.0225412, 0.0227068, 0.0228168", \
-            "0.023207, 0.0233213, 0.0234677, 0.0236849, 0.0238966, 0.0240629", \
-            "0.0266053, 0.0266906, 0.0268057, 0.0269687, 0.0271336, 0.0273628" \
-          );
-        }
-      }
-    }
-    pin (A) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0113048;
-      rise_capacitance : 0.0113048;
-      rise_capacitance_range (0.00859862, 0.0113048);
-      fall_capacitance : 0.0112917;
-      fall_capacitance_range (0.00730185, 0.0112917);
-    }
-    pin (B) {
-      direction : input;
-      related_ground_pin : GND;
-      related_power_pin : VDD;
-      max_transition : 0.72957;
-      capacitance : 0.0104347;
-      rise_capacitance : 0.0104347;
-      rise_capacitance_range (0.00814246, 0.0104347);
-      fall_capacitance : 0.0103789;
-      fall_capacitance_range (0.00737836, 0.0103789);
-    }
-  }
-}